>KGN51356 pep chromosome:ASM407v2:5:18406829:18410074:-1 gene:Csa_5G523020 transcript:KGN51356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEGSSSRKRKRAKRRGTNKSKVSTNNLPDATEPTSQDIVVSASSDGKKTLRVSGSSSFLDKMRARLSGGHFRMLNEKLYTCTGEEALNYFKEDKVLFDVYHTGYQEQMTHWPELPVNLIIKWLKEHDPSFIVADFGCGDARLSKNVKNKVFSFDLVSKDPSVIACDMSNTPLDSASVDVAVFCLSLMGVNYASYLAEARRVLKPRGWLLISEVKSRFDPSNGGADPKKFIKAVCELGFVSALKDFSNKMFILLYFKKKDEKTSEGKDIDWPQLKPCMYKRR >KGN51540 pep chromosome:ASM407v2:5:20245996:20246924:1 gene:Csa_5G576820 transcript:KGN51540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLLKFKLLPTHCGVAQSPTLSPRTSPLVHLRRRKTTLRMLLTRNSGRRSPRRRSLPENKNRDDRKGLSRSNTLKDLFVSSPPYLGTDCDVHQTAVTAPTRNVTPVCEKEKEEGHVGSPGWNPGSPRPGWTGFRYKYLLRKTWRPVLVGIPE >KGN52072 pep chromosome:ASM407v2:5:23538696:23544724:-1 gene:Csa_5G608600 transcript:KGN52072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIFVKTLKGSHFEIEVQPADTVADVKKNIETVQGADVYPAAQQMLIHQGKVLKDSSTLEENKVAENSFIVIMLTKNKSSSGGTSSTTHAAPVAKAPQSTAPAPAATPVSTTEVPLPTSAPPASVTASSPPAPSPTPVPVPAPAPAPTPTPTPTPAAAPAPSLATTVLPDADVYSQAASNLVAGSNLEETVQQILDMGEGVWDRDTVVRALRAAYNNPERAVDYLYSGIPEQVEAPPARVPSTVQASNPSAQPAQPAQPASAPSSTGPNANPLDLFPQGLPNLGSGAVGSGSLDFLRNSPQFQALRAMVRANPQILQPMLQELGKQNPQLIRLIQDHQPDFLRLINEPLEGEGNILGQLAEAMPQAITVTPEEREAIQRLEDMGFDRGLVVEVFFACNKNEEMAANYLLDHIHEFEGNQN >KGN51336 pep chromosome:ASM407v2:5:18249254:18250245:-1 gene:Csa_5G517830 transcript:KGN51336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRPSYTLNLKLNPPPSSSTPLNTLHLPSFKLILCSISPSQIPNNGPSIRSPRPSLLRRRRRHCLCRRSPRHLPRPPCGLVVASSPASSPASSPKAADVEPSDGAKTPAAAPSKSPAAAADAPIAATPSAAGAPKGAASAPSAKAGAPEADTPVAGGPASSPAGDESSSTVAPAGAPEADVSSPPSPTGPAAGPTSDAVADAPADSSADSPADTPSDSPANGGSLVKVSVAAVAGISGIVGLFVF >KGN50571 pep chromosome:ASM407v2:5:8281262:8281731:1 gene:Csa_5G182760 transcript:KGN50571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERREEEGVQVELMKGRLYIRFVVKFLGGNLRVPTKVLKGGQSQRGVKVFWIGDYCEDEEEEEDHRQKAKEGFKSK >KGN51804 pep chromosome:ASM407v2:5:22014315:22017564:-1 gene:Csa_5G601540 transcript:KGN51804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSNDTSSPSSDSVSDSSLPPEASDSSPPPPPSPSSDSSSPPPSPSTPPSPVPDDISSLTLNQSNGPSPSTFPSAIKAPPIDGESSPSSSPPAPPNPGTTPPAPTGGSDSNDSDETPSPPPEDSASPPLSPPPSPRPPPPSPPPPQDPSVVGVVDPPPSPVPTAKASQAPPRSPPSPISEKDPSTPVDQSAIQAPNTPEETQPSTPTNPLPPSENPVVIPSPGANPATGKQTPSSPDQGTITTPTSESNILSPPTATSTSTPNNSPHSSDSTPVKSPLGQSNAPSTGLRSHTDVAVGAAVAGVFVIALFAVIFVFSRKKKRRGKMYTGPYMPPKNFCVKADGNYYPQEHGGNSGSSEGFYTQVPHTPLGNSFGSQKGTGYSGSGMESSVINSAKFYFSYEELMEVTSGFSRQNILGEGGFGCVYQGWLPEGKTVAVKQLKAGSGQGEREFKAEVEIISRVHHRHLVSLVGYCVAERHRLLIYEFVPNKTLEHHLHGKGVPVLDWSKRLKIALGSAKGLAYLHEDCHPRIIHRDIKSANILLDDAFEAQVADFGLAKLTNDTNTHVSTRVMGTFGYMAPEYASSGKLTDRSDVFSFGVVLLELITGRKPVDSTQPLGDESLVEWARPHLLHALETGEFDGLVDPRLGKQYVESEMFRMIEAAAACVRHSAPKRPRMVQVVRAIDIESDMSDLSNGVKYGQSTIYDSGQYNQDISRFRRMALGTDSFDYDSYSSEYNSGEMNASRASWRFQNNSSGESETQAFKGGSVTPQNHPGGRQF >KGN52454 pep chromosome:ASM407v2:5:26255233:26259797:-1 gene:Csa_5G636470 transcript:KGN52454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIGIVIKAVHNGPNRRELVNPWWLVMEHFAESVENLSSGLRNACSGMQCSATANDGKIGRADDDSGDLGSVVNRKFLFTTRRTLFVSLSLSLWPYPSSVFTAQALGDPSVTIDEVTPTISPSGSLFPTEERIAQLFEKNTYSVVNIFDVTLRPQLNVTGMVEIPEGNGSGVVWDDQGHIVTNYHVIASALARNPSAGQVVARVNILASDGIQKNFEGKLIGADRTKDLAVLKVDASNDLLRPIKVGQSSSLKVGQQCLAIGNPFGFDHTLTVGVISGLNRDIFSQTGVTIGGGIQTDAAINPGNSGGPLLDSKGNLIGINTAIFTQTGISAGVGFAIPSSTVVKIVPQLIQFGRVVRAGINVDFAPDLITNQLNVRDGALILQVPANSPAAKAGLLPTTRGFAGNIVLGDIIAAIDNKPVKNKAELYKLMDEYNAGDKVILKIKRGGQSLELPLILEESQ >KGN50770 pep chromosome:ASM407v2:5:10558883:10560548:-1 gene:Csa_5G247650 transcript:KGN50770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNKLSTDFCLRTKFFTTKPLPSDPSDLPKYPPCKEFDVKLRDEEARRRRAPINVAREHEAARKFPRESKAIPAPHANAELQASIQKKQAQQNSTSVSEKYSHEEDGGSGFCIEPRKETTQMQPTGLGSSQNLNGNLGDNQRGSSVGAKGAELRKQRSFIQHGAGQLSRYSNSVAVRGGSRFDCGGESSANSHWPEECFNVSYNHFNGGESSEKHEWSRHLLGRPKSSYKMDEQSSGKESTTCYAPKKRIHYSGPLMPPGGNLEEMLKEHEKQIQHAVRKARIDKAKTKKTYDDKGQMEALLHHVKNGN >KGN50647 pep chromosome:ASM407v2:5:8909026:8911563:-1 gene:Csa_5G201320 transcript:KGN50647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNIANHSDFDITPSSSSSPNLFSFLSNLISQPFSIHNKGALHSNQLEKWASLQNGSDDDNSRLFVGSLRFKAMGRLFVVNLEGQIYSCKFCRTHLALYDDIISKSFHSRHGKAYLFNKVVNVCLGKIEERLMMTGMHTVADIFCVGCGSMVGWKYETAHEKNQKYKEGKSVLERFKVLGPDESSHWVSHEADTGGSEGDDV >KGN50742 pep chromosome:ASM407v2:5:10139644:10144933:1 gene:Csa_5G223010 transcript:KGN50742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVANGGSALDRKQLVASALAKHFALDHNALIGENAECDFRAYVLNILKASRNGASVDDNIEVTKWITFADSFPVDSKACFDVLKQLNEELAPKSVLLGKGLKPSEADVLVFSVLHPYVIGLSNAEMEKLPHVLRWMDYIQNKENFGELFQKILLQKCEFDPPLQGNKVVANNVNEDSNAKKSSQSTKVSEKPQVNPDTKKTDAGKKEKEKKEAAPEKKKPVETETADKEKEVSVSLLNIRVGLIRKAWKHPSADSLLVEEIDVGEAKVRQVVSGLAKYYSPEELTNRRVVLITNVKPGKLRDVMSEGLVLCASNEDHTVVEPLLPPEGANIGEQISFSGVDGKPEDVLNPKKKQLDKITPHLFTNDKGEATFKGIPFMTSAGPCTSTISKGSIK >KGN49729 pep chromosome:ASM407v2:5:2632523:2641193:1 gene:Csa_5G091390 transcript:KGN49729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPSILKALIFYWGGFDSNAYSFPHIERWQKKLRNDFLPRTATSVNLLERHLTTQSCSRTKEELHAQKVGSGVFSSVHGRCYSRKKSSSSFAKRRRATERPYRDGRRVTRQCRQRRRRIGGGGWLKTEEKGEEWTATGLASTETDVARRDWAEGHTALGRGHATADCYSGSFGSEEEKKTTGKKNRGGDWQRRGLALRTEMETTDRNGGRGARLQ >KGN51252 pep chromosome:ASM407v2:5:17571411:17572210:1 gene:Csa_5G505130 transcript:KGN51252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIPSSSLQFPNSLTLPSNSKPPKPKLLTFLTRAADPESPAGDSEQPGSDGDDFEDRLAKVRIRYRSGTGKKAEIRKARKSKQGSTTAASSVYLPPVSLKEAVSGGLKVEFGFSPYSERINGWIAILGISALVLVELATGKSVISYHTPAIILIQVYFVAAVAAVYIKYEKEKVSVWPSDEIKS >KGN50239 pep chromosome:ASM407v2:5:6040454:6040984:1 gene:Csa_5G161880 transcript:KGN50239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKAAASLAFLLSLNLLFFTLVSACDTCYVPAPPKPKPCPPTKPNPPSNYGKCPKDTLKIGVCAKLLGGLVDLTIGKPPVTPCCTLVQGLADLEAAVCLCTAIKASVLGNKIKIPLHLSLLLNVCNKNLPNGFQC >KGN50174 pep chromosome:ASM407v2:5:5559958:5561437:1 gene:Csa_5G157330 transcript:KGN50174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAEAPTWATRRLSNQTPSTVDHVLDFPTTAPPPARSPTISTAFIVLSWYLSNIGVLLLNKYLLSFYGFRFPIFLTMLHMVSCTFYSYLSILFLKIVPTQQIQSRTQFLKILALSAIFCFSVVCGNTSLRYLPVSFNQAIGATTPFFTAIFAFLITCKREPAGVYFALLPVVFGIVLASNSEPLFHFLGFLICVGSTAGRALKSVVQGILLTAEGEKLHSMNLLRFMAPMAAGILLPVTLYVEGNVAAITAEKARADPYILFLLIGNATVAYLVNLTNFLVTKHTSALTLQVLGNAKAAVAAVVSILIFRNPVTVMGMAGFSVTVMGVVIYGEAKKRSKNTTD >KGN51279 pep chromosome:ASM407v2:5:17833321:17839569:-1 gene:Csa_5G512860 transcript:KGN51279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWFRASAAVAKLAIKRNILQGGSYVGRSRISTSQSRYFHSTLFKSRAQSAPVPRPVPLSRLTDSFLDGTSSVYLEELQRAWEADPTSVDESWDNFFRNFVGQAATSPGISGQTIQESMRLLLLVRAYQVNGHMKAKLDPLGLEERKIPEELDLAHHGFTEADLDREFFLGVWKMAGFLSENRPVQTLRYTVTRLEQAYCGSIGYEYMHIADREKCNWLRDKIETPTPTQYNRQRKEVILDRLLWSTQFENFLATKWTTAKRFGLEGGETLIPGMKEMFDRASDLGVESIVIGMPHRGRLNVLGNVVRKPLRQIFSEFSGGTKPVNEVGLYTGTGDVKYHLGTSYDRPTRGGKRLHLSLLANPSHLEAVDPVVVGKTRAKQYYSNDAERKKNMGILIHGDGSFAGQGVVYETLHLSALPNYTTGGTIHIVVNNQVAFTTDPRSGRSSQYCTDVAKALNAPIFHVNGDDVEAVVHVCELAAEWRQTFHSDVVVDLVCYRRFGHNEIDEPSFTQPKMYKVIRNHPSSLEIYRKKLLELEQVSQEDIQKMQSKVNSILNEEFVASKDYVPRKRDWLSAYWAGFKSPEQLSRIQNTGVKPEILKNVGKTITSLPDHFKPHRAVKKVYEQRAQMIEIGDGIDWALGEALAFATLLVEGNHVRLSGQDVERGTFSHRHSVLHDQETGEKYCPLDHVIINQNEEMFTVSNSSLSEFGVLGFELGYSMENPNALVMWEAQFGDFANGAQVIFDQFVSSGESKWLRQTGLVVLLPHGYDGQGPEHSSARLERFLQMSDDNPFVIPEMDPTLRKQIQECNWQVVNVTTPANYFHVLRRQIHRDFRKPLIVMSPKNLLRHKDCRSNLSEFDDVKGHPGFDKQGTRFKRLIKDQNMHSDREEGIRRLILCSGKIYYELDEERKKTNGKDIAICRVEQLCPFPYDLIQRELKRYPNAEIVWCQEEPMNMGAFTYINPRLGSAMKSLSRGTIEDIKYVGRAPSAATATGFYQVHVKEQTEIIGKALQRDPIPYIH >KGN51313 pep chromosome:ASM407v2:5:18089290:18090535:-1 gene:Csa_5G517120 transcript:KGN51313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTETTKPITTKEKKEVHIEEQEMEETETKKSKKNNKKQKHQHPNDQTTKSDFSFKPCSDVKGLRFGGQFIVKSFTIRRARPLELLRLLSFPATTRNSGHKPPFPSATAFIPTNFTILAHHAWHTLTLGLGTRKSKVLLFVFENETMKAAIDRVWPTEIPLGEVNKKMIRGLSGCEMARFKFRKGCITFYVYAVRREGCFGFSCTDDLRTILESVVALKDFLDHTAMLAMPNQRTISFAVPPVAMAY >KGN51054 pep chromosome:ASM407v2:5:15219462:15220488:-1 gene:Csa_5G420860 transcript:KGN51054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIGFTCMVKRGEGRAYRFSSNERRLARDFIKVMLARKAARKAITMRLDCEWKRGFSWRLEVERKKTGSSSMSVQGPMMRLAIGRRCTNGRRRGFAVAVLGFERRRKRRGVFEGKEENKCLIKIKIMKRNFKKKEIQEEKKWTKQEKLGLKTTISFIWRPPFRLT >KGN50414 pep chromosome:ASM407v2:5:7097770:7101981:-1 gene:Csa_5G172930 transcript:KGN50414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSFGCSASGERLVSAARDGDLVEAKMLLDCNPCLAKYSTFGGLNSPLHFAAAKGHNEIVSLLLENGADVNSRNYCGQTAVMQACRYGHWEVVQTLLVFRCNVMRADYLSGRTALHFAAVNGHVRCLRLIVADFVPSVPYEAINSQSVVSRSDGVNVKNKCEQGALSKFINKAADGGITALHMAALNGYYDCVHLLLDLHANVSAVTFHYGTSMDLIGAGSTPLHYAACGGNLKCCQILLARGASRMTLNCNGWLPLDVARMWGRHWLEPLLVPNSDSILPRFPTSNHLSLPLLSALNIARECGFKSMGATSDDGDTCAVCLERVCSVAAEGCGHELCVRCALYLCSTSNIHSEMIGSLGSIPCPLCRHGIISFVKLSGATAKEKQLHLSLSICSPCILHTGDAEDQASTCTPEIRKNRVASVSSDVFCPVTCSPFPSAAIPLCTCNDGPCPTFESRDVGTEDESPRQSQATPIDQDKLGGPRSERTSCSSMFWGRRSCSRENQCNSEINA >KGN50047 pep chromosome:ASM407v2:5:4714246:4715981:-1 gene:Csa_5G151630 transcript:KGN50047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAFPLISILFLSLLVLSQSLPLSTRGRWIVDSKTGQRVKLSCVNLVSHAQSMVAQGLDKRPLKDLANEIVSRDYNCVRLTWSVYMFTRYPFETIGDVLDGLDINKVKNGVKKHNPQFLNMTVTSAFKTVVDGLGNAGLMVILDNHISQPRWCCSLHDGNGFFGDRNFKPIEWLRGLAYVARHFSWHPKVVGMSLRNELRGSNNVGVWRKYVKLGSHLIHRINPRLLVVISGLNYDNDLSYLKKKPLGYNLNNKVVLEAHLYSFSGEPESKFVKKPLNIACNQVMDKFEREAGFVVDMKDPYPLFLSEFGYDLRGGNKAQNRFMSCFLARIIGKDIDWAYWAFQGSYMYRQGQQDVDESFGIMDSSWTKDRSPRLQQMLQLAKRINQDPNSKGPMSYIMLHPVSGQCVKLDGKGGIELGDCETPTLWDHTGDGSPMKLWNGQCLKSAGDGKPPVVSAECSGDGSSWTVASKAKLQLSTKSGGENICLEKESDTSIVVKKCICLKDEWNCFDDPQSQWFKLVPTNVA >KGN51439 pep chromosome:ASM407v2:5:19275602:19275922:-1 gene:Csa_5G546580 transcript:KGN51439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPQLQSSLENKVQSDKEYCKFDRLSFHTVRRHKEWSNLSLRNILCAFQSCHYENFCNILCTFFFFFGPFMLNNGDLLNDKCEKTGPKAQFNMRCVRCKEFETRRN >KGN51518 pep chromosome:ASM407v2:5:20053936:20055351:-1 gene:Csa_5G576600 transcript:KGN51518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIKVKVRVCVVRELDMNRVPAEGEAEEDWARGPSVEEGEEESSINNNGGTQPRKKLRLSKDQSRLLEESFRLNHTLNPKQKEGLAMELKLKPRQVEVWFQNRRARSKLKQTELECEYMKRCFGSLTEQNRRLQWELEELRAIKVAPPAVVSRHNRHPPLLMRSTITICPRCERIISSKNTVADQTATTATAMPSKVVLSALQLRQPSQAC >KGN50431 pep chromosome:ASM407v2:5:7219683:7220432:1 gene:Csa_5G174570 transcript:KGN50431 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing transcription factor MDFSDASSSSHRSTLSDDELLLASRYPKKRAGRKKFKETRHPIYRGVRLRNSGKWVCEVREPNKKTRIWLGTFPTAEMAARAHDVAAIALRGRSACLNFADSASTLHIPASVDPKDIQRAAAEAAEAFRPQDDELTPAVVEEEGFYLDEEVEFGMPGLLTDMAEAMLLPPPNCVGNYDNDHFGANSMDFDADMSLWSYSI >KGN50394 pep chromosome:ASM407v2:5:6905880:6907852:1 gene:Csa_5G171760 transcript:KGN50394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGQQQWRNIALVCVFVFLISKACSLPLSTNGRWIVDATTGNRVKLMCVNWAGHMQGMLAEGLHLRPLDDIAALVVKSRFNCVRLTYSIHMFTRHANLTVQQSFENFDMKDALAGIAQNNPSILNMTVVQAYGAVIDSLAAHRVMVVSDNHISQPRWCCNNDDGNGFFGDRYFDPQEWLQGISLAAQNLKSKSQVVAMSLRNEPRGPNQNVEMWFQYMSQGAKLIHQINPNALVVVSGLSYDTDLSFLKNRSMGFNLDNKLVFEAHLYSFTNNMGDFWMSKPLNTFCASVNQGFEDRAGFLVRGQNPIPLFVSEFGIDQRGVNEGQNRFLSCFFSYLTENDFDWGLWALQGSYYYREGVKNAEENFGVLDSTFAKAKNSKLFLQRFQLMQTKLQDPSSNFTTSLIMYHPLSGGCVRMNKKYQLGISSCKTSNRWIHEQDSSPIKLAGSVLCLKAIGVGLPPILSQDCSSQQSIWKYGSNAKLQLATIDEQGQALCLQRAASHSHQLVTNKCLCSSDSQCQEDPQSQWFTLVPSNLRLI >KGN50329 pep chromosome:ASM407v2:5:6533470:6536882:1 gene:Csa_5G167210 transcript:KGN50329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYFLVMILMLKLVVVSSHDYGDALTKSILFFEGQRSGKLPPNQRVTWRKDSALRDGLEFGVDLVGGYYDAGDNVKFSFPMAFTTTMLSWSVLEFGKDMGSDLPYAMDSIRWATDYLLKATSVPGFVFAQVGDPYADHFCWERPEDMDTPRTPYAVSKQFPGSEVSAEIAAALAASSMVFKPLDGGYSARLLKRARMVFEFADTYRGSYNDSLGRWVCPFYCSYSGYEFVLSTGNGSSSSNMFINYADKFVCSVLPESPSLLVSYSRGGLLFKSGGSNIQHSTALSFLLIVYSNYLNQYKHILHCGNVVASPSRLLQLAKTQVDYILGSNPLGMSYMVGYGKNFPQRIHHRGSSLPSMANYPQAIGCAKGKQYFQSNNPNPNLLIGAVVGGPDFNDSYADSRPDFVYSEPTTYINAPLVGLLAYFKSHPN >KGN51427 pep chromosome:ASM407v2:5:19151044:19151295:1 gene:Csa_5G537520 transcript:KGN51427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTTCSDYVLFPICSGLTRSALHDFMLQFRVSFQFVPLGLISCSDFLLVLLRFQFAPVPFCPILSIPSHVINKTHHRSSPTA >KGN51426 pep chromosome:ASM407v2:5:19123189:19126271:-1 gene:Csa_5G537510 transcript:KGN51426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRIYGFFASHKVLLLHWGNLIKHRYIPSMPPRRFFGAIQRETCLEGDHIFFSEEDPMSCSNFVDLDWLSSSGNPCEEVPFERSLGFNSPNARDSSENVVTGESTPSLSEYVSSMMLPPQEDRLASGWDVRFVLCWSSSSPFVFSN >KGN51129 pep chromosome:ASM407v2:5:16228439:16242786:-1 gene:Csa_5G458290 transcript:KGN51129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTLRLFFLAILLSVLFGAESKFMVYNTSQTLVPEKLNVHLVAHTHDDVGWLKTVDQYYVGSNNSIQGACVQNVLDSMVSALLADKNRKFIYVEQAFFQRWWRDQSETVQDVVRKLVNSGQLEFINGGMCMHDEATTHYIDMIDQTTLGHRFIKEEFDVTPTVGWQIDPFGHSAVQAYLLGAEVGFDSFFFGRIDYQDRAKRKIEKSLEVVWQGSKSLGSSAQIFAGAFPENYEPPSGFYFEVNDASPIVQDDINLFDYNVQDRVNDFVAAAVAQAKITRTNHIMWTMGTDFKYQYAHTWFRQLDKLIHYVNKDGRVNALYSTPSVYTSAKYATNSFWPVKTDDFFPYADRVNAYWTGYFTSRPSIKYFVRMMSGYYLAARQLEFFIGRSSAGPNTDYLADALAIAQHHDAVTGTEKQHVANDYAKRLWIGYKEAEKLAASALACLVESTPYSECGNPTTKFQQCPLLNISYCPASELDLSQGKDLVVVIYNSLGWTRNDIIRIPVISEDVAVKDSEGKVIESQLLPLGDASMRLRNYHVKAYLGYVPTATPKFWLAFPVSVPPLGFSTYIISISRKAGVNSIKSSIHIFPSAELSTFQVGNGDLQLKFSSDQGKIIYGNSKSSVNELVDQSYSYYPGYDGRHDKAPQNAGAYIFRPNGTFPIAPSKQIPLTVMRGPLIEEVHQQINPWISQVTRLQKEKEHVEVEFTVGPVPIDDGVGKEIVTQITTTMKTNKIFYTDSNGRDFIKRIRDYRDDWNLEVNQPVAGNYYPINLGIYTQDNEKEFSVLVDRAVGGSSLVDGQLELMLHRRLLLDDSRGVDEALNETVCVNNDCKGLIIQGKLYYRIDPLGEGAKWRRSFGQEIYSPLLLAFAEQDGDNWANSHKLTFSGIDSSYSLPKNVAVITLQELHDGNILLRLAHLYETGEEKEYSVNTRVELRKLFPGKEIKKVTEMNLSANQKRTDMEKKRKVWKVENSSNEIKAKRGGPVDPKKLVVELSPMEIRTFLIDLGEKFNRKLLFDA >KGN50564 pep chromosome:ASM407v2:5:8234319:8236074:-1 gene:Csa_5G182690 transcript:KGN50564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMAFEASGLIRFALLLFSWPLIRLLEAAGMEELGLRLATFVAVFGVRKAEIESVSRAVLPKFFMDDLNINVWKVTSGFNKRVVVTKLPRVMVEMFVKEHLLADEVIGCELGFNRFGFATGLMEGGFGSAVDEICEVFDLGNGGRQPTMGLGRPSSCSSILDLCEEQMHSPLTIAQVRDHTPRHQDLRPSPVIFHDGRLVNRPTPATALLIILWVPLGIILAILRITIGIILPMWAIPYVTRLFGGKVIVRGHPPPPLSGNSTSGVLFVCTHRTLMDPVVLATVLRRKVPAVTYSISRLTEILSPIPTVRLTRIRHVDAEKIKRELSKGDLVVCPEGTTCREPFLLRFSALFAELTDRIVPVAMNYRVGFFHATTARGWKGLDPVFFFMNPRPVYEVTFLNQLPVEATCSAGKNPHEVANHVQRMLAASLGFECTNFTRKDKYRVLAGNDGTVSYVSLVDQLKKVVAKLKPFIHLI >KGN51871 pep chromosome:ASM407v2:5:22408244:22413886:-1 gene:Csa_5G604160 transcript:KGN51871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSPSDVIDGPVLSLINKRLRALRKKHNRILQMEEAISLGKPINKEQEEVLRSKPSVTALIDELEKLRQPLASAVSEEINLAVQRQQASVSSLPVSTDDSHTEVRDEDTSDVKDQSEHAVVEDLLNLLYFGSLFDVKSQSDFTSTMLTRTHERSCCITYDYVTDDATDLLVERDLDLISMMSGLLVSRPVDSNLPHKNALERCIEHAKLWLTKADQPIEPNTDVTYANLRERLHKIMASDYFTTTPEIKGPVEVAAVAAGNYANFQVPVAVHEEGSDEKFLQTMTLLKDTNSHDCCRFGSFELKEIVEEDADVGDVQEDDISDGQPGSADELPSDVQETGNSSEFVTQQEVRPEDEFEQKHGDGDAKEQQYVPRRGGYMSQRGGRGVGGRRGYSNGRGGRSEGRGGGSYQNGRSRYYDQSGNYYQRNNYYNNGRGRGGGGRGGGGHSYNSHGSSSQGAPNSSSIGVAS >KGN50023 pep chromosome:ASM407v2:5:4555530:4555795:-1 gene:Csa_5G150410 transcript:KGN50023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGWLDGSGVAENVGAVAGELPEPHMVDSTFHVIPHDHNRYRVRPRAYGRLRSDFV >KGN52346 pep chromosome:ASM407v2:5:25266967:25270978:-1 gene:Csa_5G626550 transcript:KGN52346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVDGAIYFVIIVAKDELSRECDYVLEATNQKHFHDLLFNSQGFYVPLVVDDLSSKRVLTTELISGVPIDRVALLDQDTRNYVGKKLLELTLLELFVFRFMQTDPNWGNFLYDEANKIINLIDFGAARDYPKNFVDNYLRMVVACANGDRDAVIEMSMRLGFLTGKESEVMLDAHVQAGFVVGLPFSKAGVYDFRASNITHSITNLSGTMLRHRLTPPPDEAYSLHRKLSGAFLACIKLRAAVPCRQLLFHIYDNYHFDHQD >KGN52709 pep chromosome:ASM407v2:5:27901371:27904693:-1 gene:Csa_5G651670 transcript:KGN52709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTFACLSDVSSILLSRSQNCNSVMRKDDLVASLLSAYEDGLCPLLPDLILRIAGICARITSKAELLIWRAERLFFLNGEQNLSSFLLVDMGVVKYPTYSCIVSDQIFLDRNDLLAYEEAMEVAQLIDQALDEKDDKMVLRCVSVADSRVQPNQCTTSESVPFFSCFSASWIYSKVVSLGVSFLERENRYNDAVLLLKRLLNCYTRDGRRGYWTLRLSIDLEHLGYPSESLSVAENGLLDPWVRAGSRMGLQRRILRLGKPPRRWKIPSFAESIKRKITEVRIQGRPLNHETGMKSRFYGESGEQCSVEQLALEYYSAEGGGWQGVHSESGIWLTIFGLLLWDVIFSDVPNVFRTKFQTAPLDFGTDSFYILRQNSIESQLQKIQDGMGEEILITSWESHKGTSCNGVNWDRHSLAELRAAVTCIGGPCMASLCRHLAQDYRSWSSGMPDLLLWRFNSEYSGEAKLVEVKGPKDRLSEQQRAWILLLMDCGFIIEVCKITPC >KGN50951 pep chromosome:ASM407v2:5:13690883:13694206:-1 gene:Csa_5G365670 transcript:KGN50951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHELGFRPSELSFFYRKCNFPFDLRENVRLPFTPQRKTIPEPPLRWGSGGRVVVTAKKSPIDGVSPELNKIASQKLDSAPARRRVRSAFMELQQQLDHCLFKMAPAGIKTQEWYECNSRGLNIFCKRWFPEPDVHIKGAVCFCHGYGDTCTFFFDGIARHIAASGYAVYAMDYPGFGLSEGLHGYIPNFDQLVDDVIEQYKKFKGRPELKGLPHFILGQSMGGAVTLKIHLKEPKLWDGVVLVAPMCKIADDVKPPEPVLKVLNLMSNVVPKAKLLPKIDLGELALRETKKRKLAVYNVISYDDRMRVKTAIELLKATDDIEKQVEKVSSPLLVLHGAADKVTDPKISRFLYEKASSKDKTLKLYEQGFHCILEGEPDERIFNVLNDIIHWLDSRCSST >KGN50373 pep chromosome:ASM407v2:5:6765073:6770073:1 gene:Csa_5G169090 transcript:KGN50373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNVRNDPILQMETTCGTLLHELQIIWDEVGESETTRDKMLLEVEQECLEVYRRKVDHANRFRAQLRQAIADSEAELAAICSAMGERPVHTRQADQKTGTLKEEMARVLPQVDEMRKRKVDRRNQFLEVLDQILKISYEIYGSKFSHSSVAIDENDLSLRKLEELQEQLHTLQKEKSDRQMVVQDHLHTLNLLCLVLGMDFKQTVNGFHPNLGDPDGTFSITNESIETLAAAITNLREVKLRRMQRLQDLATTLLELWHLMDTPMEEQQLFQNVTCNIAASEDEINEPNSLSVDAIDSVEAEVSRLEELKSSKMKELVLKKRSELDEICRKTHLVLEEDKIVEYAIETIDSGEVDPAIILEQIELQIARVKEEAFVRKEILERVEKWLTSCDEECWLEEYNRDENRYNAGRGAHLILKRAEKARALVNKLPGMVDALTSKTMAWEKERGIEFTYDGVSLLSMLEEYSVLRQEKEQERRRLRDQKKLQGQLIAEQEVLYGSKPSPSKPQSVKKAPRASAAGAANSRRLSLGGTMHQTPKATPQSRPSRKGDQLNDQNFQYDEGFGVPAGRRELDFSNQPASHNNSLANAREPELVIRKPFAPIASTVQPKTNTTNSIDNANTASSEPLQKTNPTSTVPFITTTPLKKISVATDEDQNMTPKAMSIPEPSTPSTLSVAMQTATTPAVAPPPPAFKAEEIPEDNVEYSFEERRAGFVLPKTHVKSIQV >KGN50081 pep chromosome:ASM407v2:5:4926388:4929739:1 gene:Csa_5G152960 transcript:KGN50081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKIVSIYSILICEPNFQSSSGGLESRTRARKQTKTFSLSRREREREAFSEPSLLPCHSLNRKRNVLKRSQPSCDFSILQFEFNSQSLFYESIEFSANRVESDIATSTNGFLVCLVDKKAEMGGCCCCSSKGTESNIAPGYYYYPRASEEHVPLSPLRTPREFSTGLLVDTNLDTSIPDTYRSPPLPMPYDVVLTSPLTPPVVQEISCYKNEAAAQTTNSNTIQETACINTRETSAKCEGVDESDCKKHTDFEVDALKESENELSKGVESAVLPIEEEDVCPICLEEYDGENPKLTTKCEHHFHLACILEWMERSDICPVCDQEMVFSSPID >KGN50528 pep chromosome:ASM407v2:5:7912539:7915554:1 gene:Csa_5G180870 transcript:KGN50528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSNKKLKQKLREKLAESLISSVAGRDTNFGVSGERDTESPRKSLKELLGTASGNGPRLSKREKRRESLVLTGSDGNKKEKKEDENQGLGEKKRKRNEGVKEKNAVDGLEEDNEKAKKLKNKKKQQQKKKMKKKKSNKKENNGEEEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKQGDVSGNDVKGQVEETHYNIGSEFDENLATKVYVGGIPYYSTEDDICSFFESCGTITEIDCMKFPESGKFRGIAILSFKTEAAAKRALACDGADMGGLFLKVQPYKGTRKNRAADFSPGLVEGYNRIYIGNLSWDVTEDDLKKLFVNCKIASIRFGMDKETGEFRGYAHVDFSDGISLKTALKLDQKIIHGRPVKIRCAVPKKGTENGGGGAVAGAETHPEMNPEPIPETKEAAVSVVSAVSGKIRRRTCYECGEKGHLSSNCPNKQLADSVTS >KGN51682 pep chromosome:ASM407v2:5:21258339:21260807:-1 gene:Csa_5G589990 transcript:KGN51682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCVLVRRDQKSDSKFKWSVGPRTIVNVNVSSTEPGNKPDVWVEKEIIGRLCSSPPPLSSHLPPSSPPFGKGDEIFFDSQAWLDSDNDDYFSVNGDNTPSCGSTPIWRPNTIEAPPTDPSSPKQTKKLLFELFQESFNRDHGRSITSTTISNRHNNIEVVIMETNNKKPISKSVKGNSAQSKPWCIPVPKLARSLSLGEKKKRLLSPCRGGGQVHH >KGN52385 pep chromosome:ASM407v2:5:25731623:25732375:1 gene:Csa_5G630870 transcript:KGN52385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLAHSPDLSSDENGFLHNDDLHDAVFATRGCCLWIPCLRSNSSQSWWERIRAADNDDEWWLKGWKRFREWSEIVAGPKWKTFIRQFHKNRNRQSTFRYDPLSYSLNFDEGPAHDDPFTDDFVRRDFSTRFAAIPASAKSSMDLGKDSPSFI >KGN50288 pep chromosome:ASM407v2:5:6307848:6308692:1 gene:Csa_5G165830 transcript:KGN50288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKQHLSDHGDDQLLASKILWRNILLPLQVRFLEITILKQNYLELLAVSF >KGN50390 pep chromosome:ASM407v2:5:6888449:6890607:1 gene:Csa_5G171720 transcript:KGN50390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQIRRLRSLFNNSLTWSFFFNRRFSSQPARLDGKIALITGAASGIGKATAEKFISNGAKVVIADIKEKLGQDTAKQLGPNATFIHCDVTKESNISDAVDFTISLHKKLDIMYNNAGIACNTPPSISDLDLAVFDKVMNVNVRGVLAGVKHASRVMIPQRSGSILCTASVTGLMGGLAQHTYSVSKVAVIGIVKSLASELCRYGIRVNCISPFPIPTPFVIEEMVQLFPRVEEAKLEKMIFDLSALEGTVCETNDIANAALFLASDDAKYVSGHNLVVDGAFTCFKSLNFPLPDQNTIHGES >KGN50911 pep chromosome:ASM407v2:5:13039489:13039818:-1 gene:Csa_5G321490 transcript:KGN50911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVFSVGDGISRVYGLKEIQAGEMVEFSSGVKGIALNLENENVGIIIFGSDTAIKEGDLVKRTRSIVDVLRERLCLGVWSTPWKYLLMEEGSKRSSAKTCRSESPWDY >KGN52614 pep chromosome:ASM407v2:5:27340444:27341649:1 gene:Csa_5G647370 transcript:KGN52614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSTSASEISSSKLPWKHRLLLRIGSTITDACCRSDFTVNRWFTGILDWKIPPSTKPIDGVSSFDLTIDTSRNLWVRIFNPVIDGEDSDIQSLPLIFYFHGGGFAFSYADSALSHTSAHRFAKQLPAVVISVNYRLAPEFRYPCQYDDGFDALKFIDEVGEEILPAKADLTRCFILGESAGGNLGHHVAVRASEYTLKKVKMVGFIASQPFFGGEERTESEIRLSNQRPLSLRLSDWFWKAFLPEGEDRDHGAANVFGPKGRDVTEVMKFPATLVMVGELDLLQDGQRRYYEGLKRMGKEVKMVEFENAIHGFFAFWDLPQYSSMMKEMKDFIATHIQNSIVSASSSSTNK >KGN52381 pep chromosome:ASM407v2:5:25707068:25710660:1 gene:Csa_5G630840 transcript:KGN52381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTTLFWGRPEKRDSWRTIFTLAYQSLGVVYGDLSTSPLYVYKSTFAENLQHSETNEEVYGVLCFVFWTLTLIPLLKYVFIVLRADDNGEGGTFALYSLLCRHARLSSLPNHQLADEELSAYTIDRPQTENTSNFSFSSCLKSTLEKCKVLQKMLLVLALIGTCMVIGDGVLTPAISVFSAVSGLELSMAKEHHQYIEVPLACGILVFLFALQHYGTHQVGFLFAPVVIVWLLCISAIGLYNIFYWNPLVYKALSPYYMYKFLKKTKKQGWMALGGILLCITGSEAMFADLGHFSQFSIKLAFTFAVYPSLVLAYMGQAAYLSKHHNLDNEYRIGFYVSVPEKVRWPVLAIAILAAVVGSQAIITGTFSIIKQCSALGCFPRVKIIHTSSKIHGQIYIPLINWILMILCLAVTIGFRDTKRLGNAAGLAVITVMLVSTCLMSLVIVLCWHKSVLLAIAFILFFGSIEALYFSASLIKFFEGAWVPIALSMTFLVVMYVWHYGTVKKYEADVENKVPINWLLGIGPKIGIVRVRGIGLVHTELVSGIPAIFSHFVTNLPAFHQILVFLCIKSVTVPHVRPEERFLVGRVGPKEYRLYRCIARYGYRDMHKDDLEFERDLACSIAEFIRSERTESNNFRHEDLDDSERMTVIGTSSTQLDGIQMCENETYASPIIGTSDIIKSEALRKRVRFVLPESPKMDIGTRGELEELMEAREAGIAFIMGHSYVKAKKGSGWMKKLVINYGYDFLRKNSRGPSYALSIPYASTLEVGMVYYV >KGN50694 pep chromosome:ASM407v2:5:9548497:9549129:1 gene:Csa_5G215140 transcript:KGN50694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGNSPCASCKLLRRRCAKDCIFAPYFPSDDPHKFAIVHKVFGASNVSKMLQELPVQQRGDAVMSLVYEASARVRDPVYGCVGAISYLQNQVSQLQMQLAVAQAEILCIQMQQDHPVFPNCPQIHSDDKPSSLLLPPPSPPPSQCLNFAASSPNVIYDTIFGHDIVS >KGN52103 pep chromosome:ASM407v2:5:23734154:23736744:-1 gene:Csa_5G610370 transcript:KGN52103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDIKFRFALFFVLSHIVALSLAWNDTRYVHPICTPFECGNLGLIGFPFNNMSLTDCGFYTVKNCSGQPKIQLNRGKELWFDVTTISQANVIHINDQELRKRINARNCTILDDLALPISSLSSLSTDNNLTMYYCTEKPKDALPLFISSFNCPDYYTYLNTSASPNCLTSKSKFVVPVRPVGPNNSVLEFTSNFQLQVTISVSCQGCFHRGGRCSDTQGYFVCEGENTKSRKAKPDIWGIALGSFTTVISIIIIFIIIYNKTKGMSNKNKINEVIGRYSTHTPKRYTHSKLKKITNSFKNKLGQGGFSSVYKGKLPDGREVAVKLLNECTENDEDFMNEVVSITRTSHVNIVKLFGFCYEQGKRALVYEYMSKGSLDKYIFQRGQQKENEQVLDWKMLNSIVMGVARGLEYLHRGCNTRIFHFDIKPHNILLDDDFCPKISDFGLAKQCKARESHVSMTGAKGTAGFMAPEVMYRNFGKVSHKSDVYSFGMLVLEMVGERKRPDELRVGEISEEEYFPDWIYKDLEKSGIDRAHWWGRTEEEQEMARKMIIVGLCCIQTLPEDRPSISDVVEMLEGSVDNLQIPAKPTLFGPPAIFLHPPPSSSSSSFRATCSDYTQVFSFPSLLSVPYPSFSAIKTNNLQEICFTQNVTLGE >KGN51912 pep chromosome:ASM407v2:5:22683420:22683946:1 gene:Csa_5G605060 transcript:KGN51912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPSQNSSRPSLRKSQTSLILLGALPILKSIGEERERAVEELSKLTRVFEDGMKKDFPGKFPFYHGKSLGLLDVVVGPNVCNYLALREVVGDVIHSKRNPDFCSWVDALKEHPLIKETVPPQHKMVQKLKEKIVLKPKDNHMNIL >KGN50638 pep chromosome:ASM407v2:5:8837780:8839552:-1 gene:Csa_5G198770 transcript:KGN50638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKEEDVRLGANKFNERQPIGTAAQSQDDAKDYKEPPPAPLFEPEELTSWSFYRAGIAEFFATFLFLYITVLTVMGVVRSKSIEGNTCKTVGIQGIAWAFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRAVFYIVMQCLGAICGAGVVKGFQPKPYDALGGGANMVAKGYTKGDGLGAEIVGTFILVYTVFSATDAKRSARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIYNHDEAWDDHWIFWVGPFIGAALAALYHQVVIRAIPFKSK >KGN50938 pep chromosome:ASM407v2:5:13488734:13489920:1 gene:Csa_5G352090 transcript:KGN50938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASDGVNNNNGAIIDPSHQQHRHQIQGNQSSNNAATPLPVKKPPSKDRHSKVDGRGRRIRMPIVCAARVFQLTRELGHKSDGQTIEWLLRQAEPSIIAATGTGTTPASFSTISASLRTPPAASLSDHKPLLPPAPFILGKRVRTDDDGANKDDTGGAGAGISVGPSIGSIMGPAVAGGYWAIPARSDFGQVWSFAAAAAAAAAPEMVIQPTAVSHQASLFVQQQSMGEASAAKVGNYLPGHLNLLASLSGGPGSSGRRDNDHR >KGN52684 pep chromosome:ASM407v2:5:27757451:27757860:-1 gene:Csa_5G650490 transcript:KGN52684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERGKGKGERGILKLNVIWQKGERERVEVGSDEMKTEKECEQLRRRRRFCKEYNRKRLLNPSIDSEEEEEKNFWGLVSSLCVQCRKTCIPVLSCSKFKSSRFN >KGN50307 pep chromosome:ASM407v2:5:6412664:6416758:1 gene:Csa_5G167000 transcript:KGN50307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVPLLDETVEGAVGYNGNPVCRSNSGGWRSASLIIGVEVAERFAYYGVSSNLINFLTDQLQQSTVTAAKNVNAWSGTASLLPLLGAFLADSFLGRYWTIVLSSALYILGLGLLTMSALIPSPSTSACQQTEKSLPCSPNLFQVILFFFSLYLVGLAQGGHKPCVQAFGADQFDGQHPKESRSKSSFFNWWYFGISLATFATVNILNYVQDNLSWSLGFGIPCIAMVLALVVFLLGTRTYRFSNRGDEENPFVRIGRVFVTAVKNWRVNSSEMAHEEEIRGLLPHHSSKQLRGTPRKCRLKGVGATQAHDSDETNRPLSPMNAAVRLPFLGCLSTFAAFGLKSVCPNLENDRERRTRTYSITNQTTFDAVWLLSVNLE >KGN50572 pep chromosome:ASM407v2:5:8281543:8281776:-1 gene:Csa_5G182765 transcript:KGN50572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKVRNGGLWCCGGGLFAFKPLLCFLAVIFFFFFILTIIPNPKNFNPSLALAPFQYLCRYSQTVTSNPTLPPFSSKS >KGN49587 pep chromosome:ASM407v2:5:504371:506129:-1 gene:Csa_5G013260 transcript:KGN49587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLFRLLQTTSENFWHICRSEQTLNSMFRQWNIFAPNNTKKNGVRFRSWNISGNLCTGIAKDNSNIEDSTESPLIKCDCSYNNKSTCHITHLKVSGLDIAGVIPPELWTLTSLTYLNLEKNLLSGTLSPSVGNLTQLHTLRIQINKLSGKLPKELGHLANLRFL >KGN50807 pep chromosome:ASM407v2:5:11201129:11209565:-1 gene:Csa_5G266850 transcript:KGN50807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMWLSFIRKNVYAAWRGAKTRLNVGVISFYAAQVSEIQSRLAHKYEKSHNFTVKVKSVDGFQGGEEDVIILTTVRSNRRKNIGFISSSQRINVALTRARHCLWIVGDATTLGNSNSEWEAVVSDAKDRQCYFNAAEDKDFADAIIEVKKVLLELDDLLNKDSVLFTMAQWKVLLSDSFRASFQNVVSINQKKLIIVLLLRLSCGWRPGTDYVPNLKCSNIIKCFKAEGLFIIYSLYIEKDLKYKQILKIWDIKPLTDVKVLVECLSDIHELYTDDFLNLCKAKSHKGDLELPITWSASPDIVVYKDYMKAELNAILSLQGDSDDTQDITLKKKLLQMRFQSLSYQKAKHLLSGRDSKELDLPCQVEDVELEIILVPTNAFIMGRPGSGKTAAMTVKLFMREQQQYIHPTGCSLVTRENAEVCYRNEGGEECKKTERTVLRQLFITVTLKQCLYVKERLAYLKRISNGGNVFKETQNLCKADVLDMNDVQDLLDVPNSFDGIPANSFPLVITFRKFLIMLDRTVGDSYFIRFQKQWRLSGGKPKDSLSRAAYNFIVSKEVTVKNFASSYWSYFDSCLTYKLDAVVVFNEIISQIKGGLGAKDALDGKLSKLDYTRLSKGQSTLSRKQRERIYDIFLDYEKMKNAKGEYDLADLVIDLHRRLKVFRYTGDHMDFVYVDEVQALTMMQITLLKYLCKNVNSGFVFSSNTTQTIAKGIDFRFQDIRFLFYKEFISGVKTDEKGIDAGLIKIPDILHINQNCRTQPKILQLANSVTDLLFRFFPRCIDIVCPETSEMSSTDFETPVLLESRKGQNMMMVLFEEGRNIPADARGYGAKQVILVRDECARDEISSLVGNQAIIVTIMECQCMEFQDVLLYKFFNSSPLGNQWRVIYQYMIEQDMLEIAPGGSPSFNQPVQLDLCWELKLLHIALTRSRRRLWIYEDNQEFSNPIVDYWKKLCYVQVKTLDYSIVQTMKVPSTKEEWSSLGLEFFSEGVYGAASLCFERAEDRRRSEWARAASFCATANPQISRNALREAAEIYISLDRAEIAAKCYIELKEYKTAAYTYLTKCGEARLEDAGDCYMLAKCYKLAAVAYSMGRCFLKFFDVCTAANLFDTGLQGICSWRKYDNVDLIKKCKHIKEAWHLFLWKGALHYHQLQNFGSMMRFVESFDSIDEKYLFLGTLGLSENKMLQEEELTISENEGFHSPGLHLQPKLVSVSVHKETSQNDTKTKGKMKVANNISTAKGSSRGSKFQPKLKSVWKETTFQNDTKSKERMKVADDMFSLGLQFQSKLEFKTVAQIDTTIRGKMKVAENMSTTKGSSQGLKFQSKIKSVWKETTSQYNTMTKEVELADNLSTAEEPLQGLQFQCKLEFETISQNDTTTRDSMEVSEDMSIVNGSSKELKFQPKLKSIWKETQSRNGTKTTDKMKLANSISMSIANESSQGLQFKSKLKTKTVSKNDTEKKDKIQVAEIMSTSEWSSHGLQFQSNQESLCMEKTSQNDSKIEDNLTVAPFISSPKDTSYKLQFKPKSVYAKEVIAAQNDLKMEKDEVNIVNKAEASQRLQQQCNQKVRNAHKETTSSIDSKAKKDKMKNSVNLSEFGDSSQQLQQLQIEQKKLKNKNVDGEKGKQKVTDHKFIAKQYWRKVTENGMKFNFQK >KGN49951 pep chromosome:ASM407v2:5:4021337:4039350:-1 gene:Csa_5G146250 transcript:KGN49951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPSSSSSSSVRSWRTAFLTLRDESISSSTSISQLLYDTIFSHSDSLIAAARYLPPPEVSSDLLFLLELATSAADSVQDIALIFADIIHLIHGISYQVSLEFSSSSWNLLLRYFGDVTQILLGKLNFPENYALIRPVLESLEIVRHVVSIQQRKFLPAEDIQLSKFLLSVIADSQSAILPLSNSIIRHGCTAEVVKSVPKCNSLWDVQAVAFDLLSQAITSLGSYFPVDVWKSTIQVIRKLMDFLASTNVLVEDKMMSRYYLSLLRCLHLVIAEPKCSLSDHVSAFVAALRMFFAYGFSNRPLLACSVGNQGKEPSLTSTKSSLEEPKKDNYSPYRPPHMRRRENLTKKQASVQNAQSSMAVEYLNCDSISSDSDHDSDGPGRDADIIQNGKVRVAAILCIQDLCQADPKAFTSQWTLLLPTRDVLLPRKFDATLMTCLLFDPSLKVQIASAAALVVMLDRTTSISLQIAEYRDPAKCGSFMPLSISLGQILMQLHTGVLYLIQRSTHGRLLTILFKILLHLISSTPYPRMPEELLPNMVKALQATIEEGFSFRSDQTDLLAAAIGCLNVALSTSQSSPYVKEMLSKQISTAQKGNSVLVILLQYSEQLTNPTICIEALQALKAVSHNYPHIMFAFWEQVSSVVSNFLHEAAPEVSTGQWRVQSRNSVGIIGEKVITAAVKVLDECLRAISGFKGTEDLLDDNLLDSPFTLDCIRMKKVSSAPSYELKNLDETIDSPEDVCAGMKQWCEVIEKHLPRSLVHSSAMVRAASVTCFAGITSSVFSSLSKEKEDYILSSVVNAAVHDEVPSVRSAACRAIGVVSCFPQVSQSAEILDKFIHAVEINTRDSLVSVRVTASWALANICESIRRFFEDSPSRQPTDSVEPSHILTLLIESSLRLANDGDKIKSNAVRALGNLSRLIKFSCLLSPCERPRSNSGLSSVANNSEDLFSKDDSKVNLGCTSKNLNDTNSFYSSSFLERIVQAFISGITTGNVKVQWNVCHALSNLFLNETLRLQDLDRVSSLFNILLLLLRDSSNFKVRIQAAAALSVPSSVYGYGKSFPDVVQGLEHTIENLESNHILAPSFKYKVALEKQLISTMLHVLSLAASTDHQPLKDFLVKKATFLEEWFKALCSSVGERSNWRGDDENNSTNNQKREMILKALRSLIEVYTSSNQSAISQRFENLVKGIH >KGN51707 pep chromosome:ASM407v2:5:21401013:21402356:1 gene:Csa_5G591720 transcript:KGN51707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQAVSNLTRFVCFTPTKASNDPKKFVRKSSVKAVRGDGRENLDHLQRTIEKEKQTAQPPKKRVAPVAPIGLWDRFPTARTVQQMMETMERIMDDPFAYSGAWPSPPFTSDGAGYSRGRTPWEIKEGENEYKMRFDMPGMTKDDVKVWVEEKMLVVKAEKAEKVKKASEENGKVEEEEGDWSAKSYGRYSSRIALPENVQFEQIKAEVKDGVLYITIPKAMATSKIVDINVQ >KGN52690 pep chromosome:ASM407v2:5:27779253:27779903:-1 gene:Csa_5G650550 transcript:KGN52690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKTFISLPLLSFLLLCLFSIAHSQDSEDQSKTCPVKFASTGTCSGPFGVSECYHEAMAKYGNIPPKGCECIPDGANSRFCLCNIIC >KGN49605 pep chromosome:ASM407v2:5:773648:776064:1 gene:Csa_5G021870 transcript:KGN49605 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartate-semialdehyde dehydrogenase MAALSSSSSPSSFFFSTSSPHPKPTRFSTLVRMAYQENAPSLAVVGVTGAVGQEFLSVLSDRDFPYRSIKMLASKRSAGKHVRFHGEDHVVEELTADSFDGVDIALFSAGGSISKHFGPLAVHKGTIVVDNSSAFRMDGNVPLVIPEVNPEAMKGIKVGNGKGALIANPNCSTIICLMAVTPLHRHAKVLRMVVSTYQAASGAGAAAMEELVQQTREVLEGKPPTCNIFRDQYAFNLFSHNASVLSNGYNEEEMKLVKETRKIWSDANVKVTATCIRVPVMRAHAESINLQFENPLDENTAREILKNAPGVVIIDDRKANQFPTPLKVSNKDDIAVGRIRQDVSLDGNKGLDIFICGDQIRKGAALNAVQIAELLL >KGN52688 pep chromosome:ASM407v2:5:27771677:27774919:-1 gene:Csa_5G650530 transcript:KGN52688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVKVWQRNRAHRFSLLPHSTPPSLILSLSNWANTKKSFNNQLRGIALRWRFQLLADISKHQLSTKHHFVHILEGNESLTSTSNQNGDPPHSIVMANKKIMDTDLEQKRQNIKIQNPREVRKIRNAFQLEEKLQSALNGLRIYKKLFALASSHQPPARTTSFIVLVPLVIFCARCIIGASYARAFGTLKLKAIDKQEGERRKFRSGHWRSALRDIRELDGLDCEAPIDSTSPSEDEQISVEELSHAYKKLDQDYEKFLSECGLSKWGYWRGGTQRPEQE >KGN51932 pep chromosome:ASM407v2:5:22750300:22754135:1 gene:Csa_5G605750 transcript:KGN51932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSPTGKPNLSCEKRSFEEVIARFAQQTPQERTKRKMESIDSLRKTFKKLDHDVNIDDLLGTSSQTIEDLTGQAKLLRTQLSEVHQRLSCWRNPDKINNVDHLSQMEDSMRETLNQVRLHKDNLQKHPPVPLEFTNQDGMHLTFDMSVEQQIQQLQHFSWIPNDSQNIVLHDDPNFVLHRDAECSASSSFTSYPGYFGTGRSPEISNSGQENGVLPELSRTEALRPQLGGQNSYMSYNVNFFNDPTFQPAAEMNLPINPVDYHVNGNLDTTQHNWASSSGPCAVSLLDDRLFP >KGN52727 pep chromosome:ASM407v2:5:28008528:28012168:-1 gene:Csa_5G652810 transcript:KGN52727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKQEEGKTAKTNMVEHKISWTAWFQRLYRKGEEVGEEVAAHVKCHEMVPMSCKGRGVFEGWGNARFLAWAYFEMGKNQAYKAMQKARLGSSSSTGPAEIEDGMVDGSFHSPAWHAARLASLNTSHTITWEEYKRKQKEDELKRGELEADTDRMMKEYRAQLDAERARKLAHGRNRSSSKIGHEKDRKNRELKKRSKKKRKHSKRKLSECSSSSSSSDSSSSDEEERESRRSKSKSKRSKREKKHRSRTKHSSSDNEEETDGPVPLSRFFEKVKN >KGN51022 pep chromosome:ASM407v2:5:14724971:14725915:1 gene:Csa_5G409650 transcript:KGN51022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYFSGGQPNLFTKLKIIVSSFFNFLLCSSTFRFPIQKHNRIPPLLLSFSISGGLKSLISSKQQFTEDPVIRSVGLAIIRSNLLTHSSSSSSSASSSSSSSSSFCFSSIRSCNFSMDDLIGTESGVCLTSNSEEMETHSDFDCPYYRTDRHHFILQNQRCVLKKQFPPPIPFIATQAAGNRPRSPWVLTRYYSNRRLILKLERVTQHQSLESRRENGRLILNLVPIDERDQDHFQHLIEEKEGNEEIVESIDCDGGEDEGTDSEISIRSYTYGGEGGGGGGGMTFCGANGNFEERHVVHGHFGSAPLRPMGTVM >KGN51587 pep chromosome:ASM407v2:5:20632041:20636788:-1 gene:Csa_5G582200 transcript:KGN51587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERTERQRPNHPPNRSFAPSESSGSSTSTSRRNYSNRSRNSDYNYAKYNNTNSNRNFEHDSSDWRGKRSSAGKMYIQKLETKDDSDSSHFDLPPVIVGTCPFMCPEAERAQRERLRDLAIFERLHGNPGKTSPGLAVKKFCRTMSAKNDQALDVRPLPVLENTLKYVLSFLDSKEHPFEVIHDFVFDRTRSIRQDLSIQNIVNEKAVNMYEEMVRFHTISHQKLLNGDSSSNASSMHHLNMQQLSKTLITLLNLYEVNRSNGAIFENEAEFHSFYVLLHLGSNSQTTGESLTLWFRTLRSPVIKSKEMCFARRILRYFRMCNYKGFLCTIGAEASNLQYCILEPYVNEVRALALSFINNGGYKLNPYPLVDLSMLLMMEESEVESFCQACGLATCGDELGNKSLPTKQTTFSSPKGVFQRYNFLKKI >KGN52530 pep chromosome:ASM407v2:5:26746640:26751632:-1 gene:Csa_5G642120 transcript:KGN52530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAVCCCLSVEDFEDYVNPNSSVYRNCTCLSCFIQSFLNAYTLIFRRGEVHSVSSSIQGATSMNSTASTDNSLSEMYRSPPRPLPYDADPRYIRLQRDGLISRREKGSSHLHEESEPLRSEVDTDSDSLGSGGKRNGSACLEESKENLGKSSLKFPSTKSTSGLGYAYTSSEDEDVCPTCLEEYTSENPKIVTKCSHHFHLGCIYEWMERSDNCPVCGKAMAFDETT >KGN49889 pep chromosome:ASM407v2:5:3683819:3685497:-1 gene:Csa_5G139670 transcript:KGN49889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKETASAINGGNSTIKIVIEETPFISHGPSSCSSGVFDHFLIFINQCNAIDWECKKNFFVSSCVDGDCYFWILRKLLGSLKCN >KGN51848 pep chromosome:ASM407v2:5:22278481:22280189:-1 gene:Csa_5G603940 transcript:KGN51848 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase, CAZy family GT8 MASQVLHSPLRLPFFAFSLLLLLLLPFSSAIRTFRYPPAGFADFSRFSEAPEYRNGADCPSSSSAADTASSCDPSLVHIVMTLDSEYVRGSVAAIHSVLKHASCPENVFFHFIAAEFDQATPRELTKLVRSTFPSLNFKVYIFREDTVINLISSSIRLALENPLNYARNYLGDILDSCVDRVIYLDSDVVVVDDIHKLWNIKLTDSRVIGAPEYCHANFTNYFTEKFWSDPVLSRVFSSRKPCYFNTGVMVMDLSRWRLGNYKKKIESWMELQKRTRIYDLGSLPPFLLVFAGNVEPIDHRWNQHGLGGDNVKDSCRTLHPGPVSLLHWSGKGKPWVRLDDNKPCLLDHLWKPYDLYRATDSTSPAPSSYSSTLISYLSY >KGN51361 pep chromosome:ASM407v2:5:18457135:18457653:-1 gene:Csa_5G523070 transcript:KGN51361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSKKSQIDTGFEPEPKKWVIAGLSARSSLKPINTKSKTKEGEDDGDKTPTGKEARIPEKLGCPPAPRKRRSLKSSSNQNHMREFFNPPDLESVFKLRV >KGN50093 pep chromosome:ASM407v2:5:5008128:5011959:1 gene:Csa_5G153080 transcript:KGN50093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPKEVKSDLVLILDFGSQYTHLITRRIRSLSVFSLCISGTSSLKAITDLNPQVVILSGGPHSVHACDSPSFASGFVEWAEANGVYVLGICYGLQLIVQRLGGVVEVGEKQEYGRMEIEVEKANGLYGTKRVGDRQVVWMSHGDEVAKLPQGFEVVARSQQGAVAAVENHSKRFYGLQYHPEVTHSPEGMETLRYFLFDVCGITANWKMENLLDEEINIIKETVGPDDHVICALSGGVDSTVAATLVHKAIGDRLHCVFVDNGLLRYKERERVMETFERDLHLPVTCVDAVDQFLSKLKGVTDPEVKRKIIGKEFISIFDSFGHDLELKIGKKPSYLVQGTLYPDVIESCPPPGSGKTHSHTIKSHHNVGGLPKDMKLKLIEPLKLLFKDEVRQLGKILNVPNAFLKRHPFPGPGLAVRVLGDVTEGNALEVLRQVDEIFIQSIKDAGLYDSIWQAFAVFLPIKSVGVQGDQRTHSHVVALRAVTSQDGMTADWFNFEHQFLDNVSRKICNSVRGVNRVVQDITSKPPSTIEWE >KGN50545 pep chromosome:ASM407v2:5:8051821:8052902:-1 gene:Csa_5G182010 transcript:KGN50545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQIMFETFNTPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDNLMKILTERGYSFTTTAEREIVRDMKEKLAYIALDYEQEMETSKTSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEISALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >KGN52426 pep chromosome:ASM407v2:5:25988612:25992807:-1 gene:Csa_5G633240 transcript:KGN52426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSSLPVLSSLSASANISDVTSFSLNSPLSNICSKPSAHPSSSIIVCSYKSGNHTVTERKKKLLEQYGLDPNEFLSEPSTNRRREMRKTGKGKQIFPEDPKPQRQTHKLLQVLGGSARRMKLLSPKGLDVRPMMEVVKGAAFDILQAAGGCPASLRPGRWLDLYSGTGSVGIEAMSRGCSEVHFVEMDPWVVSDVLRPNLESTGFFDVSVIHTIRVENFIERADQLIGTERPFDYISVTPPYTQVDYGVLMGQLSKSALVGEDTFIVVEYPLRTDMLESCGCLTKITDRRFGRTHLAIYGPKWAEKKKKEKKLN >KGN50975 pep chromosome:ASM407v2:5:14115168:14115419:-1 gene:Csa_5G381810 transcript:KGN50975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGARLTARRRKGLAHSLGGRGARLTARRQKEIDSTKGCGWMTWSERRETKACWFMYASGRERHDLSITKPKTSSFKNDNHLI >KGN50014 pep chromosome:ASM407v2:5:4474184:4479678:-1 gene:Csa_5G149340 transcript:KGN50014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLKVATCNLNQWAMDFDCNVKHIKESIDEAKRAGAVIRLGPELEISGYGCEDHFLELDTVTHAWECLKDILLGPWTDGILCSIGMPVIKDSERYNCQIMCYNRKIIMIRPKMWLANDGNYRELRWFTAWKLKDKLVDFQLPKDVAEALSQTSVPFGYGYIQFQDTAVAAEVCEELFTPIPPHAELALNGVEVFMNASGSHHQLRKLDVRLRAFIGATHTRGGVYMYSNHQGCDGGRLYYDGCACVVVNGDLVAQGSQFSLKDVEVVVAHVDLDAVASLRGSISSFQEQASYKTKVPSVAAPYSLCQSFNLKISLSSPLEIKYHCAEEEIAFGPGCWLWDYLRRSGASGFLLPLSGGADSSSVAAIVGCMCQLVVKEIANGDEQVKADAIRIGHYADGELPTDSREFARRIFYTVFMGSENSSEETRTRAKVLAHEIGSWHLDVSIDGIVSALLSLFQTLTGKRPRYKVDGGSNIENLGLQNIQARIRMVLAFMFASLLPWVHSKPGFYLVLGSSNVDEGLRGYLTKYDCSSADINPIGSISKMDLRAFLRWASTHLSYSSLADIEAAPPTAELEPIRSNYSQLDEVDMGMTYEELSVYGRMRKIFRCGPVSMFKNLCYRWGAKLTPSEVAEKVKHFFKYYSINRHKMTVLTPSYHAESYSPEDNRFDLRQFLYNSRWPYQFRKIDKLVEELNGDGIAIKESSGMGVVAAGSGNPKVGL >KGN50810 pep chromosome:ASM407v2:5:11229989:11230811:-1 gene:Csa_5G266880 transcript:KGN50810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSITGKVEAHIEMKAAASKLHEMIVKRPHHISNASGDKIQGCRLHQGEWGKVGSIVYWDYFHDGEAKVGKHVIEAVDEEKNMVVYKVLEADLLKNYKDFRFIMQFTPKGEGSIAHCTLEYEKLHGKVPDSHSMLKLCEEVCKDLDAHLMEGN >KGN51352 pep chromosome:ASM407v2:5:18385604:18389806:-1 gene:Csa_5G522980 transcript:KGN51352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAATRRFCSFSRVSNLLLSNRYNSVILSTDCNSEAVSCNVGHRSIHGEYVLPSTSKVVGPFNGLVRCMSTSKGRSMRSKVERRMRKESGKTLREIRRAKKIKKKLMTEEERLLYNLKRAKKKVALLLQQLKKYELPELPPPRHDPELLTPEQLQAYKKIGFRNRNYVPVGVRGVFGGVVQNMHLHWKFHETVQVCCDNFPKEKIKEMATMLARLSGGIVVNIHDVKTIIMFRGRNYRQPKNLIPINTLTKRKALFKARFEQALDSQKLNIKKIEQELRRKGINPEDPVAMASIQRVASTFFNAIDKKEGTPYVFYSDKQAVAETKTKTNMEEAESDSDSDQEELDRFIAEIEDAADRDWVAEEAAEKEELSQLRYRNREEHGGRFRKSDMRTNNDSDDEMDKPRVWRQRDSKQRLYNSEEEDGDHENEEEWDSDDGQNANNSYTDDSDGAHQIVKATRRAGGRHDLAKTRSFERNVDPFARRMDKEASEPENMLSDLENTMWQSDEEEEDDMKISKNVDQDYKDNEDHLHHMKKDRNNRHNDYDSSIDEPDESYNKFKHTYTKQKQDRMSKSKSRYNSVSKDTDSGKAMWDSDDEDEKGTLRPQRYDYWSGSDEDGSH >KGN50541 pep chromosome:ASM407v2:5:8027687:8029772:1 gene:Csa_5G181480 transcript:KGN50541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAALRRLSAALFLLLQISTTAVFAIGVNYGTLGNNLRPPAEVANFLKTKTKIDRVKIFDTNPDILRAFAGSGILVTVTVGNGLIPNLADLAFAREWVAAHIAPFHPQTRIHYIAVGNEIIHSNDKPLIARLVPAMRSLHRALVLAGIRDVKVTSPHSLGILSISEPPSMGRFRRGYDRAIFGPMLQFLRETKAPFMVNPYPYFGYSPKMANYVLFKPNRGIYDKYTKITYTNMFDSMMDAIYSAMKKLGYADVNIVLGETGWPTNCDYIACSPDNAATYNRNLVWHVNSGKGTPLMPNRKFETYLFGLFNENLKPGPTAERNWGLFQPDFTPVYSLPNIMLGGRGRGGRRPTPVAPSGKKWCVPRSDAAVDALQKNIDYVCSSNVDCRPIQAGGACFLPNDVRSHAAYVMNSYYQTSGRHDYNCDFSHTGVLTTVNPSK >KGN51039 pep chromosome:ASM407v2:5:15013163:15015669:1 gene:Csa_5G416260 transcript:KGN51039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPLRSQLLILLFGLSFSFLPFFSLSAIDTFVFGGCTQLRYSPNSGYESNLNSLLTSLVNSATYSSYNNYTIQGSSPQDALSGLYQCRGDLSMPDCATCIARAVTQLGGLCSDTCGGALQLEGCYVKYDNSSFLGVEDKTVVLKKCGPSVGYEEEAMGRRDAVLGALVGASGGYRVGGAGKVQGVAQCVGDLSGSECQDCLGEAIGRLKSDCGTADFGDMFLGKCYARYNTHGPPVFSKAHHDKSNGDGEKTFAIIIGLLAGVALVIIFLVFIRKVFERSGK >KGN51690 pep chromosome:ASM407v2:5:21310460:21319756:1 gene:Csa_5G590070 transcript:KGN51690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSPKELDKLGLHNAGFLAQKRLARGLRLNYTEAVALIATQILEFARNGDKSVAELMELGPKLLGRRQVLPAVPHLVDSVQVEGTFPDGTKLVTVHNPFEEENGNLELALEGSFLPVPSPEKFPLMESSVVPGEIICPNDKISINVGRKAVRLSVVNKGDRPIQVGSHYHFIEVNPSLVFDRSKAYGMRLNISAGSATRFEPGDPKSVTLVAIGGNQVIRGGNGIADGPVDSSKLKDVMEAVHARGFKHVEENNAREGIAGIDDEFTTRLSREDYANRYGPTTGDKVRLGDTDLYAEIEHDFSVYGDECVFGGGKVIREGMGQSCGHPPTLSLDTVITNAVIIDHSGIFKTDIGIKDGFIMTLGKAGNPDVMDGVFSDLIIGANTEVIAGEGLLVTAGAIDCHVHFICPQLAYEAISSGITTLVGGGTGPAAGTCATTCTPSPVQMRMMLQSTDDLPLNFGFTGKGNSSKPDELYGIVRAGAMGLKLHEDWGTTPAAIDNCLTVAEKYDIQVNIHTDTLNESGFVEHTIAAFKERTIHTYHSEGAGGGHAPDIIRVCGVKNVLPSSTNPTRPFTMNTVDEHLDMLMVCHHLDRNIKEDVAFAESRIRKETIAAEDILHDMGAISIISSDSQAMGRIGEVISRTWQTAHKMKLARPSSSDNDNLRIKRYVSKYTINPAIANGFSQYVGSVEVGKFADLVLWKPAFFGAKPEMVIKGGIIAWANMGDPNASIPTPEPVLMRPMFGAFGKAGSANSIAFVSKEAVNIGIKAMYGLEKRVEAVGNVRKLTKLDMRWNDALPLIEVDPETYTVKADGEVLTCQPATSVPLSRNYFLF >KGN50967 pep chromosome:ASM407v2:5:13950255:13952689:1 gene:Csa_5G375260 transcript:KGN50967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVNIIAKDLRHCATVRAFKRGNAIHAYLRKFGGLNDVFLANNLISMYAEFFNVRDAEKVFDEMTDRNIVTWTTMVSAFTDGGRPYEAIRLYNDMPKSETPNGYMYSAVLKACGFVGDLGLGKLIQERIYEDKLQADTILMNSLMDMFVKCGSLNDAVEVFHNISRATTTTWNIIVSGYSKAGLMVEAEKLFHCMPHPNVVSWNSMIAGFADNGSQRALEFVSMMHKRCIKLDDFTFPCALKISALHGLLFIGKQVHSYVTKLGYESSCFTLSALIDMYSNCNDLIEAVKLFDQHSSFNASISDNLALWNSMLSGYVINNCDQAALNLLSEIHCSGALLDSYTFGGALKVCINLLSRRVGLQLHGLIVTCGYELDYVVGSILVDLYAKLANIDDALAIFHRLPRKDIIAWSGLIMGCAQIGLNWLAFSMFKGMLELVNEIDHFVISTILKVCSNLASLRSGKQVHALCVKSGYEMEGFTITSLLDMYSKCGEIEDALTLFCCEQEKDIVSWTGIIVGCGQNGKAAEAVRFFHEMIRSGITPNEITFLGVLSACRYAGLVEEARSIFNSMKSVYGLEPHLEHYCCMVDLLASVGLPEEAEKLIANMPFEPNQTTWRTLLGACGTRNDTKLINRVADGLLEATPNDPSTYVTLSNAYASLGMWHTLSKAREASKKFGIKKAGLSWIEVSS >KGN50487 pep chromosome:ASM407v2:5:7630875:7632184:-1 gene:Csa_5G177070 transcript:KGN50487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYTKVEYHCRIGGFHRHVVHVETNARWRSAPKSKQLKMEDNMRNGISEYSNAKCWCQINGFPNESRVQFTTTKENTNDSAFLILLRLNSKEKGPARSLGNLCSSSTYSHQETQCLFVETLMVPALINEWF >KGN51166 pep chromosome:ASM407v2:5:16591968:16595140:-1 gene:Csa_5G470560 transcript:KGN51166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVVVDVKGKSKSAAVSSVVDLDPHPYDGVFEKGKFEVGVITKTTDIFSTSKPLLVFTPKTPGLYPAILFFHGFSCYGSFYTDFLTLIASHGYVIAAPQLYVMPTTSEMDEIKSAVDVIKWLSSGLDPLLPTNVKGDLSKLSLLGHSRGGKTAFSLALGWGSPSLPFSAIIGIDPVAGSKFFRPEPQILDPPSQPFKISLPITVVGTGLGPQKATPVTCACAPDGLNHIAFFKKCKPTCAHFVAVNYGHMDILDDNPPGMTGYFTNIACKNGKGPRDLMRKCCSGLVVASLKAYLDNDVSILNAIYDDPSIAPTELNPVEVIYKTPSA >KGN50020 pep chromosome:ASM407v2:5:4535234:4535917:-1 gene:Csa_5G150380 transcript:KGN50020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENTDNNALSILSREKLDQVAAWVAATMSSAFFSSLERFSCVHVATNELDDDDDDDDHLLLSTQPLSDQILLPDQHDGFVDLPV >KGN51425 pep chromosome:ASM407v2:5:19100549:19100887:-1 gene:Csa_5G537260 transcript:KGN51425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSITHGSMKNDNVKGSLELYGVEKYSGSIYPTEIEEWMHRVQKCFEIIGCDEDIKVIIVETMLIDDAKEWWFTLKEDLVEEAKQNWDVFQGMFGKEYFTKHYRKVRLREIKG >KGN49564 pep chromosome:ASM407v2:5:172221:177614:-1 gene:Csa_5G002590 transcript:KGN49564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDINLFREEKGNNPEIIRESQRRRFANVELVDEVIKLDKEWRQRQFELENLRKEFNKINKQIAQLRIAGEDASERIKDTEENKKLSAEKDVEAKDVLKKLNSKLEIIGNLVHDSVPVSNDEANNVVIRSWGEKLVKPKLKNHVDLVELLEIADSKRGSEIAGGRGYYLKGAGVRLNQALINYGLDFLEKRGYTELQTPFFMRKDIMARCAQLAQFDEELYKVTGEGDDKYLIATAEQPLCSYHLEDWIHPSQLPIRYAGYSSCFRKEAGSHGRDTLGIFRVHQFEKVEQFCITSPNDNDSWDMHEEMIKNSEEFYKTLNIPYQVVAIVSGALNDAAAKKYDLEAWFPASQTYRELVSCSNCTDYQSRRLEIRYGQKKSNEQTKQYVHLLNSTLTATERTLCCILENYQKEDGVEVPEVLRPYLGGKAFLPFKTKPTGSDSKGKKSKA >KGN51612 pep chromosome:ASM407v2:5:20825580:20831629:-1 gene:Csa_5G585390 transcript:KGN51612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDENRERLLKEEDYYYENCPGCKMEQYNQLHRGFPFRQLLMVSLIVLITGLPISSFFPFLYFMVRDFNIAENVEDIGFYAGFIGASFMVGRALTSVFWGIVADRYGRKPVILFGTFIVFTFNILFGLSLNYWMAIITRFLLGSLNGILGPIKAYASESVNEEYQSIAMSTISTSWGIALIVGPAIGGFLAQPVEKFPGIFSSEGLFGRFPYFLPCLCTSLLALITGIISLWLPESLHMHDKNVPSHSVSYEALEDRPGDCNGNESTLTNESKELPSKPSLFRNWELMSSIIVYCMFSLHDMAYVEIFSLWTVSSRTLGGLSFTSGDVGEVLAITGFSLLVFQSALYPYVERIFGPIMVSRVSGILSIPLLAMYPLLSLFSGAVLHIIVTLASILKNLLSVSIITGMFIIQNRAVDQHQRGAANGIALTGMSICKAIGPAAGGALLSWSQKRLNAAFLPGPHMLFFILNVIEAIAVVMTFRPFLVPRRN >KGN52183 pep chromosome:ASM407v2:5:24184037:24188726:1 gene:Csa_5G613610 transcript:KGN52183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIGWENGGQLVEVEMTKLYRIMQSEVVTIDIWIVLLEVTFRYFHLYPHPHLHLHHHRHLRRHVPLHLHLMKGAVEEGKRVQKVWEF >KGN51453 pep chromosome:ASM407v2:5:19445729:19449518:1 gene:Csa_5G550170 transcript:KGN51453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKPHFGFGVFLLLFPLFLHFLGFSVFAQPAAGGAGDGSVMNLLKNSVGAPSSLGWTGSDYCQWNHVKCDSQSRVVKIQIGNQNLKGSLPKELFSLSALVQLEVQSNQLGGPFPNLADSLQILLAHDNLFTSMPADFFAKKSALQTIDIDNNPFSAWQIPDNIRDASALQQLSANRVNITGIIPGIFDGATFPTLTNLHLAGNFLEGELPASLAGSSIQSLWLNGQQSSSKLNGSIAILQNMTNLQEVWLHMNQFSGPLPDFSNLQGLAKLSLRDNQLTGIVPSSLVNLKSLMVVNLTNNLLQGPTPAFDPNVQLDMKPQTNKFCLDSPGEPCDPRVNALLSVAESMGFPTAFAQGWAGNDPCQGFKGISCIGNPTNISVINLKNMGLAGSISPSFSLLTSVQKLFLSNNFLSGTIPNELATMPSLTELDVSNNRLHGKVPVFRKNVIVNTQGNPDIGKDNASPPVPGSPTGRSPSDGSGDSAGNDEKKSNAGVVVGAIIGVIVGLLVVGTVIFFLCKRKKRRGNRVQSPNTVVVHPSHSGDQNSVKITITEARSDGSAPETSRVPIAGPSDVHVVEAGNLVISIQVLRSVTNNFSPENILGKGGFGTVYKGELHDGTMIAVKRMESGVIGEKGLNEFKAEIAVLTKVRHRNLVALLGYCLDGNERLLVYEYMPQGTFSRFLFNWKEEGIRPLEWKRRLIVVLDVARGVEYLHSLAHQSFIHRDLKPSNILLGDDLRAKVADFGLVRLAPEGKASIETRLAGTFGYLAPEYAVTGRVTTKVDVYSFGVILMEMISGRKAIDESQPEESLHLVSWFRRMHINKDTFSKAIDPSIDIDEETLVSINTVADLAGHCCAREPYQRPDMGHAVNVLSSLVDVWKPTEPDSEENLGIDLELSLPQALRKWQAFEGNSNVDMSSSSSSFLASGDNTQTSIPNRPSGFANSFTSVDAR >KGN50846 pep chromosome:ASM407v2:5:11950264:11950538:-1 gene:Csa_5G288090 transcript:KGN50846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQNRKEKKFQEATLVDSFLADLDELSDEDKFRVCNGVLLIHVNEVIILLVVCE >KGN51017 pep chromosome:ASM407v2:5:14683105:14683290:1 gene:Csa_5G409600 transcript:KGN51017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYARTTTCCSVWCYSSEDSTRNIKRRQDGTSHTTLIGEDEMLDFKAEGCSRLRLHPRWLN >KGN50265 pep chromosome:ASM407v2:5:6185347:6187812:-1 gene:Csa_5G162630 transcript:KGN50265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAYKYVSELWRKKQSDVMRFLQRVRCWEYRQHPSIVRVNHPTRPDKARRLGYKAKQGYVVYRVRVRRGGRKRPVPKGIVYGKPTNQGVTQLKFQRSKRSVAEERAGRKLGGLRVLNSYWINEDSTYKYYEVILVDVAHNAVRNDPRINWICNPVHKRRELRGLTSAGKKYRGLRGKGHLHHKARPSRRATWKRNNTLSLRRYR >KGN50516 pep chromosome:ASM407v2:5:7850020:7855223:1 gene:Csa_5G180300 transcript:KGN50516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSEEDSGTSGSAAVQLSGSRKMFWRSASWSASRSSLHHPEVNREGGDPNGNLGDGNGPSRIFPAPLTPRSQQHCKARSCLPPLQPLSIARRSLDEWPKAGSDDIGEWPQPPTPSGRGNSERLKLDLSTIQRNPDKNCGLVKRDKIAFFDKECSKVAEHVYLGGDAVARDRDILKQNGITHVLNCVGFVCPEYFKDDFVYRTLWLQDSPSEDITSILYDVFDYFEDVREQNGRVFVHCCQGVSRSTSLVIAYLMWREGQSFDDAFQYVKAARGIADPNMGFACQLLQCQKRVHAFPLSPSSLLRMYRIAPHSPYDPLHLVPKMLNDPSPSALDSRGAFIIHIPSAIFVWLGKNCEAIMERDARGAVVQIVRYERVQGPIYVIKEGEEPTNFWDSFANLLPLMDKSNSKINLGELKAKPYPGERKVDSYDVDFEIFQKAITGGFVPPFPSSENEHETHLPVRESSWSVLRRKFASGNMKESVSAPRVSLSRVYSDSLMMVHFSAKSSSPSAFSLSSSSSSPIYLSPDSISSDSSSSSSSSSKYFSESSLDSPSASSPSVPVSSSLSSFSNMSLVSSNSSSEPMPNVPETRDTVPLESSSRSFSFPSKKFSPSLAERRGTAKSLTLPTMPSKIKATNSASRFLATQEEVKRKNKTSYPLNVSINMKNGLEPIDRIENEQTSSTQNFKNIENRMEIRVGSVTSCQQETKAAGQSTGSWKSYPKLFEKGMVSTVSNGKQDGEFVQPMVYCWPELEKIAAFDSSYLNSKAAVVIFSPSRYLGKKDDTMLYIWVGSSFDHDLSQVHVKRDKDLVDIEKIDWVKVGQYVLTEIDLPENTEIKIVKEGEETEEFLARLSLLKSRC >KGN52366 pep chromosome:ASM407v2:5:25475845:25481492:1 gene:Csa_5G630200 transcript:KGN52366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRRQPTSTRRNGSFPFAGALNAKSKASPLLSICLVLVGAILLLVYAFSGPGLFGGTKIVSKIEGDFSCTLELQRAIPILKKAFGDSMRKVLHVGPDTCSVVSKLLKEGETEAWGIEPYDIEDADGKCKSLVNKGIVRVADIKFPLPYRSKSFSHVIVSDALDYLSPKYLNKTLPEFARVSSDGLVIFTGSPGQQKAKVNELSKFGRPAKLRSSSWWIRFFVQTSLEEDEGSAKKFEQAASKQSYKPGCQVFHLNSYH >KGN49706 pep chromosome:ASM407v2:5:2325389:2327964:1 gene:Csa_5G077740 transcript:KGN49706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGKLLLEIKYRTFDEIEDDKRWWRVPFISEFLRSSGFVSALNKVVGSDTVPVRQFVEYAFGKLKSFNDEYQSNHLLLTKRNDEEDTSSNVQTNTEVSITDTNYPIEGKSDEVEISNNTVESGQSLKEVTQGLLAMQFDKQFWTNLADVTNQNIVKKLGLPAPEKLKWDGFELLNKIGMEARKSAEAGYIESGLATPKSLDVDHEQKNIRMVDSTLTDVKKVTRDLLSQTESVLGGLMVLTATISQLNKEAQLIGKKDTKDEGSKKFGEKVGGSGDGSLLDNRNSEEMKALFATAESAMEAWAMLAMSLGHPSFIKSEFEKLCFLDNESTDTQVAIWRDFMRRKLVVAFRGTEQSRWKDLRTDLMLVPAGLNPERISGDFNEEIQVHSGFLSAYDSVRMRIISLIKKAIYYK >KGN51650 pep chromosome:ASM407v2:5:21041803:21044549:-1 gene:Csa_5G587210 transcript:KGN51650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVGQPPSLKKRDASSTREEDQLIITPLGAGNEVGRSCVYMSYKSKIVLFDCGIHPAYSGMAALPYFDEIDPSTIDVLLITHFHLDHAASLPYFLEKTTFKGRVFMTYATKAIYKLLLSDFVKVSKVSVEDMLYDEQDINRSMDKIEVIDFHQTVEVNGIRFWCYTAGHVLGAAMFMVDIAGVRVLYTGDYSREEDRHLRAAEMPQFSPDVCIIESTYGVQLHQPRHIREKRFTDVVHSTISQGGRVLIPAFALGRAQELLLILDEYWANHPELHNIPIYYASPLAKRCLTVYETYTLSMNDRIQNAKSNPFRFKYISPLKSIEVFKDVGPSVVMASPGGLQSGLSRQLFEMWCSDKKNSCVLPGYVVEGTLAKTIINEPKEVTLMSGLMAPLNMQVHYISFSAHADFAQTSAFLEELMPPNIILVHGEANEMGRLKQKLMSQFADRNTKILTPKNCQSVEMYFNSQKMAKTIGKLAEKTPDVGETVSGLLVKKGFAYQIMAPEDLHIFSQLSTANINQRITIPYSNAFNVIVRRLKQVYESVES >KGN52123 pep chromosome:ASM407v2:5:23848603:23851584:-1 gene:Csa_5G611050 transcript:KGN52123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLCFCVRASKAIVTNAAIYPFCFKVRRLFPFSSFLHSCTLNNAIATLSETHYRDLIFDTIKEKPWAFCKNNWVSDQFGAVITDPHLFIRVLHSMRIRPRVALRFFRWVMAQPDFKESEFVFCAILDILVGNDLMHAAYWVMERVVSFEMHGVVDVLIAGHVCSKDSIKLLDILLLIYTKKSMVEECLLVFDKMIRNGLLPDVKNCNRILRVLRDENLLSKAKNVYGMMEQFGIKPTVVTYNTMLDSYCKEGRVDQALELLSEMQERGCYPNDVTYNVLVNGLSKKGELEQAKGLIEEMLNSGLNVSAYTYNPLINGFCQKGLFVEAFDLVEEMVNRRAFPTLSTYNTLMYGLCKWVQVTGVRLRFSDMLKSKFTPDIVSFNSLLYGYCRTGCISEAFLLFDELKCRDLVPTVITYNTLIHGLCMWGYLDAALRLKKEMTDQGLFPDIFTYTILVNGCFKLGYVSMARGFFNEMLSKGLKPDRFAYNTRIVGEMKIADTSVAFSMQEEMLAAGFPPDVITYNVFVHALCQQGNFEEACDLLENMVSDGLIPDHVTYTSIINGFVKNGHLRKAREVFNEMLSKGVAPSVVTYTVLIHAHAAKQMLDLAFMYFSKMLEKSVPANVITYNAIINGLCMTRRMDEAYKYFDEMEEKGILPNKFSYTILINESCNMGYWEEALRLYREMLDRKIQPDSFTHSVFLKNLHRDYQVHAVQCVESLIQNVEDNINVR >KGN51408 pep chromosome:ASM407v2:5:18833661:18834017:-1 gene:Csa_5G528450 transcript:KGN51408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEIVWRSLWVPKSPLTYRCGQLLFISLLGLWGGIAYSPLLVLHQTWSKQFASVIYGLEDWDFSYEADEMIVKVQEVIETWKVVRRLKSLRHCKGTTNQYDIWRADRNGFEFATIPQY >KGN51764 pep chromosome:ASM407v2:5:21791893:21801334:-1 gene:Csa_5G598720 transcript:KGN51764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELSQPLLSQSEENKLIDSPESGRKDTKVLFAPDADDIPPINTARDFYREFCIELKKLWYLAAPAVFTSICQYSFGAITQLFAGQVSTIALAAVSVENSVIAGFSFGIMLGMGSALETLCGQAYGAGQLGMMGVYLQRSWVILLTTAVVLTPIYIFSAPLLKLIGQTAEISEAAGVLSIWMIPQLYAYALNFPVSKFLQAQSKMMAMSVISAVALVFHTFFTWLFMLKLGWGLAGGAIVLNASWWVIDFAQIVYILSGSCGRAWSGFSWQAFHNLWGFVRLSLASAVMLCLEIWYFMALILFAGYLKNAEVSIDALSICTNILGWTVMVAFGINAAISVRVSNELGAAHPRTARFSLVVAVASSFVVGLILTAILIITKDDYPYLFSNDSAVRQIVKNLTPMLGFCIVVNNIQPVLSGVAVGAGWQAVVAYVNVGCYYLFGIPLGLLLGFALHWGVLGIWSGMIGGTIIQTFILVWMVYKTNWNEEASVAEDRIRKWGGPTVS >KGN50736 pep chromosome:ASM407v2:5:10096122:10111482:1 gene:Csa_5G222460 transcript:KGN50736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDRRDSTIVSDLFFSTPRSKTKTLGEKRSSSSNFEEQCGSDLKRIKLPDSGSMCGSQAINICQESCLKTVEVSEECQTVEEERLQAIELSKKLDVFATLAEKAGDTNASSGVLDLNTEICVARSSGSDNMDLVNISKKQHRLRNDNGSHVAARGIDLDLNIEDVSTSVNLETAHPPKNYNELKSQKSSECASSTGPLGEKDPLSIWKEMKQNGFLSASHGFISASHGGIPAPKQRGRKSKNDAFKKKMEIAKREKKLELAKKEQIDRFTKIAAPSGLLTELNPGIINHVRNRKQVHSIIEAIVRSEKQENERIANKLEKRHAAKAGAKRDLENTHDPDINVYGSSQGYGSSNNISAVRQKRGCSLTRSLITEAEVVDRGQIMLDRATGKNYASQLNTTNDKETLALELSSSHAVSENACPVSNDEEENLTCISSLSLKAATVASQWLDLIHQDIKGRLSALRRSKKRVRAVISTELPFLISKEFPSNEENDPFVSKSSQEESSVVSLADIHQARWTKLFDQMDKALAEEEKQLESWLNQVKEMQIHCDQGLSHAQSNAAFGSQQLGENDLRTRKMNSTERALAVGAAAASIYSTCNFLFSENIIEIVNVIKRNN >KGN51030 pep chromosome:ASM407v2:5:14835539:14835937:-1 gene:Csa_5G410710 transcript:KGN51030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVNDEMVVHSGGCHCKRIRWEVEAASSVIAWDCNCSNCSMRGNTHFTVPSKHFKLLGDSDDFISTYTFGTHTAKHTFCKVCGITSFYHSRSTPDGVSVSFRCVDPGTLDHVQIIKFDGTNWEQAHHHLTQN >KGN51373 pep chromosome:ASM407v2:5:18524884:18530994:1 gene:Csa_5G523180 transcript:KGN51373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPRLIRTTMSSATLFSSSLPLKNPSFPFSSTSSSTLFNGPRFLTSPLRSPALSLRCYASSSSSSSSDRVPVLNPVVEMDGDEMTRIIWTMIKEKLIFPFLDLDIKYFDLGILNRDATDDRVTVESAEATLKYNVAVKCATITPDEARVKEFGLKAMWRSPNGTIRNILNGTVFREPILCQNIPRIVPGWKKPICIGRHAFGDQYRATDTVIPGPGKLKMVFVPENGETPRELEVYDFKGSGIALAMYNVDESIQAFAESSMSLAFEKKWPLYLSTKNTILKKYDGRFKDIFQKVYEEKWKQKFEENSIWYEHRLIDDMVAYAVKSEGGYVWACKNYDGDVQSDLLAQGFGSLGLMTSVLLSSDGKTLEAEAAHGTVTRHYRLHQKGQETSTNSIASIFAWTRGLEHRAKLDQNHRLLDFVQKLEASCIETVESGKMTKDLALLIHGPKVSREFYLNTEEFIDAVAHNLVVKLQRPPVTAN >KGN52485 pep chromosome:ASM407v2:5:26482996:26484183:1 gene:Csa_5G637750 transcript:KGN52485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKRKAVEGVEDKSSSEGGALGWDEMVKEATATAAVLSGGARRARKRFVGVRQRPSGRWVAEIKDTIQKIRVWLGTFDTAEEAARAYDEAACLLRGSNTRTNFWPCSPLSSSSPALPSKITNLLIQRLNARNNNSSIHNLPINQQEQKHQPVQERMLNSIDHQSREELATTCFTDRVLSDLLNDQEVFTTNPNIEEISRSFESCLTEKDESDSGEMESSNWVGMTQMNDSNGGDEKNELVQEEEEEEEEGSNVLDFHFLDDIGPPCYYSPFEIAEEIGEPMEGGEGNEDEPSSMLREAMKRMKYERKISASLYAFNGIPECLKLKLGEGSGVRSNSELITSLRKACDRRRSNEEKVEEEEEDEEDKEEMKSFSSNEVDLSIWSSLDLPPICFVN >KGN52686 pep chromosome:ASM407v2:5:27763291:27768632:-1 gene:Csa_5G650510 transcript:KGN52686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGLSPSTIIRSFSLLRSTRWRDSPNSILCCSFCTGDDNYDGRIGDGMQSSKVKVFDRDLKRKQRDRAAWLMRPKDSLVDSVAENLLDRLEDCKKTFPTALCLGGSLEAIRRLLRGRGSVEKLIMMDASHDMIKLCKDDTDAHVQDVETSFVVGDEEFLPIKESSVDLVISCLGLHWTNDLPGAMIQSRLAMKPDGLFLAAIFGGETLRELRIACTLAHMEREGGISPRVSPLAQVRDAGNLLTRAGFTLPGVDVDEYVVRYPSALELVEHLRSMGETNALLQRNPILKRETALATAAIYDSMFAAEDGTIPATFQVIYMTGWKEHPSQQKAKKRGSATISFNDIQKQFGNDN >KGN51330 pep chromosome:ASM407v2:5:18210447:18214047:-1 gene:Csa_5G517770 transcript:KGN51330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASWNSIPLEITYQVLGWFAFVSWSISFYPQVILNFRRKSVVGLNFDFVLLNLTKHSTYLIYNASLYFSSAVQKQYFDKYGYDQMIPVAASDVAFSIHAVLLTAITLFQVVIYDRGTQIVSKISLTIVIVVWIFAAICFFIGLSSHSWLWLISIFNSIQVFMTAIKYIPQAIMNFLRKSTDGFSIGNILLDFLGGLANYAQMAVQSIDQNSWVNFYGNIGKTLLSLISIFFDLLFMLQHYVLYPGKRPIVSQKADDKTREALIAPPDRPQSEDV >KGN51984 pep chromosome:ASM407v2:5:23010105:23016283:1 gene:Csa_5G606740 transcript:KGN51984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKRRAPGEDRTRDLSLTKRTHYHYATEASGYECIASLDLRQNAVSRCAEAAVAAMCGIALIVSGIRLHLSSLHVDATSPSPSPVHEQLEFSIDDLKAVLRRRGPDSLGGVKVFFSLKSSNPSGEEQLECFIERVDDGVAFQNQNDQYEMENGSILQSENGCSNPISGAELYLLGATLQLRGVNSIVQPLMDASRNILVYNGEIFGGLHVECHENDAESLMLALWNCCSCNFSLDMNTCEDEFRRERTIVDILSKIQGPWSIVYWQDSAKTLWFGRDAFGRRSLLVHWPTVEDSRFLLSSVSPASSMFQDSGLEVDSGTCEVSFWEELPCGIYSVSFDAQKTDGCVVGEVKRHEWTNELLKELVEWKRTRIVPEHGDISTPYHKDCLSQHESHSTCLHNLQSASGNFQAPVSTPAEILLDALRKSLIRRTSLYTIFQGTMSASRKAELGPVAILFSGGLDSMILAALLDECLDPCYEVDLLNVSFDGCSAPDRISAKAGVKELSRIAPLRRWKLVEIDADMSDLTSETKHVMSLINPANTYMDLNIGIALWLASGGNGWIYESADEDEKFHQRVKYKSEAKILLVGSGADEQCAGYGRHRTKYRQGGWNLLNEEMKLDIQRIWKRNLGRDDRCIADNGKEARFPFLDEDVIRTLLAFPLWEVTDLEKPAGTGDKKILREVAKLLGLHEAGVLPKRAIQFGSRIARESNRKNFGSNRAANQASAGSVKFNKQSVLR >KGN52177 pep chromosome:ASM407v2:5:24149610:24152555:1 gene:Csa_5G613550 transcript:KGN52177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVARHRSGPQSEFMGIWRPRLQVLLRGKENWRILEEQYSLRLRSLLFLKMPGGGEITADPTIEACTKEACDSKTTKRLADDIMPHILNLYGSSATPRDFEIYAPDASFEDPLTRAHGVKEIKSAFYSLSKVFSESRIVEYNFNERLISPGKHEITVDNKQHYKFLGRNIDLESLIKLYVVEGKIVRHEDWWNKKPLLNRETVQLPMVGRFLEMTRRCAMLATHAMMGFGKD >KGN51110 pep chromosome:ASM407v2:5:16056004:16056662:-1 gene:Csa_5G453180 transcript:KGN51110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSKRGDSIQVAAKSLLPTLAVRGFFLAIPPSLNLKGALVGEVKNDERWEVMGSAGVKLKRKGGSDSLSRELKKLDSSVNCGGKKALKEARSSRGLEGQSKRSLVKEVLRQEDSDIVFLMETKRSGFCKRITSIWKSRRFLMLKVCLVAFSCCGIRDCVAFEVIHDRHSTTVAFLDGEGHEF >KGN51997 pep chromosome:ASM407v2:5:23084157:23087330:1 gene:Csa_5G606870 transcript:KGN51997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLWKRAKSFAEEAAKKSQTLTSTSTLSELVSETAKKSKELAAEASKTADLIKTAAIKQADQLKSLNVSDIIPPQFSSISIPNFSVPSHHSQSELEKFGLNDDLRDFVRGFTPTTFQNFPIQDEPDASDVAVTSSNVRKDLTEWQEQHATLVLTNVKEISRLRYELCPRIMKERIFWRIYFTLISSHVAPYEKKYMEEIKLKSEEQRKADEAKQTPLVGASEKTEGPEKNPKGIASKSSSAEQDLDTFLLGDLEDSDAEGADDGNESFDDDFDKIENSDVDEENSKAKAISS >KGN51987 pep chromosome:ASM407v2:5:23033296:23036645:1 gene:Csa_5G606770 transcript:KGN51987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALCGDHEDREVRIHESLEELSTDLADYIAELSEASVKERGVFSIALSGGSLISLLGKLCEAPYNKTVDWAKWYIFWADERVVAKSHADSNYKLAKDNLLSKVPIVPSHVHSINDSVSAEEAADEYKFVIRQLVKSRIVSVSDVSDSPKFDLILLGMGSDGHVASLFPDHSVLEEKDEWVTFITDSPKPPPERITFTLPVINSASNVAIVVTGESKAETVHLAIDDVGPDCPLLPARLVQPRKGKLTWFLDNNAASKLNNYQFSE >KGN52216 pep chromosome:ASM407v2:5:24404754:24407974:-1 gene:Csa_5G616360 transcript:KGN52216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEFLKNQIFATHAVAAVGSVTLSTVLTYPLDTIKTIIQVGSNPLGSTKQLTSTQVLQRIQSFSGNSGLYSGFGWLAFGRLFGIGARFGIYEIVTAFYKDGREDDYIHVSEAFLAGLMAGAAESLICSPFELVKLRAQVTSAVRLPRPSSLVGQESALAPSMSRFLHGYTLDQKALNYSVGLLSTLTTKHPNIKGALQEYPWTMTGSGRPPAVSSVRRPSDIVSLEGWHAFWRGLRSGIARDSIFSGVFFSTWQFLHQSMLIWKSIDMNPPPRSNDEIGPLSPFSVSLAAGFSGAVAAAASHRFDTAKSRSLCTVLPKFVALERKFLKWQTPGSRFQRTTGIHPADRGLLSRGLGLRMASCGLGSFVMVGGYYLIIDHLLK >KGN51368 pep chromosome:ASM407v2:5:18492857:18493765:1 gene:Csa_5G523130 transcript:KGN51368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKMAIGPSSPNILPSPQIFSIKSSHSSTLIFVKFKTFIHTIIFSQFCRLARAISRAKSTVVHILKKSYHYKDKNKNKIFFGSFRLHYNWCSSHVMPVPDPIWELGHFYYDHATSTAADGSQLSGYLQWLEERKLESETMTTATGTTAEMNEIDKLAEMFIASCHEKFRLEKQESARRFQAMMARSM >KGN51770 pep chromosome:ASM407v2:5:21844431:21849216:1 gene:Csa_5G598780 transcript:KGN51770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNAVVSPSIFLLIFICSSTIWDAAAVAAAFDSNQTMYPMSCSRIKKCDASFYHVNKGLEGENEIAAAYSVNPSQILPLPHKGVEDYLITAPCSCETADGVSGTAYFHDTSFRVGIGDTLSGVSNRNYSGQVWISGDPLLHVGDVTGVKLLCGCIEDESKIVVTYTVQLHDTLSQIASLLWADADEIHSMNAKLIQDPELIVPGWVLYVPMYKNAYQSTKGSTKKHVWTIVIAVLSTLTLLSLGSLAIIFIRRKLKKSHRKDELENSKHHSKSCSITGTSSFQYPLSKGKSEAHKMSSRKEVSGFESEKTLTFSIEEIQEATANFDESRKIGEGGYGIVYHGVLNEQEVAIKKMKSNKSKEFFAELKVLCRIHHINVVELLGYASGDDHLYLVYEYVPNGSLSDHLHDPLKRGFQPLSWPSRTQIALDTAKGIEYIHDHTKSRYVHRDIKTSNILLDENLEAKVADFGLVKLLSRTNDEELVATRLVGTPGYLPPESVKELQVTPKTDVFAFGVVLAELITGRKALVRENQEPKRTKSLITLVSFFN >KGN52115 pep chromosome:ASM407v2:5:23799686:23801778:-1 gene:Csa_5G610490 transcript:KGN52115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLEMDMKTAGRAIAEWGCYFLEKPISMEDIELVWQIVYRKIRNPRAKNLGENAKLGKKFEIDGVLERIVNVRREVEWKSLEEEEKEKEKGKNGGKCRDQGEEKLNPLLKVEEKTNRNERTIKKSRIVWNSKLHRKFTEALSKLGSRKSSPKIILKMMDEPSLTLRQVASHLQKFKSQVKHLNKITANDSPSSTSFVKNQPPQLPPKRNTNILTQQNSYITDHNLRHLQVPAASCLNNNPPTQLLPNSQDVFSPHLIMMNQNNSSNSYRTTHPTTNDLQLKTYGGLSEGMNFNLVEGNHVFTDELYTFESTLEGFVQTSHYSSSSNFQHFPADEDYYCSFFQDDGQLEFAQNLAGSCLDVDNNIITSMSGAHSAVIAGRSMNQNVALKELDDLLNNTEEDPMVYCCFDGEMNSFDIDQYSEWLNA >KGN49623 pep chromosome:ASM407v2:5:1019954:1021873:1 gene:Csa_5G030450 transcript:KGN49623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNDNRSGLLRIRLLRGHNLAIRDAPTRSSDPYVVITSANQKFKSRVVKKNCNPEWNEEFTLSVTDVNTPIKLAVFDKDRFTKDDGMGDAEIDIKPYMECLNMGLENLPNGCVVKRVQPSRSNSLADESPCVWNDGKIVQDMTLRLQNVECGEIMIQLQLFNVSIFRGRRTYSLA >KGN50982 pep chromosome:ASM407v2:5:14185353:14188650:-1 gene:Csa_5G383850 transcript:KGN50982 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitrate reductase [NADH] MAASVDNRQFGSLQPPLNGVVRSFKSGPNHRSDSPVRGCNFPNSNINNRLLKSSVKMEQEEEDDDSCSEDENDNNELRELIKKGNRELEPSTVDPRDEGTADNWIERNSSMVRLTGKHPFNSEPPLNRLMHHGFITPVPLHYVRNHGAVPKAKWSDWTIEVCGLVKRPTKFSMDQLVNEFRFREFPATLVCAGNRRKEQNMVKKSIGFNWGAAGVSTSVWRGVPLREVLKRCGIMSRKKGALNVCFEGAEDLPGGGGSKYGTSIKKELAMDPARDIILAYMQNGEQLAPDHGFPVRMIIPGFIGGRMVKWLKRIIVTTKESENYYHFKDNRVLPSHVDAELANAEAWWYKPEYIINELNINSVITTPCHEEILPINSWTTQRPYTLRGYSYSGGGKKVTRVEVTMDGGETWQVCTLDHPEKPNKYGKYWCWCFWSLEVEVLDLLGAKEIAVRAWDETLNTQPEKLIWNLMGMMNNCWFTVKTNVCKPHKGEIGIIFEHPTVPGNQSGGWMDRERHLEISTESNQTLKKSISTPFMNTASNTYSLSEVKKHNSPQSAWIIVHGHVYDCTRFLKDHPGGSDSILINAGTDCTEEFDAIHSDKAKKMLEDYRIGELITTGYASDSSSNSPNNSTHGASNFSHLAPIREAPMVTRRAALAPNEKIPCKLISKTEISHDVRVFRFELPGGQDQVLGLPVGKHIFICAKVDGKLCMRAYTPSSTVDQMGYFELVVKVYFKNVHPKFPNGGIMSQFLDNMEVGSTVEVKGPLGHIEYTGRGNFTVHGKPRFAKRLAMLAGGTGITPIYQIVQAILKDPEDETEMFVVYANRTEDDILLREELDTWAKKNERLKVWYVVQESIREGWEYSIGFITEEITREHLPAAAEDTLALVCGPPPMIQFAVQPNLEKMNYDTKNSMLVF >KGN50230 pep chromosome:ASM407v2:5:6004744:6005205:1 gene:Csa_5G161300 transcript:KGN50230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSKATASLAFLLALILLSSTFVSCYDTSNLRPTTPSTKARKKCPKNTLNFEICSKLLNGETNADIEKCPKCCKMMEGLIDVEAATCLCLAIKANILGKNLNIPLSLNLILSACHKKVPKGFKC >KGN51224 pep chromosome:ASM407v2:5:17387998:17391882:1 gene:Csa_5G495170 transcript:KGN51224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRAALFHLLRSQSKHISRRNYYSGYHLQRSTAWINEKFVPTSNFLSQKNAVQKRWASQATTREDDGKISIGPGRGQEAEEDEKDVAYIGPISSTIKKVKLLSLSTCCLSVSLGPVITFMTSPDMNVILKGVVASSVIFLSATTTGALHWFVSPYVHKIRWKPGSDSFEVEVMSWLATYIPRTIRFADIRPPETNRPFVTFKANGSFYFVDKEHCQNKALLARLTPQQRESALRNL >KGN52417 pep chromosome:ASM407v2:5:25902553:25903437:-1 gene:Csa_5G633150 transcript:KGN52417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKSLQLLFLVLASIASHVISINNQILSPRRLNGCRKGDNVEGIHNIKKYLQRYGYLSHNTSTDSNIIELNSNKFDDSLESAIRLYQKWSHLNVSGILDQETLDQMFQQRCGIRDVFKFNSSKNLEDDLEMSSHYVLFPNNKKWPDYKRHLTYMFTNNFPVDFVPSVTEAMARWAAQSLFTFSEASDAQSADINISFQVKDHADGSAFDGPGGVVGHAFAPTDGRLHLDGDDSWSAGLEENKFNVMNVALHELGHVLGLAHSTLPQAVMWPYIDSNALKNLNDDDIAGLHALYP >KGN49890 pep chromosome:ASM407v2:5:3686753:3688527:1 gene:Csa_5G139680 transcript:KGN49890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQQIRVAQRKRGGPITHRSQDRNLALIERRLPISDSYFFSFHFIWLMRRRFNYRHIDSYHRLVGISFGSDGPRYISFRALSKSRDKSIISFIYCEPSAHLSVENNNTQIPNLSLTLSLSILRLTYRNIRTHTHPDGP >KGN51528 pep chromosome:ASM407v2:5:20157848:20161226:-1 gene:Csa_5G576700 transcript:KGN51528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKSTTSASNTIAAATTMSSSSQLKSQLQTNDVYASLYNSPIFAPKQSVPVSLPVTLYGESTHADSSISASKSINNHFYQTRVVQDHQEMVNRHSLCLTQLREATEEADALRQENIHLRSINHELSKHLSLLIQASVQKQYGSSDQAPPFNFAEGFRGLSLAEKGASSSAWEDISDESPTSVMEGGRVEGVEVERFSLPKSISVRSNGYLKMAQTGTSQGGKIRASSRPRTASPVKVTQKVYVQGVKEEEKPLELEVYNQGMFKTELCNKWQETGSCPYGDHCQFAHGIEELRPVIRHPRYKTEVCRMVLAGVVCPYGHRCHFRHALTDQERLMGTLKPTCRTSTTPKLDR >KGN50515 pep chromosome:ASM407v2:5:7839311:7839538:1 gene:Csa_5G180290 transcript:KGN50515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQFQNSHFRGWTKDHKRSSGAAYGRQRRGLEILITSSNATSKPTEQEGENIGKVEHNEKTWGSRRNNTNVLLV >KGN50668 pep chromosome:ASM407v2:5:9242541:9256836:-1 gene:Csa_5G208460 transcript:KGN50668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSKFFHKPVDQQSPSSSAPSPNAKKGVLTGTDLDPRVTLHYGIPPTASILAYDPMQSLLAVGTLDGRIKVLGGDNIEAIFTCPKSLPFKNLEFLHNQGFLVSISNDNEIQVWDLEHRQLVSTLQWESNITAFSVLFGTCYMYVGSEYAMVAVLKFDAEERKIKQLPYYLTANVISDVIGVELPDQTSVVGVLLQPCSLGNRLLIAYENGLLVLWDASEDRAVIVRGHKDLELTEGNMTNPSTDVTDLELEKEISSLCWVAGDGSILAVGYVDGDILFWNFSNVTSSKDQQVNQSRNNVVKLQLSSSNRRLPVIILRWCPSELQNHKGTLFVYGGDEIGSPEVLTILSLDWSSGLKSLKCIGRLDLTLSGSFADIVLAPNVGETKRGISLFVLANPGQLHVYDYAYLSGLMSQQEKLSSASGVQYPTMIPNIEPRVMVAKLGFIHREGKVFGALDAIVTTAKHHTKVPGDTTWPLTGGIPCQLRDAGDYQVERVFIAGYQDGSVRIWDATYPSFSPILYLEPEVIGLNIAGLSASISALDFCSVTLNIAVGNECGLVRLYKLVGSSEGASLHYVTETKNEVHNMHRGEGIQCVAVFSLVNSSVSTLSFENCGAILAVGFESGQVAVIDSNTLSLLYLTNELSNSRSPVISLAIKVFRETNHLEASSEESIPKISNPPRKGMLLVMTKKSDLAVLDSTNGELISFQSTNAKELTSISMYLIDGDYLLPEAFSGTHAPSTPKISGESCSLPDNAHSGRTLHEVGAETSSGVVNAELTVANLFILLCCETALYLYPLKLTNEGENKFIQKVNLTRPCCWTTLLKKDGKVSGLAVLYQNGMIEIRSFQNLEELLWESSLASILRWNFKTNMDKTICSDDGQLMLLNGTEFAVVSLLIYENAFRIPESLSCLHDKVLAAAAEVWDNFYSSQNNHNATSSGIFDSVVKGFKGGKVGNDVDRFGLCKLNDAHLESLYSYPPFLKPSKGVIDGQGVVELDIDDINIDEPLVVRFSPKASKNENEGKRSEKEKLFEGASTDSQPKMRTAEEIKAKYRKVGSASAAAEEARNKLLERQQKLDKLSERTEELKNGAENFADMAKELAKRMENRKWWQL >KGN50046 pep chromosome:ASM407v2:5:4710692:4712342:1 gene:Csa_5G151620 transcript:KGN50046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAQGLDKRTLKDLANDIISRDFNCVRLTWSTHMFTRYSHMTIIDVLDNLDIQNVKSGVQKHNPKMLNMTVDHAFKTVVYGLGNAGLMIVLDNHISQPRWCCSLDDGNGFFGDRHFDTLEWLQGLDYVARHFTWHSQVIGMSLRNELRGPYTNMDNWYKYVKEGSHLIHTKNRKLLVIISGITFDNDLSFLKKKSLGYNLHNKVVLEAHLYPFSGSEKLPESKFVKKPLNIVCNQVMEKFEREAGFVVNMEDEPYPLWLSEFGYDLRGDNKAQNRFMSCFLAHIVAKDLDWAYWAFQGTYMYRQGQESVDETFGVMDSTWTNDRNPQLNQMLQLAKRINQDPNSNASMSYIILHPVSGQCIRSNGQGGIVLGDCLTPTHWTHSGDGSSMKLSNGQCLQSAGDGKPLIVSAECSSDGSKWTMASKAKLQLATKSGGENFCLEKKSNTKIVVKRCICLEDELNCFNDPQPQWFKLVPTNVA >KGN50163 pep chromosome:ASM407v2:5:5500585:5502200:-1 gene:Csa_5G157220 transcript:KGN50163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLNNVESPFLFDDLGDELLPLPSLSCIDNCFVPQFPSILENNNNSTVSPTLKNSRRKKPVPANTSDDKDDENNSNEHKKKKIMHRDVERQRRQEMSSLYSTLRSLLPIEYLKGKRSICDHMHETVKYIRYMQSKIQELCDKRDELKKLQSNNQNPGMVETETLQSTKRDKVVVRARDGSGGIQVILDTPTQHRLTLSNILEALIDQGFEILSCSSNKLNDRFLHTIESQPAVFTDTNSPLIDISDLQYMLANLEYCPLD >KGN49556 pep chromosome:ASM407v2:5:70016:74821:1 gene:Csa_5G001030 transcript:KGN49556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLYYGAKEILKIQKFRRIVSYTGFYLFASVLSYAYTSNTTRAGYSRGDQFYASYPAGTELLTDTTKLYKAALGNCFELEEWGPIEFCIMAKHFDRQGKSPYAYHSQYMAHLLSHGQLDGSG >KGN51002 pep chromosome:ASM407v2:5:14460691:14461244:1 gene:Csa_5G396000 transcript:KGN51002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPNCLPIKRTTTQKEILFDLGGAAVSELDIPTSSLFDHRLLSMLSPRNIRRHSDEFPWSSHYLRACCLCQRRLLAGRDIYMYKGESAFCSAECRQQQMNQDEAKEKCLTASKKGSTAVASAPTAVAKVSAMNGETVAAV >KGN51428 pep chromosome:ASM407v2:5:19153891:19154564:-1 gene:Csa_5G537530 transcript:KGN51428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRYSLREGDSGKQQHSLRNSSELFKDIIAMPTWIQKNKMLLICKLTYIHSSVFKLDNDHAREKDFILAETYSCMPMLGGQFNLWPETGK >KGN51314 pep chromosome:ASM407v2:5:18090122:18090475:1 gene:Csa_5G517125 transcript:KGN51314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAVADGNGGLWPEFLVVAGKERSRRSSKGLARRIVKDLTMNWPPNLRPFTSEHGLKEKSDFVVWSFGCWCFCFLLFFLLFFVSVSSISCSSMCTSFFSFVVMGFVVSVDSIIKRPI >KGN51994 pep chromosome:ASM407v2:5:23068560:23070061:1 gene:Csa_5G606840 transcript:KGN51994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDITMNYYSSILIFGVILFGSVIFKLLGRLWWNPMKIQRLMRAQGVQGPSYNFIHGNTKEMYSKRIKAMAKPMQLSHRILPRVLPHILSWLNQYGRNYVQWFGAEAHLVITEPELIKEVLNNQHKSFPKAKLQGHIHKIFGNGLATAEGQKWVNSRKLAHFAFHGDNLKNMIPSMVQCAETMVEEWAHHEDKEIDVFKHFKVYTLDVISHTAFGSSYEQGRNVFQMLQRLCELSITNRYKVRLPVISKILKSKDDIEGQSLEKKMKDCFVEIIKAREEKLNNDEANDYGNDFLGLLVKAKNDPQDSQRISLEDVVDECKTFYFAGHETTNVLLAWTMFLLALHKEWQEKARNEVFDVFGHSNPTFEALPKLKTVIINYNNKT >KGN50874 pep chromosome:ASM407v2:5:12477586:12478242:-1 gene:Csa_5G308790 transcript:KGN50874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTFLPAEMVCFIILMNDISFWESPGIETLQKRYKVDAGGQCGQIFVQKLALQLFNGDNHQAAFRILSDFRKGKFGWTALERPPRSEFELLALTLVVQILKATLKLRNEIHDAYIAFDLRTCGKLKIGDLDHKW >KGN52204 pep chromosome:ASM407v2:5:24288046:24289654:1 gene:Csa_5G615265 transcript:KGN52204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSKNGGGGNEDRVLLEGSNDRNEDETVSKLTVSTLQAREEEIERKKMEVKEKVELQLTRAEEEAKRLAQIWEELEVMGDPMGKEVGNVRKRIDTVKRELKSLGQICQKKEKEYKEVQDIFNEKSNEKAQLLATLMELLGQSEKWRMKKLEELNKNIESMN >KGN52066 pep chromosome:ASM407v2:5:23508695:23511646:1 gene:Csa_5G608540 transcript:KGN52066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKSDSAEAIVLNFVNEQNRPINSQNAADSLQKFNLKKTAVQKALDSLADSGRISFKEYGKQKIYIARQDQFQIPNSEELTQMKEANAKLQKQLGEHKKAISQVEEEIRTLQSNLTLEQMREKEAMLRMEVKELEEKLEVLRRGVTLVSPEDRKAIEQIYSEKLSQWRKRKRMFKDIWDAITENSSKDLKEFKEELGIEYDEDVGVNLQSFSDMLPQNRKRPRGK >KGN52695 pep chromosome:ASM407v2:5:27797078:27797746:-1 gene:Csa_5G650600 transcript:KGN52695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDDKTTTNCNICTDDKALVNMFTNHECSHSFCKDCISNHIAAKLEDNIANVKCPQPGCEAVLHPDVCHSFVPKNVLDRWGYVLCEAFILGNHRLIYCPFMDCSVALIDDGDEATKEAECPGCNRMFCAKCNVVWHGGVECEEFQKLCLEEKERDDHLLAIKLAEQENWKRCPHCRTYVEMIEGCPYIICRCRTKFCYSCGAKWGGSHACPESAEARKYHK >KGN52060 pep chromosome:ASM407v2:5:23477838:23478044:-1 gene:Csa_5G608480 transcript:KGN52060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLQQWQRPVDQPTVEWGFGSHGFWGKLAGVAMRVCLKLTGKEVGEGGRDGGCSGADGSEENVASWWE >KGN52652 pep chromosome:ASM407v2:5:27536762:27537599:1 gene:Csa_5G648730 transcript:KGN52652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWVEEMGSYVKSIDKQHLVGIGMEGFYGDSSPNKIKANPGSFKFGTDFVTNNLNKAIDFATIHVYPDAWLPGKSEATRMAFLEEWMALHWMDSKNILKKPLILEEFGKSIRGQNQTFSVRDSDAFLSKVYSIIYNLARKGATMAGGLVWQVMAEGMESYYDGYEIVLSQNPSTNTIITKQSNKMAALNTRTQHHLRSSY >KGN51695 pep chromosome:ASM407v2:5:21340537:21347729:-1 gene:Csa_5G590120 transcript:KGN51695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSDSDSDSDASHVSATPPRASKPSSSSSTLFTSSSKPNPNFSKASSSLPRMANSKHLKPSSRVTKQPPSSDVKHSEKEPSPDWTPLPTLPYQIRRASDQSRAISSSESMEMLPAGFFSKSPSFMKFRRSSLNFETSEDNRTLLEPIQLNNAETEIAGCSTADWGMKDDVCSLGNRVKSVRTHPNLIGTHVSVPPIKVRKCGGEGNFVKLNMNGGKRKFIKKFSKRKYGERSSYRPRRKTKTNLKTEDSEEAGSFCDEDGLVTETTQHPQKQGNGGAKFDPITIEETISNVRNDPSDDNLVKLLTLAYGYDSFQDGQLEAIKMVLAGKSTMVVLPTGAGKSICYQIPAMILPGITVVVSPLVALMIDQLKQLPPVIQGGFLCSSQRSEEVAETVRLLIQGTIKVLFVSPERFQNTDFLSIFSSSLVVSLLVVDEAHCISEWSHNFRPSYMRLRASLLRAELNVNSILAMTATATTSTMQAIMTALEIPSDNLILRTTVRTNLQLSVSMSTNRVKDLLRLIKSSPISEVQSIIIYCKFQLETDLVSRYLSDNGISAKSYHSGLLAKDRKRIQENFCSNKIRVVVATVAFGMGLDKRDVGAVIHYSLPESLEEYVQEIGRAGRDGRLSYCHLFLDNDTYFKLRSLMHSDGVDEYNINKFLSEVFSGNNSLCGKVYSIVKEPASRKFDMKEEVMITILTYLELGEMQYLRVLPQLNVTCSLNFHKTSPAMLADKDIVVAEILKKSETKQGQHVFDMLTVVNSIGISATSLSNHLQNLKLKGEVTYEMKDMAYCYTILKTPEDFCSLSAHLRKWLSEIQTSKLRKLDAMFDAVTSAINLYGKKTQACCNFEQTPCLEEKICSYFQEGDTYDTPNKMSQSSPFLRADIKVFLQSNSQAKFTPRAVARIMHGIGSPAYPSTIWSRTHFWGRYTNVNFEAVMEAATIELVNIVGKDAAS >KGN51202 pep chromosome:ASM407v2:5:17116516:17120696:-1 gene:Csa_5G488780 transcript:KGN51202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPGLEPETFSVYYDEASAYVAAPIFSFSEKIVYPNGAEMFDSTPLHCPFTTICPLLQHSCHYNSSISTKFRPPHLNLSLIQASGPMGFPVFAVKRLGKGGIALTEPSDSDIGFDEFFDEGEDNFQDEDDILLPLKNTKEWHAARPRGFGEGKVYDTSIEDNLLQEMEQIREAQAANINKLKDQSPSNPNSSRNVVEIAGYG >KGN52082 pep chromosome:ASM407v2:5:23613476:23614582:-1 gene:Csa_5G609680 transcript:KGN52082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCNGCRILRKGCSDDCIIRPCLQWIPSSDSQANATIFLAKFYGRAGLFNLITAAAQHLRPAIFKSLLYEACGRMVNPVYGSVGLLCSGDWERCQAAVDAVLNGSMNVEPDTPPSNNGNLSLKCCDIRHVCNEPNLAASGDLLKVKGRSRFKKPDHRTKPKPGPDHDLGIGSSFDEAGRDVSNGSRFEKADDVELELTLKSAQ >KGN51835 pep chromosome:ASM407v2:5:22202496:22204991:-1 gene:Csa_5G603320 transcript:KGN51835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSISNCFSNLLCQEDSSGVFSGESPGCSSDLESPACVEESISVFIKNERHFVPDYDCFSRFQSPSLDAAARLDSIAWILKVQAYYGFQPLTAYLSVNYLDRFLCSRRLPQSNGWPLQLLSVACLSLAAKMEEPLVPALLDLQVEGAKYIFEPRTICRMELLVLRVLDWRLRSVTPFNFIAFFAYKLDPSGDFIEFLISRATEIILSHIREVIFLEYWPSCIAAAALLCAANEVQSLSVVNPEHAESWCNGLRKENIMGCYRLMQEIVLDNTRRKSPKILPQYRVTVRTRMRSSDLSSSYSSSSSSSSSSPNKRRKLNQTHLWVHDDKGNNTEE >KGN51539 pep chromosome:ASM407v2:5:20232555:20239301:-1 gene:Csa_5G576810 transcript:KGN51539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTTVEKDGIRIKHRKNKYPMRALIRAIELQGVNLNGWQKIGSGYITLKTKYCLSVYFPWVCIGWISVSSCTRSQLLLPSGYSINRRFSVFNEFSKKVKGEADKNTEFQQSVKELKEKAEELKGVKEDLKVRTKQTTEQIYKQVDGVWSEAEATARKVSADVKEKLSAATEEVKEAFGFSPKNSSGSTCSSTDHGADAKKHGSEASSENAKDQHPGSSGSSETFFGKFKSSIPSPGISSAFERLKSTKLIDLAKRGCEIVKDELSGKPHKKKHLEYEASASPKVERSTRTDVVVLPSKQSRWSKKWEAFREKMQGHPVYKRVTGYSEPVISRSQEMAEGLRETWETSDNIIVQKIQDINETVFQEQGAATSFKEIRRRDP >KGN50504 pep chromosome:ASM407v2:5:7766178:7767855:1 gene:Csa_5G178710 transcript:KGN50504 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-ketoacyl-coa synthase family protein MEIFVPVCLLSLFYVFFYIWKLILQRRGQCCYLLGYECYKASEDRKLGIESCINIILRNNNLGLEEYRYLLKSIVNSGLGGETYGPRNVIAGTEENPSLSEAISEMDDVFSSILDKLFAKTGVSPSDIDILVVNVSLFSPAPSLTARIINRYKMKEDIKAFNLSGMGCSASIVAIDLVKHLFKTNRNAYAVVVSTESIGPNWYSGKEQPMMLTNCLYRSGGCSMLLTNNAALKHSALLKLKCILRTHLGSSNEAYGCSIQVEDDHGHRGFRLTKHIKAVATQALTFNLQSLLPRILPLRELIRYAILTHPLYKLVDRNIEAKKVRLNLKTGVDHFGIPPTERAVIDGLGKSLGLSDYDLEPARMALHRFGNTSTGGLWYVLGYMEAKKRLKKGDKVLMISFGAGYECNSCVWEVMSNLKDDNVWKDCILSYPTKNTKHPLAEKCSWLNDERLSFVKFDDVKQTLQQQIL >KGN51243 pep chromosome:ASM407v2:5:17514835:17518816:-1 gene:Csa_5G503560 transcript:KGN51243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVDPHSYTDSTHAQILHYSLSFFFDFPSTLIHASALITLSTSYYGSISLDTRSLIIHSVIDPMSHYPIPFSLSPSDPIKGSLLSITLGGQSALIVTYSTTVESSALQWLSPPQTFNKTHPFVYTQCHPIHARSILPSQDTPAFRIRYSARLNIPQELTAVMAARHVERRPPVAGEAKLLAGGFDLLWADEGRVVEEFTMVHPIAPYLFAFAVGEIAFREVGPRTRVYAESVPSVLDAAAREFAGTEDLIKQGEKLFRRYGWERFDLLVLPPSFPYAGMENPKMVFLTPTVIKGDSTGSHVVAHELAHSWTGNLITNKNNEHFWLNEGFTTYAERRIIEAVQGNDAAALNMGIGWKGWKEDVEKFKDNLEFTKLKTNQEGVDPDDVYSRIPYEKGFQFLWRIERQVGRPEFDKFLREYISIYSFKTIDTETFLDFLIREFPGIEEEIDLELWIEGTGIPPDAQEPVSYLYMKILSLANDFKLGKMPKEEETADWGGRGWELYLENLPRSIEVSQIQALDVRYRFSESKNYDIKVAFLELAISSKYRDCYAEVEKTLKEVGRMRYLRKLYGALTQGPGMEEEKILANRIYSEARESYHPIAQRVVEFMFSKNL >KGN52470 pep chromosome:ASM407v2:5:26371314:26372195:1 gene:Csa_5G636620 transcript:KGN52470 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium ion binding protein MMNLGLYNVSMDDKSTPQNPSFRFLLIIDFLRFQNLASWLCSSTSTKLKQSTPPASSKSVESRAEERLVSTEEMKWVMGNLGIDCSEGSSVPLFGSAEEVAEMFDQTAASVAEVKQAFGVFDVNGDGFIDVEELQRVMCVLGFKEGEGIENCEKMIRKFDSNKDGRIDFEEFVKLMEAVV >KGN52705 pep chromosome:ASM407v2:5:27860798:27864133:-1 gene:Csa_5G651140 transcript:KGN52705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKLLRLNPSSSSSVPILCTAVKPFSSLPSNTTPFIFKPPHPLLMSAASPFHTAGAPSPFTSPSLSRNPSSAAVSSRLSAANSSRNCYLCRCAVDTPAALRAWAVFKDGRNGLRPAWLHTDSDGLFSSSGEGLKSSRVSVSGDNGGGEDGFSCDNDEEGEKVLEEKGSKSTRRQRSLAGGGVLVGNPDLLTIPGVGPRNLKKLVEKGIAGVAELKQLYKDKFFGDSSPKMVEFLQSSVGIIHRNHAESITSYIKDSVDKELTEDSSNSDAKSSLKKRLTFCVEGNISVGKTTFLQRIANETLELRDLVEVVPEPIDKWQDIGPEHFNILNAFYAQPQRYAYTFQNYVFVTRVMQERESSGGIKPLRLMERSVFSDRMVFVRAVHEANWMNEMEISIYDSWFDPVVSTLPGLVPDGFIYLRASPDTCHQRMKLRKRAEEGGVSLEYLRDLHEKHESWLFPFQSGNHGVLSVSKLPLHQDNSLHPDIRDRVFFLEGDHMHRSIQKVPALVLDCEPNIDFSKDVEAKRRYARQVAEFFAFVKKKNEASSSTAGQDGANVSQPQVMLGNKHLWVPGRNHFPESALGSLEFRRAMSYMSG >KGN50987 pep chromosome:ASM407v2:5:14255474:14255766:1 gene:Csa_5G385390 transcript:KGN50987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVNWTGKRRRERKTRCYVEVKVAERTAEPRATAIGWGIGRLAGELDWEFGGNGGTFPFCCLLVRYQVQYISSSSSP >KGN50478 pep chromosome:ASM407v2:5:7563224:7564446:1 gene:Csa_5G176000 transcript:KGN50478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASLITFTSFTPPSSSSTQILTLNRPTNPLSFSLPRHSTNQTRHYRGLKVSAAISRTKKEETVETVKQQLEDCYLLAGIKYKGFTVKQFQELRRSLPETSKLIVAKNTLVLKAIEGTSWEALKPCMKGMNVWLFVHSEEIPAAIKPYRDFQKEKKLEDNDFTGAVFEGKYYGPDEFKALETMPSRAEVYAKMLGLLQAPASSLVGTIQAPARELLMVLKAYVQKLEEQGGGGGQ >KGN51059 pep chromosome:ASM407v2:5:15312220:15328218:1 gene:Csa_5G424880 transcript:KGN51059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRKQSQRPKKSSGIEDGGEAIPDPGGSCSQTSTDRETLADVSRVAVSKLLSRASGRCLSGIRKHALRPCDLSKSTIGKDVNLAMDKKVTLETERCNENVIASCSEDVDVPEVNLQNSVSEVLEDLDDSDWEDGCVRPLDGTESQPLTIEISEIQEIPDSTKRKPIRRASAADKEIAEFVHKVHLLCLLGRGRLIDRACNDPLIQAALLSLLPAHLLKISPAKQLTATSLKPLVAWLHDNFHVRNQARSEGSINSALAHALETHEGTSEEIAALTVVLFRALDITARFVSILDVAPIKPEAERSKCFSQDIGRSSRNIFKNSTLMVDKAEAVDKDSLTSRCLDKKDNPRKRTSGDNRESNAVNLVGKKTHVLNALSSTGSSSCNSKPDISETFPPKNSQVQKRKGDIEFEMQLQMALSATAVETMPSNSSINHLNEPPLNFPPSKKLKRIVNEESASSHGISTAVGSSKEGSPLYWAEVYCNAENLTGKWVHIDAVNMVVDGEHKVEDLAAACKTSLRYVVAFSGLGAKDVTRRYCMKWYKIEAKRVNTLWWDNVLAPLRILEGQAVRGTGHLEKCCIDDLMEQDKLKMSDLSDNLKQKNLLDDGNQSGKSDHNVSEGLVTDRDFSLGNQVATRDHLEDIELETRALTEPLPTNQQAYKNHRLYALEKWLTKYQILHPKGPVLGFCSGYPVYPRTCVQVLKTKHKWLREGLQVRSNELPVKELKRSIKKIKILESEADDFDQGDSQGTIPLYGKWQLEPLQLPRAVDGIVPKNERGQVDVWSEKCLPPGTVHIRLPRVFSVAKKLEIDYAPAMVGFEFRNGRSYPIYDGIVVCSEFKDVILETYNEEAERMEAEERRLREKQAISRWYQLLSSIITRQRLNSRYGDSENLSQVTSDIRNMHDERNADVPSCQEDVEPFKGQPDNLSNTNMDAPSFINQDHKHVFLLEDQIFDEKSLVVTKRCHCGFSVQVEEL >KGN50106 pep chromosome:ASM407v2:5:5120901:5127011:-1 gene:Csa_5G154190 transcript:KGN50106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQASNHPESIYDFTVKDAMGNDINLSIFKGKVLLIVNVASRCGMTNSNYVELNQLYEKYKEHGLEVLAFPCNQFGDEEPGSNDEIKDFVCSRFKSEFPIFDKIEVNGNNSAPLYKFLKLGKWGIFGDDIQWNFAKFLIDKNGNVVDRYYPTTPPLSIEHDIKKLLGIS >KGN51491 pep chromosome:ASM407v2:5:19859777:19861499:1 gene:Csa_5G569870 transcript:KGN51491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLYKQKKNQNVKGNRLLISINVLGSAGPIRFIVNEEQLVAAVIDTALKSYAREGRLPILGSDLRDFQLYCPNTGPDALSPWDTIGSHGARNFMLCKKPQPEKVPEEGKTPSGPAIPRKSAGSWKSWINKSLNLKIYSH >KGN51536 pep chromosome:ASM407v2:5:20214678:20216574:1 gene:Csa_5G576780 transcript:KGN51536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSLFVIVIIASLHLIAFVFAIGAEMRRSTAAVVPDKYDETTYCVYDSDASTVYGLVAFGLLLISQTVLMVVTRCLCCGKGLRSGGSTVCAVIFFIISWHLFLGAESLLLAGSVRNAYHTKYRSVLPLNNLSCAMLRRGVFAAAAALTFLSLVFSILYYSMHSRADTGGWQKHQNEGIGMGPSNLPQQEQHERRAEEFGKV >KGN49816 pep chromosome:ASM407v2:5:3340320:3342432:1 gene:Csa_5G138480 transcript:KGN49816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTLSSSPSLLLSFKPRPLKKIPPPRVTVLPLRVRAEAGASSGNSKDTSLEVHVNPSSQGQGTSVERRPGSKRLALDISPYGILDPLSPMRTMRQMLETVDRLFDDAVMMTPGLRWNRGGVRAPWDIEEHENEIRMRFDMPGLSKEYVKVSVEDNFLIIKGGHEAETSNTSSNDDGWSTRNASAYHTRLQLPDGVDKDNIKAQLTNGVLYITLPKIKVQRKVMDIEIQ >KGN52218 pep chromosome:ASM407v2:5:24409260:24414709:-1 gene:Csa_5G616380 transcript:KGN52218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAMEKDFDAKLMIQGNASNGGNVQRSKSFAFRAPQENFTIQDFELGKIYGVGSYSKVVRAKKKDTGIVYALKIMDKKFITKENKTAYVKLERIVLDQLDHPGVVRLFFTFQDTFSLYMALESCEGGELFDQITRKGCLSEDEARFYTAEVVDALEYIHSMGLIHRDIKPENLLLTTDGHIKIADFGSVKPMVDSRITVLPNAASDDKACTFVGTAAYVPPEVLNSSPATFGNDLWALGCTLYQMLSGTSPFKDASEWLIFQKIVARDIKFPSYFSEQARDLIDHLLDLDPSKRPGAGAEGYVSLKSHPFFEGVDWSKIRSQTPPRLALEPGSHSNENDDSSESSWNPSHIGDGTSRQNDGNAGSASTSEGSHHITRLASIDSFDSKWQQFLEPGESVLMISMVKKLQKITSKKVQLILTNKPKLIYVDPSKLIVKGNIIWSDNPVDLSVQVTSPSHFKICTPKKIASFEDAKQRAWQWKKAIEVLQNR >KGN52280 pep chromosome:ASM407v2:5:24798793:24799324:-1 gene:Csa_5G623440 transcript:KGN52280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQHAASIEEFPYQIQLVFIKEHLRPALLHTSINTAIFMLLPSPLPLSPSRVGWEVETIYGCNYI >KGN51660 pep chromosome:ASM407v2:5:21111832:21112020:1 gene:Csa_5G589280 transcript:KGN51660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLLGFSGLGPKTKNIVVAGGLTAFVFGVYFYTMRAVGGSDELQVAIDQFESQKRNKESNV >KGN51157 pep chromosome:ASM407v2:5:16520490:16521353:1 gene:Csa_5G468990 transcript:KGN51157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSEGEEARNRNPDENFLHEDFPVVTVENQIASSESESSESSTPESSDMRLRAVVVEAIMRGCEVVKAKVVSGIVGFGWYGVRLWSIFGATAMAGLLIVLLFLLKMKVWLPWRPRGLAVRRDREEPLVLLLKHKDEKINELLVQIAQMNETLSARRKVPVVRIK >KGN50365 pep chromosome:ASM407v2:5:6711328:6712466:-1 gene:Csa_5G169010 transcript:KGN50365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFYTLSRRELQALCKRNKIPANITNVAMADALAALQSVEGIEEFLDGDRSGVPESPMKGEEVVSSEIARTALRTSTRRKAVKDETITTRSRRGAAARDTEESENRDLNMAFTTPSLPGSRRRTAAASSACKKVDFQMTVDDQKEDKDLDQEKKEIENTPAVPKSQKRVAGASTRKRTETKDSGAAEQRVYSTRRSVRLLEKNMESLSLEGDEKMEPITVHMSFDDMPNISEPVKENMELETESKKTDESVSKLDEGFVA >KGN51460 pep chromosome:ASM407v2:5:19499934:19500296:1 gene:Csa_5G550235 transcript:KGN51460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLPNHIPPRRIGVEAQNLEVPIIVNNQFPEPGRIKISRVNWVLIISVDLTCIFEILERVISPPIPLCSKRQINRIHKRIFAVVRDDGGSIQCLIRQDSIGGELEAFPCSVNQITIVAKD >KGN52005 pep chromosome:ASM407v2:5:23122314:23124421:1 gene:Csa_5G607440 transcript:KGN52005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRIINQLSSSHRSIRDTALLLLFELSKSQSLSDPIGSVTGGISGLISMKDNSLDEFSSEKVDETLRNLEKFPTNIKLMAEGGLMEPLIRHLTEGSEWMRIEMASYLGEIVIRHDCMAYVAERASPVLVKMVHEGGTFTRKAALKALLQISSHRPNGRTLAEAGAVQVMAEEMFTRTIRDELNDPKAEATKILANICEFGLDLETLQVNAQGYTMNSEYVVYNIIELLKNSTSDESIFSTSLIRILLCLTKSPKSMDTIVSGVKNTEACDTLIYFISSPDEELGAAAIKLLISLSAYMGFTMTERLCKTSDQVANLISSIALTNQIKEKQTLSATFLAKLPHDSLALNTILVNKNTVPKLLQTINHIQSNGTGMSRYASALLEGSVGILVRFTATIYDPQILFLAKLHNFTSVFANLLTQTSSNEVQKLSAIGLEKLSSVSTSLSKPLNIKNKVMKFLHLPKLLSLGPSQKGHLRVCPVHKGACSSQNTFCLVHAKAIEKLLTCLDNENEEVVEAALSAICTLVDDKVDMDRSVRLLIEFNTIRHVLNVVGIHKQESVLHKSFWLMEKLLLKGGEESLSNISQDRSLPAILATASHQWNSETRRIAEKILTHLKKVPNFSAPNYSL >KGN51326 pep chromosome:ASM407v2:5:18191720:18193004:1 gene:Csa_5G517730 transcript:KGN51326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIVGATATTTTSTTTPTDPPFYFDEKWKFSKKEGLNRSTRSSSFPIIKNSSHRRCSFTRKCARLVKEQRARFYIMRRCVTMLICWHDYTDS >KGN52527 pep chromosome:ASM407v2:5:26722920:26729905:-1 gene:Csa_5G641600 transcript:KGN52527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDLSEFTIIKEGEAEILMHAKNEVFFNKTQVNNRDISIAVLRSFIAKRKQEHEAKLSKRSKSGQKLSENNGSETATEEACNESATNDEKCDTDCDAHEKPSNECGSPMLSEEQKEIVEGKEQRGPKPIRVLEALSASGLRALRYAREVEGVGQVVALDNDKASVEACRRNIKFNGSVACSKVESHLTDARVYMLTHPKEFDMVDLDPYGSPSIFLDSAVQSVVDGGMLMCTATDMAVLCGGNGEVCYSKYGSYPLRGKYCHEMALRILLACIESHANRYKRYIVPVLSVQMDFYVRVFVRVYTSASAMKNTPLKLSYVYQCTGCDSFHLQQIGRSVSKNNSVRYLPGFGPSVAQECSDCGKKFNMGGPIWSAPIHDQEWVTSMLADVKSMKDSYPAYDRISAVLTTISEELMDVPLFLSLHNLCATLKCTSPSAVNFRSAVINAGYRISGTHVNPLGLKSDAPMDVIWDIMRCWVKNHPVKTQPEDQAGSIILAKEPVLEANFARAVASLSKAQAKKIARFLPNPERHWGPKLRAGRQITSKHISLLGAEAVNGALSHTDSEEPNAKRSKVEEEPAPNQ >KGN50068 pep chromosome:ASM407v2:5:4839747:4841360:1 gene:Csa_5G152830 transcript:KGN50068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGAAAFLTVKNKSKLIHPPKGSWGSLTSTVQRQGMKNDSEGESVVTKEKADPIAAFSRPPPLPPLLGPLAVFSLLETYLSPDGNDD >KGN50095 pep chromosome:ASM407v2:5:5019815:5030007:1 gene:Csa_5G153100 transcript:KGN50095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESKLKLELVEWLNCMLPHINLPLDASDEELRLCLSDGSVLCSILDKLCPGAVQGGNSKPITPDIERFLITLDELGLPGFEPSALEQGSIAPVLHCLSTLRASFDLSVGDEYTQNHSRKKWNLYEVDSLDGINNLSGQRFQDFPNGSVVSVPSYGLNSHIQYEDYGGQEQNHDVSGSNIVELIKSKNLENVSTQSLFNMISRILDGSVETKNGDVSHQVAYILRKVVQVLEQRILTHAGNLKHQSNLLKAREEKFLSKLRVLETLATGTTEENEVVMNQLQRLKIEMFKVEEMKNCEEQDKMALKEQKALCDVELSDLKDELEKAKREHENYCLQQETNAKEEKAKFEEKLNELECLLADSRKHVKDLETFSESKSLKWKKKEFVYQNFIDDLLRAFQELRISVDSIKREVLNTKGNYAEDFNFLGMKFKGLADVAHNYHAVLNENRRLYNEVQDLKGNIRVYCRIRPFLPGQSKKLTTVEYIGENGELVIINPAKQGKDNRRLFKFNKVFGPTCSQEDVFLDTQPLIRSVLDGYNVCIFAYGQTGSGKTYTMSGPDVSLRTEWGVNYRALNDLFEISQSRKGSISYEIGVQMVEIYNEQVRDLLSTSGLPKRLGIWNTTQPNGLAVPDAGMHPVRSTGDVLDLMKIGLTNRAVGATALNERSSRSHSVLTIHVRGVDLETDAILRGSLHLIDLAGSERVDRSEATGDRLKEAQHINKSLSALGDVIFALAQKTPHIPYRNSKLTQVLQSSLGGQAKTLMFVQINPDVDSYSETISTLKFAERVSGVELGAARSNKEGRYVRELMDQVAVLKDTIANKDEEIERLQLLKTNGNGVKHGVGSLRQESFSPRRHSSMTPRQSQKSSGRKGLGMNKAASDVDNFSDYDRRSEAGSLQSMDDFKYHKRSESGSHLFIEDFRQHKHSGSGSHLSVEDFGHQKESSSQLRDLSQNVTDDVDLLGFGNADSDERLSDISDGVLSMGTETEGSICSVVEYTLFPEVVKPSDVSFADTKYPESTLDAKRQAESATTGVKSLVPIPEKTNPPPKTGIRPPQKPVQAKSSRVSLTKSSSKAPTASNTKLFIDKLKSSKGDQRRIQKSSPIGVNNKRIITTKESGKSAPS >KGN52539 pep chromosome:ASM407v2:5:26837752:26839447:1 gene:Csa_5G642700 transcript:KGN52539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFFSISKLHLQPNINMPLPDQTHNNSPSPVPSIPSLRREDSPNPMDFNPFATVPSIVTSAAADVSHPFETDWFSDSDSESDRDVSCFVTDLFDNRSFTDPLHDHDHDHDGALFSVLDPFTHSVNDLGVSGFEGSGEIGSNYVEELWSTFGVESDTRVSEVDVSMQIADSITTGLRVVDVDSDSDSDPGIIGALQLVTGDDVENDGNWVESSNPVSDTLNLCFQGQRISYEDFEWEEVEERVDEREISSVVVDRAEELSLASGFSNEEEPGEEVGRWEILFVMNDIGRNNDAEAYIADQDDYMYAAEYDTLFGQFVENDNALKGSPPAAKSAVENLPLVELKTENTLAEEVVVCAVCKDKFSMEEKVRKLPCGHYYHDDCILPWLNIRNTCPVCRHELPTDDPDYERRQSQRASGGLQSDLQVRYNFELIA >KGN52057 pep chromosome:ASM407v2:5:23468483:23470464:1 gene:Csa_5G608450 transcript:KGN52057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATISLFLLSLLFSSLSAFHCRTLHPTPTTSVLDVAASIQRTQQVFAVEPKSSTPDETTVSDPSSLSLQLNSRISVMKASHSDYKSLTLSRLKRDSARVRSLTARIDLAIRGITGTDLEPLGNGGGGGSQFGTEDFESPIVSGASQGSGEYFSRVGIGRPPSPVYMVLDTGSDVSWVQCAPCAECYEQTDPIFEPTSSASFTSLSCETEQCKSLDVSECRNGTCLYEVSYGDGSYTVGDFVTETVTLGSTSLGNIAIGCGHNNEGLFIGAAGLLGLGGGSLSFPSQLNASSFSYCLVDRDSDSTSTLDFNSPITPDAVTAPLHRNPNLDTFFYLGLTGMSVGGAVLPIPETSFQMSEDGNGGIIVDSGTAVTRLQTTVYNVLRDAFVKSTHDLQTARGVALFDTCYDLSSKSRVEVPTVSFHFANGNELPLPAKNYLIPVDSEGTFCFAFAPTDSTLSILGNAQQQGTRVGFDLANSLVGFSPNKC >KGN50427 pep chromosome:ASM407v2:5:7183243:7184012:1 gene:Csa_5G173540 transcript:KGN50427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYITCIPFLPFFLLLLLLFLLLFPLFSLSLSPISILRIHSQAKGFSFHYFSGFNSLFRFSRDFWILLK >KGN52201 pep chromosome:ASM407v2:5:24272495:24276664:-1 gene:Csa_5G615240 transcript:KGN52201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSEAALQIFSGVVPRAVCPTPCSSNFDSTFSFLSRVKFVKKKGVLSNRNLSKCSSRLLQGIGTSFSGKSKCNRRPLYSCRCQQAQSTSGMTPEGGNGTWFGDGAETSRPINNTPNGSSALEFQDVQFAKQENGTNGAVRDPFHKISIESIEDEAWDLLRESIVYYCNSPIGTIAARDPTSSNLLNYDQVFIRDFIPSGIAFLLKGEYDIVRNFILHTLQLQSWEKTMDCHSPGQGLMPASFKVRTVPLDGDDSATEEVLDPDFGEAAIGRVAPVDSGLWWIILLRAYGKCSGDLSVQERVDVQTGIKMILRLCLADGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALVCAREMLTPEDGSADLIRALNNRLVALSFHIREYYWVDLQKLNEIYRYKTEEYSYDAVNKFNIYPDQIPSWLVDWMPTKGGYLIGNLQPAHMDFRFFSLGNLWSIVSSLTTIGQSHAILDLIESKWGDLVSDMPFKICYPALEGQEWQIITGSDPKNTPWSYHNAGSWPTLLWQLTVACIKMNRPEIASKAIEIAERRLSRDKWPEYYDTKKGRFIGKQARLFQTWSIAGYLVGKLLLAEPSKANILITAEDSDLVNAFSCMISSSPKRKRGQKNSNPTYIV >KGN49625 pep chromosome:ASM407v2:5:1039324:1048333:1 gene:Csa_5G031450 transcript:KGN49625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTTLSTSITTRGDSFPNFISIPNKPLNPKVISFSFLKRAAPRGVAATWSTAEHGGALAAEEVDEEMGRIRRLQNGSDVRGVAIAGEKGRVVDLTAATVEAIAESFAEWVIEGMETGQSVSVSVGRDPRISGGALSVAVFAGVSRAGCLVFDMGLATTPACFMSTVLSPFSYDASIMLTASHLPYTRNGMKFFTKRGGLSSPEVEEICNRAAMKYANRVVKVSTLLRTPPSKVDFMAAYSQHLRDIIKQRINHPLHYDTPLKGFQIIVNAGNGSGGFFTWDVLDKLGADTFGSLHLNPDGMFPNHIPNPEDKTAMSLTRAKVLENKADLGVVFDTDVDRSGVVDHQGNPINGDKLIALMSSIVLRDHPATTIVTDARTSVALTKFITSRGGRHCLYRVGYRNVIDKGIQLNMDGIETHLMMETSGHGALKENYFLDDGAYMVVKIIIEMVRMKLEGSDEGIGSLIKDLEEPLESAELRLNVISDPSFAKEKAVEVIETFRDFVQEGKLEGWELDSCGDCWVHEGCLVDLNDHPKPIDAQMYRVKVFDKENGEVGWVHLRQSIHNPNLALNMQSSLQGGCLQITKDFRDKFLMASGCDRFLDVDQVEKFVNTGLLL >KGN50344 pep chromosome:ASM407v2:5:6628567:6628887:1 gene:Csa_5G168815 transcript:KGN50344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLQQPCTTKKINNTSIVLNLWCYPIFIHHSFETFHPLCHYASMTISIQDCQECYLIWPKTLLLHPIQSFHNLPCLPMISVTSYHCRPSFYILILHLIKNVNRLV >KGN50315 pep chromosome:ASM407v2:5:6465366:6467884:-1 gene:Csa_5G167070 transcript:KGN50315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLILPLASATLFLLLLITIFLLRLKLSKNHDIEKSSTTRNNNNTKTDHTPPPPPPELTTFEGGEDLTIDEILEAPGEVIGKSHYGTLYKAVLQTTQTIRLLRFLRPAAAGCDDQHDLVRLLGSVRHPNLVPLLGFYAGGRGEKLLVHPFYEHGNLAEFITDKESKNGVVICKIAIGIARALHHLHNGLQKPIPHGNLNSKNVLLDQNFHPHVSDFGLHLLLNSPATRQMLQLSASSGYKAPELINMTDADELTDVYSYGKILLELLMSSKDPTVRNPAATGDSGVSQEPILQCLVQIGEDCCRSSPNLRPDFREVIAKIEEIGRIEMN >KGN49607 pep chromosome:ASM407v2:5:797727:799275:1 gene:Csa_5G023870 transcript:KGN49607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSWRRRRATRRMARGGLSHTIELTIPTHFRCPISLDLMKDPVTLSTGITYDRASIETWIEGGNFTCPFTNQPLQTIDSIPNHNIRKMIQDWCVENRAYGIERIPTPRVPASPAQVREILEKMAAAARRGDCDGCKSMVEKIKKLGKESERNKKCIIANGTGNTLSSIFEAFSNPETFEKRIEILEEILSAMTVAFPLQEESIKHLKSETSLQSLVWFLKGGDISGRRNSVLVLKEIISSYPEKVDELGEIQGALEGLIKLIKDPICSSSRKASLFITYHVIASTSSSSNRERFTKELLQMGLVSLLLETLVDAERSVCERALGAFDGICETKQGREEAYAHALTMPVIVKKILRVSDLATELSVCIVWKLVKHESKEEEDGGIKVEALQVGAFQKLLLLLQVGCSEWTKEKATELLKLLNNLHRDRLECIDSLDFKDLKRTF >KGN52702 pep chromosome:ASM407v2:5:27843416:27844560:1 gene:Csa_5G650625 transcript:KGN52702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSLSALTVKELKQLENRLERGITRIRSKKHEMLLAEIEYLQKREIELENENVCIRTKIAEVERVQQANMVSGQELNAIQALANSRNFFSPNIMEPAGPVSYSHQDKKMLHLG >KGN50236 pep chromosome:ASM407v2:5:6025313:6029167:1 gene:Csa_5G161850 transcript:KGN50236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEWFLFFDGIFLRNRQPHPFLYRRRHLLYRLISQFRFHLLFLHRLLIHLYPATGTPPAINSRTVLPPPRTVSYRQPTDYTNFPHSISNRLPKCPINALQLGVCAKLLGGVVDVEIGKTSCCPLISGLVDLDAAVCLCTAVKAKVLGLNLNIPVDLSLILNGCNKKLVEGFTC >KGN49786 pep chromosome:ASM407v2:5:3140161:3140625:1 gene:Csa_5G128240 transcript:KGN49786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSKFLFLSFILFLGTSLPLMTEGHRRREKIYGISSLISEELFKTLFLHKDDGACPANGFYSYQAFIEATWRFPKFGTTGCLATRKREIAAFLAQISHETTGGWATAPNGPFAWGLCFKEEISPQSNYCDDSVKEWPCSPGKSYKGRGPIQLSW >KGN50116 pep chromosome:ASM407v2:5:5178251:5181718:-1 gene:Csa_5G154780 transcript:KGN50116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRFPISTSIFPPSRRPIWELSLRKRSAAVAVPAAAIFFLAAVLISSTRLQFTLFHTNFLGNQTEKIPKKSIKYYPLNCSSSSTTNQTQHFTCRKDYPTLYEPESIGPSGRSVCPEYFRWIHEDLKPWAAGGITREMVEKGKATAHFRLAVVRGIVYVEHYKKSIQTRDLFTIWGILQLLRRYPGQIPDLELMFDCDDRPVVKSADYRNAGVDTVEAPPVFRYCGDEETLDIVFPDWSFWGWAEINIRPWENLLKELKKGNEKRKWMKREAFAYWKGNPYVADTRQDLLKCNLSLQNDWNARLYIQDWIQESQQGYKQSKLANQCTYRYKIYIEGYGWSVSEKYILACDSMTLLVKPNFYDFFSRSLEPLHHYWPLSDDHKCKSIKFAVHWGNSHKQKAQDIGKTASNFIQQELRMENVYDYMFHLLNHYAKLLRFQPEIPTGAMEVCSETMACPRDGPEKKFMKESMVKTPSLTIPCSMPPPFDTPSLQRLYRRNANLISQVEKWENHFWIQN >KGN52184 pep chromosome:ASM407v2:5:24185311:24186604:-1 gene:Csa_5G613615 transcript:KGN52184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMASFAFTQLFTIDSKQHITVREHNNSRFVERRGGGRGVIPIYVAGASSGRHGKHSHSLGNRPNNYIEFIIIGVSISIALLCRFI >KGN50773 pep chromosome:ASM407v2:5:10614572:10615648:1 gene:Csa_5G255670 transcript:KGN50773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSPMFNLECEKDSEMSSKAFSDTAKDSGTTSCLTNSIKANHDQGLVSLDLTLHFNSNDIDAKGSGETSSDVVGHISGPTSLRIFSCNYCQRKFFSSQALGGHQNAHKRERTMAKRAMRMGMFSNRYTSLASLPLHGSAYRSLGIEAHAAVHRKILPGERPFSARPGAMIDQGYIGMQYFVEEDDVGPFWPGSFRRVNGEFIDSTAREASEIPSLNSDTRMAPSTTSTSSPDLTLRL >KGN50900 pep chromosome:ASM407v2:5:12927952:12928176:1 gene:Csa_5G318410 transcript:KGN50900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALREERQIGVVTNHLIPIYMARRSMETVTLTEPEAKTRTRGSCARTGRDARCSACVIRMMEGFASDEREWLG >KGN50309 pep chromosome:ASM407v2:5:6426966:6429538:1 gene:Csa_5G167020 transcript:KGN50309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVPLLDETVEGVVDYHGHPVCRSISGGWRSASLIIAVEIAERFAYFGVSSNLINFLTDQLHQSTATAAKNVNTWSGTATLLPLLGAFLADCFLGRYRTIVFSSALYILGLGFLTVYATLPSPDISACQETENSLTCSPNLVQVMVFFFSLYLVAFAQGGHKPCVQAFGADQFDGQHPEESKAKSSFFNWWYFGISLASLFTVNIMSYVQDYLSWSLGFGILCIAMVFALAIFLLGTRTYRFSNRGDEENPFVRIGRVFITAIRNLHVNSSEIAHVEETHGLLPHNNSTQLSKEDDRGRMIKQDHCVEFK >KGN49774 pep chromosome:ASM407v2:5:3050071:3051867:-1 gene:Csa_5G118170 transcript:KGN49774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYCDNEEDCVHTTLTSKSISFHQLKMDDAGVPWSELPPEIWPAVGKHLHNYIDVLRFRSVCRSWRSSLPPFSQTSPPLPLPFPSPYISPTLPLHAFLSQTTLYRLGPLNHQPTPNLSSSSSPTPPNGRLVKVEKSELGKMRFLHPLSKKIIPRNLEDPSEGHNEFNLLHLRIHELAVSYSLKYTDTTCVPGISKIVLFPNSSGSDVKDCTIIAVFEGGKLGFARSGDEKWTLIDEQNFHYDDVIVYNQQYYTVDRWGTVFWIDLSMRLVQFSPPLIGLGHQKHLVECGGELLVIDRFLNKERHFQPVIAAVEDAEVQQQQQPFIIPVRHTPYNSLPKAVDFKAYKLDQEWGTWVELKNLRNQSIILGNDCCFSIEASEFEGCKKNCVYYTDVREDEFCKRSTSRVFDVEGKRFGNILGHPGRMIEIFNSPPIWLSQSIPPLKDILFSSPHRHEN >KGN51604 pep chromosome:ASM407v2:5:20742157:20749201:-1 gene:Csa_5G583340 transcript:KGN51604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDRIEDDEREALAGLSTVPPPRKSHSYSQQLRAGSDQKRFQIRKHSLDEDQIPKVKEGYCDSSDDDFLPYSTTSAIGGEEFLSQRLDQNLCMDGGGGIDDSRQSQALAEFVGSGGSTGFFKVPIRASVHPGRPTCLELRPHPLRETQIGKFLRNIVCTETQLWAGQECGVRFWNFENAYEAGSGLGGRVRRGDEDAAPFYESTNTSPTMCLIVDNGNRLMWSGHKDGKIRSWKMDHCFEEMPFKEGLSWQAHRGPVLAMTLTSYGDLWSGAEGGIIKVWPWEAIEKSLCLSSGERHMAALLVERSYIDLRGQVTVNGVCSISSQDVKCLLSDNVKAKVWCAGALSFSLWDAQTRELVKVFNVDGQTETRVDVLTPPQDQAVEDEMKVKFVSTSKKEKPQGFLQRSRNAIMGAADAVRRVAKGAGAFTEDIKRVETIMLATDGMIWSGCTNGMLVQWDGNGNRLQDFNHHPYAVQCFCAFGTRMYVGYVSGVIQIVDLEGNLVAGWVAHSSPVLKMAVGGGYVYSLANHGGIRGWNMTSPGPIDNIVRTELAAREVLYTRKQNVKMLVGTWNVGQGRASHEALMAWLGSAVSDVGIVVVGLQEVEMGAGFLAMSAAKETVGLEGSAVGQWWIDTIGKALDEGTTFERMGSRQLAGLLISLWVKKNLRTHVGDVDAGAVPCGFGRAIGNKGGVGLRIRVYDRIICFVNCHLAAHLEAVNRRNADFDHIYRNMVFNRSSNLLNNAAAGVSTSVHTLRATNVAAVNPEEPKPELSDADMVVFLGDFNYRLFGISYDEARDFVSQRCFDWLREKDQLRAEMKNGKVFQGMREALIRFPPTYKFERHRPGLAGYDAGEKKRIPAWCDRVIYRDNRSAPVSESSLDCPVVSSVLLYEACMEVTDSDHKPVRCKFNLQISHADRSVRRKEFGDIIKSNEKVKSIFEELLYIPETTVSTNTIILQNQESSLLYITNKCLKDEATFRIISEGQSSIKDEGEVRDYHPRGAFGFPRWLEVTPAAGIIKPEQSIEISVHHEESHTLEEFVDGIPQNWWSEDTRDKEVMLTVIVEGSCSTRSFSHQVRVRHCFSNKTVRADSKSNSTKKT >KGN52608 pep chromosome:ASM407v2:5:27315094:27318829:-1 gene:Csa_5G647310 transcript:KGN52608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISTSRLGRRRWIGQRTWRNRFPFRFSSRRLFRLTILCLAIIAILPPIYFHFKLKRFQQIQQRNCKWLTHPPLVCAHGGDSSKAFPNTMDAYSIALRSQANCIEVDVSRSSDGVLFALHDRDLQRITGSNSSKVGNYSMKEIKNLGAVYQHTHKLLDFNIPTIEEALVSISKSVQQVILDAKVGPPSYENGLAKDIISVVEKTQCKNCLVWAKSDSLARDIIRSSSDIKVGYIVMKDPSTGERTNLLRMKGAKVVGVYHQLIDGDLMRILHRRDRKAYAWTVDDIESMKRMLVEGVDAVVTSNPTLLGSVMQESRTTCLENGFSISS >KGN50260 pep chromosome:ASM407v2:5:6158261:6161012:1 gene:Csa_5G162580 transcript:KGN50260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKLAVGSAADSFSAASLKAYLSEFIATLLFVFAGVGSAIAYGKLTSDAALDPPGLVAVAVAHAFALFVGVSMAANISGGHLNPAVTFGLAIGGNITILTGLFYWIAQLLGSIVACLLLQFVTNGKSIPTHGVAAGLGAIEGVVFEIIITFGLVYTVYATAADPKKGSLGTIAPIAIGFIVGANILAAGPFSGGSMNPARSFGPAVVSGDFSQIWIYWVGPLIGGGLAGLIYGDVFISSYAPVPVSGDYA >KGN50372 pep chromosome:ASM407v2:5:6754973:6758012:-1 gene:Csa_5G169080 transcript:KGN50372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNGENRRLNGEADEEDDDDDANGLAAWERTYADDRSWEALQEDESGLLRPIDNKAIYHAQYRRRLRTLSSLATTARIQKGLIRYLYIVIDFSKAATEMDFRPSRMAVVAKHVDAFVREFFDQNPLSQIGLVTIKDGFANCLTDLGGSPESHVKALMGKLECSGDASLQNGLELVHSYLNQIPSYGHREVLVLYSALNSCDPGDIMETVQKCKTSKIRCSVIGLTAEIFICRHLCQETGGSYSVALDESHFKELLLEHAPPPPAIADSAMPNLIKMGFPQRAAESSIAICSCHKEAKVGGGYTCPRCKARVCELPTECRICGLTLISSPHLARSYHHLFPIIPFDEVSDKVFHDPRHQLPKVCFGCQESLMNPSTGNSPSIRVSCPKCKQHFCLDCDIYIHESLHNCPGCESFRRPKLATSDE >KGN49974 pep chromosome:ASM407v2:5:4179928:4187451:-1 gene:Csa_5G146970 transcript:KGN49974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVPASGFIMENGGSSCLPPPPDVENRIVSELVNQSESNLKEGNLYYVISNRWFRRWQLYVGLPTEEFSSEDHSSDSQHCNMVPSNVVERPGPIDNSDIIISGSDSSENDDLELKSFLEERRDYVLVPTEVWEKLYDWYKGGPPLPRKMISQGVNQRNFSVEVYLLCLKLIDARDGSECTIRLSKKVFFIFFLSLIHEKLWMALVFALKGIKQEKACIFDYFNQQKQSILDGTSQTLEELNLQMNQHILLEVDGPTPQTGMDATRNELALVALEPSRSPLSIAGGPVMSNGHSSGYGYQGSSFSTSVSDMDDRNDLSNTAKRKEKGGLAGLQNLGNTCFMNSALQCLVHTPPLVEYFLQDYSEEINAENPLGMHGELAIVFGELLRKLWSGQTTIAPRVFKGKLARFAPQFSGYNQHDSQELLAFLLDGLHEDLNRVKRKPYFETKDSDGRPDVEVADECWRYHKARNDSLIVDVCQGQYKSTLVCPVCEKISITFDPFMYLSLPLPSTVTRSVTVTVFYGDGSGLPMPYTVTVQRHGCTKDLTHALATACCLRSDENLLIAEVYDHRIYRYLDNPLESLTSVKDEEYLVAYRLPQRESGRPKLEIIHRSLEKCPMERVKGMERKLFGTPLVTYLGEDFHSGADINAAVSKILLPLRRTYSSTKSNGSKENGFVSEMNDEPANCSPQSESRSQAVDIEVEEASENEPCFQLFLTDDRSLSCKPIEKDSAIKYGPLVKVFLDWTEKEHEVYDVSYIKDLPPVHQTRFMKKTRQEAISLFSCLEAFLTEEPLGPDDMWYCPRCKEHRQATKKLDLWKLPEIIVFHLKRFSYSRYLKNKLDTFVDFPIHNLDLSKYVKSNDGKSYLYNLYAISNHYGGLGGGHYTAYAKLIDEKRWYHFDDSQVSPVGEEEIKTSAAYLLFYQRVET >KGN49957 pep chromosome:ASM407v2:5:4071694:4073010:1 gene:Csa_5G146310 transcript:KGN49957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFGVNTLQNHHLQPRNRLDLIMMEPPEAEEEAGGGSNSGNGGRGGGGEVVEREHMFDKVVTPSDVGKLNRLVIPKQHAERFFPLDSSTNDKGLLLNFEDRNGKSWRFRYSYWNSSQSYVMTKGWSRFVKEKRLDAGDIVSFQRGIGEIGRDRLYIDWRRRPELPIHHYPITTATTAIRPSIVIPSGSGATAAWGPLVWQPLGVTTAGYHHQPAAVTPRDHAHLSRNNNNSNNNNNNGNGMCCPYGYGSSSVETTSSGLVYLRTELGGKSSRRVIGTPRLGEDQELELEMDRNYNNYQMQQEYCSNGGGGASMVVLQGNYSMENHHHHHKLNSNNNNNYSEEDTPVKKSRLRLFGVNMEMESPISSPSSDECDLLLSTNPKTTTSTTQFQLPSPSIYK >KGN50305 pep chromosome:ASM407v2:5:6400431:6407289:1 gene:Csa_5G166980 transcript:KGN50305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSWWGKSSSKEVKKSKESLIDTLQRKLRTTDGKTNSKSGESPRHCNDTISEQGSRSPILSRSVSPSKQVLRCQSFSERPQAQPLPLPGVQPPIVGRTDSGISISPKPRSERGSKPTSFLPLPRPACIRGRPNHADLDADVGVGSVSSESSTDSTDLLDSRHRSPRATDYDLGTKTAASSPSSVILKDQSSTVTQPSLQKARKPANISLSNHIFSTSPKRRPLSSHVPNLQVPYHGNVCIAPDSSMSSPSRSPIRAFSSEQVINNAVSTGKFYMDVTFPGSGHCSSPGSGYNSGHNSMGGDLSGQLFLQQSRGSPEYSPVPSPRMTSPGPSSRVHSGAVTPIHPRAGGIPTDSQTSWPDEKQTHRLPLPPVAISNAPFSHSNSAATSPSVPRSPGRADNPASPGSRWKKGKLLGRGTFGHVYVGFNSESGEMCAMKEVTLFSDDAKSKESAKQLMQEITLLSRLRHPNIVQYYGSETVGDRFYIYLEYVSGGSIYKLLQEYGQLGDSALRSYTQQILSGLAYLHAKSTVHRDIKGANILVDPTGRVKLADFGMAKHITGQSCPLSFKGSPYWMAPEVIKNSNGCNLAVDIWSLGCTVLEMATTKPPWSQYEGVAAMFKIGNSKELPEIPDYLSHDGKDFVRQCLQRNPAHRPTAAQLLEHPFVKHAAPLERLILGSEHSDPTPGITNGVRTLGIEQGRNPSFLDSDRSAAHSSRLPTAAFHSSEIHIPRNLSCPVSPIGSPLVHSRSPQHPSGRMSPSPISSPRNMSGASTPLTGGSGAIPHQHLKQSLYLQEGFGNLPKPSMAPYSNGPSFHDTNPDIFQGIQPGSHIFSELVHHETDFLGKQFGKPAWELYDGQAVLADRVSRQLLSDHITTPSLDLSPSSLLTNRK >KGN52040 pep chromosome:ASM407v2:5:23349922:23355778:1 gene:Csa_5G608280 transcript:KGN52040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLRLGLVVAASIAAYAVRQLNVKNSNSVASVNKRTENGEEKEEVKHSNNDFKDDYGEEEEEEEVKLISSVFDQVPVYITEDDDILPEFENLLSGEIEFPLPEIDDSKAEKDRVYETEMANNASELERLRNLVKELEEREVKLEGELLEYYGLKEQESDITELQRQLKIKAVEIDMLNITISSLQAERKKLQEEIAQDAAVKKELEFARNKIKELQRQIQLDANQTKGQLLLLKQQVSGLQSKEQETIKKDAELEKKLKAVKELEVEVMELKRKNKELQIEKRELTIKLDAAENKISTLSNMTESELVAQTREQVSNLRHANEDLIKQVEGLQMNRFSEVEELVYLRWVNACLRYELRNYQAPTGKISARDLSKNLSPKSQEKAKQLMVEYAGSERGQGDTDLESNYSQPSSPGSEDFDNASIDSSFSRYSSLSKKPSLIQKLKKWGGRSKDDSSALSSPARSFSGGSPRMSMSQKPRGPLESLMLRNASDSVAITTFGTMEQEPLDSPGTPNLPSIRTQTPNDSLNSVSSSFQLMSKSVEGVLDEKYPAYKDRHKLALAREKQLKERADQARAEKFGNLSNSNLNSEFKGKTEKDRPVMLPPKLTQIKEKPVVPSVTADASGENKTTESPAISRMKLAEIEKRPPRTPKPPPRPSGGASVSTNPNPQGGVPAAPPLPPPPPGAPPPPPTGGPPRPPPPPGSLSKGAGGDKVHRAPELVEFYQTLMKREAKKDTPLLSSTSSNVSDARSNMIGEIENRSSFLIAVKADVETQGDFVMSLAAEVRAATFSNIEDVVAFVNWLDEELSFLVDERAVLKHFDWPEGKADALREASFEYQDLMKLEKRITTFVDDPKLSCEAALKKMYSLLEKVEQSVYALLRTRDMAISRYREFGIPVDWLSDTGVVGKIKLSSVQLARKYMKRVASELDAMSEPEKEPNREFLVLQGVRFAFRVHQFAGGFDAESMKAFEELRSRVHTTQIGDDNKQEA >KGN52097 pep chromosome:ASM407v2:5:23699983:23704976:-1 gene:Csa_5G610320 transcript:KGN52097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSSKTEGPSTPALRRDPYEVLSVSRDSTDQEIKTAYRKLALKYHPDKNVGNPEASELFKEVAYSYNILSDPEKRRQYDSAGFEALDVDGMDMEIDLSNLGTVNTMFAALFSKLGVPIKTTISANVLEEALNGTVTIRPLPIGTSVSGKVDKQCAHFFGVTINDQQAAAGIVVRVTSTAQSKLKLLYFEQDANGGYGLALQEDSEKNGKVSSVGMYFLHFQVYRMDSTVNALAMAKDPEAAFFKRLEGLQPCEVSELKSGTHIFAVYGDNFFKSATYTIEAICAKSYEDTTEKLKEIEAQILRKRNELRQFETEYRKALARFQEVTNRYTQEKQFVDELLKNRDSIHSSFTVSRPANHNDIGSGLSNGKGDDSKVYTTGEDGGSDGKDKPTKKKWFNLNLKGSDKKLG >KGN49946 pep chromosome:ASM407v2:5:3966872:3971199:1 gene:Csa_5G146190 transcript:KGN49946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPESESGTPVASAPATPGTPGGPLFSGLRVDSLSYDRKSMPRCKCLPVNAPTWGQPHTCFTDFPAPDVSLTRKLGAEFVGTFILIFAATAGPIVNQKYNGVETLIGNAACAGLAVMIVILSTGHISGAHLNPSLTIAFAALRHFPWVQVPAYIAAQVSASICASFALKGVFHPFMSGGVTVPSVSIGQAFALEFIITFNLLFVVTAVATDTRAVGELAGIAVGATVMLNILVAGPSSGGSMNPVRTLGPAVAAGNYKALWVYLVAPTLGAIIGAGTYTAVKLRDDEVDAPSQVRSFRR >KGN52456 pep chromosome:ASM407v2:5:26269755:26270518:1 gene:Csa_5G636490 transcript:KGN52456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIHQSKEWQEGSGKKGFKLILEWQDKHYGKLLHHKLQLLISLNYSYASSTENIAKLLTGWMKTPAKTEVKTESSGGSGGDGGGDGEFRSILRYECYENGNTCENHEEGMNCEGLPFSMIEKWLLEESGGAQPRDCELNDIGILDENI >KGN49917 pep chromosome:ASM407v2:5:3810787:3811272:-1 gene:Csa_5G139940 transcript:KGN49917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGNDDWDLSAVVRSCNSAGSATDPTSAAAEESALSCLASLTFDDDPNDVAFSFSDIFQPKQPNGFHELHQAFISFLPNPSTTATTVTTVPAAEPEIPYLTTPPTNRHFRQVMKPIRPNPHPHPVALHHHHRQPPFSPDLPNSPMTHSLIPKSRKRQVII >KGN52015 pep chromosome:ASM407v2:5:23193797:23201990:1 gene:Csa_5G608030 transcript:KGN52015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQDHSGSESHQKRAGLLKDQVRLIKRKDSDRYEIVSIQDPLSFEKGFFIVIRACQLLAQKNDGIILVGLAGPSGAGKTVFTEKIMNFMPSIAIISMDDYNDASRIVDGNFDDPRLTDYDTLLQNVQDLKAGKQVQVPIYDFKSSSRIGYRTVEVPSSRIVIIEGIYALSERLRPLLDLRVSVRGGVHFDLVKRVLRDIQRAGQEPEEIIHQISETVYPMYKAFIEPDLETAHIKIINKFNPFTGFQSPTYILKSARKITVDQIKAVLAEDHTEHKEQTYDIYLLPPGEDPESCQSYLRMRNKEGKYSLMFEEWVTDNPFIISPRITFEVSVRLLGGLMALGYTIATILKRSSHVFSDHRVCVKIDWLEQLNRQNVQVQGKDRLVVKHVAEQLGLDGSYIPRTYIEQIQLEKLVNEVMALPDDLKSKLSLDEDLVSSPKEALSRASADRVSLRNRNLKSGISQSYTTQREKKLSGYGSNNQRFVDRNTESQAMLANQGAITQLSEQISSLNDRMDEFTARIEELNSKLSFKRNSPSQQNINLQSETCNGSVPTSYFVSGLGNGSLTGSIIPSSSSFSQLAKDSPIMDEISGISRGQRQVMHQLDCLSNLLRERDNAGDRSRQVRTKKKAIMPDPEPLKLPLLLTLAVGGVGVVLYKSFLSRN >KGN51798 pep chromosome:ASM407v2:5:21985938:21990736:1 gene:Csa_5G601480 transcript:KGN51798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENDLIKNTITRRVASISSHLVPIPQTPNPDSIHLSSASIDDSYHRKHGTVSTLPVVWSKAGDEFGKEFTDIIYEKAVGEAIAKITINRPERRNAFRPQTIKELIRAFNDARDDSSIGVVILTGKGTEAFCSGGDQALRKKDGYSDYDDFGRLNVLDLQVQIRRLPKPVIAMVAGYAVGGGHILHMVCDLTIAAENAIFGQTGPKVGSFDAGYGSSIMSRLVGPKKAREMWFLTRFYSASEADKMGLVNTVVPLDQLEQETIKWCREILRNSPTAIRVLKSALNAVDDGHAGLQALAGDATLVFYGTEESNEGRSAYMERRPPDFSKFPRKP >KGN52535 pep chromosome:ASM407v2:5:26802043:26805942:1 gene:Csa_5G642170 transcript:KGN52535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTVTSSMAAKFAFFPPNPPSYKVEEVEEGSGKLVMTEVATRRNVDVLKLSTKRGNQVVALYVKNLSANLTLLYSHGNAADLGQMYDLFVELSVHLRVNLMGYDYSGYGQSSGKPSEQNTYADIEAVYRCLVEKYGAKEEDVILYGQSVGSGPTLDLATRLPNLRAVVLHSPILSGVRVMYPVKRTFWFDIYKNIDKIPLVNCPVLVIHGTADDVVDWSHGKQLWDLCKEKYEPLWIKGGNHCDLELYPQYIKHLKKFISAIEKSQPRSGPGLLTNQLDIPRNSTDFREKSRPSTDQREKTRMSVDKREKPRISTDCREKVKVANAHGDRSRKMLDRPDKLATCADQPEKARNSIDRFGDMVRSVGLCNIDCFKPTATHV >KGN50567 pep chromosome:ASM407v2:5:8256940:8262279:1 gene:Csa_5G182720 transcript:KGN50567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTDTYSKLGTDISLRSALKSGDYGEDLGMLRRQQRQQQQLEAVSDREKELNLCRSGSAPPTVEGSLTAVGDMFNASDLLGFNKAAGKGFISDEELRSDPAYVNYYYSNVNLNPRLPPPLLSKEDWRFAQRLHGGGGAGGLGGIGDRREGSRGGDEGVNRNGSLFMLQPGVGTKEDPGIDSRRVARDWTGDGLIGLPGLGLGSRKKSIAEILQDDISNGMNISRHPSRPTSRNAFEDTLEASESQFAYLHQDMATIGGNKQGLSAVQGVGASAPHTYASAVGASLSRSATPDPQLVARAPSPRIPPVGGRISSTMDKRNASGPNSFNGVSLKASDPSDLVSSFSGMNLSNGILDDESHLRSDIQQEIDDRHNFFNLQTDQNDMKRYLGLKNTDSGKFHLHSSSHSARGSHQNNSLASGVDQSEFNKQAVSSPTSYMKGPYKQTLNNARGSPSRNQNIDNGNSSFLNYGFSGYTTNPPVSSIVGTHLGSGNLPPLYENAAAASAMGMSALNNRAFNGLALGSSMLETASEFQNNNRLENHNAMNGMQLSGLDPSYIQYLGSNEYAAAQVGGISDPPLDSDSLMGNGYMDLLGVQKAYLGALLSPQNSQFVLPYFGKSGSLNHNYYGNPGYGLGMSYPGSPLAGSLLPGSPAGSGNALNHISKALRFSSGMRNFAGGGLGGWHSEGGGNMNGGFVSSLLDEFKSNKSKCFELSEIAGHVFEFSSDQYGSRFIQQKLETASVEEKDMVFHEIMPQALSLMTDVFGNYVVQKFFEHGTASQIRELADQLNGHVLALSLQMYGCRVIQKAIEVVDVDQQTKMVTELDGQIMRCVRDQNGNHVVQKCIECIPEEAIQFIVSTFYDQVVTLSTHPYGCRVIQRVLEHCHNPKTQHIMMDEILQSVCTLAQDQYGNYVVQHVLEHGKPHERSAIIKKLTGQIVQMSQQKFASNVIEKCLTFGTSAERQALVNEMLGTTDENEPLQVMMKDQFANYVVQKVLETCDDQQLELILNRIKVHLNALKKYTYGKHIVARVEKLVAAGERRISILTPKPAQVVG >KGN49697 pep chromosome:ASM407v2:5:2233144:2233353:1 gene:Csa_5G074690 transcript:KGN49697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHFSTSDTASLQPLYCSSAQLFHFWHNDSYGTIHATPTTQESTDTNNHSVEHATKVGREQRQQYRARH >KGN51605 pep chromosome:ASM407v2:5:20754210:20758105:1 gene:Csa_5G583350 transcript:KGN51605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKKLDTRFPAARIKKIMQADEDVGKIALAVPVLVSKALELFLQDLCDRTYEITLQRGAKTMNSLHLKHCVQSYSVFDFLRDIVGRVPDYGHGHSDGAVDDRKRRKPLGDEGNDFDELKKSKMHDMSHVSTGRGRGRGRGRGRGRPARSAERDNYQPNPDVDPCTSIENRNKNPNPDVHMEHHVEPSDTPKEVSEANQAIQNFDLNADVSTNEDSKTVPAAAVSDAPIEPAAPTTTTESETKAADEFPAWPLSDVDKMAIDPLQYTHLSSRVDEEEEDYDEEE >KGN50759 pep chromosome:ASM407v2:5:10348585:10353196:1 gene:Csa_5G229140 transcript:KGN50759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGVADDGALDYARIQMIPSENRYEAFVCYGNEVDGLAEGNLDTLLLHLPELQELNSKGSKASIKLQPSASSGGTTWFTKSTLRRFLQIVGSPELPNIMKTMNEMSQLEETKRFHLSLYGQGQMSKTEEKDGCNLDSSSPKHGSGPEFASSAASKNDLLRAMDLRLTALNKDLTAAFEKAHGAACSSKEISHLAKFTEHFGAINLKNCTYKYLELNPKSDNVELVNDDNKYTITSNICNENAISGSIKAEKSNSSTPVKYGVSPAKVAQIERQDSSETESSDSDNENGTPAERSRTMVRSTVARRSASPMRRVQIGRTGSRRAPAIMIRSLNHLQTRDGMFSQGDAAANSDGDEEGSEPSGKTADNNVGRISVQDAISLFESKQKNDASDIQKRRSLANITIGANKFVLRRWSTGMGEASTKCHPELVSDESDPISHDLAEEVPKSKLTDEEEVGSDNISSIDKTCTTAEVEEKLEDSAVKTSDPLETQSDSPISEPQVAVQKLSANSEWTRRKEAELDQMLKKVMESKHMAQNNSQANRKKDVNSEQRGELYDQYKAKRDEKRRAEEAKRNSNKEAKIKGTRQVADDRKTKIASAEVNVTKKRAPRKPEVPSANLSKSEKPKKEISKPSTIEKISSRTKPMAATRKSWPSSASERTTGISPATANATRQKAQPVSSSNRLSAKVEKSPMQKKNVKENNDSSRDLRSVKEKKEIVQAKTGKVTKTKVTLTGDSSVPVKSRIRDKVAKKSSIVPLESKSFHKGSRNSLDNSSQVVSKTKPSKLSKSADSSNNSKKLTRDLEVEVTVPDLASQPDKGDDLVPAHCDFKTVVNDQQDSEILAVDVVDADQGDVPLQQNEEKSSVEITVEGESMIPSKSTEEIEEFQELPANNDDMPQLASLENTAPIENPRVRLSLSQMLQEENSEPDSIDWGIAENPPMMNYQRGAPKGFKRLLKFARKSKGEANLAGWSSPSVVSEGEDDSEESKPLNTKKADNLLMKATHNSGLVKASLDKNFDHEKLYSGLISLSMLHSIYFGHNFISKLPSLIMILIQ >KGN51217 pep chromosome:ASM407v2:5:17309575:17325277:-1 gene:Csa_5G493870 transcript:KGN51217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENPGLNHPPEVDSLPDGFVESSAETQTPSTQNFEEAKPLDSQRKTSPESDFSDGQRHEIMENVEIEKCSSENTQKLETLTVASSETEKFNNLESLEKTAGKGCMELAETDILNVKETSNEAAIDESGGRKLKGEAQGESQSSDKATGVGLDASTAHEKEMSVTKVVQTTKNESAELKRKNPKRTFKSEKEFLEFTLKYQQVLAERDSAIVVRDKLESLCRELQRQNKMLMDECKRVSTEGQSLRTELSVKFQDAIKDVSKKLEEQKDECLAQLKENETLRNKLKQLVDQFSLSEQQFAQKLKQKTLELQLADLKTKQCEEKLIQEQTQMKVYAEQISHLLSTEKNLRLQLTADGEKFQQFQDALVKSNDVFETFKQEIEKMTKSIKELKKENTFLKSKCEKSDVTLIELLDEIYAH >KGN50561 pep chromosome:ASM407v2:5:8203069:8203365:1 gene:Csa_5G182660 transcript:KGN50561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEETVELCRTPRRRSCRIPVAMACPSAPRKKPVCLKRKAPPKEGYFRSPDLEVLFGFVTPREEACT >KGN51502 pep chromosome:ASM407v2:5:19928594:19931522:-1 gene:Csa_5G571450 transcript:KGN51502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGLKRDKSSTDMGFDNVQSPKKIVECRICQDEDEDSNMETPCSCCGSLKYAHRRCIQKWCNEKGDTICEICRQQYKPGYTAPPPLFEMGRIPMNFRGNWEISRRNLDNPSYIAMVSSNRNVADSGYDEFSASAATSVLCCHSVAIIFMVLLVLRHSLPLIFNESGSHTFPLLLTICLRTFGIFLPIYVMFKVVFAVHRRRLFLATSPSSLISSSSIARSSTSQSPQPQPYIIRVR >KGN50620 pep chromosome:ASM407v2:5:8697892:8698621:-1 gene:Csa_5G197620 transcript:KGN50620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVPSIFGGRRSNVFDPFSLDIWDPFEGFPFSNSLANAPSSARETSAFANTRIDWKETPQAHIFKADLPGIKKEEVKVEVEEGRVLQISGERSKEQEEKNDKWHRIERSCGKFMRRFRLPENAKVEEVKASMENGVLTVMVPKMEEKKPEIKSIDIAG >KGN52443 pep chromosome:ASM407v2:5:26128082:26132188:-1 gene:Csa_5G635380 transcript:KGN52443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTHLLSRALARRFAAAAHYSTTPIFASFHPPSSFFSSSSSSQIPEGTVVQHLENEDLLNQRSFSSEPSTSTISIDRSGLCNPPEHSHEPSSDTELVKHLKGIIKFRGGPISIAEYMEEVLTNPKAGFYINRDVFGAEGDFITSPEVSQMFGEMVGVWAMCLWEQMGRPEKVNLVELGPGRGTLMADLLRGASKLKNFTNSLHVHFVECSPALQKLQHSTLKCRDEANKADGVEKSSVSTLAGTPVSWHPTLEQVPSGLPTIIIAHEFYDALPVHQFQRASRGWSEKMVDVAEDSTFKFVLSPQPTPAALYLMKRCKWSSSEEIAKLNQMEICPKAMELTQTIAERISSDGGGALIIDYGLNGVVSDSLQAIRKHKFVNILDNPGSADLSAYVDFPSIRHAAEEVSGDVAVYGPMTQSQFLGSLGINFRVEALLENCTDEQAESLRTGYWRLVGEGEAPFWEGPDEEAPIGMGTRYMAMAIVNKKHGTPVPFL >KGN52325 pep chromosome:ASM407v2:5:25094336:25096146:1 gene:Csa_5G623880 transcript:KGN52325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIKPTPSSPPTITSLTQTQIQTSPSLLFNHHHQLPDDNPSPKTNPVSNGGGRGRRLKRDEWSEGAVSILIDAYESKWKLRNRAKLKGHDWEDVARHVSSRSNLTKSSKTHTQCKNKIESMKKKCRQEPADSSWPLYHRILPLVGGNTNLTPSPPPSLPPQNSHGSNGVDNINPSPKEDGVDNGRGVDEVLSEKNENNNNSKKKKKKKKMVREKSTDSSSSTPAAIIYSSSDEKEKGVAAMRPKLQQSKMKKKKRRRSGEVDSLEQIAGSIRWLAEVVVRSEQARMEMIKDIEKMRAEAEAKRGELDLKRTQIIANTQLEIAKLFASPTNKPLVDHSSPLRIART >KGN50243 pep chromosome:ASM407v2:5:6064141:6066671:-1 gene:Csa_5G161920 transcript:KGN50243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKTYIEDINFVLHQSIKSMQKNEEKFSSSSSFPTRSPYKIRQVICNKKFHRRSQSPKPFFAMQKSPSTSSLGPGGLDLSQAFFKPIRDASPLSTSNCNTKISVIGTGNVGMAIAQTILTQDLVDELVLVDAKPDKLRGEMLDLQHAAAFLPRTKISASTDYSITARSDLCIVTAGARQIAGESRLNLLQRNVTLFQKIVPLLVQFSPETILLIVSNPVDVLTYVAWKLSGFSSNRVIGSGTNLDSSRFRFLIADHLDVNAQDVQAFIVGEHGDSSVALWSSISVGGVPILSFLKNQQIGYEKETLEKIHKEVIGGAYEVINLKGYTSWAIGYSVASLARSILRDQRRIHPVSVIAKGFYGIEGGDLFLSLPAQLGRGGVLGITNVHLTTEESKRLRDSANTILHVQAELGI >KGN51019 pep chromosome:ASM407v2:5:14700282:14702517:1 gene:Csa_5G409620 transcript:KGN51019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAKKTKKTHESINNRLALVMKSGKYTLGYKTVLRTIRGSKGKLIILSNNCPPLRKSEIEYYAMLAKIGVHHYNGSNVDLGTACGKYYRVSCLSIIDPGDSDIIKSLPGDQ >KGN51616 pep chromosome:ASM407v2:5:20874160:20879262:-1 gene:Csa_5G585430 transcript:KGN51616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYTSQSSPGFSATQPDTPAPSSETNSIPPPLISTGSSRFPPKFQQDQMPSPSIKTPGAASPANGIKTGSPIPHLSTPPGPPVFTSPIRPAAVPFRTSPASPQPVVFSSASSLPASTPPHFFNASSGLQHQMSDVSEDSTSVAESPNVLFSSQKVPKTKKLANVPSLGFGALVSPGREMSSGPQILHREPHRCSSCGAYSNLYCNILIGSGQWQCVICRKLNGSEGEYVAPSKEDLCHFPELSSSMVDYVRTGNRRPGFIPASDSRTSAPIVLVIDESLDEPHLQHLQSSLHAFIDSVSPTTRIGIILYGRTVSVYDFSEESVASADVLPGDKSPTPDSLKALIYGTGIYLSPMHASLPVAHTIFSSLRPYKSSVPEASRDRCLGTAVEVALAIIQGPSAEVSRGVVRRSGANSRIIVCAGGPNTYGPGSVPHSVSHPNYLHMEKSALNWMEHLGHEAHQQNTVVDILCAGTCPVRVPILQPLAKASGGVLVLHDDFGEAFGVNLQRASARAAGSHGLLEVRCSDDILITQVVGPGEEAHVDTHETFKNDTSLYIKMLSVEESQCFSLSMETKRDLKSDFLFFQFVVQYSNVYQADISRVITVRLPTVDSLSEYLESVQDEIAAVLIAKRTALQAKSQSDSTDMRVTIDERVKDIALKFGSLAPKSKIYRFPKELSSVPELLFHLRRGPLLGSIVGHEDERSVLRNLFLNASFDLSLRMIAPRCLMHREGGTFEELPAYDLAMQSDAAVVLDHGTDVFIWLGAELAAEEGKSAAALAACRTLAEELTESRFPAPRILAFKEGSSQARYFVSRLIPAHKDPPYEQEARFPQLRTLSTEQRTKLKSSFLHFDDPSFCEWMRSLKLIPPEPS >KGN51333 pep chromosome:ASM407v2:5:18234741:18235911:1 gene:Csa_5G517800 transcript:KGN51333 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein binding protein MMMKLRSKRFCRSSTFKFGITSFVTSCNNKIKVADDHHKDSSSAALTEIKWELRPGGMLVQRREIAGQSTLPGEDETITIRVSTVSQFHDISVQPTSTFGELKMILSMVTGLEAKEQRLLFKGKERDDCEYLHMVGVGHKHKVLLLQDPAIKERKLHALATTQPISV >KGN52459 pep chromosome:ASM407v2:5:26292480:26292830:1 gene:Csa_5G636515 transcript:KGN52459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVDGGDGGGDGGNGGGGCVAIGIRLFSHGVLVVMHPNFKNPSFNSNLITKISNQIFISFLHFPSHPFGEIQHFLLLFVTKFRPKPFPGVRTHRRHRIIPLSVIFRRTTRQASGKR >KGN51449 pep chromosome:ASM407v2:5:19387514:19391832:-1 gene:Csa_5G548150 transcript:KGN51449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEAKDLASDGENSRTKLLRHVSDRFRDNSDSKSGFSDKGVLGFREEQSKSARIGNSGSQELTLSYLCEKDKGFASSSFDKGNYKGKEVLFSDNTNEDDKWVERDFLNLNSHRGNQSKREVEDEIERENRGKKPKLETLNLSLALPEVSLSLTASNALQNEDPPPVRSKPCRSHQSLAPSMNNTQTTCSNDFTAASLSYSYSHQFSHNPSCSLTRNSTENYEYSVGRDDHIWNCGEGTNGSVHSRFKPLGDGGVALSNHGGGLNSLLQGSRPLNKEICNNSDEHSFFPSELPARPRTEVKSGNSIGRGSENLKGVEGIGRPERILREIVSESISIMSQIVQELPEETLSSIKDYLKDLIAMPEKRDELSTLQKRLERRSDLTKETLSKCHKAQLEILVSVKMGLASFISGKSRCPISELVDIFLFLKCRNCKSVIPVDDCDCKICSANKGFCSSCMCPICLNFDCANNTCSWVGCDVCSHWCHAACGIEKNLIRPGPSLKGPSGTTEMQFHCIACNHASEMFGFVKDVFVYCAKNWGLETLMKELECVKRIFIGSDDYKGKDLYIKAAQMLAKLERKAIDPWEACNIILKYFNYGTSEISTSEVFSEKLGRTDMTSLEISTSEVFSEKLGTTDMASLSKDGMSLPQTASLPSTYVMQKNTPSRPHRDLISGDLRRSALKVSIASNLNVDDEFQLGALTKKEGLESLESIVRIKKAEAGMFQNKADEARREAERLRQIIIAKTEKLDEDYAEKIGKLCLKEAEDRRRKKLEELKVLENSQIDYYNMKKRMQKEISGLLERMEVTKKQIV >KGN51343 pep chromosome:ASM407v2:5:18302139:18302561:1 gene:Csa_5G520400 transcript:KGN51343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLMMMMILQAFHRAWINLKKQVISSKKISQIRFSISKSLHYLRNRSSHRIHQSPFPPQQLPQAWDSQFLQPPPNRQRRRTLSHSHSEPPPPPPPSLPNPFSSSFSRSTKRLLKLLAVPQSPVPEFPSRCGFEVRHGGF >KGN49674 pep chromosome:ASM407v2:5:1864024:1871800:1 gene:Csa_5G056620 transcript:KGN49674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLGFFKRFNGLQKFDAMLRTKPLRNIQCRGSSNSKIFHGGDETMVPVLIVGAGPVGLVLAILLTKLGVKCAIVEKNKSFSKHPQAHFINNRTMEVFRKLDGLAEKIQLYQPPVESWRKFIYCTSLNGTILGSVDHMQPQDFEHIISPVSVAHFSQYKLNGLLLKQLQNLGFQVCSPDSLEGPCVVREKKILLGHECVSIDATDESVNMTASYLKEGKHVERRNISCNILVGADGAGSTVRRLVGIEMKGENDLQKLVSIHFFSRELGEYLLKDRPGMLYFIFNTEAIGVLVAHDLKQGEFILQVPFYPPQQNIEDFFPQMCEELIFKLVGRNLCDIDVRDVKPWIMHAEVAEKFICRQNHVLLAGDAAHRFPPAGGFGMNTGIQDVHNLAWKLAAVLQDIASPSILNTYEMERRPIALFNTALSVKNFKAAMEVPAALGLDPKIANSVHRVVNHGLGSILSSSLQSAVLDGIFKIGRLQLSDTFLNVENPIGSSRLAKLRHIFDEGKSLQLQFPAEDLGFRYSEGAIIRDNNLLGGREEPTGRRRQYLPSADPGSRLPHMNVRVLASEEIISTLDLVSGDKIEFLLIIAPRSESYRLAHAALKVAEEFKTSVKVCILWSANTTKIESSSKDQLTPWENYIEVQEIRQSITSPSWWDVCKMTDKGAILVRPDEHIAWRVKSGISGDPNTELIGVFTTLLK >KGN52653 pep chromosome:ASM407v2:5:27542236:27552008:-1 gene:Csa_5G648740 transcript:KGN52653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNMKTLTQALAKTAAVIEKTVHTTVQEVTGPKALQDYELLDQIGSAGPGMAWKLYSAKARDSSRPQQYPTVCVWVLDKRILSETRTRAGLSKSVEDSFLDLIRADAGRLVRLRHPGVVHVVQALDENKNAMAMVTEPLFASVANVIGNVENIAKVPKELNGLEMGLLEIKHGLLQLAESLNFLHSNAHLIHRAISPENVLITSNGAWKLAGFCFAIPADQTSGDMATMQAFHFAEYDVEDSVLPLQPSLNYTAPELVRSKSSLASCSSDIFSFGCLAYHLIARKPLFDCHNNVKMYMNSLNYLSTESFASIPPELVHDLQRMLSSNESFRPTAMEFTGSPFFRDDTRLRALRFLDHMLERDNMQKSEFLKALSDMWKDFDSRILRYKVLPPLCAELRNLVMQPMILPMVLTIAESQDKHDFELSTLPSLVPVLSTAAGDTLLLLVKHADLIINKTNQEQLITSVLPLIVRAYDDNDARIQEEVLRKSVSLAKQLDTQLVKQAILPRVHGLALKTTVAAVRVNALLCFGELVQTLDKHAVLEILQTIQRCTAVDRSAPTLMCTLGVANSILKQYGIEFIAEHVLPLLTPLLTAQQLNVQQFAKYMLFVKDILRKIEEKRGVTVSDSGVPEMKPTTVSNGQLSQSSTRASDTVIPTIKSRPAWDEDWGPISKGHTPPQSSTSNILSAPSVHGGQSITGNSVKTNSVVTSLSSNQTVASCLPVNVEWPPRNSTAGAPRISDSGMQATSGASSTSNLDDVDPFADWPPRPSGSLGGASLASNNGVIGPSMNKYGTSSSMSTPNSLNFQTNSNASWTVNNKSTNEPMRQNHGSSTFNSSSLATGGLSSQSSIGFQKQNQGISSQHAYDADKKFTDLGSIFAPSKNENSIAAPRLAPPPSTAVGRGRGRGRGVSSTHRSTQNKSSTGQPPLMDLL >KGN50627 pep chromosome:ASM407v2:5:8721476:8724348:-1 gene:Csa_5G198170 transcript:KGN50627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFIGTQQKCKACDKTVYPVDQLSADGVSFHKSCFKCSHCKGTLKLSNYSSMDGVLYCKPHFEQLFKETGNFSKNFLSPAKSSEKPTPELTRSPSKAASMFSGTQEKCATCGKTAYPLEKVTVESQAYHKSCFKCSHGGCSLSPSNYAALDGILYCKHHFSQLFKEKGSYNHLIKSASMKRQAATSDPDPSKVES >KGN50137 pep chromosome:ASM407v2:5:5279779:5282215:1 gene:Csa_5G155480 transcript:KGN50137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSPAEPIGDSGTGDSQRSIPTPFLTKTYQLVDDPAVDDLISWNEDGSTFIVWRPAEFARDLLPKYFKHNNFSSFVRQLNTYGFRKVVPDRWEFANDCFRKGEKGLLRDIQRRKVVLSVTTTTTTSAAVAVPVTVATSPAVLAHVISPANSAEEQVTSSNSSPMAFQRSTSCTTTPELVRENERLRKENMQLSHELTQLKGLCNNILSLMTNYASGQHQQLESGSVRDGKALELLPARQVMEDEGAVSDGAHEVRLKMEEKMTAAAAAVGMTPKLFGVSIGMKRMRREIEEEEEEMVGQNHVQSEEGETGSEIKAEPLDENSEHPDGSASPWLELGNQGS >KGN51488 pep chromosome:ASM407v2:5:19843628:19846207:1 gene:Csa_5G569350 transcript:KGN51488 gene_biotype:protein_coding transcript_biotype:protein_coding description:DELLA protein GAIP-B MKREHHHLHPRPEPPSMAVVPNGESFLNTGKAKLWEEEAQLDGGMDELLAVLGYKVKSSDMADVAQKLEQLEEAMCQVQDTGLSHLAFDTVHYNPSDLSTWLESMLTELHPMPNFATPPPPSQLDDPSFLAPAESSTITSIDYDPQRQTSSRIFEESSSSDYDLKAITSSAIYSPRENKRLKSSESDSDVFSTSAIRASDSVTRPVVLVDSQENGIQLVHALMACAEAVQQNNLNIAEALVKRIGYLAVSQAGAMRKVATFFAEALARRIYRLCPENPLDHSVSDRLQMHFYESCPYLKFAHFTANQAILEAFEGKKRVHVIDFSMNRGMQWPALIQALALRPNGPPAFRLTGIGPPAPDNSDYLQEVGWKLAELAEAIHVDFEYRGFVANSLADLDASMLELRPSEVESVVVNSVFELHKLLARPGALEKVLSVVKQMKPEIMTVVEQEANHNGPVFVDRFTESLHYYSTLFDSLEGSPNNQDKIMSEMYLGKQICNVVACEGADRVERHETLTQWQTRLSSAGFEPIHLGSNAFKQASMLLALFGSGEGYRVEENNGSLMLGWHTRPLIATSAWKIGNNPVVAK >KGN49807 pep chromosome:ASM407v2:5:3269604:3270088:1 gene:Csa_5G137400 transcript:KGN49807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCKLEKMKSNQISSTTLLNSILFVSFNTLTILMFLSARSKDLRSLVIFNALSYPSFAFDAFVLSITFSFSTALGALLIPNKPILAIYYAFYSVAFMAFALFLLILGLYYFI >KGN50755 pep chromosome:ASM407v2:5:10307360:10308340:-1 gene:Csa_5G223620 transcript:KGN50755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITFLAIILFIYSIIYAIKFSQYYLKGTPSIRRLPPGPKPWPLIGCLPAMLSNKNLPAYQWIHEVMKQFNTEIACIRLGSNTHIIPVASPELSLEFLNTHDSVFGSRSISMTAEIVSNGYLSTVLSPMGEQWKKMRKILASQVLNSSTLHRMLGQRTDEADILLRYIFGLTKNGEAINIRSIVRHYCGTVIRRMIFSRRYYGKGREDGGPSLEEEEHNQALLSILRHVNAFSISEFIPLLKTFDLDGHGKIVKRALKVIRNHDEPIIEERVQEWGDGKKKKVEDILDILISLKNENGKSLLSIEEIKAQVTVIYDSFVFSFYHIL >KGN50514 pep chromosome:ASM407v2:5:7833313:7839055:1 gene:Csa_5G180280 transcript:KGN50514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNSRNFFLFLIFPLPLLVPSALADLSQSGFNDSLPYLWPMPSDFTFGNSTLSVDPRLSLLAAGNAGNSEILKAAFDRYRGIIFKHASGVSMLDKLWGRRRTFVYDISELKIDVQSDSEELHLGVDESYTLLVSKKDAHSIIGEATIEAATIYGALRGLETFSQLCTFNYETKDVQIYQAPWYIKDNPRFAFRGLLIDTSRHFLPVDVIKNIIESMAFAKLNVLHWHIVDEESFPLEVPSYPNLWRGAYTKHERYTIEDAYEIVAFAKMRGINVMAEVDVPGHAESWGIGYPDLWPSPSCKEPLDVTKNFTFDLISGILTDLRKIFPFELFHLGGDEVNTDCWETVPHVKQWLLDQNMTTKDAYEYFVLRAQEIAISKNWTPVNWEETFINFEKGLNPRTIVHNWLRGGICPKAVADGFRCIFSDQGVWYLDHLDVPWDRAYHADPLEGISDPSQQKLIIGGEVCMWGETADASNVMQTIWPRAATAAERLWSKNETVSGNITLSVLPRLSYFRCLLNRRGVEAAPVKNFYARRPPTGPGSCYDQ >KGN50057 pep chromosome:ASM407v2:5:4777707:4781136:1 gene:Csa_5G152220 transcript:KGN50057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPAGLFESSMRFFSDQELCYADILTPQEVVARIQVAVLNFLRILNSSSPAISNLPLIDRRSSNSRVSQGILTDDSWIFLSHSFCTRSLMRPNASKAFVRVWKLMEMCSQILIQDKKVTQRELFYKLLCDSPVYFSTQLHVNRTIQDVVALLQCSRYSLGIMASSRGLVAGRLLLQEPEQEVVDCTACGSSGYPISGDLDLLQTLTLKTDARYIIVIEKHAIFQRLADDRVFNRIPSILITAKGYPDLATRYFLHKICKTFSHLPMFGLVDWNPAGLAILCTFKYGSIGMGLEAYRYACNVKWLGVRGDDLQLIPQESLVPLKPRDLQIAKSLLSSKILQENYRQELTLMVERGQKAELEALYHNGFDYLEKYIVKKIIQFSYI >KGN50433 pep chromosome:ASM407v2:5:7238298:7244455:1 gene:Csa_5G174590 transcript:KGN50433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTSSDLIPPPYSALPDRHPSPPLSRKSLNFTTTTILISFLLIVSLLTLFSYNHPPSPDSAKLPENTQSRLARGVAEGVSAKSNPYFSDSVDGSFNWTNAMFSWQRTAFHFQPEGNWMNDPNGPLYHKGWYHLFYQYNPESAVWGNISWGHAVSRDLIHWLYLPYAMVPDQPYDVNGVWTGSATILPDGRIVMLYTGDTIDGVQVQNLAYPANLSDPLLLNWVKHPGNPVLVPPPGIGPKDFRDPTTAWLGPDGKWRITIGSRVGTTLGVSMVYTTDDFIKYELVDRFLHAVPGTGMWECVDFYPVSVDGSKGLDTSENGGGVKHVLKASLDDTKMDHYAIGTYFANNDTWVPDNPEEDVGIGLKLDYGRYYASKTFYDQNKERRILWGWINETDTEANDLAKGWASVQTVPRTVLFDQKTGSNIIQWPVEEVESLRLGSNEFNDVLLEPGSVVELEVGPATQLDILAEFEVEALGSENATVSEEGCGGGAAERSSIGPFGVLVLAHQSLSEFTPIYFNVANSSKGSGEAYFCADETRSSKAPDVFKQVYGSKIPVLEGENYSMRVLVDHSIVESFGQGGRRVITSRIYPTEAIYGAAKLFLFNNGTSANVKATVKVWRLNSAFIQPYF >KGN52572 pep chromosome:ASM407v2:5:27015041:27020164:1 gene:Csa_5G644000 transcript:KGN52572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSITAISPTPGLSTCSHDQFTLSNRLSLVSLPFSRPNRTISLPGGANFIARTNVFVHFETTTLLHKPHRLAFSFSTRAADSTQPSAVSASPGKAVVTDDEFSLAKVSFGVIGLGVGVSLLSYGFGAYFNILPGSEWSAIMLTYGFPLAIIGMALKYAELKPVPCLTYLDAQKLRETCATPILKQVRDDVIRFRYGDEQHLDEALKRIFQYGLAGGIPRRSAPILQSIREEVTEDGKYCLVLVFEAKALTLSDFEKRQAKFASFFGPGITAEVGKGENDLYEVRLTSNTIPGASP >KGN49657 pep chromosome:ASM407v2:5:1614147:1614388:-1 gene:Csa_5G050530 transcript:KGN49657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKIGLRRWRGAGLSSGRRRELDKAQTYNADSGQKTMGGGTSLTAAICTARHLVFGGRR >KGN52462 pep chromosome:ASM407v2:5:26306054:26307519:1 gene:Csa_5G636540 transcript:KGN52462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFAARSIFRSSSAKAATLLSAGARAAPASSPFRIASKRPFSHCSFRLPIELSFCVESMLPFHSATSSALMTSMLSVSPHSFGWLSEVFNDDV >KGN52137 pep chromosome:ASM407v2:5:23928866:23929852:1 gene:Csa_5G611680 transcript:KGN52137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTLFISLILLSLFSLSSPLTSETVLDAAEILSNNGFVSMALTLELIADSLLSQSNSITIFSPPDTSFVQSGQPSLSLLRFHFLPLYLSSGSLRSFAFGTKIPTMLPSQSLTVTTPQSDSVISLNRVKVSSSPFYDDGLLVVYGIEKFFDLKFHSPNMKFRCDLLTIRNPFGEAIETLRSHGYSSMALFLESQILGFSNGQSSMMTVFAPSDDALETRVDKFTDYPSLYFRQISPCRISWNDLVDLEDGTELSTYSEGYTIYVTKSSGMLRINGVAVFYPNMYLNEWLVVHGLLDVFPVAERISTVESDSEMRSNNHEMSIIDHNL >KGN52649 pep chromosome:ASM407v2:5:27518410:27522260:1 gene:Csa_5G648700 transcript:KGN52649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKYSSSVPSSGKQIVEEESEDLESSSSDESLDSEEENIERELADVTFEELQRARSDGSHSMYQKTKQEKKSGRANKNRPMEVSSRKPVSRFREVIQAPKNMVRDPRFESLSGTLDADGFKKRYSFIYEKTLPAEKEELKKQLRKTNDPNVAEELKKQLSWIDKQFKSDSTKRVDAAILAKHKKKEREAAKHGKKPFYLKKSEIRKQRLVEKYTNLKSTGKLDAYVERKRRKNAAKDRRYIPYQRSSKLDEQD >KGN50862 pep chromosome:ASM407v2:5:12254374:12256500:1 gene:Csa_5G292190 transcript:KGN50862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSILKLPITDIMPVKFTPFLSRSNFLASPHQDPIKLLKVAADAKNLKFGRTIHAHLTITNHNYRDSKVNQLNSLINLYVKCDEVSIARKLFDSMPRRNVVSWSALMAGYMQNGNPLEVFELFKKMVVKDNIFPNEYVIATAISSCDSQMYVEGKQCHGYALKSGLEFHQYVKNALIQLYSKCSDVGAAIQILYTVPGNDIFCYNLVVNGLLQHTHMAEAVDVLKLIISEGIEWNNATYVTIFRLCASLKDITLGKQVHAQMLKSDIDCDVYIGSSIIDMYGKCGNVLSGRTFFDRLQSRNVVSWTSIIAAYFQNEFFEEALNLFSKMEIDCIPPNEYTMAVLFNSAAGLSALCLGDQLHARAEKSGLKGNVMVGNALIIMYFKSGDILAAQSVFSNMTCCNIITWNAIITGHSHHGLGKEALSMFQDMMATGERPNYVTFIGVILACAHLKLVDEGFYYFNHLMKQFRIVPGLEHYTCIVGLLSRSGRLDEAENFMRSHQINWDVVSWRTLLNACYVHKHYDKGRKIAEYLLQLEPRDVGTYILLSNMHARVRRWDHVVEIRKLMRERNVKKEPGVSWLEIRNVAHVFTSEDIKHPEANLIYENVKDLLSKIRPLGYVPDIDNVLHDIEDEQKVDNLSYHSEKLAVAYGLMKTPSGAPITVIKNLRMCDDCHTAIKLISKVANRVIVVRDANRFHHFQNGCCSCGDYW >KGN51630 pep chromosome:ASM407v2:5:20929158:20933960:-1 gene:Csa_5G586030 transcript:KGN51630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKRGNKKSKRKGGTEGAGKGAVSLGTEENSGLEEFDNDIDNDRYDSATEIRTPSSTGTDHLNVATDKDSIEKAAGKSSVGRVKVKLKTSKKMDTQLNSSDALTQSDTDKSSLQIGLEKQSVVSEKMEDCANSLSEKETGVSGNTTIASKKPGSIKIKASKSSGASNNSISTVGKVQADTRMPSKDSRPNKKELDSALTVIKKVMKMDAAEPFNVPVNPVALGIPDYFDVIDTPMDFGTICSNLENGVKYMNSEDVFKDVRYIWENCYKYNNKGDYILDLMRRVKKNFSKYWSAAGLYNGQTTATNGVDISQENGGASSQGKHLKGQSKQKSKKRHGRRHKSDCLCAICVLKRRRREREETARIAKDQTGAGNNLARDLKFEESLRGESPGSVDSSSNADDSDNELGVEEETGDEGKMEVSKQQFSTPDDDKQDEADEEKDEGNEMGQRIAADSKGNEQSERSREDYDRPLKSTMEELGDLKMEDVPQDIHNSEQKEEEEKQRKKLKAWEELSTKNPMVLELCGVVFPVNPKSVWRGPHSLLPHRRPSRTSSIHVAIEEFMKQ >KGN50112 pep chromosome:ASM407v2:5:5158101:5158510:1 gene:Csa_5G154740 transcript:KGN50112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDISILVLSSKSSNLQSSIPYAGTDSARKATTISTVTQNLFAIFHQEEAYKLFFTNQRSSILAKKLNPYSLQRRCDFSESKTESQIEPKI >KGN51976 pep chromosome:ASM407v2:5:22982289:22985141:1 gene:Csa_5G606670 transcript:KGN51976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYMVESKAGAIACMMFSLFFLGTFPALLTLLERRGRLPQHTYLDYSITSFLAAVVFALTLGEMGNSSQDSPNFIQQLFQDNLPSVMFAMAGGVTLSIGNLLAQYAWAFVGLSVTKVISCSIVVVIGTTVNYFLDDKINKAEILFPGVACFLIAAFLGSIVHSSNMADNDLKLKSSPANFEEPNETKISLISNMVESTNLESAAYSSRKAKAGTADFLVQLENRRSIKVFGKSTLIGLCLTFFSAVSISLFSPAFNLATNDQWHTLDDDVPHLTVYTAFFYLSVSCFFIAVILNVVFLYICPSQE >KGN52046 pep chromosome:ASM407v2:5:23382963:23388936:-1 gene:Csa_5G608340 transcript:KGN52046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSRARNFRRRADDNDDDDEPKGSTAPSISASNASSKPSSTSSVVATKPKKANPQGLKLLSFASDEENDAPLRPSSSKSSSSKKPSSARLAKPSSTHKITALKDRIAHSSSISASVPSNVQPQAGVYTKEALRELQKNTRTLASSRPSSESKPSAEPVIVLKGLLKPAEQVPDSAREAKESSSEDDEAGSNAKSAASLRRSKEDTLARMASMGIGRGKDSSGSSIPDQATINAIRAKRERMRQAGVAAPDYISLDAGSNRTAPGELSDEEAEFPGRIAMIGGKLESSKKGVFEEVDEQGIDGARTNIIEHSDEDEEEKIWEEEQFRKGLGKRMDDGSTRVESTSVPVVPSVQPQNLIYPTTIGYSSVPSMSTATSIGGSVSISQGLDGLSISQQAEIAKTAMQESMGRLKESYRRTAMSVLKTDENLSASLLKITDLEKALSAAGDKFMFMQKLRDFVSVICDFLQHKAPFIEELEEQMQKLHEERASTVVERRVADNDDEMVEIETAVKAAISILNKKGSSNEMVTAATSAAQAAIALSREQANLPTKLDEFGRDLNLQKRMDMKRRAEARKRRRSQYDSKRLASMEVDGHQKVEGESSTDESDSDSAAYQSNRDLLLQTAEQIFSDAAEEFSQLSVVKQRFEAWKRDYSATYRDAYMSLSIPAIFSPYVRLELLKWDPLHESADFFDMNWHSLLFNYGMPEDGSDFAPNDADANLVPELVEKVALPILHHEIAHCWDMLSTRETRNAAFATSLITNYVPPSSEALTELLVVIRTRLSGAIEDLTVPTWNSLVTKAVPNAARIAAYRFGMSVRLMRNICLWKEIIALPILEKLALEELLYGKVLPHVRSITANIHDAVTRTERIIASLAGVWTGSGIIGDRSHKLQPLVDYVLLLGRTLEKKHISGIAESETSGLARRLKKMLVELNEYDNARDIAKTFHLKEAL >KGN51983 pep chromosome:ASM407v2:5:23002107:23005128:-1 gene:Csa_5G606730 transcript:KGN51983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEANQGGSRGMEKVSLQTEKYPTDLLQRFLCSNSHQTQIGRAFNEEEEEDTEEIELNLGLSLGGRFGVDKNSKKLIRSSSIAGTMLLRDDDASTPAAVSYPAAIIRTSSLPPETEEEWRKRKELQTLRRMEAKRRRFEKQRNKDGIGMGMGTSGCFEEERREIEGLTGLNLREKRHGSCISTTVAPPFGLPTWAAAARQALTGGIVDEIGKGKGGCTSGGGGGTNGGGFQGVGQPASQCSAESQGGSSSGMSELDSKQIQGSSSYGEARSSSQERGNLEAAGSSGSKMCENPSASYKTETKNQSKKPDSGENKGREAGTMSMEDMPCVFTIGDGPDGRRVEGILYKYGKGEEVRIMCVCHGKFLSPAEFVKHAGGKNVAHPLRHIVVNPNSGPFL >KGN49584 pep chromosome:ASM407v2:5:483421:484994:1 gene:Csa_5G011740 transcript:KGN49584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDLADKLAYFQVITGLEDPEICTEILVAHESSASAAADGGGGDAHFDPPMREVLDRPEHQENAALAPSLAWKNITLSISVISGSLGLVSSAVGLGFWAGGGILSYSLGVIGFGSGSGRNAESSARLVSVSAAASEAIDFVNAFKFLFVYLHSPDHPDTPFFCERTLCSETGGSTRTSEGFKMSNSLKASRYPFCAMVKLQDTLFVLCFKIPFLCYGKASRYPFCAMVMAATNQRIALLQQVEGPKTAEEMLVILHRVLEESAPVLVSARLDAEERRNNMRLREEQDAAYRAALEADQAREQQSREEQERLEREAAEAERKRKEEEKARERAAREAAEREAALARMRQEKAMSLGAEPEKGPNVTQVLVQFPTREHKERSYNLVSNFPELFMALISCLCP >KGN49973 pep chromosome:ASM407v2:5:4174692:4178756:1 gene:Csa_5G146960 transcript:KGN49973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELRRFGFKQCGSFVLLLISGLLLLPVMVSSVGVNYGQIANNLPAPENVIPLVKSIGATKVKLYDASPKVLRAFANTSVEFIVGLGNEYLSKMKNPACAEEWVKNNVQAYFPGTKITSIFVGNEVLTFNDTSLTANLLPAMQSVHTALVNLGLDKQVAVTTAHSLAILETSYPPSAGVFRRDLVDCLVPILDFHVKIGSPFLINAYPYFAYKANPKQVSLDFVLFQPNQGVLDPGSNLHYDNMLFAQIDAVYYALAAVGYKKLPVHISETGWPSKGDEDEAGATPENAKKYNGNLLKFICQKKGTPLRPNSDLNIYVFALFNENMKPGPTSERNYGLFKPDGTPVYQLGFSINDGVGNGTHGTSSAQPSPPGPPTTSTGYLAISSATERSHWVGFFSMFLFLMVFKLLL >KGN50678 pep chromosome:ASM407v2:5:9361944:9365470:-1 gene:Csa_5G210030 transcript:KGN50678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNIPEEPKTAPQPDRWYNLSLGPSFKDESSNKFCTFRYEFKPASIDKTKPGWLKKTKENRVTVEFQNSQPGKPNLSFVGSSEDYKENDAVLFFDGETFRLERLHRAVNQLRHLRQPGESAAAVAAPATTAGVAAPAAAPTTASVLHSLTPPEPRLSPPVTKVSKPMHMGRSSFPTMPVEVERIDIGEPGNAGAKTVASKGLTNYSSDPPNVSVSSPGLKNDDEHQDIDIDDIFGSVSSDDGNNAEEERVEPGFDINIPHQNDTDDEIADVDDSGDEAEKGPNAAEALRAQVNAEGRAEQSSSSSSSGSGSGSSSGSSSASSDSEASDEDSVTSI >KGN51288 pep chromosome:ASM407v2:5:17927988:17928515:1 gene:Csa_5G512950 transcript:KGN51288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSTGDAAIYSRKLDIFLIAIGSAGAVVTIYHCIMACWCDRRRFLSGDQSSVNDPHTLPITDTPRSTENSVVHLIPAHKYEKRMAVDGGGGGKDVDGDGTCAICLSEFEEGEEIKTLPECKHSYHMPCIDMWLYSHSSCPMCRTNATPTSFNDRLQFETQSNSGIVVHVLPTRG >KGN49803 pep chromosome:ASM407v2:5:3247308:3247781:1 gene:Csa_5G136870 transcript:KGN49803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSSQQISIDMNHLYSLITSINERNPEINGLPICIIMQTASPANSSKTENNVGTTILGLTFQAVLALFITSSTSSPPLLTHLFGAAVLISFAVSFPGVFLQDGFPRIALLFEKIGALIAAIGVCILASLLIHQNFAWISWLACGFSLMAFLLSFRG >KGN51458 pep chromosome:ASM407v2:5:19490526:19492096:-1 gene:Csa_5G550220 transcript:KGN51458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGCKIYLKKRKTDWLNSLLQSKFFGSCVHHQNNRKNEKNVFCIDCGIAICRHCLISHCVHRRLQICKYVYQYVVRVPDLQDHLDCCNIQTYKINGEKAVHLSPRPQSKDSKPSTKLKFGGTCEACGRYIQDLPNRFCSIACKVSMVPMELNNQCCRFMDSEPNLKDIPWKENHNLEINTSEMESSSISVAESTEEIKAWRVKMVLNPKKLLHKRKGIPHRSPLK >KGN49914 pep chromosome:ASM407v2:5:3800058:3803692:-1 gene:Csa_5G139910 transcript:KGN49914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFFGGSEISPSPPVPTATGNNVHMMYIFNRNGVCLFYREWNRPLRTLNPQQDHKLMFGLLFSLKSFTAKMDPTSADKGNLGVPQLPGQGCSFHSFRTNTYKLSFTETPSGIKIILVTHPRTGDLRDPLKYIYNLYVEYVVKNPIYSPGTPIRCELFNTSLDQYVRTIL >KGN50936 pep chromosome:ASM407v2:5:13440610:13441509:1 gene:Csa_5G350590 transcript:KGN50936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAVDKPFFCHQCDRAVTVSVSISSDPLCPLCHEGFLEEYDNSNSNLNFDPTSFFLPQPFRRFNPLVFSSSTVVDLQNPGIFSRTLAPPSQSTSSVHHEPYDPFVFLQNHLRSIFESGADVVFEIPRHSSHSGVPMPQNVGDYFIGPGLEQLIQLLAENDPNRYGTPPASKSAIEKLSTITVTEDLLNSEMNQCAVCIDDFGKGIVVKQMPCKHVFHDYCLLPWLELHNSCPICRFELPTDDSDYENRTRGNRMQGNESSGEESGSGSSGSSMRRVERRFRVPLWWPFGSRNDGSDSE >KGN51487 pep chromosome:ASM407v2:5:19823330:19825385:-1 gene:Csa_5G569340 transcript:KGN51487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMKCCSIGLLHIPKALPSLSSSKTLFPSLYSPFKLHNFNILPSNSNPKNFKIVGSIKEEDPIGYLHKKKTSLALHLAAFLATVGEPASAVTGENNHEVPLTWVLAQLGVIGFFYFLVFPPIIMNWLRIRWYRRNLLEMYLQFMCVFLFFPGILLWAPFLNFRKFPRDPSMKYPWSTPQDPSKIKNAYLKYPFAKPEDYDW >KGN51144 pep chromosome:ASM407v2:5:16395031:16403558:1 gene:Csa_5G467390 transcript:KGN51144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSTSTISFCSHPKIFLRLHSLGSPRVGFGASGLNYHANGAFLSVTHRTRGFRLRNLVLKATLRSDSGSRRAATSRRVYRDSQTQSSSLVAPVKQLASTVLPAGVLVVFTFVLWKLVERLMVQKSDKSSSSVKNKWSFGAGINLFPDLAAKVDREAKLKLNDFAKELRTFRSVDMTARNFGDEGLFFLAESLGYNQTVEEVNFSANGITAEGIKAFDGVLQSNIILKTLDLSGNPIGDDGVKTLCDLLVNNSSIETLRLNSTDVGDEGAKAVSEMLKNNSSLRIIELNNNMIDYSGFTSLGGALLENNTIRNIHLTGNYGGALGANALAKGLEGNKSLRVHLILFIYNGVGNLNGHVSSGAHKTA >KGN49728 pep chromosome:ASM407v2:5:2603156:2603444:1 gene:Csa_5G090890 transcript:KGN49728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLLGREREQDSLTNNYPTHYPSGMLGPRKGYRRRARIYLFSLTLGIQKSAPAHQCPPDISIRNE >KGN52677 pep chromosome:ASM407v2:5:27723957:27733294:1 gene:Csa_5G650420 transcript:KGN52677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTCPRRHSRAFPPILHRTLPLPSFFLRDSWFWIQDLEDVSLLSSRLEFFADVVRWFWIKPRIGGFDPRLLKNKKINCLLFSSTLSLVRVMSLATNSPAHSSSSDDFAAFLAVDLDSHSSDSSPDEETEGDNNAESVRIKRRKVEKLENSEEDIMHEVEEQSLEVLSKQQLCSHPGSFGNMCIICGQRLDEESGVTFGYIHKELRLNNDEINRMRNKEMKELLQRKKLILVLDLDHTLLNSTELRYLTVEEEYLRSQTDSLDDVTKGSLFLLNSVHTMTKLRPFVHSFLKEASKLFEMYIYTMGERRYAFEMAKLLDPKKEYFSSKVISRDDGTQKHQKGLDVVLGKESAVLILDDTENAWTKHKENLILMERYHFFASSCRQFGFNCKSLSELKNDESETDGALTTILKVLKQVHHMFFNEVSGDLVDRDVRQVLKTVRAEVLEGCKVVFSRVFPTKFQAENHQLWKMVEQLGGTCSTELDQSVTHVVATDAGTEKSRWALKEKKFLVHPRWIEASNYFWKRQMEENFTVEQTKVEQTKKQ >KGN50418 pep chromosome:ASM407v2:5:7117973:7119259:-1 gene:Csa_5G173460 transcript:KGN50418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQSTSIPKNLQRVRDHGYDNYMEVEKKTRKVLKFQELILSQFNQTIPVSRLDILARRLGFKQHEAGAFVLKFPHVFEIYEHPVQRILYCRLTRKAHLQIEQQKRAVIAQIPDAVTRLRKLLMMSNNGRLRLEHIRIARSEFGLPDDFEYSVVLKHPQFFRLFDAKETRNKYIEIVERDPSLTVCAIERARERVYREKGSDAEDIRFSFVVNFPPGFKIGKYFRIAMWKWQRLPYWSPYEDISEYDMRSIEAQKRMEKRAVATIHEMLSLTVEKKITLERIAHFRLAMYLPKKLKDFLLQHQGIFYISTRGNHGKLHTVFLREGYRRSELVEPNDVYLARRQLAELVLLSPRKATVDRELVGYRRERVGYDMENSRTDYVEDKSVDFGVENKGNVRDVLDSDIGSDVESDFSDDDNHSVEVEDVDITE >KGN51403 pep chromosome:ASM407v2:5:18774098:18774322:1 gene:Csa_5G527910 transcript:KGN51403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIIQCPKPPSMVSQCRIEQPQVAQGIAKCADMHMTINKRTTQPCTHVNLAMLTVVTKWCAPLSDMLENVDGSR >KGN49783 pep chromosome:ASM407v2:5:3127360:3127940:1 gene:Csa_5G126720 transcript:KGN49783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVSFVMLLQEVEKDVKTRTKGEMGAAKTLCSPFEQPPLPEACKEMELLGPKLLKLISSLFSPTLRLVKRCWKSARVVEFGGIRFSRVEFIIISRIR >KGN51063 pep chromosome:ASM407v2:5:15431006:15453198:-1 gene:Csa_5G426400 transcript:KGN51063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPDKLREMLLALDAYPSLDISCHRSPTTRQRSVTCCRRLGRPPGPPRAVRRIVAGHLMDNCEGARLNIENLTFENPMPPEVLDWVRVESISSLPGTLADGVDNFGSAGVAVTKVKNEMFDDFDEDLDHVLLIERLRMLLSRRALGLTNRHGEGGFGVRSGELQCFLKKREKSMFASEERMEIENVLHSRTGSHAPCPCIPSEVCSPCLTLTGSYCSGNRCVNKSTESCDDMELKEDKICSTEKVATELGSRPLTDHVPKANLLSYTKVKDEPYDHVDDSNIYGKDMNNVFSDTVSIKSEATIPDEHYENKVDNMRLQDRMKFFSSQKDFGFTPMNYEHPKPSDPGCSILVSEPASLMNIKRRRKRKKTVTNSVETALEEDAPGLLQILVDKGVLVDEIKLYGETESDEDLDESFSEDSFSELDDVISRLFSQRHSFMKFPSIRCMKSSRVSYCLACLVSLIEQTRYLQFRNWPVEWGWCRDLQSFIFVFERHKRIVMERPEYGYATYFFELVDSLPINWQIKRLVISMKLTSCSRISLLENTPLLVGEDLTEGEAGVLSSYGWMPNSGLGTMLNYRGRVVHDRNNEDISEWKSKIGKLLMDGYNGGALLLENTSIKVAEYSSSQTTQVKLEL >KGN51923 pep chromosome:ASM407v2:5:22715158:22716675:1 gene:Csa_5G605170 transcript:KGN51923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKSYGRVLVASLSMLLLMIYVISRSSTRENYYAAPFYNSVDPLQWLNPAVPPSVLNPENAYQVISADSIISTLFTRGNFTDGENRALQTWNHLRSIINYTRGLPNSVEAIKEARGVWNVLKTSIENERLGSTNETGRAKEKQCPHFLTKMNATKLDNNGHKLRMPCGLTQGSSITVIGIPDGLLGNFRIDLTGEPLPGEPDPPIILHYNVRLLGDKLTEDPVIVQNTWTVSRDWGDEERCPSGSDENGKGMEVYHVSFE >KGN52556 pep chromosome:ASM407v2:5:26925344:26931011:1 gene:Csa_5G643350 transcript:KGN52556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRAIFINNPEKFTATLGRKRKFQPWVSVGGCNQSWVSFTLCFTVWFSFLRLPPAAVEAVFLGGLAFEGSVFYSYVMAVDCVGFCGFGLLKMGSKGRIPPSHGRRTLQGSGVLHPEAFGHALRPPPGAFPPFDMLPPPEVMEQKLAGQHVEIQKLATENQRLAATHGTLRQELAAAQHELQILHAQIGAVKSEREQQARNLSDKIAKMEAELKASEPIKLELQQAKSDAQNLIVARQELISRVQHLTQDLQRAHGDVQQVPVLMSELESLRQEYQHCRATYDYEKKLYNDHLESLQVMEKNYITMARELEKLRAELTNTSSLERRHGGPYGTTPNNEIEASGNAAGQNTYEDGYGVAQGRGPLPATAGGASSAGTTAYTGPQTGSTANRPNFDATRGPQRGPGYDGGRGSIYDSQRPGYDGQRGGPGYNVPGLPTYDASRGVGYDPQSRGVAGGHAAPGNTAPYRSSTPPGRGGGFEGQSRGGGNPGRR >KGN51461 pep chromosome:ASM407v2:5:19513428:19515364:1 gene:Csa_5G550240 transcript:KGN51461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEAAGFTDPEKQKLLLHDHEEKHFMSSEVVRDIIIGVSDGLTVPFALAAGLSGADVSSSIILIAGIAEVAAGAISMGLGGYLAAKSEADHYMRELKREQEEVIEVPDIEAAEVGDILSQYGVEAHEYGPVVAALRRNPQAWVDFMMKFELGLEKPDPKRALISALTIAISYIIGGLVPLSPYVVFPSAGDAVIASVIVTIIALLIFGFAKGYFTGNRPIMSALQTALIGAVASTAAFLIAKAFRT >KGN51806 pep chromosome:ASM407v2:5:22030022:22033860:1 gene:Csa_5G601560 transcript:KGN51806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQESYEEAIAGLSKLLSEKADLQDAAAAKIRQITAELAGSSACSNGFDPVDRIKTGFTHFKKSKFETNPEVYGALAKGQSPKFLVFACSDSRVCPSHILNFQPGEAFMVRNIANMVPPFDKTKYSGAGAAIEYAILHLKVENIVVIGHSCCGGIKGLMSIPDDGAISSDFIENWVKICTPAKNKTQSDCTDLSFEDKCTNCEKEAVNVSLGNLLSYPFVREAVVNKRLFIRGAHYNFVSGAFELWNLDFNISPSLAV >KGN51838 pep chromosome:ASM407v2:5:22217813:22221999:-1 gene:Csa_5G603350 transcript:KGN51838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKRRKSQSLSEDPHGMFAGMVVFLVEKGVQTRRLQIWKQKLVQMGASIEERLSKMVSHIFASSLDALLEKVDGARLARFKGKVLSYQWLEDSLSSGEKASEDLYTVKVGLDEDGRDKPQQSTPKKLNLSPNNSEAVSFESGGDSDASTLVTKTATGLEDSKLSIGQTVTSPRTSDFVGNNIALSYSPPDMNKNITEIFGKLINIYRALGDERRSFSYYKAIPVIEKLPFRIESIDQVKHLPAIGKSLQDHIQEIVTTGKLSKLEHFETDEKVRTISLFGEVWGIGPATALRLYEKGYRTLDDLQKEESLTHAQKLGLKYFDDIKQRIPRNEVQDMESLLKKAGEDVLPGVDILCGGSFRRGKSSCGDMDIVITHPDGKSHRGFLPKYVKHLKDMKFLREDLIFSTHSEEGTDSGVDTYFGLCTYPGRELRHRIDLKVYPRDIYAFGLIAWTGNDVLNRRLRLLAESKGFRLDDTGLYPSTQGSGGKRGARGTATLKFDTEKDVFEFLGFPWLEPHERNL >KGN51995 pep chromosome:ASM407v2:5:23077181:23081159:1 gene:Csa_5G606850 transcript:KGN51995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVEGEPIVCHSNASPKFVPKSFECDNDALNSGGMKLEDQKEFTNNLKGNVDADHNNTDADGWVAKKRECLDLDDFNDYDDVKAFVSPLNNSCKADLSEEDSELYMEKSVVECQLPELIVCYKENICNIVKDICIDDGTPRDKLFCGSSLDEKDVCSILPPTKDWKDESVGELKQRDMFASDDSEHSESFGSKDSPNEYDSKDLAITPEAEYDVAYFTDNDMPMTDLVTESLKPLTNNEIKPHPQSEQVFIETTCSEVPVLVRVAEESFSNTREATSESITSAEDPKHGDSANAPSTSASVGCKETTSSNPLASADKCEAECHTTSSNPKHVEYEDLRRVEYEDIPKTEVGNFDSHTVSSEVSQGVGETSFSVAPLGSLISNSGRIGYSGSISHRSDSSTTSTRSFAFPILQSEWTSSPVRMVKPDRKHLQKHRGWRHGILCCRF >KGN50991 pep chromosome:ASM407v2:5:14318946:14319300:-1 gene:Csa_5G387420 transcript:KGN50991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYQNPPSSSSFVSLAPATINLLSVVVRRFLFLAFRNPYESFHFVLHVMSEPFHLRMPPSAPSFLYSSFVSHVRRRASSPFIRAI >KGN49604 pep chromosome:ASM407v2:5:769015:769386:1 gene:Csa_5G021860 transcript:KGN49604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSQPYRSICTEVINVLLPQDETYIGNNFISLFSQQKISLDDPQLKNLAPSLHPRIVETVLNGLRSWKIAHMFFTWASKQHEYKHNCYTFTAIARQNALLRAVAMDVLNFGSLFKMFGKCGVG >KGN51342 pep chromosome:ASM407v2:5:18301975:18302675:-1 gene:Csa_5G517900 transcript:KGN51342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLETASAGKFRYRRLRYSEEFEEAFGRSREGGGEGIRKRWRRRRWWFRMRMRKGSSSLSIGRRLKKLRIPSLRKLLRRKWRLVNAMRGSIAKVVKRFRDGEAYLGDLFAGNYLFLQVNPSSMKCLKNHHHHQQQHGFDVRNFPQTYSLPSFI >KGN49722 pep chromosome:ASM407v2:5:2561251:2561536:-1 gene:Csa_5G083840 transcript:KGN49722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEEQVGNPNTTTVAIAGLSIETAATSIQAPSSTDNQTPFKQIPFSVDDLPFSGNPLLTGRPSVNYISFGSCDSNFCPS >KGN50491 pep chromosome:ASM407v2:5:7653058:7655631:-1 gene:Csa_5G177110 transcript:KGN50491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQLTEIIFAKDVKFRVSYPYCFQVRLASPTIFLGFLSSNPPTPYFHSIFLLIVFAQSPFYKMPRYDDRYGGTRLYVGRLSSRTRSRDLDDLFSRYGRVRDVDMKRDYAFVEFSDPRDADDARYSLNGRDVHGSRIIVEIAKGVPRGPGGSREYLGRGPPGTGRCFNCGIDGHWARDCKAGDWKNKCYRCGERGHIEKNCQNSPKKLKRGSYSRSPSPRRGRSRSRSYSRGRSYSRSRSPVKRDRSLERSDKRSRSPRGRSSPKRHSLSPPPKAMKRSPTPDERSPEEDARRSLSPGNRDSRSPRGRSRSPRGRSRSPMDEGEDFNGGGSRNYRREENGYSRSPSPLPREERSPVNDEDNNGSPRGGSESG >KGN52655 pep chromosome:ASM407v2:5:27561109:27561327:-1 gene:Csa_5G649260 transcript:KGN52655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRFHGTFKKAYSPKLNKSPTKLDGTTGGTANKLQQRRGPEERERNGSEGERERWRQKAGGATACGGCNPR >KGN50904 pep chromosome:ASM407v2:5:13008051:13010728:1 gene:Csa_5G320430 transcript:KGN50904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFTSSLVVSPNVELSPSNFGFLDSLRDGPQIPDSFRFFSRNRVPNLINKRQKWGNPSHSTELKYPILCESGYGSVIAASMVANPAGEMAVSAEQKVYNVVMKQAALVKRQLRTAGELDVKPDIVLPGTLSLLNEAYDRCGEVCAEYAKTFYLGTMLMTPERQKAIWAIYVWCRRTDELVDGPNASHITPTALDRWEARLEELFQGRPFDMLDAALADTVTKFPVDIQPFKDMIEGMRMDLRKSRYKNFDELYLYCYYVAGTVGLMSVPVMGIAPESEASTESVYNAALALGIANQLTNILRDVGEDARRGRIYLPQDELAQAGLSDEDIFAGRVTDKWRNFMKSQIKRARMFFDEAEKGVLELNKASRWPVWASLLLYRQILDEIEANDYNNFTKRAYVRKGKKILALPMAYARALLGPS >KGN49879 pep chromosome:ASM407v2:5:3640220:3646011:-1 gene:Csa_5G139570 transcript:KGN49879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVSSQPQFRYTQPPSKVLHLRNLPWECTEEELIELGKPFGKVVNTKCNVGANRNQAFIEFADINQAIAMISYYASSSEPAQVRGKTVYLQYSNRQEIVNNKTTADVPGNVLLVTIEGTDARLVSIDVLHLVFSAFGFVHKITTFEKTAGFQALVQFSDAETASSAKNALDGRSIPRYLLPDHVGPCSLRITYSAHTDLTVKFQSHRSRDYTNPYLPVAPSAIDGSGQFTVGLDGKKLEPESNVLLASIENMQYAVTLEVLHMVFSAFGPVQKIAMFDKNGGVQALIQYPDVQTAVVAKEALEGHCIYDGGFCKLHISYSRHTDLSIKVNNDRSRDYTIPNNPPMVNSQPPVVGQGPGQGQGQQPPHQMMGPSTHQYNGNQYGPSGEQQPGVQPPQSSGWGSGGPAATYSSMPMHNPGYMPSGTMPPQHGMMPSPSGLHQTPPPPYRPDHRQ >KGN50196 pep chromosome:ASM407v2:5:5727657:5727854:1 gene:Csa_5G158520 transcript:KGN50196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMTCDLTYHKKITWVMRIGPDSKTKERKCISSAKGQAFGFGFGSPTCGHSHRAFAQNPWIGSLL >KGN51719 pep chromosome:ASM407v2:5:21460015:21463555:1 gene:Csa_5G593320 transcript:KGN51719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDATTSETAALQFHSIPDQSVSTIVTSATSTFPQSKRHCFGESDPGEFPLAANPSIVLHVLTACNLDPQDLAKLEATCSFFRQPANFAPDYELSISELAALDMCRKRAIFKPMTPEQHEAMKQRCGGSWKLVLRFLVAGESCCRREKSMAIAGPGHSIAVTSKGAVYSFGSNSSGQLGHGTTEEQSPPRLIRSLQGIRIIQAAAGGGRTMLISDAGHVYAFGKDSFGEAEYGAQGAQVVTTPKLVESLKDIFVVQAAIGNFFTAVLSREGTVYTFSWGTDSRLGHHTEPNDLEPRPLKGALENIPVVQIAAGYCYLLALACHPSGMSVYSVGCGLGGKLGHGSRTDEKHPRLIEQFQLLNLQPVVVAAGAWHAAVVGRDGRVCTWGWGRYGCLGHGNEDCESSPKVVEALINVKAVHVATGDYTTFVVSDDGDVYSFGCGESASLGHNVVLPEEEGNRQPHVLKPELVRSLKQISERVVQISLTNSIYWNAHTFALTESGKLYAFGAGDKGQLGVELANQTERGNPELVDIDLN >KGN49905 pep chromosome:ASM407v2:5:3746993:3747220:1 gene:Csa_5G139830 transcript:KGN49905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGGQSLEFYCRRFDEDFNRTTYKHVALMKLLCSLNFLSPFLLHKKELKKLKELKELKEQEQGS >KGN51105 pep chromosome:ASM407v2:5:16021577:16023164:-1 gene:Csa_5G450650 transcript:KGN51105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGETGLILGSSWRGSFESFDNFKDGVFATASQEIVGLLAGFGYTLFVFLIGVRMDLSVVKRSGRQSLIGGILSIVIPAILGSLTAFGLSRFSKTHGTADMEFIAAHQSYTSFAVMVCLLDHLKILNSEVGRLVLSTTIVADLVGLSFSLIITVIENVRSQGALNGLMTFAMAIGSLVLIVFLFRPAMLWIVRSTPSGRPVPDGYICIIILLVLVSSVTSNIMGRTVYSGPFILGLTVPEGPPLGASLVKKLDSIITSVFVPLFVTISVMKVDLSFLYYDGEFLIHSIIVIFISSIGKLVVSVGTALYFKMSSHDALAFGLIMCSKGIVELAACSYFYDSNCVLLLDHVQTLRYFFFFKLLGLRFKV >KGN51338 pep chromosome:ASM407v2:5:18260409:18263238:-1 gene:Csa_5G517860 transcript:KGN51338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVTSSMAAKLAFFPPNPPSYKLVNDEYTGLLLLTPFPHRENVEILKLPTRRGTEVVAVFIRYPMATSTLLYSHGNAADLGQMYELFIELSIHLRVNLMGYDYSGYGQSSGKPTEQNTYADIEAVYKCLEESYGIKQEDIILYGQSVGSGPTLDLAARLPLLRAVILHSPILSGLRVMYPVKRSYWFDIYKNIDKIPLVDCPVLIIHGTSDDVVDCSHGKQLWELCKEKYEPLWIKGGNHCDLELYPEYIKHLKKFISTVEKSPSQRYSSRKSTDQIEKPRRSVEFFEVSRKSTDRREKPRQSTDRPEKLKNQYGNTDKLEKVRMSVDHKERSRRSVDCHEKSRKSVDHQLERARKSVDRLDRIHTG >KGN50584 pep chromosome:ASM407v2:5:8400771:8405347:-1 gene:Csa_5G187330 transcript:KGN50584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTEARVSVMMEGGQRALSSAHGGVVSVEGGTRNFAPKKQQSLQQSQIGTVSQLLSGGVAGAFSKTCTAPLARLTILFQVQGMHSDVALLKKASIWHEASRIIHEEGVRAFWKGNLVTIAHRLPYSSINFYAYEHYKKLLHMVPGLDRRRDHMSADLLVHFLGGGLAGITAASSTYPLDLVRTRLAAQTNVIYYKGILHTLRTICRDEGFLGLYKGLGATLLGVGPNIAISFSVYESLRSFWQSRRPHDSTVLVSLTCGSLSGIASSTEKQAVVQALHQSI >KGN50216 pep chromosome:ASM407v2:5:5855407:5855782:1 gene:Csa_5G160190 transcript:KGN50216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGRLEKPQCGRGDGGAVRCPVDEEIRVAMGIINKMNGGWGLVKHEKLYKSLLSNEINLAPPDTIIGRALHGLPNASFSHFHTKR >KGN50597 pep chromosome:ASM407v2:5:8525945:8527646:-1 gene:Csa_5G189920 transcript:KGN50597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDSTFYLPPHFLSDHDNLPPKPTSSALFPTDFPYDFTSSSVHSPVDSVLGDDDNDDEQDFLAALTQRLTQSTLRDSQKLPSVHKSQAKMAMAGSPQSTLSGVGSWSAWSSVSSDGSPNGPSLAPSPPTTPFGGENNTWDLIYAAAGQVARLKMNTYRDGIIGPSQSSSNLVSPTNNAGFHSHPSQFGTDPPIYKPDNSSHWARRQVKVENQQIHYRGQEVYPENERFLRPLDITQSAWPSLHPHHRRYPSHPSTPAAPAAYHGVGSAPKKECAGTGVFLPRRYDSNTPQSRKRADSPSVALVPAKNIQELNGSIPPSNRRLQPSYEALIAQRNAIFAQQRLSYPRLAERSKTHEFLLPQEWTY >KGN52098 pep chromosome:ASM407v2:5:23706507:23713191:-1 gene:Csa_5G610330 transcript:KGN52098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLNNPVCNIYVSENLPCLTIRLYGESQIEEVFPLLTKKFSFEEQAALVWKFLCSIPINMLVVFLPWISSSISPDEHQIMCKCLSKIIPEKKLLQKIIFSWMEGAKTAHANQSSYDDNLEFQSLGSQVHDLICMPEKGSDTSEPTRIGKRKYVEQSNFTYSTVSDACPINEILYWHNAIRKELNCIAEAARNLPLSSDFSELSALKERLQFITEVCIFHCIAEDKVIFPAVDAELSFADQHAEEEIQFDKLRHLIECIQADKVKCSSAEIHKKLSSHADQIIETIQKHFHDEEMHVLPLACKHFGHQRQRELLYHSLCIMPLKWVERILPWLVETLTEKEARSFLQNMQMAAPVSDHALVTLFSGWACKGRPRSICLSVSAIDRCPELILTGDEESCSSFCSASEKHSCNLATECARSSKGGKAVCHGDSNGRLPLKNPSKKLQITCVKRSSCVPGLGVDHDNNLGMRSMAATKSLHSLCFGLYAPSLNSSLFSLETNPVSLGSGSNPQPIDNIFTFHKAIRKDLEYLDNESVNLGDCNDTFFRQFCGRFYLLWGLYKAHSNAEDDIVFPALESKEALHNVSHSYTLDHKQEEELFEGISSALSKLADLRRDLKGNKKCNNSSCMKFHNSDSCDTVRMNIELATKLQGMCRSIRVTLDQHIFREESELLPLFHTYFSLDEQDKIVGRIIGTTGAEVLQSMLPWVTSALTQEEQNKMMDTLKQATRNTMFSDWLNEWWEEPATSPHHEESVIHLSGGSNSHGSCDQKNDSIFKPGWKEIFRMNENELESEIRKVVKDSTIDPRRKDYLIQNLMTSRWIASQQMLPQATTGENTNARDPIANAPSFRNPKEQIFGCEHYKRNCKLLATCCGKLFTCSFCHDKVSDHSMDRKVSTEMMCMQCLKVQPIGSVCTTPSCGGLSMGKYYCGICKVFDDEREVYHCPFCNLCRLGKGLGTDFFHCMTCNCCLAMKLVDHKCQEKGLETNCPICCDFLFTSSESVRALPCGHFMHSACFQAYTCSHYICPICSKSLGDMTVYFGMLDALLALEVLPEEYRERCQDILCNDCSMKGKARFHWLHHKCGSCGSYNTKVIKVSSSS >KGN50005 pep chromosome:ASM407v2:5:4408070:4411618:1 gene:Csa_5G148760 transcript:KGN50005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNSRDELLCIRYRSKKKSKNNGKKDPNNHNQNNCINKRTSFYDFFWSFLFSLSCLVCLLYSELVLGYGDAGDSSSVNKSTSCHTHGNQKAGHACSSIANGSNKSKAFEEAVLSALGYSSLICKVQQPEKKPCSTEHQESPSGRSSRPTYLNLDEFRNITMKDKEGKMPSQLVNITHRLEPDGSDYNYASASKGAKVVAHNKEAKGACNILEKDHDKYLRNPCSVGGKYVVIELSEETLVDAVKIANFEHYSSNFKEFNLSGSLSYPTETWSNLGNFVAANVKHAQVFQLPEPKWVRYLKLDLLSHYGSEFYCTLSIVEVYGVDAMGRMLEDLIVTSSKAAPKKISLEEPNSTVSPSVNSDVGPVNELENDENNLSSAGAGPESMDDPTSLALEVAKNVKVNKFPDPVIEARQQLNGRIPGDTVLKILMQKVRSLESNLSVLEEYIKELNRRQGKLLPDLEKEIVRISLLLENTKLAITDLMVWKETIEKEIAHFKSWKMATTSQMNELVRENNMLSLDIEKILNNQAKLESKELAVLAVSFLFMCIATLRLISAKILMFFGDCQSEKTCSTSGGWVWILVSSMMTVILAFIYS >KGN51168 pep chromosome:ASM407v2:5:16621524:16631782:-1 gene:Csa_5G471070 transcript:KGN51168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESSSFFCNLGVLDSLSDDGVQEILNTYAQFSAATQALLNGIGRLSLRSEFVSHVQSLCKHGLESLVLNHFLRSLQENFEINGASEFWKHFDSYENIEILNTCDPPHSEEEVREVLCKALEEISSKKKCQEEFLSILVHALQSSRYDLMEKGRQYDAETEVIGLFAKYQLLVSSVLMATLPRHFPDLLHWYFKGKLEELSAIMAGELNEDYKFQCKDDMELDGKGRISCKSGQKDFNECYQLEKFSNIHKLVKSIGKVVLDLRNLGFTSMAEDAYASAIFSLLKAKVDSLADDDYRSSVLEPIKEWIKAVPLHFLHSLLAYLGNSAGNNSPFHSLKSSLAARASSFDSGVDTPEGLIRWQSRLEYFAYETLQDLRIAKLFEIIVDYPDSSPAIEDLKQCLEYTGQHSKLVESFISALRYRLLTAGASTNDILHQYVSTIKALRTIDSAGVFLEAVGEPIREYLRGRKDTIKCIVTMLTDGTGGNSNVSGNTGDSLLEELNRDEEGQENVGLDDDFHADDKQAWINASRWEPDPVEADPLKGGRTRRKVDILGMLVSIIGSKDQLVNEYRVMLAEKLLNKSDYDIDSEIRTLELLKIHFGESSMQKCEIMLNDLIDSKRTNSNIKATINLPSQTGMSKKKPMFSF >KGN51242 pep chromosome:ASM407v2:5:17500648:17504066:-1 gene:Csa_5G497060 transcript:KGN51242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVDPHSYTDSTHPQALHYSLSLFFDFPSTLIHASALITISTSYYGSISLDTRSLIIHSVIDPMSHYPIPFSLSPSDPIKGSLLSITLAGQSSLIVTYTTTLESSALQWLSPPQTFNKTHPFVYTQCHPIHARSILPSQDTPAFRIRYSARLNIPQELSAVMAARHVERRPPVAGEAKFLAGGFDLLWADEGRVVEEFTMEHPIAPYLFAFAVGEIAFREVGPRTRVYAESVPSVLDAAAREFAGTEDLIKQGEKLFGPYSWERFDLLVLPPSFPYGGMENPKMVFLTPTVIKGDSTGSHVVAHELAHSWTGNLITNKNNEHFWLNEGFTTYAERRIIEAVQGQDAAALNIGIGWKGWKEDVEKFKDNLEFTKLKTKQEGVDPDDVYSQIPYEKGFQFLWRIERHVGRPEFDRFLKEYIFTYSFKTIDTETFLDFLQSELPGIEEEIDLKLWIEGTGIPPDAQEPVSYLYMKILSLANDFKLGKMPKEEETADWGGQEWELYLENLPKSIEVSQIQALDMRYRFSESKNYDIKVAFLQLAISSKYRDCYAEVEKTLKEVGRMRYLRKLYGALTQGPGMEEEKILANRIYSEARESYHPIAQRVVESMFSKNL >KGN52257 pep chromosome:ASM407v2:5:24680852:24683102:-1 gene:Csa_5G622720 transcript:KGN52257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNYKSCGTCGGSRRFGISYLRQKSVLFNSELRSRSSMAARRFLSLAFRHRLQTSPSLESTCPIHSFANSWFLTGHKFTRRENHTEATPAIAEDSKDNEDNKLRPISDLGKPLHENKDDTSSVKYSVLSNLKPSPRHDLAMIFTCKVCETRSIKTVCRESYEKGVVVARCGGCNNLHLIADHLGWFGEPGSVEDFLAARGEEVRKGSLDSLSLTLEDLAGKTS >KGN51190 pep chromosome:ASM407v2:5:16965146:16965441:-1 gene:Csa_5G485690 transcript:KGN51190 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystatin MCSRNFDVPPVPIKDIDSAEIQGYARFATERHNEITGDKLQFQRAINGLEQGRSYSILQSTGSVTTHGIWTCSS >KGN49654 pep chromosome:ASM407v2:5:1577026:1578406:-1 gene:Csa_5G049520 transcript:KGN49654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVNRGLNETTGVVLEYLQDAKEYGQRKGDDILALFILVIGRKMNGNQLVGTIPSKLGNLDKLFEL >KGN49988 pep chromosome:ASM407v2:5:4303031:4305391:1 gene:Csa_5G148590 transcript:KGN49988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITLASTYYSLSSFKNLRLFKPSSTFSPSLSNLKPLNPFLKPSSNQTRFGNGICRAELGNDAPFAIAIGACILTSLVVPAADGASDDESDAVIDSTDTRLAVMGIISFIPYFNWLSWVFAWLDSGRRHYAVYAIVYLAPYLRSNLSLSPEESWLPIISILLCIIHIQLEASITNGDIQPLQIFGKASKKISSTKKGRDQFKGSQGSYKESEKKGDRKLPSAEERFRDKISRLGDAKETLDHEQSNGEWDDDQRRKH >KGN52464 pep chromosome:ASM407v2:5:26314491:26319443:-1 gene:Csa_5G636560 transcript:KGN52464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLLGFSHMNRFDIVQIYRQYCDIRSQNGYHGNEDSGNAETQMCKSSKQALAELLIYVQSSLQMGNSVVYELSKLMSYLNFMVDFSEFSRFYEFVFFVCRENGQKNITVSMAVRAWRLVLDGRFRLLNQWCDFVENNQRHNISEDTWQQVLAFSRCVHENLEGYDPEGAWPVLIDDFVEHMYRRSGSNKVSKLSCNCGDSEESGVFEDSLSGLKFFPGLKRKLPEDMQMDGIVSPSDPRTSAMEFTPVTSVKKSRFMACRPVNLEINSPSCTAAENIEMVRHNSTVGSKSPCAVEGCLSKGFAGLFSTRLFLGLDQERKASFT >KGN51573 pep chromosome:ASM407v2:5:20524953:20528394:1 gene:Csa_5G579590 transcript:KGN51573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLESGPGGSVSAAAIDSSNIGFRLLKKHGWREGTGLGVSEQGRLEPVQASVKNNKRGLGADKVKKKIKETTDNATSDRKNNQERPPPKKAKGLTKRMRKMQEEERLFQEKEFEQAFFREFWPENV >KGN51743 pep chromosome:ASM407v2:5:21644923:21650223:1 gene:Csa_5G598020 transcript:KGN51743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVELRHSSSLGNRATSSPMKRDEDSSPLVPDRQSEDHDDRDRHSIRDRSYSRLTFQSLCPYFADDSRVSPYNSKISLFFVFLVVAAALIAVFSIVRRLNSPYLCEKDGITLHCPPVKERPSLWENPYSATTSWKPCAELRDSEISDLPPENETNGYIFIHAEGGLNQQRIAICNAVAVAKILNATLILPVLKQDQIWKDQTKFEDIFDVDHFINYLKNDVRVVRDIPDWFTDKTELFTSIRRTVKNIPKYAPAQFYIDNVLPRIKEKKIMALKPFVDRLGYDNVPSEINRLRCRVNYHALKFLPDIEHMADVLASRMRSRTNSSNPYMALHLRFEKGMVGLSFCDFVGTREEKVKMAEYRKKEWPRRYKNGSHLWQLALQKRKEGRCPLEPGEVAVILRAMGYPKETQIYVASGQVYGGQNRMAPLRNMFPNLVTKEELATKEELDSFRKHVTSLAALDFLVCLKSDVFVMTHGGNFAKLIIGARRYLGHRLKSIKPDKGLMSKSFGDPYMGWAPFVEDVVVTHQTRTGLPEETFPNYDLWENPLTPCMCRA >KGN52021 pep chromosome:ASM407v2:5:23229088:23230510:1 gene:Csa_5G608090 transcript:KGN52021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVTRPLSLYRSDQCSASASASVLVEGPNTGVLVIEDEGSERRWFFGLVKDESVEEPPFPQNKLLELRYKKTAARQRHRRHPYTDYFYVMLIPVLNQPPNSNQYYVIDSRGKSKGLACTSSKEDDMISCCCFNLIDDIKPQLFDPTNPYQQFQINSKYSFFQSSSNGFISNSMAPDGVPPYFLRHQGWTAETRNLDQKFDAAPALGIDAALRARLPELDCTAVDPVVVGKWYCPFIFIREGDVGVQMRDSTYYEMTLQQNWEEIFGCYNNNDRGHRVTVDVCVRSEAVLVGEALLAAEGVVVSDGIMWFSPSSTWEVGLSMAIVERVKWEEERVGFVWGRNDHEEQIEIRTVRREEFEGKGTIWRRFRCYVLVEKFVLKRMNGTLVLTWEFRHTHQIRTKWE >KGN52307 pep chromosome:ASM407v2:5:24957469:24965446:1 gene:Csa_5G623710 transcript:KGN52307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDWNDEELANIIWGEAADTDDHIVPYREAGENYYDKKEWNQDTLYTKLMEQKSPGTDNHGRKLESSPGNEGGTSASNLSNDPVADISLSKPSRIDQDSKGTEVSHELTGNREYNSPKNAAMTKGAPNFQSTEEGKEQADFVDYGWANIGSFDDLDRIFSNDDPIFGQVSLSDTDELWSSSSKDLGNSPMKLFPTVESRNLDSRVDTEKIKNPEYSKQNEQVSTLPNGQSSDAGPLALQTGSAILTNVEGDMTASIARDRIGRQKKLLKSRKRSEGKSDEKMFQDFRGNWPSSTSPAGQFDNNLALQLGTSSPSIMTKHRQLQGLEPLQYQRSSNPSMHQFYPIPANAYPAVPLLSQIQPVDLQHQPLLGQDISPGSTNRVDKPADGFVKSLTMTPQEKIEKLRRRQQMQAMLAIRKQQQQFKNQVSTSSQSISPKCPQEIQSQHIEKNDLDSEEIYTLPALDPKSPLEQDDSNTVSTTVDRSMEDTILCRLQEIISKLDFKIRLCIRDSLFRLAQSAMQRHYANDTSSSNKSSRDENDFTAKGEINSHCRIAGVPDAETETNPIDRTVAHLLFHRPFELSQNYIDAPGSPISTKLSSEQKADLKSSPMECLPYNASGKHHVSLDGSKSSWTLAETQQQIKTSPCMETSDNTSNNGLVDDAVLDYEASQ >KGN50737 pep chromosome:ASM407v2:5:10112193:10116332:-1 gene:Csa_5G222470 transcript:KGN50737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEDINGLNSHLVFQDESLPFNCSSTPHRRLPDPPPKTRERCGFMDDKLFPAVDRDRFFSQQGEDFRRNVFGHNRNWNGTARTGSEEGSDEEEEEEDDDDDEDEVDDGDDGVKGLVSLDDVNKCSKTANPICSNNDRSDQSSGGLKNGTDKLGNGKRKQHSSFVKDALAGSSGVTQSENNPQGRLGNYPNAVTAAEPDSEAYYSQYLQSNEGSASEQKDLSIENGCGFGRRDVSFSGEPRESLRAILTDPVTGALMDDAMILPCGHSFGSGGIQHVLRLKSCYSCSQSVSEDSIAQNLSLQAAVQAFRREEELLFYHSPKRRRERFEQEKGGYGDLTLMDTQRGRGVQFPFAVTDRVIIKGNKRTPQRFVGREAVVTTQCLNGWYVVKTLDNAESVKLQYRSLAKVQNDATSKMITRKMMPNWL >KGN49581 pep chromosome:ASM407v2:5:444754:447604:1 gene:Csa_5G011710 transcript:KGN49581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRFYHRTIHVFSKQSSKSNSPLIFLPKKPHLSLSLISSQTSPNGTTQRGGFGPIHLKTTPHESAHDRDADEFSVDVEKVYRILRKFHTRVPKLELALQESGVIMRSGLPERVLSRCGDAGNLGYRFFVWASKQPGYRHSYEVYKAMIKTLGKMRQFGAVWALIEEMRKENPYMLTPEVFIVLMRRFASVRMVKKAVEVLDEMPKYGCEPDEYVFGCLLDALCKNGSVKEAASLFEDMRVRFNPNLRHFTSLLYGWCREGKIMEAKHVLVQIKEAGFEPDIVVYNNLLGGYAQAGKMRDAFDLLAEMKKVNCGPNAASFTILIQSFCKTEKMDEAMRIFTEMQGSGCEADVVTYTTLISGFCKWGNTDKAYEILDDMIQKGHDPSQLSYLCIMMAHEKKEELEECMELIEEMRKIGCVPDLNIYNTMIRLVCKLGDLKEAVRLWGEMQAGGLNPGLDTYILMVHGFLSQGCLVEACDYFKEMVERGLLSAPQYGTLKELTNALLRAEKLEMAKNMWSCMTTKGCELNVSAWTIWIHALFSNGHVKEACSYCLDMMDADLMPQPDTFAKLMRGLKKLFHRQLAVEITEKVRKMAADRQITFKMYKRRGERDLKEKIKAKIDGRKRRARRRGWSGMHKKTKTL >KGN50007 pep chromosome:ASM407v2:5:4414894:4416822:-1 gene:Csa_5G148780 transcript:KGN50007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQNYNSFCQFSDQLRLQTANLANLSTNDSIWSNSFVSKKNHNNFDVRVGAELSSSNSDSYKLPSDLNTLNNDGWNSFKTSGSDLLNMNTKPLGLANKTKDPVNDYEIWNSFKPGTGLDHIGINPNPKHLGSSNTNINDQTNDFGSWNSFKSGIGSNFLNPKPNPIGSGTNPKDSVNEYGLWNNLKPKPTADYNGFNDGWKIGPTVPGKNQNSKEIETNNGVGNYRKGLSYKLGVPANSNGNFNWKNNVGEVAEQGGGKGGKKGNKGKNEAEKEKRFKTLPAAEALPRNERIGGYIFVCNNDTMAENLKRQLFGLPPRYRDSVRAITPGLPLFLYNYSTHQLHGVFEAASFGGTNIDPTAWEDKKCPGESRFPAQVKVITRQICVPLEEDSFRPILHHYDGPKFRLELSIPEAISLLDVLSHQNS >KGN51472 pep chromosome:ASM407v2:5:19590318:19599301:1 gene:Csa_5G563290 transcript:KGN51472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMESLIGLVNRIQRACTVLGDHGGEGMSLWEALPSVAVVGGQSSGKSSVLESVVGRDFLPRGSGIVTRRPLVLQLHKTDEGRAEYAEFLHAPKKKFSDFASVRKEISDETDRITGKSKQISNIPIHLSIYSPNVVNLTLIDLPGLTKVAVEGQPESIVEDIENMVRTYVEKPNCIILAISPANQDIATSDAIKLAREVDPSGERTFGVLTKLDLMDKGTNALDVLEGRSYRLQHPWVGIVNRSQADINKNVDMIIARKKEREYFETSPEYGHLAHKMGSEYLAKLLSQHLERVIRQRIPSIIALINKTIDELNAELDRIGRPIAVDSGAQLYTILEMCRAFDRVFKEHLDGGRPGGDRIYGVFDHQLPAALKKLPFDRHLSLKNVQKVVSEADGYQPHLIAPEQGYRRLIDGSISYFKGPAEASVDAVHFVLKELVRKSIAETEELKRFPTLQSDIAAAATEALERFRDESRKTVLRLVDMESSYLTVDFFRKLHMEPDKTPNPNQTAPNADRYTDNHFRRIGSNVSSYIGMVCDTLKNSIPKAVVYCQVREAKRSLLNQFYVQVGKREKERLGAMLDEDPALMERRTTIAKRLELYKSARDEIDSVAWK >KGN52496 pep chromosome:ASM407v2:5:26539551:26544118:-1 gene:Csa_5G638350 transcript:KGN52496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSMWSELCLRPSPPPLNVAADATPVLECYACTQVGVPAFHSTSCDHAHQQPEWEASAGSSLVPIQPTKSSPAPRHSSAGCFGTVLDPRKKPVQRWNRVLLLARGMSLAVDPLYFYALSIGRGGWPCLYMDGGLAAGVTVVRTCLDIVHLWHVWLQFRLAYVSKESMVIGCGKLVWDARDIASHYVRSFKGFWFDAFVILPVPQIVYWLVLPKLIREERIKLIMTVILLMFLFQFLPKVYHSIILMRRMQKVTGYIFGTIWWGFGLNLIAYFIASHVAGGCWYVLAIQRVASCIQQHCERNKCNLSLSCSEEVCYQFLSSDTTIGSSCGRNSTATFRKPLCLDVNGPFAYGIYKWALPVISSNSVAVKILYPIFWGLMTLSTFGNDLEPTSNWLEVCFSICTVLSGLLLFTLLIGNIQVLLHAVMARRRKMQLRCRDLEWWMRRRQLPSRLKHRVRHYEHQRWAAMGGEDEMELINDLPEGLRRDIKRHLCVDLIRKVPLFQNLEELILDNICDKVKPLVFSKDEKIIREGDPVPRMLFIVCGRVKRSQSLSKGMTATSFIEPGGFLGDELLSWCLRRPFLERLPASSATFVCIEPTEAFALKADHLKYITDHFRYKFANERLKRTARFYSSNWRTWAAVNIQLAWRRYRKRMRRPVIAVVENGSTERRLLQYAAMFMSFRPHDHLE >KGN50333 pep chromosome:ASM407v2:5:6565446:6567512:1 gene:Csa_5G167740 transcript:KGN50333 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase bri1 MRKLVSNESSVVVLWMMMLLLLLQFCFSITAACIQKEREALLRFKNSFYEDPFHRLASWNGTDCCNWNGVGCNQTTGYVTIIDLRQDYNQVDSYESLSSNFIDSSLLELKYLNHLDLSGNYFNHTQIPNFLGSMLELTYLNLSRTSLIGKIPPHLGNLSKLEILDLDRDYYEFDATRWVPLNGDIEWISHLSSLHTLHLSGMNFSNAINLMQVISSLPSLSSLRLRSCSLQNNDFSLGSWLNYSSFISRVQLLDLHDNQLSGQIPTIFQNMTSLKYLDLSLNNFTAIFEGGISTFIENNCGLKVLDLSLNFYFGTDDVFESSYENETMGCDLQVLNLRYTSLKTKIPNWLEKLKNLQTIDLQSSQIYGLIPTSLGNLSNLKYLDLSINNLTGTIPASFERLLNLEVLDVSENSLKGVLTEASFANLYRLHTLNLGYNENLSLEIKANWNPPFQLLFFDASRICCFGSEFPQWLQTQKALVGLSLSNTNLSISSIPTWFTPQNLITLNLSNNQIMGPLPTNIGDQMPKLQRLRLNDNLIDGSLPRSICRWKNLNVLNLSNNRLSGMIEGCFLNPNLYLLDLSSNNFSGIFPYSHENLSYIEQVYLRNNNFEGSMPIVLKNAKSLEILDLTGNKFSGTIPTWVGENLESLQFLILRDNLFNINCKFWILHTTNWKEVSPQILATSTE >KGN52044 pep chromosome:ASM407v2:5:23366150:23368300:-1 gene:Csa_5G608320 transcript:KGN52044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASSNPNQISSFPFMNQSTTSIEKSIIQIHDENHDDGQNPMSRLFEQQIHPPSLQLIDCSNYNYNNNYFNPFLDDHQEFILGHILYSQKHQLLANNSDQIGVIESSNNTNNNEAAATTTTHNYSSQNKAKTDHSSQKIPISRKRSSSVMKKKDRHSKICTSKGPRDRRMRLSLEIARNFFDLQDMLGFDKASKTVEWLFTKSRSAIKEVKEKYLSEAKSKSSCYSSTDLVTNLYKEVLPSGKKRRRRLRIVDKESRYKARARARERTRAKSIQRGLEVSKSNLEGNPDGVRKMGDFSEEISGNKRKTSTHVSLCENEKVLGSEEKNSDSLIMDKRVSKEICSDEIHLLPDSSMDMPTLSLDTMKASSAGLKLLELDEVDGVLIFYGE >KGN50641 pep chromosome:ASM407v2:5:8856187:8856417:1 gene:Csa_5G199290 transcript:KGN50641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGTSLRRPVMVQRLRQIAVTIKIKLLLCCCIVLAIVFFASRASDLMGWTCDDCSTAVRYSTPRFAFEQPFDLIRS >KGN49565 pep chromosome:ASM407v2:5:183112:183488:-1 gene:Csa_5G002600 transcript:KGN49565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQYGKSAQARLAEKDTSSVSRVGLRGHQQLGLREEISSTHATKRRRRWLRSNGRRLSKKLRPTAVSIEEEEERPFLGGYKDRERRLARQTTSLISARRCDD >KGN51711 pep chromosome:ASM407v2:5:21420464:21427839:1 gene:Csa_5G591760 transcript:KGN51711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSENHDPEQALQSLGNGAEWVEGVVNINVGESSSQNDSVLQSSEVSTGFSSSESNRESTLSPVGTLTEGAKNSGKDGPDGTVVVEDAGKEDMFVDCPDELVGNVDSREVAAAAEIQGSLMEETPSDMQQELQYEVEKVSPMHEVENTRATLNKTIFERENVIHDFEEERETFVQEFLIICRQLKAATNQPLMLDFSGSHGIKHVEENNLGTNTTLKDLVNECSQLVNRTLDQRLQYEATIGELRNNLLVKDQEIEYLNAKVIEISVSDQVVRSYANSIEDSMKVSSEKERDMEATLDRVLTSLNSLLNQEHLLDDSISEKTLNVERSTSLLIDNYNRILLDINQLQKCLSGTESDIIVTDVGTILASAQDDLIRLKAKEVSNVEKIYHLEDENRRLAEELDNCRLRAETVNGELGKAKSELEQERMRCANTKEKLTMAVTKGKALVQKRNSLEQSLAEKVRELEKVSVELQEKSIALEAAELIKVDLAKNDTLVASLRENLLQRNTILESFEDIISQLDVPQELKSVDSMERLKWLVGEKKVLEAILLEFYKLKDAVNLSDWPDLIAPYDLKSSVSWLKEAFFQAKDEITILQDELAKTKEAAQAEIDRISALVLIRLQEKDYLQEQLDDLSNKYEEARIKEHENSLEKAQIIKMLQEESGVTTDNGGISETLLDLNLLVYKYIQRLKEQACASAEISGEYVESFEKVHTLLYISHQDLMLYDIILGEESSNLSNCQTRLRLISEEHRELKEENDSLQKDLQRSEEKYAMLREKLSLAVKKGKGLVQDRENMKSLLDDKNLEIEKLKLQLNSLESTVADFRSQINLLSIDTQRIPELESELGILNDKCNQYEQFLLESNNMLQKVIESIDGIVLPINIVFEEPVAKLKWISEYIRESHDAKTRTEQELENVKEESNAMESKLGDTLAAMKSLEDALSSAENNVFQLSKDKGEIESSKTQIEQELQKALDEAYSQSSMISAEASSSMSLLQESLSLAENKISVLVKEKEEAEVCKVTAETESKKVKEQVAVQTDRLAEAQGTINRLEKTLTELETNVALLTEQNAEAQSAIEKLEHERKVLQEEVSSQASKVVEAVETRKSLEDSLLKAENKISIIEGERKISENEIFALNSKLTACMEELAGSNGSLESRSVEFAGYLNDLHKFIADETLLTVVTGCFEKKLESLREMDIILKNTRNCLINSGIIDSHNHHAVMDLNGMESLSHGKLLDFDVESETRKAVVEDDVGNISSSFRKILEEIWLKNKKFTDYFEGFSSSMDGFVADLLKNVQATREEIVFVCGHVESLKEMVKNLEMHKQEQEITRVMLENDVSLLISVCVDTTKELQFEMTNHLLLLSPVPDFDNLKDAKLMESSETSGASAVESQVKSCSSKSAAAAEQLLTAIRKVRSMFEQFESTSKVAASRIQDMQHRLEISEATTEKVKAEKDLNQNLVGKLETDLQLLQSTCDEFKRQLEACQATEEKLKEREAEFSSLYNSMLVKEQDAKDCVLSTMQMKALFEKVRRTEISLPDSEHLDLEEYDSPDVKKLFYLADYVSELQNQLNLLSHDKQKLQSTVTTQILEFEQLKEEFDRVSRNQLDSEKMKKDLSEISLSLVQMISSLDSNYNGESKSDGLKGLVRTLGKQILDMLSESENSKTKIEELSKRLIGSQKIIDELTAKNTLLEESLQGRTSPPEIIKERSIFEAPSFPSGSEISEIEDAGPSGKSAIPPVPPASAAHARTLRKGSTDHLTIDVETESDRLLEKGLESDEDKGHVFKSLNTSGLIPRQGKLIADRIDGIWVSGGRILMSRPGARLSLITYCFLLHIWLLGTIL >KGN51351 pep chromosome:ASM407v2:5:18378157:18380325:1 gene:Csa_5G522970 transcript:KGN51351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDGYYSSKKTDDICEDVCGQGPRGAFSLSRFRCILRGWDLKTLISLFVAVPLLILFIYLHGQKISYFLRPIWESPPKPFHEIPHYYHENISMESLCKLHGWTMRESPRRVFDAVLFSNEVDILTVRWNELYPYVTQFVLLESNSTFTSLPKPLVFANNREQFSFVESRLTYGMIGGRFKKGENPFVEEAYQRLALDQLLRIAGIQDDDLLIMSDVDEIPSAHTINLLRWCNDVPPILHLRLRNYLYSFEFYADDNSWRASVHQYKHGKTRYAHYRQSDVILSDSGWHCSFCFRHISEFIFKMKAYSHYDRVRFSHYLNPDRIQDKICKGADLFDMLPEEYTFREIIGKMGPIPQSYSAVHLPSFLLNNAEKYKYLLPGNCRRESG >KGN50356 pep chromosome:ASM407v2:5:6683415:6685938:-1 gene:Csa_5G168930 transcript:KGN50356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLSIIALDRCKFYGSIPKSIGNLENLTKLRLNGIGNFSGEIPEGIGKLTKLVDLRLFGNKLSGALPQDLGIYSPLVDVQIFENNFTGPLPPGLCTHGQLVNLTAFSNSFTGPIPSFKNCPKLYRLRLEHNQLTGNVEEAFGVYPNLTYIDLSDNKLTGNLSPNWGKCKNLTKLSIATNMITGEIPKEITQLKNLVVLDLSFNNFSGLIPENIGDLSSLSSLQLQGNRQLSGNIPLDIGNLSNLESLDLSMNKIEGSIPKQIGDCSRLRNLSLSTNRLNGSIPYELGNILSLEDLLDLSNNSLVGEIPSSLGNLMHLERLSLSHNHLSGEIPNSLKDMMGLVSINLSFNNLSGSLPSGGAFDKAQLQDFVNNTDLCGNIEGMQRCYIFMGKSKDERY >KGN52586 pep chromosome:ASM407v2:5:27169526:27173269:-1 gene:Csa_5G645110 transcript:KGN52586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGDQEESSEVGVDPNGNNELELHSVFWNQDYSCFAAGTSCGFRIYNCDPFKETFRRELGIGGFKIVEMLFRCNILALVGTGTNSLYPPNKVLIWDDYKSECIGEFSFRSEVRAVKLKREHFIVVLEHKIYVYTLKDVKLLDQIETVANPRGLCCLSHHVNTFVLACPGVQRGQVHIEHFGLNMKKLFNAHDSHIACMTLTMDGLLLATASTKGTLIRIFNTLDGTLLQEVRRGVDRAEIFSLALSPNVQWLAAASDKGTVHVFSLRVRVAGPDSSSDTNVIQGPTPFQQNSSNSIDTLVPLNTGSNPSSSLSFMRGVLPRYFSSEWSFAQFHLPEVTQFIAAFGSQNTIIIAGMDGSFYKCSFDPVRGGQMLQQECIQFLKMEIGADRIDL >KGN50500 pep chromosome:ASM407v2:5:7718039:7718364:1 gene:Csa_5G177680 transcript:KGN50500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDTLSPIDRKKRKQSGFRTPGLMRSQISATTIRIEKLNTEKWKRKDSPETSAVFKAMKMLQALACVSQCLTN >KGN51575 pep chromosome:ASM407v2:5:20536554:20540124:1 gene:Csa_5G579610 transcript:KGN51575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIHSSLSISNQSSSSSSSYSPLPFVKLRNFSNHSLRFRWSISVIRCEALGSSSSEVAVQSSSSSSGGSRVVESQEGSSKAGPYPGGMGPYTGRDPSVKKPGWLRQRAPQGEKFQEVKDSLSRLNLNTVCEEAQCPNIGECWNGGGDGIATATIMLLGDTCTRGCRFCAVKTSRNPAPPDPMEPLNTATAIASWGVDYIVLTSVDRDDIPDGGSGHFAQTVKAMKELKPEIMVECLTSDFRGDLKAVETLVHSGLDVFAHNVETVKRLQRIVRDPRAGYDQSLAVLKHAKHSKEGMITKSSIMLGLGESDDELKEALADLRAIDVDILTLGQYLQPTPLHLTVKEYVTPEKFAFWKEYGESIGFRYVASGPLVRSSYRAGELFVQTMVRERVKSSTAN >KGN52595 pep chromosome:ASM407v2:5:27233948:27237098:1 gene:Csa_5G646690 transcript:KGN52595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRNMNGNRNQDGEDERGLLWNLPVLKSSRFGNLGPAFGLGVGCGVGFGIGLVGGAGFGPGIPGLQLGFGLGAGCGVGLGFGYGVGRGIAQDDKRRYSNVGDVLRGRQSIFPHQDDIGALVDDLVLNTKRLIRATSKEIDKWKR >KGN49742 pep chromosome:ASM407v2:5:2787703:2788017:1 gene:Csa_5G097970 transcript:KGN49742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNGVRHQNGGKAYTHGLFSSIKFETKLQDVKTKLEQLLEPERQARLKAEERTQEIQKKSTKEIRVLNDRLRVALERPPRPAQQPPPPPPRGGKGGGGGSCFIL >KGN51776 pep chromosome:ASM407v2:5:21883177:21884270:1 gene:Csa_5G599820 transcript:KGN51776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGKVLINFALTICFFFCCSSGRVIESPHYKVIHVESDFEIRQYKQISWMSALVQGTASFEKSTEQGFHRLYQYMHGANSNSYHFLFTSPVTTTIMTLTREPERLVRYYLPIMNAENPPLPNSELNVHFEKWRNNCLAVRRFPGFAKDDNINKEIDALKSSLSKYLPESAAVSEYTIAQYNSSRRLLGRLNEVWLDVSGFTTEGCQPL >KGN51276 pep chromosome:ASM407v2:5:17790063:17790518:-1 gene:Csa_5G511840 transcript:KGN51276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKVDVRRVSSGAKILGTAVAVGGAMIMTFVEGPKLRFPWTNRHYNSHNYPSTSSTNVNNKDSFKGVLLVTLSCLCASVSCILQVHSNLNSKIVVVILKNVSSIFSRTYYIRFNLKINFIVKDHRKKIEVLTSIYVDIDSQRHQKKVSQLT >KGN51843 pep chromosome:ASM407v2:5:22248369:22251687:-1 gene:Csa_5G603400 transcript:KGN51843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECAGKGRGTRCTGSATRRCGRCGVVAYCSVDHQVKHWNDHKDECKRFELQMERIDALNEFPFTFSEEATVQLCQKQESRCSFLSKRAIHKIGMWFYECPCGEAATSYNFSRLNDGWVLPRFHCPCSEPLSPITKRLHSWKDYYDWRCIPLHSPAALLLHWPLTISYAVQVTGLEPLTPEFGNTLCIHYLGPEKELLQLSVFAELLALFPGVALQIEFVGPSIPEEMNGKTIHLCSFAKCLQMDCVCKSSCKDVDRNVCSNKYPRLALKLRTGFYHDCYKDITKDCYPHLIIAPNAGIAAYSSWLPTIEFIKEIKVPAIFSDFCEEACHLGASCLSSVIGRPITFPIQLNPFRQPIAMEDTALFLPCYSNCFLYGF >KGN50465 pep chromosome:ASM407v2:5:7465426:7468751:-1 gene:Csa_5G175880 transcript:KGN50465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METPSTSHQGPIQEENLEQQLIQTITTILNATKPSLSALAPYAAHLSPSLISSIFASKALSSHPSVLLNVFKWAQKHVPSFSSPPNNSLSSLLTLLPSLFRHYMFSDAKSLLISFISSDRQHELHKLILHPTRDLPEPSKELLDTSIGAYVQMDQPHLATQIFNKMKRLNYRPNLLTCNTLMNSLVSLSFMDKRVYTSSDCCLA >KGN52109 pep chromosome:ASM407v2:5:23759468:23761447:-1 gene:Csa_5G610430 transcript:KGN52109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESHDNNELIMNNSVYCEGKGENGIIDLGLSLRTLEQPEMGIMNWGGQDQDYYCCTDQEEDHTDQVVQSKEQHQKWAYVKVNMDGVIVGRKVCIFQNASYSTLALQLEDMFGRQCESGLRLFENDSEFSLFYKDGDENWRSVGDVPWKQFVEGVKRLRIARKDEAFVVIHQN >KGN51278 pep chromosome:ASM407v2:5:17817341:17821586:-1 gene:Csa_5G512850 transcript:KGN51278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKIGKTFEKAKPYLGAIALRFASAGMSIISKAALNQGMNQLVTIVYRYSIGAIVVAPFAFVLDRKIRPKMTLPIFAKILLLGLLEPVIAQSLIYSGTKYTTATFATAMCNILPAFAFLMAWICRMEKVNIRSLRSQAKILGTLVTVGGAMMMTLLKGPLLSLPWTNQNNLNPHSYSTLPNKQQPVKAAIVITISSICSSAFTILLAHTIRTYPAELTLTTFICLAGAVESTILALAFEWDNPSAWVLHADSILLAALYGGIISSGIAYYLQGVVVKLKGPVFVTAFNPLSMVIVAIISSFIFAETLRLGRVVGAAVIIIGLYLVLWGKSKDKFQLKNGNNDDDDDNNEELPTSIQNSRTTKQQLKPLDSTISH >KGN50189 pep chromosome:ASM407v2:5:5670336:5672596:-1 gene:Csa_5G157960 transcript:KGN50189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADIYVWLLSFFFIIALLMLVVFQLMCLADLEFDYINPYDSSSRINKVVVPEFITQGVLCIFYLLSGHWVMSLLCMPCLYYNLRMYTQRKHLVDVTEIFNQLPWEKKQRLFKLVYLVSLLFLSIFWLIYSALEDHES >KGN49891 pep chromosome:ASM407v2:5:3688563:3691832:1 gene:Csa_5G139690 transcript:KGN49891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTRVRAILATREEIKEASTGKKQKLAEVKTVESVPSISMCKSVVSIETPSPVAKVFPTVTPMAPPSLHNHENAEKSIALFFFENKLDFSIARSSSYQLMIDAIGKCGPGFTGPSAETLKTTWLERIKTEVSLQSKDIEKEWTTTGCTIIVDTWTDNKSRALINFLVSSPSRTFFHKSVDASTYFKNTKCLGDLFDSVIQDFGHENVVQIIMDSSLNYSGTANHILQTYGTIFVSPCASQCLNSILEEFSKVDWVNRCILQAQTISKFLYNSSSLLDLMRRFTGGQELIRTGISKPVSSFLSLQSILKQRSRLKHMFNSPDYTTNSYANKPQSISCIAIIEDNDFWRAVEECVAISEPFLRVLREVCGGKPAVGCIYELMTRAKESIRTYYIMDEIKCKTFLDIVDRKWRDQLHSPLHAAAAFLNPSIQYNPEIKFLTSIKEDFFNVLEKLLPLPEMRRDITNQIFTFTKANGMFGCSLAMEARDTVSPWLWWEQFGDSAPVLQRVAIRILSQVCSTFSFERHWSMFQQIHSEKRNKIDKETLNDLVYINYNLKLARQMRTKPLESDPIQFDDIDMTSEWVEESENQSPTQWLDRFGSSLDGSDLNTRQFNAAMFGANDHIFNL >KGN51728 pep chromosome:ASM407v2:5:21521246:21521503:-1 gene:Csa_5G593420 transcript:KGN51728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQRPRRSQSKSYPRWNSDMWVKNPTTSNWDRRRKSSASIYVGGYSRRLPEELGRRRGFAEPPRRRNSEQMPIFIWRLMLAGEEE >KGN49585 pep chromosome:ASM407v2:5:485393:486068:-1 gene:Csa_5G011750 transcript:KGN49585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNQSNVSQKGQSELSSGYLDSSGVQGDIPTTFSNLTNLQTVLASDNKLTGEIPGFIGSWLKLRTL >KGN51410 pep chromosome:ASM407v2:5:18856390:18856672:1 gene:Csa_5G528950 transcript:KGN51410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGCIGMVVVRRRRRIDPVVNLLADKVDAESNEGDAEARSGVTELIGKHGMLPPFISPPEELSRRSQRLIGH >KGN52207 pep chromosome:ASM407v2:5:24312227:24316157:-1 gene:Csa_5G615290 transcript:KGN52207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDKFTHPDLRHLMADDKPNFPATPQTLDSFFLHHSHLTRGFSPVPPPPKFQPLQLVLTEPTGLLHFGCSDNSTATGGGGGSSTAANATVSSAPFLRRNKLVIDNEWCSPYGNDVVGGSNGFNSRWPRQETLTLLEIRSRLDSKFKESNQKGPLWDQVSRLMAEEYGYKRSGKKCKEKFDNLYKYYKKTKEGKTGRHDGKHYRFFRQLEAIYGQSNDQISSPIIESNFYRNSIARSETPPPEKYPSGGENHQEAGGGMSLSFTISSDFETSSSGNYHDDDLSAIAFMMNQKKVEKSGETNVSKRDQGGVSNNNNNKGESWREEIEKMVDMKMSRLMEVQENWMEKIMSSVEDGEKERIMKEEEWRKQEMARFDHEMSEFCARERAWLHARELAFMEIVKRFADKG >KGN51731 pep chromosome:ASM407v2:5:21542501:21543776:-1 gene:Csa_5G593440 transcript:KGN51731 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MVFTAAIIFRIAFGKKVCKGDGFHEVVNEAEALLGSYSASELFPNFVGKAIDWFNGYQKRLNKVYNELSGLFQEVIDEHLCVGRDQEAKEDDIIDVLLGLSNQQEQSASFNVSITHDHIKGILLIVLLDMHEQSIFLGGLDTSSITIVWAMAELTKKPKLMKKAQQEIRRHMKNRGNITDKEIEQFQYLKLIVKETLRMHPPAPLLLPRQVMSHFKMEGFDFYPKTMVQINAWAIGRDPKCWKDPDEFMPERFAESCIDFRGQNFEFLPFGAGRRICPAINLGMKNVEVALANLLYHFDWKSPEGMKEEDLDMEESMGFSLTIYKKLPLKLVPVPYIP >KGN51884 pep chromosome:ASM407v2:5:22484417:22487305:-1 gene:Csa_5G604290 transcript:KGN51884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPLGLIEQSLNSRYSLWVREALNDLSDNFTITDPCIAGHPIVFVSPGFLKMTGYTKEEVIGKNGKMFQGPETSRSSVMLIREAVREEKEIQINLLNYRKDGTPFWVFFQMTPVFSKEDGQIIHFVGVQVPILKNSRKSRCGFVRIQGDSHENEFRACKSFLGSCRRELMSDSISELDCTLNRDSQPDSNSRGVEIEEPCEACDDEKQRAAIAISNILFVLTHHSEVTGGLVCERRCSLPRVGILCSSLNTSLNRIKQSFVLTDPNLPDMPIVYASDEFLKLTGYTRCEVLGRNCRFLSGIDTDSSTLFKIKESLQSEQACTVRILNYRKNKSSFWNDLHVSPVHNASGKLAYFVGVQMDADDKKQDEHGLNPKTKQLSTVGAVKVAVRSLSMTVGCSQG >KGN52390 pep chromosome:ASM407v2:5:25754794:25758652:1 gene:Csa_5G630920 transcript:KGN52390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEIMDVITSTLSKAAMSKGMNNLVFAVYSNSLSTFIFLPFLLFSGFRDKQVAPLSFYMILGFSLLGLNGSVGQMMAYTGIKYSSPVLLSALSNLIPIFTFLLALLFRMEKVDLRRSSGKAICVGTILAVSGASLITLFKGPLLLNHISSSNSFEKQEEDDDHHHILLSHHSSWVLGGFLFLMVSLLSANWHIVQTWFVKKYPTKKITNLFFFTLSMAVQTAVFAIVVEKNTTAWKLQPDIEMVTIATLGIGGVVRIGVHIWCLQRKGPLYVVMFKPLGMVVAIPLVVTFLHEPLYLGSVIGSIVIGCGFYCVIWGQIKRLDLTLLSSASHSQSGFEESPSAPLLSHQHS >KGN50912 pep chromosome:ASM407v2:5:13040440:13041393:1 gene:Csa_5G321500 transcript:KGN50912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCEEEKEGAIRQFLHPPRCGAKNIARSKDLWPGPDDYPPRCGAKNIARSKDLWPGPDDYPPRCGAKNIVRPLGLYQT >KGN52300 pep chromosome:ASM407v2:5:24923612:24924070:-1 gene:Csa_5G623640 transcript:KGN52300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIFLSLFLLLNFCYAAEENEDAFLPAQGAGITKNGEEAKEEKFKFLPDHIPFYKKPIFKTIPRQPIIKKPFPKPYRPPVLPSHP >KGN50886 pep chromosome:ASM407v2:5:12706886:12710760:1 gene:Csa_5G313340 transcript:KGN50886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMLHVNGGEGDMSYANNSLLQRNVLSSTWLIAKEAISKFCHQSNFPITTFTMAELGCSCGPNALLIASKLVEQVEEIRKRLQKKTLEYQILLNDLHGNDFNTIFRFLPSFLQELKTKIGGHDSDFGPCFFNGVPGSFYLRLFPTNSVHFFHSTYTLHWLSQVPEGIGNKNKGKIFMSSTSPKSVVEAYYKQFQMDFSMFLKCRAEELVIGGHMILTMLGRTSEEPWSKECTSFWEFLSLALNTMVAEGLVEEEKVNLFNIPNYMPSPKK >KGN50227 pep chromosome:ASM407v2:5:5945049:5945480:1 gene:Csa_5G161030 transcript:KGN50227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSFPSATQRAFSSSAGHPIAALALTISRYSSNRATVFDPFWTLKIISTRPVNAFGLQLVWKIISTTLREWCPSGNSDTAGKIRSSALINGRDETLILGRELGVEPGSDLASLGFLETGFFSGGECLGFLCFDLVVSTTSLRL >KGN51949 pep chromosome:ASM407v2:5:22830952:22836466:-1 gene:Csa_5G606400 transcript:KGN51949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVRSEGIQVRSDKLPAPVIPRTRLQVWFVRVCSSILLWTFLVQLVAFGKLWHPPFISNITNRISQVTGIPLSLHVEQSIQSTPLLPTRNYSSNGYLRVSCNGGLNQMRAAICDMVTVARLLNLTLVVPELDKTSFWADPSNFDDIFNVKHFIDSLRDEVRIVRRLPKKFNRKYGFLPFAMPPVSWSNEKYYLEQILPLIKKHRVLHFNKTDTRLANNGIPVYLQKLRCRVNFQALKFSPQIETLGYKLIRLLQEKGPFVVLHLRYEMDMLAFSGCTHGCTKEEADELKQMRYAFPWWREKEILSDQRRSQGLCPLTPEEAALTLQALGFNKETQIYIAAGEIYGSERRLATLREAFPMIVKKEALLSPEELKLFRNHSSQMAALDFMVSVASNTFVPTYDGNMARVVEGHRRYLGFKRTITLDRKKLVELVDMHQNGTLSWDDFAAAVRLAHEKRQGQPMQRRAIPDKPKEEDYFYANPHECFCEGMNCNDSLSHNNSSKVI >KGN51364 pep chromosome:ASM407v2:5:18475191:18475520:-1 gene:Csa_5G523095 transcript:KGN51364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCIFLLAMSICSGTVPVARLMYRYTHDSDDNAVSPNTPDVKNAAMARHIANAAGTITGLKRRNTGVDTARPKSVAARVRPERPRRVPPTGTRVTTAMIFEAEGPPPLP >KGN52627 pep chromosome:ASM407v2:5:27403347:27405973:-1 gene:Csa_5G647490 transcript:KGN52627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFDAVHDLTSLLSSDRRDFLIRNNGDQVKISSLIGKRVGLYFSAGWCPPCRLFTPKLSEFYKELLANKSKNNDFEIIFISSDRDALSFKAYFSKMPWLAIPFDDLETQKKLKILFQLSSIPYLVVIDGNGKVSSADGVNLVKEFGVDAYPFTIDRKKQLLAQKEEAKKNNQTITSVLASASRNYLVSNDGKQIPVSELEGKLIGLYFSLPGHEHCDAFTPKLSEVYNNLKKKKENFEIVFVSLEEEDEDFFNEAFKSMPWLALPFKDEKCQKLKLYFDVDDIPALVITGQDGRTLNPNAVDLIKQHGIDAYPFTPKKHDVVHGKVEASCCGCDGSKTREETKDEKVEVSCGSMEEAKDGKAEVSCGSMEETKDGKAEVSCGCDGSKNDDDEKMEETKDKMVEVSCGCDGSSKNDDDEKMEETCKDGKVEVSCCGCDESKNDGDQKMEETKEEIKEGCGCKG >KGN50518 pep chromosome:ASM407v2:5:7868153:7868488:1 gene:Csa_5G180315 transcript:KGN50518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTSNSKEEMSLKLFIDKSKRKILFAEADKSFIDFLFAILTLPISSILGLVPVGAKAGPFTNLYNSVENLDAVNYFQENHLKNNLLKPKLHIPKSRIPLFPINDFLLNKW >KGN52251 pep chromosome:ASM407v2:5:24644466:24652204:1 gene:Csa_5G622660 transcript:KGN52251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSVSEQSFLYESEDEEEDQENVVVNKDEEDGNDSDASDDSTENQRRRSKPDSYTTTWPQSYRQSMDMLGSVPSPNIGILGTSSLTRWGSSFLSSSLTRRHTPDTLTSTSKPLLPTVTDENKDEQQPQPPLSQRLSSHSLILPFTSRRPSGIKKDDKPSKVTHEFPTARRSSFSQAMLNGGNVLCGVGILTTPYAAKVGGWLGLSILLLFAVICYYTGLLLRDCMESRPELDTYPDIGQAAFGTTGRIVISIILYLELYASCIEYIILESDNLSSLFPNAHLNVGGYELNAHLLFALMTTIAVLPTVYLRDLSVLSYISAGGVIASILVVLCLFWIGLVDGVGFQGKETTTLLNPATLPIAIGLYGYCYSGHAVFPNIYSSMSKPSQFPSVLLTCFVVCTLMYAGVAFVGYSMFGESTMSQFTLNLPTNLVASKIAVWTTVVNPFTKYALTLTPIALSLEELIPSNHPQFLIFSILIRTALVVSTLLVGLAIPFFGLILSLIGSLLTMFISLILPCACFLSILKGKVTRLQGIVCVIIMVIGLIAAAFGTYSSVLKIVQNLST >KGN51499 pep chromosome:ASM407v2:5:19914802:19915596:1 gene:Csa_5G570930 transcript:KGN51499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYHSNAEENRVIDDAEGCKKLLEENENLEFFDAKSDHGYDGVNETIGAQSSKEMILGEVSNKQNRLEERPSKFSDDQTKTRKGCEAEDLQLTKEHSQVRWPSKITETLAKHSKQVTPRAAEADKNEKSEALSPEDIICSPSQPWGHRGRGRPRKLKVQETLATSLSSLAHDGDQRYLASNVVDREASGPNTGDGTRHIDQQGLNLPRGRGRGWGRPRVVRQDQNSGSRTFSPSKHLNHRQSPGKRRGRPSRQKFDEDTVSQLL >KGN52397 pep chromosome:ASM407v2:5:25795758:25802792:-1 gene:Csa_5G631480 transcript:KGN52397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENEQETRSLALTPTWSVASVLTIFVAVSLLVERSIHRLSTWLGKTNRKPLFEAVEKMKEELMLLGFISLLLTATSSSISNICVPSKFYNTPFTPCTRAEADEHEDDNSSEERKLYTASVLPHLFRRMLNVNKKTCKEGYEPFVSYEGLEQLHRFIFIMAVTHISYSCLTMLLAIVKIHRWRVWENEAHMDRHDSLNDITREMTLRRQSTFVRYHTSNPMTRNSFLIWVTCFFRQFGNSVVRADYLTLRKGFIMNHHLPLTYDFHSYMIRSMEEEFQRIVGVSGPLWGFVVAFMLFNVKGSNLYFWIASVPIALVLLVGTKLQHVIATLALESAGITGSFSGSKLKPRDDLFWFKKPELLLSLIHFILFQNAFELASFFWFWWQFGYNSCFIRNHMLVYARLVLGFAGQFLCSYSTLPLYALVTQMGTNYKAALIPQRIRETIHGWGKAARRKRRLRMFADDTTIHTETSTVMSLEDDDRRLIDDISETTADYTSIELQPTSVHDEPDSVPNERPSRARTPLLQPSTSLSTSVDHKFEVD >KGN51507 pep chromosome:ASM407v2:5:19968872:19970044:-1 gene:Csa_5G571500 transcript:KGN51507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRYQQNQYFPPIFIPSSSSSVSQSTIFNYSEQSSPPMASSLLLPLILLSLLPLFSSLSPDPILDASHILKDSGFFSMSLILDLASRSFLHHFSSLTIFAPPDSAFSRSGQPPLSLLQYHLLPHAFSAESLRSLPLNAKISTMLPSRFLTVTNDETRISLNNVTVDSPPVYDDGSLIIFGIEKLFNPFFDISNASSKRIMHPDNECRRRGDSEIESKPVEALAAALRNRGWTVMGSFLDLQILGFHKEAAVTIFAPTDDSLMNRVSNFSDWMSMFRRHVVPCKLWWSDLTNLGGGAEIKTYLRGFVINVKRSNGVLTLNDVSVIYPDMLYSEGIVVHGIGGILDIEMEMKGEIEESPSSSMRNGGSPESGFEENDGDTAVTHYHFSVIR >KGN51050 pep chromosome:ASM407v2:5:15201144:15202278:-1 gene:Csa_5G420330 transcript:KGN51050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYITLFPLQEFLKQMKTQMITLMERRGSCLRIYIELTQTKTWIEKVVRLSLLLTVKESAINVPQNLDARRHITFFASSLFMTMPKAPKVLFIFLNLYEWNNFYERVLDQKLGYSDKDKMELIRHWVSYRGQTLSRTVRGVMYYRDALQLQFFLECAGENSMLPKSILL >KGN50458 pep chromosome:ASM407v2:5:7409459:7413213:-1 gene:Csa_5G175820 transcript:KGN50458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLLSSLTSETCTQRKTRYAPVSFLRQNNMLSLRSFVLFFLSFIAFRMNLCFTGIPSSLKMLSIDGHFEFDQVQVAARDFGNRYQFFPAAILHPSSVSDIAMTLKHIWKMGPRSQLTVAARGHGHSLHGQAQAHQGVVINMESLQGPQMQVHTRNFTYIDVSGGELWINILHESLKYGLTPKSWTDYLHLTVGGTLSNAGISGQAFRHGPQISNVHQLEIVTGKGDVVNCSKEQNSDLFYSVLGGLGQFGIITRAKILLEPAPTMVKWIRVLYLDFTTFSREQELLISAQNTFDYIEGFVIINRTGLLNNWRSSFNPQDPVQASQFKSDGKILYCLELAKYFHHTEGNIINQCHTRSQQEGEEVTCLSTVLSKLDKLSFLEIGLLLKRRCREIHCLSVQVSLPEGPTWQWAHDKYD >KGN51156 pep chromosome:ASM407v2:5:16509071:16512904:1 gene:Csa_5G468980 transcript:KGN51156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVVSNKKVTLKNFVTGFPKESDFEVTTSTISLKLPERSKKGVLVKNLYLSCDPYMRVLMTKADLYFPIDSYTPSEVICGYGVGKVLESRDPNLKEGDFVWGITGWEEYSVIEDPHQLFRINHHHDVPLSYYTGILGMPGVTAYFGFYDVCCPKEGEYVFVSAASGAVGQLVGQFAKSLGCYVVGSAGSQQKIDLLKNKFGFDDAFNYKEESDLNATLKRYFPKGIDIYFENVGGKMLDAVLGNMREHGRIGVCGMISQYNLEKPEGVHNLLNIILRRVRVQGFEVFDYYHRYSEFLDSVLPLIQARKLTLRRGHG >KGN50740 pep chromosome:ASM407v2:5:10129509:10133622:-1 gene:Csa_5G222990 transcript:KGN50740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAFLKMKRLIEFFILFVLAPLCARCVNLSLNGDVLGLIVFKAAVQDPKLKLASWNEDDDSPCNWTGVQCSPRSKRVIELNLNGFSLSGRLGRGLFQLEFLQRLSLSNNNLTGNISPNFARVDNLQVIDLSGNNFSGVVSDDFFRQCRSLRVVSLANNKFSGKIPDSLSLCGSLISVNFSSNQFSGSLPSGIWSFSGLRSLDLSDNALLGEIPKVIENLYNLRTLNLSKNQFSGHIPDGIGSCLLLRSIDLSENSFSGNLPQTMQKLVLCSNLILGRNLFDGDVPEWVGEMKSLETLDFSRNNFTGRIPTTIENLQYLKVLNLSSNGFTDSFPESVMKCQSLLALDLSHNLIMGNLPEIGSLRKLQILSLSGNYFVGSLPKTIGDLKALSILDLSGNQLNETIPVAIGGAVSLIELKLDGNFLRGEIPFSIAHCSSLTTLFISHNNITGPIPAALAKLSYLQNVDLSFNNLNGTLPKQLSNLPNLLVFNISHNNFKGELPGGGFFNTISPSSVTGNPSLCGSVVNKSCPSVLPKPIVLNPNSTSDSISSSLPPSNNHKRNRNILSISALVAIGAAAFIIIGVISITILNLRVQSPTSSSSAAALALSVGDDFSNSSSPDANSGKLVVLSGELDFSTGAHALLNKDCELGRGGFGAVYHTILRDGHSVAIKKLTVSSLVKSQEDFEREVRKFGIVRHQNLVALEGYYWTPSLQLLIYEFVSGGSLYRLLHEASDDNVLSWNERFDIILGTAKGLAHLHQSNTIHYNIKSSNILIDRNGQPKVGDYGLARLLPMLDRYVLSSKIQSALGYMAPEFTCRTVKITEKCDVYGFGILILEVVTGKRPVEYMEDDVAVLSDMVREAVEEGRAEECVDRNLRGSFPMEEAVPVLKLGLICTSHVPSNRPDMREMVKILEMIKCPSELQEELG >KGN51627 pep chromosome:ASM407v2:5:20919021:20922397:-1 gene:Csa_5G586000 transcript:KGN51627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHRKFEHPRHGSLGFLPRKRASRHRGKVKAFPRDDSSKPCRLTAFLGYKAGMTHIVREVEKPGSKLHKKETCEAVTIIEAPPMVVVGVVGYIRTPRGLRSFKTVWAQHLSEEVKRRFYKNWCMSKKKAFTKYSKKYETEDGKKDIQSQLDSLRKHSTVIRVLAHSQIRKMQGLKQKKAHLMEIQVNGGDVPKKVDYAYSLFEKQVPVDAIFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSFTVARAGQNGYHHRTEMNKKVYKIGKTGQDSHSAMTEFDRTEKDITPIGGFPHYGIVKEDYLMIKGGCAGPKKRVVTLRQSLIKQTSRVAMEEIKLKFIDTSSKFGHGRFQTTQEKARFYGRVK >KGN50445 pep chromosome:ASM407v2:5:7312242:7318788:-1 gene:Csa_5G175690 transcript:KGN50445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENEAATTTTSTKLGSDGVKPTPAASSDNDQNPNSNSNPPPTSLSDPQNDTTGSDSTSLQTPSRHSSRTPFTNLTQVDADLALARTLQEQERAYLMLRMTSEGSDFGSWEAGSYVLDDEDGFGDPHDHTESDGDDDEDGTDVNDDEDVFDVHAHEDGGEHNNSNFELDPGNFSSDEAYARALQDAEDREMAARLLALARLQDQDADDTDDQGENSQDTWEDVDPDELSYEELLALGEVVGTESRGLSADTIASLPSINFKAGSDQTGSNDSCVICRLDFEDGETLTVLSCKHSYHSDCVTNWLKINKICPVCSAEVSTATGSS >KGN52634 pep chromosome:ASM407v2:5:27439269:27439862:-1 gene:Csa_5G647560 transcript:KGN52634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSSSHPSQMAFDAFLSFNRDEEDDGYRRFIKDLYETLSEWGIKMFMDEEVNLSDNIVKAIEGSITSMVVLSEGYASSKWCLRELVKIIDQKKKTKHQVLPLFYGGRVAPPPPPRPLFKQWEGRSLRSLSRNRETDAYSEVGSMTSRQVKFRYQHAFEKFINSEAKKDYLKEVDKWWLSVLEVSDLPGVDIPQRIS >KGN49575 pep chromosome:ASM407v2:5:365316:366573:-1 gene:Csa_5G011650 transcript:KGN49575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCCDSLMGTTNSSNGHQELLEERNEEVGEMIMEAETTKVIVISGVSRGLGRALALELAKLGHTIIGCSRDKIKLDSLQLQLSNASPRNHLLFNFDVKSNESIREMAQTVKEKFGSVDIIVNNAAVVAENLKLWEIPNEIFDDVIDTNMKGVANMLRHFIPLMLPKNKGIIVNVSSLYGRIAAARASAYCSSKWGIEGLSKSVAKELPNGLTIVTLDPGIINTDASVTILGHFASQYQSPQLWYTTAYKC >KGN52621 pep chromosome:ASM407v2:5:27367870:27373574:1 gene:Csa_5G647430 transcript:KGN52621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNVNAGDDHHNLENDKDQENCDDNPLPDQGEEESDSSEDEVAPRNTVGDVPLEWYRDEKHIGYDISGKKILKKEREDRLQSFLASADDSKSWRKVYDEYNDEIIELSKEEVRQLRRLLKGKAPHADFDPHAPYVDWFKWDDAKHPLSNAPEPKRRFIRSKWEAKKVVRLVRAIRNGHIKFDKPKEEQQFHDLWGDDSSTTEKTSHLSYIPAPKPKLPGHDESYNPSLEYIPTQEEINSYQLMYEEDRPKFIPRRFTSMRSVPAYDNALKDAFERCLDLYLCPRVRKKRINIDPESLKPKLPNRKDLRPYPTTCYLEYRGHKDAVMSISTEANGQWIASGSLDGTVRIWEVETGRCLKVLELGEPVKYVAWNPRPDLPILAAAAGADVLLLNTGLGDGEVQAKIKEVLHVDKLPVTENSDKTPAATWLQDDKTGGIRLRHFKSVSAVEWHRKGDYLSTLSKKLTQKIPFKLHGLPVSSVFHPTQSILFVSTKKEVRVYNLLKQKLLKKLKTGLREVSSIAVHPAGDNVIVGSREGKLCWFDMDLSSEPYKILRCHPKDITNVAFHRSRPLFASCSDDGTAYVFHGMVYSDLTQNPLITPLEILRGHKTVNGRGVMDCKFHPMQPWLFTAGADSVIKLYCH >KGN49673 pep chromosome:ASM407v2:5:1858943:1864003:1 gene:Csa_5G056610 transcript:KGN49673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGVLRWLNETTLEVIMHLEIIFGLWLGEKNFQVVRHLPSDQTTLATSAHPLQSNKDGDCDSDQTTHIRPPFWFSVQRLWKPIFRTNEERSHQNKLTLMVIL >KGN50756 pep chromosome:ASM407v2:5:10322943:10323542:1 gene:Csa_5G224120 transcript:KGN50756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNYKTEVHKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKG >KGN51322 pep chromosome:ASM407v2:5:18141473:18145247:-1 gene:Csa_5G517200 transcript:KGN51322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTLKHFLKQHTKPRRWSFPDLLRLTSLHSLPRAIGIHILPSLSLARRSPPSIIKRREQFYSLLCEACFKFEPNNRKLHTITFQHSSFVNLDSEVMEEQFVLRVPPSVAERIERLLNENASSSDDASLDLSFSDDGRSGTFAIGDDHFPASLLDLPCVVESYKTYDDTVLIKAADIGQMIMVREPSDPAPDSTEYRHGLTPPMRDARKRRFRREPDLNPELVRRVEKDLLNIMAGGTTENADVGVAEQQDDRDENPDHTNAKPASAPAPKPDVMETETNVGEPERSDSDDSDRSID >KGN52628 pep chromosome:ASM407v2:5:27407946:27411249:-1 gene:Csa_5G647500 transcript:KGN52628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEAMYDLNSLLSSEGRDFLIRNNGDQVKISSLSGKIVGLYFSAGWCPPCRFFTPKLLKTYKELASKNINDFEVVFISSDGDEYSFEAYFLRMPWLSIPFEDSETKQKLKSLFQLSGIPHLVVIDGNGKVSSDDGVGLVRDFGADAYPFTSDRKMQLLIQREEEARRNNQTIDSLLVSTSRTYVVSNDGNQIPISELEGKLIGLYFSKQGHEDCGNFTPKLIEAYNKLKKKEENFEIVFISLDEENEDLFKEAFKTMPWLALPFKDEKCQELKLYFEVTHIPALVIIGQDGKTSNPNAVELIKGRGIDAYPFTPKKLDVQVDDTPNARLESQSPKPHVYDL >KGN50776 pep chromosome:ASM407v2:5:10665462:10667528:1 gene:Csa_5G256690 transcript:KGN50776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGRRRATMESEMLPVLRSSVVKFLIQSAHDLEVPPIVKYSALSLFADRFYSSISGFTSSNDSSYWLLQPITESNLQLFALVSLWISSKLHTSHPPSIKLLKAFGDKMIKEQHFMTRDFLDAEVIFMRILNFEIGTANITFIFLEELLNQFKEVAKVGELVNWEACMDVMDLLYEKEETTVFYRSPCSSAAAILIASYLITVPVQEWEFPIVPWEYCKHNEQTANANGRIHQVVPFLLGLTAQ >KGN50656 pep chromosome:ASM407v2:5:9042009:9045999:1 gene:Csa_5G203390 transcript:KGN50656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKQTYRVCFCFRRRFKMTAGEAPDEIKKLFDEYSENGIMNPDHLQRFLIDVQKEANTTRDDAQNMIERCTNELKHLNIFHRKVLNLEAFFKYLFSDLNPPLESLGVHHDMSAPLSHYYIYTGHNSYLTGNQLSSDCSDVPIIQALQRGVRVIELDIWPNSSKDNIDVLHGRTLTTPVELIKCLKSIKEYAFVASDYPVVITLEDHLPPNLQAKVAEMVMSTFGDILFTPGSECLKEFPSPESLKKRIIISTKPPKEYLKTKDAPKEGEGEAQKEKTSGNGKESSAWGREVSSLKGGTVTDYKQDLDDDSNDEEDNEDGDPKSTESSQYIAPEYKSLIAIHAGKPKGGIDACLKVDPNKVRRLSLSEQQLEKAVLTHGKQIVRFTQKNILRVYPKGIRFDSSNYNPMIGWTHGAQMVALNMQGYGRSLWIMHGMFRANGGCGYVKKPDFILKPGSDDEIFDPRVRLTVKTTLKVTVYMGEGWYYDFHHTHFDAYSPPDFYTRVGIAGVPADTIMKKTKTLEDNWVPAWNEEFEFPLTVPELALLRIEVHEYDMSEKDDFGGQTCLPVSELRRGIRAVPLHSVKGEKYKSVKLLMGFEFV >KGN49632 pep chromosome:ASM407v2:5:1162679:1168172:1 gene:Csa_5G033990 transcript:KGN49632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKVQLGVVAQTKSRFFKCKINKVNPRELNPYFKENGTGYPENSDRLKSDTDKLPPPRVVGDGGASWRLKALKRAEEQAARDGRRLEEVVEERWGSLGHLAVSVAASKVAPSRSHLHAIRDRKRVLTSHQPSDSQNESDSGKSSARNHLKGISSRNPEMKEPKVRDSLSWGKQKSQNISSRDAGFISAAVSSLNKFSDDGSFASEFLRQQRENTKGDSIKTKVKSELVVSTSEKCIEDCVSTKDAMSANQLAAKAFQLQIKGKHEEAQKLLQEVQNMKATNNVEVNPMKPQVERISARKNFPGISKRNKEDDTDLYLAKNIMQNKQYSMSGRVDDEYDYEHARRTNQRKRESNDDKLSAKDIRPRHMATQEERCIFCFENPNRPKHLTVSIANSTYLMLPQWQPVVAGHCCILPISHESATRGVDKTVWEEIRNFKKCLIMMFAKQDKDVVFLETVVGLAKQRRHCMIECIPLPQGIAKEAPLYFKKAIDEVEEEWSQHNAKKLIDTSEKGLRGSIPENFPYFHVEFGLNKGFVHVIDDENNFKTGFGLNVIRGMLQLAEEDMHRRRRYESVEVQKHAMANFLKDWEPYDWTKQL >KGN50705 pep chromosome:ASM407v2:5:9755175:9755398:1 gene:Csa_5G218220 transcript:KGN50705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDDKQKPQSFLQGTMTNNEGKGCPTNTNDDMMEDVERRTWRRNEHDNFHVDSFGCRGFMSKG >KGN52262 pep chromosome:ASM407v2:5:24695487:24696304:-1 gene:Csa_5G622770 transcript:KGN52262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSAAPHPPPPPSTVPFHCSAPLFTRIRLAVPSDIPHIHNLIHQMAVYQRLTHLFSATQSSLSANLFSSPPFQSFTVFILEVSSIPFPQNSLHNSNPNYTPVVGILDSEPPVDDPGSEDFKAEDENVVVAGFVLFFPNFPSLLGKPGFFVEAIVVRDCYHRRKDLERILLLAVVNQAVKMDYCQVERALLDRDVNAIKFYEEMGAPILGEWRICRITGDALRVHKNANES >KGN52542 pep chromosome:ASM407v2:5:26863781:26868217:-1 gene:Csa_5G642730 transcript:KGN52542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMKRTEATVSCLVASLLFWVSSINGLLSPKGVNYEVQALMGIKASLQDPHGVLENWDGDAVDPCSWTMVTCSPESLVIGLGTPSQNLSGTLSSTIGNLTNLQIVLLQNNNITGPIPPEFGRLSKLQTLDLSNNFFTGEIPSSLGHLRSLQYLRLNNNSLSGAIPMSLANMTQLAFLDVSYNNISGPLPRFPSKTFNIVGNPLICATGSEAGCHGTTLMPMSMNLNSTQTGLPAVRLKSHKMALTFGLSLACLCLIFLVFGLFIWWRRRSNRPTFFDVKDQQHEEISLGNLRRFQFRELQIATNNFSSKNILGKGGFGNVYKGILSDGTVVAVKRLKDGNASRGEIQFQTEVEMISLAVHRHLLRLYGFCNTPTERLLVYPYMSNGSVASRLKGKPVLDWGTRKRIAIGAARGLLYLHEQCDPKIIHRDVKAANILLDDYCEAVVGDFGLAKLLDHQDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGQRALEFGKAANQKGGILDWVKRIHLEKKLEVLVDKDLKANYDRVELEEMVQVALLCTQYLPGHRPKMSEVVRMLEGEGLAVRWEASQRVDSTKCKPHDFSSSDRYSDLTDDSSLLVQAMELSGPR >KGN52714 pep chromosome:ASM407v2:5:27944308:27947761:-1 gene:Csa_5G652210 transcript:KGN52714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKLVVEILDAGDLMPKDGDSASPFVEVDFDDQKQRTHTKHRDLNPYWNEKLLFNISHPKDFPNKTVDVVVYNERKSGHRRDFLGRVRISGMSVPLSEQEANVQRYPLDKRGLFSHIKGDIGFRMYMIHDDDSSSFSPPPPTHPAPPQPPHFETPLQEINPNIFDQEELQVPTNGYESAKVKKKKEKDVKTFHSIGTAPAAAATSVAPPPTEFKRPPPMATRMDFAQAGPSPATVMHLPIPKQNPEYSLVETNPPLAARLRYGYRGKDKIISTYDMVEQMHFLYVNVVKAKDLPVMDVSGSLDPYVEVKVGNYKGVTKHLEKNQNPVWKQIFAFSKERLQASLLEVIVKDKDLGKDDFVGRIFFDIPEVPLRVPPDSPLAPQWYKLVDKKGIKAKGEVMLAVWMGTQADESFPDAWHSDAHSISHSNLANTRSKVYFSPKLYYLRAQVIEAQDLIPSDKSKPPDTFVRIQFSNQGKVTKPSQMRVINPVWNEELMFVASEPFEDFIIISVEDRGTGEILGRVIVPSRDVPQRIESTKLPDARWYNLHPPYIAKLEETEKKKEKFSSKIHVRLWIDSGYHVLDESTHFSSDLQPSSKVLRKDSIGVLELGILSARNLLPMKSKEGRITDAYCVAKYGNKWVRTRTLLDTLAPRWNEQYTWEVYDPCTVITIGVFDNAHTNGSKEDAKDQRIGKVRIRLSTLETDKVYTHYYPLLVLQPSGLKKHGELQLALRFTCTAWANMLTQYGKPLLPKMHYLQPIPVRHIDLLRFHAMNIVAARLSRAEPPLRREAVEYMLDVDYHMFSLRRSKANFNRIMSLLSGITAIYRWFNDVCIWKNPITTCLVHVLFLILVCYPELILPTVFLYLFVIGIWNYRFRPRYPPHMDARLSQAEHTHPDELDEEFDNFPTTKHIDTVRMRYDRLRSVAGKVQTVVGDLATQGERAQAILGWRDPRATALFIIFALMWAVFIYVTPFQVVAILIGLYLFRHPRLRRKLPSVPVNFFKRLPSKADMMLL >KGN49663 pep chromosome:ASM407v2:5:1721511:1746184:1 gene:Csa_5G053060 transcript:KGN49663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSSLMKQDYLGWHSSITPARTYDSHTYKLLIGPVLSKFVTAFRELATYKEQLRSQVEHVLTNRLMQFLDEDLQGVKDSRQRFDKAMHEYDQAREKFVSLKKNTRGDIVAECEEDLLNSKSAFEKSRFNLVNALMNVEAKKKYEFLESISALMDAHLRYFKLGFDLFGQMEPFIHQVLTYAQQSKELANIEQDKLAKRIQEFRTQAQLDNLQASNSLDTSVVSDGIHGSGINSYRSVETAPQSIVNGKVETIKQGYLLKRSSGSRGDWKRMFFVLDSQGALYYYKNKASRYVGQQSHSFNRSDEHNNSMFGRFRARHNRSSSLNEEILRCSTVNLHTSTIKMDAEDSDLRLCFRIISPSKTFTLQAENEADRMDWINKITGAIASLFNSYFLQQPFLEAKHPECDKNNFNDYSVQTRHEKRLEDGSQVDSVSKILREIPGNDLCAECGSSEPEWASLNLGILLCIECSGVHRNLGVHISKVRSIILDVKVWEPSILDLFRNLGNTYCNSIWEGLFLADTGRDNTQHALATSIPKPSPKDANQLRERYIQAKYVEKQFVVKDSEAPGNISYAKSIWEAVKTNDLREAYRLIAVSAVSIVNTTYDNVVSVSSSPHLDEEPSGNQESLNPSSCTRDWDSNESSDSLQGCSLLHLACQNDNQVMLELLLQFGTDINVCDSHGRTPLHQCISQKNNKLAKLLLRRGAKPSIKDCGGLSALERAMEMGAITDEELFLLLTGSE >KGN50655 pep chromosome:ASM407v2:5:9032048:9032281:1 gene:Csa_5G203380 transcript:KGN50655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METGVQKDVDIETVGYLIQAFCNDNKVSRAYEILRQSLEDGLTPCNDAFNKLISGFCKDKNLKSNEIRINHTGKIKF >KGN49892 pep chromosome:ASM407v2:5:3692313:3694912:1 gene:Csa_5G139700 transcript:KGN49892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYDFRNNSGHYDSHQPMYTSTASSSPSPSPHPMYSHSMYPRIGQQAPSSTPPVARLSSHHYSSSPSPSPSSSSGLGIRVTIKPEYRITPPPQLSPQVGDIPRSNFQFDFEFEKKVLAEAEKEAPNWNRFGLEHPPPKPVESTSSMGSIGDPVVSKYVASGLNREAVSFAVANYGDNPTKVQEFVKGYTLLREMGFSSIKVVEALLMYDNDTDKAVAHFLGGTS >KGN51828 pep chromosome:ASM407v2:5:22173209:22174763:-1 gene:Csa_5G602760 transcript:KGN51828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAFSFSPPLDLHRHRLLPQHRTFPTLPISSYPFPLFLKNQSFKTSSAPLHSSPTTLDSSLLDDPLRTGRFLTNDEFEKLKLLGDFGYFKELESGFIWVRVMRDDELDATVGLLAESFAESMFWPSSYISLLRFLVKQYLIERRALMPHTATLIGFYKRKDADEEEAEQLAGTVEVCFDKRGANASPPTPTPPKDSPYICNMTVQKELRRRGIGWHLLKAGEELISQMSTSREVYLHCRMIDNAPFNMYTKAGYSVVQTDTIIILLMLQRRKHLMRKKLPAMTRSSPSESDVPISLEG >KGN49739 pep chromosome:ASM407v2:5:2767976:2771402:-1 gene:Csa_5G096460 transcript:KGN49739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNSLIFTLFWIAISAIQVAPDASNHRYSEGDSVPLYANKVGPFHNPSETYRYFDLPFCSPGDVKEKKEALGEVLNGDRLVSAPYKLDFKREKDTEVACKSKLSKKEVAQFRAAVKKDYYFQMYYDDLPIWGFIGKVDREGRDDPSEYKYFLFKHIQFDISYNRDRVIEISARMDPHSVVDLTEDKDVDVEFMYTVKWRETDTPFEKRMDKYSQSSSLPHHLEIHWFSIINSCVTVLLLTGFLATILMRVLKNDFMKYAQDEEAADDQEETGWKYIHGDVFRFPKYKSLFAAALGSGTQLFTLTVFIFMLALVGVFYPYNRGALFTALVVIYALTSGIAGYTASSFYCQLEGSNWVRNLLLTGCLFCGPLFATFCFLNTVAIVYNATAALPFGTIVVIVLIWTLVTSPLLVLGGIAGKNSRIEFQAPCRTTKYPREIPQLPWYRSTVPQMAMAGFLPFSAIYIELYYIFASVWGHKIYTIYSILFIVFIILLIVTAFITVALTYFQLTAEDHEWWWRSFLCGGSTGLFIYGYCLYYYYARSDMTGFMQTSFFFGYMACICYGFFLMLGTVGFRAALFFVRHIYRSIKCE >KGN51222 pep chromosome:ASM407v2:5:17370497:17373111:1 gene:Csa_5G494410 transcript:KGN51222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVATPGPDDPLILKEQDNHISSDIWDGKERGVLRCLEHTSILERWKLTGKQIELVEKAGFGYLRLMPAVTLDCALISALVERWRKETNTFHFSVGEMTITLEDVALLLGLSIDGKPVTDVDVDPKSLCENLLGKAPTDFKGAVKLTWLKEVFSECPEDAGIEQIEYSTRAYLLYLLGSTIFASTSGNKVSVMYLSLFKDFDEAGKYAWGAAALAFLYRALGNASLKSQRTISGSLTLLQCWSYYHLNIGRPKLKKDPENCFPFLLKWTENRSGSRMGINLPTYRKALDSLQPSDVQWLPYKDMDFSVVPEDIKNSLVLRTSRTMLICFDKAEKHLPDRCLRQFGLPQPIPKDIEDWKRKISSMDSKEELPPELKEWSERYEFINNGVDSVDESEYLQWYEKITRKFVGRAESWESRFRQTIKAMHEVVKIVNSISTNGMDREDRKLFSNVRTMVQKCWTEKYADSPSEGDRDSAKRTGKRKREG >KGN49701 pep chromosome:ASM407v2:5:2289852:2290124:-1 gene:Csa_5G077200 transcript:KGN49701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHQRASNCKIEEVQLTARRGVVDGFEGLLAVDSGSRWSASPYLIHYFLQDNFRI >KGN51820 pep chromosome:ASM407v2:5:22120257:22135734:1 gene:Csa_5G602190 transcript:KGN51820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPFSSGTRLRDMIRAIRACKTAAEERAVIRKECAAIRAAIDENDHDYRHRNLAKLMFIHMLGYPTHFGQMECLKLIASSGFPEKRIGYLGLMLLLDERQEVLMLVTNSLKQDLNHSNQYIVGLALCALGNICSAEMARDLAPEVERLLQFRDPNIRKKAALCSIRIIRKVPDLAENFVNPAASLLKEKHHGVMITGVQLCTELCKHSPEALEYFRKKSTEAIVKTLKDLVNSPYAPEYDIAGITDPFLHIRMLKFLRVLGQGDADASDCMNDILAQVATKTESNKNAGNAILYECVETIMSIEDSGGLRVLAINILGRFLSNRDNNIRYVALNMLMKAITVDGQAVQRHRTTILECVKDSDASIRKRALELVYLLVNESNVKPLTKELIEYLEVADQEFKGDLTAKICSIVAKYSPEKIWYIDQMLKVLSEAGNFVKDEVWHALIVVISNASDLHGYTVRALYRAFQISSEQESLVRVAVWCIGEYGDMLVNNIGMLDIEDPIVVTEADAVDIVDTAIKRHDSDLTTKAMAMIALLKLSSRFPSCSERINHLIAQYKGSLVLELQQRSIEFNSIIASHQNMKSVLVERMPVLDEATFIGKRAGNIPASLSTSNGAAISLPNGVSKSAAPLVDLLDLSSEDVPVPSSSGSDFIQDLLGLDLAAAPEQPGSNFAPKSGTDVLLDLLSIGTTPPVQNTASATDILSNQEKSPTSQLDGLSSLSPLSASKFPAAVSAPTIDLLGGLAPNVASADENGSVHPSIVAYESGSLRITFDFSKTAGSPQTTLIHATFKNLSPNIYSNFIFQAAVPKFLQLHLDPASGSTLPGSGNGSITQKLRVTNNQHGKKHLVMRLRIAYKVDDKDILEEGQVSNFPRNL >KGN52716 pep chromosome:ASM407v2:5:27950971:27954818:-1 gene:Csa_5G652220 transcript:KGN52716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSTTGAASTATATASSRTSAGGAGLARFRSAPAAWLEALLEDDEEDPLKPNPCLTQLLAANSSDLDSAPADHPLFDPNPSPAFHRQNSSPPEFLAPSGIAEGFYTSYPLNSSPTLDISPTSKPSTDVDAQNFFPKFSPQLKREGSGVSSLIDMEMEKLLEDSVPCRVRAKRGCATHPRSIAERVRRTRISDRIRKLQEVVPNMDKQTNTADMLEEAVEYVKFLQKQIQELTEHQRRCKCMVKE >KGN50993 pep chromosome:ASM407v2:5:14327875:14333289:1 gene:Csa_5G387430 transcript:KGN50993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSEPTLVPEWLRSTGSVAGGGNPNHHFPSSSSHSDVPSLSQSRNRISKTTGDFDSSRSSFLDRTSSSNSRRSSSNGSSKHAYSSFNRGHRDKDREKEKDRLNFGDNWDRDAHDPLGKILSNRIDKDALRRSHSMVSRKQGELFHRRVGTELKSHNSSNGILSGTSVGSSIQKAVFEKDFPSLGSEEKQGASEIGRVSSPGLSSPVQSLPIGNSALIVGGEGWTSALAEVPSMIGSTTGSSSFQQTVPATSGAGPLSVTAGLNMAEALVQAPSRARAAPQVSELSVKTQRLEELAIKQSRQLIPVTPSMPKAMVLSSSDKSKPKLASRTGELNATIKGGQPQPLLVHANQSRVGHVKPDAQKSSHGKFLVLKPVRENGVSLAAKDVSSPTSNANSMAANSQFALAPSVPHAPLRSPNNINVSSMERKIASLDLKTGTTLEKRPSLSQVQSRNDFFKLIKKKTSMNSSAVLSDSCSSVKSPSIGQSNELTSEEMGTASPRVIENGAVENRNGNSSEEVQVSRDSGEKTESHVAAESLDEEEAAFLRSLGWDESCGEDEGLTEEEINSFYREYVNLKPSLKIGRCIQPKIFVPSESRVDSKDDGAGSELSSSDSEA >KGN50082 pep chromosome:ASM407v2:5:4935430:4937472:1 gene:Csa_5G152970 transcript:KGN50082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPSKRREMDLMKLMMSDYKVETINDSMQEFYVDFHGPKDSLYQGGVWRIRVELPDAYPYKSPSIGFVNKIYHPNVDEMSGSVCLDVINQTWSPMFDLVNVFEVFLPQLLLYPNPSDPLNGEAAAVMMRDRTAYEQRVKEYCEKYAKPEDIGAVPEEKSSDEELSEDDYSSGDEAMAGQADA >KGN51742 pep chromosome:ASM407v2:5:21636727:21641927:-1 gene:Csa_5G598010 transcript:KGN51742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEAVRLRVSFKDKDLLTDSLTGLSRSWIVLKPHLRTISDLSSYILSIFCLHDACPNGVILSMDGFVLPPFESVCILKDKDIVRVKKKKSKATILGGGNRLIETEEFRERKPVDKGVKLLADKEFENESNGYESQSEEDEANATLQVKAVPVGKKVSKKRKASKIIRKSKRKKNKSSPTEEFPTIVADVQHSDEKHEEKNHLKSDLPQKVLVSKKDSSSSSSECDSDTSSNVEIGGRSNNIIKSTTNAERVDQLGAGWRHVELSDTAGEIVTDQAGQEKQNHFPKETLHWNGITNKKKGQKWGKEKTPSWKRNNSNDCSSEPLQLLSETEQPKTPVPVVGSIHFDELPPYTCLPQEGDLIAYRLIELSSTWTPEISSFRAGKVSWYDTESNRIMLIPVPEYPLPVKKEIDEDSELQPDTTPYGEDGSLKIDFASLVDLRIIRQGNLDSSRTAVNQEITSKQIAESSKHVHNNVDANNTRQGNGKVSAWDEISEALSAKKVELSKNNGWNQEDSSGRKSWSYRALRGSALGPTMALLRSQKEL >KGN52208 pep chromosome:ASM407v2:5:24321409:24322197:1 gene:Csa_5G615300 transcript:KGN52208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGFLTIPHQLKVSPSFASISSPSSLFRPLYKFPIHHTFYNSQFLISSDHRRFTAFASNKNTEFGGSIKEREGERNGANGSSNGGDDLKKERGPVFNIKWAELLIDPDPDNILAVALTGLLAWASVQVLWQLFFISLAILVAALKYSFIAALLLFILITLL >KGN51480 pep chromosome:ASM407v2:5:19732017:19733141:1 gene:Csa_5G567800 transcript:KGN51480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPQRPLILSLLFAATLFYLRPVSADEDTPNSPNATANCMEFIRTSCGITLYPDVCYTSLSRYANDIQQDPASLTRIAITISLANSRRMAAYVSNLSHVGDNGADRRAASALHDCFTNFDDAVDEIRGSLKQMRQINDVDAPSFRFQMSNVQTWMSAALTDQETCTDGFEDVADGPMKEDVCAKAEKVKKHTSNALALVNSFVEKKIP >KGN49873 pep chromosome:ASM407v2:5:3598651:3604830:-1 gene:Csa_5G139510 transcript:KGN49873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYLQEKIVRFQDWSSDKTSRGLYSADNTLNAGKIGTRTDLVSEKPHKELETGSYRINRIKKSLKSSSFNKFMSKGFGTGQKVLDPQGPFLQKWNKIFVLSCVIAVSLDPLFFYVPVIDDDKKCLGLDNKMEITASVLRSFTDIFYILHIVFQFRTGFIAPSSRVFGRGVLVEDAWEIAKRYLSSYFLIDILAVLPLPQVVILIIIPNMKGSRSLNTKDLLKFVVFFQYVPRFIRIYPLYKEVTRTSVLTETAWAGAAFNLFLYMLASHVFGAFWYLFSIERETTCWQRACHKTGCVSNSLYCDADVIQRNNAFLNVSCSLVEDNPPFDFGIFLDALKSGVVGSMNFPQKFFYCFWWGLRNLSSLGQNLQTSTYVWEICFAVFISISGLVLFSFLIGNMQTYLQSTTTRLEEMRVRRRDAEQWMSHRLLPESLRERIRRYEQYKWQETRGVDEENLVRNLPKDLRRDIKRHLCLSLLMRVPIFEKMDEQLLDAMCDRLKPVLYTEESYIVREGDPVDEMIFIMRGKLLSVTTNGGRTGFFNSEHLKAGDFCGEELLTWALDPHSSSNLPISTRTVRTLSEVEAFALKADDLKFVASQFRRLHSKQLRHTFRLYSQQWRTWAACFIQAAWRRYRRKKHEQTLLEEENRLKDALAKTGGSSPSLGATIYASRFAANILRTIRRTSSRKARIPERIPPLLLQKPAEPDFTSEENSL >KGN50781 pep chromosome:ASM407v2:5:10807037:10810256:1 gene:Csa_5G259710 transcript:KGN50781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVLSATDKQSMVSSFLEVAVGQTAETARQFLQATSWKLEDAIQLFYVGNEGGVVGPPPVPSPPSTNEQINSSTDHISNESGKHAGPGTFGQYEDEVRPPLPVIREALYDDAMLYGAVCFPHLFNKCPGKIALNGGSWWPKISKKSPEESRFQTMGFVEWFWVNSINVILVRG >KGN50221 pep chromosome:ASM407v2:5:5897569:5901766:-1 gene:Csa_5G160240 transcript:KGN50221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSLQSPPSATIPPSLSPSPSSSSFLQKKLGFSAGLFTPYILISHSPTSLPLTSASSGFSSSLDTGLTSELDAVSSFSQIVPDTVVFDDFERFPPTAATVSSSLLLGICGLPDTIFRNAVDMALADSECFGLDNPQLKYSCIFNKALVNVGGDLAKLVPGRVSTEVDARVAYDTHGIIKKVHDLLKLYNEINVPPERLLFKIPATWQGIEASRLLESEGIQTHLTFVYSFAQAAAAAQAGASVIQIFVGRLRDWARNHSGDPEIEAALKRGEDPGLALVTKAHHYIHKYGYRSKLMAAAVRNKQDLFSLLGVDYIIAPLKVLQSLKESVTTPDDKYSFIRKLSPQSAANYIFSEDEVKKWDQLSLASGMGPAALQLLANGLEGYADQTKRVEELFEKIWPPPNV >KGN52223 pep chromosome:ASM407v2:5:24458199:24458939:1 gene:Csa_5G621910 transcript:KGN52223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTELDLRRTLLEIVRRPPIKLQIPPPTTSTSVMAGGDHGGVIIQKDRVDEEDGGAEELSCRTPTSAENKIPAVEQCPPAPRKRKRPPSCRRRLMELEFVEIVHRDEIEPYLNSSFDHEDRVRKSAKRSFCECK >KGN52374 pep chromosome:ASM407v2:5:25646304:25649257:1 gene:Csa_5G630770 transcript:KGN52374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSLKLRHLWALLILGLVGRTVTLFGDAARTCGFPAIYNFGDSNSDTGGISAALNAIQPPNGETFFGHPSGRACDGRLIIDFIAEKLKLPYLSAYLDSLGTSFRHGANFATGGSSIRPGGYSPFHLGIQVSQFIQFKSRTTDLYNRLRSRIRTSIPIEHIARPQEFSKALYTFDIGQNDLAYGYQHSSEEQVRASIPDILDAFCEAVQQLYKEGARYFWVHNTGPIGCLPYSILYNKSPENRDSNGCVKSQNTVSREFNRQLKSQLLKLGKKLPFARIIHVDVYSVKYLLITKAKTQGFVKNPVKFCCGSYYGYHIDCGKREVVNGTVYGNPCEDPSRHISWDGIHYSEAANLWIANHILNGSFSDPPLPVDKACQAPRNA >KGN51267 pep chromosome:ASM407v2:5:17683242:17692105:1 gene:Csa_5G505770 transcript:KGN51267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMISRGLFGWSPPHIQPLTPVSEVSEPPESPSPYLDPGNDPTGERLEEPEEIEEPEEIEPPPAAVPFSRLFACADRLDWTLMVVGSIAAAAHGTALVVYLHYFAKIVHVLRVPTGVDEQYQRFRELALSVVYIAIGVFIAGWIEVSCWILTGERQTAVIRSRYVQVLLNQDMSFFDTYGNNGDIVSQVLSDVLLIQSALSEKVGNYIHNMATFFSGLVIGFINCWQIALITLATGPFIVAAGGISNIFLHRLAENIQDAYAEAASIAEQAVSYVRTLYAFTNETLAKYSYATSLQATLRYGILISLVQGLGLGFTYGLAICSCALQLWVGRFLVTHQKAHGGEIITALFAVILSGLGLNQAATNFYSFDQGRIAAYRLFEMISRSSSSSNQDGVTPSSIQGNIEFRNVYFSYLSRPEIPILSGFYLTVPAKKAVALVGRNGSGKSSIIPLMERFYDPTLGEVLLDGENIKNLKLEWLRSQIGLVTQEPALLSLSIRDNIAYGRNATLDQIEEAAKIAHAHTFISSLEKGYDTQVGRAGIELMEEQKIKLSIARAVLLNPSILLLDEVTGGLDFEAEKTVQAALDLLMLGRSTIIIARRLSLIRNADYIAVMEEGQLVEMGTHDELLSLDGLYTELLKCEEAAKLPRRMPVRNYKDSSTFQIEKDSSASHSVQEPSSPKMMKSPSLQRVSGVIRPTDGVYNNSHESPKAPSPPPEKMLENGQMLDTSVDKEPSIRRQDSFEMRLPELPKIDVQAAHRQTSNGSDPESPVSPLLTSDPKSERSHSQTFSRIHSQSDDFRMKTKEEKDTKHKKSPSFWRLAELSFAEWLYAVLGSLGAAIFGSFNPLLAYVIALIITAYYKRDEGHSIRHEVDKWCLIIACMGFVTVIANFLQHFYFGIMGEKMTERVRRMMFSAMLRNEVGWFDEEENSADTLSMRLANDATFVRATFSNRLSIFIQDSAAVIVALLIGMLLQWRLALVALATLPVLTISAVAQKLWLAGFSRGIQEMHRKASLVLEDAVRNIYTVVAFCAGNKVVELYRLQLKKIFKQSFLHGMAIGFAFGFSQFLLFACNALLLWYTAYSVKNKIMDLSSALKVYMVFSFATFALVEPFGLAPYILKRRKSLISVFEIIDRLPKIDPDDNSALKPPNVYGSIELKNVDFCYPTRPEVLVLSNFSLKVNGGQTVAVVGVSGSGKSTIISLIERFYDPVAGQVMLDSRDLKTYNLRWLRNHLGLVQQEPIIFSTTIRENIIYARHNASEAEMKEAARIANAHHFISSLPHGYDTHVGMRGVDLTPGQKQRIAIARVVLKNAPILLLDEASSSIESESSRVVQEALDTLIMGNKTTILIAHRAAMMRHVDNIVVLNGGRIVEEGTHDSLVAKNGLYVRLMQPHFGKGLRQHRLV >KGN50432 pep chromosome:ASM407v2:5:7223081:7235056:-1 gene:Csa_5G174580 transcript:KGN50432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAREKELKKKKALEKALKLQAQQTSNAPKKSEKKNARRGGDEENAEDFVDPDTPFGKKKLLAQQMAKQYNPSAVEKSWYEWWEKSGYFVADAKSSKPPFVIVLPPPNVTGALHIGHALTAAIEDAIIRWRRMSGYNTLWVPGTDHAGIATQVVVEKKIMRERNLTRHDLGREKFISEVWEWKTKYGGTILKQLRRLGASLDWTRECFTMDEKRSRAVTEAFVRLFKNGLIYRDLRLVNWDCVLRTAISDIEVDYIDIKEKTLLKVPGYENPVEFGVLTSFAYPLEGELGEIVVATTRVETMLGDTAIAIHPEDTRYKHLHGKSAIHPFNGRKLPIVCDAILVDPKFGTGAVKITPAHDPNDFEVGKRHNLEFINIFTDDGKINSNGGSEFAGMPRFKAREMVVDALQKKGLYRGAKDNEMRLGLCSRTNDVVEPMIKPQWYVNCKEPAKQSLDAAMDNECKKIDIIPKQYSADWKRWLDNIRDWCISRQLWWGHRIPAWYAALEDDQLKEFGAYNDHWVVARNEEEAEEEAKRIYAGKKFHLTQDPDVLDTWFSSGLFPLSVLGWPDDTEDLKAFYPTSALETGHDIIFFWVARMVMLGITLGGDVPFQTIYLHPMIRDAHGRKMSKSLGNVIDPVEVINGISLEGLHKRLEEGNLDPKELAIAKEGQVKDFPNGISECGADALRFALISYTAQSDKINLDIQRVVGYRQWCNKLWNAIRFAMSKLGNDYVPNKNVTPDALPFSCQWILSVLNKAISRTISSLESYEFSDATTAVYSWWQYQLCDVFIEAIKPYFSSNETDFASARSHAQDTLWLCLENGLRLLHPFMPYVTEELWQRLPYPKNSTRPESIMICDYPSVTEEWTNEDVENEMDLIVSAVRSLRSLAKESRERRPGYVLPRNAAVAETINKRKLEIVTLANLSSLTVINDNDAAPVGCAVSVVNENLSVYLQFQGAISAEAELEKINKKMDEIKKQQEKLKKMMDASGYKEKVRPQIHEENVNKLASLMQELLSLEEAGLHIKAHR >KGN49899 pep chromosome:ASM407v2:5:3718639:3719610:-1 gene:Csa_5G139770 transcript:KGN49899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAHKIITTTLSIFFLLSSIFRSSNAAGIAICWGQNGNEGSLASTCATGNYEFVNIAFLSSFGSGQTPVLNLAGHCNPDNNGCAFVSDEINSCQSQNVKVLLSIGGGVGRYSLSSANNAKQVAGFLWNNYLGGQSDSRPLGDAVLDGVDFVIGFGSGQFWDVLARELKSFGQVILSAAPQCPIPDAHLDAAIRTGLFDSVWVQFYNNPPCMYADNADNLLSSWNQWAAYPISKLYMGLPAAPEAAPSGGFIPADVLISQVLPTIKTSSNYGGVMLWSKAFDNGYSDAIKGRILLKKSSYCYGVRRLTMATHLPLSLEIEQVLV >KGN51658 pep chromosome:ASM407v2:5:21090250:21097271:1 gene:Csa_5G589260 transcript:KGN51658 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine synthase MASSSFINSSISPLSSSVSNSKSSLLLFNSPPTSLRILPSLLHSSKSNLHATLSVTCKAVSIKPQTEIEDLNIASDVTQLVGKTPMVYLNNIVKGCVANVAAKLEMMEPCCSVKDRIGYSMISDAEKKGLISPGKSILVEPTSGNTGIGLAFIAASKGYKLILAMPASMSLERRVLLKAFGATVVLTNPAKGMKGAVEKAEEILQKTPNAYKLNQFDNPANPKVHYETTGPEIWEDTKGKLDILVAGIGTGGTISGVGRFLKEKNPNIKVIGIEPTASNVINGGKPGPHKIQGIGAGFIPNNLDEGVVDEVIEISDEEAIETAKQLAMQEGLLVGISSGATGAAALKVAKRPENAGKLIAVVFASFGERYLSSALFHSIREECENMQHEP >KGN51395 pep chromosome:ASM407v2:5:18683167:18683441:1 gene:Csa_5G526360 transcript:KGN51395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLTISDGDDKTYITIFDASKYLIGCSVTEYYKDLQVYKKEKSKLYQNLVLCEDKKYCFFGKNG >KGN52383 pep chromosome:ASM407v2:5:25718818:25719000:-1 gene:Csa_5G630855 transcript:KGN52383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLKLEAKSGMVVLVLIIILVVYCAQGQGRPLQRQHYFIDPFVELWEMKHSGPSPSGDGH >KGN52425 pep chromosome:ASM407v2:5:25984671:25986430:-1 gene:Csa_5G633230 transcript:KGN52425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKCSFLKSLFGAFLFLGIIWFSFIGVIDNASLASRRETDMQWKLIGREKHFFHWHSDFYSVSKRRVPNGPDPIHNRRVENSRQPPIRV >KGN51250 pep chromosome:ASM407v2:5:17558558:17559194:-1 gene:Csa_5G504120 transcript:KGN51250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIVIIGQCSFGTMLVGFKCVGLLASGYDTNPHIKKRRFPVVQHSSQILSVTIVSSSSAGTSSSALSNKNQDSVSDEKKGKSDTDSSSVQSLEQQESSFKNSVWEDMLVSSLASSYWKPMLE >KGN51702 pep chromosome:ASM407v2:5:21379074:21379454:-1 gene:Csa_5G590190 transcript:KGN51702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLEITFCFSDLVILTSALRFSSSSSTGNLPVEDYHHPCTQFRTFDLCRSYAFCVRQFMLCSVPSLSLIFYELVGLTTLIDVLFFTSIALWES >KGN51391 pep chromosome:ASM407v2:5:18657881:18664891:-1 gene:Csa_5G524840 transcript:KGN51391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNWLNKEPPPPMVLVPPLFDYPPLAARTRMLESSYNLLFGKLALKCLFDDYFDEARHFSTVIMLKPIDDPHVDLVATVSGPLDHKPEDKIVGNALFRWQSDIDDPHTFVDLYVSNSDPVLQMRSCAYYPKYGFGAFGIFPLLQKKRLSSEDFGLMGLRYGSRNLSAGVTLMPFSSKDELPKSAWLVSKIGRLTTGVQYEPQYGIKDGASLKNLMNWSCAIGYDVGSGSPLSPSFNFGLELAKNSQFIASFYQHVVVQRRVKNPLEENEIVGITNYIDFGFELQSQMRVDDVQAANNIPDSTFQIAASWQANKNFLLKGKVGPLSSSLAMAFKSWWKPSFTFSISAVRDRIVGRTSYGFGIRVENLREASYQRADPNFVMLTPSKEHLAEGMVWKIGKRPMLQSDINAGNFDGIPKELRPLNKIL >KGN50467 pep chromosome:ASM407v2:5:7471150:7478859:-1 gene:Csa_5G175900 transcript:KGN50467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEPDERDASGSVSESTVTVREHLVDDSGVSVSKDRVQSSLSEDVGRGDGADGACNGGGEDIMVEVLGSDVYFDGVCTHRTAGNLDVVSTGGEEPPSVVRDGHLESEGVSVVGESIKGTSQEGVEGDERGVDVMILDNDARVDDSSAVDRQTEAAHVEEENTGSKEAMVVDTDNLVHNSSDDEALNDEEPQKVEVLSEQSKNSPTENGFGEDLVHTDGGSQEASISDGDESLEKGKGQRSVEEEQIFDAPVDLQGTGLGVSDVDARNSGIKTSSADSTENSNSQGQDATEMDPNMLPDKSWNPEVISQSEGSDKDLSNLERDESCIVETEHGDMGKNDHMDGQNQVSGGGELPNSSLTHGKKISGDEKLGLCVGVEVPEIAAQTLDSENLDRSIASPGDVVNSDPSVVVTEHMRSTDSISLSQPNHDAEEDVATENHGEVLAPSIEVSAENEQNLMVQIEGRNMEPASQSNGQEGGTCIELEENAVMDHNLANFETVEEMEVDHKFNANQMGLHGEEEDGDVTGIEDDDDQLESSVQLHQACYHLPSENEGDFSVSDLVWGKVRSHPWWPGQIFDPSDSSDQAMKYYKKDFYLVAYFGDRTFAWNEVSHLKPFRTHFSQEEMQSHSEAFQNSVECALEEVSRRAELGLACACTPKEAYDMVKCQIIENAGIREESSRRYGVDKSASATSFEPAKLIEYIRDLAKFPSDGSDRLELVIAKAQLTAFYRLKGYCGLPQFQFGGLPQFQFCGGLADNELDSLGIEMQSSDFDHHAAPCQDDAQASPSKENVEVRSSSYHKRKHNLKDGLYPKKKEKSLYELMGENFDNIDGENWSDARTSTLVSPSCKRRKTVEHPIDGSGAPDGRKTISVAKVSGTASLKQSFKIGDCIRRVASQLTGTPPIKSTCERFQKPDGSFDGNALHESDVFLQNFDDAQRGKVNFPPEYSSLDELLDQLQLVASDPMKEYSFLNVIVSFFTDFRDSLILRQHPGIEEALERNGGKRKAQFTSIVASPQTFEFEDMSDTYWTDRVIQNGTEVQLPRKNRKRDYQLVAEPEKALQGSRRPYKKRHPAGNHAMTAEKVTSSVYQPSPAELVMNFSEVDSVPSEKTLNNMFRRFGPLRESETEVDREGGRARVVFKKSSDAEIAYSSAGRFSIFGPRLVNYQLSYTPSTLFKASPIPRLQDQEMHLDLSTAQFQEMQLDLSSFHDHEMQLDLSSIHDQDMQLDLSTIGYQEMESVLGSHHDQESKPHYTAHLGEMQADFSTIQYDRQSDLSAMHNQELHPVFASNQETQSGQVTSQDQELHHNFTSDQLGEMQADHTLTPPHHDEPPVSASDPEQNMPPVFATIKEEKTQPAITTFQEESQSVLGIIQEQETHTILDTAQLGRMQADLNPTHHERQTVPATSLEHEMQPVTSQEQEDVANTGTTTVHHQQPVPSIPQEQDMQPVVATVQENEMVPVTSTQDHEREPETASEELLGEPVPAIKEGQETQRFLGTMNGHEEDDALGTKEQEAQSVTPATHEEEDTQQVVLTGEEAQEETQVAPGFTEGQETQVLDTTEGQGTQVLDTTEGQGTQVLDTTEGQGTQVLDTTEGQGTQVLDTTEGQGTQVLDTTEGQGTQVLDTTEGQGTQVLDTTEGQGTQVLDTTEGQGTQVLDTTEGQGTQVLDTTEGQGTQVLDTTEGQGTQVLDTTEGQGTQVLDTTEGQGTQVLDSAEGQETQVIDSMEGHESEHDLGANEQASLSVVVADEQDDAQPLVSAGEEAQEETQPIHASTQELEHDEEAMQGQELQPDQVTTEEEHEVPDSLTSQVRDESKHATELEQDLLPDITNEVPRVQCDNDKNQVQVVQNSNNANQEQEEQPGNNKNLELEMQHDVPTNQEQEMQHYIPTDQEQEKQCDNAADKEEKQVDNAVDQVQDMQCDNPTSQDQEMKCDNAMSQDQEMQCDNSTSQEQEKQLGNATSLEQEMECDSEADKEHVVQSGEAVSHEQDAQSDHEQELQANHDSTNQEQEKIPNFDTQEQDIESDVEKHPAQVQVMEPDCAVVPGSDTHTDSVTTKDQKMQLGISSLGKKTD >KGN52597 pep chromosome:ASM407v2:5:27241229:27243034:1 gene:Csa_5G646710 transcript:KGN52597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAVDGFLLLNLKPQSLLTKPTNVSLYFGKRAPSLQIRADSMATERLGIKVEKNPSESKLTELGVRQWPKWGCGPSKFPWTYSDKETCYLLEGKVKVTPAGSNESVEIGAGDLVVFPKGMSCTWDVSVAVDKHYKFE >KGN51446 pep chromosome:ASM407v2:5:19352508:19355748:-1 gene:Csa_5G548120 transcript:KGN51446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGILRSIIRPLTRISRSHPFSSPTAFPSAFTFSKPGFHLPSTGSVRTGDPWFPIANHFHSLTETRLPKRRPSYKPRRKRASLRPPGPYAWVPYTPGQPILPNQPNEGSVKRRNEKKRIRLHRAFIMSERKKRKAQVQEANKKKLVMRVERKMAAVARERAWAVRLAELQKLEEEKKKSME >KGN50391 pep chromosome:ASM407v2:5:6891005:6893375:-1 gene:Csa_5G171730 transcript:KGN50391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKKAVGKVSAKKKLDKVVNPLFEKRPKQFGIGGALPPKRDLTRFVKWPKVVQIQRKKRILKQRLKVPPALNQFTKTLDKNLATNLFKMLLKYRPEDKTQKRERLLKRAQEEAEGKTLDAKKPIVVKYGLNHVTYLIEQNKAQLVVIAHDVDPIELVVWLPALCRKMEIPYCIVKGKARLGSIVHKKTASVLCLTTVKNEDKLEFSRILEAIKANFNDKYDEYRKKWGGGIMGSKSQAKTKAKERLLAKEAAQRMS >KGN51829 pep chromosome:ASM407v2:5:22177325:22179104:-1 gene:Csa_5G603260 transcript:KGN51829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFITNLLKEQRHTLFIRKLLPWFIYALLPLAFFRLYFHPIHLPLNSIHQTPQIVVSSSLSTPPFSSSPVLEEEVNAITETPCDYTDGKWVPDKLGPLYNGSTCGTIKAAQNCIAHGRTDLGYLYWRWKPHKCSLSRFDPNKFFHLMTNKHIAFIGDSMARNQVESLLCILSSVSKPHLVYRDGKDNKFRRWKFPSYNLTLSVYWSPFLVDGIEKSNAGPNHNKLFLHRVNEKWAVDLDDFHLIVFSIGHWYLHPAVYYEGDELVMGCHYCPGLNHTEIGFYDALRKALRTTFQTVIDRRNPANSDELNIFLATFSPSHFDGDWDKAGACPKTKPYEETEKKLEGMDAEIRGIEMEEVEEAKSRAKQNGGLRIEALDVTKISMLRPDGHPGPYMNPFPFANGVGDRIQNDCVHWCLPGPVDTWNEILLEIMNNWERQSSRNRGL >KGN52657 pep chromosome:ASM407v2:5:27568957:27571956:1 gene:Csa_5G649270 transcript:KGN52657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLFLAYHDLANKARDFFDFASHGQLSKSFEAFSLIQLRTSYNDSFDLILQSISILLVSCTKSSSLPPGKQLHGHIISSGLVEDSFLVSKLVMFYSSLEFLPEAHTLVETSNLFRPCSWNILITSYVKHKLYEAAILAYKQMVSKGVRPDNFTFPSILKACGETQNLKFGLEVHKSINSWSTPWSLFVHNALISMYGRCGEVDTARNLFDNMLERDAVSWNSMISCYSSRGMWREAFELFESMQSKCLEINVVTWNIIAGGCLRVGNFTQALKLLSQMRNFGIHLDDVAMIIGLGACSHIGAIRLGKEIHGFTIRHYHHMLSTVQNALVTMYARCKDIRHAYMLFRLNDDKSRITWNSMLSGLTHLGRVEEALCLFRELLLFGVEPDYVTFASILPLCARVADLQHGREFHCYITKHRDFRDHLLLWNALVDMYARAGKVSEAKRIFYSLSKKDEVTYTSLIAGYGMQGEGGKAVRLFEEMKRFQIKPDHITMIAVLSACSHSGLVNQAELLFAEMQSVHGLSPRLEHYACMADLFGRVGLLNKAKEIITRMPYRPTSAIWATLIGACCIHGNMDIGEWAAEKLLEMRPEHSGYYVLIANMYAAAGSWSKLAEIRTLMRDSGVAKIPGCSWVDVGSEFISFSVGDTSSPQALESKLLLDSLYDVMKHGSLIMTDSYDTGDNIF >KGN50785 pep chromosome:ASM407v2:5:10908338:10908625:-1 gene:Csa_5G262230 transcript:KGN50785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLYKLHGNQRKLSSYKQEQWSNSELSTHHLSDISHQIDRQQLPCMETADSQHGIWAFFRKSYSERKPTREEALPKEMWKQRKEVRKEMLRDRN >KGN52718 pep chromosome:ASM407v2:5:27962280:27964315:-1 gene:Csa_5G652240 transcript:KGN52718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLIDSMDHATPLLTDMVDGAVDYKGQPLLRSSSATWRSASFIIGMEVAERFSFYGIGANLITYLTGPLGISVVAAAEIVNVWAATSMLLTLFGAFLADSFFGRYRTIVFASISYILGLGLLVLSTLLPTPSSSICAILNKFTPCSSPKLHLILFFLSLCLIGIAQGGHRPCVQAFGADQFDPQNPQEAKFKSSFFNWWYFGACFGIVAAIPTVSYAQENLSWAVGFGIPCVSMLTGFILFLLGTETYRFNTLKQSDKSPFWRIGRVFLASIRNLRASASTITFQDEQTAKYLSTSQQFKMHIMPTEDASFHVWCDRFLNKACIIVPIDSNQNAMTCSVSELEEAKAILRILIIWVTVVVFTIAFSQDATFFTKQAATLDRSIMSGFIIPAASLEALISFTIVIFIVVYDLLFVPIAKKVTGNPSGITTLQRIGTGMVISTISMAVASLVEKKRLKTALDHGLVDTPEMTIPMRFWWLVPQYVLNGLADVFTVVGLQELCYDQVPKDLKSVGPAIFISILGMGNILSSLLISVIDTATKANGHRSWFSNNLNKAHLDYFYLLLAALSVFGFVAFLFVAKSHVYNS >KGN49592 pep chromosome:ASM407v2:5:608504:614653:-1 gene:Csa_5G014290 transcript:KGN49592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLIPFLPWPNLHMVLLLLFFLSSPPLLYSSPVYSLPHNEGRALMSIKASFSNVANVLLDWDDDHNHDFCSWRGVFCDNVSLSVAALNLSNLNLGGEISPSIGDLRNLQSIDFQGNKLTGQIPDEIGNCGLLVHLDLSDNLLYGDIPFTVSKLKQLEFLNMKNNQLTGPIPSTLTQIPNLKTLDLARNQLTGEIPRLIYWNEVLQYLGLRGNFLTGSLSSDMCQLTGLWYFDVRGNNLTGSIPDSIGNCTSFEILDISYNQISGEIPYNIGFLQVATLSLQGNRLTGKIPDVIGLMQALAVLDLSENELDGPIPPILGNLSYTGKLYLHGNKLTGPIPPELGNMSKLSYLQLNDNQLVGTIPSELGKLDQLFELNLANNYLEGPIPHNISSCTALNQFNVHGNNLNGSIPLGFQNLESLTYLNLSANNFKGRIPVELGRIVNLDTLDLSCNHFLGPVPASIGDLEHLLSLNLSNNQLVGPLPAEFGNLRSVQMIDMSFNNLSGSIPMELGLLQNIISLILNNNHFQGKIPDRLTNCFSLANLNLSYNNLSGILPPMKNFSRFEPNSFIGNPLLCGNWLGSICGPYMEKSRAMLSRTVVVCMSFGFIILLSMVMIAVYKSKQLVKGSGKTGQGPPNLVVLHMDMAIHTFEDIMRSTENLSEKYIIGYGASSTVYKCLLKNSRPIAIKRLYNHYAHNFREFETELGTIGSIRHRNLVSLHGYSLSPCGNLLFYDYMENGSLWDLLHGTGKKVKLDWEARLKIAVGAAQGLAYLHHDCNPRIIHRDVKSSNILLDENFEAHLSDFGIAKCIPTAKTHASTYVLGTIGYIDPEYARTSRLNEKSDVYSFGIVLLELLTGKKAVDDESNLHQLILSKINSNTVMEAVDPEVSVTCIDLAHVRKTFQLALLCTKHNPSERPTMHEVSRVLISLQPPRPTVKQTSFPTKTLDYAQYVIEKGQNRNAKGGQEEQQKSDVNTSDARWFVQFGEVMSEQHSLNQ >KGN49757 pep chromosome:ASM407v2:5:2918599:2930437:-1 gene:Csa_5G107060 transcript:KGN49757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPHGIDIDTDRLLEKDLVTLDDAVRSANNAVLLAINSMSIVKSEMIIKFVDEPQNDLKELGAMDVMEILENLKEGKIGFGAGHNFRTSILKSIYDCPFLTVHLKDLKGMVVCIVASSSIIDDNDKSTLLKTFREVTTYTGKVILSTIQDLNIEPNFLMTTVLIVGSTKQQSSKSSSILSRLAQRFPLVFKLLWKPQELLVEAEESNMPEDASPSNVRQSSDSEVNVTKIASEGIDKDSYHGTEPENCQTTSNGSDLVSSSDSEQLEAGLLGTDTNSSLPFSNGISRGASLRRDPLHRWNLGPGHQIAQQWARERAADAELASALDNISIFDLPVGVRPSEEVHDKDLPNPEMKYEKKSKASISTSRFSSQNSLTDASLEVIKEFYDTSSAFLKGKSADIPKKQGLLSVRAASMLEAERDSPKKWSPVMELQYRGGVYRGRCQGGLPEGKGRLVLPDGSIYDGMWRYGMRSGQGTVYFNNGDMFQGSWRDDVMHGKGWFYFHTGDRWFANFWKGKAYGEGRFYSKSGDVLFGHFQDGWRHGDFLCINVDGSRYMEVWNEGYLMGRENLDSGADTA >KGN52269 pep chromosome:ASM407v2:5:24741435:24750050:-1 gene:Csa_5G622840 transcript:KGN52269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRTGSSSESRKPFKKPEENVPNVDDSAPQTISVVRPQRSLYVWLSLLVFTIYGFRAVYQQQFEKLPIPLSAEKAGKRGFSEAEALKHVKALTSLGPHPVGSDALDLALEYVLKTAEKIKKTAHWEVDVEVQKFHAKSGVNRLSGGLFRGKTLMYSDLYHVILRVLPKYADEAGENTILVSSHIDTVFSTEGAGDCSSCIAVMLELARGISQWAHGFKSGVIFLFNTGEEEGLNGAHSFMTQHPWSKTIRLAVDLEAIGIGGKSGIFQTGSHPWAVETFASVAKYPSAQIVSEDLFTSGAIKSGTDFQIYRELAGLSGLDFAYADNTAVYHTKNDKFELLKPGSLQHLGENMLAFLLHAAPSPKLSENVIKSQHADQDKAVYFDILGTYMIVYRQRFATLLHNSVIIQSLMIWITSLVMGGFPAAVSLALSCLSLVLMWIFSLSFSASVAFILPVISSSPVPYVASPWLAVGLFVAPAFLGALAGQYVGFLILHTYLSNVYSKREQLLPATRAELIRLEAERWLFKAGSFQWLIFLIIGNYYKIGSSYLALVWLVSPAFAYGLLEATLTPARFPKPLKLATLLIGLTVPLLVSAGTIIRLASSLIGSAVRFDRNPGSTPDWLGSVIVAVFVAIILCLTSVYLLSYLHLSDAKRSIIFATCILFGFSLAAVASGIVPPFTDLTARTVNVVHVIDTTTEYGGERDPVSYVSLFSTTPGKLTREIEHINEGFTCGRDKPIDYVTFSVNYGCWTHEDGEDGWDKSDIPLLLVDSDVSNNGRITNILIDTKGSTRWSLGINTDEIEDFKFKGEDELVPTGNKSSVDGWHTIQFSGGKDAPTSFALTLLWKKNSTRWVKGNTVPPPLLKLRTDFNRLTPKAERVISKLPSWCSLFGKSTSPYTLAFLTALPVNI >KGN51593 pep chromosome:ASM407v2:5:20669746:20669961:1 gene:Csa_5G582750 transcript:KGN51593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNATCCRHSNVVMTVASIQSNTQIIGQRYHSLKFAPMQTYLKNPVDQELQGSKMKWIGKSLVNQSDARSVK >KGN49799 pep chromosome:ASM407v2:5:3219161:3222855:-1 gene:Csa_5G135350 transcript:KGN49799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGKDEFEVENRTSDPMSISSAWQFAAPNLTNESVGFDSTGNPSVVSNGDLGVSSCPSTSMSNLFTPALLNHHTESQNSGFCTTFNEQNSIVTSNTTLVDGEDLNSLRSNGDTRTLGMDWNQQNPWMKGVFSGNVPGIFPPNLSQLPADSAFIERAARFSCFNNGVFGAPPAGPFGISDSLGIHSGGGFGRQEVISRNGVSKDVSLPMELEAEEKSPPKNEKDSEMSQDRAKQGCVGESGNDSDEAGFSGGQDEQCTMGGTMTEPSTEGLCFKKRKRGEQNVGLGQVKEAPQQINETAKNGAFNQQKGGQNPSSTTSKPAGKQGKQDSQPSDAPKEEYIHVRARRGQATNSHSLAERVRREKISERMRLLQDLVPGCSKVTGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPRLDFNIEELLTKEFIQSKAGPSLFGFPPDMPVPYLPQHSSHHGLIPPCLPTMGSSPDLLRRAINSQLTSLVGGFKEPVQLPNRWENELHNVVPMNFDVSAPSSGQDVDGSNPQCNTRAEL >KGN51004 pep chromosome:ASM407v2:5:14487535:14501751:1 gene:Csa_5G396020 transcript:KGN51004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEALRKSNVINFGRGNGYLNGAIPIRNATAVPEVDEFCQSLGGKKPIHSILIANNGMAAVKFIRSVRTWAYETFGTEKAILLVAMATPEDMRINAEHIRIADQFVEVPGGTNNNNYANVQLIVEMAEITHVDAVWPGWGHASENPELPDALNAKGIIFLGPPSISMAALGDKIGSSLIAQAAEVPTLPWSGSHVKIPPDSCLVTIPDDVYREACVYTTEEAIASCQVVGYPAMIKASWGGGGKGIRKVHNDDEVRALFKQVQGEVPGSPIFIMKVAAQSRHLEVQLLCDQYGNVAALHSRDCSVQRRHQKIIEEGPITVAPLETVKKLEQAARRLAKCVNYVGAATVEYLYSMETGEYYFLELNPRLQVEHPVTEWIAEINLPAAQVSVGMGIPLWQIPEIRRFYGVEHGGGYDAWRKTSVAATPFDFDQAESTRPKGHCVAVRVTSEDPDDGFKPTSGKVQELNFKSKPNVWAYFSVKSGGGIHEFSDSQFGHVFAFGESRALAIANMVLGLKEIQIRGEIRTNVDYTVDLLNALDYRENKIHTGWLDSRIAMRVRAERPPWYISVVGGALFKASTSSAAMVSDYIGYLEKGQIPPKHISLVHSQVSLNIEGSKYTIDMVRGGPGSYRLRMNGSEIEAEIHTLRDGGLLMQLDGNSHVIYAEEEAAGTRLLIDGRTCLLQNDHDPSKLVAETPCKLLRYLVSDDSHIDADAPYAEVEVMKMCMPLLSPASGVVHFEMSEGQAMQAGELIAKLDLDDPSAVRKAEPFHGSFPILGPPTAISGKVHQRCAANLNAARMILAGYEHNIEEVVQNLLNCLDSPELPFLQWQECMSVLATRLPKELKFELEAKYREFEGISSSQNVDFPAKLLRSILEAHLSSCPEKEKGAQERLLEPLVSVVKSYDGGRESHARVIVQSLFEEYLSVEELFSDNIQADVIERLRLQYKKDLLKVVDIVLSHQGIRSKNKLILQLMEQLVYPNPAAYRDKLIRFSALNHTNYSELALKASQLLEQTKLSELRSNIARSLSELEMFTEDGENMDTPKRKSAIDERMEALVSVPLAVEDALVGLFDHSDHTLQRRVVETYVRRLYQPYLVKGSVRMQWHRSGLIGSWEFLEEHIERKNGIDDQEYSQSVEKHSERKWGAMIILKSLQLLPTTLSAALKETTHNGNEATRDKSPEAMNFGNMVHIALVGINNQMSLLQDSGDEDQAQERINKLAKILKEQEIGSSLRSAGVAVISCIIQRDEGRAPMRHSFHWSAEKLHYEEEPLLRHLEPPLSIYLELDKLKGYGNIRYTPSRDRQWHLYTVQDKPGLIQRMFLRTLVRQPVSNEGLVAYPGLDVESRKPLSFTSRSILRSLMTAMEELELNSHNSAIKPDHAHMYLYILREQQIGDLVPYHKRAIFDTEEKEAAVETILGELAREIQSFVGVRMHKLGVCEWEVKLWLDSSGQANGAWRVVVTNVTGHTCTVHIYREVEDTNQHRVLYHSVTKQAPLHGVPVSAQHQPLGVLDLKRLSARRSNTTYCYDFPLAFETALEKSWESQFPNIGKPEEKVLLNVTELSFSDQKGSWGTPLIPVQRQPGQNDIGMIAWLMEMSTPEFPSGRQILVVANDVTFRAGSFGPREDAFFLAVTDLACSRKLPLIYLAANSGARIGVADEVKSCFRVGWSDESSPERGFQYVYLTPEDYARIKSSVIAHEVQMPNGEARWVIDTIVGKEDGLGVENLTGSGAIAGAYSRAYNETFTLTYVTGRTVGIGAYLARLGMRCIQRLDQPIILTGFSTLNKLLGREVYSSHMQLGGPKIMATNGVVHLTVSDDLEGISSILKWLSYVPSHMGGELPISKPLDPPDREVEYSPENSCDPRAAICGALDTSGKWMGGIFDKDSFIETLEGWARTVVTGRAKLGGIPVGIIAVETQTVMQVIPADPGQLDSHERVVPQAGQVWFPDSASKTAQALLDFNREELPLFILANWRGFSGGQRDLFEGILQAGSTIVENLRTYKQPVFVYIPMMGELRGGAWVVVDSRINSQHIEMYAETTARGNVLEPEGMIEIKFRTRELLECMGRLDQQLISLKAKLQEAKGNRIHNLTESLQQQIKAREKELLPVYVQIATRFAELHDTSLRMAEKGVIKKVINWSDSRSFFYKRLRRRISEESLIKTVREAAGEQLSHGAALDLIKEWFSNSGIETAGEDAWMDDATFFSWKDDPVKYEDKLKELRVQKVLLQLTNLGSSRSDLQALPQGLAALLSKVDQSSRVQLIDDLRKVLE >KGN49598 pep chromosome:ASM407v2:5:710881:711656:-1 gene:Csa_5G021310 transcript:KGN49598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNFHKTPILHSISLTNLFPILPSNPKPSLTNTPFSFPLIHKSPNFKTCLPRPGPNRCAARRRVRYDDDDEDEDEDYGHNHQIALLESYTQAATGEALIVHAMVDGDHVEVLVFKGFSSSLSYGTSPDPSRSVLPERAIIKFIDRIKGPFDPSNIQYIQKGITWNSFNFLN >KGN52607 pep chromosome:ASM407v2:5:27310592:27312943:-1 gene:Csa_5G647300 transcript:KGN52607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYDHLDPSKSYTVASGPGSASKPAPELKVYQFFVFCIPILFTFILLFLFYLLYLRRRRADWTSIRMRTSAAANNNNISTSEVGLKKEFREMLPIIVYNETFFVTDTLCSVCLGEYKTEDKLQKIPTCGHVFHMDCIDHWLANHNTCPLCRLSVLSPSSQPPHIQIDMGHDEPQCEEPPPPHSNQACQHTTPPV >KGN50172 pep chromosome:ASM407v2:5:5551711:5552685:1 gene:Csa_5G157310 transcript:KGN50172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSILSCIVCLIVTSILIHFILNRKKPNLPPGPRSLPIIGNLLDIGDRPHKYLADLAKIYGPIASLKLGQVNAIVVSSPETIRQVLETHDQVLSTRAIPDGARVFDHDKLGTLWVAPISPIWKNVRKLFKTRLFSQKSLEVSESIRQAKIKELLNSVRESAVKGEVVDVGAAAFRTALNIMSCSIWSMDLADTNSEMVKQFQSRFRGVMEAFGRTNVSDFFPVVKKFDIQGVRRRNSVHLRKIFDLIDEMIDGRLKMQESLGFTPKIDALYHLLNLDEEKNGEILMDRNQIGHLILKITFLK >KGN50891 pep chromosome:ASM407v2:5:12770661:12771615:-1 gene:Csa_5G315360 transcript:KGN50891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLIPISLSNLTNRIHFSYSPLTISFPSFNTTPNCSNNATNISRRLGLGFIALMASSVTAIPAEVANALDLKLIAPEQSLDEAESGIRRHAEALLQVRSLIESEAWKEAQKRLRSTSALLKQDLYTIIEYKPPAERPALRKLYSLLFNNVTRMDYAARDKDVGGVWESYKKMEMAISDILSRI >KGN50635 pep chromosome:ASM407v2:5:8774485:8777498:-1 gene:Csa_5G198740 transcript:KGN50635 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coronatine-insensitive 1 MEERDSRRVNMGMPDVILGCVMPYIQDPRDRNAISQVCHRWYELDALTRNHVTIALCYTTTPERLRQRFIHLESLKLKGKPRAAMFNLIPEDWGGYVTPWVMEIASSFNRLKSLHFRRMIVVDSDLELLASARGRVLVSLKLDKCSGFSTDGLFHIGRSCRNLKTLFLEESSIQEKDGQWLHELARNNTALETLNFYMTEITQVRFEDLELIARNCRSLISVKISDCEILNLVGFFRAAGALEEFCGGSFGFNDQPEKYAGIALPQNLRNLGLTYMGRSEMPIVFPFANLLKKLDLLYALLHTEDHCTLIQRCPNLEILETRNVIGDRGLEVLARHCKKLKRLRIERGADEQGLEDEEGLVSQRGLIALAQGCLELEYLAVYVSDITNASLECIGTYSKNLSDFRLVLLDREVRITDLPLDNGVQALLRGCSEKLKRFALYLRPGGLTDVGLGYIGRYSPNVRWMLLGYVGESDAGLVEFSRGCPSLQKLEVRGCCFSEQALAESVLNLTSLRYLWVQGYRGSSSGRDLLAMARRYWNIELIPSRRVVVPDQVGEMVVAEHPAHILAYYSLAGPRTDFPESVVPLDS >KGN51354 pep chromosome:ASM407v2:5:18391711:18396061:-1 gene:Csa_5G523000 transcript:KGN51354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSWITDGRTIARKVRHINQPPSQVIKDCDAKRECPNCNFMIDNTDVLSEWPGLPAGVKFDPTDDEIMDHLAAKCAVGNLKPHVLIDEFIPTLETDQGICYTHPENLPGVSKDGNDVHFFHKTNNAYATGQRKRRKIESEQNSSLQHFRWHKTGKTKAMISNGVHKGWKKIFSLYRSSKGGSKPEKSNWVIHQYHLGTEEDEKEGEYVLSKICYQQPKQSIKNNDNMLIEYLDAMLHQTSPRTPKSNPPVPPRSGKSLVSNLVADNSLPQSLAKEEELTPEASRVSYANISSENDGGCHGWLAGESQDIADGELHYLEDNLLCNEVLDSSALISNNQVNQIPFPLSGSNAYHEIANDNVPCGIADLENLDLDTPPDFHLADLQFGSQESIFDWIDRI >KGN50249 pep chromosome:ASM407v2:5:6089997:6093701:-1 gene:Csa_5G161980 transcript:KGN50249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGELLSIEPLELKFPFELKKQISCSLQLSNKTDSYVAFKVKTTNPKKYCVRPNTGIVSPRSTCDVIVTMQAQKEAPSDMQCKDKFLLQSVKTVDGATAKDINAEMFNKEAGHVVEEFKLKVVYVSPPQPPSPVPEGSEEGSSPRGSVSENGNFNDADLNTATRGYVERSEPQDKSAEARALIAKLTEEKNNAMQQYNKLRQELELLKHDSRKNASGVSFLFVIFVGLIGIVLGYAFKKN >KGN50941 pep chromosome:ASM407v2:5:13553431:13559326:1 gene:Csa_5G352610 transcript:KGN50941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATLSRKLVRDACCKGAISRLFQGARHYSSAPETIRKIPHTSKKGRLLTGATIGLLIAGGAYVSTADEATFCGWLFSATKLVNPFFALLDPEVAHRLGVSAAARGWVPREKRPDPPILGLEVWGRKFSNPIGLAAGFDKHAEAVDGLLGLGFGFMEVGSVTPAPQDGNPKPRVFRLRGEGAIINRYGFHSEGIVVVAKRLGAQHGKRKLDETSSTTPSSSDDVKQGGKAGPGILGVNLGKNKNSEDAAADYVQGVHTLSQYADYLVFFLLLSYII >KGN50055 pep chromosome:ASM407v2:5:4769231:4772507:1 gene:Csa_5G152200 transcript:KGN50055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSGRLVIWSTWILYNVFFTTSDRAADLDRTFNYSDALGKAVLFFEGQRSGKLPVTQRVKWRGNSALSDGSYENVNLVGGYYDAGDNVKFGWPMAFTLTLLSWTTVEYEKEIASVMQLEHLRSSVRWGTDFILRAHVSPTTLYTQVGDANGDHQCWERPEDMDTPRTLYKITPNSPGTEAAAEAAAALAAASILFNRVDANYSRRLLQHSKSLFQFADKFRGSYSASCPFYCSYSGYQDELLWAAAWLYKASGNMKYLRYVLSNQWWSQPTSEFSWDNKFVGAQILLTKEFYKGKKNLSKFKNDVETFICKLMPDDGGSSKISRTPGGLLFLRDNSNLQYTSSSSMVLFMYSRLLNQAHIHGIHCGSKYFSSSQIKTFAKSQVDYILGKNPLKMSYMVGFGNKYPSQLHHRASSIPSTKVLSTKVGCNDGRSSYFYSNGPNPNTHIGSIVGGPYLNDEFSDLRSDYSHSEPTTYMNAAFVGSVAALVV >KGN49979 pep chromosome:ASM407v2:5:4243389:4246867:1 gene:Csa_5G148500 transcript:KGN49979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFSKSYVVMVIVFFINFFHGGFCFTTFVFGDSLVDAGNNDYIFTLSKADSPPYGIDFKPSGGQPTGRFTNGRTISDIIGEYLGAKSFPPPFLAPISTQSDTIIYKGINYASGASGILDETGLLFLGRISLREQVKNFEESRNAMVKVKGENETMEVLKNSIFSLTVGSNDIINYIQPSIPFLQTNKPSPSDYLDHMISNLTVHLKRLHALGARKFVVVGVGPLGCIPFVRAIHFVTNEKCLEEVNQLIETYNFRLNGAVDQLNLEFGLSTMFIYANSYAVFTKIIVNYRQYGFVNAKQPCCVGYFPPFICYKDQNQSSSSFLCEDRSKYVFWDAYHPTEAANIIIAKELLDGDETITSPINIRQLYAYRL >KGN50880 pep chromosome:ASM407v2:5:12626950:12627305:-1 gene:Csa_5G310820 transcript:KGN50880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGASLVRVSSLKLLSSVSHGDDFSSSSKSSSFMGAPLNFLPSYRSRKQKPFHENLVIVSKKISGLEEAMRIRRYCRLTGFKAL >KGN49771 pep chromosome:ASM407v2:5:3018491:3025744:-1 gene:Csa_5G115170 transcript:KGN49771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDVHSSVSQEPISFPHALHDSPTNPDGLIHPLPTHSVSQPPFIHDSDNPKLTPKLSIPHSLHENQTKLSDIESPSSSSSSSSSSFDTEKSEDSISTHHNGHIPVRPHHQLEPNNGEVRVLEPHSQLPKPEAPPGISLSSADEPPHKRSQSLSENISVDMPSIGKFIRERSNSLSAAIFKRISSLKDEYKDDEDDNEKSQTGVTEINLSGLKVVVKLKSDEESDRELKGRISFFSRSNCRDCKAVRSFFNEKGLRFVEINVDVFPQREKELMKRTGSTLVPQIFFNDKLFGGLVALNSLRNSGEFDRRIKDMLSHKCPDDAPAPPVYGFDDPDEGSPDELLEIVKFLRQRLPIQDRLIKMKIVKNCFSGSEMVEALIHRLDCGRRKAVEIGKQMTQKLFIHHVFGENEFEDGNHFYRFLEHGPFISRCFNFRGSVNDNEPKPAAIVAQKLTKIMSAILESHASQDLQHLDYLTISNTEEFRRYINVIEDLHRVNLLELSHNEKLAFFLNLYNAMVIHGLIRFGRLEGVIDRKSFFSDFQYLVGGHPYSLIAIKNGILRGNRRPPYSFVKPFSSSDKRLELAYGEVNPLIHFGLCNGTKSSPRVRFYTPQGVEAELRCAAREFFQSGGVEVDLDKRTVYLTGIIKWFSVDFGHEKEILKWIMKFLDANKAGFLTHLLGDGGPVNIAYQNYNWTMNSS >KGN51097 pep chromosome:ASM407v2:5:15890546:15893028:1 gene:Csa_5G440110 transcript:KGN51097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPQKPHKQYFYYGHRHRNPHQHRPTVYGGFFTNRRSLPPPSPHQPTSPKPQPFLLHNWDPDLPSQKRSNLPSSTSDAFFSTSLRLSPIARFIVDVFRKNQNQWGPPVISELNKLRRVTPDLVAEVLKASHRRDSNSILASKFFYWAGKQKGFHHTFASYNAFAYCLNRHNRFRAADQIPELMDSQGKPPSEKQFEILIRMHCDANRGLRVYYVYEKMKKFGVVPRVFLYNRILDALVKTDHLDLALTVYRDFQENGLVEESVTFMILIKGLCKAGRVDEMLELLARMRANLCKPDVFAYTAMVKVLASKDNLEGCLRVWDEMRADRVEPDVMAYGTLIIGLCKVGRAQKGYELFQEMKGKRILIDRAIYGTLIEAFVQDEKVGLACDLFKDLVDSGYRADLGIYHSLIKGLCNVNQVDKAYKLFQLTIREDLKPDFETVKPIMMMYVETGRMDDFWKLVSLLQKLEFSVDDVLSKFLSFMVEEEDKISVALDVFHGMIDKGYGSVALYNVMVGALHRYGQANKALEIYNDMKNSNIEPNSTTYSIALLCFVEIGKIQEACASHNKIVELGSVPSIAAYCSLSEGLFKICEINAVMMLVRDCLANIESGPQEFKYALTIVHACKSGKAEMVIDVLKEMVLQDCVPSSVAYSAIISGMSKYGTLDEAKKVFLHLRERKQLTEANCIVCEELLIEHMKKKTADLVRCGLKFFNLESRLKAKGCNLLST >KGN52670 pep chromosome:ASM407v2:5:27655577:27661852:1 gene:Csa_5G649880 transcript:KGN52670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREKLWVYRKELKKVSFIAAPLAASTVLQYGMQIVGVMMVGHLGDELLLSGLSIASSFINVTGCSVLLGMAGALETLCGQAYGAEQYHKLGIYTYSCIISLLLVCLPISILWFFTDKLLILTGQDPSISSVARSYSIFLIPNLFAYAILQSLMRYLLTQSLILPLLFFSFVTLSLHIPICWLLVVHFNFKVIGAALALGISYWLNALFLCLYIFFSPSCNKTRAPFSSEAISSIPKFIRLALPSALMVCLEWWSYEVILLLSGLLPNPKVEASVLSICFSITYLHYFIPYGLGATVSTRVSNELGAGNPEGAKVAVKVVGVLGIIESIVVSLTLFGCHKFLGYAFTSDTEIANNIASMWPLICLSILIDSFLGVLSGVARGSGWQNLGAYVNLGSYYIVGIPLAAVLAFVVHLRVKGLWIGLVSGATLQTFLFALITTFTNWHQQALKARERVLEGGNT >KGN51154 pep chromosome:ASM407v2:5:16486154:16490769:-1 gene:Csa_5G468470 transcript:KGN51154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLTKPLRCIVKLGGAAITCKNELETIHEENLATVSLHLRKTMVTGSSSESTIGMDWSKQPGKSGIECTADDFREHEVGLASPFIVVHGAGSFGHFQASKSGVHKGGLDRSLVKAGFVATRISVTSLNLQIVRALARGIFQSDSICI >KGN52557 pep chromosome:ASM407v2:5:26933752:26939049:1 gene:Csa_5G643360 transcript:KGN52557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLHSNEVPSGKNYTLYKTLTIVFSLVILTVIPLLYNLINYYSVFILNNSPKSPSSSFSSSSSGNGKNGVFEKLSNYGNEEEEECDMFVGEWIPNPNAPYYSSSSCWAIHEHQNCMKYGRPDGGFMQWRWKPDGCELPIFNPSQFLEIMRDKSLAFVGDSVGRNQMQSLICLLSRVEYPVDESYTADENFKRWKYKTYNFTLASFWTPHLIKANMGDANGPTKTGLFNLYLDEHDQEWTTQIDEFDYIIISAGHWFFRPMVFHENHRIVGCHYCLLPNVTDLGMYYGYRKAFRTALKAINSLKNFKGTTILRTFAPSHFENGLWNEGGNCLRTQPFRSNETQLEGHNLELYMIQMEEYRVAEKEGRRKGKKFRLLDTTQAMLLRPDGHPSRYGHLASENVTLYNDCVHWCLPGPIDAWSDFLLQMLKMEGIRSARDRLQFG >KGN50535 pep chromosome:ASM407v2:5:7959316:7965935:1 gene:Csa_5G181420 transcript:KGN50535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFQHKSFWIPRDAGCLTDGEMNYDSSSRIETKRGHQWFMDGSAPELFSSKKQAIEAVNSRPVPGVPHMNVSPWENSSFQSVPGHFTDRLFGSEPIRTVNLVDRGISVGNANMDMGRKEFENHFTNNPSVGLSMSQSIEDPSSCLNFGGIRKVKVNQVRDPDVGMPASLGHAYTRGDNCTISMGTGFNKNHENTISLGQTYNSRDENAISVGPAYHKTDDNFISMGHAFSKGDGSFITIGHNYSKGDNSILSMNQPFDKGDDSFISMGQSYEKAEGNIISFASYNKGQENFISMGPAYSKAGDTFISMASSFNKGNDDNLSMAPTYDKVNSDIVHVGPKFDKADSGAVSMAHNYHKGESNTISFGGFDDENGTDNPSGGIISSYDLLMANQASAQASEVSTLRDSVDPNVEVNINGAIKVDGKIDTNSKSKEPRMSKKVPPNSFPSNVKSLLSTGMLDGVPVKYVSWSREKNLKGIIKGTGYLCSCENCNHSKALNAYEFERHAGCKTKHPNNHIYFENGKTIYAVVQELKNTPQEMLFDAIQNVTGSPINQKNFRIWKASYQAATLELQRIYGKDEVIMPS >KGN51121 pep chromosome:ASM407v2:5:16140900:16155355:1 gene:Csa_5G457230 transcript:KGN51121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTQQQNSDSNGVSGACLFDLLFPDSEMQGWDWSRMPSDSETQRWNWKKDQRLSGIISLILNIFITDYALEDYECLIFPTPWDWLRSVDGFGKPGTLGPQKRLEDLLQQSGNMFCADCGSPDPKWVSLNLGVFICIKCSGVHRSLGVHVSKVFSVKLDNWTDEQVDTFMSMGGNTDINRKFEAGIIDLNRKPKPDSCIEERFDFIRRKYELLQFYNASSSQNSSDNNSLSKRSSSCSQSSNSSSKNGSHDKRHYEKQATRHRVGHAFRNSRGRRDGDHNHRNFERSSPPVSRQASAGMVEFVGLVKVNVVKGRNLAVRDVVTSDPYVILSLGHQSVRTRVIKNNLNPVWNESLMLSIPEHIPPLKVLVYDKDTFTTDDFMGEAEIDIQPLVSATKAYEKSTIDESMQLGKWVASNDNTLVKDGIINLVDGKVRQDISLRLQNVERGVLEIELECIPLTQ >KGN51086 pep chromosome:ASM407v2:5:15690261:15706356:1 gene:Csa_5G431540 transcript:KGN51086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHVKHEGKRNGPAKHEAHSDSFAAKRMRKLTQRRAVDYTSTVVRYMQLRMWQRDSKDRTVLQSTPAAAIDMLPPAAYSDNPSTSFAAKFVHTSINKNRCSINRVLWTPTGRRLITGSQSGEFTLWNGQSFNFEMILQAHDQAIRSMVWSHNDNWMVSGDDGGSIKYWQNNMNNVKANKSAHKESVRDLSFCRTDLKFCSCSDDTTVKVWDFARCQEERSLSGHGWDVKSVDWHPTKSLLVSGGKDNLVKLWDAKTGKELCSFHGHKNTVLCVKWNQNGNWVLTASKDQIIKLYDIRAMKELESFRGHRKDVTALAWHPFHEEYFVSGSFDGSIFHWLVGHETPQIEITNAHDNSVWDLAWHPIGYLLCSGSNDHTTKFWCRNRPGDTARDKFSTGYSEQNPAGSARVTGNFVVPDGPTTPGPFPPGLARNEGTIPGIGVAMPLSDTAAMGEHKQPHQISLPVGAPPLPPGPHPSLLAANQQHSFQQNPQQFMQQQQQQQQQQQQQSQHHQTQQMAPVPMQPQNLPQLQPPSHFPLLPHPNLPRPPQMPALNMPSQPGSLPSSGPASGPLPMPGPMGMQGSMNQMVPPMPQNHYMGMNPMHSGPMPTSGAPPSVQGFQNNLPNMQGPSNASGAPMYPQGGGFNRGQAGQMPMTPGFNPYQFGGQPGMPPPQPPGPPPHTQTPQ >KGN52624 pep chromosome:ASM407v2:5:27390555:27394386:-1 gene:Csa_5G647460 transcript:KGN52624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKPVGALLHDRRAVQVPISGRNHLYKVSISLVFILWGLVFLFSLWFSHGVGCQEESILLPDGVSTTNESKLENNKDSDVLREPPNGESHCTIHLNNSCSINASTPGSDNEVLSSEESSSHIQATTRLPEDGSSSTRVKPESKPPKGDISSDTVLLGLEEFKSRAFVSQGKSETGQAGNTIHRLEPGGAEYNYASASKGAKVLAFNKEAKGASNILGKDKDKYLRNPCSAEEKFVVIELSEETLVVTIEIANFEHHSSNLKEFEVHGSLVYPTDVWFKLGNFTAPNAKHAHRFVLKDPKWVRYLKLNFLTHYGSEFYCTLSTVEVYGMDAVEMMLEDLISAQHKPSISDEATHDKRVIPSQPGPIDEVSHRRELQSVANEEGDDGVDIELSKSNTPEPVEESHHQQPGRMPGDTVLKILTQKVRSLDLSLSVLERYLEDLTSKYGNIFKEFDKDIGNNNLLIEKTQADIRNILKIQDTTDKDLRDLISWKSMVSLQLDGLQRHNSILRSEIERVQKNQISLENKGIVVFLVCLIFSSLAIFRLFLHIVLRVYERTNNSRKFCCISPSWYLLLLSCCIILFIQSL >KGN50355 pep chromosome:ASM407v2:5:6681257:6682648:-1 gene:Csa_5G168920 transcript:KGN50355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPKSQFANLWEYDGKLVYDEIIQATENFDDKYCIGRGGSGTVYKAEMSSGEVFAVKKLNLWDSDMGMENLKSFKREVATLTEIRHRNIVKLYGFCSRGEHTFLVYDFIERGSLWDVLRSEEKAIEVDWVKRVEIVKGVAEALYYLHHDCVPAIVHCDVTTKNVLLDVDFEAHVADFGTARFLKFDALHSTDVAGTHGYMAPELAYTSKVTEKCDIYSFGVVSLEVLMGRHPGEALLPLQSSAQKAIEMEASLDPRLACPQTGKLLSELSSLVSIAISCVQAEPHLRPTMHNVCRLMGLK >KGN52668 pep chromosome:ASM407v2:5:27632245:27640305:1 gene:Csa_5G649860 transcript:KGN52668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSSSHLGSLPEDVSEDQGQSQGNQKSVFASKPQRPPTQNVSEKYLPLDWLGYFDQEDDISIPNSNEVFHVYMAGKEGPVVFCLHGGGYSGLSFALSAGIIKEKARVVAMDFRGHGKSSSENDLDLSIETMCNDVLAVIKTMYGDSPPAIVLVGHSMGGSVAVHVAAKRALPSLAGLVVVDVVEGTAMASLIHMQKILSNRMQHFPSVEKAIEWSVKGGSLRNVDSARVSIPSTLTYDDSKKCYTYRAKLEETEQYWKSWYEGLSEKFLSCPVPKLLLLAGTDRLDKTLTIGQMQGKFQMVVVRHTGHAIQEDTPDEFSNLILNFISRNRIGPNGVEIPGLRKPQH >KGN51763 pep chromosome:ASM407v2:5:21789662:21790501:1 gene:Csa_5G598710 transcript:KGN51763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTRFKKNRKKRGHVSAGHGRIGKHRKHPGGRGNAGGMHHHRILFDKYHPGYFGKVGMRYFHKLRNKFYCPIVNVDKIWSLIPQEVKDKASKDNVPLVDVTQFGYFKVLGKGVLPENQPIVVKAKLVSKIAEKKIKEAGGAVVLTA >KGN52073 pep chromosome:ASM407v2:5:23547685:23548618:-1 gene:Csa_5G608610 transcript:KGN52073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQNSKKRDRDDSAESETGSPEVKRLRDDLLGFFDDSDPEPPTQDLDSLMRSFEEEIATASSSPVPVVDLTADSADSQPELGYLLEASDDELGLPPSNSQQDFARISTDSSDIGEMWRFVDQIPNYDAFELEGGDVYSGSDTAEYVAFDGLLEYSNLCFDASDNSDFLWRQESLPAQ >KGN51788 pep chromosome:ASM407v2:5:21947954:21949542:-1 gene:Csa_5G600900 transcript:KGN51788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTEDTADDQDGAVVHGDILESILSHVHLIDLASSSCVSRGWERAVSSSLSHFNSPKPWLLLHFLSSASTAAYDPRSAVWMDINCRHPITPSTAPLRSSHSTLLYALTPSQFSFSIDPLHLTWHHVSPPLTWRTDPIVALVANRPIVVAGTCGFVDEPPAVEIYDLESNTWDTCEDLPSIFAEYATAVWYSVAVDDHKLHIMHKSSAAIFSFDPLKKSWTGPYEVKPDPDLFSSILGFAGGGMVVVGLVGSPEDVKSVKIYGVTAEFSEWGEIGEMPKSLVEKLQGESAEMASIGMSWAGDFLFIHHGSDPVEMIQCEVVGGGCWWGIVPNTVVDDRIRLRGLVFTSSNVGIEELKKALRSERPRISIKIKDRGRD >KGN50642 pep chromosome:ASM407v2:5:8857339:8858838:1 gene:Csa_5G199300 transcript:KGN50642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTWKRHDLLKKSIDHYTACIGVESIHIVWSEPSPPPDSLVSYLQRTVKANSRDGRETELRFEMNEEDSSLNNRFKEIKGLKTEAIFSVDDDVIFACSTLEFAFSVWQTAPHTMVGFVPRMHWIDRSKGRYRYGGWWSVWWSGTYSMVLSKAAFFHSKYLDFYTNHMPSSIRHYITNNRNCEDIAMSFVVANLSGSPPVWVQGKIYEVGSSGISSLGGHSERRSQCLNIFVEEYGGIMPLLPSTLKAVDARRLWSW >KGN50037 pep chromosome:ASM407v2:5:4619851:4621117:-1 gene:Csa_5G151530 transcript:KGN50037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDSVFSFPIKYTEHLNHVKLTSMPDIRPRVVRISVTDGDATDSSSDDESEMFGRHRVKKFVNEISIEPSCSGEGNRIWGSNRSARTGQRRSTGKCGVPSRNRRSAKVSTGKKFRGVRQRPWGKWAAEIRDPLRRVRLWLGTYDTAEEAAMVYDNAAIQLRGPDALTNFTTQQSKSFEEKCSGYNSGEESNNNICSPTSVLRCPSPPIEEAQSQIPSELDNSCVSENFSSEFSDFSSCSDTFIPDDIFAFETSIPTLFDEMGLQNQTNFLSNDSFFNSVFHSPIEEIGFRFDYPSPPPDDFFQDFSDVFGSDPLVAL >KGN51677 pep chromosome:ASM407v2:5:21221571:21225356:-1 gene:Csa_5G589940 transcript:KGN51677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANYHSDKNILKSTALLQYILKANAYPREHEQLKELRESTFNKFDKSGSVMNVPVDEGLFLSMLLKLMNAKKTIEVGVYTGYSLLTTALALPADGRITAIDMDRKPFEFGLPFIRKAGVDHKINFVESKALVALNNLLNDGKKDFDFAFVDAMKSEYIEYHELLLKLVKVGGVIAYDNTLWFGSVALSDNEVDEHLRESKVHIQRFNAFLVKDSRVEIALLSIGDGVTLCRRIK >KGN52053 pep chromosome:ASM407v2:5:23440148:23443510:-1 gene:Csa_5G608410 transcript:KGN52053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLQFSDPAKLKTKMCVFEDKFIARDSGTLEQLKEITSRRRVIEESINETSSITDAIAREMSGGLSSHTQQVLHKLQQYLPLLENFVSQVDMIDYNLRIVQWTSDLKIRWSSALSSSSLFHLRGPKFFQIDNLRFEVGMTLSLYGAFLRQRALEIISEDQVQSATFFREASGVYQYLAEEILPTIQHCLPSERPPEVIPSTSAAMSLVCLAEAQAVTSMRAEEKGTIPSLLAKLHYGIVELLNESANYLHISFGECKDMSSNFLEFLSAFRALHELMSRKNLAKELMSSGQVGVAIGVLRYALTDVKKEMPRENSWKLVFGIEIDIVAETLRKFERENEIVWHKKIALRDELPTPIGTRIVKSIPYNPKKWERELAFKI >KGN52288 pep chromosome:ASM407v2:5:24863348:24866050:1 gene:Csa_5G623520 transcript:KGN52288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPFLAGLAVAAAALAGRYGIRAWQAFKTRPPQARSRKFYEGGFSPTMTRREAALILGIRENATTDKIKEAHRRVMIANHPDAGGSHYLASKINEAKDVLLGKSKSSGSPF >KGN50703 pep chromosome:ASM407v2:5:9741431:9744625:-1 gene:Csa_5G218200 transcript:KGN50703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKTQTPFDFNSFSRYPFGDFGVFFTLCLDVLCFSPKKKEKWKNLRQNPNVFVLLLLLLNLVPVLSQDWDGVVVTQADFQSLQAFKQELDDPKGFLKSWNDSGFGACSGGWAGIKCAKGQVIVIQLPWKGLGGRITEKIGQLQALRKLSLHDNSIGGSIPSSLGLLPNLRGVQLFNNRLSGSIPASLGLCPVLQTLHISNNLLTGTIPPTLANSTKLYWLNLSLNSLSGPIPTTLTRSVSLTFLDLQHNNLSGSIPDSWGGDEQNRVFQLKSLTLDGNLLSGTIPTSLSKLSELQVISLSHNRLNGGIPEEISRLSLLKTLDVSNNFLNGSMPQSFDRLRNLSILNLSRNRFNGQIPETLGNVSTLKQLDLSQNNLSGEIPASLADLQGLQSLNVSYNNLSGSVPRALAEKFNASSFVGNLQLCGFSGSILCPSPAPSQEAPAPPPESSTTRHRKLSTKDIILIAAGALLLVLVIVFFILLCCLIRKRAASKGKDGGEAGAAGAARAEKGVPPTSSEVEAAGGGDAGGKLVHFDGQTVFTADDLLCATAEIMGKSTYGTVYKATLEDGNQVAVKRLREKITKSQKEFEAEVNILGKIRHPNLLALRAYYLGPKGEKLLVFDYMPNGSLATFLHARGPDTSIDWPTRMKIAQGMTRGLCHLHTHENSIHGNLTSSNILLDEYINAKIADFGLSRLMTAAASSNVIATAGALGYRAPELSKLKKANTKTDIYSLGVIILELLTGKSPGEAMNGVDLPQWVASIVKEEWTNEVFDLELMRDASTIGDELLNTLKLALHCVDPSPSARPEVQQVLQQLEEIRPETAPSSGDDGIGIGGGGGGAPSTSE >KGN51909 pep chromosome:ASM407v2:5:22668266:22669666:-1 gene:Csa_5G605030 transcript:KGN51909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVSLPPPVCDTNLSQRLSFENNNNNNNYHLREASFSSYLNHAEKEFVRKLAESTRDHHRSNSTKTQEDDGDIEVFGAEKYFNGAIFETTPKPLKFRPKKQQQKMMNILHTNHPKTPSLRSESSWNSQNPLLQGSTVVGTNSNSSNPTKKKKNSSQLAKDFLYNCYCWDNKSVESMNHNAKGKSKPKPKPKQKQQQTKTGINFTFQSSIPAEAAKKMQIQVEEQQGRESIEVFGSSLMGKPSNNLDALNLNNFEKKLSTMTWNDIVPRVKDSSNSNISPTDNSNLIYNDDVESDSSSDLFEIESITKNPNSFLTRQGSDSTDCCVTPTTCYAPSEASIEWSVVTTSAADFSVVSDYDERRLSINSPVRNVTPAAAASYIQRRRSNLLMGCKNQKAVMVAGDKYSSATEVHRRSESFGRMTRLETQRLATNSLPRLYSPQISSILYV >KGN52562 pep chromosome:ASM407v2:5:26958968:26965470:1 gene:Csa_5G643900 transcript:KGN52562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSPSISPPENPSDSSFFSPPSPSPPAESATSPSSPTSSVPNQTGEPPSSPSSSSAPPPQSPPAVPDPSPTLSPPPVTASPAPPTSSPPSPPTGSTPSPPQSSPSPPPPSTPSSPSPPSTSTSPPPPSTTTSPPPPSTTTSPPPPSTTSPSPPPPEGSTPSPPPPEASPSPPELSPPPPPAAEGGSPPPPSNPRPPSSTPSPPPPETISPPPSPPTNVPTPPSSTGEPPKVSPPTHKVSPPPDSQSPPSDNSPSPRPTVPSPPPSVPSSSAPPPVGDPGSPTNSSSGSPVTPPVSVTPEQPIPPINGTNTTANSSATGKGGFSTGTSVAVGSVVGVLFVSLVIMVMWFVQKRKRRKKNIPYTMASPFSSQNSDSLFLRPHSSVPVLGSRTDSEFKYSPSEGGGVGNSRSFAYDDLHQATDGFSSNNLLGEGGFGCVYKGTLADGRDVAVKQLKVGGGQGEREFRAEVEIISRVHHRHLVSLVGYCISDYQRLLVYDYVPNNTLHYHLHGHPRIIHRDIKSSNILLDINFESQVADFGLAKLALDSHTHVTTRVMGTFGYMAPEYATSGKLTDKSDVFSFGVVLLELITGRKPVDSSQPLGDESLVEWARPLLAQAIEEENFDELVDPRLDNNYIDREMFRMIEAAAACVRHSAVKRPRMSQVVRALDSLDEMSDLANGVKPGQSGVFDSAEHSAQIRMFQRMAFGSQDYSYGYSDRDRSRSYSQSQSSWSRESRDQSPSAPMNRSRQWNI >KGN50492 pep chromosome:ASM407v2:5:7659443:7667967:1 gene:Csa_5G177120 transcript:KGN50492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQGGNTETFVPAQPSSLENQHIGDGNQSVAASTYLPLASAPEAITWANHKVDGSSNESGLLSNSTYQYNQQVLPPARNVQDGLNVSSVACSSSSFVTSNAPQDYNAYAQYSNSTDPYGYANAGYQGYYNNYQQQPNHSYSQPVGAYQNTGAPYQPLSSYQNTGFYAGSTSYSTTYYNPGDYQTAGGYPTSSYSNQTTSWNGGNYGNYVPNQYAQYTPDSSGAYSSTSTNESSLQYQQQCKQWADYYSQTEVSCAPGTEKLSTPSIANAGYPAHGSTNYPAPHSQPPPPSYTPSWRPESGSSELVSAQPGAVSSGNHDGYWKHGAPNSQVHLTNATQPHFEKPLDLKNSYDSFQDQQKSAGPQGPNLQYPAHLAPQSYQLPSQSVSPVEARRTKLQIPTNPRIASNLSILKTSKDSSTADAPVQPAYVSVSLPKPNEKELSNDTESVLKPGMFPKSLRGYVERAMARCKDEKLMTSCQSVLKEMITKATADGTLYTKDWDVEPLFPLPSADAVNTDNLQVPTPISSLSKSKRSPSRRSKSRWEPLPVEKPAEAPPPHSNGAAAKYGGWANVSEREKKTLSGNSETKDASNSRFPLWDQRTVGKISQGPSKKQRVADGSPPDNDGPSSDSDKEQSLTAYYSGAMALANSPEEKKKRENRSKRFDKGHGHRGENNHFKSKNAGIGSLYTRRASALVIGKNLENGGGRAVEDIDWDALTIKGTCQEIEKRYLRLTSAPDPSSVRPEEVLEKALNMVKTSQKNYLYKCDQLKSIRQDLTVQRIRNQLTAKVYETHGRLALEVGDLPEYNQCQSQLKTLYAEGIEGCHMEFAAYNLLCAILHSNNKRDLLSLMSRLSDQAKKDVAVNHALAVRAAVTSENFVKFFRLYKAAPNLNACLMDLYAEKMRYKAINCMSRSYRPSLPVPYIAQVLGFSTSSGDEVKDKDVDGLEECMEWLKAHGACLITDSNGEMQLDAKASSTTLYMPEPDDAVAHGDANLAVNDFFTRTSS >KGN52324 pep chromosome:ASM407v2:5:25086879:25090434:1 gene:Csa_5G623870 transcript:KGN52324 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartic proteinase nepenthesin-1 MNNTKSPFLLLLLLLHLFSISTAKSHIPSNCNPAADRSSTLQVFHIFSPCSPFRPSKPLSWADNVLQMQAKDQARLQFLSSLVARRSFVPIASARQLIQSPTFVVRAKIGTPAQTLLLALDTSNDAAWIPCSGCIGCPSTTVFSSDKSSSFRPLPCQSPQCNQVPNPSCSGSACGFNLTYGSSTVAADLVQDNLTLATDSVPSYTFGCIRKATGSSVPPQGLLGLGRGPLSLLGQSQSLYQSTFSYCLPSFKSVNFSGSLRLGPVAQPIRIKYTPLLRNPRRSSLYYVNLISIRVGRKIVDIPPSALAFNSATGAGTVIDSGTTFTRLVAPAYTAVRDEFRRRVGRNVTVSSLGGFDTCYTVPIISPTITFMFAGMNVTLPPDNFLIHSTAGSTTCLAMAAAPDNVNSVLNVIASMQQQNHRILFDIPNSRVGVARESCSS >KGN50072 pep chromosome:ASM407v2:5:4870321:4872046:1 gene:Csa_5G152870 transcript:KGN50072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATILFPKSVVLPIRCTTEGEQPKSINNNNNGYSLFGVRPPRPRRIILVRHGESEGNVDESAYTRIADPRIGLTEKGMKEAEECGRNIKEMIDGDGVEDWSVYFYVSPYKRTRQTLQHLATSFHRRRIAGMREEPRLREQDFGNFQDREKMRVEKAVRMLYGRFFYRFPNGESAADVYDRITGFRETLKSDIDVGRFQPPGQRNPNMNLVLVSHGLTLRVFLMRWYKWTVEQYERLNNLGNGKMIVMEKGFGGRYTLLLHHTEKELRQFGLTDEMLIDQEWQKHAKIGELNYDCAMMNSFFTHFDDECTVT >KGN51262 pep chromosome:ASM407v2:5:17638156:17641588:1 gene:Csa_5G505230 transcript:KGN51262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLQNSLFINSLPQKLHGRFVCCRAGVSFLVRAEQAHGSSINGHACSSEDRLGRRQLLSGSAFITWISLADMNSMSFAAETKKGFLSVSDKKDGYSFVYPFGWQEVVIDGQDKVFKDVIEPLENVSVNLIPTSKEDIRDFGPPQQVAETLIKKVLAPSNQKTKLIEASEHDVNGKAYYTFEFVAQAPNYTRHALSTIAVANGKFYTLTTGANERRWEKMKDKLRTVVDSFTISSV >KGN50283 pep chromosome:ASM407v2:5:6287524:6289837:1 gene:Csa_5G165290 transcript:KGN50283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTEISTIPQMIESLSELHKNLSTALGNHTAGDQIEEEHQTPKFEKLLNSIDHLKEALETTRELDKKLNDPIQSINTCLEEVINSVEAAQRIEGNFLDAISKDLKTLKFRIPSYHKFSVPARLIDRGSDTPGQSEFKLPNLHDDEVFDESPAFIEIQEIYNGFTDDLFKKCFLYFAVFPDNVVLKKRFLTHWWIGEGLLDSLDNGDETPEVLAGKILKEFAEKGLIVPVIEKEKKVKRRFRIPPLVRSAAIKLAKQKEFLDYDIGDNPTGKSSDCDRIFLVKGGGSHPPKAPTKDRNLEKTMEVIFNVSQPFPDSALEWLAKEGEVDMRTAKVVEWLRRLRNLKVLYLGRWQSAVDEQHIEVESLEFLKGLKKMKKLRLLSLQGISWINKLPKSIRTLSDLRVLDLKSCFNLEKIPHSIGSLKMLTHLDVSGCYMLNGMPKSISALTELRVLKGFVTGKSNLNDLKGLKKLRKLSINTSRQDFPNETDLCVLQGLGEHGKLRNLTISWGAEDVKQQSSSERNIIRQVSKKLSKQLSKTLTKQRSQFGYEIVELPKELEKLEMECLPKEELPPWLNPSKLTNLKRLYIRGGKLAGLGNETWNAEVVRLKYMADLKIDWRELQKILPNLSYFQRVKCPRVTFCPCDANGVWMKP >KGN49610 pep chromosome:ASM407v2:5:844865:847360:1 gene:Csa_5G023900 transcript:KGN49610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMRTVKVSNISKLTSERDIKEFFSFSGEILYVEMQRESENTQVAYVTYKDSQGADTAILLTGAKIGDLSVTITLVENYHLPPEAMSSILDKRQTVTGIAPNQAEDVVSTMLAKGFILGKDALNKAKAFDERLQLTSNASATVASIDRKMGITEKITAGTAVVNEKVREMDEMFQVREKTKSALAVAEQKATSAGTALMSNYYVLTGAAWFSNAVTAVTKAAEEVTQMTKVKVEKAEEEKKESIYRERTGIISNFAELHLDEPLPGEPAIVPVNSADR >KGN52199 pep chromosome:ASM407v2:5:24264550:24266689:1 gene:Csa_5G615220 transcript:KGN52199 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper chaperone MSQTTVLKVAMSCQGCVGAVKRVLGKLEGVETYDIDIDAQKVTVKGNVERDVVFQTVSKTGKKTAYWEEDASAAPAATPAPAEAEAKPAEPLAAI >KGN52486 pep chromosome:ASM407v2:5:26486234:26487531:-1 gene:Csa_5G637760 transcript:KGN52486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRRNVRYSPLTSDDNDIDSEGNQSDPRFYYTPKAFDKIPWKSIALAIFLLLLGSLLLFLSYFVLTGHMAGEKSQAYGLLALGFLTFLPGFYETRIAYYAWRGANGYRFASIPDY >KGN50748 pep chromosome:ASM407v2:5:10191577:10194259:-1 gene:Csa_5G223070 transcript:KGN50748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAPEFSHGRISPAELRRKITAKLLPGQDSAAHLRILLQSATATEQDKRALATKILTSITEAISILESAGEELSCPDHSLCSDLDSGESRRSRAVKDNPSRANKRRRSMNSRSVRTSRTTEDNYGWRKYGQKAIHNTTYPRSYYRCTHKFDQGCQATKQVQRMEGDDSEIMYNITYISDHTCRRPASPIDASAITTLSDSSNLISFSSIDCNGPFTEGTGYSLISWRPSDDDVVKIGETATTSGSTDDHEIDLWSDLKDFLELPNNGYDNDNEESILFLNRR >KGN50317 pep chromosome:ASM407v2:5:6476044:6477359:-1 gene:Csa_5G167090 transcript:KGN50317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSKLPRTSPATEQVLINDDLFFQILLRLPIRSLLRFKSVSKRWLSLISNPNFSHRRTISHHPNPTPSGIFFPRPTPKSPPFDFINFTTNPSRPPFESPHFADDKHGFLILQSCNGLFLCSTYNGNYSTRDFYIHNPTTNHHTKLPYLQVGAVFGLNLAFDPLRSSDYKVICVRYSDAYTDTFQIEMYSSVTGPWRPVQGVFSAPLSMRFDSGVYWNNAVHWISTSENSLYFDLREEKVHDLPMPGVPDGQEQRRVKYFGTCGGNLNLIEIYEAQEMELNVYQMQDDHCGWFVRYRVDLRGVSVVFPEMIPSEDDADLGLFPKFSVAAVVEGIEEASVVLEVDGKIVRVNVESGRFERLGEIEKGGCSSQLPLAVGFGRIDAFLYIESLASV >KGN50883 pep chromosome:ASM407v2:5:12655699:12656868:1 gene:Csa_5G312330 transcript:KGN50883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEITNREEEVAFDAKRKPTDSCFQPKEERSNKIQKLEVVEGEESCNYVNNNAENGDHKGSDEQVDNISSTVLEGKGDDGVDGASLDAGDEEEDYEDEDDSEDDEKSSAKVAEDRKGKGIMKDDKGKGKLIEEDDDDDEDSSDDGSQLDSEESDLSDDPLAEVDLDNILPSRTRRRTVHPGVYFATDLGNDDDDSSDSDA >KGN51112 pep chromosome:ASM407v2:5:16060015:16064845:-1 gene:Csa_5G453690 transcript:KGN51112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRNIRLRREYLYRKSLEGKERLLYEKKRKIREALEEGKPFPTELRNEEAALRKEIELEDELTAVPRTHIDDEYANASEVDPKILITTSRDPSAPLTQFAKELKIVFPNAQRMNRGGQVISEIIETCRAHEFTDVILVHEHRGVPDGLIISHLPFGPTAYFGLLNVVTRHEIRDKKTMGTMPEAYPHIILNNFTTKLGERTANILKHLFPVPKPDTKRVVTFANQSDYISFRLVD >KGN51643 pep chromosome:ASM407v2:5:21012357:21015848:1 gene:Csa_5G587140 transcript:KGN51643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSASNSSSSEEEITLTVEWSGKEYTIRVCGDDSVAELKRRICDLTNVLPKHQKLLYPEVGSELADDSLLLSQLQLKSSLKMTTIRTVEDDIIELSTIIDNLKLGKDEVVDIEEKDINKKKLIWLISKYKIELRNPCREGKKLLVLDINYTIYCRSCVGETPLQRMRPFLHEFLTAAYAEYDIMIWSEFSRGGVEKRMCSLGVFGNPNYKITAALDAFATVRYNTGRGIFHCKPLGLIWAQFPEFYSSRNTIMFDDHHDNYVMNPQNGLPIKGFSITRGNRDTDQELMKITQYLLAIAKLDDLSHLDHNNWEQHEVFEKKNHIVSSI >KGN50712 pep chromosome:ASM407v2:5:9812778:9815892:1 gene:Csa_5G218780 transcript:KGN50712 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter MSPAPEQVPLLPAAKPSMKTASVSGAVFNVSTSIIGAGIMSIPFALKVLGIIPALVLIVFVAFLTDISVELLLRFTHSGDSTTYAGVMKESFGPIGSIATQVCIMITNLGCLIMYQIIIGDVLSGNKEGGKVHLGVLQEWFGHHWWNTREFSILFTLVFILFPLVLFRRVDSLRFSSFISVVLAVVFIGISSVMAIMAIVEGKTKSTRLVPELDEETSFFDLFTAVPVLVTAFCFHFNVHPISSELHKPSNMTTAVRIALLLCAIFYFTIGIFGYLLFGDSLMSDILMNFDESGDTTGALLNDVVRLSYAVHLMLVFPLLNFPLRSNIIELFFPKKASSGTDQRRFLGITLALLVFSYLAAIAFPNIWYIFQFMGSTSAACLAFIFPGAIALRDVNGIATKKDKVVALGMVILAVGTSIVAISININNALENKN >KGN51094 pep chromosome:ASM407v2:5:15872165:15873815:-1 gene:Csa_5G440080 transcript:KGN51094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLHYPKYTKRDYEKMEEGKLDLLLKHVLYSIFSKNVYMLARFDFLHFSLNWCLPSFISLYCGWRKNTSFPCWDYNKISCVIGFWNNAEKLKTYDEMIIGEHSRELDLDANIVRTKENLPISVLLAKMKM >KGN50507 pep chromosome:ASM407v2:5:7785803:7791059:-1 gene:Csa_5G179230 transcript:KGN50507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLYSLTLQRATGIVSAINGNFSGGKTQEIVVARGKVLDLIRPDDSGKIQTLLSVEIFGAIRSLAQFRLTGSQKDYIVVGSDSGRIVILEYNKDKNVFDKIHQETFGKSGCRRIVPGQYLAIDPKGRAVMIGACEKQKLVYVLNRDTAARLTISSPLEAHKSHTIVYSICGIDCGFDNPIFAAIELDYSEADQDSTGVAASEAQKHLTFYELDLGLNHVSRKWSEPVDNGANMLVTVPGGGDGPSGVLVCAENFVIYKNQGHPDVRAVIPRRADLPAERGVLIVSAAMHKQKTMFFFLLQTEYGDIFKVTLEHNNDSVKELKIKYFDTIPVTASMCVLKSGFLFAASEFGNHSLYQFQAIGEDADVESSSATLMETEEGFQPVFFQPRRLKNLMRIDQVESLMPIMDMKIINLFEEETPQIFTLCGRGPRSSLRILRPGLAISEMAVSELPGVPSAVWTVKKNINDEFDAYIVVSFANATLVLSIGETVEEVSDSGFLDTTPSLAVSLIGDDSLMQVHPNGIRHIREDGRINEWRTPGKRTIVKVGSNRLQVVIALSGGELIYFEVDMTGQLMEVEKHEMSGDVACLDIAPVPEGRQRSRFLAVGSYDNTIRILSLDPDDCMQILSVQSVSAAPESLLFLEVLASVGGEDGADHPASLFLNAALHSGVLFRTVVDMVTGQLSDSRSRFLGLRAPKLFSVVLRGRRAILCLSSRPWLGYIHQGHFLLTPLSYETLEYASSFSSDQCAEGVVAVAGNFLRVFTIERLGETFNETVIPLRYTPRKFVLQPRRKLLVVIESDQGAFTAEEREAAKKECFEAAGAGENGNGTMDQMENGGDDEDKDDPLSDEHYGYPKAESEKWVSCIRVLDPRSATTTCLLELQDNEAAFSVCTVNFHDKEYGTLLAVGTAKGLQFFPKRSLVAGYIHIYRFLEDGKSLELLHKTQVEGVPLALAQFQGRLLAGLGSVLRLYDLGKRRLLRKCENKLFPNTIVSIQTYRDRIYVGDIQESFHYCKYRRDENQLYIFADDSVPRWLTASYHVDFDTMAGADKFGNIYFVRLPQDVSDEIEEDPTGGKIKWEQGKLNGAPNKVEEIIQFHIGDVVTSLQKASLIPGGGECILYGTVMGSLGALHAFTSRDDVDFFSHLEMHMRQEHPPLCGRDHMGYRSAYFPVKDVIDGDLCEQFPSLPLDMQRKIADELDRTPGEILKKLEEVRNKII >KGN50821 pep chromosome:ASM407v2:5:11524269:11526783:-1 gene:Csa_5G273430 transcript:KGN50821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERQVLMNNEGATLFRTCLNGLNALSGVGLLSIPFALSEGGWISLIALFILATICYYMGILLHRCMELKPHIKTYPDVGALAFGSPGRVIVAIFVYLESYLVAVEFLIMGGDGLERLFPNEGFRVGGVRIDGRKMYMMVTALVILPTTWPRSFGALAYISFGGILGSICLTFCVLWAAVVDGVGFKQKGAVLKFQGLPTTLSLFTFCYCAHVVFPSLRSSMRNKTHFSKVLLVSFMLSTINYSSMAILGYLMYGENIESQITLSLPQHKINTKIAIFTSLVNPLSKYGSIMYPIAHAIEDSSPLLATPVMSITIRTLLLVTTLIVAMSIPFFAYVMAFIGAFAGVATSIMIPCICYLKINQDVRKFGWKLMFISLIMLMGSCIGVVGTYTSIKEVIKRLQN >KGN52061 pep chromosome:ASM407v2:5:23482923:23483725:1 gene:Csa_5G608490 transcript:KGN52061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGACSPTVLIACWPWWLLNRCDNAHINDGAFQWGVAVGHYNSPIREYTPLHANCPLGRKRKGNIPPVPQLDRIPVHVIPRDIFSSSI >KGN52210 pep chromosome:ASM407v2:5:24368616:24372037:1 gene:Csa_5G615810 transcript:KGN52210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGVLCARPKPWILVSLSNFIHGSAVYHHHHHQSRLLVQSPIQFDRRQRHHSSACKLAGGGAASIWHAIMPSGAGSSSNLCRPAIHCHERKGEGSWNVAWDARPARWLHRPDSAWLLFGVCACIAPLDWVDASHEAVSLDQKKEVCESSGPEFNQNDESSADYRVTGVLADGRCLFRAIAHGACLRSGEEAPDDDRQRELADELRAKVVDELLKRRKETEWYIEGDFDAYVKRIQQPFVWGGEPELLMASHVLKTPISVFMRERSSDGLINIAKYGQEYQKGEESPINVLFHGYGHYDILETSSDKVSLKLSM >KGN52520 pep chromosome:ASM407v2:5:26672261:26675081:1 gene:Csa_5G640540 transcript:KGN52520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKILTPFFVFFFLLLLLLLLPPSTSQTSYYVPVDNIAVNCGTKAITDTGDRKWIGDVATNYSPSEPPNTVNKSTFKSIISNTISDEIYRTVRLSRSPFTYSSPVTAGPKFIRLYFYPEKYEEFNRSDAFFTVQAAQFTLLKNFSAALVADFINKSVIPREFCIHIAGEAPKLNITFTPSPNSYAFVNGIEVVSMPENLYYSPAEQGTTTPIIGNNIALELYHRKNLGGDDIFPSQDSGMYRNWDGTNLHITTKRVIPIHNDSVSINYTTSTPNFTATDSVYQSALILGVNRTLNSKQNLSFQLPVDVGFNYLVRLHFCQILMDQFQGKQKIFTVFINNQNITTVDIDSINTPLYRDYNARPMAVGNTSAILVDLHPLPSETFDVILNGYEVFKQSNGTNLAVPNPVVALAPEIKRGAKNSNATAIIAAVCSSVGFAILSSIVGFVVIWKQSKKMRKRRKKKKKTREDKLLPERRCRIFTFEEICEATDYFSKERQIGVGGFGAVYKGIFEDEDDLTVAIKRLNPESNQGEQEFVTEIELLSELRHFNLVSLIGYCLENKEMLLVYEYMPNGTFKDHLYDTSNSLLSWRKRLEICVGAARGLDYLHSGFDRPIIHRDVKTTNILLDENWVARVSDFGMSKLGQTNTAVSTAVKGTWGYLDPEYHRRLKVTEKSDVFSFGVILFEVLCGRKPLDPLAGEEKFKLTLWAKKCLEKGNAYEIIDPHLKGKISCDCLKQYLELATTCINDHSKHRPRMEVVEEKLRFILKLQEEADGDCPDTELSYPEEPFSPIRSSGGSLRTESYKSHIATMLSGSDFTVSSLMSEEMLSEQSSGSMAYSRKT >KGN49629 pep chromosome:ASM407v2:5:1095810:1100340:-1 gene:Csa_5G033470 transcript:KGN49629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKSKSFVQNLIKQFRFGSSRDRQGEDDLEEIAAKEQKLIQFDALVEATNNFHSTNKLGQGGFGPVYKGKLKDGRVIAVKKLSLYSKQGRKEFMTEAKLLARVQHRNVVNLLGYCVHGAEKLLVYEYVMNESLDKLLFKSSRRGELDWKRRYDIIFGVARGLQYLHVDSHNVIIHRDIKASNILLDDKWVPKIADFGMARLFPEDQTHVNTRVAGTKIHVKEPKHEPSVSAAYKLYKKGRSLEIMDPTLASSAVPDQVTMCIQIGLLCTQGDSHLRPTMPRVVLILSKRPGNLEEPTRPGMPGSRYRRTRRTSASSSIVGTSGTSSGVSDSYALTTNTTGTITATATATATANEVASSSSPISRRYDTRGKRPIEG >KGN50939 pep chromosome:ASM407v2:5:13518917:13526205:-1 gene:Csa_5G352590 transcript:KGN50939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPFDDIFSERVVTARAGVRFQPKTKPRPKKQTLAPQLSAKSQDIKGTILDAKSCPDDKGNTKSIKSSSQLPVTEEKRESEDGLLSGTARSDFIGCSLPTSVESDKVVDSTQFDLDCCGSLLPSGSTIEDGVTDAIDFTTSPSGPVGVKKLTDDNKNSELLTYSHPSASSAHEAMTVDQGGIGSIQSEDVHSIDGKIAGQNIDLFYELECLDDFHNQPQNEDDPSSLKQATISNEGGDLDKQRLEIEECGAVANVTMDTLSSVTTTPSERSACKYIPKPKMRTAGDACTQISQPEISNMLPPSPQVISCDTNGMNEASIGTHSDGVLNDSSINFDGYAPVNQDTETPVNVESFTFDSYGDILVDDFNSDDQDAMLREENGKNDEEEPSRQSNVSQQQKICPSVGEEIEHSKTSRKLRKKVSHQLDEPEDGVDVNRKFPNEPSSNSGMHGNGYNKNENPKGGQGRKTSTKSSKPSSENEKPTRKRKEANKAVPDLQAEKRPKKFSHSTRRNRRQVNKVLLETPEDDIDFQKISFRDLIIYHEHKEKLEKKVASTRKSETNQRTDTSAEEIYNDGEENLASEQGKGTDDDEMPDVVDMTSAYFNYQSFMDKTPRTKWSKSDTERFYEAVRQFGTDFCMIQQLFPGKTRRQIKLKFKSEERHHPFRLSDAITNRAKDHSQFLSLIEQLKEAAKAKHESNQDELTENTGDEEQPELSPQTNEEEVEQPEGVEETEKKEFVGGEIHSPLKGEGSDDDDDDDDDPNRWDEYKFDY >KGN51687 pep chromosome:ASM407v2:5:21300774:21301205:-1 gene:Csa_5G590040 transcript:KGN51687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKLGDARIIVFTTNHKEKLDPALLRPGRMDMHVHLTYLTPSGFETLASNYLQINHHRRFKEIQDLIMEVEVTPAENAEELMKSEDADVVLESVTEFINNKKRKKMEKECNSEVIAKVDGKSIQDIEEEDLKERKVNKRRRNR >KGN51131 pep chromosome:ASM407v2:5:16266802:16270666:1 gene:Csa_5G464800 transcript:KGN51131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRIAARRLSSLSSSHFRPTIASSFPASHNIIDSTDSNDFRSAGFFNTFPIRSQFDRHSRGFASDALASTKDDGLILDIPATVAAVKNPSSKIVYDDYNHERFPPGDPSKRAFAYFVLSGGRFVYASLIRLLVLKFVLSMSASKDVLALASLEVDLSSIEPGSTVTVKWRGKPVFIRRRTEDDIKLANSVDIGSLRDPQQDEERVKDPEWLVVVGVCTHLGCIPLPNAGDYGGWFCPCHGSHYDVSGRIRKGPAPYNLEVPTYSFLEGNKLLIG >KGN49678 pep chromosome:ASM407v2:5:1930356:1930631:1 gene:Csa_5G059140 transcript:KGN49678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGNLKIMARPVTLLGHLVAIAIATLILVWIFHFSGGVAIKSDNKLKILNVSLSFSLQFYHLLPYIGPNSFSLYVSIEFDEHNYLISFSF >KGN50698 pep chromosome:ASM407v2:5:9649770:9653911:1 gene:Csa_5G217170 transcript:KGN50698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPPSPISSSSSLLRKPRFSPYLFTLLAFIFFVAVLYSEDLACIFSQQLELDLNPNRPSPATEKKWEKLAFAKGKLKEEEEEGCDVYSGKWVRDEVTRPLYDESDCPYIQPQLTCQEHGRPDRSYQYWRWQPHGCDLPSFNASLMLEALRGKRMMFVGDSLNRGQFVSMVCLLHSLIPDDAKSMETFDSLTVFTAKEYNATIEFYWAPFLLESNSDNAVIHRISDRIVRRGSINKHGRHWKGVDIMVFNTYLWWMTGLNMKILEGSFEDEVQDIVELSTEDAYRMAMKSMLRWVRKNMNPKKTRVFFTSMSPSHGKSIDWGGEEGGNCYNQTTIIEDPNYWGSDSRKSIMEVIGEVFEKSKFPITFLNITQLSSYRRDAHTSIYKKQWSPLTPEQLANPVSYADCVHWCLPGLQDTWNELLFTKLFYPY >KGN49609 pep chromosome:ASM407v2:5:836951:838472:1 gene:Csa_5G023890 transcript:KGN49609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNWLGTLLNTKFYTSCDLHPNLWRNKKSRFCIDCSVSFCKNCTIHDLHRQVNIWKYVYREVVRVQDMEKYFCCSEIHPYKVNGKLAVHINSCGQSVDTKSPKRKSSNPCEECGKHIHDPHRFCSIACKVCVNSKIKDHSVGTVVSLSQDSGNLSFKDNKRSPETNASELESTISIAESMEETKTSTSSLQPRKRRVKSIPHRAPFF >KGN50841 pep chromosome:ASM407v2:5:11889808:11891339:1 gene:Csa_5G286050 transcript:KGN50841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIAFIFTMKIIFEGVYALHPDIRKSLDLWIAVVGGVHSHLISRVQRDKCKAGCFMSQNDIMMTVFPMFQQHIEPHLVHAHLKIRNDFDPVLSPESSLFVLKSNKQVAYQDILKLLESSKACSSIQNFIDIYLRLPGIPTNGQLTESDCIRVRICEGRFALLIREPIREGNFIIQPKVDFDISISTVAGLLNLG >KGN51713 pep chromosome:ASM407v2:5:21430805:21436289:1 gene:Csa_5G591780 transcript:KGN51713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARCAVDGGCPSDYIAIVFAAVCLALLIFRWILPYVMYKIPLPKGSRFWIPVIQVFASLNLLLSIVISVSFFKFKRQKWRSCYIWAVWVEGPLGFGLLLSSRITQTFQLYYIFVKRRLPPIKTHIFLPLILLPWISGAAFINVKKPLNYRCHMGPRWIIPIMTLHILYVATLIVFTWAVRHIEFRFDELRDLWKGIIVSAFSIGVWVSAYITNEIHEEILGLQVASRFLLLVTASILVLTFFSTSSSQPLLSQISLRKREALEYDSMGHALGIPDSGLLLQREPETVIDPNEPLEKLLLNKRFRRSFMAFADSCLAGENVHFYDEVHELGKLPLDDPVRRIYMARHIIDNYITPGATMEVNISHRCRQEILTTSDLADPNLFNNALNELIQLIKMNLAKDFWSSMFFLKLKEETSMRSNGRDLEQMASWNLSPRLSSVQGTDDPFNQEQFSKGSGHDSTHDSDH >KGN51874 pep chromosome:ASM407v2:5:22437554:22439161:1 gene:Csa_5G604190 transcript:KGN51874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRAYSKSLTPHKSFQFYNKILQSNDVMSPDNYTFNFLVRTCAQSACEAGPAVHGALIKHGFEYDPHVESGLIFMYAEMSCLSSCHRVFESVQKPDLVCQTTMVSACAKCGDIGFARNLFDSMPQRDFVSWNAMIAGYAQRGQSREALNLFKLMQMDGVKVNEVSMISVVTACTHLGALDQGKWAHAYIEKNKIQMTVNLGTALVDMYFKCGNVDRALKVFWEMNEKNVYTWSTAIGGLAMNGYGQKCLELFSFMKHEGIAPNEITFISVLKGCSVVGFVDEGRSHFDSMKRDHGIEPRLEHYGCMVDLYGRAGRLEEALNFINTMPLKPHAGAWGALLNACRMYKNMELGEFASRKLIEVEGKNHGAYVSLSNIYADTGNWDRVSNVRQSMKAEGISKLPGCSVMEVNGEVHEFFSGDKSHPSYDVIETMWGEISKRLKLAGYVASTNSVLFDIEEEEKEDALCKHSEKMAIAFGLFSLKEGLPIRIVKNLRICWDCHDVSKMISKIFEREIIVRDRNRFHHFKDGECSCKDFW >KGN52129 pep chromosome:ASM407v2:5:23887454:23891271:1 gene:Csa_5G611600 transcript:KGN52129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRRLLSSLLRSSARRASSRSPFSNPNPRISPSTTSRASPRGYILSRVAEYATSAAATAPPSPPPPAKDAGTGGKITDEFTGAGSIGQVCQVIGAVVDVRFDEGLPPILTALEVLDHSIRLVLEVAQHLGENMVRTIAMDGTEGLVRGRRVLNTGSPITVPVGRATLGRIINVIGEPIDERGDLKTDHYLPIHREAPAFVDQATEQQILVTGIKVVDLLAPYQRGGKIGLFGGAGVGKTVLIMELINNVAKAHGGFSVFAGVGERTREGNDLYREMIESGVIKLGDKQSESKCALVYGQMNEPPGARARVGLTGLTVAEHFRDAEGQDVLLFIDNIFRFTQANSEVSALLGRIPSAVGYQPTLATDLGGLQERITTTKKGSITSVQAIYVPADDLTDPAPATTFAHLDATTVLSRQISELGIYPAVDPLDSTSRMLSPHILGEDHYNTARGVQKVLQNYKNLQDIIAILGMDELSEDDKMTVARARKIQRFLSQPFHVAEVFTGAPGKYVDLKESIGSFQGVLDGKYDDLPEQSFYMVGGIEEVIAKAEKIAKESAA >KGN51631 pep chromosome:ASM407v2:5:20935906:20943049:1 gene:Csa_5G586040 transcript:KGN51631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANHNENSTLTKPDSPPLLKRSKTIALDTPPPPQHFPGPLFPAVRRLSSSPPPLSASAFRQSNSDLRLSLDNNNNNNNNNNNDSASPPHGAHFFNRDYIFPSCLGPYASNSRLSLKTPKLANQDVSTTTTSSNRRIGSGRVRGVAVEQSPSVAATLKVGESKKEEKVVKVIGKPDLDSQSSSVKRSWKPSRSLMQYWPIVACMFMGFYVVFLQTKVTKLEEEKSHLRQICSNENVINATWGISVPGDNSSIFYFFNADSRTIALYTVVCTLVMPFILYKYLDYLPRIKNFSERTQNSKDEVPLNKRIAYVVDVCFSIYPYAKLLALLFATVFLIGFGGLALYAVSDGNFVEALWLSWTFVADSGNHADRVGIGPRIVSVSISAGGMLIFAMMLGLVSDAISEKVDSLRKGKSEVIERNHILILGWSDKLGSLLKQLAIANKSIGGGVVVVLAERDKEEMEMDIAKLEFDFMGTSVICRSGSPLILADLKKVSVSKARAIIVLATDENADQSDARALRVVLSLTGVKEGLRGHVVVEMSDLDNEPLVKLVGGEVIETVVAHDVIGRLMIQCALQPGLAQIWEDILGFENSEFYIQRWPQLDGQRFGDVLISFPDAIPCGVKVAADSGKIILNPDDNYILKEGDEVLVIAEDDDTYAPGPIPEVRRGFFQKIIDPPKYPEKILFCGWRRDIDDMIMVLEAILAPRSELWMFNEVPETEREKKLIDGGLDISSLVNIKLVHRQGNAVIRRHLESLPLETFDSILILADESLEDSVVHSDSRSLATLLLIRDIQSKRLPNKDMKLTSTSLRLAGFSHHSWIREMQQASDRSIIISEILDSRTRNLVSVSRISDYVLSNELVSMALAMVAEDQQINRVLEELFAEEGNEMCIRPAEFYLVDQEELCFYDIMIRGRQRREIVIGYKLATSEHAIINPPQKSELRKWSLDDVFVAISSG >KGN51678 pep chromosome:ASM407v2:5:21231113:21234314:1 gene:Csa_5G589950 transcript:KGN51678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKYSREPDNPTKSCKARGSDLRVHFKNTRETAHALRKLPLAKAKRYLEDVLAHKQAIPFRRFCGGVGRTAQAKNRHSNGQGRWPVKSAKFILDLLKNAESNAEVKGLDVDSLIVSHIQVNQAQKQRRRTYRAHGRINPYMSSPCHIELILSEKEEPVKKEPETQLATSKSKKSQALRSGASS >KGN52271 pep chromosome:ASM407v2:5:24752324:24756109:1 gene:Csa_5G622860 transcript:KGN52271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLHLPSNAHVKNGLPPQELLDDLCSRFVLNVPKEDLQSFERILFLVEYAHWFYEDNSVENNPSLKSFNLKEFTSLLFNSCDVLKPYVPHIDDIFKDFTSYKLRVPVTGGIILDETFERCLLVKGWKGSSWSFPRGKKSKDEEDHACAIREVLEETGFDVTPFLIKEDFIEVMFGQQRVRLYIIAGVKNDTAFAPLTKKEISEIAWHRLDDLLPVSDDVISHGITGLKLYMVAPFLESLRSWILKHQPPVAPNFDMPVKGFTMWKVKNTSMSNSSIIFDNQPIKLDSDPGRSLRNFKFDTASILHAMESAFAA >KGN50052 pep chromosome:ASM407v2:5:4732455:4741665:-1 gene:Csa_5G152170 transcript:KGN50052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAAPKHERRWASDSVPGNANIMSSGLSSPGTESSAAEEFVEVTLDLQDDDRIILRSVEPATVINVDNAVSVGSETPKSASISRSPTFKRSSSSLLRQFSQELKAEAVAKARQFSQELKAELKRFSWSHGHSSGGGNGFDSALAARALRRRQAQLDRTRSGAHKALRGLRFISSKSNGVDAWNEIQSNFDKLAKDGFLYRSDFAQCIGMKDSKEFALELFDALSRRRRLKVEKISKEELFEFWSQITDQSFDSRLQIFFDMVDKNEDGRITEEEVKEIIMLSASANKLSRLKEQAEEYAALIMEELDPERLGYIELWQLETLLLQKDTYLNYSQALSYTSQALSQNIQGLRNKGPITRIRTKLLYYLQENWRRIWVLTLWIMILVGLFTWKFFQYKHKQAYKVMGYCLLTAKGAAETLKFNMAIILLPVCRNTITWIRSTRLGFFVPFDDNINFHKTIAAAIVVGVILHVGNHLACDFPRLVQSSDENYNYVTDYFGPNKPTYLDLVKGWEGVTGILMVILMTVAFTLATRWFRRSLIKLPKPFDRLTGFNAFWYSHHLFFIVYVLLVIHGVYLYLEHRWYRKTTWMYLAVPILLYAGERTLRFFRSGFYSVRLLKVAIYPGNVLALQMSKPPQFRYKSGQYMFVQCPAVSPFEWHPFSITSAPGDDYLSVHIRQLGDWTQELKRVFAEACEPPVAGKSGLLRADETTKKCLPKLLIDGPYGAPAQDYRNYDVLLLVGLGIGATPFISILKDLLNNIVKMEEQADSIADGGKESDLSFGSTDSSSSARVSPKRKKILKTTNAYFYWVTREQGSFDWFKGVMNEVAEMDQRGVIEMHNYLTSVYEEGDARSALITMVQALNHAKNGMDIVSGTRVRTHFARPNWKKVFSRICSKHCSAKIGVFYCGAPILAKELSNLCYEFNQKGPTKFHFHKEHF >KGN52583 pep chromosome:ASM407v2:5:27152685:27158294:-1 gene:Csa_5G644590 transcript:KGN52583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRKKLQGVDDELLKLLDANMDGVAARRRAREAFKRIQLGVDHILFKTPSDGFKTEETYEVNSRGLSIFSKCWIPETVRPKAMVYYCHGYGDTCTFFFEGIARKLALSGYGVFSMDYPGFGLSEGLHGFIPSFDRIVDDVIERYSKVKENPAFSALPSFLFGQSLGGAVSLKVHLKQPRSWSGAVLVAPMCKIADDMVPPWAVAQVLIGVSKFLPKYKLVPQKDLAEVAFRDLKYRELTAYNVIAYKDKPRLQTAVEMLKTTQEIERRLKEISLPLLILHGEADTVTDPSVSKVLYEKASSSDKKIKLYKDAYHSLLEGEPDEVILEVFNDIITWLDERCK >KGN50367 pep chromosome:ASM407v2:5:6716565:6720436:-1 gene:Csa_5G169030 transcript:KGN50367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKLVCKESSVVVSLWMMILLLLLLHFCFSITAAAPCIQKERQALLRFKNSFYDDPSLRLASWNASTDCCNWKGVGCNQITGHVTIIDLRRDPWQIGNAVCTMMIRCIYGELRR >KGN50469 pep chromosome:ASM407v2:5:7482517:7484761:-1 gene:Csa_5G175910 transcript:KGN50469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMMTTTTNNNNSSTNAGLFGDTTLTKVFVGGLAWETPKEAMRDHFHKFGEILEAVIISDKLTGRSKGYGFVTFKDAESAKKACEDSAPIINGRRANCNLASLGARRGGSRSASATPPQAPQPGSNAGGPRTTSAATAPGNHVQWYYPAGTHPTPFHHQPHQPVPFYGYSPSPTYIATDISYNHKLGYMNGHYTQMYPGQAMVGANTLMPMYPLYHYHQSHAMGMPAHIFPPSPTTAGPFAAVPPTSIMSKPTTVGPNPGTVGGRG >KGN52380 pep chromosome:ASM407v2:5:25700452:25700645:1 gene:Csa_5G630830 transcript:KGN52380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENVNGEKMRNVKSIGDEESEAEESSLSDGLSSPPRPAQWPSMIIQTLMDYLPP >KGN51359 pep chromosome:ASM407v2:5:18423902:18433249:-1 gene:Csa_5G523050 transcript:KGN51359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTSGDIAYQNLRTSFPPYLPPPEFAPTSGFKLTKKLQFPIMISTNCRRNEFKSSSYRVRNSSLMFQYMMPEDDGNGDENFESFGHWIHVSLSLFPGVSYSWWNLDEDKQVQIGAAKRITVYLALRRMWKLVWDSNRWVLLVAFGALTMAAISEISMPNILADSIFSASRGKTTVFARKFHLLVFLSITSGICSGLRSGCFGLANIILVKRLRELLYSAIVFQDISFFDKETVGNLTSRLGADCQQLAHIIGNNINLITRNALQATGALAYLLTLSWPLAISTLVICSVLSAIFLLYSRYVMRTAKLTQEFAACAHDVARESLTLVKTIRIYGTERKEVGRYKQWLDRLAFISTRESAAYGLWNMSFSTLYRSTQVFAVLLGGIAILSGQTSAEQLTKYVLYCEWLIYATWRITDNLSSLLYSIAASETVFQLMDLLPSEQFLCKGVKLPELMGHIQFVNVSFHYHLRDMLLEHINITIRANEVVALVGPSGCGKSTLVNLLLRLYEPTNGQIFVDGIPLWELDIRWLREKIGYVEQEPNLFHMDIKSNIRYGCPMNTTQEDIELAAKQACAHEFISSFPNGYDTIVDDNLLSGGEKQRIAIARAILRNPAILILDEATSALDSESEHFVKDTIFALKDNRGGQKTIIVIAHRLSTVVAADKIFVMDRGQVIEIGNHEELLRKDGYYARLVKVHNKHPD >KGN51607 pep chromosome:ASM407v2:5:20764483:20772322:-1 gene:Csa_5G583370 transcript:KGN51607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSECDVFTPFNVIGILLRSDSSRNCDSQQKSRILRDFVTREVNAFLWFFLIAITAVLISKVVALFKLWSKAKLLPGPSCPSFYGHSKVISRRNLTDILYDSHKKYGPVIKLWLGPMQLLVSVKEPALLKEILVKAEDKLPLTGRAFRLAFGRSSLFASSFEKVQSRRLRLAEKLDGISFQRGNVIPAKAVDCSVGRIQDLMVEESIDCSKVSQHLAFTLLGCTLFGDAFLGWSKATIYEELLMMIAKDANVWASYRVTPFWKRGFWRYQRLCMKLKCLTQDIVQQYKKHYNLFSHSHNQKPQGETKSSSVEVAFDMPPCPAAEMHNSCFFSGPNDHFNSNEEPYGNIMGVMFHGCLTTANLIASILERLATNPEIQEKINLELNRAQKDSVKDPQNNVDNMPLLLATIYESARLLPSGPLLQRCSLKQVLKTGITIPAGTLVVVPIKLIQMDSSSWGSDANEFNPYRFLSMTCNGTDTRQQTSVAGENDVDEGDNSFVLNDPTGNAVFLPFGFGARSCVGQKFIIQGLATLFASLLSNYEIKLQSESKTDLKSSSSNPSTAQILLNSKIVFIRRNS >KGN50996 pep chromosome:ASM407v2:5:14373125:14383759:1 gene:Csa_5G387960 transcript:KGN50996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVWAKYGCDNVELRAFCSKHSESRDRSSDQDPSEAINSSSYVVNHLPVTLSINRPHKLVGRRNIDSLLLCKEASDTNSGKLDDGELEDTGSADPNLNAACVDAQKSTVQGVEDLNPLDSLKFASIMKKLIDQGKVNVKDVALEIGIPPDLLCAKLTAENIVPDLKSKIVRWLRNHAYIGSLQKNLRVKLKSAVLAKAVVGAADRSESLSVLDSDNSDLIADKMVTPRRKTKNSISHLKNDEIKSSSEETLGCYGLPTQSNSLDQQEDSKKECIQDAGEKHVNECDSSQGSPSRNFPNGVVEGNQLEGSVSGHDSSISAVHGKAGESPGSYLHPFVRAKMTYMLHGKLLNVPEGEISCCQESSNAGSCYDRQHQHLDCNNVSCNSGGFSPKQQVNKKIDGIIKMSPEDEIEGEIIFYQHRLLANAVSRKWFTDHLICNVVKSLPKEIDEARSTRWDAILINQYYSGLREAKKQGKKERRHKEAQAVLAAATAAAAASSRMSSFRKDVYEESTHRELMPRAKETPTKVALPKTSLESDFCKEHARSCDICRRPETILKPILVCSSCKVSVHLDCYRTVKESSGPWCCELCEELSLSRGSGAPVVNFWEKSYFVAECGLCGGTTGAFRKSSDGQWVHAFCAEWVFESTFKRGQANPVGGMETVSKGADSCYICHRKHGVCLKCNYGHCQSTFHPSCGRSAGCYMTVKSSGGKLQHRAYCEKHSSEQRAKAENQTHGIEELNRVKQIRVELERLRLLCERIIKREKIKRDLVLCSHDVLAFKRDHVARSVLVRSPFFLPEVSSESATTSLKGHVEDLKSCSEAVQRSDDVTVDSTVSIKHWNKVPLSLDTEQKTDDDSTTSQNPFPRKFEDRGQYAGKQIPQRSSTTTSRNLLDGGLRFKSKKHAETFQKELVMTSEQASMKNSLLPKQYLYVPADVLAKEKQVNQETGSAEPPKCDR >KGN49847 pep chromosome:ASM407v2:5:3465135:3466477:-1 gene:Csa_5G139250 transcript:KGN49847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEYSNPTYSCRNCRNPLASGAHLLSKSFLAKSGKAFLFSEAKNIVEGPKEHKQLITGIFKTAEIHCNICGQALGWKYLKAYDLSQKYKEGKFIIETAKISKEYN >KGN50746 pep chromosome:ASM407v2:5:10183599:10183892:1 gene:Csa_5G223050 transcript:KGN50746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSCDEILGVFSAAKDRLGSHEPPPLTGVQGEVGLEEWLKSTCSQAMELSQMQMISPSPPSAIVSVPAAVKDSGKLMAMGLSSSSSSSSTKARRRY >KGN50161 pep chromosome:ASM407v2:5:5483958:5485700:-1 gene:Csa_5G156210 transcript:KGN50161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSLSFNLFMVALVLAIFMRSTLAVFQPSPWKLAHATFYGDETASETMGGACGYGNLFTNGYGTDTVALSSTLFNNGYACGTCFQIKCVQSKACYANVPFTTVTATNLCPPNWSQDSNAGGWCNPPRVHFDMAKPAFMKIAWWKAGIVPVQYRRVPCAKKGGIRFSLQGNGYWLLVYVMNVGGGGDVYSMAVKGSKTGWITMSHNWGASYQAFSSLGGQSLSFRITSYTTRETLTLWNVLPSNWQVGLTYNSKFNFR >KGN50407 pep chromosome:ASM407v2:5:7044168:7059196:1 gene:Csa_5G172870 transcript:KGN50407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEEVSTSDEADRRLPAHSSAECDSLAASTSASVGSLWHPRQLGFSPYLQRENAAAKPPQDSCFAARIPLVARLTKDIVETYRKCNPEFKYSEELNLKRFLTSPSIGVLNDGYDNVNSDLILAVNSVLLNFEMQRRYVVKDLLGHGTFGQVAKCWFAETNSFVAVKIIKNQPAYYQQALVEVSILTLLNQKYDPEDKHHIVRIYDYFVYQRHLCICFELLDTNLYELIKINHFRGLSLSIVQMLSKQILCGLALLKDAGIIHCDLKPENILLCTSAKPAEIKIIDFGSACLEDRTVYSYIQSRYYRSPEVLLGYQYTTAIDMWSFGCIVAELFLGLPLFPGASEFDLLRRMIDILGAQPPDYVLKEAKHTSKFFKFIGGFHNENGEIYSSGRSSFQALKADEYEAREMKKPSIGKEYFNRMDLEAIVTNYPYRKNLAEEDIRKESQVRLALIDFLKGLVEFDPAKRWSPFQASKHPFVTGEPFTCPYTPPPETRRLPVSKNIKVDDHHPGGGHWFAAGLSPNLAGRNRVLQSSPHFQMVPYPHANSYGSVGSHGSYNESIGFGNSYGSYGDNGMLAYYSPVGPSGMNMHPQGRISVLASSPDTRQRIFQLSHSNGIGVSPSTGNFAPLPLGTSPSQFTPPSSYGQVSMGSPGHYGPTSPARGSCQGSPLGKMATVGQFNRRKYWDYPGTHDGSSSSHWQGQSTEGTSYSQADGNSLHGCSPSHLPPSSNATSWKQQQVGSGSSAGYPTIQSMPGSHLPGPNMQFSQSTDVARNKSELPDPGDWDPNYSDELLLQDDGDSNVSSMSTDFSNMHVGSSNPSTGIGRFSVPSPSLNLSSQRKTGPVQAFPHVEVGSPPSAQDLHTGYARSSSKHSHLMPHNSHNSPSRLGQQPVQRFNQGRSTNVRGYEWSPVKIQPPLPTYNSGGPRSPGSGSFGSGMTWGFRANQPVTSIPPASRGRKDYGRI >KGN51101 pep chromosome:ASM407v2:5:15925238:15928369:-1 gene:Csa_5G440150 transcript:KGN51101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVYDIDASFLQSPAKDFGWHDPGFIHTAIMTQLKPSTTYSYKYGSEKVGWSEETTFRTPPAAGDETDFSFIAFGDMGKAPLDSSSAEHYIQPGSISVVEAMKEEVERGEIDGVFHIGDISYATGFLVEWDFFLHLINPIASRLPYMTAIGNHERDYLQSTSVYTFPDSGGECGVPYETYLQMPISGKDQPWYSIEMASIHFTIISTEHDFTINSPQYEWMKNDMASVDRSRTPWLIFAGHRPMYSSISGSLLIPSVDPSFVAAVEPLLLQNKVDLVLFGHVHSYERTCSIFNSICKGMPLKDINGIDTYDHNNYTAPLHAVIGMAGFTLDQFPLLGIESWSLSRVSKFGYLRGHATKEKLSFEVSILYLRILKRSI >KGN51100 pep chromosome:ASM407v2:5:15915722:15917915:1 gene:Csa_5G440140 transcript:KGN51100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLSGRNFTLNPNAPIFIPFAIRNVEDFSPEWWELVKTSSWFRDYWLSQHQEDDFESINDDLDTTEEFLEAMALYEADEKPEVKPTAHKNLTKGASNEMDAKKLLKDLVIPNSPKNKGPKSPIGPAKYSEKPAKCMSPKHTPRFIHQPR >KGN50314 pep chromosome:ASM407v2:5:6459006:6463449:1 gene:Csa_5G167060 transcript:KGN50314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCSVRAGKAGPNWLDRLRSNKGFPITDNLELDHFLTDQNLDNPCSLSDSNPHSTRADPRSDANLNSHHQDNSSSNSPIENGNPSSFGIITDILSDLFNMGGASRNSKCFSKKYPRKQSNPKIYSIPSVTNGDYADAKNLCCLQKEDNILSSNSDNSSKGCIDSGSDMAQNVCLKVVEEEVWDEKCEKELKGYSKSEVTVIDTSDDVWKSDKLIFRRKSVWKVKDKKCKLRSYGRKKRKQSSETNDLPDRIVSASKKTKVWGSEERFHLNRQQIHGKESLKPLNKVHNFQHCYGPESRLTAPDSSNEKKENGSTLSQKNGGYDPKRKWLDGKLN >KGN51074 pep chromosome:ASM407v2:5:15586453:15591919:1 gene:Csa_5G429960 transcript:KGN51074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGCLATATLTPTSPSNSPPFFHAPPISSAAPILRRRLPFQLGFRTRYDENSRFRFHYVAIPVANCTRSGGDTELDFTESIDCVGTAQDVECVVSPNDEDPSSSIGVPLKLGISSDYSGDGSVAVLEKAWEFAVLVSPFFFWGTAMVAMKEVLPRSGPFFVSAFRLIPAGFLLIAFAAFRGRPFPSGFSAWISIILFALVDATFFQGFLAQGLQRTSAGLGSVIIDSQPLTVAVLAAFLFGESLGLVGAAGLVLGVLGLLLLEVPSLTFDANSFSLWGSGEWWMFLAAQSMAVGTVMVRWVSKYSDPIMATGWHMVIGGLPLLMICILNHDPAVSGSLKDFTTNDILALLYASIFGSAVSYGSFFYSATKGSLTKLSSLTFLTPMFASVFGFLYLGETFSPIQLVGAVVTVVAIYVVNYGSGLE >KGN52241 pep chromosome:ASM407v2:5:24596969:24599317:1 gene:Csa_5G622560 transcript:KGN52241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGNSPTSSEFFAHILQTSVRIKDPFAGRSVHCQIIKKGLHLGVYLMNNLMTFYAKTGSLRFAHHVFDEMPLKSTFSWNTLISGYAKQGNFEVSRRLLYEMPDCDPVSWTAIIVGYNQFGLFDNAIWMFAKMISERVPPSQFTVSNVLSSCAANQTLDIGRKIHSFVVKLGLGSCVPVATSLLNMYAKCGDPVIAKVVFDRMTMLNEPSLKPDNFTLASILSACANLEKLNIGKQIHAYILRAETETSGAVGNALISMYAKSGGVEIARLIVEHNRTSNLNIIAFTSLLDGYTKLGNVKPAREIFNKLRDRDVVAWTAMIVGYVQNGLWNDALELFRLMVNEGPEPNSYTLAAMLSVSSSLTILEHGKQIHASAIKAGESSTPSVTNALIAMYAKTGNINVAKRVFDLPNGKKEIVSWTSMIMALAQHGLGKEAINLFERMLSVGMKPDHITYVGVLSACTHVGLVEQGRKYYNMMTEVHEIEPTLSHYACMIDLYGRAGLLQEAYLFIESMPIEPDNIAWGSLLASCKIHKNADLAKVAAERLLLIDPGNSGAYLALANVYSACGKWENAAQTRKLMKDRGVRKEKGISWIHIKNEVHAFGVEDVIHPQKDEIYKLMAEIWEEIKKMGFIPDTESVLHDLEEEVKEQILKYHSEKLAIAFGLLNTPENTALRIMKNLRVCNDCHSAIKFISKLVGREIIVRDATRFHHFKDGSCSCRDYW >KGN50512 pep chromosome:ASM407v2:5:7807948:7808618:-1 gene:Csa_5G179770 transcript:KGN50512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSSAAYIHMVQHLIEKCLIFHMNKDECIEALSKHANISPIITSTVWKELEKENREFFEAYSECKGRNDRILAEEETRKLIQRMMSKHSDD >KGN52512 pep chromosome:ASM407v2:5:26624517:26626080:-1 gene:Csa_5G639480 transcript:KGN52512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLKPNLISVLEVSTVAPPPASPSSATHFSLPFTYFDALFLKIPPTERLFFYSLPDPPLFDSNSLLTHLKHSLSLTLQHFLPLAGNLVWPPESPKPIVRYSPGDGVSLTVVETDADFTHFSGTGIRPVEECRPFVPELPAADDSVPVMALQITLFQNRGLSIGISNHHAFVDGKSSIMFLKSWAYIFKQTPNKPEFSIALPPDLTPFFDRSIIKDPKGIDMLYINYWLKKTNPTDPSIKSLKYFPNLGVSPEMVRGTFKFTRTDIENLRKATTKEDESKPSKPTRYSSFVLAFAYISICAVKSARTEQKKKRVYLGFYADWRARLDPAVPANYFGNCGGSHGVYAEVGELEDEEKGLGIASKRIDEAIKGLDENVTKGAEESLSKWEKVEGGIKFVGVVGSPRLGVYELDFGWGRPENVKMVSIERTGSISLADGRDGDGIEVNLVLSQPEMLCFASIFSDGLKTL >KGN50526 pep chromosome:ASM407v2:5:7901737:7904096:1 gene:Csa_5G180850 transcript:KGN50526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWQQRPILEENNGQAQYPSSLLKAISNSSILETLIRPQPSISPFLSSQVSFSGQGSSAMAPKADSSKKADPKAQALKTAKAVKSGPTFKKKAKKIRTSVTFHRPKTLKKDRNPKYPRISVTPRNKLDQYQILKYPLTTESAMKKIEDNNTLVFIVDIRANKKNIKDAVKKMYDIQTKKVNTLIRPDGTKKAYVRLTPDYDALDVANKIGII >KGN51966 pep chromosome:ASM407v2:5:22929508:22933193:-1 gene:Csa_5G606570 transcript:KGN51966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRLSLPAFCLCFLSFSLLNDLTLCKTLKRDVKALNEIKASLGWRVVYSWVGDDPCGDGDLPPWSGVTCTTQGDYRVVTKLEVYAVSIVGPFPTAVTNLLDLTRLDFHNNKLTGPVPPQIGRLKRLQILNLRWNKLQDVIPSEIGELKSLTHLYLSFNNFKGEIPRELASLPELRYLHLQQNRFIGRIPPELGTLQHLRHLDVGNNHLVGTIRELIRVDGCFQSLRNLYLNDNYFTGGVPAQLANLSNLEILYLSHNKMSGIIPAELARIPRLTYLFLGYNQFSGRISDAFYKHPLLKEMFIDGNAFRQGVKPIGFHKVLEVSDTDFLV >KGN52467 pep chromosome:ASM407v2:5:26332093:26333489:-1 gene:Csa_5G636590 transcript:KGN52467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYFPYSISVCKSVDQPTVMASSVNLADSSSKSRNKKMTASSTCSKFPVCHRSRSAVIDIVILIAVVGACGFLLFPYMKLVIVESLEIFGAILYLMGEEVSRAPWIYGSIGLSIFCASLAAWVVLICTSRKCGNPYCKGLRKAAEFDIQLETEECVKNSTPLVKNGVKKGLFELPRDHHRELEAELKKMAPPNGRAVLIFRARCGCSVGRLEVPGPRKQLKKIKK >KGN51115 pep chromosome:ASM407v2:5:16082011:16082605:-1 gene:Csa_5G453720 transcript:KGN51115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGNNDSSLLYQKQRRKGRDHKKSMVESIRQGVENYNSVFVFTVENMRNLKFKELREQLKSASRQVLLSEFCII >KGN49864 pep chromosome:ASM407v2:5:3534458:3537727:1 gene:Csa_5G139420 transcript:KGN49864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVTNSSVAQSLDDSFLFPVEEIVQYPLPGYVAPTSLTFSPDDSFVTYLFSPDCSLNKKVFAFDIKTGKQELIFSPPDGGLDECNISPEEKLRRERLRERGLGVTRYEWVKTSTKRKAIMVPLPAGIYIQDFFGSTPELKLSSKPSSPIMDSHLSPDGSMLAFVKDGELHVMNLSYNEVRQLTVGANTNISHGLAEYIAEEEMDRKNGYWWSLDSKYIAFTQVDTSKIPPFRIMHQGKSSVGSDAQEDHAYSFAGTSNAVVRLGVVSVSGGPITWMDLLCGETGEEEYLARVCWMHENILIAQILNRLHTKLKILRFDIKTGERKVLLVEEEDSWINLHDCFTPLDKSISKYSGGFIWASEKTGFRHLYLHDGHGTCLGPITEGDWMVEQIAGVNEATGLVYFTGTLDGPLESHLYCAKLTTAGNTPLDPPIRLTHGKGKHVVVLDHRMGSFVDIHDSLDSPPRVLLCSLKDGSVILPIYEQTLAIPRIERLHLEPPEMVEVQACDGTLLYGALYKPSEAIFGPPPYKTMIIVYGGPSVQLVSNSWINTVDMRAQYLRSRGILVWKLDNRGTARRGLKFEAALKYNIGYIDADDQLVGAKWLIRQGLARAGEIGLYGWSYGGFLSAMSLARYPDIFRCAVSGAPVTSWDGYDTFYTEKYMGLPTRDPEVYKRSSVIYHIEKMTGSLLLVHGMIDENVHFRHTARLVNALISARKKYELLIFPDERHMPRQHQDRIYMEERIWEFIQRNL >KGN50132 pep chromosome:ASM407v2:5:5265480:5265665:-1 gene:Csa_5G155430 transcript:KGN50132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLPEGSGKELSSGQAWNFDVRPGTAGARVWARTNCNFDASGRGHFETGDCGGLLSCQGY >KGN50241 pep chromosome:ASM407v2:5:6050364:6051111:1 gene:Csa_5G161900 transcript:KGN50241 gene_biotype:protein_coding transcript_biotype:protein_coding description:HyPRP2 MASKATTSLAFLLSLNLLFFTLVSACNNCYIPDPPKPKPCPPTKPTPKPSPSSGYGKCPRDTLKLGVCAKLLGGLLDITIGKPPVTPCCSLIEGLADLEAAVCLCTAIKADILGINLNVPLSLSLLLNVCQKNVPKGFQC >KGN50962 pep chromosome:ASM407v2:5:13883439:13884614:1 gene:Csa_5G374720 transcript:KGN50962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELKRSTGTVRWFSAQKGFGFIAPDDATDDLFVHQTSIRSEGFRTLFDGQTVEFTIDYDQDQRAKAVDVTVIDRSTRYGGGRGGGRGRGGSYGRFGGGGRGFGRGRWNEGPSGGGRGGGECYNCGRMGHLARDCYRGNGGAPSGRRYDGGRGYGGGGGGRGCYNCGDTGHLARDCQNESK >KGN51165 pep chromosome:ASM407v2:5:16588920:16589230:1 gene:Csa_5G470550 transcript:KGN51165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWHERRARQMLLSNATKTTLLIDLRGDHEILEQKLKNFQQNHGELTVKLDVGNIRTRTCLASNPT >KGN51517 pep chromosome:ASM407v2:5:20035388:20039599:-1 gene:Csa_5G576590 transcript:KGN51517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISWKDLYTVLTAVIPLYVAMILAYGSVRWWKIFTPDQCSGINRFVAIFAVPLLSFHFISSNDPYAMNFRFIAADTLQKIIMLFFLGIWTNFTKNGSLEWMITIFSLSTLPNTLVMGIPLLIAMYGEYSGSLMVQVVVLQCIIWYTLLLFLFEYRGAKILIMEQFPETAASIVSFKVDSDVVSLDGRDFLETDAEIGDDGKLHVTVRKSNASRRSLGPCSLPALTPRPSNLTGAEIYSLSSSRNPTPRGSNFNNSDFYSMMGFQGRLSNFGPGDLYSVQSSRGPTPRPSNFEENSAVQPQTASPRFGFYPAQTVPSSYPAPNPEFTKTAKIPQPPPPPPPQQPQQQPQNAKPNHDAKELHMFVWSSSASPVSEGAGGLHIFAGNEVAGAEQSGRSDQGAKEIRMLVADHPQNGENKENEGYVGEAFSFSGKEGEDERDDQKEGPTGSTGDQLHGKVSAGAPDGVNSKLMPPASVMTRLILIMVWRKLIRNPNTYSSLIGLIWSLISFRWHVAMPKIIEKSISILSDAGLGMAMFSLGIFMGLQPKMIACGNSVATFAMAIRFLTGPAVMAIASIAIGLRGTLLRVAIVQAALPQGIVPFVFAKEYNVHPAILSTGVIFGMLIALPITLLYYVLLGL >KGN49848 pep chromosome:ASM407v2:5:3467401:3471734:-1 gene:Csa_5G139260 transcript:KGN49848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIFYFLVFGALSLVVVALELTKTNKDRINTPSAFNAFKNNYLLVYSLMMAGDWLQGPYVYYLYSQYGFGKGEIGQLFIAGFGSSMLFGTIVGSLADKQGRKRACITYCITYILSCVTKHSPEYKVLMLGRVLGGIATSLLFSAFESWLVAEHNKRGFEQQWLSITFSKAIFLGNGLVAIIAGLFGNVLVDSLSLGPVAPFDAAACFLAIGMAIIMSSWTENYGDPSENKDLLTQFRGAAVAIASDEKIALLGAIQSLFEGSMYTFVFLWTPALSPNNEDIPHGFIFATFMLASMLGSSLASRLMARNTPKVESYMQIVFVVSSASLVLPIVTSFLVAPSDVKGGSISFSGCIQLLGFCAFESCVGIFWPSIMKMRSQYIPEEARSTIMNFFRIPLNIFVCVVLYNVDAFPITVMFGMCSIFLFVASILQRRLQAIVEKPKSGDWALSDKNTEADPLNI >KGN49712 pep chromosome:ASM407v2:5:2412432:2416225:-1 gene:Csa_5G080780 transcript:KGN49712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFANCIEKQEFGLKPMNCPGHCLMFQHRVRSYRELPLRLADFGVLHRNEASGALTGLTRVRRFQQDDAHIFCRESQIKDEVRAVLEFIKYAYNIFGFTFELKLSTRPEKYLGDLETWEKAEAALTEALNEFGKPWQIDEGDGAFYGPKIDISVSDALKRKFQCATLQLDFQLPARFELYYSAEDEAKRERPVMIHRAILGSVERMFAILLEHYKGKWPFWLSPRQAIVCPVSEKSQSYALKVRDLIHHAGYFVDVDVTDRKIQKKVREAQLAQYNYILVVGEEEANTGQVSVRVRDKADHSVMSIESLLNHFKEEVAAFH >KGN49872 pep chromosome:ASM407v2:5:3594152:3598023:1 gene:Csa_5G139500 transcript:KGN49872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEADAGRGLGCQKTMDGRASNGILTKKEIPSCCLKARASVPELEAKCHSTVVSGWFSEPQFAYDDGKKRVYFNNPMWPGEAHSLQVESILFKGKSEFQEVVVFESTTYGKVLVLDGIVQLTEKDECAYQEMITHLPLCSIPSPKTVLVVGGGDGGVLSEISRHNSIEHIDICEIDQMVIDVSKEFFPDLAIGFEDPRVHLHVGDAVEFLRRAPRGKYDAIIVDSSDPVGPAQELVEKPFFETLAKALKPGGVLCNMAESMWLHTHLIDDMISICREVFKGSIHYAWASVPTYPSGVIGFLLCSTEGPPVDFKNPINPIEKLEGAVKHKKDLKFYNSEMHSAAFALPSFLRKEVKALVDSPNPSQN >KGN52141 pep chromosome:ASM407v2:5:23962207:23965680:-1 gene:Csa_5G611720 transcript:KGN52141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTWAMRKGSQMAAYPRTISWIAISVGGLAIFLIFGSWFLVSYPIGSIMRGYFYGVNSSQDLDFVISLGNQSATVPAHDINVDLVTKKSFSDEGIVDRKFESASNPPPQSSSNSPADDKSSDVIDKDLSSKSKSPDATKSSSRSVVPETKEKRDEGTNPSELSSQDESEASIITSTVENGGSVSKDSTNNSSDTDMGSKNDIGVKSDDLPDPDDGSTASDLGCDLYHGSWVYDSAGPLYKNNSCPVLSQMQNCQGNGRPDREYENWRWKPSQCNLPRFDAKTFLKLMSGKTLAFIGDSVARNQMESLLCALWQVEVPKNRGNKKMQRYYFRSTSVMIVRIWSSWLVKQTNEPLDFAPDGVVKLHLDAPDDNFMEFIPTFDVIVISSGHWFAKQSVYVLNNEIVGGQLWWPDKSRPMKVNNIEAFRISVETILTSLATSPNYTGLTIVRSYSPDHYEGGAWNTGGSCTGKERPLSIGERVENKFTNIMHGKQVAGFDAAIKKLTNKSRLKLMDITEAFEYRHDGHPGPYRNTNPNKLTKRGADGKPPPQDCLHWCMPGPVDTWNELVLELIRRDLEGNTQFSS >KGN52313 pep chromosome:ASM407v2:5:24989418:24992287:-1 gene:Csa_5G623760 transcript:KGN52313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSCKGLAMELVKCLSESDCVKVQNRTYRECAGEKSPCIPSECVGLRETYFNCKRGQVDMRARIRGNKGY >KGN49745 pep chromosome:ASM407v2:5:2812412:2835704:1 gene:Csa_5G098980 transcript:KGN49745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVELLDMFPHRDLEEGATASSDWNPTDAVLFFGLSLVLGIACRHLLRGTRVPYTVALLVLGIVLGSIEYGTHHQLGKIGDGIRLWAKIDPDLLLAVFLPALLFESSFSMEVHQIKRCLAQMILLAGPGVLISTFLLGSAFKLTFPYNWSWKTSLLLGGLLSATDPVAVVALLKELGASKKLNTIIEGESLMNDGTAIVVYQLFYQMVLGKSFNWGAIIKYLTQVSLGALGIGLAFGIASVLWLGFIFNDTVIEIALTLAVSYIAYFTAQEGADVSGVLTVMSLGMFYAAVARTAFKGDGQQSLHHFWEMVAYIANTLIFILSGVVIAEGVLGSEGILDNGASWGYLIILYVYVQASRFMVVGVLYPFLRYFGYGLDWKEATILIWSGLRGAVALSLSLSVKRSSDQSLYISSETGTLFVFFTGGIVFLTLIVNGSTTQFILHLLNMDKLSVAKKRILDYTKYEMMNKALGAFGDLGDDEELGPADWATVKRHITSLSHVEGEPLHPHNAFESDQNVRSMNLRDIRLRLLNGVQAAYWGMLDEGRITQSTANILMQSVDEALDQIAYEPLCDWKGLKSNVHFPNYYKFLQTSVFPQKLVTYFTVERLESGCYICAAFLRAHRIARQQLHEFIGDSDIASTVINESEAEGEEARKFLEDVRETFPQVLRVVKTRQVTYSVLNHLIEYVQNLEKVGLLEEKEMLHLHDAVQTDLKRLLRNPPLLKIPKMRNLISAHPFLGALPPLVREPLEVSTKEVMKLRGVTLYKEGSKPSGVWLISNGVVKWISKSMRNKFSLHPTFTHGSTLGLYELLTGKPCFCDMITDSVVLSFFIEHDKFLSILRSDPSVEDFLWQESSIVLAKLLLPQVFEKMEMRDLRVLVVERSVMTTHIAGETIEIPPHSIGLLLEGFIKSHGIQEELIASPAVLFSSHRNPSFQNMENSGMSGSSFSHQGSHYEVETRSRVIVFDMGALQSEENLNRSSSFIHSVDHPQRSLSRDHSGLMSWPEMLSKPRPPQKQKSERIERPADSLSAKAMQLSIYGSMVDFRQRTKSFPGNIAEPSHSRSNPAIGSHKGVSLPYVKSEGAATLKKRLDARKLPISNVRPPQQKALPNERNVRDDSSEESGGEDDVIVRIDSPSVLSFHQVP >KGN49759 pep chromosome:ASM407v2:5:2949694:2949915:1 gene:Csa_5G114560 transcript:KGN49759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRLCCVVQGWRQWWRRRGYANLRATISRVCALVTQIVGISARQRGLAVAFAGDFAVAAFAQSTVFEISPITN >KGN50347 pep chromosome:ASM407v2:5:6653169:6653735:-1 gene:Csa_5G168840 transcript:KGN50347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTENWVGWFKKWGNKNPYRTAEDVAFSVARFFQYGGVFNNYYMYHRGTNFGRTSGGPFITTSYDYNAPLDEYGNLNQPKWGHLKQLHASIKLGEKILTNSTRSDQNFGGSVTLMKFFNPTTSERFCFLSNTDGKNDVTIDLQEDGKYFVPAWSVSILDRCNKEVYNIAKVNSQTSVFIKEKNEKENA >KGN52439 pep chromosome:ASM407v2:5:26071641:26072574:-1 gene:Csa_5G634350 transcript:KGN52439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKFSCSLFFIGFFLLSAAWIMPNGVSATKCETKLYDGCLPKDCTQKCSDKYPNSSSECVAVPPIPFHYSCYCFYDCAA >KGN51927 pep chromosome:ASM407v2:5:22725592:22726438:1 gene:Csa_5G605210 transcript:KGN51927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPPDPSDLASALERLLLNRDVSLIAPLFLGFASMVRSSQNQESDPTPTTLPDRFIFFNPFSHQLMVVQATPKHGQPPASKASIKAMPSLPVSEVTECVICLDEIEVGRLAKQMPCNHKFHGDCIQKWLELHGSCPVCRYQMPIDGDDEGKKVGDEGAESRGETEIWVSFSFDHSIGNGESVQTPSTESDHSYVQ >KGN51993 pep chromosome:ASM407v2:5:23064501:23066668:1 gene:Csa_5G606830 transcript:KGN51993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECTIINYISIFLSGCLLFGVFKLFVKLWWTPMRIQRFMRSQGIQGPSFKFIQGNTRDVYIKRMQAMATPMDLSHNILHRVMPCVHSWLNLYGRNYLQWSGVDAQLMITDPEMIKEVLHDRQKSFPKAKLKGHIHRIFGNGLATAEGQRWANSRRIANFAFHGDSLKNMIPTMIECGEKMIEGWKNYEGKELDAFKEFKVFTLDVISHTAFGSSYQQGKKIFHMLRELCELSIRNGYKIRLPIISKILKSKDDYEGERLGKRLKDCFMEIIKEREEKLRNGEANDYGNDFLGLLIKAKNDPETSQCISMEDIVDECKTFYFAGHETTNVLLAWTMLLLALHKEWQEKARNEVLDVFGHNNPTLEGLPKLKTVRIMTYR >KGN51496 pep chromosome:ASM407v2:5:19907405:19908163:1 gene:Csa_5G570410 transcript:KGN51496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSVRCGLRICLQLPDLNNVYVPRKRRGSSSGVGKKEQSMTETELPLSMAEAASILIQLANSVPDVPLQKINKTRKRKNPPTQTEASTSRTKKQRKMNVDQSERPSMPVAMRDRILEMGGYEINLVIQKQLTDTDLNKNHGRLSMNTKQLSFDFATEEESKLLSEQENKNKMGINVMTLDDVLEERMLCLKKWKIGSGYVYCLMTKWNLMVEERGLKSGEEIQVWSFRKDDEDEAHRLCFALEKFIFQIKK >KGN49583 pep chromosome:ASM407v2:5:460358:477255:-1 gene:Csa_5G011730 transcript:KGN49583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMRSRGRVLKNRGIGNKKLANFHHPKALWYPHDNEMTENYRSSLVKDQCQYSEEFRRQRQMFQGNSFTGPIPSTFSNLTAMNDLRIGDLSNGGSSLEFIKNMTSLRTLVLRNNGISDLIPSYIGEFEELTWLDLSFNKLKGEIPEWLFNLTKLSYLFLGNNKLTGRLPQTKSDTLLVIDLSYNGLSGTIPEWVDGSTLQLNLVANNFLSEHVKESNLPLGLHCLQREFSCNGTSGGSSLKPSFGINCGGSKFDEYDKQSAITGGASYYVSDSETWAVSDVGNFPNTENSTSYNRGNRNSTSYNRDSDTTEYTFANRNVSELFWTQELSTSSLRFYGLGLKNGIYTVNLLFVEQAFTNLSKWSSLGRRVFDIYIQGELFQEDFSIQNEAGGSFRPISKPFKVPVSKNYMEIHLFWAGKGTCCIPKDGTFGPSVSAIFASLDSDQPVSNAKKGTSQIKGTGSKKNAAILIVGIVVGVGSVCFLVFTISILFYRRKGRSSEDEELFGIDDQRPHTYSYSELRTATEDFSSSNKLGEGGFGPVYKGILNDGRVIAVKQLSVKSNQGRNQFVAEISTLSAVQHRNLVKLHGCCIEGQNRLLVYEYLEKGSLDRALFGNRSFTLDWPKRFDICLGVARGLSYLHEESRLRIVHRDVKASNILLDADLNPKISDFGLAKLYDDKKTHISTVVAGTIGYLAPEYAMRGHLTEKADIFSFGVVALEIVSGRPNSDRSLEEDKVFLLELVITL >KGN50412 pep chromosome:ASM407v2:5:7087066:7089887:1 gene:Csa_5G172910 transcript:KGN50412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIKLKCNCGETKCLEWAVVELQGVVEPQSTFQDRLQNLEIGILCRPSAQEVYTFTVGYHELTGSKISLKKPLLVLKKTRSVDEDQSSDTKSGNAELEVIGIIRQRILFKTRPKALISKPQPLVKERSRASGSAVTGQTT >KGN50449 pep chromosome:ASM407v2:5:7331497:7334862:-1 gene:Csa_5G175730 transcript:KGN50449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFVTPEGLRQDGRRPRELREMRAEIGAVSKANGSAVFEMGNTKVLAAVYGPREVQNKSQQMSNQALVRCEYTMANFSTGDRMRKPKGDRRSTEISLVIRQTMEECILTHLMPRSQIDIFVQVLQADGGTRSACINAATLALADAGIPMRDIVTSCSAGYLNSNALLDLNYVEDSAGGPDVTVGFLPKLDKVTLLQMDAKLPIDVFEDVMELAIEGCKAIATYIRNVMLEHTKQLEYHRDG >KGN52518 pep chromosome:ASM407v2:5:26667091:26667715:1 gene:Csa_5G640520 transcript:KGN52518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRSSSSSSFSGLTMVVVVMMLITILYSSHTCRASVLIKANATYGGVMTAELLIYPDVTRYLMYDHADSKTGKTKDKEVVVCDNGKGNSFSGCGGSSSNKKQCNAYGDPKDCI >KGN51551 pep chromosome:ASM407v2:5:20367699:20369492:-1 gene:Csa_5G577420 transcript:KGN51551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFNSCKEFKIGKCEGQKEVDGESIPLVLNPPQASKADFESLLLSLKKNHDWLNEMIIKHSAVLLRGYDVSKAQEFNDIVETFGWEDIRYVGPAPRTHIYKRIWTANEGPLSEFIYFHHEMVLIKEYPKRVILYCEIPPPEGGETPIVPSFKVTEKMVKEFPKEVEEMDKKGLKYTFTALSKNDTSSMRGRGWQDTFGSSDPIEAEKRANALGMDVEWLPNGAMKTILGPRCLTKVFDGRKGRRMWFNTVVGMHGKEHSSALMADGTEIAENVVKRCQEIIEEESIQFRWEKGDVLFLDNYALLHGRRPSLPPRKVLVATCK >KGN51827 pep chromosome:ASM407v2:5:22170991:22172040:-1 gene:Csa_5G602750 transcript:KGN51827 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major latex-like protein MASDGTLSVELDVKSVADKFWKNMRDSTIIFPKAFPHDYKSIEVLEGDGKAVGSIRLITYSEGSPIVKESKERIEAVDEEKKTVSYSVIEGDLLKYYKSFKGHIAVIPKEEENGSSVKWSCEFEKASEEIPDPHAIKDFVVKNFMELDDYCHQQA >KGN52328 pep chromosome:ASM407v2:5:25111797:25126212:-1 gene:Csa_5G623910 transcript:KGN52328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVPCCSVCQNRYNEEDRVPLLLHCGHGFCADCMSRMFLASSDSRLSCPRCRYVSVVGNSIQALRKNFAVLALIHSSSKTAVATSEFDCDFTDDDGDDGEGEVNGDEESLSRRRWSGGSCTSTSGGCGPVIDIGVHKDLKLLRQIGEGRRDGVEIWTAMLGGRGSGSTRCRHQVAVKKVAVGDDMDLGWVLEQLESLHRASMWCRNVCTFHGAMEMDGSLYLVMDRCYGSVQSKMQENEGRLTLEQILRYGADVARGVAELHAAGVVCMNIKPSNLLLDATGHAVVSDYGLAAILKKPMCSKGRSDCDSSRMHLCMECAMLSPHYAAPEAWEPVKKSLTFWDDGLGMSVESDAWSFACTLVEMCTGSIPWSGLCTDEIYRAVVKAKKLPPQYSSIVGVGIPRELWKMIGDCLQFKSLKRPTFNKMLTTFLRYLQEIPRSPSANPDNDLAKFSGLYITDSETSLMSDLEVFRYNLGHLHRLVFDGDFNGVRDLLVKAAFRNSSSFISKLLEAQNDEGQTALHLACRRGFAEIVEVILEFREAKVDILDKDGDPPLVFALAAGSPECVRILIERGANVCSRLREGFGPSVAHVCAYHGQPDCMRELLLAGADPNVVDDEGESVLHRAVTKKYSDCALVILENGGCRSMALLNAKHLTPLHMCVSTCNVIVVKKWIEIATAEEIAEAIDIPSSAGTALCMAAALKKDREREGRSLVKLLLHAGADPASQDAQHGRTALHTAAMANDVELVKLILNAGVDVNICNVHNTIPLHVALARGANSCVGLLLSSGANYNLQDDEGDTAFHIAADAAKMIRENLQWLIVMLRNADAAVEVRNHSGKMLRDFLEALPREWISEELWEALACRGIHLSPTIFEIGDWVKFKRTIAAPTYGWQGAKHKSVGFVQNILDKDNLMVSFCSGEVHVLANEVIKVIPLDRGQHVQLKNDVKEPRFGWRGQSRDSIGTVLCVDDDGILRVGFPGASRGWKADPAEMERVEEFKVGDWVRIRPTLTTAKHGLGSVTPGSIGIVYCNRPDGSLLLELSYLPNPWHCEPEEVEPVIPFRIGDRVCVKRSVAEPRYAWGGETHHSVGRISEIESDGLLIIDLPDRPIPWQADPSDMEKVDDFKVGDWVRVKTSVSSPKYGWEDISRNSIGVIHILEEDVEMGIAFCFRSKLFICSVTDVEKVPPFEIGQEIHILPSVTQPRLGWSNESPATVGKISRVDMDGALNVKVAGRQSLWKVCPGDAEQLSGFEVGDWVRSKPNTGNRPTYDWNIAGRDSFAVVHSVQDCLFLELACCTRRNRWLAHASDVEKVPSYKVGQYVQFRPGLSEPMWGWRGVQSDSRGIITSVHSDGEVRVAFFGVSGLWRGDPADLEIEQMFEAGEWVRLRENTNKWKSIGPGSVGVVQGLRFEGDEWNGRISVLFCGEQESWVGSITHLERVDRLVVGQMVQVKSSISQPRFGWSVHSSSSVAMISAIDGDGKLKVYTAAGSKAWMLDPAEVESVQEEEFHVRDWVRVKTSVSTPTYQWGEVNHSSIGVVHRKENGELFISFCFMEKKLWLCKAWEMERVRQFRIGDKVRIRQGLVAPRWGWGMETYASKGQVVGVDANGKLRIKFQWREGKPWIGDPADIVLDEN >KGN50715 pep chromosome:ASM407v2:5:9829019:9831802:1 gene:Csa_5G218810 transcript:KGN50715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGGRKNLQRASEHHIASLEDGHSIMQVVSIRGSNLIEVMDAQGEKSLALFPAKFQKSMWIKRGSFVVVDESGKKNALESGSKVACIVSRVLYFEQIRELQKSPEWPEIFKNAIVGDRNENLQAQNNQPGEDEVNSSDDDGLPPLEANTNRAKPLILQPDAESESDSDS >KGN49981 pep chromosome:ASM407v2:5:4270418:4272870:-1 gene:Csa_5G148520 transcript:KGN49981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITEVRAHDTSLPCKNNNNFSYDTEPTSITRLSSWPMGELDPAAALAMASPEFGEHGGVNMSIENSITFTVMEAETMRRMFEGELGPERDFFIYSRHFNPTVMALSRQMAALEGTEAAYCTSSGMAAVSSVLLQLVSSGGHIVASRTLYGGTHALLSHFLPRVSKITTTFVDVRNHEMVRDAMVEGKTKVLYFETVANPTLTVANIPELSRMAHQKGVTVVVDNTFSPIIISPTRLGADVVVHSCSKFISGGADIIAGAVCGSKELVNSMMDLHQGTLMLLGPTMNAKVASELSQRIPHLSLRMKEHSNRALVFAQRMKNLGLKVIYPGLNDHPDHQLLGSIANKDYGYGGVLCIDMGTEERANRLMNLLQNHTHFGLMAVSLGFFETLMSCSGSSTSSELNGEEKELAGISPGLIRMSVGYVGTLEQKWNQLEKALLKMKI >KGN52087 pep chromosome:ASM407v2:5:23638922:23641538:-1 gene:Csa_5G609730 transcript:KGN52087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALFSLSSPSLTRTATALPYPTTSFKGNVNILNSKHLSISSLRLKSKSVDGKHLVVRNQAAASSLSASPAENVRFRLDNLGPQPGSRKRGKRKGRGISAGQGGSCGFGMRGQKSRSGPGVRKGFEGGQMPLYRRIPKLRGIAGGMHAGLPKYVPVNLKDIEEAGFQEGEEVSLESLKEKGLINPSGRERKLPLKILADGELSVKLNIKARAFSSAAKEKLEAAGCSLTVLPGRKKWVKPSVAKNLARAEEYFAKKKAAAAAAEQASA >KGN51753 pep chromosome:ASM407v2:5:21728259:21730348:-1 gene:Csa_5G598610 transcript:KGN51753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSEAGSIFEHFPILCYVLSQLDPIPGKSSPQLPFETKESVLAKLSHLNNPKVLASIIQVIPNNLTHTLSALISLGPRPDSSAVAAACDRIIEIQSTLQKNLQEIEDEAGHGGFEAEDRVEREKKLRRAAEKETEIYKAVARLEEMHEGYEKQLIAVQDRVVEVYESAVAELDKGTNLDVNEEVIRILKEAASGVVEKVDLFGQQIRFLPEEFGKLRRLIDLNLSHNQLEVLPDSIAGLQKLQRLDISSNLLESLPDSIGVLINLKVVIVSGNKLKVLPETITGCSSLVELDASFNNLQGLPINIGYGLVNLERLSIQLNKICYFPTSICQLRSLKYFDAHFNQLHALPPAIGRLTSLEVLNLSGNFNNLTEVPESMSDLCNLKELDLSDNQIKALPDRFGRLEKLLRLNMDQNPLVIPPMEIVDKGAQAVKDFMDMRWADLVAEKQKSMHEANMAEKQSGWLTWGSSMLANVTSGVVQTISDYTGGRNENPKDPWLYQQL >KGN50888 pep chromosome:ASM407v2:5:12744970:12745317:-1 gene:Csa_5G314840 transcript:KGN50888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPIFLIDRLLVTDICAIKFGSFQVHQLDHVDHFPLAMTTGDIDWGPCPFKFENSWLSTPSFRPPVETWWTNNRVAGWPRHGMMMKLKALKCSFRSWNNNKHREATKLPSLISQL >KGN51943 pep chromosome:ASM407v2:5:22797595:22799995:-1 gene:Csa_5G606340 transcript:KGN51943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFMKGDLLTKTRKLVKGLAKAEPVWLKAMEQAPPPSFPRVDGTIKTITLPEDVYVKKFFKKHPDSYYHDAIKFCGFNPPPARIFAWRVLELKEQGVNEEEAMTVANMEYRAEKKMKKNAYSRLKQIARLQGKKPPRNPYPSAIKEIQAEERKFVRDRFFDPKIKEIAQRLKEERAAEMQERTGGGR >KGN51992 pep chromosome:ASM407v2:5:23062197:23064473:1 gene:Csa_5G606820 transcript:KGN51992 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MRIQRIMRSQGINGPSYKFIQGNMRDMYTKRMQAMATPMELSHNILPRVIPHVHSWLNDYGRSFLQWYGIEAQLIITDPEMIKEVLNDKQKNYPKAKLGRDLLRIFGDGLVTSEGQRWAKSRKIANFAFHGDSLKNMIPTMIECGEKMIEGWKNHEGKELDVYKELKVYTLDVISRTAFGSSYQQGLNIFHMLQQLTDLSIRNGYKIKLPIISKILRSKDDVEGERLEKRMKECFTEIIRGREDRSKNGGGEGYGNDFLGMLVKAKNEGEKSERITMDVIVAECKTFYFAGHETTNVLIAWIMFLLALHKQWQEQARDEVFRIFGHSSNPTQANTLTSSDFSPLSSSSHSLPPSLRSCYFNLWRRNHFPPLSSLIGTKPQMPS >KGN50192 pep chromosome:ASM407v2:5:5690940:5695040:1 gene:Csa_5G158480 transcript:KGN50192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATNASTTAAATAAAPAVESGSSSADEVTAKAVQKRYEGLVMVRTKAIKGKGAWYWAHLEPILVHNTDTGLPKAVKLRCSLCDAVFSASNPSRTASEHLKRGTCPNFNSLPKPISTVSPSSFLPPTPTSPPPLHHSNNRKRTSSAVAASSGDRAGGGGSSYQVPPLAIVDPSRFCGELTYSPSVGVSGGGGGGGLLGQQPHLMLSGGKEDLGALAMLEDSVKKLKSPKTSPGPTLSKTQIDCAIDFLADWVYESGGSVSFSSLEHPKFRAFLNQVGLPAISRRDFTNSRLNSKFEDAKAESEVKIRDAMFFQLASDGWKDKNYAVFGIDKLVNLTVNLPNGTSLYRRAVFVSGSVPSSYAQEILWETVADISGNVVQQCVGIVADKFKAKALKNLENQNNWMVNLSCQFQGFSSLVKDFSKQLPLFNSVTEHCMKLANFVNYKSQIRNCFHKVQLQEYGNAMLLRVPPRNHEKLNFGPVFTLMEDILSFSRALQLVVLDETWKIASVDDPIAREVAELIGDVGFWNELEAVHSLVKLITDMAVEIEKERPLVGQCLPLWDQLRGKVKDWCSKFQIAEGPVEKVIEKRFKKNYHPAWAASFILDPLYLIRDTSGKYLPPFKCLTPDQEKDVDKLITRLVSSEEAHIALMELMKWRTEGLDPVYARAVQMKERDPITGKMRVANPQSSRLVWETYLTEFKSLGKVAVRLIFLHATSCGFKCNWSLLRWLSSHTHQKAGMDRAQKLIFISAHSKLERRDFSTDEDKDAELFSLANDTFDKDILGLERPP >KGN52314 pep chromosome:ASM407v2:5:24992988:25000154:1 gene:Csa_5G623770 transcript:KGN52314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNAGHFSDYKLCGFLCVVLAVPSPQFDLLNLLRPGTRCYVSTESSDVCFTSQNGVLLSPIEESPKSLFKPGVLPQDSEQCRGTVNGEGIGAAEIGDFTPKRGASAGGSRSSRKKRTNRMGLVHGNMSVVYQIHALVVHKCMKIDAQVIFLDIQEARAVLLVDVYLPVELWSGWQFPKSKTIAAALFKHLSCEWQERSSILVGKDHSQDVHVVGKSVSNLAECHVHNCQLHNSSGGSPNRRLFELHEIFRSLPSILKSSKPEYTRMQPEDDYSQSGLWDISDDILFNILKVLRPLDLVRVASTCRHLRSLAALIMPCMKLKLYPHQQAAVEWMLHRERHAESFYHPLYAPFSTEDGFSFHVNTVTGEIVTGGAPAITDFRGGLFCDEPGLGKTITALSLILKTQGTLAEPPPGAQIVWCTHNGNRKCGYYEVSSTSNTITNHFVLKEAVEWNPLKGLEDLTYHTPKRARMTTLDDRHTTNNSCAGNELSSPSSAVDMVRCTRSLSSVKRNLLLAYEGASSLSKELNDGKKSTRTRTRKFPVGEKKVGASPASPSNGFTNNYEVLGTTNADKFEYKDTWVQCDACHKWRKLAETSVADSSAAWFCSMHTDPFYQSCSVPEESYDKCRPITNLLGFYSKETSGGEKKNVSFFTSVLKENRALINSGTKRTLTWLSSLTPEKISEMERTGLRSPILTSYIIPGGNVRGFHQIIDAFGLVRKMEKGTMRWYYPQNLHNLAFDVAALRIALSEPLDLVRLYLSRATLIVVPSNLVDHWKTQIQKHVRPGQLLVYVWTDHRKPSAHCLAWDYDVIITTFSRLSAEWGPRKRSILMQVHWSRVILDEGHTLGSSLNLTNKLQMAISLVSTNRWILTGTPTPNTPNSQLSHLQPLLRFLHEEAYGQNHKSWEAGILRPFEAEMEEGRLLLLNLLRRCMISARKIDLLTIPPCIKKVKYLNFTEEHARSYNELVVTVRRNILMADWNDPSHVESLLNPKQWKFRSATIKNIRLSCCVAGHIKVAEAGEDIQETMDILVDDGLDPMSQEYSYLKYNLLYGGSCSRCGEWCRLPVIAPCRHLLCLDCVALDSEGCTFPGCGKLYVMQTPETLARPENPNPKWPVPKDLIELQPSYKQDNWDPDWQSTSSSKVAYLIERLKDLSETNNEAALLPPSSLTKSGALLQEVDHSRAITSDHEIVRDKVLIFSQFLEHIHVIEQQLTIAGIRFAGMYSPMHASNKMKSLAMFQHDASCMVLLMDGSAALGLDLSFVTYVFLMEPIWDRSMEEQVISRAHRMGAIRPIHVETLVMHETIEEQMVQFLQDPDECKRLMKEEFGKPDYEGPRAHRSLHDFAGSNYLSQLKFVRTKPTMEKVVENI >KGN52193 pep chromosome:ASM407v2:5:24225941:24229812:1 gene:Csa_5G615180 transcript:KGN52193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQDVEKFIRDPARQQLEFQQLPTSYLRLAAHRVAQHYSLQSMVLLDNSLPDGSGSKIIVRKTSECRLPTIRLADIPVNLPAEENSSMKVAIKQRPQKRSQLARDGNANSSKSSGSKSVEERKEEYNKARARIFNSSSNSGSHAGGKVDTDPRPQDNYRGSLSAAKVEEKMIPGVPDTNFSRGLIDCSTSSSRISRSRTDKELPSRQYKPNSRVAIFRDREIDSKDPDYDRSYDRYMQRFDPGFGFSGGPYPVQPMYAPAVNYNTEFPQLGSAHRQAVSECQQRPLPPPHVPGPWNTQSSPAGLGYGHREAMIRPFNPNVDAHSSSGVYLHSSQYPGQQTGMHFIHPHEQTHQPFSQQYQQQPDASFGLARPR >KGN50043 pep chromosome:ASM407v2:5:4693451:4695873:1 gene:Csa_5G151590 transcript:KGN50043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSFQNLNFAANYSLNVFKILGKSFQDGKTGAEDSADTILRLDSTGSSVPCGSISNGMKRKWSLVEKSMGGQSVGSSLSLGFVHSSSSSDSKGSSGTACTRVSSAKETDEESSMALDLDFSLNLGSDRVASPKEPASKPLKVQKVKPKVDLELSLSTGPSESDVTSIYQGFPSLQLSMEKPLTFVETSNTDDGETSCCWKPGTAQPVVPTSLNPQVGYIFPPVTEIMIPPANVPDLSSSVLTMPKSSVTCTSGITQQQRFNRSSNSKICQVEGCGKGARGASGRCISHGGGRRCQKLGCHKGAEGRTVYCKAHGGGRRCQHLGCTKSAEGRTDYCIAHGGGRRCNREGCTRAARGKSGLCIRHGGGKRCQKENCTKSAEGLSGLCISHGGGRRCQTPGCTKGAQGSTMYCKAHGGGKRCTAPGCTKGAEGSTPFCKGHGGGKRCGFQGGGICTKSVHGGTNFCVAHGGGKRCAVPECTKSARGRTDYCVRHGGGKRCKFEGCGKSAQGSTDFCKAHGGGKRCSWGHPGSEYGTQPCCPCNSFARGKMGLCALHSGLVQDKRVHGGVSIGPIIQDPNLSKTEKMKGIVGEDYMNEDLIKVGGKVGPNLEHFAGSEADKPSTSVLAPEGRVHGGSLLAMLACSSGLGSTSRNAVSGPDQPMEHHIMSRSWV >KGN51377 pep chromosome:ASM407v2:5:18559401:18560123:-1 gene:Csa_5G524700 transcript:KGN51377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFDSNFKDDLPLFSSFFSDKTESKPQLVLDDNDNDHGQFSGMELGRSSSPSSSSKGLFHSLQLHNNFENHNHNQYLHQYFPINGSSDHHHHHPMNNNNDNHFSIDHGSLQNLLSEIPITTQYFDPKIEPNFTNNEFSKDQSFENIGANKFMHGFLGCGNNVWTNFPGNFLPQFSQLPNSHLGLGSSSSSSGNFSFIGYDHNKKRKRIQLRKENKPPKKLPNIIKGQWTPQEDRYTNNLT >KGN50871 pep chromosome:ASM407v2:5:12452031:12462927:1 gene:Csa_5G308760 transcript:KGN50871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGRKRRRPEGMETERHTGTTETKGWVGDGGTEVGVIDKGFLGDGENGVQWGTKMEKGDGGLVSASRDGETLQLNEGEDNGEEEKGFVGGENGELECEVSIQSPSRSLRKKAKVSYNDKVYEFDEDDVVEIPFKKPGRRGRKKKEFSSNRIVSEDDEKVSPVEEEYGVRGKKSGVSGSRRGRKRGGSHALRKEFVVEPEGDKKINKLDPEFIANISLMCHQCQRNDKGRVVRCTNCNRKRYCLPCLRNWYPHTSEEAIAKSCPVCSGNCNCKACLRLDVPVKNLKNMEPVDTGESEVDHAKYVLRKLLPFIKWLNEEQMLEKKHEATRLGLPLEDLKVKKVKCEDNERMYCDICRTSIFDFHRTCVSCSFDLCINCCREIREGDMQCCDKKKIISYINRGFEYLHGEGLRKVKRGKATVLAKSCPTDDVESGFIWRAEKDGRIPCPPSNLGGCGNGFLELRCLLKDSISELVDEGEEIARTHKIMDVDETAGKWCSCFNSAGEINLESGMLKKAASRQGSSDNYLYCPTGRDLQPGEIKHFQWHWSKGEPVVVSNVLETTSGLSWEPLVMWRAFRQITHTKHGQQLEVKAIDCLDWCELDVNIHKFFIGYTNGQFDAKLWPRILKLKDWPPSNHFEKCLPRHNAEFISCLPFKEYTHPSKGNLNLAVKLPAESLKPDMGPKTYIAYGVTQELGRGDSVTKLHCDMSDAVNVLTHVTNVTLKPEHLHSIKELKAKHLAQDQEEIYGAVTDTNIVDGDGGKFSNDPCSTTENGKEHAYDVDHQNNNAVLKDASSSNRGDEDEGDRRNLNEPGTVPDESVEIDLAEGTSSEEKISEEMESWEASDGGALWDIFRRQDVPQLQEYLNKHFREFRYIHAGTVPQVFHPVHDQSFYLTLEHKRRLKEEYDAVFIPAGCPHQVRNLKSCIKVAMDFVSPENVGECIHLTEEFRRLPSNHWAKEDKLEVKKMSVYAMKATIDCLNGKKEKKEKKEKKEIDCLNGKKRGRKGKRGRKGKRSDAD >KGN51264 pep chromosome:ASM407v2:5:17653300:17660892:1 gene:Csa_5G505740 transcript:KGN51264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEITYSSPSANSLSFSNSAMPTSGRPVKVIPLQHPTTSSSSTTGGFGAGTLVKSWTTKVKRMTWIHWMELLLPCSRWIRTYKWREYLQSDLLSGITIGIMLVPQAMSYAKLAGLRPIYGLYSGFLPLFVYAIFGSSRQLAVGPVALVSLLVSNVLGGIVNSSEELYTELAILLALMVGILECTMGLLRLGWLIRFISHSVISGFTTASAFVIGLSQVKYFLGYDVSRSSRIIPLIESIIAGADGFLWAPFIMGSAILAVLQIMKHLGKTRKHLRFLRVAGPLTAVVMGTTLAKVLNLPSISLVGDIPQGLPTFSIPKRFEHVKSLIPTAFLITGVAILESVGIAKALAAKNGYELDSNQELFGLGVANVVGSFFSAYPTTGSFSRSAVNHESGAKTSLSQIVTGIIMGGALLFLTPLFEHIPQCALAAIVISAVITLVDYEEAIFLWRIDKKDFLLWVITAVATLFLGIEIGVLIGVGVSLAFVIHESANPHMAVLGRLPGTTVYRNVQQYPEAYTYNGIVVVRIDAPIYFANTSYIKDRLREYEVEVDQSTGRGPDVERVYFVIIEMAPVTYIDSSAVQALKDLYQEYKLRDIQIAISNPNRDVLLTFSRSGVVELIGKEWFFVRVHDAVQVCLQHVESLNETTKTSDSSPKDKSSFLQSLVKSRSEDFSVSQLESGFQKLPSFNEIDPQLEPLLSRKP >KGN49948 pep chromosome:ASM407v2:5:3977152:3978933:-1 gene:Csa_5G146210 transcript:KGN49948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLRFFRVKRKSKVADKKTILDAQIQQSFFATRFRWTEIESLTKNFSTLVGSGGFSNVYLARTAPGMAAAVKILGASERLNRMFRQELDILLKLRHRNIVNFVGYCDERDEGALVFEYVPNGNLQEKLHRRPAASVLPWKIRLLIAFQLAQAIEYLHEKCSLQIVHGDIKSSNILLDEQLNCKLCDFGSAKMGFSSAVGNPSSSSSPSSPFRAKQLMMGSPGYTDPQYLRTGIASKKNDVYSFGVVLLELVTGKEAFCSEKGQILTSILPPAVRDGGGIKASEVLELVDPKLWGELEVDEAGALIGIAAECVRQPPAPRPRIGEVVEMMREKMGSVGEVKRGSKGLDMGRW >KGN49817 pep chromosome:ASM407v2:5:3341859:3342371:-1 gene:Csa_5G138490 transcript:KGN49817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSCCVSYTIKFPCCLKVDAAHKQNHGEALKIVKSDGKVLEFTTPTLVKDVLVNFTGFVIGSSQDVSHHHHLSPEFELKMGQVYYMLPSPQPTALPPPHSFIQDSHKSRSTRRVRIVLTKKELQHLLAKQVSIEDLIMHQLQHTTLYSNLESSSTWKPALAAIPEGNEA >KGN51441 pep chromosome:ASM407v2:5:19286543:19289412:-1 gene:Csa_5G546590 transcript:KGN51441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATERRLPFSSFLTFLYGAIVILTSPSSSASLDTFVFVGCTIQKYIPNSPYDSNLNLLLTRLVTSSASATYGNFTVLGSASQNTIYGLYQCRGDLNSGDCSQCVAGAVSRLGTICSDACGGALQLEGCFVKYDNKSFFGVEDKTVVLKKCGASIGSDVEGLTGLDAALEYLVSSGGTYKTGGSGDVRSVAQCVGDLSVSECQDCVSDAIGRLKSACGPYSWGDLFLAKCYARFTTGADHAQDNGNGFGYANANANKEESKGNDNETNKTLAIIIGLIAAVALLILFITYLNKKCEKGKGCK >KGN50170 pep chromosome:ASM407v2:5:5543194:5545932:-1 gene:Csa_5G157290 transcript:KGN50170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFIIMCMLFVSFIISCLSFLLLFNSSTKRTHSKLPPGPKGYLVIGNLMDIGDKPHQSLANLAKSHGPIMSLKLGQMTSIVISSAAMAKEVLQTHDQQLCDRTIPYSSTVYDHDKLGFVWLPVCDVWRTLRKVCNNHMFSHKILDSTKIIRQKQIQRLLDNVRERALKGEAVDIGKAAFVTILNMLSNMVFSVDLADPNSEWAKDLKETVWGIMEESGKPDIGDYFPLFKTMDIKGSRRRMMVYIKKFLDMIGDMIEKRMELEGVEENHDMLYNLLNLAKENDDSMFDVYLIKHLILVLLPAGTDTTTSMVEWAMAELLKNPEALSKARIELMEVVGKNRPIEESDILKLPFLQAIAKETLRLHPPVPLLLPRKARQDTEIGGFVIPKDAQVIVNAWYIQRDKNIWEDGELFKPERFLELSEIDYKGRNMELIPFGAGRRICPGLPLANRMGHWILASLIHSFDWKLEDGITPKNMNMDEKVGLTLVMAHPLKAIPIIV >KGN50123 pep chromosome:ASM407v2:5:5226062:5232029:-1 gene:Csa_5G154850 transcript:KGN50123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGRALTASSFFTPIDLLRPRRRAVRNLCFNGRPSKFSVLSSKEEAELDRWDQMELKFGRLIGEDPKLTLAKIMSKKMNPDASYLEVEKSFYQKKGKSNEVEELSLDGLNLVRPQLKKEMKLKAANKPPGPDIKKPSQAVGKVPVSPKGRVPNVILRKPTTYNEDDVEDKPSRIRMKPNLSLKMSNVSTKEKYSDMTLLRKPEPMTSNEVIDEEKLSGDGYVDNVENIENWASKEPTSDRIDDFTLSKKPEIGGDETRLESESDMVDVKEKNGIDDLYILKRPLNVMSGVSEETEVGSSTNENGKDIDYSAIGLQQLHEPSDIDYVENPAALSESFSDILDLTIEASKKATLLGKPRRVDHSSKETPKLNREETSTPETDVNGAFETENFSAIPALEEHELADWTKAEDLAKSGDRADVEVISSSTRGFVVSFGSLVGFIPYRNLAAKWKFLAFESWLRQKGLDPSIYKQNLGTIGSSDGGSQAFASTRPDSEIDVKDGGELTPDMKLEDLLQIYNQEKIKFLSSFVGQKIKVNVVLANRKSRKLIFSIRPKERDDLVKKKRSLMTTLQVGDVVKCCIKKIAYFGIFVEIEGVPALIHQTEISWDVNLNPASYFKIGQVVEAKVHQLDFSLERIFLSLKQITPDPLAEALESVVGDHDPMDGRLDSTEIDTEWADVESLVKELQNIEGIEAVSKGRFFLSPGLAPTFQVYMASMYENQYKLLARSGNKVQELMVETSLDKETMKSVILTCTNRVE >KGN52693 pep chromosome:ASM407v2:5:27792854:27794713:1 gene:Csa_5G650580 transcript:KGN52693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGFSILTGTFDKSQWLHQINNLVNQDALLAASQIPISVFRLPESVHDVDPTAYLPRHVAFGPFHHFQPELYKMELFKLGKAKNLKWGPQIHKLSDRLTPLELKIRACFDQALEINGETLSWLLLIDGLFLIYLLQNEYMNCPLTFPSEIFYGKLWSEFEIVCDMVKLENQIPLFVLNEICPEEPINFLAPSLYQFCVSVSPFQLPCFNPRLECFGFSSYLPEIFDLSHHLLHFLYSLILLIPNERVVFVAHCFRMDNSSSSSSSVEFLSECLDILGSVINIAFIQQIKETIGLIQRLLRLLSFITKPNLAEKTPLLIIPSASDLKSAGFTFKSTKNGILKSNFDETTLTLTLPCIHLDGFTHVLLKNLVAFEAMAELNPPCLANYTALMNGLLRNSKDLKVLEKAEIVHNHLNSEEEAAELFYGVENSTSKLKKGSLLKIHLNSGFNFENGSKFIQEVESSYIEMGLGDMVERINNCYGNCWRMKMKKFVSVVYKCFAVLVVVFLIVLVTTRFVCNFLSCPFGTFMNTTALHQML >KGN52471 pep chromosome:ASM407v2:5:26376015:26377713:-1 gene:Csa_5G636630 transcript:KGN52471 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-expansin 5 MAIITTVLQLLLFFPIFSSVNGDYGGWISAHATFYGGSDASGTMGGACGYGNLYSQGYGTNTAALSTALFDNGLSCGACFELRCVNDPQWCLPGTIVVTATNFCPPGGCCDPPNHHFDLSQPIFQHIAQYRAGIIPVAYRRVRCRRSGGIRFTINGHSYFNLVLITNVGGAGDIHSVAIKGSKTRWQPMSRNWGQNWQSNSYLNGQSLSFLVTASDGRKVLSYNVAPSGWSFGQTYVGGQFRY >KGN50160 pep chromosome:ASM407v2:5:5479940:5481027:1 gene:Csa_5G156200 transcript:KGN50160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFFFLFILTISSLTSSMAFHNLQSPHLLDLYIRDYTLKSLDNTVKTGTLHSVPLPENFSGIDVATARFRCGSLRRYGASVKEFHVGVGVSLNPCAERIVIIRQNLGSNWSSIYFNNYRLTGYQLVSSILGILAYNSGNNGSSSSPVPFEVGISAGEKPITIDFRNSTRMGKNSRTRPICASFERDGRVTLAKEISPSICSVLRQGHFGLVVEEPEPVELRKKERPWKVAIGSAIGAAIGAFLLGLLLVAMFVRVKKRTRMEELEIRAYEEEALQVSMVGHVRAPTAPGTRTMPSIEHEYLPPSRRR >KGN51270 pep chromosome:ASM407v2:5:17705730:17707404:-1 gene:Csa_5G505800 transcript:KGN51270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGNGEDLISFLPDEILRLIISFLPLESSLQTTLLSSTWRNLWNSPLLYFGPVEGIANQVSSFFNHFNNLHPITKLQYNFGKNHFLLASIAPHNKLHLDFSAPKSEFPYHFDWELKFDTQKNPSPSSFYVKSLCLKSVTYLTNEAVSSLVLNIRFLENLRIERCNGFRSLCIGSTPKLQSLTVLECPDLRFLHIKCSKLRSFRYRGQLPRIRLESHFNLQDAMLDIRQGPGCNNLKISDFDPCLLTIKNANTLTLCRWNYEVLIRPSLASLQGNFIFYNIKELWWIGSNSNGGYNNNALLTFLQICPTLERLFVTIDPKGYGTPSKETYMNNVRRKTKLEHLQLVSLKGFVDQNEEMELIRLIKELVAVNPVFMSVIDKNKLESLAGVPYNQIKSQSFINIKTEKNGSNEKYLFSKLDDAEQTWPKHPHMNL >KGN52501 pep chromosome:ASM407v2:5:26573236:26575041:-1 gene:Csa_5G638400 transcript:KGN52501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHHHPHQPHQPHFHQTHLPFACCCGGGGGGDFTTTCFQTHHPSPHLPVSPSDPLLQALASQILQSAQFHQYPTLRSQKSFQSHYQFLGPQNQKKQEIPQVLHHSTVSSLLSRIEALESSLPRVFASHLPSQSLRHVAASTIQTHFRAFLVRRSRAFSELKDLAIIKSRYESLQSSFSNGLYFDRNAISLEIMDFLLHLDSIQGSDPMVKDSKKNLIRDLVQFLERIDNFAVKKRNGLPNLRLGQNVSKFRVPSNPKHKCYENHKETIEKLKNRVEKICERSRILENNVKEEEEELEESPRITIKEKNQTRNRNLVKNHQILQPRVKKSVRFAENGDLSRVLSSKNPHEDYGVMDERDSGDELVEDTCNEAMEIKEFSEEAEDDEEGHGDEEVDGESPEVSDGERNHRRKMMTGNNGVSAFTAPLPLKMENKADLMKNRKSLKILG >KGN51694 pep chromosome:ASM407v2:5:21336419:21339358:-1 gene:Csa_5G590110 transcript:KGN51694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDVTASSGSLINGPPSHTPSSFSSTIFTNYPLISALLAFAIAQSIKFFTSWYKERRWDFKKLVGSGGMPSSHSSTVSALAIAIGLQEGFGASVFAVALILACVVMYDATGVRLQAGRQAEVLNQIVYELPAEHPLAESRPLRELLGHTPPQVVAGGLLGIVTSSIGHLMIIMTRS >KGN50905 pep chromosome:ASM407v2:5:13031870:13032460:1 gene:Csa_5G321430 transcript:KGN50905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLDGEQKELIKKLVNFRMKEGKRTKVRVILSQTLNRPAQTERDGIKRMVEAVENIKPICEVERVRVATTFYGVPGIVANDRQQTLAIRWILEAAFKRRISYRISLEKCSFDEILDAYRKRGIARKKRENLHRLASTNRSFAHFRWW >KGN49618 pep chromosome:ASM407v2:5:954620:955021:1 gene:Csa_5G026440 transcript:KGN49618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNNESEHFEKKKVRKNTKVVCFDGPVSEQAQHDSTPQTETMRNSSEVLETEGWNPFKFQWMEISITDENIGKMLLDKRNRRGRAKEGVEEKQKEMEEIGEEMEEIIEEQCEKEPSSPIAKTEFGRLAKEAQ >KGN51614 pep chromosome:ASM407v2:5:20858027:20862376:1 gene:Csa_5G585410 transcript:KGN51614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRHGWQPPLHPLQIVGIAIFSFLVVSFYTFLGLFLGNRVAETTITALFSFVVLSVMFLFIRCTAIDPTDKTRLRKRKKIKSKPKLNFGFVLVQIVLRRFRNVERKILKRLIRRKYLDPWMGGPQMEPLVPFPLLMKEDVITPDHNQDDITFCSLCDFEVQRHSKHCRTCNRCVEGFDHHCRWLNNCVGKKNYTTFFLLMISVLLMLAIEGGMAIVIFIRCFVDKKGMEMELRTRLHVDFPREALATISALLVLMTAYGSAALGQLFFFHIVLIQKGMRTYDYILAMKEESQSIIEESFDEDYSDFSSDDDFDSPEKKPTLVSRLVMCQRGGQVSEESKKLSIKIDGNPQTPSTRKQGLHISINPWKLITLSRDKALAAAEKAKEKLERSKHNYLKPLPLETKSGLLTDNVTTTSSCDDIDRTRRMSWGNAKGKVPTGSPGSFSSPRKRCSGSTLTSAASAASLSSPKHNKYRSNFDLKLTQVSKELETYISRQVLCSIIKKEESVASPR >KGN52238 pep chromosome:ASM407v2:5:24570271:24571172:-1 gene:Csa_5G622537 transcript:KGN52238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVSEEITLRCFDIKGIVLHIITGRWFMVFASLLIMAMSESMYMFGLYSSYIKSILGYDQTTLTLLSFIKDLGANVGVLSGLINEVTLLWGYVGLSGAIITQLFHAFYGGDTKSLILLIGWLPAAISIAFLRTVRIMKVIRQPNELKVFYNFLYISLGLAGFLMLMIIVQTQNRVHSKPIWWQRCRHRRPSPPPTPHRHYRRIQSLET >KGN51747 pep chromosome:ASM407v2:5:21670745:21671171:1 gene:Csa_5G598060 transcript:KGN51747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSNFPPSAEGVLLLPVLVMNTVMSMAFLKNFVRSVIQMMSASGNSSSSEEEYDWENRRERRISITQFKTLGQSFNGETEEEFVSRCVMAECCVCLCRFEADEEVSELSCKHFFHKACLSKWFDNKHFTCPLCRSIE >KGN52352 pep chromosome:ASM407v2:5:25331352:25337735:-1 gene:Csa_5G627090 transcript:KGN52352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLFNSNPLSLLQTKFPSKNPNPTPKHKFYIVKMAADFDRATELKQFDETKAGVKGLLDAGINQIPRIFIHPPETLSDLKPTSKSPSSSTPVVIPTIDLAGLHSPDNRSSIVGHIRNAASTFGFFQIINHGVPQKVLDDILDSIRAFHELPAEAKSSYYRRDVETGVSYLSNIDLFKAKAASWRDTLQIGLGPVAAAPENIPAICREAMAEWAKETERLGYLLEEVLCEGLGLRSERLKEATYGEGRMMVGHYYPYCPQPDLTVGIKSHTDPGVLTVLLQDHIGGLQIRHDGGWVDVKPVAGALVINIGDLLQIVSNDVYKSVEHRVLANGKAEARVSVAVFYNPRIRDNLYGPFPELISQVTPAVYQQFTYADYITRFFNKELDGKSLPNHYRI >KGN52541 pep chromosome:ASM407v2:5:26855772:26858671:1 gene:Csa_5G642720 transcript:KGN52541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPGKPTRIGLAGLAVMGQNLALNIAEKGFPISVYNRTTSKVDETVERAKAEGNLPLYGFHDPESFVQSIQKPRVIIMLVKAGAPVDQTIKTLSAYLEKGDCIIDGGNEWYENTERREKAMGELGLLYLGMGVSGGEEGARNGPSLMPGGSYEAFKNIEDILVKVAAQVPDSGPCVTYIGKGGSGNFVKMIHNGIEYGDMQLIAEAYDVLKSVGKLSNEELHQVFSEWNKGELLSFLVEITADIFSIKDDKADGYLVDKVLDKTGMKGTGKWTVQQAADLSVAVPTIASSLDARFLSGLKEERVEAAKVFGSGLNDVLVPQEVDKAKLIDDVRQALYASKICSYAQGMNLIRAKSIEKGWDLKLGELARIWKGGCIIRAIFLDRIKRAYDRKPDLANLLVDTEFAKEIIERQSAWRRVVCLAINSGISTPGMSSSLAYFDSYRRERLPANLVQAQRDYFGAHTYERTDMEGSFHTEWFKIAKQLKN >KGN49962 pep chromosome:ASM407v2:5:4107075:4110079:-1 gene:Csa_5G146850 transcript:KGN49962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLFRMKGLEDFKPLMAMVAIDFAFAIVNILLETVLDHGMNHLVLITYRLSIAAISLAPIAYFCEKDGRANLSLRILCYLFFSAIVGASLTQYCFLLGIQHTSATFACAFVNIVPVVTFLLALPFGLESVKLKSSSGKAKVIGTVVCISGAVLLTTYKGPTLANASYVTAHVSDAKLKKTAESWTVGCIALVAGTLLWSSWFLLQSNIGKRYPYQYSSTAIMSGFGAIQSAVLSLSTGAKLSAWALTGKIQILTVLYTGMIGSGICFVGMSWCVKKRGPVFTAAFSPLVQIMAAMFDIPILHEPLFLGSLLGSIIVIIGLYILLWGKNKEMESCASKVVVEEEITSTITPHDQMMKDLQDQP >KGN52138 pep chromosome:ASM407v2:5:23930887:23934999:-1 gene:Csa_5G611690 transcript:KGN52138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGFPASFFLLFFVGFATFSWVLALPHDVLPKDSGKFILGQENLVPWKNEILETAEGPGSAKNNSQSPLVLAANRTKRPDILHGFRVYEGGWDIANQNYWASVGFTGATGFILSIFWFISFGCALLVHRCCGWKLNLKGEESKTSHWICLALLVVFTSAATIGCILLCIGQNNFYNEGLHTLKYVVNQSDYTVDTLRNVTEYLSLAKTINVAQVFLPSDVMNEIDELNVGLNTAADTVADKTSLNSRKIRKVFTVMRSALITVAAIMLLLALIGLFLSFFGYQHAIYILIISGWLLVTITFVLCGLFVILDNAVSDTCMAMEEWVENTHAETALSNILPCVDHKTTNQTLIQSKKIVNDIVNVVDQFVYNFANANPSPDSPNYRNQSGPPMPALCYPYNSQLEESRCGDNDVTIDNASTVWQKFVCQVSESGTCVTVGRVSPDIHSQMVAAVNESYALQHYTPPLLSFQNCNFVRETFHNITTAYCPHLHHHLKIVNVGLAMISVGILLCLLLWILYANHSQREAVSVKLSFSLNRRRNSNQNTNNNSNGSGNDESTTSSIRSIRSGV >KGN50426 pep chromosome:ASM407v2:5:7177245:7181235:1 gene:Csa_5G173530 transcript:KGN50426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSCCDFLQRLGADLSFKIFTYLDDPSDLVHVCLVTSSWRQFVIENSLSKQLCLRLFPDLSGAPHFIEVKGMIDVSAVGSSSITKWEHLQKFHRIYLLLAKSLNPVTRTDCIAVAIGASSTDNNPIESIENTLEPGDRFRNRASYWSSLGSRDPDVPETLTYGLVSNLCVVSEIHIQPFLAYFQDEFPIYSSRAVRFKMGHQNVSIYSSINDSTVDYDPETDDFIWTYVSPEFPMTQENTLQIFKLPEPVFCVGGVLQVELLGRVQRQAADGLYYLCVCHVEVVGRPLLPEYDMDIIDQSGKGILKYFPNLHESSSTNGQISHSHGRAITSRFVRRGVHGWEHIVWHTLLGGGVFAQDDGIDIYEEGAGR >KGN52032 pep chromosome:ASM407v2:5:23305275:23310510:1 gene:Csa_5G608200 transcript:KGN52032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTVPKSNPSTKCKMKRGKILMVPSRSHHTNSPPDLLSYSLSFPDLHFSGCIYNFDRPDLRADAQLTTERHSFLLSSVSRSSAARMPSPSILPITQPPSSSPFSSGGSVTNSIMATTSTAIDIMLLKEDDEHRTGLLVSPLFDDDRSIGHKPLLPRTSSYASSTSTSSSGSTMYKQKRRRVKSEEFLSFLSGDGRHQTFDHDVENADVERAERFLLTRLGLKLSKYIRVAFRWIARFLALGCYSFFLLPGFLQVGYYYFSSSQIRRSIPYGDKPRNKLDLYLPKHIDGPKPVVAFITGGAWIIGYKAWGCLLGQQLSERDVIVACIDYRNFPQGTMSDMIDDASQGISFLCNNIREFGGDPNRIYLMGQSAGAHIAACTLLEHAMKEVRKVESISWSVSQIKAYFGLSGGYNLLNLVDYFHSRGLSRSLFLSIMEGEQSLKRFSPEVMILEEPNIGAAVSILPPIILFHGTADYSIPSDASKTFAETLQSVGVKTETFFYEGKTHTDVFVQDPLRGGRDQMFEDLVGIIHANDAEALAKDAVAPPRRRFVPEIMLMLARSVSPF >KGN50677 pep chromosome:ASM407v2:5:9356997:9358545:1 gene:Csa_5G210020 transcript:KGN50677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTPILIQQARLLTPSQPTPPRLLSLSAIDSQLFLRFTIEYLLIYTLPSSSHPSAISSAFRAALSDLLILYFPLSGRVREKSDGSGLEVVCRSQGVLFVEAVSDFYTAADFDRPPRSVAEWRGFLSFSVEDVLDGSPPLVVQLTWLKDGALAVGVGFNHCLCDGIGSAEFLNSFAELVVGRLGRATELKPIPVWDRHLLTPQSRLSQRRTPFISPEFQRVPDLCRFMSRFVDEPLVPTSVSFGKIHLTRLKSLAHSTRRPGETAFTAFEVLAAHIWRSWAQSLKLPPNQTLKLLFSVNVRKRIKPNLPAGYYGNAFVLGCAQTTAGELGEKKLGHVAGLIKKAKESVGDEHVRHVTELVSESRVCPDSVGVLIVSQWSRLGLEKVDFGMGRPVHVGPVCCDRYCILLPVYNQTEAVKVTLAVPKSAVDKYESMVRNVAT >KGN51877 pep chromosome:ASM407v2:5:22447451:22448869:-1 gene:Csa_5G604220 transcript:KGN51877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKRDKPYPSSHLPTSAPKRRRPPPSTENDDLEKPVSKPAPPLALVVVDLPSHCSVLDLKSRFEIYGSISRIRIDRDCVGYITYRTKDSADAAMTAALDPSFAFTIDSKKVQVLWANDPQVQWRQGINVGVNKNKELSSKLLRAEVPLSRHGRSNKLASAIVNPRRSNSSSRSDVPFRGREVVAYDDIL >KGN52692 pep chromosome:ASM407v2:5:27788019:27790159:-1 gene:Csa_5G650570 transcript:KGN52692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLGGVLVVLITAAAAVSGQNGSTYEGKWMGGIGMVPAMFIFGDSLIDNGNNNNLPTFAKANYFPYGIDFPQGPTGRFSNGYTIVDEIAELLGLPLIPPSTSPATGAMRGLNYASAASGILDITGRNFIGRIPFNQQIRNFENTLDQITGNLGAATVAPLVARCIFFVGMGSNDYLNNYLMPNYPTRSQYNSPQFANLLIQQYTQQLTRLYNLGGRKFIIPGIGTMGCIPNILARSSDGRCSEEVNQLSRDFNANLRTMISNLNANLPGSRFTYLDISRMNQDILANPAAYGFRVVDRGCCGIGRNRGQITCLPFQMPCLNREEYVFWDAFHPTQRVNIIMARRAFNGDLSVAYPFNIQQLATLDL >KGN50455 pep chromosome:ASM407v2:5:7373221:7375276:1 gene:Csa_5G175790 transcript:KGN50455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFQSGHPPTGAGDDEAAARNYLSRKKPKVPPPIPPSSDFHSRRSTTIATVCNCNLTPSETTRITQQFVHSLIARVVGKDTRPGQLAARLRHHLRLTQDVKVFQLGLGYFVLKFSETDYLALEDLPWSIPNLCIHAFPWTPDFKPSEAINSSVNVWIRLPELSIEYYDVGILKRIADAIGDPLVKIDPVTRDRWKCKFARFCISVNLCDPLPSMIELGRVRQRIEYEGFELCAKCNRVGDLRHDCSSLNNPSLNNPSLNNPSGSYGFNPHGDEPHHSVTRDFKEIGSTSNSKQPLIPESSPVSAWESSRFIEKNPPLDLKLIDWPNLPKRESGKAGSGVRISSPRVHVKDKEIPKKKEKCEISVQRLPNLPKQCSTITIKAPELKRVVPSVVEDRLKDTKTINSTMIADHNSQPPSPTASIPFLQPSPASEATLKFLSDAILCLTRKEEICNSPSKVINDSSFPTVYTIDPKKITSLNIALSEVQTIELVPTMKGGDEGGVGSEVESGSEPCAKKILVWKFHVMDNAKLMRALKDLIQLHEPSIVLIFGNKISGVDTDKVMRELAFCGSYSSKPDGYNGGVWLLLSKQDVQTKVNSFSSQQVSASVTFHSETNVQPFSPSNADTKTSSGPWGSTFFYTSTNWMTSLAY >KGN50730 pep chromosome:ASM407v2:5:10030024:10033098:-1 gene:Csa_5G220930 transcript:KGN50730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFKRRNGGRNKHGRGHVKFIRCSNCGKCCPKDKAIKRFLVRNIVEQAAVRDVQEACVYDQYTLPKLYAKMQYCVSCAIHSHVVRVRSRTDRRNRQPPQRFIRRREDQAKPGQPGQAPRPVGAGNPARV >KGN51757 pep chromosome:ASM407v2:5:21761308:21764509:-1 gene:Csa_5G598650 transcript:KGN51757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAIEAGLCSIWLSGLLLIALSLYATQCLPSFKDHFVKPELGSKTLGDSLNPSISIFSAPRRFVGNIGVRQSLAIRSWLALSPQITVILFSQDPSIVSSASSFSSRVYIDSDIDFTFLGTPYFHSMMARSQSFASDIFAFVDPETILLPDFISTLNYAYKLDRDWLLVVSSRNISYIPFYFNESKSYLSMEDKQFTRIQKELLNEHWQWSYCGGKELIAWNSWDSPLHGGVLPPFLYGRGIHNNWVINEAMASEFRFVFDASWTISSLYLQDLEQPSSGRNEYSNSSVNGTRSWEYFGNHHLGSIYGSSFHPQAKNLTLMKLLKCNGHYILINTTENTLNQFVFGRKKKPTTCDHNFRSLEKLQNCSVTNGISYSETLELPFSLELLLPLVADKNKTIVLAIAGYSYKDMLMSWVCRLRRLQISNYLVCALDSDTYKFSVLQGLPVYRDPLPPTNISFNDCHFGTECFQRVTKVKSRMVLRILKLGYNVLLSDVDVYWFMNPLPFIYTFGSGVLVAQSDEYKKTGPINLPRRLNSGFYFARSDESTIAAMEKVVKHATTSEQSEQPSFYDTLCGEGGINRVGSNKCLEPETNLTIHFLDRNLFPNGAYQGLWNKKNVKSACRKKGCFVLHNNWISGRLKKLERQMFSGLWDYDMSTRMCNHNLQGKVW >KGN51722 pep chromosome:ASM407v2:5:21483996:21488792:1 gene:Csa_5G593350 transcript:KGN51722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAGSVSTFTTTLLNLPPNVSYLFRSRGTLIQTEIGASAYFLTTTKLRLLHSKTTVAALENEFSSAKFTDTSQGVRFGGEEFLTRLLARRWTLANPDTKISKVMFSATDTNIHDFSASHLFLGVDTDICMPNDVLGTDYSNQSFYIVRDDLLHPLINGNKARKLDGVLPLIEDNSVTDVVTCGGCQSAHAAATAVLCAERGLRSHLLLRGEQPEFLTGYNLMSTIYGNVTYVPRSIYANREKVLKSQADLVAGNSGSVLWFDDILSTSLGKQPRSHGRRVIVINEGAGDAIALLGLIRLVKYLSQDHLLGKHRVIKFVVDAGTGTTAIGLSLGALCLGLPWEVTAVMLADRIDGYKRQEKRLISEFRKHFDVPLDLGRDEEVNGGIVNWVERLRQRKFGNVLDGEVETCRQIAQKTGILVDPIYTLAAWEMAAFLSQKGVNANGDLVVLLHTGGTLGLFGLAQRYKSYFNDLKNFVP >KGN52306 pep chromosome:ASM407v2:5:24953206:24956303:1 gene:Csa_5G623700 transcript:KGN52306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFLCVKRVLHLRGLRESSSCPWLFSAISYYSDTPKKKSKLAPLQERRMIDRFKVYAKGGDGGNGCQSMRRSRHERHGHPDGGDGGRGGDVILECSTALWDFSSLNHHINASKGGHGSSKNKIGTKGADKIVRVPIGTVIHLVEGEVPSVVEHHSSTDLDPWQIPGTLVDDLSSHHKNSFKFSNRETEVESAFKTTLVTCNESKNNVRNSSFRRETSEVASTDEISQVSAFPDSSIQDEFGESEEMMYNVAELTEEGQRIIIARGGEGGLGNVHEHKLSKKPKSSVGHEDKSIDSNLSEINESNRRTGSLGSEAVLVLELKSIADVGFVGMPNAGKSTLLGAISRAKPTIGHYAFTTLRPNLGNLHYDDLSITVADIPGLIKGAHENRGLGHSFLRHIERTRVLAYVLDLAAALDGRKGIPPWEQLRDLVYELERHQSGLSDRPSLIVANKIDEEGAEEVYEELKSRVQGVPIFPVCAVLEEGVDELKAGLKSLVNGDTPSRLKIDEIIVH >KGN52460 pep chromosome:ASM407v2:5:26300041:26300984:1 gene:Csa_5G636520 transcript:KGN52460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNLLIGDALNGLEENIAFTESKNDHPSFYGLERMKEVPFDYYQLVLQWQPATCSNAICLRPWSSRFSINGLWAASYSRPIGRCTGNGFLQQNITSIRKELDEDWPSLVISANPAVWSEAWNLQGTCFESPTFQINDYFRLALYLFWRSDVQKALQESGIEPINGKQYEKSDIEAAITKSFGKPALRCNLNLKYLLQSQLSQVFLCFDKCLAHIDCPSKYSPALGCPTKILWNKT >KGN51232 pep chromosome:ASM407v2:5:17440071:17442047:1 gene:Csa_5G496480 transcript:KGN51232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQWTVCKRNVRMLMTQFPLKSVLVRIGLNGTMLQVVSLSSLTPDSLITTVLNCRSPWKALEFFNAAPEKNIQLYSAIIHVLVGSKLLSHARYLLNDLVQNLVKSHKPYHACQLAFSELSRLKSSKFTPNVYGELIIVLCKMELVEEALSMYHKVGAALTIQACNVLLYVLVKTGRFELLWRIYEEMISNGLSPSVITFGTLIDGCCRQGDLLRAQEMFDEMRVKGIVPTVIVYTILIRGLCSDNKIEEAESMHRAMREVGVYPNVYTYNTLMDGYCKLANAKQALRLYQDMLGEGLVPDVVTFGILIDGLCKFGEMKAARNLFVNMIKFSVTPNIAVYNSLIDAYCKVGDVSEAMALFLELERFEVSPDVFTYSILIRGLCSVSRTEEAGNIFEKMTKEGILANSVTYNSLIDGCCKEGKMDKALEICSQMTENGVEPNVITFSTLIDGYCKIRNLQAAMGIYSEMVIKSLSPDVVTYTAMIDGHCKYGSMKEALKLYSDMLDNGITPNCYTISCLLDGLCKDGKISDALELFTEKIEFQTPRCNVDAGGSKPSLTNHVAYTALIHGLCQDGQFSKAVKLFSDMRRYGLQPDEVIYVVMLRGLFQVKYILMMLHADMLKFGVIPNSAVHVILCECYQESGFLKSAQNCSKDLEENLHG >KGN52222 pep chromosome:ASM407v2:5:24447070:24447561:-1 gene:Csa_5G616910 transcript:KGN52222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQESLPPPVGGAAGGIGFLSYRERSLSKRNLKQHQEQGNVYFERPVTRSRSNLCRSVSRRWFAFSRRSFFIFTAIALLLLFVLTFYLESLMTSVFLKRSEKAWSRDAELKVGVTLMFAPRRIPRKFIESNEVDQMHSENRFGFRNPRLALVSDRVSILSYIL >KGN51938 pep chromosome:ASM407v2:5:22783438:22784264:1 gene:Csa_5G606300 transcript:KGN51938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKTKTESGETQILGSKFENPIGEGAQRGLAIVDLVVRVMATMATLSSAIVMGTANQTLPFSTQTSQFKANYKDLPMFFVLANSIVCGYLFLSLPLSIFHIMEVASVTPRLILLIFDMMAMVLATAGASTAASAVYLAYRGNVAANWVSFCTQFDSFCRRISGSLIGSFGAVFAFMLLIIISIVAMVRRSS >KGN51620 pep chromosome:ASM407v2:5:20885753:20895186:-1 gene:Csa_5G585950 transcript:KGN51620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSNDKVENGLIWKRNTSENSSPTGSSSPSMNGKQKGEENKKKKEEEEEKAKSVPFLKLFSFADSYDYLLMFVGSIGGIGNGVGMPLMTVLFGQLINSFGSNQGTHDVVSAVSKVCLKFVYLAIGTAVAAFLQVSCWIVTGERQAARIRGLYLKTILRQDVAFFDKETNTGEVVGRMSGDTVLIQDAMGEKVGKTVQLITTFIGGFTIAFVRGWLLALVMLSAIPLLVIAGATIARYMYQMAARGQSAYANAANVVEQTIGSIRTVASFTGEKQAIRSYKKFLVHAYNSGVKEGLGGGIGIGMVMMIVFCSYSLAVWFGGKMILEKGYNGGQVINVIVAVLAGSMSLGQISPCMSAFAAGRAAAYKMFETIERKPNIDVYDPKGKTLEDIQGDIDLKDVYFSYPTRPDEAIFNGFSLHIPRGTTTALVGESGSGKSTVISLIERFYDPQSGEVLIDGVNLKEFQLRWIRTKIGLVSQEPILFTASIKDNIAYGKDDATEEEIKGACELANAAKFIDKLPQGLDTMVGEHGTQLSGGQKQRIAIARAILKNPRILLLDEATSALDAESERVVQEALDRIMVNRTTVIVAHRLSTVRNADIIAVIHRGRMVEKGSHSELITNPNGAYSQLIRLQEANQDTKRASDDVNRPEFSLESMRQSSQKVPYPRSISRGSSVGRSSRRSLSMFGLTTGLDLPDAGDIDDTIEDQSIKAPPVSLRRLAGLNKPEIPVLLIGTIGAVVCGVILPIFGLLISTVIKTFYLPPNQLKKDTKFWAIIYIVLGVASLVAHPWRAYFFSVGGCKLIERIRALCFEKVVHMEVSWFDEAEHSSGAIGARLSSDAASVRALVGDSLSQNVGNVASAVAGLVIAFVASWELALIVLALIPLIGINSLIQIRFMRGFSGDAKSMYEEASQVANDAVGGIRTVASFCAEDKVMNMYKTKCEAPLKSGIRQGLISGIGFGVSFFLLFNVYALTFYIGARLVDSGRTTFSDVFRVFFALTMAATGISHSSSMTQDTTKAKLAAASVFAIIDRESKIDPSNDSGLVLSNLRGEIELKHISFKYPSRPNIQIFRDLSLHIHPGKTIALVGESGSGKSTVIALLQRFYDPDSGTITIDGVEIQKLQLKWLRQQMGLVSQEPVLFNETIRANIAYGKGGDGEASEGEIIAAAESANAHRFISGLQHGYDTVVGERGVQLSGGQKQRVAIARAIIKNPRILLLDEATSALDAESERVVQDALDKVMVNRTTVVVAHRLSTIMNADLIAVVKNGIIVEKGKHQKLLTIKDGFYASLIQLHTSAAASSS >KGN51972 pep chromosome:ASM407v2:5:22963001:22965297:1 gene:Csa_5G606630 transcript:KGN51972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAERERETQVYMAKLAEQAERYDEMVECMKKVAKLDVELTVEERNLLSVGYKNVIGARRASWRIMSSIEQKEESKSNENNVKLIKSYRQKVEEELSKICIDILTIIDKHLIPSSTSSEASVFYYKMKGDYYRYLAEFKTDQERKEAADQSLKGYETAASTANTELPSTHPIRLGLALNFSVFYYEIMNSPERACHLAKQAFDEAIAELDTLSEESYKDSTLIMQLLRDNLTLWTSDLPEDGGEDNVKGEDSKPAAPAPAPALAPEKLFGGMYKDR >KGN49687 pep chromosome:ASM407v2:5:2072785:2073357:1 gene:Csa_5G067410 transcript:KGN49687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYISKLQASMAMYEASMLIKQYEKNGDAVKVKAFDDVRSSTQIGNRESVLKILKPSDGVAHKLRSEAHIQPTQRTGGKGKKSITRVLDRKSSNMSLSRERIKEIFQYHDNNNDGFLNRMELTKAFAFLGSMFPFYKACYGMVYADANEDGLISEAELDKLIDYASKIIKKK >KGN50381 pep chromosome:ASM407v2:5:6830669:6832447:1 gene:Csa_5G171140 transcript:KGN50381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVVESGSKPESMASLNILIASLNILTETFNLAALQSPVRRMSYVTNLGTSPWLCKFLNNVIALLVYPQLQKPKIITSNVAGLGEWSLSIKSKKMLLASSGFPTLRKQWVKVV >KGN49779 pep chromosome:ASM407v2:5:3088259:3090751:1 gene:Csa_5G119700 transcript:KGN49779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGKVVMSGISLILVVGVALAVVAIVNKSDSSDETANLSPKMKAVASICSNADYQPECQTTLGSVGNNSSVEDPKEFIKAAITSTIEEMKKGYNLTDNLMVEAANNATIKMSVDDCKDLLQSAIDELHASYSTVGDPDLHTNEDRIADIKNWLTAVISYQQSCLDGLEEFDPQLKQKMQDGLDVAGKLTSNALAIVGAVSDILKELGLQLKVQPSGRRLLGTTEVDSDSFPTWLTGSDRKLLAAKRGGVRVKPNVVVAKDGSGQYKTIGAALAAYPKALKGRYVIYVKAGVYNEPIIVTKDMKNIFMYGDGPRKTIVTGRKSNRDGITTQNTASFAAIGEGFLCKSMGFTNTAGPEGHQAVALRVQSDRSAFFNCRMDGYQDTLYVQTHRQFYRNCVISGTVDFIFGDSNTIIQNSLIIVRRPMDNQQNTVTANGRADKKEISGLVIHNCRIVPEQKLFAERFKIPTFLGRPWKEYARTVIMESTLGDFIQPAGYMPWSGNFALATCSYFEYGNRGPGANTNRRVRWKGVRVIGRNEAMQFTAGPFLLGKAWLPGTGGPYLLGLKN >KGN51799 pep chromosome:ASM407v2:5:21991970:21994976:1 gene:Csa_5G601490 transcript:KGN51799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKA >KGN49743 pep chromosome:ASM407v2:5:2789721:2793798:1 gene:Csa_5G097980 transcript:KGN49743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSAIEEDWELDLTSPTNGRSARTVVLVGRTGNGKSATGNSILGRKAFKSRACSSGVTVTSELQTTVLSDGQEIDVIDTPGMFDFSAGSDFVGKEIVKCIDMAKDGIHAVLVVFSVRTRFSLEEEAALRSLQTLFGSKIVNYMIVVFTGGDELEENEETLEDYLGRSCPDPLKDILALCQNRCVLFDNKTKDEGRKVGQVQQLLSLVNGIVMQNGGQPYSDELFSELKAGAMKLRDQQKEVDSLEGYTRQELKELKEQMHRSYDEQLKRITEMVESKLRETTMRLEQQLAEEQAARLKAEENAQHAQRKSNDEIRQLREHLQRAQEELRKRGESSNCAIL >KGN52194 pep chromosome:ASM407v2:5:24229895:24230536:1 gene:Csa_5G615182 transcript:KGN52194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSRHEELRWAKKKDLYFLGFDMLLPTISFIGASYISYVKLKNLQPAPARSWTKRMEGYGFSSLQN >KGN52281 pep chromosome:ASM407v2:5:24815415:24823422:1 gene:Csa_5G623450 transcript:KGN52281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMAMAASSSFCQPLPAATSGQARKLTLLNRRRPLVLANWGHFADVVRKDVEFIKGGLGKGIRWANDAFRIPQVSKSVDDVLWLRNIEDPQAVNLPTPSRPQPSYPELSGVDLFMADLKALEAYAVYYYSLSKIWTKPLPEVYDPESVAEYFGCRPHIVGLRLLEVFSSFASAAIRIRMSRVQKFPGTSLHEDSDESKSNFGLVLKETLLNLGPTFIKVGQSLSTRPDIIGSEISKALSELHDQIPPFPRTIAMKIIQEELGSPVESFFSYISEDPVAAASFGQVYRGRTLDGISVAVKVQRPNMLHVVVRDVYILRLGLGFLQKIAKRKNDLRLYADELGKGLLGELDYNLEARNATEFMETHSRFPFIHVPKVFRHLSRKRVLTMEWISGDSPTELLTISSGKPSSTYSERQKVDARRRLLDLVNKGVEATLVQLLDTGLLHADPHPGNLRYIPSGQIGFLDFGLLCRMEEKHQVAMLASIVHLVNGEWASLVEALAEMDVVRPGTNMRRVTLDLEYALGEVEFKAGIPDVKFSKVLGKIWSLALKYHFRMPPYYTLMLRSLASFEGLALAADKDFKTFEAAFPYVVQKLLTENSVANRKILHSVILNKKKEFQWQRVVLFLRIGARRKGLQQMLAPHNEAAIELSAVKANNQAAIEYSTVKANSDLELVNLITRLLVSKEGAVLRRLIMTVNGASLIQAMVSKEAKFFRQQLCTIVADIIHQWALKTLGQGSQATGLGTTVRMGILSDKKGRSSSQLTTTGQIDYISFLNDRRMRLLFSKVLKSASTKPILMLKFFWTSFVIFVTASAVACHRIVVSLSEAYLGPISLSPKQYAVTVG >KGN52484 pep chromosome:ASM407v2:5:26474368:26477409:-1 gene:Csa_5G637740 transcript:KGN52484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEVFDGYERQYCELSANLSRKCTSASALNGEQKKQKVSEVKTGIDEAEALIRKMDLEARSLPPNVKAVLLAKLREYKSDLNNLKSEVKRIESGSLSAATRDELLESGMADTLTASADQRSRLMSTTERLDKSSDRIKESRRTMLETEELGVSILQDLHSQRQSLLHAHNTLHGVDDNIGKSKRILTNMSRRMNKNKWIVTSIIIVLVLAIILILYFKLTK >KGN52059 pep chromosome:ASM407v2:5:23475735:23476475:-1 gene:Csa_5G608470 transcript:KGN52059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFKAELNTQITEEEDCYYAEIRKQISLLLTAYDDDDEVEQLPKTAAKPGAEVRQRDCWPQLERNMSLCPNGNDFDEPVWHVNSWENGNGTGVFIPQAVKYKKYCVFRRLRKHERKEKHRQKNIETEDHPCF >KGN52648 pep chromosome:ASM407v2:5:27514169:27517295:1 gene:Csa_5G648690 transcript:KGN52648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPQKQFLWRFFPFSTPLMFHMLPFSSRQSIESFATLGSVSLSSSQVFPAYSSTFLLESVDYVKLVQSATKTGKLNHGKLVHSHMIKTSFRPCLFLQNNLLNMYCKCGDTRSADKLFDKMSKSNIVTYNSLISGYVQMSNLDKVMILFDKARRLGLKLDKYTCAGALTACSQSGNLSAGKMIHGLILVYGLGSQVVLTNSLIDMYSKCGQVDYARILFDHSDKLDGVSWNSLIAGYVQNGKYEELLTILQKMHQNGLAFNTYTLGSALKACSSNFNGCKMFGTMLHDHAIKLGLHLDVVVGTALLDMYAKTGSLDDAIQIFDQMVDKNVVMYNAMMAGLLQQETIEDKCAYKALNLFFEMKSCGIKPSMFTYSSLLKACIIVEDFKFAKQVHALMCKNGLLSDEYIGSILIDLYSVLGSMMDALLCFNSIHNLTIVPMTAMIFGYLQNGEFESALSLFYELLTYEEKPDEFIFSTIMSSCANMGMLRSGEQIQGHATKVGISRFTIFQNSQIWMYAKSGDLYAANLTFQQMENPDIVSWSTMICSNAQHGHAMEALRFFELMKSCGIEPNHFAFLGVLIACSHRGLVEEGLRYFDTMEKDYKMKLHVKHCVCVVDLLGRAGRLADAESLILRLGFEHEPVMWRALLSACRIHKDTVTAQRVAQKVIELEPLASASYVLLYNIYMDAGNKLAASKVRTLMEERRIKKEPGLSWIQIGDKVYSFVSGDRSHKNSGQIYAKLDEMLATTKRLDSAKDILGYKIEHEHLTNVNYHSEKLAVAFGVLYLSESAPVRVMKNLRICLDCHMTMKLFSIVEKRELIVRDSVRFHHFKDGSCSCGDYW >KGN51350 pep chromosome:ASM407v2:5:18352802:18366549:-1 gene:Csa_5G522960 transcript:KGN51350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVSGYKGVVGLVFGNENSAANEDSYVERVLDRISNGQIAEDRRAAMVELQSVVAESRAAQLAFGAMGFPVLMSVLKEERDDVEMVRGALETLVSALTPLDHAKGSRDEVQPALMNSDLLSRESDSISLLLSLLSEEDFYVRYYTLQLLTALLTSSPTRLQEAILSIPRGITRLMDMLMDREVIRNEALLLLTYLTREAEEIQKIVVFEGAFEKVFSIIKEEGGSDGGVVVQDCLELLNNLLRKNASNQVLLRETMGLDPLISILRSRGGNYSFTQQKTVNLLSALETINLLIMGDPKVDPGKDGNKLTNKTTLVQKKVLDYLLLLGVESQWAPVPVRCAALQCIGNLISEHPRNIDAIATKRLGDNVQEPALNSILRIILRTSSTQEFFAADYVFKCFCEKNSDGQTMLASTLIPQPQSMMYAPLEEDVNMSFGSMLLRSLTLSENNGDLETCCRAASVLSHVIKNNNQCKERVLKIKLEAPMSSLGDPEPLMHRMVKYLAVASSMKNRNGKSALNNNSYVQLIILKLLIIWLADCPGAVQCFLDSRPHLTYLLELVADSSVTVSMRGLAAVILGECVIYNKSSDHEKDAFSIVDTISQKVGLTSYFLKFDELQKSILFASKSSEPRKVLTRSTAASMAEIEDVDEDDPSSQKDEELPILSSVFDSHFINTVKKLEADVRESIVVIYSQPKSKVAVVPAELEQRKGETDGELKKHYQLTVFSPDLLGRNATLAEDLSKIGGNDSSSEQRASGPSNRVQLETLQRDLQETSKRLELLKEEKVKIESDASYYKNLASKMESDLKSLSDAYNSLEQANYHLEKEAKALKSGEHSISPDIEAIKAEAREEAQKESETELNDLLVCLGQEQSRVDRLSARLIELGEDVDKLLEGIGDDLGMPEDDEDED >KGN52478 pep chromosome:ASM407v2:5:26444185:26447859:-1 gene:Csa_5G637680 transcript:KGN52478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPEWQDAYLDYNHLKAILAEVSISKQPKGSDVSGKLKRKVSLYRAFSGLTGRRHSPRKQDDAIITNIVQNGSEESYQSMFFMSSDRGGENEVVFFRRLDDEFNKVVRFYKKKVGELMEEAEELSTQMDILIALRIKVEKPDVAFEDLDEHVDLAGSAVSTVNSTNGSATGRSRLETTQEVEMADDATSIGEVMEVKEPKSCCNKESRGAFQTIQERPASLDLLPHVRINISPETPVSTLKYMVASSKARLSYNKKELRNSEELMTRALIEFYQKLQVLKGYSFLNTLAVSKIMKKYDKITSRKASKAYLEMVDKSPLGSPTEVTRLIESVETAFIKHFANGNRRRGMDILRRKIRRERHGITFFSGFFFGCAVALVVAIVLVIHLRDVFESNGSSQFMDNIFPLYSLFGFIILHMLMYSGNIYFWRRYRINYSFMFGFKQGTELGHREVFFLSSGLAVLTLACVLSHMDMDMDPETKRFKIFTESIPLALLMAVLLIIFCPFDIIFRSSRFFLLRSTFHLVCAPFYKVTLEDFFLADQLTSQVQAFRSLEFYICYYGWGDFLRRTNTCAQSNIFEAFYFVVAIIPYWIRTLQCIRRLIEEKDVRHVFNGLKYFSTVIAVAMRTGNDLNMGMTWRTLAIVSSVIATISGTYWDIVCDWGLLRRNSRNPWLRDKLVISNNSVYFVAIVLNILLRLAWMQSVLGFREAPFIHRQALISIVAVLEIIRRGIWNFFRMENEHLNNVGKYRAFNSVPLPFDYDEMAR >KGN50246 pep chromosome:ASM407v2:5:6073286:6076127:-1 gene:Csa_5G161950 transcript:KGN50246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSYFKQEHDLEKRKAEAARIREKYPDRIPVIVEKAERSDIPSIDKKKYLVPADLTVGQFVYVIRKRIKLSPEKAIFIFVDNVLPPTGAIMSAIYEEKKDEDGFLYVTYSGENTFGW >KGN52377 pep chromosome:ASM407v2:5:25673880:25675976:-1 gene:Csa_5G630800 transcript:KGN52377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVPIPTHMKAWVYHDFGNTPDVLKLDSNYPVPQINENQLLIKVVAASLNPIDYKRIHGALKAFNYFPPSIPGYDVAGVVAKVGSEVKKFKVGDEVYGDINHKALDKPKNVGTLAEYTVTEENVLALKPKNLSFLEAASLPLAMETAYEGLERAGLSPGKSIIVLGGAGGVGAFIIQLAKHVFGASKVVATASTTKQDLLRSLGADQPIDYTKENFEDLDEKFDVVYDAVGQPDKAVKALKEGGSLVSIAAPVPGFPDAFFLLTSDAVMLEKLNPYLESGKVKPLIDPKSPFPFSDTLDAFAYLETSRATGKIVIYPIP >KGN51837 pep chromosome:ASM407v2:5:22215811:22216936:-1 gene:Csa_5G603340 transcript:KGN51837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWTTTSPSFNLLPSLFFPFHKPSLPPSFFSNNPSTPLRLSPTLTLPSSSFPTHICTAAEYKFPDPIPEFAVAETEKFRAHLLQKLSKRDIYGDSLQQVVGICTEIFNTFMHTEYGGPGTLLVLPFIDMSDAINERGLPGGPQAARAAVKWAQDHVDKDWNQWTGDDAT >KGN49560 pep chromosome:ASM407v2:5:125861:126131:1 gene:Csa_5G002550 transcript:KGN49560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIWLCVVTVNNAGLINRSCKIWELDAQEFDNVIDTNVKGIANIMRHFIPLMISSNKGIIINMSSIAGRDARELIKLLRLIL >KGN49825 pep chromosome:ASM407v2:5:3372796:3378482:1 gene:Csa_5G139050 transcript:KGN49825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLRIRSRDGLERVAVENPHITIAQLKAIIQSQLKIPIHNQTLSTNQNILLAKTQDDLSKFTDMSNPNTYLSSLNLSHGSIVFLAYEGERTVAGPTVHPAGSFGRKMTMDDLIAKQMRITRQENPHCELVSFDRDCANAFQHYVNETLAFAVKRGGMMYGTVSPEGKVEVDFIYEPPQQGTEDNLLFFRDHDEERLVEAIAVGLGMRKVGFIFTQTISQDKKDYTLSNREVLQAAQFHSESELKEWVTAVVKLEVNEDGGADVHFEAFQMSDMCIRLFKECWFETDIGEDFDPKLSKMKKDVVVGVKDTRDVDNDFFLVVVKILDHQGPLSTTFPIENRNVPVTMKALKNHLDRSKGLPFVKRISDFHLLLLLARVLDVSSDVPALAECVQTQTGVPEGYKILIESMASAA >KGN51854 pep chromosome:ASM407v2:5:22314376:22314709:1 gene:Csa_5G603990 transcript:KGN51854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNDLRLPKPCGYKKATAFPTRRLRIATLQHLFNLKRSKVKGSKSSTCLTGTSQTPTTCIKIGAQMTRSNNPIGIRQIS >KGN49912 pep chromosome:ASM407v2:5:3787538:3790964:1 gene:Csa_5G139890 transcript:KGN49912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNPLERYHKLCLMDFLAKSYSYPLACKELSFLIRGAFIKLPKNLQSLIYEHIITAFHLLPEMQTSSAASAARLLARAVEAALPKQKRNSVIVEFKKAMVVHKRRTKAHQEEKGSCQLPQDVLLHIFRFIDVQSLVSAGLVCRSWNVAAEDEYLWQLQYTTFFVCSDDNSKSINDKDSEDGFASSSTPRVDWKEEFKKAYVGNSLGRNTYGRGYCKHCDTIVSFSTLRCPNDHGRNKNTQIKPLSINQVVEYVLNGASELIYSSDSETDSDEDVISEFWALPKYLGSSGAN >KGN52298 pep chromosome:ASM407v2:5:24913190:24914812:1 gene:Csa_5G623620 transcript:KGN52298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNLSFLSKSKMKSVEILIQNLSRISLKYKVVNLRTTDLLFVRWHMKTQEKTRAVMYQMLQTNLSLSHQVSVFFLICS >KGN52351 pep chromosome:ASM407v2:5:25319906:25321042:-1 gene:Csa_5G627080 transcript:KGN52351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRSLFRKKKPFSSVNSPLVSSSSTLGSRSSLDDSESQITELEEVFKKFDVNGDGKISSAELGSIMRSLGHNATEEELGKMIDEFDADGDGFINLHEFVELNTKEIDPEELLENLREAFSVYDIDGNGSISAEELHKVLQSLGDDCSVADCRQMISGVDSNGDGMISFEEFKVMMSTGLSVAGS >KGN51299 pep chromosome:ASM407v2:5:18014144:18014399:1 gene:Csa_5G515040 transcript:KGN51299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILSYVLLAGAAAALGSSIDLKANMSEWSSFFDQGNAAAALLLLAFLCSAIISVLSSLALSNKPN >KGN49676 pep chromosome:ASM407v2:5:1897301:1897907:-1 gene:Csa_5G057130 transcript:KGN49676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNTSLLEDDFKGLATRIQERRVKEIWVCGRRSEEIELETKVEEEAAEEFEKESDRDTLSEKQEEEEALGVKKGQSFKAEESNDILSKTHHLDFYAILQGQIVQTPHNSNFPMLSSPNSLSSKPYSHTLDLSKFEDVL >KGN52140 pep chromosome:ASM407v2:5:23954696:23961159:-1 gene:Csa_5G611710 transcript:KGN52140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKFDRKKVGQAVMSLGGNGVGQVLVAMVATLLVRHFSGPEPALSPDYDIELEDGEKEDGDIELGEEAPVSGKVMPVIIRWCNISCSLSEKSSKSVRWLLKNVSGEAKPGRLLAIMGPSGSGKTTLLNILAGQLAASPRLHLSGIIDFNGNADSNKRAYRLAYVRQEDLFFSQLTVRETLTLAAELQLTEIPSVEEREEYVNNLLLKLGLVNCAESCVGDARVRGISGGEKKRLSLACELIASPSVIFADEPTTGLDAFQAEKVVETLQQLAKDGHTVICSIHQPRGSVYRKFDDIILLTEGALVYAGPAHEEPLEYFSKFGYNCPDHVNPAEFLADLISIDYSSADSVYFSQKRICGLVESFSRYSSTILYANPIEKRQVLAGENFRTSKLLKKGGWWRQFCLLLKRAWMQASRDGPTNKVRARMSIASAIIFGSVFWRMGRSQTSIQDRMGLLQVAAINTAMAALTKTVGVFPKERAIVDRERAKGSYTLGPYLLSKLLAEIPIGAAFPLVFGTILYPMARLNPTASRFGKFCSIVTVESFAASAMGLTVGAMVPSTEAAMAVGPSLMTVFIVFGGYYVNSDNTPIIFRWIPSVSLIRWAFQGLCINEFKGLQFDCQHSFDVQTGEQALERLSFGGCRIRDTLIAQSRILMFWYYTTYLLLEKNKPKYQQLEPLPKLLIETFDNDNLHPTEHQGDLQIKTFDNDNLDKTQPEGDLQMETLDNENLEKIQPEGTLGNENLEKNQAEGDLQINSFDKDNMEKPQPEEPPSLEKVEPKDDDTETPQIDQIRPFILEGAK >KGN52228 pep chromosome:ASM407v2:5:24500387:24503682:-1 gene:Csa_5G622450 transcript:KGN52228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDEEFVEFQPQSSPGGYNVNTAVYHSLLHLDEAPVISTRSDLDTATYVLSQFPTAESRVALIDSVTSLRVTYGQLSVSIRSLACGLYHALGVRKGDVVFVLSANCVLYPVICLAVLSIGAVITTANPMNTESEIGKQVRDSGAKLAVSAPEELQKLSPTGIPTILTTRSSYGDALSVEELIESCSESSSEPLPKAEVTPSDTAAILYSSGTTGTSKGVVLTHSNLISVIEILTWCVDSTSSQHDVFLCFIPMFHIYGLVFFGLGLFRRGITTVLMPRFNFQSMIDAIEKYKINNIPAVPPVILGLVKSDGGSDFSSLRRVGSGAAPLGKDVEEAFREKFPWVELRPGYGLTESTGAATWMITDKDAKAHPGSCGMLMPSFYGKIVDVETGEGLPPMKKGELWLKSPTIMKEYLGNREATEATIDEEGWLKTGDLGYIDEDGFLYIVDRIKELIKHNGYQVAPAELETILLSHTEILDAAVIPIEDEAAGQIPVACVVKAPSCELSEQQVIQFVASQVAPYKKVRGVRFISAIPRSLAGKILRKDLVSQFKQLQLLSKL >KGN49653 pep chromosome:ASM407v2:5:1569855:1570499:1 gene:Csa_5G049020 transcript:KGN49653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRYHSLMTHSKNTEDVTLSLVVSPRREVLKEAKEAVREDVYADDEDYTLKFFDDYVCKPMSSGFEDEIQCQSNFQEMHFQSQKQLEDFKLKLDNKNHHHQVQIEGLKAKMQELILQEQELKVQNQVIMEMVKQTTRWNDVQFTSALRYLYSSMCSIIPTPHTLRS >KGN51409 pep chromosome:ASM407v2:5:18851596:18856709:-1 gene:Csa_5G528700 transcript:KGN51409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKPLGSTGEFFRRRDEWRKHPMLSNQFRHATPGLGIALVAFGIYLVGEQVYNRINSPSSSHHHHSNASSATH >KGN52010 pep chromosome:ASM407v2:5:23148394:23164984:-1 gene:Csa_5G607980 transcript:KGN52010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQADTLTAHKLAVLHPVRPFIRSSFPRSKLISTFAFIPKASRQPGSFHLPQNSSFKGVRYDSPVMGAMELSDLEDVDLLVEMCITHTLPPALTLKQGLESITEAIEKFKLESPRSSQGVFRFQVAVPPSAKALLWFCCQPESSEVYPIFFVSNEKDPTIKSLYLNDTRGVFGIGTAIYFASLSSTSSKQSTLKRYVMNDSAPIMAYGFVNANNGETSSLKNEAGHSYYCVPQIELSEYEGISVLSATLAWSESFPCTFEEALHSLGSSIYQISTNFPSSENCQYKYLRSALTASKLVDRTNQMAYMKVLSVAGEGVRTGIMEMESSLFHQFCIRLSPSVAVACNMLDHINETGHSEQEHANINALWASLIVEECSRLGLTYFCIAPGSRSSPLAIAAASHPLITCTACFDERSLAFHAIGYAKGSHSPAVVITSSGTAVSNLLPAVVEASQDFLPLLLLTADRPSELQNAGANQAINQVNHFGSFVRFFFSLPAPTDQLPARMVLTTLDSAVHWATSSPCGPVHINCPFREPLENSPSPWNLSCLNGLHIWSSSTEVFTKYIRLEASPTSTDTFGHMAEVLKVIHGARNGVLLLGSIQSEDEIWAAFLLAKHISWPIVADVLSGLRLRKSLSPFLEMKNNFFFIDHLDHALLSDSVRKWLKFDVIIQIGSRVTSKRVSKLLEDCSPCSYIMVDKHPDRHDPSHIVTHRIQSTVLEFVGCLLKASFPLNKSKLTATLRALNMMVEWEIQFQISAHYSLSEPEVAQVISEALSFDSVLFLGNSMPIRDVDMYAYGWSKCNDSGAAIPLNLQMPFYWTWTSGNRGASGIDGLLSSAVGFSVGCNKRVLCVLGDVSFLHDTNGLAILNKRMKRKPVTVVVINNNGGAIFSLLPIKDKVDAAILDQFFHTSHQVSLRNLCVAHGLKHLHVRTKKELQDALFMSHHEENDCIIEVESSIDANTTFHSVLRKFTCQAVDHGLRIFSRLYSEESVSPGLFLCKISRMECTLFRIPLCAPPTTSSSSFDQVRREFFREGFILSLFLEDGSLGLGEVSPLDIHRENLLDVEEQLNCLIPILKGAKISSSIPLLRGSFSSWVFHELGIPPSSIYPSVRCGLEMAVLHAIAGRKGCGLLDVLQHQLDEEKNLKTLSKVQICGLLDSGGTPSEVALVAKTLVEEGFPAIKLKETRQRNVMYDAAVVQEVRKKLGNQIELRVDANRNWSYEEALLFSSLVKDCGLQYIEEPVMDEDAIIKFCEESGLPVALDETIDRIQDNPVKELAKYAHPGIVAIVIKPSVVGGFENAALIARWAQQHGKMAVVSAAFESGVGLSGYVHLSCYLELQNAEVRKLMNIQPAPSIAHGLGTYRWLEEDVTVNPLRFRRDPHSGIIEASVAEANQLLENFQINQKIVCRKFTDRQVRSYRLSVDSKGFSYSIKVLEVGQRTNDNVLFFLHGCLGTGEDWLTIMKGVSGSARCISLDLPGHGESTTEKNDCDVHGVEEPSFSMEVVADLLYKLIQHLAPGKAIVNVVGYSMGARIAMYMALRFGDKIGRAVIISGSPGLKDKVARKIRRVKDDSRARVLKLYGLQSFLEAWYGGELWKSLREHPHYSQIIARRLKHDDVQPLAKALSELSIGRQPQLWDELKCCKTPLSIIVGEKDTKFKTIAQQILSQINTSKRIKDEPAVDLHEIVEIPDSGHAAHLENPLAVVNALSRFLIRRRTQHSSNVDLAGQVAITHESHLL >KGN51083 pep chromosome:ASM407v2:5:15654612:15662045:1 gene:Csa_5G431020 transcript:KGN51083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGREHPVANNLGTETVMEKINHPAHIPTFRAALVLLAAFGVIPTIAFNVYNVCKVVQARKGSMLLALAMLYPFLVLVGGVLAWDHLSPSDIIGSYPHLVITGTGLAFGFLVGRMILAHLCDEPKGLKTGMCMSLLFLPLAIANTLTARLNDGVPLVDESLVVLGYCLFTGALYLHFATSVIHEITTALGIYCFRITRKEA >KGN51297 pep chromosome:ASM407v2:5:18006761:18007924:1 gene:Csa_5G515020 transcript:KGN51297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKGLRIASVILRILTFIFIFISLLIVATNTKTVYKYTVNEHKVKFSDVNSYRYVVAATVIGGALCLLQIAFNIYHLVTKAEGTPLFYMFSDQLLTYLLLSAASAGLGSGIDLRANIKVLVDGDDYSSFFDKGNAGSAILFLAFICSAIVSVLSSLALIKKPV >KGN52538 pep chromosome:ASM407v2:5:26822962:26836009:1 gene:Csa_5G642200 transcript:KGN52538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSMVKDGKAGSAAKLIVDALLQRFLPLARRRIETAQAQDGQYLRPSDPAYEQVLDSLAMVARHTPVPLLEALLRWRESESPKGANDASTFQRKLAVECIFCSACIRFVECCPQEGLTEKLWSGLENFVFDWLINADRVVSQVEYPSLVDLRGLLLDLVAQLLGALSRIRFSSVTERFFMELNTRRIDTSVARSETLSIINGMRYLKLGVKTEGGLNASACFVAKANPLNRAPHKRKSELHHALCNMLSNILAPLADGGKGQWPPSGVERALTLWYEAVGRIRTQLMHWMDKQSKHITVGYPLVTLLLCLGDPQIFHNNLSPHMEQLYKLLRDKNHRFMALDCLHRVLRFYLSVHAANQAPNRIWDYLDSVTSQLLTVLKKGLLTQDVQHDKLVEFCVTIAEHNLDFAMNHLLLELLKQDSSGEAKVIGLRALLAIVTSPSGQHTGLEIFRGHDIGHYIPKVKAAIESILRSCHRIYSQALLTSSRTNIDSVTKEKSQGYLFRSVLKCIPYLIEEVGRSDKITEIIPQHGISIDPGVREEAVQVLNRIVRYLPHRRFAVMRGMANFILRLPDEFPLLIQTSLGRLLELMRFWRACLIEDRLENDVHDEKRTVQRTDGFKKPSFHQSGEVVEFRASEIDAVGLIFLSSVDSQIRHTALELLRCVRALRNDIRDLAMLDQPDYTLKYDAEPIFIIDVLEEHGDDIVQNCYWDSGRPFDLKRESDTIPPDVTLQSIIFESPDKNRWARCLSELVKYSSELCPSSVQEARVEVLQRLAHVTPVDLGGKAHPSQDSDNKLDQWLMYAMFLCSCPPAPRESPASGKAKDLYHLIFPSIKSGSESHVHAATMALGHSHFEACELMFSELASFIDEVSMETEGKPKWKSQKPRREELRTHIASIYRTVAEKIWPGMLARKSVFRRHYLKFIDETTKQILTAPLESFQEMQPLRYSLASVLRSLAPEFVDSRSEKFDLRTRKRLFDLLLSWSDDTGGTWGQDGVSDYRREVERYKSSQHARSKDSVDKISFDKELSEQIEAIQWASMTAMASLLYGPCFDDNARKMSGRVISWINSLFIEPAPRAPFGYSPADPRTPSYSKSVDGGRGTAGRDRQRGGHNRVSLAKLALKNLLITNLDLFPACIDQCYYSDAAIADGYFSVLAEVYMRQEIPKCEIQRLLSLILYKVVDPSRQIRDDALQMLETLSVREWAEDGTEGSGSYRAAVVGNLPDSYQQFQYKLSCKLAKDHPELSQLLCEEIMQRQLDAVDIIAQHQVLTCMAPWIENLNFWKLKDSGWSDRLLKSLYYVTWRHGDQFPDEIEKLWSTIASKPRNISPVLDFLITKGIEDCDSNASAEISGAFATYFSVAKRVSLYLARICPQRTIDHLVYQLAQRMLEESIELVGLGSKGDLGGNFVLEFSQGPPVAQVTSVVDSQPHMSPLLVRGSLDGPLRNASGSLSWRTAGVTGRSVSGPLSPMPPELNVVPVNAAGRSGQLLPALVNMSGPLMGVRSSTGTIRSRHVSRDSGDYLIDTPNSGEDGLHSGVAAHGVSAKELQSALQGHQQHSLTHADIALILLAEIAYENDEDFREHLPLLFHVTFVSMDSSEDIVLEHCQQLLVNLLYSLAGRHLELYEVENNDGENKQQVVSLIKYVQSKRGSMMWENEDPSVVRTELPSAALLSALVQSMVDAIFFQGDLRETWGSEALKWAMECTSRHLACRSHQIYRALRPSVTSDTCVSLLRCLHRCLGNPVPPVLGFIMEILLTLQVMVENMEPEKVILYPQLFWGCVAMMHTDFVHVYCQVLELFSRVIDRLSFRDRTTENVLLSSMPRDELDTNNDIGDFQRIESRMGYELPPSTGNLPTFEGVQPLVLKGLMSTVSHGVSIEVLSRITVHSCDSIFGDAETRLLMHITGLLPWLCLQLSKDPLTGPASPLQQQHQKACSVASNISIWCRAKSLDELATVFMAYSRGEIKSIEILLACVSPLLCNEWFPKHSALAFGHLLRLLEKGPVEYQRVILLMLKALLQHTPVDASQSPHMYAIVSQLVESTLCWEALSVLEALLQSCSSMTGPHPHEPGSFENGHGGSEEKVLVPQTSFKARSGPLQYGIVSTSAPGSILVSGVSNESGPSPREVALQNTRLILGRVLDSCILGKRREYRRLVPFVTSIGNP >KGN50818 pep chromosome:ASM407v2:5:11503825:11504220:-1 gene:Csa_5G272910 transcript:KGN50818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSNSQFDLISTKTPNPIPTNSSPQNQFVPTSTLFLLSNICNFVPLRLDSTNYVLGKYQVSSILKAHFVFGHIDDSFSCPPKFLSSPTTGTTPKTSSYHLHWLSRDQALITLINATLSPSALAHVVGSPS >KGN51608 pep chromosome:ASM407v2:5:20773985:20778838:1 gene:Csa_5G583380 transcript:KGN51608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVPPTLSPSRTTCGSLLRELQIIWDEIGECDSERDKMLLQLEQECLDIYRRKVEKTRKYKADLHQQLAEAETEIAGIASALGEGFSSFSRGRGTLKEQVVAIKLILEELRSKKRGRLKEFSEVQLQIVSICSEIAGSGQSKSYVDPQIHEHDLTAKKLSELKLHLQELQNEKHLRLQKVNTNISLIHELSVVMSMDFLKTVNEVHPSLGDPKSGPSRSISNDTLARLNGVINSLKQEKQERLQKLQDLGRRLTGLWNLMDAPADERKRFDHATCLMSSSVEEVSAKGCLALDIIEQVEVEVERLNILKTSKMRELIFKRQTELEEIYEGVHMDIDSDAARKTLTSLIDSSNVDLSNLLSSMDDQVSEAKEQALSRKDILDKVEKWQFALQEEKWLEDYERDDNRYSAGRGAHKNLKRAEKARVLVTKLHSMVESLAAKVKAWESEKGITFSYEKVPLLRTLEEDAKLRQEREEGKRKSREQKRLQEQLASEQEALYGSKPIPKKPLGQSNTMLGTPGRRIGTPGRYGFSGSKDRRESGRVPNIIPVNYVALPKDDSASKGA >KGN49964 pep chromosome:ASM407v2:5:4131033:4133736:1 gene:Csa_5G146870 transcript:KGN49964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASGHTKLLVTDLAATVQQVPSRYVRPISDRPNSSDVRPSNTYSFSVIDLHALDGPSRPDVIYQIRRACERDGFFLVKNHGVPEEMINGVMRITREFFRLPESERLKSYSDDPTKKTRLSTSFNVKTEKVANWRDFLRLHCYPLHLYVDEWPSNPPSFRKEVAEYCTTMRQLTLKLLEAISESLGLPKDSIANSIGSHGQHMALNYYPPCPQPDLTYGLPCHTDPNLITLLLQDQVPGLQVHRDGAWVALNPIPNTFIINIGDQMQVLSNDRYKSVLHRAVVNNSTERISIPTFYCPSPEAMIGPAKELIHDEHRPAFRNFTYSEYYQTFWSGELDTRRCLDLFRI >KGN51383 pep chromosome:ASM407v2:5:18589573:18593916:-1 gene:Csa_5G524760 transcript:KGN51383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGPTRIMLAVNQSTIKGYPHPSISSKRAFEWTLQKIVRSNTSGFRFLFLHVLVPDEDGFDEVDSIFASPDDFKELKKRDNARGLHLLEYFVTRCHEIGVASEAWLKKGDPTEVICLEVKRIQPDFLVVGSRGLGPFKKVFVGTVSEFCAKHAECPVITIKRREDETPDDPVDD >KGN51808 pep chromosome:ASM407v2:5:22038324:22041655:1 gene:Csa_5G601580 transcript:KGN51808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLQSYTDQNDDDGSPKQLTSSPEASPPRLLPSKTSAPKVDDTMLALTVANANQTLSKPIDPTQHLVAFNPTYDQLWAPIYGPSHPYAKDGIAQGMRNHKLGFVENASIEPFVFDEQYNTFHKYGYAADPSASAGNNYIGDMEALEKNDAISVYNIPQHEQKKRKIEKKKEMSENEDMEEEVNPAEVDNPASDVWLMKNRKSPWSGKKEGLQTELTEEQKKYAEEYAKKKGEEKGGEKGEVTSDKSTFHGKEERDYQGRSWIAPPKDAKATNDHCYIPKRLVHTWSGHTKGVSAIRFFPKHGHLILSAGMDTKVKIWDVFNSGKCMRTYMGHSQAVRDISFCNDGSKFLTAGYDKKIKYWDTETGQVISTFSTGKIPYVVKLNPDDDKQNILLAGMSDKKIVQWDMNTGQITQEYDQHLGAVNTITFVDNNRRFVTSSDDKSLRVWEFGIPVVIKYISEPHMHSMPSISVHPNTNWLAAQSLDNQILIYSTRERFQLNKKKRFAGHIVAGYACQVNFSPDGRFVMSGDGEGKCWFWDWKTCKVFRTLKCHEGVCIGCEWHPLEQSKVATCGWDGLIKYWD >KGN50064 pep chromosome:ASM407v2:5:4819928:4822167:1 gene:Csa_5G152790 transcript:KGN50064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHHSCCNQQKVKRGLWSPEEDEKLIRYITTHGYGCWSEVPEKAGLQRCGKSCRLRWINYLRPDIRRGRFTPEEEKLIISLHGVVGNRWAHIASHLPGRTDNEIKNYWNSWIKKKIRKPNSTTPTISTTTTVTSTTNTEQIQLSSNPHQLNFLTQDLTTKQLSLHQETLFPALSCPLFMFDTSSQELFHDYSLSLNSDTTWHMNHHNHPFPTAVLSPQMGLTAATTTVMNNTNNYLPPLIENIESLVTMEDEGEMALQCLQRQELNEWVVESTTQPQNSNFLFWENVEGSLGGEEIIAPSSNATIGGGGGANTLSSSNFPTSL >KGN51703 pep chromosome:ASM407v2:5:21379507:21382404:-1 gene:Csa_5G590200 transcript:KGN51703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNFSHRPIFPSHLTEENLVSSMNISSGFVVDDVSERNFDVYGTSWLINRELVDCLNFLEDTCEGGGTRDCVPGDVLDLLPSDPFDMDISTTVTAITGWLDDLNVDYGSGYGRDERVPVDENYELFAGLNYIWNNAFRFQAFPLGNGGNFHGTGELGGFGAWSDERKAGSASCHSDSELPYLVDTIQTLGIEPEISGDGLSSWSDGIKAGALSCHSDAESTYLVDTFQTLGIEREISGDGFSSWSDGTKGGGLSSHSDPESQYLVDTFQTLGIEPESGDGFSSWSGGIKAGGLSCHTEPQSSYVVDTIQTFGMEPEISGGGFSAWSDGRKAGAVSFHTDPRSSYAVDTIQTLDIEPAISGGGFSVWSGGMEAGGVSCLAEPDSPTYVVDTIQTFGVEPEFSGEGFSVWSDGRKTGGLTCHTDPQSSYVMDSIHTHTIESEFPGGVFVTWSDGRKSGVMSYFTVPESPPYLVDTCQTLGTEPETSGVQPVVLHEEDCVPIDASGPPHAAFSFVLGYLGTRELLLVESVCKFLQSTAEGDPFFWRNINICGKPDVKITDDVLLRLTSKAQGGLESLSLVNCVMISDDGLNKVLLNNPKVTKLCVPGCTRLTIGGIVDNLKAFKSRGGPGIKHLSVAGIYGVTEVHYKELEKLLVGIENLTQLDTCQPRFYRGGEPRFPSSDGGRAIDIERCPKCMNMRIVYDCPVVGCKGIKEGDTDPDTNMARCRACTICIPRCNWCGRCIDETVHEETFCLDLRCIDCGKEISKCE >KGN52195 pep chromosome:ASM407v2:5:24232797:24232985:-1 gene:Csa_5G615185 transcript:KGN52195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKALGDRERRLHTKSKEIRISESESERTPKLIEGRNLARLMETVNFPERLRSQPEKKWRENA >KGN51382 pep chromosome:ASM407v2:5:18588179:18588866:1 gene:Csa_5G524750 transcript:KGN51382 gene_biotype:protein_coding transcript_biotype:protein_coding description:SocL12 (Chloroplast ribosomal protein) MASSLSTLTLNTPLHLPRSHSSFSPLSFRPTTLQFPYRRPTHLRPIAAVSAPEKIEKLGSDISSLTLEEARLLVDFLQDKLGVSAAAFAPAAVVAAPGGAVGGEAAAEAVEEKTEFDVVIEDVPSNARIAVIKSVRAMTSLALKEAKELIEGLPKKFKEGVSKEEADDAKKQLEEAGAKIAIV >KGN50772 pep chromosome:ASM407v2:5:10576144:10576710:-1 gene:Csa_5G247670 transcript:KGN50772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCICSKGIPANDYVAENHSKERHLKSNRSSRHGTSLRKEESVLHSDGGQSDAMARLILNQPGEENAGSTPESDGAEKGTSIGKVSSAKPLRQERPTMEDGEKRVGVHNNNATPRIFGVVIGEKGALVIAGWPSWLTSVAGEAINGWVPRKADSFQKLDKVSFTFNCSVSELFSATFLYICTCFVSMI >KGN50004 pep chromosome:ASM407v2:5:4393598:4406641:1 gene:Csa_5G148750 transcript:KGN50004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEVGFVPSGIPEEETAEAYDINYEISEAVERCGICMDVIVDRGVLDCCQHWFCFVCIDNWATITNLCPLCQKEFQLITCVPVYDTIGSNKVEEESFGRNDDWCFEGKSNVSFPSYYIDENAVICLDGDGCKIRNGSGFTEGESDLDTSIACDSCDTWYHAFCVDFDPDDTSESTWLCPRCGVNDQESSINDSVPKFNGDFDPMNASVAQSFLSKVSVSVADTGETALVVSLIGGNHVKEEQVDYTPSSDEIENNKKIEDFMLASEAGRPNVSVSPLENTSFLPTSSTENTSVPALGDKELELSLSHDSSISLPHDSLKHVGLKTRCADEIKTESGSLESSRSLTNVSHPINKVSKDEFGMGLHLGLPVGTFLSVDYSNDESGDQSVDVKPQLFPSEEHLLQADDVVAASQTTQEASVIIGIKRKHPDCSDQIQKTADNQDDKANSDSKLIKGKDQSVPSENELEQTDEDDTTKSLAMPLVPTEASSKRISKKKDASVDIMSIVKGRNRRPPPKSQASSNSNGEDQQENLTGLRVKKIMRRAGEDQESSMLVQKLRNEIREAVRNKCSKEFGENLLDSKLLDAFRAAVSGPKTESQKRMAALAVKAKKSLLQKGKIRESLTKKIYGATNGRRKRAWDRDCEIEFWKHRCIRVRKPEKIATLKSVLDLLRNGSQSPDTKQDSEGQPTNPILSRLYVADTSVFPRNNDIKPLSALKSSSSLEQKKDPLTGISKFSSKAGIPLAGNVGNNFFVSASKSAVGSGKGNLSTNSEASVGVKPKLQKSVPSTSNNAIDKRKWALEVLARKTGDGCSVASKKEEDMAVLKGNYPLLAQLPVDMRPKLTPSHHNKIPISVRQAQLYRLTEQFLKKTNLTDMRRTAETELAIADAINIEKEVVDKSNTKVVYLNLCSQEIMHRTDTGRSNTAADLDSSSQANEPIANSELPTDPETDPVVEEALRNAGLLSDSPVNSPSHRTVVDDDDELMEELEPENVIEMDDHPDLDIYGDFEYDLEEENCFTTKAATVMKPPDESEPKLKVVLSTLNTESSSHASDAEKPERLGSVELPKDASCLSKNEDLEVGTAPSEIEKEGSVAVPLNNNEVEEPSLAEYEELYGPDTDQQIKDLPGKASAEKPCVPTSESNSQQKDSCNDATSMPIQGGKGSDLKCEEVKEAKPPTGECSPHKKEKYNNANDNKPSDGNNSVSKKVETYIKEHVRLLCKSGVITAEQYRWAVQKTTEKVMKYHSKDKNANFLIKEGEKVKKLAEQYVEAAQRKGID >KGN52124 pep chromosome:ASM407v2:5:23852258:23854516:1 gene:Csa_5G611060 transcript:KGN52124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSISGALSSHLQLSDCRFSARTSQSQLTSVRISPTLRRTKLRFTGLLRRRNFNRFVCSAVDDDVREKQTELGGGNGSTVVEDVPDIAKNSTNGAPDKSDIYNFLYPSKDLLPDDREMSVFDHLEELRQRIFVSVLAVGAAIVGCFAFSKDLILLLEAPVKEQGVRFLQLGPGEFFFTTLKVSGYCGLLLGSPVILYEIIAFVLPGLTRTERQFLAPIVLGSSILFYAGIAFSYVVLTPAALNFFVSYAEGAVESLWSIDQYFEFVLVLMFSTGLSFQVPVIQILLGQLGLVSGDQMLSIWRYVVVGAVVAAAVLTPSTDPLTQVLLAAPLLGLYLGGAWVVKLSGR >KGN49709 pep chromosome:ASM407v2:5:2351670:2351955:-1 gene:Csa_5G077770 transcript:KGN49709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGSVNFRGFSLSPEYQTMGLFLCWVVNDNGGGRSSKHLPSLQCKCNIYDYVLIWILEVLLRISHSGQWV >KGN52266 pep chromosome:ASM407v2:5:24713278:24716307:-1 gene:Csa_5G622810 transcript:KGN52266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLTLGSKAEVFRLDGDSWFCSSGLASDVVIDVGNTFFHLHKFPLLSRSGVLEQLIGEFCREDDRNYVMQLHDIPGGAKAFLLVAKFCYGVKMELTALNIVQLRCAAEYLRMNEDYGNGNLIMQSENFLNEVFGNWTDSIKALETCEETLSYAEELHIVSRCINSLAMKACADPSLFSWPVSVPQSTQTSEGTEFWNGIHVSSRSRKVREDWWFEDVSFLRLPLYKRLILEVESRGMKPEIIAGSLIHYARRHLPLLGRQASIDDGTFCAPIPNVLASSEVDQRRLLEEIVDLLPHQKYVTPTKFLIRLLRTCVILHASFSCRENLEKRIGAQLEQAALEDLLIPNMGYSVETLYDIDCIQRILDHFMILDQNGNDCIMTRVEDGQLMGSNSVTPLTMVANLIDGYLAEVAPDVNLKLPKFQSLAAAIPDFARPLDDGIYRAIDIYLKAHHWLTDSEREQICRLMNCQKLSLEASTHAAQNERLPLRVIVQVLFFEQLRLRTSVASWLFVSDNIENSQNISGNLAVLGGTNNAAAAASYVDACTTENRAVAINMKERVSELEKECLSMKEELDKLVKTKGGWSMILKKLGLRIKFKNSEQKAASKLLVNSKPDHEMSSTSPRMNNKEHHNSGKSAN >KGN51840 pep chromosome:ASM407v2:5:22229294:22231847:-1 gene:Csa_5G603370 transcript:KGN51840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDPESNGQTVHEWSTNRRFVFQFTTTSSSSLPIMEFLYILFFISIFLPLTFSLNLESPDLHGTTSISLPTNHTSSLTHQIIDLANHPTAVNWMKTIRRKIHENPELAFEEFETSRLIRQELDNLRVSYRWPVAGTGVVAFVGSGSPPFVALRADMDALPIEELVEWEHKSKVEGKMHACSHDAHVAMLLGATKILNQLRHKLQGTVVLVFQPAEEKGGGAKDMINEGALDGVEAIFGLHVVHEYPVGVVASRPGEFLAGCGSFKAKIKGKGGHAAIPQDSIDPILAASAAIISLQSIVSREIDPLDSQVVSVAMVQAGTALNVIPESATIAGTFRAFSKKSFNALRDRIEEVINGQAVVHRCTAEIDFLGKEHPTIPPMVNDEKIYEHVRRVSMEIVGKEKTKVSPRLMGSEDFAFFADKVPGSFLFLGTYNERIGAIHPPHSPRYKIDENVLPLGAAIHAAVAYSYLLNSSSTSHSFFDH >KGN52181 pep chromosome:ASM407v2:5:24172890:24176453:-1 gene:Csa_5G613590 transcript:KGN52181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLIPRLLFLSFCLFLLFFCSNSQDNYDSQKTYIVYMGSHSKGKVSTSSHHIRLLKETIGSSFPPHSLLHSFKRSFNGFVAKLTEAEVKKVSEMEGVISVFPNGKKQLHTTRSWDFMGFSEQVKRVPAVESNVIVGVLDSGIWPESPSFDHAGYGSPPAKWKGSCEVSANFSCNNKIIGARSYRSNGEYPEGDIKGPRDSDGHGTHTASIVAGGLVRRASMLGLGLGTARGGVPSARIAAYKVCWSDGCSDADILAAFDDAIADGVDIISGSLGGSGARDYFNDSIAIGSFHAMKKGILTSLAVGNNGPDFTTIVNFSPWSLSVAASTTDRKFETKVELGDGREFSGVSVNTFDIKGKQIPLVYAGDIPKAPFDSSVSRLCFENTVDLKLVKGKIVVCDSLTVPGGVVAVKGAVGIIMQDDSSHDDTNSFPIPASHLGPKAGALVLSYINSTNSIPTATIKKSTERKRKRAPSVASFSSRGPNPITPNILKPDLSGPGVEILAAWSPVSPPSGAEEDNKRVLYNIISGTSMACPHVTAAAAYVKSFHPTWSPSALKSALITTAFPMSPKHNPDKEFGYGAGHINPLGAVHPGLIYDASEIDYVQFLCGQGYTTELLQLVSEDNNTCSSNNSDTVFDLNYPSFALSTNISKPINQVYKRTVTNVGSKYATYKATVINPWKNLEIKVNPSVLSFKNLGEKQSFEVTIRGKIRKDIESASLVWDDGKHKVRSPITVFIANIIHSS >KGN50281 pep chromosome:ASM407v2:5:6282275:6284685:-1 gene:Csa_5G165270 transcript:KGN50281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRLQLQAAHRLHHPQNHPLAFINSVDTLQRHFMISLQDFSDPSISGLLFRSTGFFHCAACFTSTPGGPSFHCLACPFSLSASCAALPLITVNNHPHPLLLLDRKSDHKHLICGVCDEGISDLSFVRCVHCDAFLHVQCCLPPLIEAHSHHNHPIVLSMVVGYNNLCGVCGEERDGEAWFYCCNICPFLAHVGCVIYDLQTPSEKEGEEASSISTQLYTLSLDNDEHSLDEEGSHESILKPGVTLQQILDSFSESDDVEYQRLVDAMEKAYGGGDDDNDETENEANSADKHSHYFSYLEKPLYHLIDKLELEDANPFQDFDRDSPTVLVDGGYLVLEKWAGVFTKVFTKYGDISEGTNFGIKVRTIVWNLFCKVMDDMSRTKFENMRGDDLVSWMIGIRSIELIGFEMKFAFEGWKKIAMAFFGVKAKDIAQELVDEREERIKEQRMKLENLCEEQEKLLSLREKCSIEALAMEDVTFVTDGFL >KGN49777 pep chromosome:ASM407v2:5:3067651:3071650:1 gene:Csa_5G118690 transcript:KGN49777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKESKGSCVREFIREKVPDWDDEVVATARFKAFSGQKSDWEPRYLFWRDLILTVARQFNFLIIKPSEIKNQWFYRGGLTPLCLDHVLHLMYTGGDIIRRSDMLDPRSGQLSYMFKKLSNLMGTSKKNPDSLLRDDYIVLACVLQDRAAEVIKCLSLSSWTSSCIITMVKFQNICGGPDEATVILSYLIECGKAKFLSKEKKELLEGVKVSLSATTVPGITSLDYDILHLVWTAEKLQQQLDVIDQRYDVSKQSALVSLKSGNRKTALKHARELKITTESREKVASLFNRVEEVLNAIADAELTKTVSEAIQIGARVMKEHEVNWDQLQDSLQELEASVDIQKQVANAIDSVPSSSIPDDEDIEEEFKKLELELTAGQILDASTSESGVNIATGETVAAVCDDSLSTALSNLKLVEETEKENGNSSHSKRKSKIMEVGIS >KGN51701 pep chromosome:ASM407v2:5:21377742:21377927:1 gene:Csa_5G590180 transcript:KGN51701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLDGGLSWADQWDYNPDPPPSSSENEKKKNKDGSSDKSKFRKTILGFKWMKELRKKSDKS >KGN50336 pep chromosome:ASM407v2:5:6586571:6594117:-1 gene:Csa_5G168750 transcript:KGN50336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRVLVASYPINHLIRPHSFRIDYCWSTCFISRFNSGKERQKLSSRWRWRSMASDSTDSSSSSSSFAPSVESDPSDKTSASFCIIEGPETVQDFAKMELQEIQENIRSRRNKIFLHMEEVRRLRIQQRIKNAELGISKEERENELPNFPSFIPFLPPLSSANLKLYYVTCYSLIAGIILFGGLLAPTLELKLGLGGTSYEDFIRSVHLPMQLSQVDPIVASFSGGAVGVISALMVVEVNNVKQQEHKRCKYCLGTGYLACARCSNTGALVLIEPVSTLNGEDQPLSLPKTERCQNCSGSGKVMCPTCLCTGMAMASEHDPRIDPFD >KGN51464 pep chromosome:ASM407v2:5:19533824:19539945:1 gene:Csa_5G551250 transcript:KGN51464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEIVEKALNSLGKGFDLTSDFRLKYCKGQRSLVLLNQSQRRDLIVPGFGAVKDVSIDIKCDRGDRTRYQSDILNFNQMSELFNRKCSIPGKIPSGSFNSMFGFQSGCWATDAVNTKCLGLDGYFISLFNAHIDRYPLRLSDEVRSAVPSTWDPPAIARFIEKYGTHIIVGLSIGGRDVVLVRQDKSSKLEPSELKNHLEELGDQLFNGACTFPPPVSKPKDHHKPKIPQAFNLFDPQLVAFGNFSSVSAKDGIIVITSKRGGSPTASSHCEWLPTVHESPDAIHFQFIPIISLLECIPGKGFLSHAINLYLRYKPPIADLQYFLDFQVHKIWAPIHNDLPLGPTPNISTSPSLQLNLMGPKLYVNTTQVIADNRPVTGMRLYLEGMKSNRLAIHIQHLLTTPLLLENNITQQTYWHSSQHIADDRYVEPLNRNKFSHVCTIPIKYDPAWATTSGDVNSPAAFIVTGAQLLVVKQHDSKTVLHLGLLYSRVPNSYIVQTNWARHSSDISPKSGIFSAISTSLTGTSAALKEKPPEAAVIVDSGVFHGGPPMSVQVQRLVKFVDLSEMRKGPQDSPGHWMVIGARLNLEKGKICLQVKFGLLHVVSETITDDQ >KGN51790 pep chromosome:ASM407v2:5:21954789:21958586:-1 gene:Csa_5G600920 transcript:KGN51790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIPSLPGLTLFSSLPSAPPPHEPTPTSSPSTPIPIPKYPPPKSRTLRTNNPPKPPNPALKTFHHRSKYYKPVKDGVISSNGERAVVIGDSGVSYHLPGAPFEFQYSYSETPKVKPIAIREPAFLPFAPPTMPRPWTGKAPLKSSKKKIPLFDSFNPPPPGTKGVKLVQLPGPFPLGQHPKEGKSREEILGEPLKNWEIRMLVKPHLSHNRQVNLGRDGLTHNMLELIHSHWKRQRVCKVRCKGVPTVDMDNICHHIEEKTGGKIIHRVGGVLYLFRGRNYNYRTRPQYPVMLWKPAAPVYPKLIQEAPEGLTKKEANVLRMKGKNLLPICKLAKNGVYISLVNDVRHAFEGSILVKIDCTGMHESDYKKLGAKLKELVPCVLLSFDNEQILMWRGKDWKSIISDDRSAPLPSRASSNDSLGSPGESLENSDLLHGNHHTIKTSPKMKLLWEHAIDSNKALLLDEIGLAPDDLLEKVEEFERISQATEHSYPAFITSSEDVSSPDDSPKSQDHTEANYNSDDDVGREEDLFDNADPLVPLGSLPVDIIAKKLSSE >KGN51522 pep chromosome:ASM407v2:5:20088896:20091108:1 gene:Csa_5G576640 transcript:KGN51522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFSCPVPYVIRCSTAKEGNDSNKLRYVKVNGAIIGSISETDLLSQSVRASRALVPSVAENGCFVKEDVRQKIPTKKQLVDPHRQGLIIEGGVGYRQTVVIRSYEVGPDKTATVESVMNLLQETALNHVWMSGLLSNGFGATHGMMRNNLIWVVSRMLVEVDHYPIWGEIVEIDTWVGASGKNGMRRDWLIRSQATGYVYARATSTWVMMNQQTRRLSKMPEEVRAEISPWFIEKQAIKEDAPEKISKLDDKAKYMNSDLKPKRSDLDMNHHVNNVKYLRWMLETIPDHVLESHQLSSIILEYRRECGSSDIVQSLCEPDEDGILRDVEMIEDREIGLLNGFSLASEILKGGLLGSFDKGTQRYTHLLQIEGEAKNEEIVRGRTTWKKKLTTIPFSTE >KGN50997 pep chromosome:ASM407v2:5:14389580:14396046:1 gene:Csa_5G387970 transcript:KGN50997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIDVQSTSAENGSASASADGRQKSLPTDGMNHTSNDPDGAPPDKPKELGPTKKRLSSMLPLDVGTRVMCRWRDGKYHPVKVIERRKLQAIGFSDYEYYVHYTEFNRRLDEWVKLDQLDLDSVETVVDEKVEDKVTGLKMTRHQKRKIDETHVEGHEELDAASLREHEEFTKVKNIATIELGRYEIETWYFSPFPPEYNDTTKLYFCEFCLNFMKRKEQLQRHMRKCDLKHPPGDEIYRCGTLSMFEVDGKKNKVYGQNLCYLAKLFLDHKTLYYDVDLFLFYVLCECDDRGCHMVGYFSKEKHSEESYNLACILTLPPYQRKGYGKFLIAFSYELSKKEGKVGTPERPLSDLGLVSYRGYWTRVLLDILKKHKANISIKELSDMTAIKAEDILNTLQSLELIQYRKGQHVICADPKVLDRHLKAAGRGGLEVDVSKLIWTPYREQG >KGN52064 pep chromosome:ASM407v2:5:23489117:23495863:-1 gene:Csa_5G608520 transcript:KGN52064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYIERIVHKIKEGEMPRSKPKITGPCSSEQTIRLKAHFTIPDQGVSKQKPPLSSFSSPCGLSLSCSLSLSLSLSLRRQVLEARPAKGIDLEDNSEIEFYVSHTFSFKEQDNNSTALSTLCIEGFDSYLYSCITPFLGSSIADLICPFLLLLEIVCSFDFVHFLILNVWKTFYYMGKVAFEHCSSPLGFRSYAMFHVVVSPIVQKALNKMSMVDEPLYPIAVLIDELKNDDIQLRLNSIRRLSTIARALGEERTRKELIPFLSENNDDDDEVLLAMAEELGVFIPYVGGVEHAHVLLPPLETLCTVEETCVRDKAVESLCRIGSQMRESDLVDWFIPLVKRLAAGEWFTARVSACGLFHIAYPSAPEMLKTELRSIYGQLCQDDMPMVRRSAATNLGKFAATIEPAHLKTDIMTIFEDLTQDDQDSVRLLAVEGCAALGKLLEPQDCVLHILPVIVNFSQDKSWRVRYMVANQLYELCEAVGPEPTRTDLVPAYVRLLRDNEAEVRIAAAGKVTKFCRILSPELAIQHILPCVKELSSDSSQHVRSALASVIMGMAPVLGKDATIEQLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLASQLGVGFFDDKLGALCMQWLQDKVYSIRDAAANNLKRLAEEFGPEWAMQHIVPQVLDMINNPHYLYRMTVLRAVSLLAPVMGSEITCTKLLPVVISSSKDRVPNIKFNVAKVLQSLIPIVDQPVVEKTIRPSLVELSEDPDVDVRFFANQALQSIDHVMMSS >KGN52274 pep chromosome:ASM407v2:5:24769944:24771510:1 gene:Csa_5G623380 transcript:KGN52274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHPVEDADEQSPFGSLTADEFYARHSVTHGSEFITNSRGLKLFTQWWIPQPPVNPIGIIGIVHGFTGETSWFIQLTAVHFTKAGFITCAIDHQGHGFSEGLLYHIPDINPVVEDCISFFDSFRERHAPSLPSFLYSESLGGAIALLITLRQKSTTENSRPWNGVVLNGAMCGISPKFKPPWPLEHFLSLAAALLPTWRVVPTRGSIPDVSFKVDWKRKLATASPRRVVTRPRAATAQELMRVCRELQERFEEVEVPLLISHGGDDVICDPACVEELYRRATSKDKTLKIYPGMWHQLIGEPKENVELVFGDMVEWLRSRVPGDASIMAAGGE >KGN50771 pep chromosome:ASM407v2:5:10568603:10574015:-1 gene:Csa_5G247660 transcript:KGN50771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPESVRFMAREILILRRLDHPNVMKLEGLITSRVSGSLYLIFEYMEHDLAGLVATSGIKFTEAQIKCYMKQLLCGLEHCHAQGVLHRDIKGSNLLIDNSGNLKIGDFGLSTFFHPRQKQPLTSRVVTLWYRPPELLLGATDYGVSVDLWSSGCILAELYAGKPIMPGRTEVEQLHKIFKLCGSPSEEYWKKSKLPHATIFKPQHPYKRCIAETFKDFPFSALALLDVLLAVEPDGRGTASSALRSEVLDKFLTVLTCPATLQHVDELSCEPH >KGN50001 pep chromosome:ASM407v2:5:4376398:4380845:-1 gene:Csa_5G148720 transcript:KGN50001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSILVTGGAGYIGSHTVLQLLLGGYNAVIVDNLDNSSEIAIRRVRDLAGDLGKNLTFHKLDLRDKPALEKVFASTKFDAVIHFAGLKAVGESVQKPLLYYDNNLIGTIVLFEVMASHGCKKLVFSSSATVYGWPKTVPCTEEFPLSATNPYGRTKLFIEEICRDIYRSDSDWKIILLRYFNPVGAHPSGDIGEDPRGIPNNLMPFVQQVAVGRRPALTVFGSDYSTKDGTGVRDYIHVVDLADGHIAALRKVDDPSIGCEVYNLGTGKGTSVLEMVSAFEKASGKKIPLVMADRRPGDAEVVYAETDKAEKELKWKAKYGIEEMCRDQWNWASKNPYGYEASASKN >KGN51311 pep chromosome:ASM407v2:5:18077982:18079663:1 gene:Csa_5G517100 transcript:KGN51311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGIVMDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDNLMKILTERGYSFTTTAEREIVRDMKEKLSYIALDYEQELETSKTSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFSGIADRMSKEISALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >KGN51633 pep chromosome:ASM407v2:5:20959206:20960051:1 gene:Csa_5G586550 transcript:KGN51633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDPEIPSQTSASAVDTSRPFRSVKEAVAVFGERLLVGDAYSSSPKPSTDAPLPEIGTWEAMPSSTTTIKEEKEHVLVSLKKLEAELEETKEELRLLKEREEDTEVALASLNAELHKNMSKLAEAEAAAAGKAAAARRIVTSGRIEEEMKRSELKMRRMEETDSPTLAQILSFGDKIGYFGGKKEKKKIKKKPIIPLVGDLLLILRKKGSSENDLRSSFN >KGN52536 pep chromosome:ASM407v2:5:26807383:26816940:1 gene:Csa_5G642180 transcript:KGN52536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISTSMWKTIAKRGICRNRQFHPDWRWLSRFNGVLGRPNESLFRDKLISGNFVPSSLPKFGSFEMGVGRSEILSQEDYYRSRFCFGSMGARIGLNGLCPKGYSSVAEAVSSTDVDEDASVDGKVQESVQEMSKEEKRLKPVKGMGQWKYNMLRRRQVTIEAEAWENAAKEYRELLVDMCKQKLAPNLPYVKSLFLGWFEPFQDAIIKEQELIQEGKRSRAPYAPYFLQLPADKMSVITMHKLMGLLMRGSEHGSVRVVQAACAIGDAIEQEVRIHKFLEKKKERTHNKDNENNDQPDIERKEQERLRKKVTNLIKKKKHLAAGQIAKRADDSRPWGRELKAKVGARLIELLIQTAYIQPPADQLADGPPDPRPAFVHSLKTSVKDGTNFMGRLGVIECDPLVVKGLDWTARQIVIPYMPMLMPPVKWRGYDKGGHILLPSFAMRTHGAKQQRDAFKRTPKQQLTPVFEALDTLGNTKWRINKRILNVVERIWTSGGHLAGLVDQDDVPLPEKPDTEDETLIKKWKWEVRSVKKENMERHSQRCDIELKLTVARKMKDEEGFYYPHNVDFRGRAYPMHPHLNHLGSDLCRGILEFAEGRSLRKSGLIWLKIHLANLFAGGVDKLSHDGRMTFIENHLEDIFDSADRPLEGGRWWMNAEDPFQFLAGCMNLTEALRSSSPETYISHIPIHQDGSCNGLQHYAALGRDKSGAAAVNLVAGEKPADVYSGIAARVLEILKRDAQKDPETFPDALHAKILIDQVDRKLVKQTVMTSVYGVTYIGARDQIKRRLKERAAISDDSELFGCSCYAAKITLEALGEMFVAAREIMNWLSDCAKIIASKNETVRWTTPLGLPVVQPYRKLGIHAIKTSLQVLTLRRETDKILSSRQRTAFPPNFVHSLDSSHMMMTAVACKRAGLNFAGVHDSYWTHACDVDEMNRILREKFVELYETPILENLLESFEQSFPALDFPPLPERGEFNLKEVLDSPYFFN >KGN52399 pep chromosome:ASM407v2:5:25809055:25816416:-1 gene:Csa_5G631500 transcript:KGN52399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKKEKKVNVSGKPKHSLDVNRSNEKNKNGRTAATVRRLKMYNTRPKRDRKGKVLKNDLQSNELPDTRIQPDRRWFGNTRVVNQKELEIFREELEKRMSSSYNVILKERKLPLSLLNDHQKQSRVHLLDTEPFQDAFGPKGKRKRPKLLAADYESLLQKADKSHDDFEEKYAENATVEGSEEDGFRDLVRHTMFEKGQSKRIWGELYKVIDSSDVVVQVLDARDPQGTRCYHLERHLKEHCKHKHVVLLLNKCDLIPAWATKGWLRVLSKEYPTLAFHASINKSFGKGSLLSVLRQFARLKSDKQAISVGFVGYPNVGKSSVINTLRTKTVCKVAPIPGETKVWQYITLTKRIFLIDCPGVVYQNSDTETDIVLKGVVRVTNLEDASEHIGEVLKRVKKEHLERAYKIKNWEDDNDFLVQLCKLSGKLLKGGEPDLTTAAKMVLHDWQRGKLPFFVPPPRVEDESEEPNYCVDDDSGVDSNQAAAAFKAIANVISSQQQRSVPVQRDLFSENELNGETSDQILVSEDELQAPLSDTEGKTLGDQDDKNEDEHAITS >KGN52707 pep chromosome:ASM407v2:5:27881448:27894608:-1 gene:Csa_5G651650 transcript:KGN52707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGYSRLNTSRSSVSLSPPASPRHRQLRSRTGSDSGNSSGGFSVRDSKQSSIMEKLVFLILSAVFRRKGLLLFAPLLYISMMLLYMGSLNFDVSISNLKTRVVSVSKRAPPGTVYRSPQVFEKLWPFMEAESRNSTTHALSTAWNSKVHHVWKPCISSSTVTELPKSNGFLIIEANGGLNQQRLSICDAVAVAGLLNASLVIPIFHLNSVWRDSSKFGDIFDEDFFIQALSKHVNIVRELPADVLQQYNNNISSIVNLRVKAWSSPMYYLHKVLPKLLQLRAVRIAPFSNRLAHGVPSDVQALRCLANFEALRFAESIRMLADLMVDRMIKKSSQSGGKYISVHLRFEEDMVAFSCCEYDGGEEEKHEMDLARERSWRGKFRRRGRVIRPGVNRVDGKCPLTPLEVGMMLRGMGFDNTSSIYVAAGKIYKAEKFMAPLRQMFPRLETKDTIATKEELAPFKGHSSRLAALDYTVCLHSEVFVTTQGGNFPHFLMGHRRYIYGGHAKTVKPDKRKLALIFDDPNIRWQNFAQQMQDMLRHNDQKGMEMKKSSGSLYTFPMPDCMCKVPNSKNHD >KGN49682 pep chromosome:ASM407v2:5:2032862:2033151:1 gene:Csa_5G065650 transcript:KGN49682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGDNVMILVDVVVDGDCSVPIPTRKGVTMLYQEISSQLLWPRHLVIPQDEKQQRKNEFIQADERAPSPIQTR >KGN49975 pep chromosome:ASM407v2:5:4189504:4195728:-1 gene:Csa_5G147470 transcript:KGN49975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVSLVNGCSAGNTAGKVIICKAAVAWGPGEPLVIEQVRVDPPQKMEVRVKILFTSICHTDLSAWKGDNESQRAYPRIFGHEAVGIVESVGEGVTEVAAGDHVIPLFNGECGVCRCCKNPNTNSCEKLGVDPTKSTMTLDGKTRFTTADERRQPIYHFLNTSTFSEFTVLDSACVVKIDSEAPIKKMTLLSCGLSTGVGAAWNTANVETGSTVAIFGLGAVGLAVAEGARARGVSKIIGVDVNPQKSIVGKQFGITDFINPRDSDKPVHEKIMEISNGGVDYSFECVGNLDVLRTAFLSTYIGCGTTVMLGIHSSPKLLPLHPMELFSGRKVVASIFGGFKGRTQLPHFAKQCTQGVVKLDEFITHELPFDKINEAFQLLIDGKSLRCVLQI >KGN50708 pep chromosome:ASM407v2:5:9767082:9770872:-1 gene:Csa_5G218740 transcript:KGN50708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMDSMNQTYVCETVERRGDDTWQYLFQMSVKSPSSLFLLQLSAISLVSQLMESLFKPLGQSTVVSHIFGGIILGPSFLGQKEEIARTLFPQRGNLALETFGSFGLMFFLFVMGVKIDATVMLRPGRQALVVGLSVFVFTFILPLTFVFILKHSIPTHDHITDALYLIALCQTLIGSPVIACLLTELKILNTDIGRLAISSSMFCDVLAMFAAVATLSFTESKRANNGQTPLYSLISSFALIAGIFYVFKPIILWMLKRFQQRKLIHEVFIIWIFLLVLFSGFLSEIIGQHYFLGPLVLGLVVPDGPPLGATIVSKVETIASRLFYPTFLAVSGLQTNIFIIKLEQCWPVVVVILFSCLVKIGAVVFPARYFNLLHGDALVLGFILNARGFLQLILFNFWKHGQLMTDEEFSLSVMAVVILTATVTPLIRLLYDPSKRYFSSSRCTIQHLKAETELRVLVCIHHQDNIPTIINLLEVSYASRDSPLVVIALILVELIGRSNPVLIAHQADCTLERSSSKATHIINALRQYEDHNAGYATVDAFTAISPYDLMHDDVCRLAFDKRATIAILPFHKQWAIDGTIERVNRAIQNMNLQILEMAPCSIGILIDRGVLTKQVSVLTARTPYHIAVLFVGGPDDAESLALGARMAKHHMVDLTVIRFLLFGAENSKNRKHDTELIHEYRQANLGNEHFVVVEEMVRDGSGLAASIRGMEDCFDLIIAGRRHEENPILDGLHQWSECPELGVVGDILASPDFRSSSTVMVVQQQRLRGRFSGRKMMNSSLVHDAPTGSWSIMMER >KGN50324 pep chromosome:ASM407v2:5:6502654:6504854:1 gene:Csa_5G167160 transcript:KGN50324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNVMFSFDFVQILAASSNILYNFLHKDDKQTKPVDKKVVEWMKHNQTARKMENPKFEQNPIRDRASASNTAFNDLPHGIISALRRIELHILSLQHCTSQSRKTRGNCQCVLHRNETLNQQKVHSKTDHSTLRTGFTKPVNPIETQHSSEFVHGFRIPRSQGNDETIMKPPIIETHVIPNQHKVVNPMTKSGCTSVGSKAATFRPAMKLDQTSKQVKKNQSLYGHMVMGPTLLDHHPSREVRKEHTYNNTHLPPQQESESSNSEFESAFSSSSSWTTQQNTESETVDNDDYDSSSPSHQDDSSTTDSKSSSTYSMKTFNTKHGKKESKQRVGRFKQLKNKLGVIFHHHHHHHHHHHNSHNFMWKQLGKIFNHKEKRGSVVSKEDKYEKVKNRAVRSVCDKKQVRKFEALAEGLRSHVRSKAMKRKEFKGMRYGKKNGVKKLNWWKMFRNRRGVKLPNKGRMKIGYVNR >KGN50464 pep chromosome:ASM407v2:5:7451139:7452374:-1 gene:Csa_5G175870 transcript:KGN50464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSPPTSQPSSPPPPAVVLSPCAACKILRRRCVEKCVLAPYFPPTDPLKFTIAHRVFGASNIIKFLQELPECQRTDAVSSMVYEANARLRDPVYGSAGAICQLQKQVSELQAQLAKARAEVANMQQQQANLLALICMEMRQSPEPVWQPQLVDTTCFLDDATLSSPWEPLWT >KGN52359 pep chromosome:ASM407v2:5:25419747:25420373:1 gene:Csa_5G628640 transcript:KGN52359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEWVVLGYAAAAEAIMVLLLTLPGLDGLRKGLVAVTRNLLKPFLSVVPFCIFLLMDIYWKYETRPTCESDSCTPSEYLRHQKSIMKSQRNALLIAAALVFYWLLYSVTHLVVKVEQLNQRVERLKNRD >KGN51872 pep chromosome:ASM407v2:5:22423059:22426547:-1 gene:Csa_5G604170 transcript:KGN51872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESESERKASSNSRSPNNSKDANNNPKLSIISNKSKREPGGWKSMPYILGNETFERLAAMGLLANFMVYLKKMYHMDQVSATSLMGLWTGVTSFLPLLGAFLSDAYIGRYWTIAIASIFSFLGMASMTLTAWLPQLHPPGCGGSVKCLTPTASQLGFLIMSLCLVSIGSGGIRPCSIPFGVDQFDPTTEKGRKGIASFYNWYYATFMVVLVITLTIVVYIQDSVSWVLGYGIPTVLMFCSIILFFMGTHVYVFIKPEGSIFTGLAQVAVAAYKKRHLKLPDDRKGFYDPPLDPASVVLSKLPLTNQFSFLNKAAIKTENDINPDGRRVNKWNLSSIQQVEELKCLFRVFPIWVTGILSLTPIIQQSTFSISQALKMNRHMGSNFQIPPASIIVISFLTITFFIPFYDQFLVPTLRKFTGHPNGITELQRMAIGIVFAVLSMVVAGLIEMQRRNQANNNGSIMSVFWLTPQFFLMGLCEAFNIIGQIEFFNKEFPEHMRTMGNAFSSCSIALSSYINTAMVLIVHRTTGRGGAHGKPDWLIDDLNKGRLDYFYYVVAATAFFNFFFFLYCAKNYRYKGHVSDDEQDLKLVISSDKVLDV >KGN49734 pep chromosome:ASM407v2:5:2698287:2700269:-1 gene:Csa_5G092920 transcript:KGN49734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGDALNMRNWGYYEPSLKAHLGLQLMSTIGERDVKHFMPGRDPSAIVNMNAAFHPRESVVSEAPVATNWARDGWINHRDKLFNVLSPNTSYSLLAETSAAQPLQILQPLDTSRDEMVLKIEEPPVKKGTKQPKKRQNGGAPKTPKPKKPRKPKNNDPSVQQVKAPKKKMELVINGFDMDISSIPIPVCSCTGTPHQCYRWGYGGWQSACCTTSLSLHPLPMSEKRRGARIAGRKMSQGAFKKVLEKLAAQGYNFSNPIDLRSHWARHGTNKFVTIR >KGN52596 pep chromosome:ASM407v2:5:27238272:27240352:1 gene:Csa_5G646700 transcript:KGN52596 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll A/B binding protein MASLAASTAAASLGVSEMLRNPLSFSSRSAPSPSTPATFKTVALFGKKPAAPAKPKPSAASPVNDELAKWYGPDRRIFLPDGLLDRSEIPEYLNGEVPGDYGYDPFGLSKKPEDFTKYQAFELIHARWAMLGAAGFIIPEAFNKFGANCGPEAVWFKTGALLLDGNTLNYFGNNIPINLIVAVIAEVVLVGGAEYYRIINGLNFEDKLHPGGPFDPLGLADDPDQAAILKVKEIKNGRLAMFAMLGFYFQAYVTGEGPVENLAKHLSDPFGNNLLTVISGNAERVPTL >KGN49818 pep chromosome:ASM407v2:5:3346511:3346816:1 gene:Csa_5G138500 transcript:KGN49818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSASTNMSIAIIHRDIEREWEDHCGVMGIIFINGATCSLSEELCTAKFLERMRRYKIYFNIIIPLEHLGANEQWNCGARLTSRIALLVCFIVYCSALFGE >KGN51864 pep chromosome:ASM407v2:5:22376754:22381095:1 gene:Csa_5G604090 transcript:KGN51864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDGVKLTKWGYGIRTSSDDCISAINAFYDQVLSYGRKRSVILEATVHDKDCVLANVLGAHFLSSSDPSRAHYHLQTAKAGLDRATPYEKAVFDAISCLMSNDRDDNVAVELHTELLKNFPKDLSSLKRAQVLCFYLGSADLSLALVQQVLPQNQEEGFIYGMLAFPLLELGCMEEAEKAARRGLDINKKDGWAQHALCHVLQYRCHFKEAVEFMETCSPSWHDCVSFMVTHNWWHVALCYLEANSPLSKILEIYDNYIWKELEKPDAIGPEVYLNALGLMLRLFVRGEYDPCEGRLKILANVLTDKANWHLEWHFDILTLWALAKAGEIFAADELLGSLKSRLSKMTAKKREKMQRRVLLAEALYKYGRGDYERALDLLGLDFDANDYKMIGASNEQLDVFNEVWYDILMNTGHAAKAIEVIEKQIKKREEVPYLWHLLERGYNKIGRPDESAIAGDKARSLEKAHFK >KGN52013 pep chromosome:ASM407v2:5:23184392:23185884:-1 gene:Csa_5G608010 transcript:KGN52013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPAITSAPFSFSSLRLTNPISPHPRYVAVSISAQASPANSSYSVSDRDLESRGFVLHRTVSDLNLDHLNSVFAAVGFPKRDPDKIRVALENTDALLWIQYGKTQRPVAFARATGDGVFNAIIWDVVVDPSFQGLGLGKAVIERIIEDLLRKGISNIALYSEPRVLGFYRPLGFVADPDGIRGMVYSRKKKKN >KGN51225 pep chromosome:ASM407v2:5:17393821:17395419:-1 gene:Csa_5G495920 transcript:KGN51225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEKTHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRMDATTPKYSKSRYDEIVKEVSSYLKKVGYNPEKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDLIQEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGIIKPGMVVTFAPSGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKEAANFTSQVITVAGGVLQAVEKKDASGAKVTKSAAKKSGK >KGN51155 pep chromosome:ASM407v2:5:16494316:16505234:-1 gene:Csa_5G468480 transcript:KGN51155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNRVLLDFQIPIQDAVSRLQFAPLSNNLLVSSWDSILRLYDADNCTLRLEVASEAALLDCCFQNESLALSAASDGCIRRYELQSGIFETVGKHGDSVTHIRYSDQTCQIVTAGLDGKIQLWDTRNKKSPSFVRNMGSDVVSMSLSGFNLIVASGACVYLLDLRNLEKSIQLKDSYMKVPVACVSSVPYREGVAVGSVDGRVALDIACLDQTDDIRYIFRCHPKSKAAKNHLESVNDIVFNPIHHGAFATGDNAGFVSIWDFQRKTRILELPRFPNSVASLSYNCGGELLAVASSCTYQEANEREEPPQVFLHNVKEK >KGN50275 pep chromosome:ASM407v2:5:6233386:6237920:1 gene:Csa_5G165200 transcript:KGN50275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKLLQRFSLYVMREVFRISISNKINWTKVCLIGAILTVGGIALQVLILPYPLHTWFVSRPATVILYESMEETMELNETHKNSTERVPLILLNSVVLQNATDQMVQLVSVNQERETSPKKRKSSRRRKHTKLKEKPIILTPPPPPRRPPSALERHVWSLKPVEALAYAKEELKHAPTVIDDADLYAPLFLNVSIFKRSYELMELILKVYIYRDGSRPIFHTPHLRGIYASEGWFMKLMEENRQFVTKDPEKAHLFYLAYSSRQLQTALYVPDSHNMKPLSIYLRDHVNWIAGKYPYWNRTHGYDHFLVACHDWGPYTVNEHRELSQHTIKALCNADLSEGVFKLGKDVSLPETTIRTPRKPLRNVGGKRVSQRPILAFFAGNMHGRVRPILLKHWNDKDDDIRVYGPLPLRVSRKMTYIQHMKSSKYCICPMGYEVNSPRIIEAIYYECVPVIIADNFVLPFSEFLDWSAFSVVVAEKDIPKLKEILTAIPLKRYLTMQINVKMVQKHFLWNPKPLKYDLFHMVLHSIWFSRLNLFPIPRT >KGN51093 pep chromosome:ASM407v2:5:15828305:15831458:-1 gene:Csa_5G435080 transcript:KGN51093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSTVVGSQPAIKVIALCGSLRQNSTNRGLIRAATEIAKESIDGLQIEYLDISPLPMLNTDLEVDGTYPPVVEAFRRKILEADSILFASPEYNYSVTAPLKNAIDWGSRPPNVWGDKAAAIVSASGGSGGVRSQYHLRQIGVFLDLHFINKPEFFLNAHQPPPKFNSSGDLIDAEVRARLKALLLALRAFSLRLKGTNPL >KGN50435 pep chromosome:ASM407v2:5:7252052:7252591:-1 gene:Csa_5G174610 transcript:KGN50435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSICFNSGERIENLEERINLHRTALVGDWENALSILDDNESLFNERQGAKHINFVEKLVKQMIKEEVCKKNRHKNAALCFAAASGVVIINCMLRLWIIRTKNFHLFTALAILLVSWQKITTHIDEEITRSMKILKKYREAKTYVHGKIGADFLYFLGEDFNSFSDEFLQLSRSLFYSLL >KGN50604 pep chromosome:ASM407v2:5:8566801:8571051:1 gene:Csa_5G190480 transcript:KGN50604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNILKRCIISKQGFRYGGALQISFLHSSSQDKVVEICSQEQEVVIALGSNVGDRLQNFNEALRLMKKAGIHITRHACLYETAPAYVTDQPQFLNSAVRAVTKLGPHELLSAVKNIEKQLGRTAGIRYGPRPIDLDILLYGRYKVHSDTLTIPHERIWERPFVLAPLIDLLGSDVDTDDVASWHSLAADHGGLFESWEKVGGEYLVGKEGMRRVLSIGNSLWDWSCKTSVMGVLNLTPDSFSDGGKFQSIEAAVSQVRSMVSDGADMIDIGAQSTRPMAPMISVEEELDRLIPVLEAVTRMPEMSGKLISVDTFYSKVALEAVKRGAHIVNDVSAGNLDPEMHKVVADLNVPYIAMHMRGDPSTMQNKENLQYDDVCNQIALELHSKIRDAESSGIPAWRIIIDPGVGFSKTTKQNLEILTGIPKIRAAIAKRSLGLSHAPMLIGPSRKKFLGEVCSRSVATERDPATIAAVTVGVLGGANIVRVHNVRNNVDAVRLCDAMQKEKKS >KGN50368 pep chromosome:ASM407v2:5:6723564:6726638:-1 gene:Csa_5G169040 transcript:KGN50368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILLFDWLPGIMELIAVIGKEWVAIKPLDMLLSLIFDGIFSSIHGPVPNWLGNLSSLEYLDLSENALIGAIPTAIGGLLNLRELHLSKNRLEGVSDECFMQLEKLELLDISKNLFIKVVLTEATFANLSRLDTLVIGHNEHLSLDIDPNWIPPFQLKLLAADSCIHCFGSEFPPWLQNQKSLISLLLSNLSISSAIPTWLAPQNLTTLDLSHNKLSGPIFTRIVDQMPELDELILNDNLINDSLLSSLCQLNNLYFLDLSNNRLTGILQACLLTPYLTYLDLSSNNFSGTFPNFGNLGGIQQLYLSNNNFEGSMPILLKNAQLLDTLDLEGNKFFGNIPTWVGNNLERLELLILRAEGIHSRTLKDKSLGVDI >KGN52398 pep chromosome:ASM407v2:5:25802894:25803655:-1 gene:Csa_5G631490 transcript:KGN52398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKPGVFLPQEPRVTACGALQFSGQGAKPKKRGKGKKTFDSINWAKSEKINSPFFVCHFHGVLGPGNTLIKQIQ >KGN49953 pep chromosome:ASM407v2:5:4047175:4050573:1 gene:Csa_5G146270 transcript:KGN49953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWFRSIQRIGNADGGGGFAGLVFNTFARHFSRKRAENLRKINPKLTPQEASLVAQDLYGVVQQHGPLTISNAWIKAQESGVNGLNSKTHMKLLLKWMRGRKMLNLFCHYVGSNKKFLLCTPDDPRAEELKNSSEAGPQRKKRAKSPTKRRKTSK >KGN52263 pep chromosome:ASM407v2:5:24699023:24704035:1 gene:Csa_5G622780 transcript:KGN52263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFFSTVFGFLGFGIGFPLGLLAGFFIFVYSVPKHVKEPVTRPLCELDTTALQELMPEIPLWVKSPDYDRVDWLNKFLSAMWPYLDTAICGSIRAIAKPIFSEYIGKFQIEAIELDQLSLGTLPPKLHGLKVYETNENELVMEPAIRWAGNPNIVIVVHILSLRITIQIVDLQLFATPRLALKPLVPTFPCFANIIASLMEKPQIDFGLKIMGGDIMSIPGLYRFIQETIKKQVASLYLWPRILEIPILDPSMLATRKPVGILHVNVVRASKLLKMDILGTSDPYVKLSLSGGGLPAKKTSIKMRNLNPVWNEKFKLIVNDPKSQVLHLQVYDWDKVGGHDRLGMQLVPLKLLTPYESKELVLDLVKNTDINDTQNKKPRGKLTVELLFTPLREESMKYLENSISDVKEAENEVLEEAGVLSVTIQGAHGVEGEKHTNPYAVIHFRGERKKTKVDFSIL >KGN51160 pep chromosome:ASM407v2:5:16532547:16533158:1 gene:Csa_5G469020 transcript:KGN51160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRQLNLLPYKVNQELRIDYKAFVSIDSKDFKRVVLEINAHSVFVNLSDSEATFSNDNKKIIFTKEERKCIIGGIKKGKEYEVLITLHPLVFFLDLSYQAQRLWLFIQKDFSTILVFPSGLWTQFWVYFSP >KGN50320 pep chromosome:ASM407v2:5:6489396:6490319:-1 gene:Csa_5G167120 transcript:KGN50320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDASTLDLIRQHLLNDFNSIEAFASNLNFDHNSGVNSQISSPTPSKVAPRRPSLNVAIPPKSISVGSAVETSIEAKSDVGVSRHYRGVRRRPWGKFAAEIRDPAKRGARVWLGTYDTAIEAAKAYDRAAFRMRGSKAILNFPLEAGKDVEDPQSTSDVGRKRRRESESEVVEMGKKEMKKEERSETEEIGVPTTVCPLTPSCWASVWDSDGKGIFNVPPLSPYPLMGHPQCTVI >KGN50325 pep chromosome:ASM407v2:5:6505453:6507626:-1 gene:Csa_5G167170 transcript:KGN50325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKTTSDEKERQTKAIWDDVTVDSFINVCVTETLNGHRPRGYFTKIGWRNVVKNFYEKTGRNYGYKQLKNKWTTLKRDWQVWNDLIGNEKEIGWDPLRQTIDATSQWWDHKLKVLPEAAKFRAKGLQSLELLNILFKGVAINGGAWAPNPSQVVLRKESDEVEEDYNEDTIGDTKFNENEFNNVESNTNEVNTSATHTQESEKRRKRVDSKVGSPSRLFDALDRLSDVIEYRKRDLPGCSTLEVMETLRSLPGIIEGDELYMKAADILIKRENREMFVALQKPAIQITWLKQKRV >KGN50501 pep chromosome:ASM407v2:5:7720751:7723254:1 gene:Csa_5G177690 transcript:KGN50501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRVHVKSGHDSCEFEFLYECQSDLLIDEIASEVIQIFNLQSKIHRLISEFEPLLLPFSGDPKATSLLRAFSEAKSYASKDMVIHNRPLSFLVLRHHFETIERELVAKFDILGVYDSTQYQQLSSDVGLLDKDTTQLKLAGKELMKEKQLCDYIGRNEKTKIVLKLQPKITPPS >KGN51592 pep chromosome:ASM407v2:5:20665838:20666109:-1 gene:Csa_5G582740 transcript:KGN51592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNATFYYWLEISFTEVRPNADLLKEPGRPRTTRIQNEMDWKESSQSLRCTVYKVEGHNRRTCPQRASSSSRH >KGN50490 pep chromosome:ASM407v2:5:7647042:7652205:1 gene:Csa_5G177100 transcript:KGN50490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIILKIDDLIDDEERHRNLIFHRYSDSYTFISKLPFLQYNPKFSLQIHVSLSMEVDSIPNGSATETAMPNATAAAAAAPITPAPSNFTGLAESLKLEHQFLRVPFEHYKKAIRANHRVAEKEVSAVISSVTEAADRDNMSTEEAVHHFNSLVSRLQGLKRKLEEGSRTEQMQAQKCRARLVHLESADADNLAEWNSTRLKRILVDYMLRMSYFETAMKLSESSNIQDLVDIDVFQEAKRVIDALQNKEIAPALAWCSDNKSRLKKSKSKFEFQLRLQEFIELVRGDKNMQAIAYARKYLAPWGATHMKELQRVMATLAFKSSTECAVYKVLFEPKQWDHLVDVFRQEFCKIYGMTLEPLLNIYLQAGLSALKTPYCYENDCTKEDPLSQESFRKLADPLPYSKQHHSKLVCYITKELMDTENPPQVLPNGYVYSAKALERMAKENGGKITCPRTGLVCNYSELVKAYIS >KGN52155 pep chromosome:ASM407v2:5:24047969:24053598:1 gene:Csa_5G612840 transcript:KGN52155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKIFVQVVVILCLGWLWWATMVDAENLKYKDPKQPVGVRVKDLLGRMTLEEKIGQMVQIDRSVANATVMKDYFIGSVLSGGGSVPLPDARAEDWVNMINDFQKGSLSSRLGIPMFYGIDAVHGHNNVYNATVFPHNVGLGATRNPDLVRRIGAATALEVRATGISYTFAPCLAVCRDPRWGRCYESYSEDPKIVKEMTEIIIGLQGEPPANYRKGTPYVGGTKKVIACAKHFVGDGGTTHGINENNTVINRHGLLSIHMPAYLDSIIKGVSSVMASYSSWNGVKMHANRELITDFLKGALKFKGFVISDWEGLDRITSTPHSNYTYSVQASILAGIDMVMIPYKYAEFIDDVKFLVKNNAIPMDRIDDAVRRILTVKFTMGLFESPMGDYSLVNELGSQAHRDLARDAVRQSLVLLKNGKNDSKPLLPLSKKSPKILVAGTHADNLGYQCGGWTIAWQGFSGNNATRGTTILASIKSTVDPSTEVVFREDPDSDFVKSSDFSYAIVVIGEAPYAETGGDSTTLTMLDPGPSTIKNVCDYVECVVIVISGRPIVIEPYISSIDALVAAWLPGTEGQGVTDALYGDHGFSGKLPRTWFKSVDQLPMNVGDPHYDPLFPFGFGLTTGSVKDIVARSTSAGIYGTPSLIASIAVAIALCIL >KGN50101 pep chromosome:ASM407v2:5:5067461:5070534:1 gene:Csa_5G153160 transcript:KGN50101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREILHIQAGQCGNQIGAKFWEVVCDEHGIDPAGNYTGSSSLQLERVNVYYNEASGGRYVPRAVLVDLEPGTMDSLRTGPYGNIFRPDNFVFGQNGAGNNWAKGHYTEGAELVDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTNPSFGDLNHLISTTMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTARGSQQYRALTIPELTQQMWDAKNMMCAADPRHGRYLTASALFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPTGLSMSSTFMGNSTSIQEMFRRVSEQFTVMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDAVAEDEEEVEEDVL >KGN50709 pep chromosome:ASM407v2:5:9779746:9783313:1 gene:Csa_5G218750 transcript:KGN50709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNALRFLCGHFSRPTSDSFPPHAAVSSSTAGVSALPHDLFEFEITSQVPPGLSKHVVSSKKAQANWYAKLAEAWKTEKPTPRTPEEASRLVIQTLKRHQKKDVEGLLTFYGLPLPHTLVKPSAPVPTAVSIPDGVKFELQTLPVDAKAVADGDTVTVYVSTSHPRESSCVPKEVRNAALQRSRARNAKNFAKADALHKTITDAGYRVITFQNEEVLAKKYRIRLRGIDAPESAMPYGKEAKEELKRLVEGKCLRVHVYGEDRYNRCVGDLYCNGKFIQEAMLKKGFAWHYTAYDKRPELSKWENEARAKRAGLWASSNPEQPWEWRKGKREGK >KGN52134 pep chromosome:ASM407v2:5:23914079:23918124:-1 gene:Csa_5G611650 transcript:KGN52134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFLEYTPFDRLNDFLSHLNLGERTIKGCLEAYSCKHTGIDKKLSLSLENEILDYLGKSSDADSSSPDEFLLSRSSRKTLIYLVLTLNHVYPDYDFSAMQAHQFFTEESWDSFKQIFDAYMLEASKEWIENNEGGSLLEMTYKALDEAVKLAECEIYSYNPNSDADPSIERGAIWSFNFFFYNRKLKRVVSFRFWCLSTLVAEGFHLDGTGYEEDGDIFDNMDI >KGN51089 pep chromosome:ASM407v2:5:15765410:15766195:1 gene:Csa_5G434550 transcript:KGN51089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVAVESTPFHVLAVDDSFIDRKLIERLLKTSSYHVTAVDSGTKALEFLGLVQHHDQQTDSKIAPDQNHHHQEVDVNLIITDYSMPGMSGYELLRKIKESKSLKDIPVVIMSSENVPSRINRCLEEGAEEFFLKPVQLSDVRKLRPHLIKEKSTNN >KGN52567 pep chromosome:ASM407v2:5:26996576:26999633:1 gene:Csa_5G643950 transcript:KGN52567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPVVQFPIFLAVRVLGMLVAALLFMWTLHFRGGLALISDNKDHIFNVHPVLMVTGLILLNGEAMLAYKTVSGTKNFKKLVHLTLQFVAFFLSLIGIWAALKFHNDKGIENFYSLHSWLGLVSIFLFGVQWSAGFVTFWYPGGSRNSRATLLPWHVFFGVYIYGLSIATTVTGLLEKATFLQTSKVISRYSNEALLINSLGVLIVLLGGLVILAVIAPSYAKGDINRGPAE >KGN50648 pep chromosome:ASM407v2:5:8917711:8917932:1 gene:Csa_5G201330 transcript:KGN50648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFKAQNQVIMGMIEQSDRRNDVQFRSTLKGLHATICSIIPVPKVPPEIATPLTQNYTAVRSEAESSRPPSQA >KGN52267 pep chromosome:ASM407v2:5:24717496:24721550:1 gene:Csa_5G622820 transcript:KGN52267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVENIGRTVEDEVAMDTLLKPRLALVFSILAHVFFLAFASSHDLQILNAEKRIDLTSHIVKVFLTLKVENTGTLPASEVLLAFSPTEFDRLSLVKAAIATGKKKKRSYVPLDVKPIELLDAPNGAKGFSISLLNPLSPGATASFEILYISTHTLKPFPKEISQSESQLVFYHDTAFILSPYHIKQQSTFFKTPSTKVESFTKLEPTNRVGKEIRYGPYEDRAPYSFSPILLHFENNYPFSVVEELVREVEISHWGSIQITEHYKLAHAGARHKGVFSRVEYQSKPSISGVSSFRHLLARLPPRVHSVYYRDEIGNISSSHLRKDFQKSELEFEPRYPLFGGWKATFVIGYGLPLQDFLFESPDGRRYLNFTFGCPLAETVVDKLTLKIVLPEGSTSPTAMVPFLVEQHLEKKYSYLDVVGRTVVVLEKKNVVPEHNSHFQVYYSFNPIFMLAEPLMLVSAFFFFFMACVAYLHLDLSISKMQ >KGN52272 pep chromosome:ASM407v2:5:24762529:24766481:1 gene:Csa_5G623360 transcript:KGN52272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKDDVTTARGFSGKDYHDPPPAPLFDAAELGKWSFYRAIIAEFIATLLFLYVTILTIIGYNSQTDTDKPGANACDGVGILGIAWSFGGMIFVLVYCTAGISGGHINPAVTFGLFLARKVSLVRAVAYMVAQCLGAVCGAGLVKAFQKGYYNKYGGGANQLAHGYSKGTGLGAEIVGTFILVYTVFSATDSKRNARDSHVPVLAPLPIGFAVFMVHLATIPITGTSINPARSFGPAVILNREKPWDDHWIFWVGPFVGAAIAAFYHQFILRAGAAKALGSFRSNPSV >KGN52553 pep chromosome:ASM407v2:5:26905325:26910483:1 gene:Csa_5G643310 transcript:KGN52553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFISQQPKKRVAFVLIDGLGDVSIPKFGFKTPLQVAKVPNLDAIASAGANGLMDPVEVGLGCGSDTAHLSLLGYDPRVYYRGRGAFESMGAGLAMSPGDIAFKSNFATLDEKTGIVTSRRADRHFEEEGPILCAALDRMKIPSFPEYEVRVRYATEHRCGVVVKGPNLSGNISGTDPLKDNRLLLQAEALDDSEEAKLTAAVVNELSKEISRILVSHPINAKRAAEGKNIANLVLLRGCGIRIEVPPFEKKHGLWPCMVAPTKIIAGLGLSLGIDILDAPGATGDYRTLLTSKATAIAKGLSAPLQSSPNVFVPGEDELKPGRADGYDFGFLHVKAIDDAGHDKATIFKVKGMEAVDRAIGQLAKLLWKAESSGNFQYYLCVTGDHSTPVEYGDHSFEPVPFTMCRLKDFVGAVGGESVIDAISLDPFPLPTVVPGEDLEWRERHKMEEERNKEGQAFGGDSVCEFDEISAAKGCLGRFPGGEMMGIIKKFLKLDA >KGN50520 pep chromosome:ASM407v2:5:7877078:7878251:1 gene:Csa_5G180325 transcript:KGN50520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSSGEVKLKLLIDSKEKRVLFGEADKNMIDFLFNLLSLPLGTVIRLLKKDMAGSLANLYGSVEALNDTYLQPNQSKDSLLKPKVSFSASTLLLPNIESFADQKKLYLCGNKCGYNVASNPTAVCPNCRSAMSRECGFVNPPSANAQATQDVGEFGGFVKGVVTYMVMDDLSVKPMSTISSITLLNKFNIKEVGALEEKVVTLDVSQLHSILNMFSVGMIGIEAAEGLPAIQDCSYGCLPWKKFKIIEFTTFQKSINF >KGN49983 pep chromosome:ASM407v2:5:4283311:4283850:-1 gene:Csa_5G148540 transcript:KGN49983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVIPRQDERAGAEIAYGHEECYRRSVELLKDLGFPSGVLPLQELEECGIVRETGFIWMKQKKPYEHFFEKTNSRVAYATEVTAYVEKLKMKKMTGVKSRQLLLWVPIGEMSIEDPSKKKICFKTNMGIGKSFPITAFMVDEEKKMYLEKLEE >KGN50471 pep chromosome:ASM407v2:5:7498740:7502620:-1 gene:Csa_5G175930 transcript:KGN50471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPPTSPPGCSHESGGEQSPNTAAAVREQDRFLPIANISRIMKKALPANGKIAKDAKDTVQECVSEFISFVTSEASDKCQKEKRKTINGDDLLWAMATLGFEEYIDPLKSYLNRYRELECDAKGSSRGGDESAKRDAVGVLPGQNSQQYMQPGSLTYINTQGQHMIIPSMQNND >KGN50629 pep chromosome:ASM407v2:5:8729787:8730935:1 gene:Csa_5G198190 transcript:KGN50629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPSSFLTFSLFLFFLSLSHPSNSLTTIGVTFSASPNSTHRLHPPDSVPKAIDSLKLTAVRLEDSDPNVIRAFAYTNITLLLTIPNSMVSPIAANRSAALQWLYIHVVPFYPRTIITTISVGNNFLEASPDLTTLLLPAIRNVYTALRNLGIRQISVSTTFSFVSIMANPFPPSAARFQDPVSDDVIRPLLQFLRDTNSSFLINIYPYNLYRLISEIPIAYALFQNHPFNFRDDVVTGVRYRNLFDSMIDAVISAMAVAGHENVQLIVTETGWPSFGTDPSEVEANPAYAEMYLKGLVAHLKSGFGTPLRREGVMQTYIYQLFDGEEEQGARPVRKWGLLYPNMTKKYDVVFSNSARIGEQRAILTIAACCFGVFVLTKLW >KGN51106 pep chromosome:ASM407v2:5:16024634:16027470:-1 gene:Csa_5G451650 transcript:KGN51106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQRIVANKFGVQSGGGGGVKGEKRVASFKTSSSCSSSQNPDCKNRAADLKKMMKTSRAIQLSEFEISLTSSPIRKNISLPGKPPPNSSNVLEIKQKQNQASVIRTSDGSPNYMKSTSCFDARKEVSQVSSRNSRICGDSKKPRRRNSENSTHGSVTGLKPTKSLTKSSSMKLVRTLKKAPSFKKSSRVALCADMDSHRATCSSTLKDSKFPAYLMLSPGATEYEGTSAMKVCPYTYCSLNGHRHAPLPPLKCFLSARRRLLKNLKVEPSGLGVKGVDDAGGKVLDEEKMVPEDLENDGGLDFFIEVYAENKVDDAGSINQDRVTSGDRAGVSSSTVEYEMKSSNEEDNKPVAENISDGSMEYEVGVGEEVTEGTFFHGDEYEDDAASTDSEMEKWEEQQFLSMENDGLDEVEDQSNAVTEDLSEVAHLQNGELAGSVGFVNKNSGNFEEQFYIEDSDLNRHPDWEVEGASQVSESLSFDQLSYLEDEYDEKNATQAVSERDEIEYLEMILNYELEAEVEETPFVTQEASDKEEEGQDLQVDRVSDEEVLLLDYQLPNNDLVLQEKLLDADIDNQMESNKQLDDSSHGDEVAIEAENSDGQFQEISATGNSNSVGEEDETESSIVLEMTGNEEPSDLKIEETSMNDSSIVPVDIVEGKDRARSLLKASKVSHNAAESSQELDLSTKNWEVNAKCRRLGDESEDRDFNPREPNYLPMVPDPEGEKVDLKHQLIDDRKNAEEWMVDYALQRTVTKLAPAKKKKVALLVEAFESVMPTSRYEIHLRNNASGAFTPAKRIQACF >KGN49667 pep chromosome:ASM407v2:5:1802010:1802588:-1 gene:Csa_5G055570 transcript:KGN49667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLEVNAAGSSLQIVHQTAELGFVECGGPGEVSGYCPRKYHEWPQQPIQHLNHHILNQSHSSSSSLPSMESLFQEYMQRNDALLQSQAASIKNLELQMGQIANDISRQPKGTLPSNTEIPIQGGSSGKEKCQAVTL >KGN50582 pep chromosome:ASM407v2:5:8368819:8372256:-1 gene:Csa_5G184820 transcript:KGN50582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQGQGGMDPALLDDIIRRLTEVRLARPGKQVQLSENEIKLLCASSREIFVQQPNLLELEAPIKICGDIHGQFSDLLRLFEYGGFPPSANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFNVRLWKAFTDCFNCLPVAALIDDKILCMHGGLSPDLQNLDQIRSLSRPTVIPDTGLLCDLLWSDPSKDVKGWGMNDRGVSYTFGPDKVEEFLTTHDLDLVCRAHQVVEDGYEFFAERQLVTIFSAPNYCGEFDNAGALMSVDEELMCSFQILKPADKKGNKVMVPART >KGN50126 pep chromosome:ASM407v2:5:5246135:5246432:1 gene:Csa_5G154880 transcript:KGN50126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKVLEREREQPTPSSSHILISFVFFSLGVVENFNADWIYTSGSGMNLGLSGGAMLRGKRKKIRSETYKEADEIALQRHEA >KGN50825 pep chromosome:ASM407v2:5:11583617:11583950:-1 gene:Csa_5G273960 transcript:KGN50825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTSVAASYLNDGGTRKHDEWTTAHGEWSTAIKKHLFRMDKATISNRVQMKLVLFSDDNFGRSTDEVLPRRRLQTEHGK >KGN52700 pep chromosome:ASM407v2:5:27828559:27831324:1 gene:Csa_5G650622 transcript:KGN52700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSHFSSFFFLLLLSQLSFAQCSSHKSTECLPTHDSSQYISAVGDPGMKNPNVRVGFEAWNFCNEVGAEAPNMGSPRLADCADLKILPFRRHRKFVVQKVNEWDNKLGAGQKFPSEGFKPYLNPDLYVVEKERYLGSLCENDDSSDPWSFWMIMLKNGNFDVNSTLCPEDGKRISKIITDRKFPCFGEGCMNQPLVYHNYSRLEYLGKGKLSLTGGFYGTYELDADLRQGIGNNSYYSVSWHKNVTTGSWIFSHQLATSSKYPWLMLYLRSDATRGFNGGYHYDGRGIMRKLPESPNFKVKLTLDIKNGGGKDSQFYLVDIGSCWKNNGDACDGDTTTDVTRYSEMIINPETGSRCSPDNLRSCPPYHVTASGEKIHRNETSRFPYSAYHLYCAPGNAMHLEEPYDICDPYSNPMAQELMQLLPHPEWAVHGYPKNKGEGWVGDPRTWVLDVGALSNRLYFYQDPGTKPARRIWTSINVGVEIYKLDIGSERATAEWSVSDFDVIVPVGAGDAIVNPSS >KGN50493 pep chromosome:ASM407v2:5:7670476:7676115:-1 gene:Csa_5G177130 transcript:KGN50493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRVSEKEKSSKRSREERDRDHKHRSRDTEDKHLSKDEKHRESDRHHRRRHHRSDRDSKRERSHEPREHKHRRDMSPDERESSQDRDFKRERSYELREERERSRDRDSSKREKSNEPRGLREGSEERGKLREVRREESDNEHEREGSFEPIQNSVRPNKRKERGGSEDRFDGGEKRARASEVGNEVNGAEMDEKKERRRFADGEKDEGANLSGRGRRDRKRFEDRGKEEDNGGNVDEKHGKVNEYKSKGDVGDGKVQFGGTTDEKRSLGNGSMVEPTDMPSASVPQNLLHPSHSLPIKVSSISTTNENRGVSITRSHEVHGKSSTDGTSSTAGKSGNLSLDALAKAKKALQMQKELAEKLKRIPLMKKVGGSSSANSSVVKLEEKAKPPSGILGPLSTTNDATTLSTGVVSSSSTLPSAANALDGGINVPAGLTSIPHIEAVKRAQELAARMGFRQDPEFAPLINLFPGNVATDVAVPQKPTKAPVLRLDALGREIDEQGNVVNITKPSNLSTLKVNINKQKKDAFQILKPELDVDPDSNPHFDERMGINKTKLLRPKRMSFQFVEEGKWSKEAETLKLRSKFGEAQAKERREKQAQLAKAKAAPDINPNLIEVSERVVKEKTKDPIPEIEWWDVPLLQSGAYKDLGDGFVADDKLRKDKITIYVEHPRPIEPPAEPALPPPQPLKLTKKEQKKLRTQRRLAKEKDRQEMIRQGLIEPPKPKVKMSNLMKVLGSEATQDPTKLEKEIRAAAAEREQAHIDRNIARKLTPAERREKKERKLFDDSNSLETFVSVYKINDLSHPQARFKVDVNARENRLTGCAVICDGISVLVVEGGSKSIKRYAKLMLRRINWAASVKEEEEEENDDKPLNKCSLVWQGSVAKSSFNRFSIQECMTEAAARKIFADAGVGHYWDFAINFSDDQL >KGN50069 pep chromosome:ASM407v2:5:4843414:4844977:-1 gene:Csa_5G152840 transcript:KGN50069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLITSPQAVNFGGSQSFRRFSSYTFLNKRTSCIFQQKKNYGNYNKRKTNNTLVLSCLMDDSFSCPGSSSNSPGEMIERFYKCINEKNLKEMSTYISEDCLIEDSLFIEKFKGKKAAMSFIEKLTESMGPDVKFRIRKVYERHPSMAGAIWHLEWRNMEIPLTKGCTFIDIRDEERKTIQKIQIINEPQFKAGHLILDIMKLVTLLLAKNSAILEWLIKASQQRWVKWMSKICVTLFNLLLDSFSKSYLTFIHFGAQLYSCSSFPSRVKYISNEEKTANGPRMGGSGGGLLNATIGF >KGN50389 pep chromosome:ASM407v2:5:6877543:6879855:1 gene:Csa_5G171710 transcript:KGN50389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLSGNSSPAEHHPKKFKASSEFFDRKNSFNSLLQQFHHQQSRLWLLLVILFLQILLLFTIRYLPLPLPPALSSSTNQQLHRFPSVAVSPADIDGGNCKNGRIFVYDLPKLFNQDILENCDNLNPWSSSCSAMANGGFGQKADSLAGIIPENLLQSWYWTDQFVTEIIFHNRILKHKCRVLEPESATAFYVPFYAGLAVGKFLWTNSTPEERDQHCRSILKWLSDQEYYKRSNGWDHFITMGRITWDFRRSKDKDWGSGCIYLPGMRNITRLLIERNPWDYFDVGVPYPTGFHPKSLNDISAWQEFIRTRRRTHLFCFAGATRAAFHNDFRAMLLHQCKNSTGEKCRVVDCAGSRCSNGTSAILETFLTSDFCLQPRGDSFTRRSIFDCMVAGAIPVFFWRRTAYYQYEWFLPGEPESYSVFIDRNAVKNGTTSIEAVLERFSREEVKEMRERVIESIPKFIYGTGEVRDALDVAVEGVLRRFKEQEEWEYKWK >KGN52016 pep chromosome:ASM407v2:5:23202862:23205213:1 gene:Csa_5G608040 transcript:KGN52016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDVLGVDHLSDLPQSIIECILTRLPIRDAIRTSILSRRWRYKWTTLTQLVFDDDCVAMSNDGIYEDLIYFITHVLFLHEGPIHKFHLSATYLQNTPDLDQWLLFLSRKGIRELIIELGDGEWFRVHSCLFNCSKLTLLELYRCELDPPPTFKGFLCLKSLKLHQVLIAPEDIESLISNCPLLESLALSYFDSLVLNICAPNLKYLYLEGEFRDICLQNTPLLVSISVALYMNDESEPFGDLSDCNYEKFLGGVPYLEKLTGHVYFTKYLSIGNSARKMPISYIYLKSIELHQVSFEDMNEILVVLRLITSSPNLEELQISGSSNPVAASEAPDLDFWENECPSNLTFGKLRVVKATDMSGVPHEMEFIKYLLRNCPVLEIMSIRPCVYVTDRRLNMLIELLKFRRASPEAEILFIQE >KGN50103 pep chromosome:ASM407v2:5:5085815:5107043:1 gene:Csa_5G153670 transcript:KGN50103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGRPRAVEKGVIGQNLSVCPSTSSNIPSGPVYHPTEDEFRDPLEYIYKIRPEAEPYGICRIVPPKNWKPPFALKLDSFTFPTKTQAIHQLQVRPAACDSKTFELEYNRFLDDHFGRKMKKKVVFEGEELDLCKLFNAVKRYGGYDKVVKEKRWGEVFRFVRSTKKISECAKHVLCQLYREHLYDYENYYSKLNKDVTKSSKRKIQDEKLSEFLAEFSTSKRRRQNTDDGRASVSKLKEEENNDQICEQCKSGLHGEVMLLCDRCDKGWHTYCLSPPLKQVPPGNWYCLDCLNSEKDSFGFVPGKCFSLEAFKRMDYRAKKKWFGSGSASRMQIEKKFWEIVEGSFGEVEVKYGSDLDTSIYGSGFPRENVQRPESIDAKAWDEYCNSPWNLNNLPKLKGSMLRAIRHNITGVMVPWLYIGMLFSSFCWHFEDHCFYSMNYLHWGDPKCWYSVPGSEATAFEKVMRNSLPDLFDAQPDLLFQLVTMLNPSVLQENGVPVYTVQQEPGNFVVTFPRSFHGGFNLGLNCAEAVNFAPADWMPYGGFGEELYQLYHKPAVFSHEELICVIAKTDCSDRVSPYLKKELLRIYSKEKSWREQLWKNGVIRSSSLPPRKCPEYISTEEDPTCVICKKYLYLSAISCRCRRSAFVCLEHWQHLCECKYSRRRLLYRYTLAELYDLIGIIDRCGSGDTTKSKDFRQAGLCYTERCTLTKKVKGGCVTLSQLAEKWLLHSNKVLQDPFSNEACVKALREAEQFLWAGHDMDHVRDVVRNLDETQKWVQGIGDSLSKIEAWSCDLSGSSEKICLDHVNNLLSLPSISCNHPGYLKLKDYVEEAKILIQDIDNALSTCPDVSEWEILYSRVCSFPIHIEESEKLSENISIAKSCIESVREILEKQPAALELEVLYKLKSKILELGIQLPETEMVLDLTRQAELHRSRCAEIMNGPMNLKTVELFLQESKGFAVNIPELKLIRQYHDDVVKWHARLNAVLVNVQEREDQHTVIEELNCILRDGLSLTIKVDDVPIVEVELKKASAREKAQKLQVTKVSMEFIQKLMNEAVELEIDKEKLFADIRGVLDSAMSWEKRAMNFLAHGAELSDFEEIIRSSEGLRVILPSLHDVKNELSSAKSWLNISKPFLEYVLPLPSAPRSQLNVETLKELVSQSKFHKVALEESRVLAAVLRKCEDWKDGANSLLQEIDNLWNVDDIGDGLSNCLILKIKQLVDRINAIITAGISLGYDFSEISRLQSACSTLMWCNKVLSLCDAIPSYQEVESLMKVEEDNSCFFASGVLWSLLVEGVKWLKQALEVIPGTCNSKQRKLSDAEELLSNSQRIKINFSAMNGQLVNAIQKHKLWQEEVRQFFIMERAERSWALLLKLKEEGDIVAFNCSELHLIFSEAEKIERWKKQMEEIMKTSFGDGRPLLGCLGEIKKSLDRAIYIYEKPLLYADQNLCVCCSSDSQDQHLFACSVCEESYHLQCLGKAREKTSNTDIFICPYCYSSRGELSIDESGGPLRYLANRPDLEMLTKLKSDAVNFCVWLEEEDVLKQLIEQALVCKSHLSEVLDFSSRCHDKDFSIACKRLTVVLKAMDVAGINDHEGKRGLEMELLRNSWRFRVKEALEGSEKPTMQQVLELLEEGSVISILPEDCYRRKLLEVKIVCSKWRSLARKISADCGALELEKVFELIEEGENLPAYLERELKLLRNRSMLYCICRKPNDRRPMLACDICEEWYHFDCVKIESTPKVYICPACKPQVDNKMLIQLSMEYESETSAKFVEPKTPSPQHTKRRSKPKKTKRNLVRSVTDCYREFRSSSGMESLWWQNRKPFRRVTRRRAEFGSLSPFSLIKQ >KGN51596 pep chromosome:ASM407v2:5:20690792:20706305:1 gene:Csa_5G583270 transcript:KGN51596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVDSGPSSLIPLEDAGEGEQIVRNDLYFQKIGKPVPVKLGDSIFDPESPPSQPLALSESSGLIFVAHLSGFFVVRIKDVIASAEEIKNGGTGSSVQDLSIVDVSIGKVHILAVSTDNSVLAAVVAGDVHIFSVQSLLDKAEKPYSSCSITDSSFIKDFKWTRKLENTYLVLSKHGQLYQGSANGPLTHVMHDIDAVECSVKGKFIAVAKKDTLTIFSHKFKERLSMSLLPSLGNGETDTDFTVKVDCIKWVRADCIIIGCFQVTATGDEEDYLVQVIRSKDGKITDVSSNKVLLSFCDIHSGFTRDILPGESGPCLLLSYLDTCKLAIVANRLYVEDHIALLGLLLEVENEVAVVNIDRNTSLPKIELQANGDDNLVMGLCIDRVSLLGKVIVKVGFEDMREVSPYCILVCLTLEGELIMFQFSSVNETEAPHETVSACDDEEDDITVPTDDRSESKESREANIDHRMQVTEKIAISSEIPREKGKTSNDIKSSRNDQSLVYNIDESAIVSPEGNTKSQKVDSFIYSQSLKSSAPERPPHYEIGNFDKPVLKFTGLGSASISGKSEDVPSQPFPNVKESTKRLGSTGLMAASELSSEKAMSFKKIDPVPSVFTSNSLQSSNTENYGPSFGTANAFTGFAGKPFQPKDVPSTLTQSGRQATGGAGKIESLPVIRSSQISLQDKFSSGKISNEKHDGSERYYSNSPLAKPMKEMCEGLDTLLESIEESGGFMDACTAFQKSSVEALELGLASLSDGCQIWRSTMNERSQEVQNLFDKMVQVLSKKTYIEGIVMQSSDSKYWEQWDRQKLSSELELKRQHILKMNQNITNQLIELERHFNGLELNKFGGNEESQVSERALQRKFGSSRHSHSVHSLNNIMGSQLATAQLLSESLSKQLAALNMESPSLKRQSATKELFESIGLTYDASFSSPNVNKIAETSSKKLLLSSDSFSSKGTSRRKQQSGTKNSEAETGRRRRDSLDRNLASVDPPKTTVKRMLLQGIPSSEEKQFCSRTPEGAATVARPASRITSSISSSSKNAGHDSENPETPFMWNSPLQPSNTSRQKSLPLQKINVTPPSPPPVFQSSHDMLKKKNNEAHSVTSENKFTDVACPEKSKASDFFSATRSDSVQKSNINVDQKSSIFTISSKQMPTPIDSIATSNVDNQKTANVKERHTTTSPFFGSANKPESPFVGSMPSLVPTVDGSRKTEEKKSVTTISQSVSAPAPLNTSSSASTLFSGFAVSKALPSSAAVIDLNQPPSTSTQLNFSSPVVSSSNSLFQAPKIVPTSPTLSSLNPTLESSKTELSVPKSNDDAEEQILSSKPGSHELKFQPSITPADKNHVEPTSKTQTVFKDVGGQDSNVVGNAQPQQPSVAFASIPSPNLTSKIFANSRNETSNAVVTQDDDMDEEAPETNNNVEFNLSSLGGFGNSSTPISGGPKPNPFGGPFGNVNAASMTSSFNMASPPSGELFRPASFSFQSPLASQAASQPTNSVAFSGAFGSAVPTQPPSQGGFGQPSQIGVGQQALGNVLGSFGQSRQLGPTVHGTGSGSPGGFSGGFTNAKPVGVGGFAGVGSGGGGGFGGVGGFAGAASTGGGFAGASSTAGGFAGAAGGGFGGTAGGFGAFGSQQVSGGFSAFGAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAGGAGVTGKPPELFTQIRK >KGN49909 pep chromosome:ASM407v2:5:3757909:3762209:-1 gene:Csa_5G139860 transcript:KGN49909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGKYQEYTPKEFAEVPPLIKHISSSLDVPGFDSIEESDLPHNQFHSSCSHSPSTLPNENVSSPATQSDIELQFVNHQRKHSVSISMPPSPVAVQLTPKRVIFSGETVINNGTGPPAVKKPKKDAMFHSQPIPRGSTYEDAMRNMNVNANANAAHHPSRRLKDKRYDSFKTWSGKLERQLTLLRGKSPRQTSSDETEVHGPGIENNISVDRYFAALEGPELETLRASEEILLPDDRTWPFLLRFPISSFGICLGVSSQAIMWKTLATSVSTKFLHLSLKINLALWIISIALIVTVASTYLLKLILYFEAVRREYYHPIRINFFFAPWIALLFLAIGVPPSVATNLPPVIWYVLMTPVLCLELKIYGQWMSGGQRRLSKVANPTNHLSIVGNFVGALLGASMGLKEGPIFFFAIGIAHYLVLFVTLYQRLPTNETLPKELHPVFFLFIAAPSVASMAWGKIQGSFDNASRMMYFIAMFLYFSLVVRVNFFRGFKFSLAWWAYTFPMTGAAIATIRYSTEVTNTFTQVLSVLLSVTAIIIVASLLVTTIIHAFVLRDLFPNDIAIAISDRKPKPHMNWFQQLRNGSSESQDIENFLKFSSSDNKDLEASVGTKTSEGEDMNLQPSNDQLH >KGN51127 pep chromosome:ASM407v2:5:16205442:16206074:-1 gene:Csa_5G457780 transcript:KGN51127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLRNYVYRSSEPYTGLLRPFFPGNTRSFFFSLSPYSLLPIGTISPTQSSDLAPSDRAAFLKHFNRYFSFIQGFSEIYSV >KGN51931 pep chromosome:ASM407v2:5:22746046:22749984:-1 gene:Csa_5G605740 transcript:KGN51931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRATINHALRYFGPICSSSSLSSKKLGSLRMISRLPLPPSSFFFFFFPPSLAGSLKPLTNPSFRKPRFTLSLDTQFSDRFDSGLLFREKILYLENHLNVDSRKAFRENPHCRSATLSTLKSVEVCLSSMGLDRSAVSRVLDMHPKLLTSNPDYDIYPIFDFLLNEVEIPFPDIRKSIIRCPRILVSDLDHQLRPALKFLRDLGFVGLKAITCQTTLLLVSSVEHTLLPKIQYLESLGLSHEDVVNMVLRSPGLLTYSIQNNLVPKVSYFLGDMKGDLLELKRFPQYFSFNLERKIKLRHRSLVEHGLSLPLSKMLKASDGEFNAWLIEMRCSSLMADR >KGN52009 pep chromosome:ASM407v2:5:23146804:23147557:1 gene:Csa_5G607970 transcript:KGN52009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVPIYFLTMKNRRDINKSPDTCFAQHFHILGKTCSSLDISTNLLYSILCLSFKTVTLILLFPYEQVNGNLVPAIIFKGLPSTFHAFLLSIVMAFSGAFNALMLATNKPKIAKFCSYYSLGFMVSAVVLFFWAVFQTVFNLRQWHG >KGN50727 pep chromosome:ASM407v2:5:9985975:9986349:-1 gene:Csa_5G220900 transcript:KGN50727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHSKIIKMIRIKNLLLLALVIFFVFGVGVCSSACRLPCHRVALGRAQEHEEIGDGSLDDDSKDNDPYRMYGDVPSPGVGH >KGN51294 pep chromosome:ASM407v2:5:17969849:17972430:-1 gene:Csa_5G514500 transcript:KGN51294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQVAMNPLNTVFDAKRLIGRRFSDPSVQSDMKLWPFKVIPGPGDKPMIVVNYKGEEKQFAAEEISSMVLTKMREIAEAYLGSSVKNAVVTVPAYFNDSQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKATSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRLVNHFVQEFKRKHKKDISGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYTTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSSVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTKDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKLVQEAEKFKAEDEEHKKKVESKNALENYAYNMRNTIRDEKIGGKLAPADKKKIEDAVEQAIQWLDSNQLAESDEFEDKMKELESICNPIIAKMYQGGADMGGAAMNDDAPPSGGSGAGPKIEEVD >KGN49898 pep chromosome:ASM407v2:5:3715029:3716187:-1 gene:Csa_5G139760 transcript:KGN49898 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chitinase MAAHKITTTLSIFFLLSSIFRSSDAAGIAIYWGQNGNEGSLASTCATGNYEFVNIAFLSSFGSGQAPVLNLAGHCNPDNNGCAFLSDEINSCKSQNVKVLLSIGGGAGSYSLSSADDAKQVANFIWNSYLGGQSDSRPLGAAVLDGVDFDIESGSGQFWDVLAQELKNFGQVILSAAPQCPIPDAQLDAAIKTGLFDSVWVQFYNNPPCMFADNADNLLSSWNQWTAFPTSKLYMGLPAAREAAPSGGFIPADVLISQVLPTIKASSNYGGVMLWSKAFDNGYSDSIKGSIG >KGN50595 pep chromosome:ASM407v2:5:8518965:8519999:1 gene:Csa_5G189900 transcript:KGN50595 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin H-type MAEMGKVIKCHTLASWNQQLLKAQQYNKLLVVNFTAKWCGPCHAMAHVLEELAKKMNNVIFLKVDIDELNTVANEFEVTALPSYHFLKNGRLVEKFEGAKKDVLKSTVSKHAT >KGN50050 pep chromosome:ASM407v2:5:4724772:4725447:-1 gene:Csa_5G152150 transcript:KGN50050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADKIPGERTAAHRSRRKSIKKCLNTFCICLFGAAATACIAALTFGLVVLRVKTPTVKLTSVAVKHLQYGFSPTPFMEATLTGEMTMENPNYGAFEYEGVGNVTLIYYGVVVGIGEVKRLSVNAKSIEKREFNVKVKPNWRFVNVDYFSDDLGRLKTMNMSWNAEFEGKIDLLKLFKEKKISVLKCSTSLNLTSHGVQNLACL >KGN51469 pep chromosome:ASM407v2:5:19564738:19569006:-1 gene:Csa_5G561780 transcript:KGN51469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSFSPSMDPPAQQIPLLPSRQPPSNSDASTSPIGPLALLLGRATGRRGTSMLVRETAARELEERRIDWGYSKPVVALDITWNLAFVFVSLGVLIHSVHEKPNTPIRLWIVVYAAQCLVHVVLVWFEFKRRNARRARDMETQQQQEPDGYVTNESDEDDGGQRSFSSVAKRCESVNTMVSLIWWLFGFYWVVAGGNILMQNAPSLYWLAVVFLAFDVFFAVFCVVLACLIGIALCCCLPCIIAILYAVAGQEGATDADLSMLPKYRYRVSNEPSPGDGLMVPVETSSRYLTTERVLLCEDAECCICLSPYEDGVELHALPCNHHFHYACITKWLKMNATCPLCKYNILKNCEHV >KGN51936 pep chromosome:ASM407v2:5:22776604:22778881:-1 gene:Csa_5G606280 transcript:KGN51936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILYASPPSSAIFSISNASQQAKPRSSSSNCAHQSIPEAPPFPRLFCHNHSCLCGRRRFIEAATATSLFPLCPSMASSNSPSDYAAILNRVRSPKPDWYEDFYASVLANGMKSYEEEIAVYKSQMFANLRGKSQKVLEIGIGAGPNLKYYAGNEGMEVYGVDPNQKMEKYAREAAKNAGLPPESFEFKQAVGEAIPLPDASVDAVVGTLVLCSVTNVDMTLREVKRVLRPGGLYIFVEHVAAKEGTVLRFIQDVLDPLQQIVADGCHLTRRTGQNIIQTGFSNVDLNIASFSNAAFINPQVYGVAYR >KGN50508 pep chromosome:ASM407v2:5:7790909:7791185:1 gene:Csa_5G179240 transcript:KGN50508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGGGGGVDGFQKKLVMKTKCDINPSSIKEEAEARQRDLGQLTNPFESNGSDFCKLSEFTKA >KGN52130 pep chromosome:ASM407v2:5:23892057:23896203:1 gene:Csa_5G611610 transcript:KGN52130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSISFLNSSLSLSLSPPHALSPPPPSSMSLIRRLTPGHLRFNLRHHAGRFATAAAAAVRQDTAAWTQAPLAEVEPAAESLFHVSIDVSDAPDLAASHTRAGQYLQLRVPDVEKPTFLAIASPPLLASAEGVFQFLVKSVEGSIAELLCGLKKGDVVQLSQVMGKGFDVDQIAPPQDFPSVFIFATGSGISPIRSLIESGFGASKRTDVRLYYGARNLKRMAYQDRFDEWESSGVKVVPVLSQPESDWTGDSGYVQAAFSNAKKAFDPLSTGAVICGQKPMTEEVTSILVADGVSSEKILKNF >KGN52651 pep chromosome:ASM407v2:5:27533987:27534544:1 gene:Csa_5G648720 transcript:KGN52651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGESKMMRWSIWGIFFLISTLSSSSSPAPAAFVGVQDDHFQLNGSPFLFNGFNSYWMMSVAADPNQRHKVTQVFRDAATAGLTVCRTWAFNDGGFHALQISPGVYDESVFQVNFLLIFFSSLLSLSSFLKDKYVISSLKKSNTSMQFDQQSSIQKCIQKLYFSNNKKKNLSNIIDTWMNNKKIS >KGN51842 pep chromosome:ASM407v2:5:22243405:22247700:-1 gene:Csa_5G603390 transcript:KGN51842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSRSTIWVLVLCCFALAFGANLGRALKLPFRANDVLPVLPRQISWPVLNNLHTAVDLLPSYVGSVTPSNGTIEWKGACFFTNEAQINLTEGDRGLGGGVLRLKTSAAHSWTCMDLYVFATPYRITWDYYFSAREHTLMFDSWEEPAELEYVKQHGISVFLMPSGMLGTLLSLVDVLPLFSNTVWGENANLAFLKKHMGATFEKRSQPWKATINPDDVHSGDFLAVSKIRGRWGGFETLEKWVTGSFAGHTAVCLKDEQGNLWVGESGHENEKGEEIIVVIPWDEWWELALKDNSNPQVALLPLHPQMRAKFNSSAAWEYARSMSGKPYGYHNMIFSWIDTVTDNFPPPLDAHLVISVMSMWTRLQPAYAANMWNEALNKRLGTEDLDLHDILVETEKRGIPFDELLTIPEQDDWVYSDGKSTTCVAFILAMYKEAGIFGPVSSSIQVTEFTIRDAYMLKIFEDNHTRLPTWCNNESDKLPFCQILGEYKMELPQFNTLEPYANMNENCPSLPPTYDRPTQC >KGN51293 pep chromosome:ASM407v2:5:17960750:17964283:1 gene:Csa_5G514490 transcript:KGN51293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKPNKTALLTFAERCKNILASNWIATLNTIKADANGSKENIHTSKVMYMIRKGRPYIWVPEKDFHNVNTIIDERSSFAVASPFPGPLASLFKSLEKLPPRVALIGDMTRLKSEKAQDVVERLKAAILFEQKAIEDFGSLVSNVLKSSKLKCTSRSQHLNEILNGNEEHVIYKFDVRSSMYIDSKGGTYEVEAEDFTTSKADSLTPFSAALIDGINQNATRRRALMLFCLVYFNANAKDAYVVSVDRKGFELLVKVPIFGLNVEVGQYEWKDFRFTLKNEAKDIGDFCQQLVEMEEEVVKRISSYSGLG >KGN52202 pep chromosome:ASM407v2:5:24279412:24284527:-1 gene:Csa_5G615250 transcript:KGN52202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQYEKVEKIGEGTYGVVYKARDRVTNETIALKKIRLEQEDEGVPSTAIREISLLKEMQHGNIVRLQDVVHSEKRLYLVFEYLDLDLKKHMDSSPEFSKDPRQVKMFLYQILRGIAYCHSHRVLHRDLKPQNLLIDRRTNALKLADFGLARAFGIPVRTFTHEVVTLWYRAPEILLGSRHYSTPVDVWSVGCIFAEMVNQRPLFPGDSEIDELFKIFRVLGTPNEDTWPGVTSLPDFKSTFPKWSPKDLASVVPNLEAAGIDLLSKMLCLDPTKRVTARNALEHEYFKDVGFVP >KGN51015 pep chromosome:ASM407v2:5:14628130:14631988:-1 gene:Csa_5G407090 transcript:KGN51015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANCALLLLFIASLFVNCSLALTLSLNLVHRFSDEAKSLWESRRTGNVSAKFWPPTNSLKYFQMLMDYDLKRRRLNIGSKYDVLFPSEGSQVIFFGNEFNWLHYTWIDLGTPSVPFLVALDVGSDLLWVPCDCIQCAPLSANYYSVLDRDLSEYNPALSSTSKHLFCGHQLCAWSTTCKSANDPCTYKRDYYSDNTSTSGFMIEDKLQLTSFSKHGTHSLLQASVVFGCGRKQSGSYLDGAAPDGVMGLGPGNISVPTLLAQEGLVRNTFSLCFDNNGSGRILFGDDGPATQQTTQFLPLFGEFAAYFIGVESFCVGSSCLQRSGFQALVDSGSSFTYLPAEVYKKIVFEFDKQVKVNATRIVLRELPWNYCYNISTLVSFNIPSMQLVFPLNQIFIHDPVYVLPANQGYKVFCLTLEETDEDYGVIGQNLMVGYRMVFDRENLKLGWSKSKCLDINSSTTEHAKPPSNNGNAKSPIALPPTNRQAIAPTAARTSSKSSLSASHFSPLLLLLLAAFLVACWIC >KGN51547 pep chromosome:ASM407v2:5:20333084:20333842:1 gene:Csa_5G577380 transcript:KGN51547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTIMANSSSHDSFSFSKRYNHYFNFNKNTKHNHNQHQDQEDDHHQILTVNPPKHKHTTAVSVSKLRSAIALSFGIRTRSCRVLGTIFGHRRGHVHFSVQTEGSAKPMFLVELAMPTTALVREMASGVARIALECERGEKKKKKGELNEEGIWRAYCNGKKYGVAHRFECGAEEWRILRAVGPITVGAGVLPGIEEGGCEGEVMFMRARFERVVGSKDSEAFYMINPDGVGGPELSIFLLRV >KGN51036 pep chromosome:ASM407v2:5:14908226:14908549:1 gene:Csa_5G412260 transcript:KGN51036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENILFLTSLVQTQERDPLMTFTFPKRHSFIKGLPPINIMACKALFDLVLWHGPQEVDKALGLDHILQSSFDKSSFSFINLSEADVDWTTGSLDLLYARFDNDLTNQ >KGN51037 pep chromosome:ASM407v2:5:14945985:14948310:1 gene:Csa_5G412760 transcript:KGN51037 gene_biotype:protein_coding transcript_biotype:protein_coding description:MutT-like protein MVALVSQDNMVSLVSRTGRHLQRYDIRGRRQVVGCIPYRYKTTKKSTLDNIEELEVLVISSQKGKGMLFPKGGWETDESITEAASRETLEEAGVRGIVQGELGSWSFKSKTYDTFYEGYMFPLLVKEQLEFWPEKNFRQRVWMSAHEAREVCQHWWMKEALDILVGRLSSQKKQQMEEVMPCSLS >KGN50995 pep chromosome:ASM407v2:5:14366251:14367882:1 gene:Csa_5G387950 transcript:KGN50995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRDRCHLGKKMMGRGADGGCGTEERPCPVGRVPNRITLTQTQKHQENQKLSTLDIDYYAQAQKALCERSPFDVAEESSAPSVPTLPSRLGSFLSRHTGGKKRQRKSSSGADKKSSRQGERSRGSNIWVETEEYFRDLTLFDVDNLRTASSFSGLVARKCFSIPSLGDAPEANVGGIENVIDENTDGAIVKDEVDGFPLCSDVSMVQTSGSPLEDKGFLNLGSSFGLEWLLGCRNKVSLTSERPSKKRKLLGGDAGLEKLLIVSPCDGNPSLCHFCSKGDTDKGLNPLVTCSCCHVVVHYKCYGIREKVNGSWSCSWCKQKDETNDSTKPCLLCPKQGGAAKPVHKNVDGGFSLEFAHLFCSLWMPEVYIENLTQMEPVMNLGDIKETRKKLVCNICKVKYGACLRCSHGMFIAPLFYVILLFCLDDA >KGN50998 pep chromosome:ASM407v2:5:14397386:14398240:-1 gene:Csa_5G387980 transcript:KGN50998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDKYDRFNPQKVTHKHIFLPMLCSKPAIKDGRPPRCDRDRLDSSSADPLSPRIGCMGQVKRNNRVAGLPISHRILITTKNAVLNKNGNNPNVGYFKLKKFFSSKNLLGSPSTNRTGAVSTRSTTAISISTAGVNGCGSRRRLAPNSGITGKKSVSENGNCGSLNVMDLDPPLPVVRRVQKAGEERRETENLWKRRSGGIVLQNLEIQQTHLPKHRLQITTVR >KGN51823 pep chromosome:ASM407v2:5:22143128:22143602:-1 gene:Csa_5G602220 transcript:KGN51823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQYYDTCESKGIGKVRWQRRGRRGGRHGGGGGGSVHMKMRKLQRLIPGGRRLKPDRLFLKTADYIMQLRSQVHVLQALSKIYDPTLSNY >KGN50264 pep chromosome:ASM407v2:5:6180702:6184203:1 gene:Csa_5G162620 transcript:KGN50264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLASTHSTIKYGIIGVGMMGREHLLNLFHLQDKGVAVVAIADPHASSQRQALDLAQSFGWKMEVFSGHQELLDSGLCDVLVVSTPNMTHYQILMDIINHPRPHHVLVEKPLCTTVAHCKEVVMAAKKREDILVQVGLEYRYMPPVAKLIDIVKGGSLGQVKMVAIREHRFPFLVKVNNWNRFNTNTGGTLVEKCCHFFDLMRLIAGANPIRLMASGAIDVNHKDEMYDGKVPDILDNAYVIVEFDNGSRGILDLCMFAEGSKNEQEISVVGDIGKGEAFVPENIVRFGTRVSGRNGVETLKAEDHRIKYEGLHHGSSYLEHLNFLCAMRSKEREVPVVDLEDGLISVAMGVAAQLSIETSRFVTITEVMD >KGN52158 pep chromosome:ASM407v2:5:24059028:24061061:-1 gene:Csa_5G612870 transcript:KGN52158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILSTPSAGFLPSRLSTHRPPESQRSHPQNISYKFDQILHKKPSGSSLPLNARPKSCECFDLHQNLIPYGDAWSWQKEIVREKVGLIDANQDCPDTLIVLQHHPVYTMGTNSTEEYLNFDLNDSPFPIYRTERGGEVTYHGPGQLVMYPILNLRNHKMDLHWYLRALEEVVIRVLSSTFSINAHRIDGLTGVWAGNQKLAAIGIRVSKWIAFHGLALNVTTDLTPFNWIVPCGIQNRKVGNIKELLREVDLHTGFQKDSQLIDITFEALIQEFSEVFQLDIYHKTIPSLEFLKQIPNSPPASSGDHRRRLPRIHEKTTISL >KGN51775 pep chromosome:ASM407v2:5:21867118:21870905:-1 gene:Csa_5G599810 transcript:KGN51775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSSSSYFSSSSSLLLPLLVFSLLVVSSFCPVHSHPINKTTAFRPQDHLKKLKLSPDGDLIDCVITHQQPAFDHPLLKGQKPLDLPDRPYERSSSGEESSETFQLWSMSGEFCPEGSVPIRRTTENDMMRASSVQRFGRKVRRRIRRDSSSSGHEHAVGFVSGEEYYGAKGSINVWAPRVTNQYEFSLSQMWVISGSFGDDLNTIEAGWQVSPELYGDNYPRFFTYWTSDAYQTTGCYNLLCSGFVQTNNRIAIGAAISPTSSYNGGQFDISLLVWKDPKHGNWWLEFGSGVLVGYWPAFLFTHLRSHATMIQFGGEVVNSRASGFHTGTQMGSGHFAGEGFGKASYFRNLQVVDWDNSLIPLSNLKVLADHPNCYNIQGGINRVWGNYFYYGGPGRNVRCP >KGN52574 pep chromosome:ASM407v2:5:27028723:27031184:-1 gene:Csa_5G644020 transcript:KGN52574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLRVLSSSVRLAGVSPTNSSLNRPASVKISSPLFTQSCSKLFSPLKNLSFSATGRSLSSSIQASASDSSNSSRVQELYVYEINERDRQSPAYLRLSQKNTNALGDLVPFTNKLYSGDLQKRVGITAGLCILVQNKPEKKGDHYEAIYSFYFGDYGHIAVQGPYLTYEDTYLAVTGGSGIFEGVRGQVKLQQIIFPFKLFYTFYLKDIGDLPLELVVKPTEPTLAAEPTPDAKACHPHATISGYTD >KGN50796 pep chromosome:ASM407v2:5:11074683:11075305:-1 gene:Csa_5G264290 transcript:KGN50796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQWQFLTKLTSLRTTSAAANKADVKIYLGRPWRQYSQVVFMESFLDKEVMPKGWLKWSGVPLNNLFYGEFNNRGPGADVSKRIHCTGFHVLDKQSANQFTINFVNGSDWLPETGVPFRSGLFSE >KGN49798 pep chromosome:ASM407v2:5:3212436:3219423:1 gene:Csa_5G135340 transcript:KGN49798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPALARTLSTLALSSLPLPSGGTRLSLTTAFLPQNGFRKGVSCAGLKWKIEKKSNRIAVRCEAAVAEKEAAESPGEKFEYQAEVSRLLDLIVHSLYSHKEVFLRELVSNASDALDKLRFLSVTEPSLLGDAGDLEIRIKPDADSGTITITDTGIGMAKEELIDCLGTIAQSGTSRFLKALKENKEAGADNSLIGQFGVGFYSAFLVAEKVVVSTKSPKSDKQYVWEAEADSSSYVIREETDPEKLLQRGTQITLYLREDDKYEFSDPTRIQGLVKNYSQFVSFPIYTWQEKSRTVEVEEEEEPKEGEEPKPEGEKKKKTKTEKYWDWELANETKPIWMRSPKEVERSEYNEFYGKAFNEFLDPLAYTHFTTEGEVEFRSVLYIPGMGPLNNEDVVNPKTKNIRLYVKRVFISDDFDGELFPRYLSFVKGVVDSDDLPLNVSREILQESRIVRIMRKRLVRKTFDMIQDLSESENKEDYKKFWENFGRFLKLGCIEDTGNHKRITPLLRFYTSKSEEELKSLDDYVENMGENQKAIYYLATDSLKSAKSAPFLEKLLQKDIEVLYLIEPIDEVAIQNLQTYKEKKFVDISKEDLELGDEDEVQERETKQDFQVLCDWIKQQLGDKVAKVQISKRLSSSPCVLVSGKFGWSANMERLMKAQALGDTSSLEFMRGRRILEINPDHPIIKDLNAACKNSPDSSDATRAVDLLYETALISSGFSPDSPAELGNKIYEMMAMALGGRWGRLEDAADAEDAAESDGAEAPEVQVIEPSEVRAEDDPWQD >KGN49895 pep chromosome:ASM407v2:5:3709013:3710042:1 gene:Csa_5G139730 transcript:KGN49895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQKIITTLSIISFLLASIFRSSDAAGGIAIYWGQNGNEGSLASTCATGNYKIVNIAFLSTFGSGRTPVLNLAGHCNPNNNNGCAFLSSQIKSCQSRGIKVLLSIGGGAGSYSLSSANDAKQVANFIWNNYLGGRSNSRPFGNAVLNGVDFDIESGSGKFWDVLARELKNKGGVTLSAAPQCPIPDAHLDSAIKTGLFDLVWVQFYNNPSCMYANGNVNNLLNSWNRWTGFPVGKLYMGLPAASAAAPSGGFIPANVLKSKVLPRIKSSSKYGGIMLWSKAFDNGYSNAIKGSL >KGN50613 pep chromosome:ASM407v2:5:8655786:8657929:1 gene:Csa_5G196560 transcript:KGN50613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGEPQAPHVLIFPLPFQGHINSMLKLAELLSIAGITVTFLNTPHFQSQLTRHSDVLSRFSRFPTFRFHTIIDGLPPDHPRTIEFFAQIISSLDSITKPIFRNWLVSGHFGSNLTCVVLDGFLKNFIDGDEDEVKQPIFGFRTVSACSVWTYLCAPHLIEDGQLPIRGEEDMDRMITNLPGMENLLRCRDLPGLCRVTDTNDSVLQYTLKQTQGSYQFHALILNSFEDLEGPILSKIRTNLCPNLYTIGPLHSLLKTKLSHETESLNNLWEVDRTCLAWLDNQPPGSVIYVSFGSITVMGNEGLMEFWHGLVNSGRNFLWVIRPDLVSGKNGEIEIPADLEEGTKQRGYVVGWAPQEKVLSHEAVGGFLTHSGWNSTLESIVAGKAMVCWPYTADQQVNSRFVSNVWKLGVDMKDMCDREIVAKMVNEVMVNRKEEFKRSAIEMANLARRSVSLGGSSYADFDRLVNEIRLLSLRQ >KGN51595 pep chromosome:ASM407v2:5:20683465:20686095:-1 gene:Csa_5G583260 transcript:KGN51595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSSVPPIAIVKAHNQTPLKSSSITIPSSPLPFHTLSERAHIPSHVYKHPAAVLLELCTSMKELHQIIPLVIKNGLYNEHLFQTKLVSLFSKYGSINEAARVFEPIDDKLDALYHTMLKGYAKNSSLETALAFLCRMRYDDVKPVVYNFTYLLKVCGDNADLKRGKEIHGQLITNSFAANVFAMTGVVNMYAKCRQIDDAYKMFDRMPERDLVSWNTIIAGFSQNGFAKKALELVLRMQDEGQRPDSITLVTVLPAAADVGLLMVGKSIHGYAIRAGFAKLVNISTALADMYSKCGSVETARLIFDGMDQKTVVSWNSMMDGYVQNGEPEKAIAVFEKMLEEGIDPTGVTIMEALHACADLGDLERGKFVHKFVDQLNLGSDISVMNSLISMYSKCKRVDIASDIFNNLNGRTHVSWNAMILGYAQNGRVSEALNCFSEMKSLGMKPDSFTMVSVIPALAELSVTRHAKWIHGLIIRSCLDKNIFVTTALVDMYSKCGAIHMARKLFDMISDRHVITWNAMIDGYGTHGLGRAALDLFDKMKKGAVEPNDITYLSVISACSHSGLVDEGLRHFKSMKQDYGLEPSMDHYGAMVDLLGRAGRIKEAWDFIENMPISPGITVYGAMLGACKIHKNIEVGEKAAKKLFELNPDEGGYHVLLANIYASTSKWSKVAEVRKTMEKKGLKKTPGCSVVELRNEVHSFYSGSTTHPQSKRIYAFLEELVYEIKAAGYVPDTNLILDVEDDVQEQLLNSHSEKLAIAFGLLNTSPGTTIHVRKNLRVCGDCHNATKYISLVTGREIIVRDMQRFHHFKNGICSCGDYW >KGN50444 pep chromosome:ASM407v2:5:7309092:7311316:1 gene:Csa_5G175680 transcript:KGN50444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKGRVESNYITFLSVISACRTLPFRLEASMDHYGAVVDLIGRASRIKEVSDCTQNMPIGLGITVCGATLDARSRYKLFELNPEEGGYYVLLADIYASASKRNKLAEVKKSNIIKKQWLKKTPGCSSVELRNDVHFLYSASTTHPQFKRTYALNLGNEIKTASYVPDTNLINNVEGDVQEQLLNSHSKQLAVAFGHLNTSPGS >KGN49802 pep chromosome:ASM407v2:5:3241921:3242212:-1 gene:Csa_5G136860 transcript:KGN49802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHALFVVLHSSCSVRRAPFIMLPWSALARDVELVALVRPPDGVVAAELLLTVQSITRFVYCYQYFEILK >KGN51434 pep chromosome:ASM407v2:5:19242760:19245429:1 gene:Csa_5G544050 transcript:KGN51434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFASREGLSAADIREWMGDFHHIRNVAKYAARLGQSFGSSRKTLCVEEHEIEVIPDVEVERKNIMYCFSDGIGKISKTLAKKVAEKCGLTSHTPSAFQIRYAGYKGVVAIDPTSEKKLSLRKSMLKYMSLDTQLDVLLWSKYQPCFLNRQVINLLSTLGIRDDVFVKKQKEAIDQLDSILEDPSRALEVLELMSPGEMTSILKELLSFYMPNQEPFLNMMLRTFRADKLLDLRTKSRIFVPKGRTMMGCLDETQTLEYGQVFVHCSIPGRSSEGNFVVKGKVVVAKNPCLHPGDVRLLDAIDVKALHHMVDCVVFPQKGKRPHPNECSGSDLDGDLYFVCWDTELTCIKQVKPMSYKPAPTIQLDHDVTIEEVQEYFANYMVNDGIGAIANAHTVFADKNSKKAMSVECIKLAKLFSIAVDFPKTGVPANLPRNLRVHEYPDFMDKPNKPTYVSNGVLGKLFRGVKDVSSDVSAFEIFTREVATKCYDPDMEVDGFEKYLREAFDYKTKYDFKLGNLMDYYGIKTEPELVSGNILKMAKSFDKRKDLEQIAFAMKSLRKEVRFWFNENESKSTYDDIQDEYARASAWYCVTYHPDYWGCYNEGTKRDHFLSFPWCVADKLIQIKREKMSMRNSSPKSSLLHTILMG >KGN51625 pep chromosome:ASM407v2:5:20911353:20911616:-1 gene:Csa_5G585985 transcript:KGN51625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMLKTDLETFSPPSDTVPRMSNRNGSLIKAWFLLPPCETGTPLLTTLSYVAVQNVSSNHTLEWTNRRTKKDLFMHYLNVNLHFWNL >KGN51484 pep chromosome:ASM407v2:5:19784945:19789282:-1 gene:Csa_5G568820 transcript:KGN51484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANKFATILHRNSNKITLILVYALLEWVLIFLLLLHGLFSYLIVKFAEWFGLKRPCLWCSRVDHVFEPQRKQSYRDLLCEGHAMEISNLGYCSNHRKLSEFRDLCEDCSSSSKSNEFYQISKSFPFFDDEKEDFRTCSCCGETLKGRLFSPCILIKPNWGDLDYTQKGNLISETETDEIHVSQSEDVSGNRGISIVSGGEEGEKNSTCSVCGCGCKDSAVHEDDDDDRADISAQKDGGFLELAEDLTICNQETVEVGCEKEDELPETVPNHLEFYIDRGDDRRLIPVDLIDFSAPDDDNSTSNILSQVKDEEQEQEDCGNEDVVLDFASNFENRRHGVSEAWEVISGERLAEFLSASLHENKQRVEEVEAMDVEEDPLVGVGKEEEKEEEEEEEADASIDESSQAPASDAHKEELEELVVATRQPDSDLHEDFHMWSDELEVEISIGTDIPDHEPIDEIQTQIDLPPHPDLQEDPSPSSSLDVDNMQDPNIVEEVEEAEEVMEEEKFKIFSMETSSQPSDNHKPSSSEVNEDEEEDKVPGTEVEEFKILSVETSSHPSDNHKSSSSEVNENEEEDKVPDTPTSMDSLHQLHKKLLLLDRKESGTEESLDGSVISETEGGDGVLTLEKLKSALRTERKALNALYAELEEERSASAIAANQTMAMINRLQEEKASMQMEALQYQRMMEEQSEYDQEALQLLNELVVKREKEKQELEKEIEIYRKKLQDYEAKEKIALLRIRKEGSIRSRNSSVSCSNADDSDGLSIDLNTEAKKDEDLFSNQETENQNTPAEAVLYLEETLANFEEERLSILEELKMLEEKLFTLSDEEQQFEDIDHYCERNGNGYDKNSDYSPGTNGFENGHNAKEMNGKHYPERRAMSTKAKRLLPLFDDVVDADVVEDVTNGEEQGFDSISIQKSLDNKFDTEFRRVAVEEEVDHVYERLQALEADREFLKHCIGSLRKGDKGLELLQEILQHLRDLRNVDLQLKNMGDGVVA >KGN50837 pep chromosome:ASM407v2:5:11803095:11803669:-1 gene:Csa_5G284530 transcript:KGN50837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHKFYHGRTNRVWNDTKHVIGVEINMQHSRCIEEFRLRKVKNDDLKAEAKAKGAVIYTKRQSKGPKPGFIVEGVLVETGTLVPYNVINDLKGGY >KGN51455 pep chromosome:ASM407v2:5:19456566:19481206:1 gene:Csa_5G550190 transcript:KGN51455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPPVECMYVVESNIREWKSGNSSFRVPQPVPVVRFLYELCWTMVRGDLPFQKCKAALDSVEFSEKMSAEELGSTFADVITQLAQDITLAGEYRARLLKLAKWLVESAFVPLRLFQERCEEEFLWEAEMIKIKAQELKSKEVRVNTRLLYQQTKFNLLREESEGYAKLVTLLCRVTDASNKSFPGSTIGIIKSLIGHFDLDPNRVFDIVLECFELQPENSVFVELIPIFPKSHASQILGFKFQYYQRIEVNSPVPFGLYKLTALLVKEKFIDLDSIYAHLLPKEDEAFEHYGSFSSKRLDEASRIGKINLAATGKDLMDDEKQGDVSIDLFAAIDMESEAVNERSPELENNQTLGLLTGFLSVGDWYHAHVLFDRLSPLNPVELLPICNSLFRLIEESISSAYSIVRQNPHQSLGASAGSSIDAIETTNLPVGGSFIGLPRELFQMLATAGPYLYRDTILLQKVCRVLRGYYTSAIEFVNSVESGQNPELVMPAGNRVPHLHLKEARLRIEEALGTCLLPSLQLIPANPAVGQGIWEVMNLLPYEVRYRLYGEWERDDEKIPMVLAARQTAKLDTRRILKRLAKENLKQLGRMVAKLAHANPMTVLRTIVHQIEAYRDMITPVVDAFKYLTQLEYDILEYVVIERLAQGGRDKLKDDGLNLSDWLQSLASFWGHLCKKYPSMELRGLFQYLVNQLKKGQGIELVLLQELVQQMANVQYTENLTEEQLDSMAGSETLRYQATSFGVTRNNKALIKSSNRLRDSLLPKDEPKLAVPLLLLIAQHRSLVVINANAPYIKMVSEQFDRCHGTLLQYVEFLTTAVTPASAYAQLIPSLNELAHLYHLDPEVAFLIYRPIMRLYKCQGGSDIFWPLDGNDANVIGNSSDLEPAECSADVVLDLGSLQKPVRWSDLLDTVKSMLPPKAWNSLSPDLYTTFWGLTLYDLYVPRSRYESEIAKQHAALKALEELSDNSSSAINKRKKDKERIQESLDRLSNELVKHEENVASVRRRLSREKDKWLSSCPDTLKINMEFLQRCIFPRCTFSMPDAVYCAMFVHTLHSLGTPFFNTVNHIDVLICKTLQPMICCCTEYEAGRLGRFLYETLKIAYHWKSDESIYERECGNMPGFAVYYRYPNSQRVTYGQFIKVHWKWSQRITRLLIQCLESTEYMEIRNALIMLTKISNVFPVTRKSGINLEKRVAKIKSDEREDLKVLATGVAAALAARKPSWVTDEEFGMGYLELKTPSLASKPSASNLASSQNNSIFVSQNEPVGGKTSALPIPNSDSGNMAKDHSLRSRTSDVRTDKIDGLSVPKSELGHGKQKGMSLNGPDSQPLVPSTSVHSGSLKMVDSQKPGDDSTRTLDEGSSKVVSKTSSESELRGSTKRSGPVTSLNKAPKQDITKDEIRSGKAASKNPGSSTSERELPVHATDGGRHGGPSNSPSIMSNGNTQNSLTKGSSLTVKASDGHTIESKAESGVGRTSDGRVSSVKDDGPEALDVSRSSSSRLGHSPRHDNSASGSRSSDKLQKRASPAEEPDRQGKRRKGDGEIRDVDGDFRISDKDRSMDPRSIDADKIGMEEQSGYRGLDKPLDRTKDKVNERYDRDYRDRAERPEKSRGDDPQVERTRDRSIERYGRERSVEKVERVSDRYPEKSKDERNKDDRSKLRYSDSTVDKSHTDDRFHGQSLPPPPPLPPHLVPQSVNSGRREEDADRRFGTARHAQRLSPRHEEKERRRSEENLISQDDAKRRREEEFRERKREERDVGMSLKVDDREREREKANLLKEDMDASAASKRRKLKREHLSLVEAGEYSPVGPPPPPMGGGVSQSYDGRERGDRKGVMMQRPGYLDDPGLRIHGKEVVNKMTRREADLMYEREWDDEKRMRADQKRRHRK >KGN49689 pep chromosome:ASM407v2:5:2098166:2098768:1 gene:Csa_5G067670 transcript:KGN49689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLKFVPSSKSSYRNKYMDAITKPNQTMHIPQLQMSKAKYEASKLMKKYEQNGEGVILSAFNDNARGSTQINTNREMATKKLKPNDDVAHKLSSNAQLKSNNQIRGKAQVPIKRVYDTKASNMQFSREQIKEIFQYYDSDRDGFLNIREVTKAFALLGSIFPFNKAYHGMVYADTNKDGLIGEDELDKLINYANKFMKKK >KGN51353 pep chromosome:ASM407v2:5:18391382:18391576:-1 gene:Csa_5G522990 transcript:KGN51353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCISPIASRYSNGTLQLTTIPISYCFSQSKSLNQEQPQPIPGSSMFLLEFCVEGTVKVLEVAT >KGN50158 pep chromosome:ASM407v2:5:5462918:5464846:1 gene:Csa_5G156180 transcript:KGN50158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVLTGNSSPLLVPSSNPYHDSSSLFPCKRKSKKKNHGMVPMARLFGPAIFEASKLKVLFLGVDEKKHPGKFPRTYTLTHSDITSKLTLAISQSINNSQLQGWYNWLQRDEVVGEWKKVKGKMSLHVHCHISGGHFLLDLCANLRYFIFRKELPVVLNAFVHGDVDLFNNYPELQEAMVWVYFHSKIPEFNKVECWGPLKDPAPPSTGLDGSTQSQPMWELGLLERPKPCQEDCTCCFPTIPSISWSPKNNELEST >KGN50032 pep chromosome:ASM407v2:5:4597958:4604450:1 gene:Csa_5G150990 transcript:KGN50032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEMSEDMTLLDATEDAHVQNKVRQDAQENEYVLKPENNNVVESQEMVTPVDGGYSQYYPHEFTDILEGKNLNRCKNNLKLSDQPECSPHCMDDAGVMVEELTVKNHNGSNLAIIGPSDNRARLLSRHSQWQHLYQLGSGSGSGSSRIDTSYKNHGLAVTPGLENGGYTSFPEAFAGRASRNDCGEELEEMKAVDNKGGDAQGSIRTKILSKSGFPEFFVKSTLKGKGIIRRGVQLEGFNVEHRNPKNARIAGGITLASDSSLQHDVKPVIPALYRKSEHKHRGSSLDGISLREWLKVPNQKVNKIKCLYIFRHVVELVERSHGRGVLLHDLRPSSFRILTTNQVRYVGTFIQSKTPESLMVKDGQCSDSHLTRKRPLEQGNFLSFGGSPKKQKDAQNMSLMARHSYFPFKSGTSLETANTRDCNKNVSENYNEHFVEQGGWNKPAGLRAYDSAQTSASDLLEESWYVSPEELMTGCCSAKSNIFSLGVLLFELLGKFESDGALAAAMSNLRDRILPPSFLADNLKEVGFCLWLLHPEPASRPTAREILESELINGMPSVPEPELSTSIDEEDAESELLLQFLTSLNEQKQKQASKLVEDIRYLESDIEEVNKRHSSAKPVDKSGLSTVDGRDDLILHGGYLNSDMCPQVYRISHTNEERIAKNISQLEGAYFSMRSKVDPSENDSAIRTDNDLLRARENCYLPQKDDEMSHSDRLGAFFDGFCKYSRYSKFEVRGVLRNGDFNSSSNVICSLSFDRDEEYFAAAGVSKKIRIFEFNSVFSDSVDIHYPAVEMFNRSKLSCICWNGYIKNYLASTDYDGVVKLWDATVGQEVSQFNEHNKRAWSVDFSQVHPTKLASGSDDCSVKLWSINEKNCLGTIRNIANVCCVQFSAHSTHLLAFGSADYRTYCFDLRNTKAPWCVLGGHEKAVSYVKFLDSGTLVSASTDNTLKLWDLNKTNPTGLSTKACSLTFSGHTNEKNFVGLSVSNGYIACGSETNEVYAYHRSLPMPMTSYKFGSIDPISGKETEDDNGQFVSSVCWRGKSDTVIAANSSGCIKVLQMV >KGN51003 pep chromosome:ASM407v2:5:14468028:14472022:1 gene:Csa_5G396010 transcript:KGN51003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMGMISTLGLFLTCIVAIASAGSPSPGSNAGDCSEVVFQMLPCVDYLTIGSTKANASLVCCEVLKNALEPRPDCMCDVLKQSDKMGIHLNNSRAIALPAACGISTSLPDCDIALTQGMAPAPIPSTKAPTLAPLAKTPTLAPLTRTPTPAPLTRTPTPAPVIKTPTPAPFTRTPTPAPLTKTPTPAPLTNSPTPAPNNQAPSPARNNQAPSPTPTTVASVPAPVNPPIATPPAPPAPEVTPSSDLAPSNGPSGEDSPMPTPSAGSPYFPSSSNLIVLLLTLLASFRAL >KGN51235 pep chromosome:ASM407v2:5:17447942:17452223:-1 gene:Csa_5G496510 transcript:KGN51235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKNGFRISQPSANSNIRKKVDVLNGHENGDSYSKCNLRMSLAWDSAFFTSPGVLEPEELFTALNSRNYDDVVNILGNEEHLLLSSQSLEPDTNNKAENYNYRKSLAWDNGFFTSEGVLNPLELAIVNNGLKKPESHLVSVIEDEVWRSVESNNACDSEGSSLSRLEMDLFEDIRASIPKPISSRFEPGRPASADPRDSRTMMKAMPTCRKQSINKHGSKKIIKEIPKSPRMELKHMGESREHYSSSSLKPFKTSKQISKNSTKIASSDEKHVKLGCRSAVSVSAESLGKLKKPCLRQSLNSIHNSTQSIRSPLSHSTTSNASRRPPSEITIRKSPPTFRRRVNSRGSNILVVGASSTTPLMKTKASKTEVGSYCQATTPPSSWYGSPSPASSIDEWQLELSSTSATQRINRSKGSPYSNLRSSLKENKNQESIVNRRQQKGHKEDGNADTSSILREVKPSGLRMPSPKLDYFYAENTLELATDADAKRDVGAHHTRHTKLHSPMTRPSTAIRNRKNGATPVSISTTKSKRSPRVKTYNKIVQCNQSTKIVSKYNELDDNKENEFCSVDHQIEGLANQVNSIALNCDGVLRPSNRQN >KGN52636 pep chromosome:ASM407v2:5:27442779:27444261:1 gene:Csa_5G647580 transcript:KGN52636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGSRLKNKRVLIVLDGVKEKSQLEQLVGNPNWFGQGSKIIITTRNRDVLRQPNYKDKMVEYKVEFLDNKSAMTLFCKQAFGSCDQFPSKNFEDFSKEIVERVKGHPQVLRQIGSSLYDKGIEIWKEQLKSLEEDYNNRIFKTLKISFDDLGKTSQEVFLDFACFFNEKKKESVIEILKSLDYRPHSEIQLLEDRCLIEVRRDNTIFMPKCIQAMGQQIEREADKRSRIWLPKDAHDVFDEPHRVKDIKGVVLKLEEKQEEVKLEGKVFEDMRSLKILEIGNVEGFEKLKVINVSRSKNLRETPNFTKVPNLESLDLSYCPRLWKIDSSISRLNRLTLLDVSYCINLESLPFSRSCKSLARINYAGSGLEERGIYTYLSLW >KGN50534 pep chromosome:ASM407v2:5:7958609:7959154:1 gene:Csa_5G181170 transcript:KGN50534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLNHSILCTCVRLDYEIVNRNLVPPKSLSLSSHITTERNIACSKPTGKFLRRRLILEIFFQVCDFGGSFLCFSSLT >KGN50760 pep chromosome:ASM407v2:5:10355548:10356618:1 gene:Csa_5G234140 transcript:KGN50760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQTVRPKVTLELLALKRFSSFKAPTLEFDLGEHSGWKLEHSPIFWGCLIVCLFPFSKQRFLWFLFIECFLSRQHSPLAVSLTRPYFLHIVMECSIQIGTPIRIGA >KGN51109 pep chromosome:ASM407v2:5:16052570:16055977:-1 gene:Csa_5G453170 transcript:KGN51109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRNLFWEELGDLFRYCGARWCVVGDFNVVRSPEEKASRGRIARSMRCFNNFIDDSGLFDPPLIGGKFSWANCSATSRIDSVLMSEAWISDLGTLGIPKVTENPFVEGIDWSPILSREDVLVVPFTIEEIKKIVLSCNGNNAPGANRHHIYEKHGGAKSIELKEIGPRFELRLYQIKLGTVDQTEAQNEWVIRPYMKTTKKRDFLGV >KGN51891 pep chromosome:ASM407v2:5:22526252:22530022:1 gene:Csa_5G604360 transcript:KGN51891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGKGPPGSRRARLQPVRMSTPARKRLMRDFKRLQQDPPAGISGAPQDNNIMLWNAVIFGPDDTPWDGGTFKLTLQFTEDYPNKPPTVRFVSRMFHPNTSFYHFIGVNNSLLCDPNPNSPANSEAARMFSENKREYNRRVREIVEQSWTAD >KGN49781 pep chromosome:ASM407v2:5:3112037:3118070:-1 gene:Csa_5G125710 transcript:KGN49781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDESSRAIRLMNFVSEEQLDEAKKTRGERVEDGTAQRDRPLFEILKENKDKRDAEFNERFKHRPPKALDEDETEFLDKLETSKREYERQMANAEEQELRSFQAAVAAQSILLSEVREITPPAPAAQEKASVRRETPVSRPPSMIIRVKPQAKKARIEPRSPKIAGSTTEIHVAKAEETSNSVKTLDTNSDRPLEAGAITGLVSYSDESEDED >KGN51419 pep chromosome:ASM407v2:5:18994228:18996701:1 gene:Csa_5G534970 transcript:KGN51419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDENGGIKMGIRQIVRLKETLQHWQGVTVCPKSKAAAHENGSQNQNQNHGILSPAINKRLTNVLCCDSDEETCQSPEHPPDVPKGYLAVYVGPELRRFIIPTSYLRHSVFKVLLEKAEEEFGFDHSGALTFPCEIEIFKYLLKCMESQQKDHPDDHTPAESSMTMEE >KGN51519 pep chromosome:ASM407v2:5:20062870:20073502:-1 gene:Csa_5G576610 transcript:KGN51519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTASSSSAGTSSNIMLAIFEKKTTQIDLYRPLRNFIAFNYSERDAQNLEDDLQTLKEYRSDLERQSDPSPTARRDLLQNYFKALCLVETRFPISPDKDHVNTITFVWYDAFKQKQKASQQNIHLEKAAVLFNLGAVYSQIGLSFDRATVEGRRQASHAFIAAAGAFAFLRDNASTKASIGTSTTVDVSVECVGMLERLMLAQAQECVFENTIAKGSTPGVCAKISRQVGLYYEEALAALNAPPLNQHFDKAWIAHVQLKAALFLAEACYRYSLELHEKENIAEEIARLRSGISALTEAKKSSKGAAAQLLDAINKLEANLNRNLERAMKENDRVYLMRVPNPSTLPPLPAFSMVKSMAMNEVLDASKEKMFACLIPDSSAKALSRYTEMVDDIIRTQAEKLQQASELTCVRLKEMDLPESILALEGNSSLPTDVKEDVEAVQISGGPIGLEAELQQLRDLRRVNSEMLVQIEELLQKEAREDSQFRSQFGTRWTRPQSSTLTKNIQDRLNRFAGNLKQAAESDSRIERSVKDHSALLSILDHRPIESALPTLARPIMSLDANEDAILGTLKQSLRQLENLGAQRAGLEDMLKEMKRKDDILPKLMTSTGSYEDLFRKEVSKYDNICEDISQNIEAQEQLLLQIQGQNNEFSVIFNLEDYKASRERCYKQIQAAVAKYREIKENINEGLKFYVTLQDAITNVKQQCSDFVMTRNIQCREMMEDVQRQMAGLSFQDTKNTPGGYNNSYPSVGPHTQRSQSPQPDVRPPQSYYQPPHDQSPVGGYTPPHPQSHPQPHPMYNSPQQPPPPSYHSPPAPPPSTSPYPPHPQASQQPSTNHEYGQPAYPGWQGPYYNAHVPQPGSIPRPPYTIPNQYPPHQQGGYYKQQ >KGN49791 pep chromosome:ASM407v2:5:3162233:3164925:1 gene:Csa_5G128780 transcript:KGN49791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASKALVSLVLFIAATTIPSFHAFDPDSLQDLCVADTYKGIKVNGFPCKEDSNITASDFFFAGLATPAPINNSVGFAVTQANVDSLPGLNTLGISLNRVEYSPNSGLVPPHTHPRVTEIIFVLEGQLDVGFITTANKLISKTIKKGEVFVFPIGLLHYQQNNKDKPASAVVAFNSQLPGILVVAPALFSSSPAIDNDVLARTFQIGTDKVAERLNQGFLRAKKISETTVYMY >KGN52401 pep chromosome:ASM407v2:5:25821950:25824242:-1 gene:Csa_5G631520 transcript:KGN52401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFQEGLKKFMFHEDVKPNWVFGKDSPSVAVSEISSTPRRKWRIFNRSSSSPFPSKPKPPHFKEIPKELLCPITGSLMADPVIVSSGHTFEAACVQVCKDLGVKPTLLDGSKPDFSSVIPNLALKSTIFNWCKNSSSEPPQPLDFSSAEKLVRKFVAAHSKSDEELIQGVAETPVVRFNHAATEVARRSSHFHSSSDESVSAVVPTLPLPLAIRPSCCSSSSSSDNEIIGTLNLPEEEEIVVKLKSSQVIEIEEAVTTLRKITRTREDSRVHLCSPMILSALRSLIVSRYSGVQVNSVAALVNLSLENLNKVKIVRSGILPNLIDVLKGGSPEVQEHAAGAIFSLALEDNNKTAIGVLGALPPLIRLLLSNSEQTRHDSALALYHLSHVQSNRSKLVKLGSVPILLGMVKSRHMAGRILLTLCNLAACFEGRAALLDSGAVECLVGMLRENELDSESTRESCVAVLFGLSFGGLRFKGLAKTAGAMDVFMAVEKNGSERSKEKVKRMMEYMKARDEEAEDVNWEELLDSGCFGSRSRCRLGAGMDRSTANSSEF >KGN50113 pep chromosome:ASM407v2:5:5159807:5163511:1 gene:Csa_5G154750 transcript:KGN50113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVESQNKKKEYAADISSIKEARIRIRPFIHQTPVFSSETINAASGKQLFFKCECFQKGGAFKFRGACNAIYSLDEGEAAKGVVTHSSGNHAAALSLAAKLRGIPAYIVIPENAPKCKVENVIRYGGQIIWSKSAIQSRESVAARVMQETGALLIHPYNDGRIISGQGTISLELLEQVPQLDTLIVPISGGGLISGISVAAKAINPAIRIFAAEPKGANDAAMSKAAGKIVTLPETTTIADGLRAFLGNLTWPIVRDLVDDVITVEDIEIVEAMRLCLEILKVVVEPSGAIGLAAVLSDSFKQNPSWKDCNSIGIILSGGNVDLGMLWNSYKK >KGN49942 pep chromosome:ASM407v2:5:3942412:3943699:1 gene:Csa_5G141160 transcript:KGN49942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSLCFSIIFIALFFSSMAVNSSEKCVYTLYVKTGSILKGGTDSKISVTLGDSRGQSVEISDLESWGLMKQGHDYFERDNIDIFSGRGVCLESPVCRLNLTSDGSGSHHGWFCDYVEVTSAGPHRACSQTAFYVDQWLATDAPPFQLTTILDGCDDWLSGHGASRHMHSGKLMVSSSKKSVASE >KGN51397 pep chromosome:ASM407v2:5:18704177:18706719:1 gene:Csa_5G526870 transcript:KGN51397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIRYDATIEAHTVNGFYVSYDGWGNKEEVDPANVRTIQLEVNPLLEAERVAEATKQAIKRKIAQAASVDFQSRNLPSKLRIEPDDPEDVKATKRKKIHAFKSKMRIEQLEVTQNKRQNAWQQFQTAKGKSKKIGFFSGRKRESIFKSPDDPNGKVGVTGSGKGLTEFQKREKHLHLKGATVEMDE >KGN51581 pep chromosome:ASM407v2:5:20594737:20595919:-1 gene:Csa_5G580660 transcript:KGN51581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISIANSIGVIPSPAPSSASMAARFACSMTVFSTADVKSSFSSSVCSLSSLVVNPFNCSIRRGRRLFSEVPVRSDSGTSSSATAVSAATTSLSEEETDEAAAARIGARVRVKVPLKVYHVAKLPDANLEGMEGVVKDYVRVWKGKRVSANLPYKVEFVVPVEGRPPVKFVAHLKEEEFEYV >KGN50013 pep chromosome:ASM407v2:5:4469887:4473757:1 gene:Csa_5G149330 transcript:KGN50013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSWRARGSLIVLAIVFFGGLFAISIAKEEATKLGTVIGIDLGTTYSCVGVYKNGHVEIIANDQGNRITPSWVAFTDSERLIGEAAKNQAAVNPERTVFDVKRLIGRKFDDKEVQKDMKLVPYKIVNKDGKPYIQVKIKDGETKVFSPEEISAMILTKMKETAEAFLGKKIKDAVVTVPAYFNDAQRQATKDAGIIAGLNVARIINEPTAAAIAYGLDKKGGEKNILVFDLGGGTFDVSILTIDNGVFEVLATNGDTHLGGEDFDQRIMEYFIKLIKKKHGKDISKDNRALGKLRRESERAKRALSSQHQVRVEIESLFDGTDFSEPLTRARFEELNNDLFRKTMGPVKKAMDDAGLEKNQIDEIVLVGGSTRIPKVQQLLKDYFEGKEPNKGVNPDEAVAYGAAVQGSILSGEGGEETKDILLLDVAPLTLGIETVGGVMTKLIPRNTVIPTKKSQVFTTYQDQQTTVSIQVFEGERSLTKDCRNLGKFDLTGIPPAPRGTPQIEVTFEVDANGILNVKAEDKGTGKSEKITITNDKGRLSQEEIDRMVREAEEFAEEDKKVKERIDARNSLETYTYNMKNQINDKDKLADKLESDEKEKIETAVKDALEWLDDNQSAEKEDYEEKLKEVEAVCNPIITAVYQRSGGAPGGESAEDDESHDEL >KGN50139 pep chromosome:ASM407v2:5:5288357:5291323:1 gene:Csa_5G155500 transcript:KGN50139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNQDLERGAAKNPPNTNPNANYSTSPYYLETSEKQWTPWLVPMFVVANIAMFIVVMYVNNCPDSNLGFGDKCVASFLGRFSFQPIRENPLLGPSSNTLVKLGALKWNKVVHEHQGWRLLSCIWLHAGIIHLLANMLSLVLIGIRLEQQFGFVRIGMIYLVAGVGGSVMSSLFIQNNISVGASGALFGLLGAMLSELLTNWTIYTNKVAALFTLIVIVVINLAVGILPHVDNFAHIGGFLTGFLLGFVLLVRPQFKWTERHHLPPGARRVPKYKTYQYILWLAAAILLVAGFTLGLVMLFRGENGNKHCSWCHYLSCVPTSRWDCAN >KGN49703 pep chromosome:ASM407v2:5:2314220:2315041:1 gene:Csa_5G077220 transcript:KGN49703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFHTLSRRELQALCKRNKIPANITNVAMADALAALQSVEGIEEFLNGDRSGVPESPMKAGVISSEIPRIALRTSTRRKAVKDETITTRSRQGAVARDMEESEDKDLNMALTTPSLPGSRRRTAAASSACKKFDFQMTVDDQKEDKDMDQKKKEIENTPAVPKSQKRVAGASTRKRTETKDSGAAEQRVYSTRRSVRLLEKNMESLSLEGDEKMEPITVHMPFDDMPKISEPMKENMELETESKKTDESKSKLDENLSVEVDDGEKNEMGMLL >KGN50606 pep chromosome:ASM407v2:5:8586020:8586836:-1 gene:Csa_5G190500 transcript:KGN50606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDMGKVVSCHTVRSWKQQLLKAKQCNKLVVVNFTATWCAPCRGMAPVLEDLANKMSNNVTFLKVDVDELMSVASEYGVGALPSFQFFKNGKLVDKFVGARKDVLHKTVSKHVA >KGN52310 pep chromosome:ASM407v2:5:24972113:24976851:1 gene:Csa_5G623730 transcript:KGN52310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSANPYFDDIRNKPEVIDPPQDDDMMDVSESVSDPARSGGKPNVVSSSVRELLECPVCLNAMYPPIHQCSNGHTLCSGCKPRVHNRCPTCRHELGNIRCLALEKVAASLELPCKYQTFGCVGIYPYYSKLKHESQCIYRPYNCPYAGSECSVIGDIPFLVSHLKDDHKVDMHNGSTFNHRYVKSNPQEVENATWMLTVFSCFGQYFCLHFEAFQLGMAPVYIAFLRFMGDDNEAKNYSYSLEVGGNGRKMVWQGVPRSIRDSHRKVRDSFDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQ >KGN50661 pep chromosome:ASM407v2:5:9125683:9127916:-1 gene:Csa_5G205920 transcript:KGN50661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTNLNQDWPEPIVRVQSLSESGCSAVPSRYIKPPSDRPNVFSVASPSMNIPIIDIYGFALDQDDSLRQTILNQISDACRNWGFFQIINHGIRGELLDDVRRAWYDFFKLSVEMKQAYANNPKTYEGYGSRLGVQKGAILDWSDYFFLHYLPSHLKDHSKWPAIPDFIREMTEEYGEEVVKVGGKLLKLLSLNLGLQEGYLQNAFGGEEVGACLRVNYYPKCPQPELTLGLSSHSDPGGLTFLLPDDKVAGLQVRKDEKWITVKPAAHAIIVNVGDQVQVLSNAIYKSVEHRVIVNSDKERVSLAFFYNPKSDIPIEPAKALITQDRPALYPPMTFDEYRLFIRTRGPQGKSQVDALKSPR >KGN51470 pep chromosome:ASM407v2:5:19570437:19574009:-1 gene:Csa_5G561790 transcript:KGN51470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHPKLWGSLLLVVCCLSLVKSGFGFACNWGTRSSHPLPPDIVVKLMKDNGFNKVKLFEADPGALQALGNSGLQVMLGIPNEFLAPLASSVRVAENWVAKNVSYFISNFGTNIRYVAVGNEPFLNAYNGSFLQSTFPALQNIQAALIKAGLGRQVKVTIPLNADVYETSNGLPSGGNFRPDIRDLMVNIIKFLSNNACPLTINIYPFLSLNADPHFPKEYAFFSGNAAPVIDGSISYTNVLDANFDTLVSALEKNGFTSMPLIIGEVGWPTDGDPSANKANAQRFNQGLIERINRRQGTPKRPVPTDTYIFAIVDEDAKSIQPGNFERHWGVFNYDGTIKYSLNMGNGKQLVPAKGVQYLSKQWCVMSPQASLSDPNLPNSVAYACDHADCTSLSYGSSCGNLDAKSNVSYAFNAYFQTMNQSSNACKFSNLATISTIDPSPPNQGNNRDACQFGIMIDTTKKRHLARPPRTSSGTKLRIGQNSCWYWISFLLVPSILIMKN >KGN52282 pep chromosome:ASM407v2:5:24823711:24825973:-1 gene:Csa_5G623460 transcript:KGN52282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVRPNPTPENSSMSQHHHLISSSLKQPAASSNPIDSNAVAQRLQKELMSLMMSGGDLGVSAFPDGESIFTWIGTIEGGKGTSYEGLSYKLSLHFPMEYPFKPPLVKFETMCFHPNVDQYGNICLDILQDKWSSAYDCRTILLSIQSLLGEPNPESPLNSYAAALWNNKEDYRKMVHKQYFSGAVLDG >KGN51649 pep chromosome:ASM407v2:5:21041362:21041778:-1 gene:Csa_5G587200 transcript:KGN51649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRVHDRVTVKQESEKHVSLHWTSDPLSDMVSDSVVALILNINREVPKVIVESEAVKTEEENVKKAEKVIHALLVSLFGDVKLGENGKLVINVDGNIAEVDKQSGEVESENEALKERVKTAFQRIQCAVNPIPLSSSS >KGN50147 pep chromosome:ASM407v2:5:5354614:5357744:1 gene:Csa_5G155580 transcript:KGN50147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTDSSNWTLISNPPLQKPKSLLLKDYLLDDFSSCSSNGFRSFPRRQCCSTTVRFLLEIDLKVKDSSVTKRFLPRTTSRKIALSTISTLQRASDAVLRAFKQFPLPSSRKSFFPRSISRKLISKAFRKKSDIVDPNINKRWKSFKEFLDEKEPPSSSSFEENHSDSAVCTAIAVAGRNSISSCSNSISWTESEFTSEIIPSSCSGNSESCSENDAVKDDKDSPGNLIGKRDGVTFGKDSMEETTTAPTSVAAATSADDYREDTVKQWQNEEEKEQFSPVSVLDFPFEDEDQDISSSFNCNVHLMEGKKQKQRDQKTKRLEKGTELEPVDLKKRFTNISVIGDQDHFTLITKKEHQMEEKALEFLKLLKSTTESTENLLLDFFHQKLDEHEATSTNSDFDQPQLLKFAQDWIDGNAGELTVMGRWELPEERNFYIKDMEVGDKWRSFGGDKEELVAEFEGEVWISLLNDLLIDLSL >KGN51646 pep chromosome:ASM407v2:5:21027081:21030424:-1 gene:Csa_5G587170 transcript:KGN51646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLGQPPSLKKRDASSTREEDQLIITPLGAGNEVGRSCFDCGIHPAYSGMAALPYFDEIDPSTIDVLLITHFHLDHAASLPYFLEKTTFKGRVFMTYATKAIYKLLLLDFVKVSKVSVEDMLYDEQDISRSMDKIEVIDFHQTVEVNGIRFWCYTAGHVLGAAMFMVDIAGVRVLYTGDYSREEDRHLRAAEMPQFSPDVCIIESTYGVQLHQPRHIREKRFTDVVHSTISQGGRVLIPAFALGRAQELLLILDEYWANHPELHNIPIYYASPLAKRCLTVYETYTLSMNDRIQNAKSNPFRFKYISPLKSIEVFKDVGPSVVMASPSGLQSGLSRQLFEMWCSDKKNSCVLPGYVVEGTLAKTIINEPKEVTLMSGLMAPLNMQVHYISFSAHADFAQTSAFLEELMPPNIILVHGEANEMGRLKQKLMSQFADRNTKILTPKNCQSVEMYFNSQKMAKTIGKLAEKTPDVGETVSGLLVKKGFAYQIMAPEDLHIFSQLSTANINQRITIPYSNAFNVIVRRLKQVYESVESSTDDESGVPMIRVHDRVTVKQESEKHVSLHWTSDPLSDMVSDSVVALILNINREVPKVIVESEAVKTEEENVKKAEKVIHALLVSLFGDVKLGENGKLVINVDGNIAEVDKQSGEVESENEALKERVKTAFQRIQCAVNPIPLSSSS >KGN50044 pep chromosome:ASM407v2:5:4696910:4700822:-1 gene:Csa_5G151600 transcript:KGN50044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEKTGSIVIDIIETKLEPLWAKSGGKLGNSSTLLGIRSVWNFCKEDRNRLLFSFKVGLAVVLVSLLILLQAPYDVFGSNIIWAIITVAIMFEYTVGATFNRGFNRALGSLLAGILAIGVAQLALLTGPVGEPIVIGISIFLVGSITTLMKQWPRLTPYEYGFRVILFTYCLIVVSGYRMGNPLRIAIDRLYSIAIGALVAVLVNVLVFPIWAGHQLHNDLVNAFNSIADSLQECVKKYLEDEEWKNIDQEVPLKAVMDEFPDEPAYKKCKATLNSSSKFDTLATSAKWEPPHGRFKQFFYPWTEYVKVGAVLRYCAYQVMALHGVLHSQIQAPYNLRITFKSEIQDVANQAAELMRSLGKDIDNMKQSIKISHLKNVHSTAEKLQRAIDTHSYLLTPTCETIGLSTTSSSNLDNLPSLSAELDSNGSKRSLNKQDSHGVDLVRKQQSRRQHSWPLREMDVFDDGRCVAIEFLPRMRKLESTAAMSLANFTSLLIEFVARLDYLVETVDELSRMAKFNEEH >KGN50311 pep chromosome:ASM407v2:5:6437203:6445771:1 gene:Csa_5G167040 transcript:KGN50311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEREQSCSALGGQSHPNHHQTLILKSIFFLDGYGEVLLTSNSDGLFWESVDSPETDGSDCLGIKLAPEAPAEVNFSDVYGVEFDNFGVIRKSKLAVAPSCILCDEYEMYRFKVYSFQRSKSQPAQWVLTTFTFGHKDQQTCQMWVNQIDASLVLQDERPKNLLVFVNPRSGKGIGSRTWEAVVPIFLRAKINTKVIVTERANHAFDVMASTSNKDLKMYDGIVAVGGDGFFNEILNGFLLSRHLAHYPPTPSDIIDCRQAEGNSTDHDSEFPAFHTWFRFGIIPAGSTDAIVMCSTGCRDPITSTLQIVLGKRVHLDIAQVVRWKKTPTSKFDPCVRYAASFAGYGFYGDVITESEKLRWMGPRRYDYAGTRVFLRHSSYEAEIAYVDTKSEDTNAKGKRVLCRSNCSICNTRPHLQHSHTGSSSRQDETRWLKSKGRFLSIGAAVISCRNEKAPDGLVADAHLSDGFLHLILIRDCHHALYLWHLTQLARKGGNPMDFKFVEHHKTTAFTFTSFGDQSVWNLDGELFEAHQLSAQVFRGLITLFASGPEV >KGN50879 pep chromosome:ASM407v2:5:12618956:12625459:1 gene:Csa_5G310810 transcript:KGN50879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSETIENYLRKNFDLDSKSPSEEAQMRWRSAVSIVKNRRRRFRMVADLEKRAKAGEKRRKLQEKIRVALYVQKAALHFIDAGKRGDYRLSTEVREAGYGVEPDALASMVQTHNTKSLEHYGGVRGLARELNVSLKDGIVTSEIPSRQNIYGINRYVEKPSRGFWMFVWEALHDLTLVILLVSAVVSIGVGNATEGWPKGMYDGLGIIMSIFLVVIVTAVSDYNQSLQFKDLEKQKKNIIIQVTRDGCRQKVSIYDLVVGDIVHLSIGDQVPADGILVSGYSLSIDESSLSGESEPVNVDDNRPFLLAGTKVQDGSGKMLVTSVGMRTEWGRLMVTLSEGGDDETPLQVKLNGVATIIGKIGLVFAVLTFIVLISRYIVFKALHNQIEHWSSKDASTLLNYFAIAVIIIVVAVPEGLPLAVTLSLAFAMKRLMKDKALVRHLSACETMGSATCICTDKTGTLTTNHMVVDKMWICEETRTTKNSDDETALKSSVNETVYNLLIQSIFQNTSSEVVKGKDGRNTILGTPTETALLEFGLLMGGAFGTLNDEYKIIKVEPFNSNRKKMSVLVALPTGGFRAFCKGASEIILSMCDKVLSANGEALPLSDEKRINISNIIYSFANGALRTLCIAYKDIEVSSAPDKIPDSNFTLIAVVGIKDPVRPGVKEAVQACLAAGITVRMVTGDNINTARAIAKECGILTEDGLAIEGPEFRNKSQDEMEMLIPKLQVMARSSPLDKHMLVGQLRKTFKEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKENADVVIMDDNFTTIVNVARWGRAVYINIQKFVQFQLTVNVVALMLNFISACASGSAPLTAVQMLWVNLIMDTLGALALATEPPNEGLMQRKPIGRNVNIITGIMWRNIIGQSIYQITVLLILRFEGKRLLNLTGSDSSIILDTFIFNSFVFCQVFNEINSRDMEKINVLKGIFGSWVFIGVMASTVGFQIIIVEFLGTFAETVGLSLNLWIASIVIGALSLPIAMVLKCIPVSNTKTTSHFHDGYEPLPTGPDFV >KGN52296 pep chromosome:ASM407v2:5:24909718:24910899:1 gene:Csa_5G623600 transcript:KGN52296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSLNLTQFYICFKLPLISSIHAASQHLCDTDSPSRLGFLSHTVAGVTLSLSSRLLLLGVRRRRFLISFSPLAFSQSTSRTSSHFLTRCIL >KGN52587 pep chromosome:ASM407v2:5:27176760:27178016:1 gene:Csa_5G645120 transcript:KGN52587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKFAGKDAFHLRVRVHPFHVLRINKMLSCAGADRLQTGMRGAFGKPQGTCARVAIGQVLLSVRCKDSNSQHAQEALRRAKFKFPGRQKIIVSRKWGFTKFSRADYLAYKAENKILPDGVNAKLLGCHGPLANRQPGRAFLSQA >KGN50533 pep chromosome:ASM407v2:5:7940939:7941633:-1 gene:Csa_5G180920 transcript:KGN50533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRERELESITTMANCLMLLSRNTAPDHHFESSTSSSSPNRVFECKTCNRQFSSFQALGGHRASHKKPRIVGGDGGNSDGSSSQGSPTKPKTHECSICGLEFAIGQALGGHMRRHRATTLLNDARLLTNHPRSPPPQQPPVVKKSNGGGRILCLDLNLTPSENDSRFLQLGKSISMVDCFF >KGN50969 pep chromosome:ASM407v2:5:13970986:13977069:1 gene:Csa_5G375770 transcript:KGN50969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDGSDPNYLLLEHFPHRSSLFPIQKCRSVPTMDREANLPAQFEFDKKLTRQTNPETTSSSSSSSSPGFLRHVQAAFKRHRPLGVTQSNSIRPRRLLVPQRGVSRNPRSEARLAESDKEPETEISLSQDHVVKDLIEQTKSTMTIIGETQDESITRPSISGLMTKSFDGSYAPDIQRDELVRGAGCQSRSTSSALNTGSQQVDVQKMVRFSIKTNKISQEMDWTAGNLGEASSVINHDESKHGSFPNTESDMKSNGGISMMAQRTPAVEDQLKRFEDFLNQPISQPSFGPPCPTTTSVHSSSVAMLNSTTYCSHLHHESGGKISTEPLSEFNANIQCEAKRSVLPTSGLSLRDTSDEVKNGKGNDAIHAPGLTANTEKVISHYDISKEYREQLGGESDISKHSLQHVDKSYEGKCAIGNAIDTKSKAQVPKKSVSDVNLNPSGSDKLDKVASGKSASTNRKRNYDPDMFFKVNGKLYQRLGKIGSGGSSEVHKVISSDCTIYALKKIKLKGRDYATAYGFCQEIVYLNKLKGKNNIIQLVDYEVTDRTLLQEVLNGSTNNKDARVKDDGYIYMVLEYGEIDLAHMLSQKWKEIDGTDQIIDENWLRFYWQQILQAVNTIHEERIVHSDLKPANFLLVKGSLKLIDFGIAKAIMSDTTNIQRDSQVGTLSYMSPEAFMCNEKDANGNTIKCGRPSDIWSLGCILYQMVYGRTPFSEYKTFWAKFKVITDPNHEIKYEPVSNHWLLDLMKKCLAWDRNERWRIPELLQHPFLVPPVSPHLSLSQGQSSKFLQLIVESCENDHEASSHLLPKLQQLLLNSVQPMTSLLITSKDSQCELFSQTSKDYFLLHKSLATQKDEN >KGN50033 pep chromosome:ASM407v2:5:4605810:4608034:-1 gene:Csa_5G151000 transcript:KGN50033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQFRRIGEVLGSLKALMVLQDDIQFNQRQCCLLHDMFRLAFDTIAGEIRDNLKLEEKNTKWKALEQPLRELHRVFKEGELYIKQCIDGKDWWAKVISFHHSKDCIEFHVHNLLSCFPAVIEAIETAGEISGLDQDEMQKRRLVLMRKYDMEWNDLKLFHWRFGKQYLVPREIRNRMQSVLREDRWLLVEALKEKISSPGTAVSKNEQQLGELLIKKLNNSEPSKAKLFPSSILVGTKDYQVRRRLDGGQSKEVQWFGENFGMRQFTAETEETESEVPILLSLLHPNILQYLCGFLDEEKKEYFLVTELMSKDLSSYMKDNNGARRRLLFPLHVSVDIMLQIARGMEYLHSQMIYHGDLNPSNVFMKPRNSSEGSYLVKVSGFGLSSVKNSPPRNSTNQLETNPFIWHAPEVMAEQEQQAPGTVSFFRKTEKADVYSFGMLCFELLTGKVPFEDSHLQGEKMSRNIRAGERPLFPFPTPKYLVSLTKRCWHSDPSQRLSFSSICRILRQVKKFLAMNPAESNQPELQMPTVDYCDVEAGVARKFSSDGVGDLCSVSQIPFQMFAYRLAEKEKTNPSKIKTWDSASDVVSISKDDCASIYRDDTVSVIEDPFTIPASDTRSFYSDMRSVYSEAPSKKMPITKKVPDTKIKRGTGIPETKTRMTSRTPSRTPTRTTARPRALKTNRDIPLPFSSPLSKGRRRLNGHVSDSEIH >KGN50419 pep chromosome:ASM407v2:5:7118411:7118830:1 gene:Csa_5G173465 transcript:KGN50419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNPFQCNLLLYSQRQHLMNCCHCPLLHPLLCFNGPHIILRNVLIWRPIRKPLPFPHCNSEILPYLKPRGKIHNERESNVLSITSFLSINPLPGSLYCTNSKTWISFYNFNVLIPSFFSIKESKELRVFENYRIFKIVR >KGN52096 pep chromosome:ASM407v2:5:23691359:23692183:-1 gene:Csa_5G609820 transcript:KGN52096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLIQTKSNSTTPFKLKLFGFDVREDQLEDDDSAAKTPTGSSESGVFTPSTDRKYECQYCCREFANSQALGGHQNAHKKERQQLKRAQLQATRNAAVAYVRNPIISAFAPPPHLLATAAPAAAPPGGQFLLPNPATAGTSSSWVYMPRTQPPTIHVSSHGCVFPTAGGGGTSAMLSAYGGGVGNSMAFTMGPQVEGVSLKRFSKGENGSGFDEGIGLDLHLRLAPAAP >KGN51894 pep chromosome:ASM407v2:5:22535656:22538903:-1 gene:Csa_5G604390 transcript:KGN51894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTFGVSFSFWFPAFIFAVIFPVSTGQLPPSETRILFEIQKLLEYPVAFQGWSNWTNFCYLPPSPSLKIVCSGNHITELTVIGNKSSPSKAPKSVSVSSIPSPQTLSNSFSIDSFFTVLTKLSNLRLLSLVSLGLWGPFPSKVNRFSSLEVLNISSNFIYGGIPTTISKLQSLKSLVLADNLLNGSVPDLRGLAVLEELNLGQNQLGQKVPSLGENLMIVILRKNLFRSEIPSRILQLNKLQLFDISYNKFLGPVHASLFSLPAVQYLNLAYNQLSGALSINTTCNRNLKFVDISHNLLIGKLPSCIRPNSSNRTVNISWNCLSSGSSKDQHTYSYCHKEAMAVKPPGDVQKQKISSKLGFMLAVIGGAVGISGVVLLLVYAIIRNRRRRRFGETKYEKSTADKLSVRGSPLPNRHVPQTRLPALGLPPYRVFTLEEIEDITKNFDPSNVAAKEPQAKTYKGWLPDGSVVLIKCFKLKQKLIPQALARHMEELPNMRHRHLVSVLGHCTFTHQDQLNPATTVFVVNEYISNGSLKDCLTDWKRRDALKWPQRMGITIGIARGIQHLHTGMASGIFGNDIKIDSILLDETLSAKISNYNILMPLENAETGLNVTKRSENPEKEDIFQFGAILLQVINGRPITETSELYDLKSEFESGLAEVLKLRGVIDASIQGSFAFDSLKTTIQIAINCLSKDPNKRPSIEDVLWNLQYSMQVQEGWTSSGNLGTFI >KGN50125 pep chromosome:ASM407v2:5:5242310:5242678:1 gene:Csa_5G154870 transcript:KGN50125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQNSIPPNSLFLFLFMILLTTTTPLHAARRPPTSAPQPENDSLSLHPPTTSTFSFTQPPAAVEDQITSRNYGVSLRKVPAGPNPLHN >KGN52132 pep chromosome:ASM407v2:5:23900228:23906887:-1 gene:Csa_5G611630 transcript:KGN52132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEAKEVTFEKATAAVEELYLIRDTFFPVNPDDKTSKLRELSDLALKILDSIPPEQRRSPLQRAMYEYLRGKMLDVFPEYRKEAEDHLSKAVKLNPSLADAWLCLGNCIWKKGDLSSAKNCFTLALNKRPEKKLLCQLSMLERKMAQGTENEAKLVEESIQHAKEAVTLDVKDGNSWYNLGNACLTSFFVTGAWDHSKLLQSLKAYQNAEKDEKMLSNPDLYFNCATVNKYLENYDRALSGFEAAALKDPSLSATREVHKMVTLLDKLDNMLKAHAKSRKGASSPSSVDAISSNFSYKRATISHLSEGLNKTVAVTGKVLFFIKHDSLAPLYYLACDSNQTCFVLSLYGMRNDTVKEGDQITLVEPYYRNLGFSWKGKHYEFKSIRVDFLEQVLVNGKAPSTHQAVRASIYAQHKP >KGN52270 pep chromosome:ASM407v2:5:24750072:24750730:-1 gene:Csa_5G622850 transcript:KGN52270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRAILTVDSQRPLPRPSIFHFLRSHFPLEILNFPIGCGILFSSSTPLSINSSLFHETVPIVVHCTFSLC >KGN51183 pep chromosome:ASM407v2:5:16892945:16905026:1 gene:Csa_5G484640 transcript:KGN51183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPAINVYSIISLQHLCPPPLSYPFPSFLTPHYSASRFCPHKPLAFYSTRPVPFRPSFHSPRSIFSEKSQLSDVDEDEDEDEDEDDEDDVAAEEYDSDALGGFEQSYDEVELSMDTSEISNASQEFKWQRVEKLLGEVREFGEGIIDVDELASVYNFRIDKFQRLAVQAFLRGSSVVVSAPTSSGKTLIAEAAAVATVARKRRLFYTTPLKALSNQKFREFRETFGDSNVGLLTGDSAVNKDAPVLIMTTEILRNMLYQSVGMATSASGLFHVDVIVLDEVHYLSDISRGTVWEEIVIYCPKEVQLICLSATVANPDELAGWIGQIHGKTELVTSSKRPVPLTWHFSTKTSLLPLLDEKGARMNRKLSLNYLQLNASGDKFSKDDGSRRRTPKRRGNEISYDNIGSMSRQATLSKNDINSIRRSNVPQVIDTLWQLKSKDMLPAVWFIFSRKGCDAAVQYIDSSNLLDDCERSEVELALRKFRIQFPDAVRESAIKGLLQGVAAHHAGCLPLWKSFIEELFQRGLVKVVFATETLAAGINMPARTAVIASLSKRSNNGRTHLSPNELLQMAGRAGRRGIDKKGHVVLLQTPYEGAEECCKLLFAGIEPLVSQFTASYGMVLNLLAGAKVTHTSEMDETKAFQAWRTLEEARKLVEQSFGNYVGSNVMLAAKEELVKIEKEIEMLNLEITDEAIDRKSRKFLSDMAYNEIAELQEELRLEKRHRTELRKEMESQRICALNSLLRNLGDGHLPFLCLQYKDSEGVQHSIPTVLLGNMDSSKLGNMFPADSSLSGAESNLGITLEPGAESSYYVALGSDNSWYLFTEKWIKTVYKTGFPNVALSKGDALPREIMRSLLDKEGMKWEKLADSELGSLACMEGSLETWSWSLNVPVLNSLSENDELLQMSQSYMESLDRYKVQRNKVARLKKRISKTEGFREYKKILDMANLIEDKIRQLKTRYKRLSNRIQQIEPSGWKEFLQISNVIHEIRALDINTHVMFPLGETAAAIRGENELWIAMVLRNKFLVQLKPTELAAVCASLVSEGIKVRPGRNNSYIFEPSRTVINMINFLEEQRNSLEDLQEKHGVNISCCLDSQFSGMVEAWASGLTWREIMMDCAMDEGDLARLLRRTIDLLAQIPKLPDIDPSLQRNASTASDVMNRPPISELAG >KGN52152 pep chromosome:ASM407v2:5:24031942:24037227:1 gene:Csa_5G612810 transcript:KGN52152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSLPFIFLLLLSLNFAGASVSPPPSTALCKSTLYPKLCRSILSTIRFSPSDPYGYGKFSVKQCIKQATKMSTVIGDYLNRGRDSRLNRPEAGALSDCRDLSDLNVEFLRSIERVLEAAEGVDEELVERVESILSAIVTNGQTCIDGLVESRSSLGNALSGPLLSAGELYSVSLGLVSNAMSRRWKKRREKGGGNGGVPGGGRSREPLDTLIKGLHKMEPCNNQSTKCLGRQRLLTDLGSTGILINNTVVVSSTGADNFTSIGDAIAFAPNNSMPQDGYFVIYVKEGYYEEYVVVPKFKTNIMLIGDGINRTIITGNHNVVDGWTTYNSSTFTVCGDGFVAIDVTFRNTAGPEKHQAVALRNSADLSTFYRCSFEGYQDTLYVHSLRQFYRECDIYGTVDFIFGNAAAVFQQCNLYARKPLPNQKNAFTAQGRTDPNQNTGISIHNCTIKAAPDWVMDSNTTTTNYLGRPWKQYSRTVYMQSYIGDLISPVGWLEWNGTVGLETLYYGEYENYGPGANTSLRVNWPGFSLLNVTQAMNFTVYNFTMGDTWLPYTDIPFSGGLLIK >KGN50793 pep chromosome:ASM407v2:5:11047554:11057601:1 gene:Csa_5G264280 transcript:KGN50793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSSLGIDNSGVPAFLLTDATSWWQEINYSHLWQDRIFFTLAALYGLVGIVALVQLIRIQLRVPEYGWTTQKVFHFLNFLVNGVRAVVFVFRRDVQNLEPPILQHILLDMPSLAFFTTYALLVLFWAEIYYQARAVSTDGLRPTFLTVNAVVYVIQIVLWLVLWWNPVHVLAILSKMFFACVSLFAALGFLIYGGRLFLMLQRFPVESKGRRKKLQEVGYVTTICFSCFLVRCIMMCFNAFDQAADLDVLDHPVLNFIYYLLVEIVPSSMVLFILRKLPPKRGITQYHPIR >KGN49995 pep chromosome:ASM407v2:5:4334542:4336557:-1 gene:Csa_5G148660 transcript:KGN49995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTAQWPQEIVVKPIEEIVTNTCPKPSVSILERKIRPQKEQALNCPRCNSSNTKFCYYNNYSLTQPRYFCKTCRRYWTEGGSLRNIPVGGGSRKNKRPSSNSSSSTSPTPPNSSSQSQPNFPKKILDHQLPQNPKITPSQDLNLFFPPSNNQDHHRFTTTNNNLSEMILVGSNPSINNATSSSASATTTMSSPPPSQPSVMELLTGMANNNNNNSSRGLNCFVPMSSVVVPDPSSVYTGGFPDLHDQFKPSLGFSLDGYGVVSTEAGNGAGRLMQLPFEDLKQVSNGVEQGKDQQGDDHNSNGYWSGMLGGGSW >KGN51182 pep chromosome:ASM407v2:5:16883844:16885200:1 gene:Csa_5G484630 transcript:KGN51182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFLLITSLSLLLFLNPISAHIFPNVSSIPSWLNKTTCAWDQFKKLKGCRPGDRFNGLSNLKTYLNRFGYIPSSPNFTDLFDPLLQSALKTYQTNFNLNATGLLDDQTLAQIQLPRCGIPDIINNSTSMNSGQPTGRQSPHFHSVSHYSFFPGRPIWPAHRRDLTYAFAPENPLSNEVKAVFARAFARWAAVTPLTFSAVESFRSADIRIGFYAGDHGDGEPFDGVLGTLAHAFSPPSGHFHLDGDESWVVSGDLRSAPLAAIDLESVAVHEIGHLLGLGHSSVEESIMFPTITSRTRKVDLAADDINGIQELYGGNPNGNLSPPSSSTTPSVQEREMSRNGAAPRPLRFWWSPVLIAAVELFLMR >KGN49616 pep chromosome:ASM407v2:5:911893:912562:-1 gene:Csa_5G026065 transcript:KGN49616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVSELPTNQFNKRVSKKMALVGKLVNQIEIKTSAEKYYKLFKHHIHHLPDITPIFQQVTVHDGDWDSHGHGSIKVWNYTVDGKAEVFKERVVYDDKNFAVTVVGIEGDVFNHYKSFQGTYQVVPKDSKHCFAVLTVEYEKLDHSCPDPNKYLVLMTNVSKDIESFLK >KGN50563 pep chromosome:ASM407v2:5:8224553:8226626:-1 gene:Csa_5G182680 transcript:KGN50563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMAAASSYWCHRCSHFVRVSNQDSVVCPDCNSGFVEQIEHPSRLVNVEAAPRRRFPAAAMYMIGNRSNSGQNLGSGLRRSRRNGGDRSPFNPVIVLRGPSDGSEAGESRRFELYYDDGGGSGLRPLPPSMSEFLLGSGFDRLLEQLSQIEMNGIGRFENPPASKAAIESMPTIQICENYLATESHCAVCKEAFELGTEAREMPCKHIYHCDCILPWLSIRNSCPVCRHELPSDNQNSLDAAESGENGGSVTSNGEENVGLTIWRLPGGGFAVGRFHGGRRGGERELPVVYTEVDGGFTNGGLPRRVSFASRGRGRERGRWGQMIRNMFACFGGSMRSIASTSNSTSDSGPSRSSRPLPHFNSEPRRRRTWSMEVNSGTISW >KGN51814 pep chromosome:ASM407v2:5:22072661:22075575:-1 gene:Csa_5G602130 transcript:KGN51814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFADSFLADLDELSDEDKFQGEAGADAENMEEDIDGDLADLESLNYEDLDSVSKLQKTQRYNDIMQKVEDALQTDSNISNQGFVLEDDPEYQLIVECNALSVDIENEIIIIHNFIRDKYRLKFPELESLVHHPIDYARVVKKIGNEVDLTLVDLEGLLPSAVIMVVSVTASTTSGKPLPEEILQKTIDACDRALALDSAKKMVLTFVESRMGHIAPNLSAIVGSAVAAKLMGTAGGLAALAKMPACNVQLLGAKRKNLAGFSTATSQFRVGYIEQTEIFQSTPPPLKMRACRLISAKSTLAARVDSTMGDPTGKTGRVFKDEILKKIEKWQEPPPAKQPKPLPVPDSEPKKKRGGRRLRKMKERYATTEMRKLANRMQFGVPEESSLGDGLGEGYGMLGQAGSGKLRVSAAQSKLAAKVVKKFKEKRYGSSGATSGLTSSLAFTPVQGIELSNPQAHLNQLGSGTQSTYFSETGTFSKIRKN >KGN51374 pep chromosome:ASM407v2:5:18534374:18536331:1 gene:Csa_5G523190 transcript:KGN51374 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin MALEKAKEIVASNPVAVFSKSYCPFCVQVKRLLTKLGVSFKAIELDTESDGREIQAALAQFTGQRTVPNVFIGGKHIGGCDDTMALNSSGRLVPLLAEAGAIAKVAA >KGN51384 pep chromosome:ASM407v2:5:18597965:18603918:-1 gene:Csa_5G524770 transcript:KGN51384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIASGFLKREEEAVPNIRCHSPSNTEEALQSDQTKSTSSDHIALLLQQSIYPQPRRFSRNLVATFFFFGLPIKKKPRRHTEIHKMQESPSQATRRRRFAVDDGADLIDCSGKHCRSCTAGLVADCVAVCCCPCSVVSFLALALVKLPWMVGRRCLQQARKKRKKRKLVCRRGECDGATAAETGGCVGNEKGLPEVSPGSGEEDETTGNFSARFEAERIWLQLYQVGQLGFGRVSFTGNSNLWPNSN >KGN51732 pep chromosome:ASM407v2:5:21565100:21565653:1 gene:Csa_5G594440 transcript:KGN51732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSISQSSSSSTLFDFTVKSYSLTANILTRIVFGKSIRESKSELDDSDVEGVIQKASMAMGRFSASDFFPSFGWIIDRLTGVHEQLEKNFQELDAFLEHVIEDRINFRAACQKEENILDVLLRMERDCYEFGSIKFTRDCIKAVGMVNLKFVMHNT >KGN50819 pep chromosome:ASM407v2:5:11505791:11512104:1 gene:Csa_5G272920 transcript:KGN50819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGQGVQIPRVQLGSQGLEVSKLGFGCMGLTGVYNSCLSDEDGISILKEAFNRGITFFDTADVYGPHSNEILVGKALKRLPREKVQIATKFGITRIGSSMTVNGTPEYVRSCCEASLKRLDIDYIDLYYQHRTDTSTSIEETMGELKKLVEEGKIKYIGLSEASPDTIKRAHAIHPITALQMEWSVWTRDIEEEIVPLCRELGIGIVPYSPLGRGFFAGKAVVESLPVGSHLATHPRFVEENLEKNKHIYTRIEKLAEKHQCSPAQLALAWVLEQGDDVVPIPGRTRK >KGN50136 pep chromosome:ASM407v2:5:5276449:5278759:1 gene:Csa_5G155470 transcript:KGN50136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLQRTILVTGGAGFIGTHTVVQLLKEGFRVSILDNLDNSVLEAVDRVRELVGPKLSQNLEFNLGDLRKKEDIENLFSKTRFDAVIHFAGLKAVGESVAFPRRYFDNNLVGTINLYEVMAKYNCKKMVFSSSATVYGQPEKIPCVEDFELVALNPYGRTKLFLEEIARDIQKAEPGWGIILLRYFNPVGAHESGTIGEDPKGIPNNLMPYIQQVAVGRLPELNVYGHDYPTKDGSAVRDYIHVMDLADGHIAALRKLFINEDIGCTAYNLGTGQGTSVLEMVAAFEKASGKKIPIKLCPRRPGDATAVYASTEKAKRELGWMAKYGVEEMCRDQWKWASSNPWGYQKKP >KGN52290 pep chromosome:ASM407v2:5:24875883:24878473:-1 gene:Csa_5G623540 transcript:KGN52290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGTIKKVTDVAFKASKNIDWDGMAKLLVSDEARKEFSSLRRAFEEVNSALQTKFSQEPEPIDWEYYRKGIGSRLVDMYKEAYDGIEIPKFVDTVTPQYKPKFDALLVELKEAEAKSLKESERLEKEIAEVQEMKKKICTMTADEYFEKHPELRKKFDDEIRNDYWGY >KGN49651 pep chromosome:ASM407v2:5:1518107:1518454:1 gene:Csa_5G046520 transcript:KGN49651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVFRLELTIYKLASVKGTKPKRSTVCELANKKAEMFAGWNTQRSELRTVKGNKRKMLGTKLKSVPCKVRTYECKGQNVCQPKRLKVETENYTGWKLKLKNVRRTDEMSCAIYSG >KGN52474 pep chromosome:ASM407v2:5:26399113:26400881:-1 gene:Csa_5G636660 transcript:KGN52474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRKRTNDNSFNRFQQSSLWQKCTNFRSLKQLHAFLIVNGLNSTTSVLRELIFVSAIVVSGTMDYAHQLFAQISQPDIFMWNTMIRGSAQTLKPATAVSLYTQMENRGVRPDKFTFSFVLKACTKLSWVKLGFGIHGKVLKSGFQSNTFVRNTLIYFHANCGDLATARALFDASAKREVVPWSALTAGYARRGKLDVARQLFDEMPMKDLVSWNVMITAYAKHGEMEKARKLFDEVPKKDVVTWNAMIAGYVLSRLNKEALEMFDAMRDLGQRPDDVTMLSILSASADLGDLEIGKKIHRSIFDMCCGDLSVLLSNALIDMYAKCGSIGNALEVFQGMRKKDTSSWNSIIGGLALHGHAEESINLFQEMLRLKMKPNEITFVAVLVACSHAGKVREGRMYFNLMKNVFKIEPNIKHYGCMVDILGRAGLLIEAFDFIDTMEIEPNAIIWRTLLGACRVHGDVELGRRANEQLLKMRKDESGDYVLLSNIYASQGEWDGVQKVRKLMDDGGVKKKDLFLFGYGHRNKHTDPAVVESVSVDHDF >KGN49640 pep chromosome:ASM407v2:5:1309721:1310302:-1 gene:Csa_5G037656 transcript:KGN49640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVGKFVSELEINAPAEKYYKIFKDQVSHVPNISPNIIQNVEVHEGDWDTHGHGSIKIWSYTVDGKTEVFKEQVEFDDEKFAVTLIGLEGDVFEHYKLFKGTYQVVPKGPEHSLAVLTLEYEKLNDGSPYPYKYLDLMNNLTKDIESHLK >KGN52440 pep chromosome:ASM407v2:5:26082649:26083692:1 gene:Csa_5G634360 transcript:KGN52440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDPEGCPRSPNLRIKNIKTLHRHPSPYISISLLSVLHINFYNFLSPILRFPFYSRSLTLSFFFANFLLHLSSCFSPPW >KGN50845 pep chromosome:ASM407v2:5:11922226:11925866:-1 gene:Csa_5G286090 transcript:KGN50845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTVEPSNSRKYGVPFYAAAWLPSASLQSKHQPSQSQDPPHQSPDPDISSADNKSLPSHPASYYVAAAGGGGEGRSGISNALILAHFDFESRSLSDHPVAKYGTGSDLPYRMAIHPAGDGIICSLPKSCSLFKVDTEKDAGDETLGLKLSQEVLSPLEDVGQQLSLAFNNEGSLLATGGEDGNLRVLKWPSLDIVLNEPSSHSSVKDLDFSPDGKYLVSLGGPCRVWDITSSTLVTSLPKENVSK >KGN51904 pep chromosome:ASM407v2:5:22642543:22644673:-1 gene:Csa_5G604980 transcript:KGN51904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHVSFYRNYGKTFKKPRRPYEKERLDAELKLVGEYGLRCKRELWRVQYALSRIRNNARMLLTLDEKNPRRIFEGEALLRRMNRYGLLDESQNKLDYVLALTVENFLERRLQTLVFKSGMAKSIHHARVLIRQKHIRVGRQVVNIPSFMVRVDSQKHIDFSLTSPFGGGRPGRVKRKNQKAAAKKAAGGDGDEEDED >KGN51229 pep chromosome:ASM407v2:5:17413709:17414757:1 gene:Csa_5G495960 transcript:KGN51229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILAYKESYNRVEDTDSSIIINQHPHPHPHQHHEQQQEEEDEEEDEEESVETLSLCDLPIYSDESNCDDYQSASFDDHNQEDDTFFEFFSHDFSVANSSYSGSDNIIFCGKLIPYKQPSDSQIKPSDNFSGKKSSVSDTRSGPGGIKSFDPFSISLTNNSIPEYIKRPKRKWKLQKYELPEERAMILQSSPMKSRWFLFLFGSARFPKEMELSEMRIRQRRSMRLPEQRQPSSEERKVEKGRSKGKKTTTFQALCKHIKVENAVKVVRIALRCTTKIPSTRPFMQMVVHMLEEAEPCKN >KGN50129 pep chromosome:ASM407v2:5:5253584:5257904:-1 gene:Csa_5G154910 transcript:KGN50129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAGTLEVLLVSAKGLENTDYLCNMDPYVTLTCRSQEQKSSVASGKGSDPEWNETFLFTISEGAEELILKISDSDTGTQDDFVGQVKIPLEPVYLEGSLPETAYNVVKDEEYRGEIKIRLKFSPEERTERNFQVEETYGGWKQSSFAD >KGN52561 pep chromosome:ASM407v2:5:26958379:26958636:1 gene:Csa_5G643890 transcript:KGN52561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCELNEDWLQQVAPTFCKTAPTIIHRRIFLGLPSGLQRFHFRLSGLGHRRKYHRLSERYELCFSVSAHLLMWGCHILSITAFGLF >KGN51917 pep chromosome:ASM407v2:5:22699129:22700190:1 gene:Csa_5G605110 transcript:KGN51917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQLHIKDNEVDIVIGAFHSDLTSFMNEWRPVFTRFHLIIVKDPELKEDLEIPDGFDLDVYTLQDINRIVGTSNSIKFSGYSCRYFGYLVSRKKYVISVDDDCVPAKDDKGLLIDIVEQHLLNLSTPATPFFFNTLYDPFRKGADFVRGYPFSLRSGVACSLSCGLWLNLADYDAPTQALKPSLRNTRIVDAVLTIPVGAMLPVSGINIAFDREVVGPALCPALRLAGEGKFRWETMEDIWCGLCVKVTCDHLKLGVKSGLPYVWRNERGNAIESLKKEWEGVKLMEEVVPFFQTLRLPEAAVTADACFLEIAKVVREQLGRSNPMFARVAEAMVEWVEIWKKVGSGPLPDDN >KGN52196 pep chromosome:ASM407v2:5:24232799:24233498:1 gene:Csa_5G615190 transcript:KGN52196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFLSISSLAVIVIAPENLPSPSIAPNSVPRLILEFRVSVLCFTTEGSAAENIEGPESWKVAELDEAVRQRNSILEVLNILPTVLLSQIIRFLLKCLKILSVRLIGS >KGN52547 pep chromosome:ASM407v2:5:26885818:26886280:-1 gene:Csa_5G643260 transcript:KGN52547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSSQKMSYQAGEAKGQVEEKASNLMDNANNAAQSAKETIQEAGQQVMAKAQGAAEAIKDATGMNK >KGN52227 pep chromosome:ASM407v2:5:24497216:24498167:-1 gene:Csa_5G622440 transcript:KGN52227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTKAAGLVILVLLMLDLALAARFFRGYGGGSGGGGGGGEGSGPWSGSGYGSGYGSGYGSGYGGEEGYSRYGDEGRYGPYGPYSGGGGGGGGGGGGGGGSAIGAEYGRGFGAGSGSGYGSGGGVGRGGGGGGGSGGGGGGGSGIGSGSGYGSGYGSGGGYGSGGGRGGGGGGGGGGGGGGSGYGSGYGSGYGGGGDEESP >KGN50379 pep chromosome:ASM407v2:5:6815299:6818557:-1 gene:Csa_5G171130 transcript:KGN50379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPISFFLISFLIFISNPLGILGNEELQALMDLKAALDPDNQYLASWTANGDPCSSFEGIGCNEKGQVTNMSLQGKGLSGKLSPAIAGLKHLTGLYLHYNSLFGDIPKEIANLTLLSDLYLNVNNFSGEIPSEIGNMESLQVLQLCYNQLSGSIPTQLSSLKKLTVIALQTNQLTGAIPASLGRLDLLVRVDLSSNHLFGSVPSRLADAPSLEVLDVRNNTLSGNVPPALKRLNEGFLYENNLGLCGVGFPSLKDCAGSSHVNQNQPEPFAGSAGSMPTRDIPETANVQLPCNHTRCPSSSKSRNASIVGVVVVTIALSAIGILTFTQYRRRKQKLGSSFDICDHRLSTDQAKATYRKNGSPLVSLEYANGWDPLADGQGLSIFAQEVFQSFRFNLEEVETATQYFSEVNLLGKSNFSATYKGILRDGSVVAVKSICKTSCKSEEAEFLKGLNLLTSLRHENLVRLRGFCCSRGRGECFLIYDFVPNGNLLRYLDVKDGDGQVLEWSTRVSIIRGIAKGVAYLHKNEANKPALVHQNISAEKVLIDQRFNPLLSDSGLQKLLTNDIVFSELKASAARGYLAPEYTTTGRFTERSDVYAFGVLVFQILSGTRKITSSLRGAAEACRYTELLDSKLHGRFFEYEAAKLCRIALLCTHESQSERPSMEAIVQELVTCSSCL >KGN51550 pep chromosome:ASM407v2:5:20359839:20366892:1 gene:Csa_5G577410 transcript:KGN51550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDTASRPTSAADSYIGSLISLTSKSEIRYEGVLYNINTEESSIGLRNVRSFGTEGRKKDGPHVPPSDKVFEYILFRGSDIKDLQVKSSPPVQPAAPINNDPAIIQSHYPPSVSTSTNMHSAISGSLPDHTSNTAFGFPQSNFQGGLPPYQPGANLGTWGASPPPPPSANGSGLAMPMYWQGYYGPPNGLPQLHQQSVVRPPPGLSMPPSLQQSMQYPNINVSLPTGASKQPEVPSPLLSGSSSSSPNLTSAVVPPPTFSTALPMFPFTSISETLPSSVGNKTAVHTLSGAPVSVSLPGGPILSSFSGADVSTAIPPISNEPNAVSGSSLLYQTVSQSTSSVVGISNSRAESSVPSLVTPGQLLQSGPVAVVSSQPLHAVHKDVEVVQSSSLEPSKPVTTEAQPPILPLPVLSRPIQKPNGSHFQARNYYRGRGRGSGSSRPVTKFTEDFDFTAMNEKFNKDEVWGNLGKGNKSHLKDKDVDGKVSDEDDLQEEDEGELSQSGNKQLYNKDDFFDSLSYNAIDNDPQNGRTRYSEQVKIDTETFGDFPRYRGGRGGRGPGRGGYFRGGYHGRGYGYNGRGRGRGQGQGQGQGRSSYYRS >KGN52191 pep chromosome:ASM407v2:5:24218204:24218890:1 gene:Csa_5G614670 transcript:KGN52191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVRADFDVGGVNVPHFHPRATEVAVVLEGKVYSGFVDTNNQIFAKVIEKGEVMVFPRGLVHFQMNVGEIPATIIGSFDSQNPGLMKIPSAVFGSGIKEELLEKAFGLSPEELVKLKKRHAPH >KGN50898 pep chromosome:ASM407v2:5:12917490:12920263:1 gene:Csa_5G318390 transcript:KGN50898 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP dependent ligase MEDLKPRPANSFPLTPIGFIERAAIIYGDSTSIIYNSTTYTWSETHRRCLQLASSISSFGIQRGHVVSVFAPNTPAMYELHFAVPMAGAILNTINLRLDTRTISILLRHSESKLIFVDQPSCALIFDALALFPPDMKRPLLVLITDDTAEQDSSLPSVVSIRDNFVDSYENMVKSGDSKFQWIRPLSEWDPIVLNYTSGTTSAPKGVVNCHRGVFTVALDSILEWGVPKQSVYLWTLPMFHGNGWSFPWGIAAVGGTNVCIRKFDSRLIFSLIRRHHVTHLCGAPVVLNMLTNSPDNLPLDRPVKILTAGAPPPASVLLRTESLGFVVTHGYGLTESAGTIICCSWKSEWNQLPAIEQARLKARQGVRMLAVEAVDVLDPETRKSVKRDGASIGEIVVRGPSLMLGYLKDTEATSKAMSEDGWFFTGDVGVMHPDGYIEIKDRSKDVIISGGENLSSVEVESVLYMNPMVNEAAVVARPDEFWGETPCAFISLKEGLSRKPTEEEIIEYCKGKLPKFMVPKTVIFMTEMPKTATGKFQKFVLRQKAKSLGPPQKSRL >KGN51590 pep chromosome:ASM407v2:5:20651448:20654429:1 gene:Csa_5G582230 transcript:KGN51590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLEEGGEKVMAIQDGSRKFSWNAAMGIVWVLRNSPFRKGDEITLLVVLHQVNNPMGHKQRVDSNSMLGLNENLIKKESARKMEEYTNNEGMHEIMDLCELKKIRFKVEVHAGPSAKKVALEAAKNYKPTWIILDR >KGN52445 pep chromosome:ASM407v2:5:26138224:26141228:-1 gene:Csa_5G635400 transcript:KGN52445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFFLILIISLQFLQINSSSKTFNVLDYGAVGDGNTEDTQAFLDAWKAACKSSNSKTSPIMLVPPGKSFLLQPFTLDGYDCKSTKTNIISIVIDGELIAPKDRWAWKYEGKQCRQWILLKHMKGLVVKGSGTINAQGNTWWDLCTNEEKVCTRRPTSLILEDSEKVHIKNIAFKDSPQMHMAIENSKYVFVKNLYIEAPGDSPNTDGIHIQHSRKISITSSSIRTGDDCISIGDGSYRINISKIACGPGHGISIGSLGKHGNHEKVEFIHVKDVTFTDTTNGVRIKTWQGGSGYARNIIFEGIVSRGSLNPIIIDQFYCDHEKCKNQTSAVKVSNVLYNRVSGTSKSKIAVNLACSETEPCRNILMKDIKLKSIIEDEEEEDITSSYCKNVVDGHKDGSVIPNVPCLKQTN >KGN49624 pep chromosome:ASM407v2:5:1023973:1024203:1 gene:Csa_5G030950 transcript:KGN49624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGTPPRSGRRQASVGLRLRRKKKTEMMKTNWSTTLTTPEAETHQRERQRSYVQTNHGARLCVSSVEKQRQSAVSA >KGN51282 pep chromosome:ASM407v2:5:17864875:17875239:-1 gene:Csa_5G512890 transcript:KGN51282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEKEKEKDSDELTLKSMPSNSKPTKIKASDGKEEGEVSSSDNDTQTHDVHPVCSTVPASIASRISSILPPKNKCNPGIKTASADVCTRTSISTMSQKIRDNAQIVNKASTPWVASRKANSNLVISFSDDSGSELEECSKVRTSKSHSDAVRHFKPPTSILDRSNKLRSMTRNKVVVNKLPLSQAFIPSMTKNHKAYSKGAAGPSFAEQGSKIRAFSGNLQSQGRGNDQGMNVNTSKLQDLRQQIAIRESKLKLKSAQQNKERVLVTNQDYIVTNSKSDLGRKGNATISQFPPLGPKDLNAKRMKTSGSYSSKLSLNGQQLRSLIAAKFIWPQEPGEETQNIKGSYNQKGKSLSREESSVLKQSKEDIKHVAASPSLGIDLGKVQDDTDIVANGNQSDFIGNQVDPHPLVVLDQATALPNVASNVQSQFDNVEFHRQSDGLQPSASTAKFFERTPPQSASNVKTPEPCSNFFKSLINSKTSGTAFGNPSSCLDFGNFDLQSLFEIEESLDKDLEEAQDCRRQCEIEERNAFKIYSRAQRALIEANSRCVELYHKRELFSVHFHSFCMNNPGSVSSSRQQEDMIIDVDHLNSMSGHANIASPLYQKHSEYNSSTRLHNDLNMQLENAGAINTSNLHENGQSLGSEPGSCSDLGGNTLDPLPFKGNNIADRIFSPSVDPNVSMDGDEESFPSDHEMIDSYNECYMRKKHFENDQMEAYNTSKNNHCDNNIEDSLRLEAKLRSELFARLGTRNLSKACNPCNNLQTSVEQGTENDARDDITQQNNTELTVDLAVGSDVDLISKKNESALLSGKGDQQFGFGGTDRCKTPDEIHGRYHFENLPSEAPDLTDSDDNEPFSREGSCSKTTNSFTPLTMNSVLQHMKVISSVSIEVLLTRTHGSLSNLGFPEDGDSLEVDQIHWRKLKENSVHEIARPMLQSDGSYTDDLAIDPSWPLCMYELRGKCNNDECPWQHMKDFSFANRSQCQHGHINSSDETKVFKNEDQMTPPTYLVGIDILKADSRSYGHVLAQRSSQCWQSFFSISLTLPNLLQKDASADGLFLHDARIEAKGSWNRPSSYFQRGGSVLSQLKQGDENLALETALIIINQEMNSREGMKKALPVLSRAVENNPKSIALWAVYLLIFYSYTTTGGKDDMFSYAVKHNGQSYELWLMYINSRMNLDARLAAYDSAISALCHNIFTHNLDGKYASAHILDLILQMTNCLCMSGNVEKAIQRIFGLLQVAMDSDEPYSFTHSDMLTCLNISDKCIFWVSVVYLVLYRKLPHAIVQQLECEKELIEIEWPAVHLTNGEKLRASRVVKKAVDFVDSCLNNESLDSKCYQKSIQMFAVNHIRCLMAFEDIEFSRNLLDKYVKLYPSCPELLLLDIRARKHDFGDATVMAFEKVIRYWPKEVPGVQCIWNQYAEYLLRNGRIKCTEELMARRFDSTSKMDCSKTRTPVNSDCDSLHLLDHASGSIVRALDCSPNEVDVVFWYLNHSVHKLLLNDQLEARLAFENALRAASSETFRYCMREYAMFLLTDESLLNEAASVGGIRSILEGYLNDARAFPVPEPLSRRFIKDIRKPRVRLLVSNMLSPISPDVSLVNCILEVWYGPSLLPQKFNKPKELVDFVETILEILPSNYQLVLSVCKQLCNDDNYSSQAASPSLIFWACSNLIIAIFSSVPIPPEFIWVEAANILANVKGLEAITERFHKRALSVYPFSVQLWKSYYNICRTRGDTSAVLQEVNERGIQLNEPS >KGN52008 pep chromosome:ASM407v2:5:23131971:23135990:-1 gene:Csa_5G607470 transcript:KGN52008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIGDRDKFRLESSIEDTINCSNSMSSDWQFGETTLTTMPTGLVLPGNVDVVRNQDMVGCSSSTASMVESFSSTIWEQSAHCQNLGISNIQGHNISNTSNIIGISKASGCPVSSSSDIDRTLDISWNLSHNMLKGGVYLPNFPGMLPPSLSQLPADSSFIERAARLSCFSGGNFGGDVGHFNVPDPVGVFSRGMGVIPERWDEIPRNGLGLASGTGGQSQRNVVNSESSMPVCLTNEHGATERDSPKNGSPLKLDGRGKLAVDGSANESDEAECSGGDGLGEPCTLEATGKELSTKGLGTRKRKRSGQKTELDQANGPLQQTTVSPKDDAETQRKRDQNPNSTANKGTGKHGKQASQPSDPPKEEYIHVRARRGQATNSHSLAERVRREKISERMKFLQELVPGCSKVTGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPRLDINIDGVVAKDILQSRVGPLSTLGFSSHMPVACPPPHISHHELIPTSFPAIGSSEMLRSTMNSHMTPRSGGFKNPSQIKNVWEGELQNLVQMSFGMPTPPNCLEVEGSDSSGRTKVEH >KGN51173 pep chromosome:ASM407v2:5:16691014:16694860:-1 gene:Csa_5G472100 transcript:KGN51173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKDSKPKDAGGKGKGKAKQGASGADESASKGKGKSGKAASDGLGTCTYVKARHILSEKQGKINEAYKKLQDGWLSNGDKVPPAEFAKLAAEYSECPSGKKGGDLGWFPRGKMAGPFQEVAFATQVGVTSAPFKSTYVLSPTSLLLSIVAIDFSILIFLYAHLLFIFAQYSKPK >KGN50382 pep chromosome:ASM407v2:5:6834277:6839099:-1 gene:Csa_5G171150 transcript:KGN50382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMGLRPYTQRTGNGLGSPSFDVDQGEVLMHVLPAVGIVFDNRPPEFPGTLYISSRQVVWLSDVIMAKGYAVDFLSMSLHAVSTDPEAYTSPCLYVQIDTGDDEELENSDSECHGEESENFDLAAVREMRLVPSNPNELETLFEIFCQCAELNPEPTGDEEEEEHNWFFGADQLEQMPGLSVAGDDEEQFSSIPPSSIGHSNGDHDQLARTVLQLQINDRRFEDADEMEPENNGKHC >KGN51950 pep chromosome:ASM407v2:5:22839278:22844991:-1 gene:Csa_5G606410 transcript:KGN51950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFEHSGTPAGSPSPGSTGGRGNISSSSASGTSKEKQKEKARVSRTSLILWHAHQNDPSAVRKLLEEDQSLVHARDYDSRTPLHVASLHGWIDVAKCLIEHGADVNAQDRWKNTPLADAEGAKKHGMIELLKSYGGLSYGQNGSHFEPKPVPPPLPNKCDWEIDPSELDFSNSAIIGKGSFGEILKAYWRGTPVAVKRILPSLSDDRLVIQDFRHEVNLLVKLRHPNIVQFLGAVTEKKPLMLITEYLRGGDLHQYLKDKGSLSPATAINFALDIARGMAYLHNEPNVIIHRDLKPRNVLLVNSGADHLKVGDFGLSKLIKVQNSHDVYKMTGETGSYRYMAPEVFKHRKYDKKVDVFSFAMILYEMLEGDPPLANYEPYEAAKYVAEGHRPMFRAKGYLPVLRELTEECWASDMNKRPSFLEILKRLEKIKENLPTDHHWNLFNA >KGN51705 pep chromosome:ASM407v2:5:21389915:21391325:-1 gene:Csa_5G590220 transcript:KGN51705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEIEIVSKETIKPSSPTPEHLRRYSLSFLDQVTVDVYNPMVYFYPAGGSATPAEISDHLKTSLSHVLTHYYPLAGKVNYDEFFIDCNDNGVPFIETKINCRLSDVMNTPFPSEVNKFLPFELDQLDEVSMGVQLNVFECGGVVIGICVSHKISDALSLFIVVNEWAAYCRGEKEVVRAHLSSAELFPPTKTGLYNTRTSIFRQRVARRYEIDGENVESIRAKYAECSAMENQRRPSRVEALSAFIYSRFIAAIKAVSSSELENGKSGSEKKIFLVCHSVNIRSRLDPPVVDYAFGNYYRTTFAVPSENILNDNYCYDLVKQARNEIGKIDKDYLKRLQEGSKFLDSMKKTATQFSTGELVSCSFTSLCRMPIYDADFGWGKPAWISSPALMFKNLFVFIDKKDGDGVDIYVHLKEEHMNKFEVDEEFLKYAKLPSN >KGN49597 pep chromosome:ASM407v2:5:701712:704662:-1 gene:Csa_5G021300 transcript:KGN49597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMAKNDDEPELHSSGKRLKCSKHDDEQYHLGNEEEEEEQVTELPLKPGLFFYPMAPTSFVVSDALEPDFPIIYVNKVFEIFTGYRADEVLGQNCRFLQYRDPRAQRRHPLVDPVVVSEIRRCLEEGVEFQGELLNFRKDGTPMVNRLRLAPIHDDDGTVTHIIGIQVFSETKIDLNRLSYPVFKENCAIKYDLSGKSAHLIDQSPFGHHKEICGILQLSDEVLAHNILSRLTPRDVASVGSVCRRIRQLTKNEHLRKMVCQNAWGREVTGTLEQMTKKLGWGRLARELTTLEAVCWRKMTVGGAVEPLRCNFSACAAGNRLVLFGGEGVNMQPMDDTFVLNLDAANPEWRRVSVKSSPPGRWGHTLSCLNGSWLVVFGGCGSQGLLNDVFVLDLDAQQPTWKEISGGAPPLPRSWHSSCMIEGSKLVVSGGCTDAGVLLSDTYLLDLTTDKPTWREIPTSGTPPSRLGHSLSVYGRTKILMFGGLAKSGHLRLRSGEAYTIDLEEEEPQWRQLECSAFTGIGGQSAVVPPPRLDHVAVSMPCGRIIIFGGSIAGLHSPSQLFLLDPAEEKPSWRILNVPGQPPKFAWGHSTCVVGGTRVLVLGGHTGEEWILNELHELCLASRQDSDL >KGN50119 pep chromosome:ASM407v2:5:5199474:5204704:-1 gene:Csa_5G154810 transcript:KGN50119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKSARWRSEKNKVKAEFKLQFYVTKVSQSVVDALTLSVVPGDVGKPTARLDKVTVRDGSCKWETPVYETVKFARDTKSGKINEKIYYFLVSMGRAKSKVFGEVSINLADYADATKSSSVSLPLKNSNSDAVLHVLIQKLQAKIEPREVEDFDNVSVKSQETNLKSYLSNGELDESTKNNCTEDEQIGKNPRDFELNGDCRESSGSDITLSSSESSSGLDTPREHSARNNNHLQLVTLSSQPHKPEAFLSTSTNKENHRSQSMWSLGSDHGVSIDESSDDMPPIKRSGLVTTSEKVADIEIEKLKAELVGFSRQAEVSELELQTLRKQIVKESKRGQDLSKEIVILKEERDSLRAEYEKLKAKSKNNVEFEDKEIEALLEEMKEELNKEKELNSNLRLQLQKTQKSNDELILAMRDLEEMLEQKNGDRLRLYDRSRFSENAEEFYNSISKCESEDDEEQKALEKLVKQHSNANETFLLEQKVVDLYSEVEFYKREKDELEMHMEQLALDYEILKQENHGMSYKLEQCELQEKLDMKEECTSSATIVELETHIEHLDRELKQRSKDFSDSLSTIKELESHIQALEEELEQQAEKFIGDLEDMTRAKIEQERRAILAEEDLRKTRWRNANTAERLQEELKRLSMQIASTFNANEKVAAKAVAESIELQLQKIQLDEKLASANKDLQSVKREHEAKLCELKNVVDLQTSQIEHMFLELHTKSKLLDQQEIQKEVFESLSREILLLKYEVERLTTENRFLKESESLIQNENMERNDLVTTIALIMKAGEKFQIEINRIRHQKDEHEISMGCLQTELEVLRDHYSDLKHSLVEGEIEKDKLRHQVFQLNDDLKKAKEFNGVDMLWYSEEQTSACDGTEAIKESNKSTPCQSSSKEVAALREKIELLERQISLKEDAIETLASRISEKAVDFQHTIEELECKLEEVAPTSSFQEVNIYPSSVERTGDSPNDTVVNQGQNPISSSSVECGNTVSVERNDRISAETELKACKLDDSDNNCDNFSTELALLREKNKLMESELKEMQERYSEISLKFAEVEGERQQLVMTLRSLKNYKKI >KGN51040 pep chromosome:ASM407v2:5:15046366:15046981:-1 gene:Csa_5G416270 transcript:KGN51040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNYISCTLSTSIGGKSSTSSTTTVIFPSGQIRHFHESLKAAELMFEIPNFFLVNSQSLHLGRRFSALMADEDLEMGNLYLMFPMNKVNSVVSVADMGALFLAAERVSGGKKRRIVGGGDSTVCVWPEVETEESKPKLKLDGGDDEDDDDLEGFSPVPEFAHRRSMCRSRKPLLETIAEEPICSR >KGN51400 pep chromosome:ASM407v2:5:18729480:18738470:-1 gene:Csa_5G527880 transcript:KGN51400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLNLVLSVAVYNHYKRIYHSSLQKTSGQGPLGIELENDDNETVELEKSNLLLMGPTGSGKTLLAKTLARVVNVPFTIADATALTQAGYVGEDVESILYKLLLDAEFNVEAAQRGIVYIDEVDKITKKSESLNSGRDVSGEGVQQALLKMLEGTVVDVPDTGARKHPRGDTIQVELNCNLGFHVISARIQLENGK >KGN49698 pep chromosome:ASM407v2:5:2249408:2250403:1 gene:Csa_5G075690 transcript:KGN49698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWLWIWILIIISLLIPLCILGINYKKLPPGPKGFPIFGSLHLLGNLPHRDLHKLSQKYGPIMHIKLGIIPTIIVSSPNAAELFLKTYDHVFASRPHTNASNYLFYGQKNFGFSKYGSYWRNMRKMCTHELLSNQKVTTFEPMRSNEVGLLVENLKEAARTQVVVNLSSKVLCVVRDMTCLMVFGKKFVEEEMIMDEKSFHDAVKEVVQLVATPNLCDFIPCVAWFDLQGINGRAKHLCNMFDGFLEKIIEEHLDQFKIANKNQDFVDVLLGLMGSQNNEYKIDRSTIKALILVSTLIKVHIYMYIISMILLVSHDSGCCIYLEVQLCNLI >KGN50335 pep chromosome:ASM407v2:5:6580719:6583628:1 gene:Csa_5G168740 transcript:KGN50335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKLVSNKTSVFALLCVLWMILLLLQLQFCLSITAACIQNEREALLQFKNSFYDDPSHRLASWNDGTDCCNWKGVSCNQTTGHVTIIDLRRELRQVDFYPSPLFSYNSIDSSLFELKCLTYLDLSGNNFIYTKIPKFLGSMVELTYLNLSNAYFSGKVPPHLGNLTKLDTLDLSFNLLETNGDVEWISHLSSLKFLWLRGMDFSKASNLMQVLNYLPSLVSLRLSECNLQNIHFSSSSWLNYSSLFLSRIQLLDLSSNQLNGPVPAAFQNTTSLKYLDLSNNQFNAIFHGGISTFIQNNFGLKVLDLSFNYDLGGDVFGSSYENQSTGCDLEVLNLGYTSLITKIPDWLGKLKNMKSLALGYSHIYGPIPTSLGNLSSLEYLDLSGNALTGAIPNSIRRLLNLRKLYLQGNKLVEVDSECFIQLEKLEELDISRNLLKGILTELHFGNLYQLHTLSIGYNELLYLDVKSNWNPPFQLQVFDASSCIGCFRSEFPPWLQTQKRLVELWLSNTSLSISCIPTWFKPQNLTNLDLSHNEMTGPFFNSFANQMPNLVRLFINDNLINDSLLSPLCQLKNLNTLDLSNNLLSGIVQGCLLTTTLVVLDLSSNNFSGTFPYSHGNDLLDIEVLHLENNNFVGSMPIVLKNSKFLETLDIEGNKFSGNIPTWVGDNLQSLKILILRSNLFNGTIPPSICNLTDLQILDLAHNQLDGIIPSKLSNFDVMTRRNTNGFTVICRSSDVEHGVICPDVGFWGVVGSLTLKKSWRYAYFKFVEEANYEVHATIWMTIQLLKGRK >KGN52495 pep chromosome:ASM407v2:5:26537811:26539115:1 gene:Csa_5G638340 transcript:KGN52495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEACFVTSKPTSFPIHTRRSPTPSSLKISCCQFRSPLSGGEEDDWRSFRAKLIATQKLSTPQISSSFVDLDTVVDHPPSVSLGEKWAHVIHGPEKGCLLIATEKLDGVHIFERTVILLLNNGQLGPSGIILNRPSLMSIKETRSTALDVAGTFSEQALYFGGPLEGGVFLVSPKTNGEDGVGKSGVFEEVMKGMYYGTKESVGCAAEMVKRNLVGAEDFRFFDGYCGWEKDQLKDEIKAGYWTVAACSPNLIQMDVGNVGLWDNLLSLLGPKKVW >KGN50358 pep chromosome:ASM407v2:5:6689177:6689706:1 gene:Csa_5G168950 transcript:KGN50358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKMASYKKHLIILATLLYLMLFLSTYGAARILDLNGSMKVREIKVIVVGRRIVRRDLAHGGNYGPGVQ >KGN52550 pep chromosome:ASM407v2:5:26895797:26896981:1 gene:Csa_5G643290 transcript:KGN52550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLECKRNINLGLQLPPNVVEVILPKLTISDLPACRLVSRTWNHLILNYASSSKFIPNLFLAASYEIHYSRNCNCNPHCRPTFKCNPKMYCIDINRTNNLIASFVFDNNDWPYKIKILNSCNGLLYIHKVGDENFCNGILNPMTNEFFILSTQPKVKNEDISCFYGFGFNLKTKQYKLFKAIHDEEDSHLMMEVMRFGKNEETKEWRHLTCLPFVFYCDGVYLNGVIYWIGRQKENKSVIYSLNVETEKIESITVLKVDPSYNTSDYGYYIGKYNGNLYATIWIHGTCCKKVQLWMMQGKDCWVKEFVVHDIEDRYVDAFLVLVKIFEDGERWFYVGSRLILCYDKTGTQIKKKCRMKRVSNGRGNLVGQLCHFDSLNFGSLPNILDGHNELN >KGN49990 pep chromosome:ASM407v2:5:4309331:4314393:-1 gene:Csa_5G148610 transcript:KGN49990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEESSSQITSPLIPKHEQHQQQQQASSSSSISPPPVPEISESDENSPIPQVALTVPTTDDPSLPVLTFRMWFLGTLSCVLLSFLNQFFWYRKEPLSITAISAQIAVVPLGRLMASKITDRVFFKGKRWEFSLNPGPFNVKEHVLITIFANSGAGSVYAIHVVTVVKTFYKQHLTFFVSLIVVVTTQVLGFGWAGIFRKYLVEPAAMWWPSNLVQVSLFRALHEKEERIKGSLSRTQFFVIAFICSFAYYVIPGYLFQMLISLSWVCWIFPTSILAQQLGSGLYGLGLGSIGLDWSTISSYLGSPLASPWFATANVAVGFGFIMYILTPICYWFNVYKAQTFPMFSDGLFTGKGQKYNISSIIDSNFHLDFAAYETQGRLYISTFFAVTYGVGFAALSATIVHVALFHGREIWEQSKSSFEEKKMDIHTKLMRKYKQVPEWWFVLILLGNIALTIFACEYYNDQLQLPWWGVILACSIAVFFTLPIGIITAITNQAPGLNIITEYIIGYIYPGYPVANMCFKVYGYISMTQAITFLQDFKLGHYMKIPPRTMFMAQVVGTLIASFVYLGTAWWLLETIPDICSSSQSFWTCPSDRVFYDASVIWGLIGPRRIFGDLGFYSSVNWFFVVGAIAPVLVWLAIKAFPQHEWIKLINMPVLIGATGYMPPATAVNYITWILVGFLSGFVVYRYKPEWWKRHNYVLSGALDAGLAFMGVLLYFTLGSQEITLDWWGNELDGCPLAQCPTAPGIAVEGCPLFT >KGN50577 pep chromosome:ASM407v2:5:8326832:8331380:1 gene:Csa_5G184280 transcript:KGN50577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSRPELQAPPEIFYNDAEARKYTSSSRIIEIQAKITDRALELLALPDDGVPRLLLDIGCGSGLSGETLSEHGHQWIGLDISQSMLNVALERETDGDLLLGDMGQGLGIRPGVVDGAISISAVQWLCNADKSSHNPRLRLKAFFESLYKCLARGARAVLQVYPENVHQRELILGFAMRAGFAGGVVVDYPHSARSRKEYLVLTCGPPSISATVPKGKDGDYESCSDDDIAEDDENQTVRMAAPRKRQKITKRGKGREWVLKKKEQMRRKGNIVPPDSKYTARKRKARF >KGN51490 pep chromosome:ASM407v2:5:19857564:19857743:1 gene:Csa_5G569370 transcript:KGN51490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFEFGLKNVKLGFGRVTALTMESDRNLHYREEIVLTRRMGGSSTWSLSPSLPKKKKKK >KGN50946 pep chromosome:ASM407v2:5:13607122:13611976:-1 gene:Csa_5G353650 transcript:KGN50946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVDEAGSSSLWSLEQDKAFENALASHPEDDSDRWEKIAVDVPGKTIEEIKHHYELLVEDVNLIESGCVPLPSYSSSSDGSANHAGDEGTTKKNGHFGNCNGDSNHGSKTSRSDQERRKGIAWTEDEHRLFLLGLDKYGKGDWRSISRNFVVTRTPTQVASHAQKYFIRLNSMNKERRRSSIHDITSVANGDISAAQGPITGQANGSGAAPSGKPTKQPPQSAAGPPGVGMYGGPTMGQPVGGPLVSAVGTPVSLPAPAHMAYGVRAPVPGTVVPGAPVNMGPMTYPMPPTSAHR >KGN50745 pep chromosome:ASM407v2:5:10175953:10178850:-1 gene:Csa_5G223040 transcript:KGN50745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRREFFNLVAFSNGYVQIIFALLILSCSSIPQVLSSIHQYQNESFIHRSNSFFFHGGNEALYASNAHPLSSNSTDSAHQLLDGISFIRFESITFRRTKESAEQKNEMQQKTGLIEAIIVEVKDRTKIGGAFLNSDLICCTQKLASNGSCKVGEVIIKQDSDYPNGPRRIQTFFEGISEEAKMEPTTIELNKTGMYYLYFMFCDPQLRGTLISGRTVWRNPDGYLPGKMAPMMTFFGYMSFSYLILGLVWFLWFVRYWKDVMQLHYHITGVIGLGMCEMALWYFEYSNFNSSGNRPMGITIWAVTFSAIKKTVSRLLLLVVSMGYGVVRPTLGGITTRVILLGVLYFLASEALELVEHLGNVNDFSGKTRFFLVLPVAVLDACFILWIFSSLSKTLEKLQVRKSMAKLELYRKFTNCLAVTVLVSIVWIGYELYFNAADPLSELWRRAWIIPAFWVLLSFVLLLIVCVLWAPSHNPLARFAYSEDTGDDVDEDVVALTGAGIKVAPDLTNKLERKERKAPSASDHTVFSHGGDLEEDKRE >KGN52656 pep chromosome:ASM407v2:5:27561072:27568129:1 gene:Csa_5G649250 transcript:KGN52656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFANPNPNKSFEVAQPPSDSVSSLSFSPKANHLVATSWDNQVRCWEIMQNAGNLASTPKASISHDQPVLCSAWKDDGTTVFSGGCDKQVKMWPLLSGGQAMTVAMHDAPVKEVAWIPELNLLVSGSWDKTLKYWDTRQPNPVHTQQLPDRCYSMAVRHPLMVVGTADRNLIVFNLQNPQSEFKRIVSPLKYQTRCVAAFPDQQGFLVGSIEGRVGVHHLDESMANKNFTFKCHRDGSEIYSVNSLNFHPVHHTFATAGSDGAFNFWDKDSKQRLKAMSRCSQPISCSTFNQDGSIFAYSVCYDWSKGAENHNPATAKTYIYLHLPQEVEVKGKPRVGGGGRK >KGN52658 pep chromosome:ASM407v2:5:27573900:27586536:1 gene:Csa_5G649280 transcript:KGN52658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSYVLSHSSHLLSPQTAPPVEFSPKPILYSHSKCRQPISLFRSKLHRMALVCQRARRGSQSKKICASIADVSLEKSTENVQLPKGDVWSVHKFGGTCVGSSERISNVAEIVVNDDSERKLVVVSAMAKVTDMMYDLINKAQSRDESYVSALDAVLEKHKSTAHDLLDGDELASFLSQLHHDINNLKAMLRAIYIAGHAMESFTDFVVGHGELWSASMLSAVIRKRGLDCKWMDTREVLIVNPTSSNQVDPDFLESERRLEQWYSKNSSKIIIATGFIASTHENIPTTLKRDGSDFSAAIMGALLTSRQVTIWTDVDGVYSADPRKVKEAVVLKTLSYQEAWEMSYFGANVLHPRTIIPVMRYDIPIIIRNIFNLSAPGTMICRQPVDEESESLVSFVKGFATIDNVALVNVEGTGMAGVPGTANAIFGAVKDVGANVVMISQASSEHSVCFAVPEKEVKAVAEALKSRFRQALEAGRLSQVAVIPNCSILAAVGQRMASTPGVSATLFNALAKANINIRAIAQGCTEYNITVVVRREDCIKALRAVHSRFYLSRTTIAMGIIGPGLIGSTLLEQIKDQASVLKEDFNIDLRVMGIISSRTMLLCDEGIDLSNWQELQNERGEVADMERFVQHVHQNHFIPNTVLVDCTANPDIASNYYNWLRRGIHVITPNKRANSGPLDQYLKLRALQRQSYTHYFYEATVGAGLPIISTLRVLLETGDKILRIEGIFSGTLSYIFNNFTGDKSFSDIVSEAKQAGYTEPDPRDDLSGTDVARKVIILARESGLKLELADIPVENLVPEPLRASASAEEFMQQLPQFDADMTRKRQEAENAGEVLRYVGVVDVVNQKGFVEMQRYKDDHPFAQLSGSDNIIAFTTTRYRKQPLIVRGPGAGAQVTAGGIFSDILRLASYLGAPS >KGN51537 pep chromosome:ASM407v2:5:20218508:20222548:-1 gene:Csa_5G576790 transcript:KGN51537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGAGLQFGRGDDRFYNPTKARRVHQGRQKDQLRRAQSDVSAGQSLVVKQSAVSSVIRESECGDGCEELPKSIATSGFEPVVSSLSNLERFLQSIAPSVPAQYLAKTTMKGWRTCDMEFQPYFVLGDLWESFKEWSAYGAGVPLVLNDSDSVVQYYVPYLSGIQIYGESLKSSAKSRQPGEDSDSDFRDSSSDGSSDSEPERALKYMGKQLNHHRLSSELSRRMDNMSFRDQLIGLQEDCSSDEAESLNSRGQLLFEHLERDLPYSREPLADKISDLAFQFPELKTLRSCDLLPSSWFSVAWYPIYRIPTGPTLKDLDACFLTFHYLSSPIGGARSVQCPVVTYPSEIDGVPKMSLPVFGLASYKFRGSLWTPNGGYEWQLANSLLQDAEDWLRERQVNHPDFIFFSRR >KGN50245 pep chromosome:ASM407v2:5:6070574:6071104:-1 gene:Csa_5G161940 transcript:KGN50245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKSSSISSLGPGGLDLSQAFFKPIRDASPPSTANRNTKISVIGAGNVGMAIAQTILTQDLVDELVLVDAKPDKLRGEMLDLQHAAAFLPRTKISASTDYSITAGSDLCIVTGGARQIAGESRLNLLQRNVALFQKIVPPLVQFSPETILLIVSNPVDVLTYIAWKLSGFPSNRVI >KGN50457 pep chromosome:ASM407v2:5:7395786:7399592:1 gene:Csa_5G175810 transcript:KGN50457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSYEASRIVFSRIQNLDPENASKIMGLLLIQDHGEKEMIRLAFGPEALLHSVILKAKKDLSLPAVNSPSTPSTPSSSPSPFALSTNPISISRQSSSSSRLGISLPLSLTIPSPSSSSSVSWAAGFSSDLQTSDDHLISPGNLPLGSSCFAAGGAPASDMIDEFQLQDQLSFLNDGSPTIGVKNADLFFPPADLSSSPTGGGFGSYGGDATWGGGPVHRRSCSVNDACLGTEDLNCGLGWKPCLYFARGFCKNGTSCRFLHGGLGDSDVSAAAVGSPSKIDVMEQCHELLRSKSSAQQRLAAASQLMASANSFPYSPKSINFLLQQQQNDSQRAAAAAAAAAAALMMGEDLHKFSRSSRLERNEFSLNGSAGIINPASRQIYLTFPADSTFKEEDVSNYFSMYGPVQDVRIPYQQKRMFGFVTFVYPETVKLILAKGNPHFVCDARVLVKPYKEKGKVPDKYRKQQQIDRDFSPCGTPTGLDSRELYDHLQLGSRMFYNSHQDLLWRRKLEEQQADLQTLDLQSRRLLNLQLLDVKKNQLPHHHHRALSTGSPIPSPTHSPNPLFAQNLIFPSIRSSGSNSTSDILRENGATPVRTPPPVSVMASSTDMPRQPSPVDNGASATIGLNGNDKERSQIDDSDLLECFEHNLPDSPFASPAKATGDYTTNFSDIAAVGEAATDSDDASSSILTSTSSLEVATSFKSFNCQIPRFPSGHSPIGMYAGTGGPTCPVGI >KGN50525 pep chromosome:ASM407v2:5:7899255:7900402:-1 gene:Csa_5G180840 transcript:KGN50525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNVDVVPAAATPPAIFYCVTGSYCRGYVSYSCSAFCPSCSKNLSQACRYVTPPKAGNQPAPDRGFVKDLATYIVTDDLTVKHISDFSITTLLKKFNIKDVDSLEEKVITLDVNEGVELLEASLQSKTVLTNAFLKRRRSHIDNDVKLSGSLSPSV >KGN52356 pep chromosome:ASM407v2:5:25364797:25365903:1 gene:Csa_5G627130 transcript:KGN52356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHICLFAVPRNFRLLEELERGEKGIGDGTVSYGMDDPDDFLMQSWTGTIIGPPNTVHEGRIYQLKLFCGKDYPDNPPAVRFQSRINMTCVNPETGVVEKNILPMLAKWQREYTMEDILTQLKKEMMSSHNRKLAQPPEGAYLDLQSKLFIVLKRKVSVTRLQ >KGN49886 pep chromosome:ASM407v2:5:3674631:3677809:-1 gene:Csa_5G139640 transcript:KGN49886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRILKELKDLQRDPPTSCSAGPVAEDMFHWQATIMGPPDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRNKYETTARSWTQKYAMG >KGN51177 pep chromosome:ASM407v2:5:16772215:16772788:-1 gene:Csa_5G478360 transcript:KGN51177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRLKMGKGENESYLFSSNNFVGRQTWVFKANEGTHQEQAQIEEARLSYYQNRLNVPCSSDFLWQFQFLREKKFRQTIPKVRVNEGRDGDEEIRITKETASNAMRRATNLFAALQSDHGHWPAENSGPLFYFPPLVLY >KGN51768 pep chromosome:ASM407v2:5:21827771:21832518:1 gene:Csa_5G598760 transcript:KGN51768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANRPLRFSFKNPNTLLNSSRNPSSVPFSRTYAIGDSKIKNRIACEIKNFENSYHWTIESVPGTTDSFCSIQNSASTSTSIPMATASAAIAALELTSKNDAKKICLFFCPETKALAEKIADESDGIDLRSISWRKFEDGFPNIFIPNAQGIRGQHVAFLASFSSPSVIFEQLSVIYALPKLFISSFTLVLPFFPTGTFERMEDEGDVATAFTLARILSNIPISRGGPTSLVTFDIHALQERFYFGDNILPCFESGIPLLKNRLQQLPDSDNIAIAFPDDGAWKRFHNQLQHFPTIVCAKVREGDQRIVRLKEGEPKGRHVVIVDDLVQSGGTLRECQKVLAAHGASKISAYVTHGIFPNRSWQRFEHDNGGNPENGLTYFWITDSCPLTVKEVVNKAPFEVLSLAGSIAAALRI >KGN49926 pep chromosome:ASM407v2:5:3850969:3855622:1 gene:Csa_5G140510 transcript:KGN49926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGRITNPAMFAPHFPLLQLPSFCHHSLLPLLHGRLSAPVPVSVSKSSFRRANGDRHLLSSSSSSSYSNSTRNVKVRSQLRHPIIAADDYWGTWTALFAIGTLGIWSEKTKVGSTVSAALVSTLVGLAASNFGIIPYEAMPYSIVMEFLLPLSVPLLLFRADIRHIIRSTGTLLGVFLLGSVATIIGTVVAFLMVPMRSLGPDNWKVAAALMGSYIGGSVNYVAISEALGVSPSVLAAGVAADNVISALYFVALFALASRTPPEPLTSTDDASTDKDFDHGTKLPVLQTATAVVTSFAICKFVTWITNMCKIQGANLPGITAVVVILATILPKQFNYLAPAADTIALILMQVFFAVVGASGSIWYVINNTPSIFMFALVQVTVHLAIILCFGKLFRIDLKLLLLASNANIGGPTTACGMATAKGWRSLVVPSILAGIFGIAIATFLGVGFGLMILRHI >KGN50162 pep chromosome:ASM407v2:5:5489366:5492238:-1 gene:Csa_5G156220 transcript:KGN50162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMFNAESPFSFDVGEDLVPLPSLPSSSVISPPLDNYGNRVSQKPKNGRRKKPLPNTCNDDGGDENHDEQKKKKIIHRDVERQRRQEMSSLYTTLRSLLPLEYLKGKRSISDHMQETVSYIQHMQRRIQQLKDKRGTLRELASQTTVAIAGTTETLNSSERASAVVRAKDGIGIQVVLDTATKQRLPLSIFVQALVSEGLEILNCISNRLNERFIHTIECQPLLNDDGCYPTIDVSVLQHKLANLEYYPLD >KGN50089 pep chromosome:ASM407v2:5:4987731:4993651:-1 gene:Csa_5G153040 transcript:KGN50089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTFTFLPFPLILSPRSNFPFIRPQFHRQYLPAIRILRPSVPSAIGPDGKFYPDPADDDPPEAPEDSGHGVSKFQQIYRQAARARKIQEEDFKKHQSTYLSAIADVEDAPENAEYLNSESSGDDLFGEIDKAIALKRKEFVKQGLLKPNPKKEKVGEKDEIEGIDELETEEVADLEEINELRGLTVISEDVDVEEDEDEDGPRNLDDNVSAIGGEDELSSFNSFDVDFDSYGKVKARIVEPKFKMTLAELLDESKVVPVSVFGNLEIEITGIQHDSRVVTAGDLFVCCVGRETDGHLYLTEADKRGAVAVVASKEIDIEETLGCKALVMVEDTNSVLPALAASFYRNPSKNMAVIGITGTDGKTSTSYLIKGMYEAMGLRTGLLGTVAYYLHGDNKLELPSTTPDAILVQNLMAKMLHNGTEAVVMEVSSDGLARGRCDEVDFDIAVFTNLTRDHLDFQGSEEEYRDAKAKLFKRMVDPDRHRKVINIDDPNAPFFIGQGNPDVPVVTFAMENKNADVHPLKYELSLFETQVLVHTPQGILEISSGLLGKHNIYNILAAVAVGIAVGAPLEDIVRGVEEVDAVPGRFELIDEEQAFGVIVDHAHTPDGLSRLLDSVRELGPRRIITVFGCCGEHDRGKRPMMTKIATDKSDVTILTSDNPRNEDPLDILDDMLAGIGWTMQDYLKHGENDYYPPLSNGHRIFLHDIRRVAVRAAVAMGEEGDVVVVAGKGHETYQIEDDKTDFFDDREECREALQYVDELHQAGIDTSEFPWRLPESH >KGN51876 pep chromosome:ASM407v2:5:22443907:22446821:-1 gene:Csa_5G604210 transcript:KGN51876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDPQLMEDDVEQELWSWGAGTDAQLGTGRLEDNNLPQLVRTPSLSSAPAISFLSCGGAHVIALTAGGGVLTWGRGNSGQLGLGDMISSLHPKPVMRLGSYFITHVSAGWSHSGFVSDEGKLYTCGDGSFGQLGHGDYQLRCFPEEVLFFSDKHVDKIACGMRHSLALVKVYGFGAGKRGQLGISKKIQTVNLPILSSNLEAAEIVGIAAGGDHSAALSAEGHLYTWGRGFKSTSDVYSPQHLPSPSSFSKVALGWNHALVLTDEGELYMLGGKHHGALSDSEMLNSMKSLPGDSREDNFQAVPALSGIKVLDIAAGAEHSVIVTEDGGVKTWGWGEHGQLGLGDTCDHTNPQTVNLNLKLESTAYDVKVYCGSGFTVAVATSNLPN >KGN49752 pep chromosome:ASM407v2:5:2899664:2903918:1 gene:Csa_5G106020 transcript:KGN49752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQEDDRAILEEGLLQNESSTLYTGDGSVDFHGNPVLKQNTGNWKACPFILGNEGCERLAYYGISTNLVTYLTNKLHQGNVSAARNVTTWQGTCYLTPLIGAILADAYWGRYWTIAAFSTIYFIGMCTLTLSASVPALKPAECVGFMCPPASAAQYMVFFLGLYLIALGTGGIKPCVSSFGADQFDDTDPAERVKKGSFFNWFYFSINIGALISSSFLVWIQDNAGWGLGFGIPAVFMGLAIVSFFSGTKLYRFQKPGGSPITRMCQVLVASFHKRNLTVPSDSNLLYEVQDKSSAIEGSRKLEHSDELRCLDKAAVISDAELKSGDFSDPWRLCTVTQIEEFKILIRMFPIWATGIVFAAVYAQMSTLFVEQGTMLDKTIGSFRIPPASLSTFDVVSVIFWVPVYDRFIVPIAKKFTGKERGFTEIQRMGIGLFISVLCMSAAAVVEIKRLELARELDLVHKPEAVPLSILWQIPQYFLLGAAEVFTFIGQLEFFYDQSPDAMRSLCSALSLLTTALGNYLSSFILTIVTYLTTRNGQSGWIPDNLNEGHLDLFFWLLAGLSFLNLLVYTVCAKRYRPKKATQV >KGN51873 pep chromosome:ASM407v2:5:22436480:22436958:-1 gene:Csa_5G604180 transcript:KGN51873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQTLRNLPKPNNKQIPRVRDNIPTLETNSFNPYRLVSEIVGTYKISPQTLWVTQFEANQSVGQCRNSSKPYPKIKIAAAKLHVAENVTLNLLFMLDPKAEKKWYLKTPTKVVGIVLTKQVRLMGECVARESRKRTRSRQTDG >KGN49894 pep chromosome:ASM407v2:5:3701170:3707357:1 gene:Csa_5G139720 transcript:KGN49894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQDNEEVKTFQSLGICEQLVEACDSLGWKNPSKIQAEAIPHALEGKDLIGLAQTGSGKTGAFALPILQALLEAPQAFFACVLSPTRELAIQIAEQFEALGSGIGIKCAVLVGGVDMVQQAINLAKRPHVVVGTPGRLVDHLTNTKGFSLRTLKYLVLDEADRLLNEDFEKSIDEILNEIPRERRTYLFSATMTKKVRKLQRACLRNPVKIEAATKYSTVDTLKQQYCFIPAKYKECYLVYILTEMSGSTSMVFTRTCDATRLLSLILRNLGLRAIPISGQMTQAKRLGALNKFKAGECNILICTDVASRGLDIPSVDMVINYDIPSNSKDYIHRVGRTARAGRSGVAISLVNQYELEWYIQIEKLIGKKLPQFSAQEEEVLMLLERVAEAKRISLMKIKETGGRKRRRGGDDDDDNENNDVEKYLRLKTGKGGKMSKNGKTSKKMKRR >KGN51941 pep chromosome:ASM407v2:5:22793498:22794022:1 gene:Csa_5G606325 transcript:KGN51941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFFHITSWAFSSQFSGKIPLSIAIALVTSSKVPESTFFVANSPRPLFPDLLICIGPMNSAKPGDFPRNPFRTQSERSKAPVLDKCSSFLHFSTYPFRFSEFPLTCGSNKAVLKHSNADEYLLRFSRILPLQRRVLKWVLSIFKASSQSLKASSKILSLDRASALLQRAKQRDF >KGN52663 pep chromosome:ASM407v2:5:27607738:27610489:-1 gene:Csa_5G649330 transcript:KGN52663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEQEDGFVSADKHGEDKVEQLVQIKTLFFARARDLTGTNDVLLEIPLGSTTKDCLDKIIGKFPRLEEILGCVVLALNEDYTTDSTIVKDGDELAIIPPISGG >KGN50456 pep chromosome:ASM407v2:5:7377421:7379433:1 gene:Csa_5G175800 transcript:KGN50456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVQSKSSLSVHQSFFNDQPTSTIRKKYNIPVSSSPNSKTHHPTINLNLTPSQTARNNDEFRHSLIARVIGKNIHHENLTFRLRRHLPLTGDLNVVPLGLGFFALNFSNPFDYYEALKERPWLIPHLCIHASPWIPNFKPSKAFISFVDVWIRLPELGMEHYNREMFENIAKAIGVDLVKIDPVTERKQKCMFARICITITLSNPLIHYIHIEGSRQNIVYEGLDSLCSVCGCVDSLKHDCLNQNIPSASSGYDPHQQNPCPLQAFDPSVSSSSSSGSSSGSGSGSSSSSGSGLDSLCSVCGCVYDLKHDCLNKNNPSGSSGHELHQQNPCPWQAIDSRYSSGLGLDSKKPLIHSLPSLESSSRSKSQEKDPFPELNLKNYAKLKMGEVVENEKKTLPNLPRESAKASCPTKLALHNNGSRSPSAVEAGLTLFSTAMQRLTTVKEMINTPFGEVDVVDSWPTVYTINPTTMSLGIEFSEVPTMTGSNQTQYAISFVLNSGRENDNEVDSKAASSVPPWCSKTMLCCNFDWKDAELQIRALKDLIGLHKPSIVLIFGSKISSSDADEVVREFAFNGFYCRKPDGNNGGVWLMLSRKDVQIEGNADSPQKVFASVHFHCTLNEPELWGDTFFYASTRLMDIMMAYCGDNVNKH >KGN51452 pep chromosome:ASM407v2:5:19422980:19431146:1 gene:Csa_5G549170 transcript:KGN51452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSHRFAMEIFVFPFPPLRFSLSHMKSYSGSTCEGVSSSSIISANHWLHFAFSFLYPEGVRGIFRKKGNEEEQEVKEEVEDEDQQGFLEMGMKMQQSNYSAAMKWNEQMGTTKKGYTFVQANRAWLRKYLLFWIMGMAFISMLIYNGMDADNKETFAEYTARTAFERPLLSGVAYAQRVIHSERDIFEKQHGWMIKTMKREPSPIRDEYAPVIFSQETVSYIESLDMMSGEEDRENILRARATGKAVLTSPFRLLGSHHLGVVLTFPVYKSKLPSKPTEEDRIEATAGYVGGAFDVESLVENLLGQLAGNQAILVNVYDVTNSSDPLVMYGHQYQDGDLSLLHESSLDFGDPFRKHLMICRYQQRAPTSWTALTTAFLFFVIGLLVGYILYGAATHILKVEDDFHAMQILKVRAEAADIAKSQFLATVSHEIRTPMNGILGMLALLLDTDLSSTQKDYAQTAQACGKALIALINEVLDRAKIEAGKLELEAVPFDIRSILDDVLSLFSEKSRQKGLELAVFVSDKVPEIVIGDPGRFRQIITNLVGNSVKFTERGHIFVKVHLAEHSKGSIDSKYVNGISDSDLFISGGRDFQTLSGCEAADDQNGWDNFKHIIADEDFQLNATPNSMVVANEGCGHVTLMVSVEDTGIGILLHAQNRVFMPFMQADSSTSRNYGGTGIGLSISKCLVELMGGQINFISRPQIGSTFSFTAVFGKCKKNSINDLKKPNSEELPPSFRGMKAIIVDRKHVRASVTRYHLKRLGIKVEVTSSVNMAASLSRENGSTIPGNAILPDMILVEKDTLNSDEECGTIHQLNLKLNGNSFKLPKLILLATNITTAELDKAKAVGFADTVIMKPLRASMVAACLQQVLGVKNQRRGRGVPNGSAFLQSLLCGKRILIVDDNRVNRRVAAGALKKFGADVECADSGKAALKLLQLPHNFDACFMDIQMPEMDGFEATRLIRMMENKENEGESYAGEGKWHMPILAMTADVIHATYDECLKCGMDGYVSKPFEEENLYKEVAKFFKKP >KGN51802 pep chromosome:ASM407v2:5:22007083:22008219:1 gene:Csa_5G601520 transcript:KGN51802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSELQQQQPQRQQQQQQPAYVYPDTAATSAGSQVPPPHHSNGSFGAVFIVLAVIVVISALACFLGRLCNRRVENHQRPKPEKSHSGARPTRGKEPKLHPDKEGDIEFGFDLRNPSGKGKFPSGGNGSTGNGNGGSKGKGNGNGPIGLNGPNGHGNSFKPFENGGETRSEIKHTDHHEGDFNFKAAGHHHV >KGN50421 pep chromosome:ASM407v2:5:7125519:7126439:1 gene:Csa_5G173480 transcript:KGN50421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIPSFSILLPSLLLLIPPIFIILKRIKSPSLKKLPLPPGPNPWPILGNLPQIGQNAHISITQFANIYGPLISLKLGAQRLVVASSPATATAVLKTHDRFLSNRYILQMTPDRALYNQHSLVFSPECGDHWKNLRSICKANLFTAKAIESQAILREKKMKELVEFLESKQGSVVKIKDFVFTSVFNTLSNLIFSMDLLDFVGDGFNGIKGSFKKMMDLGLTPNLADFYPLLRRFDLQGLRKKAAIYMNEVDSLWGILIKERREIHCQQGSASSDFLDVLIQSGFSDQQINYLINVSTKSHSFNYFK >KGN52642 pep chromosome:ASM407v2:5:27485882:27487867:1 gene:Csa_5G648630 transcript:KGN52642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLTFLILFFTVPPYFFADSKFLYNGFHEGKGLNLDGAAIVKPSGALCLTSNSQNVVGHAFYPDPVMLFDPRSPSNTSSFSTTFVFAIDPSIPGHGGHGLAFTLAPSTRFDEAESGHYLGLFNPLNDGNPSNHIFAVEFDTVKGHGGVTNSRGNHIGININGISSVKSQLAASSYYVDDTVWKEIQIDSGDPIVAWIDYDGRSKNLSVTIGLLELKPEKPLILCHIDLTSVMKNQMFVGFAASTGIETSAHYILGWSFAVDATARQLKYSQLPNRPNEQNISSSSNNNSQLKSVLAVSSIIVLMAIVILTFLFIRMKKAESLEDWEKDCPHRFNFKDIYTATNGFNDSAQIGIGGFGSVYKGKLSSTGAEIAVKRVKRDSSQGMKEFAAEIESLGRLRHKNLVNLQGWCKKQNDLLIVYDYIPNGSLHSLLHTSKQSVILKWEQRFNILKGIAAGLLYLHEDWEQVVIHRDVKPSNVLIDADMNARLSDFGLSRQYDHNEMSHTTRVVGTIGYIPPELFRTGKASKSADVFAYGVLLLEVACGRKPLGSNQFILMDWVMEWYETGDILHVADPKLDSIYKVEEMEMVLQLGLLCTHWKQEARPSMRQVMRFLNREDPLPASDAWTNSQSIFESSSRLTMTDRSSSMSVGPISSASINEGR >KGN51501 pep chromosome:ASM407v2:5:19925354:19926133:1 gene:Csa_5G571440 transcript:KGN51501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNYISCALATPLIKNSKAVRVVLPGGEVRQFRDSVKAAELMLESPSHFLANAQSLHIGRRFSALAADEELEFGNVYLMFPMKRVNSVVTAADLATFFMAANSAARRISSAKIRVLNENRNLQASEAMPRISDGNEGPRLSLEGVEGFPMHRLSVCRSRKPLLETIKEEQIRSR >KGN50963 pep chromosome:ASM407v2:5:13898108:13901019:-1 gene:Csa_5G374730 transcript:KGN50963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEKVETVIAGNYVEMEREEGDSSKPTKGKLSTLFWHGGSVYDAWFSCASNQVAQVLLTLPYSFSQLGMLSGILFQLFYGLMGSWTAYLISVLYVEYRTRKEREKVDFRNHVIQWFEVLDGLLGKHWRNAGLFFNCTFLLFGSVIQLIACASNIYYINDNLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLMMTTYTAWYMTVASLIHGQAEGVKHSGPAKMVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKLIYLMATLYVLTLTLPSASAVYWAFGDDLLTHSNAFALLPRNGFRDTAVILMLIHQFITFGFACTPLYFVWEKFIGVHETNSLIKRALARLPVVIPIWFLAIIFPFFGPINSTVGSLLVSFTVYIIPALAHMVTFASPSARENAVERAPSLLGGWAGLYSVNIFVVGWVLVVGFGFGGWASMLNFIHQVDTFGLFTKCYQCPPHKH >KGN51847 pep chromosome:ASM407v2:5:22268525:22273555:-1 gene:Csa_5G603930 transcript:KGN51847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESFVVDVLNDEHTVEENASTKPLLEVSVSFGRFENDLLSWEKWSTFSPNKYLEEVEKYATPGSVAQKRAYFEAHYKKIADRKTKLLEEEREMEFNTTVSNGGGDLMMDHSERADSESETSNHHVSVEEVDQTTMLTGELSSVYHEVVKNDVESNVECESLPDGEKEEPDGKFDCVGSDSEISKQEEVVVKEVETPTPTPTPPVESSQTTKEPPQKLVNKVSAVSKVKQQILKPNRPKESKKITPIVKERNSASVKKKPISSTAKAPQILTPKLSKTTPGPTTPAARSSVLRSSVNKGSNSSLLRSRNPSSIESKKVAPKSLHMSLSLGTPNSDPSSVNGIRRSFIMEKMGDKDIVKRAFKTFQNSLNQMKSSPQEEKSSAPKKVPAKERETTKISTPVAAKKENGGMHKLSGTIRGADNKTARVAPSQKLEGKVNAKVIGRTNLQSKSKVAPSQKMEEKFNAREGGRTNLLSKSKDASRNGLRS >KGN52178 pep chromosome:ASM407v2:5:24153619:24154666:-1 gene:Csa_5G613560 transcript:KGN52178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANTKKKHHLIKNFPSIFKSKLPTSHPWEWPDWPSCKHPKTLSFRSQNDLVFKTVNSIFFDQPFETTTTTTTPDYSVSATNSTPAVDSEESLETVVRGARSERLFFEPDDTSSILEKSKSIDSVETELLPKSGFKESLIVSIESENPYEDFRKSMGEMVESHGVKDWDGLEELLGWYLKANWKNNHRFIIGAFVDLLIHILLASSSSSSSSTSTSTSSSSSSSLCSNSDSNYYTCTESSSSSCSCSSSLRSLNPSSIRKELDHDQVEDNHDHITETL >KGN50385 pep chromosome:ASM407v2:5:6849055:6860962:1 gene:Csa_5G171670 transcript:KGN50385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSESFPSDHDPSPSAGKLRKIPPIPVRRDSKSNAGDSDSDSDADSDSDLEHDLTEEFPLQPDDSCIIMASSLGLNHIRTRSAPLPLRTSAVGTPSNLGDNSRNNVTEGTNSEPKVSSTELGKRVLWSQSKSFRHPPSVNHDFEGNHVAFGKEIQSPRFRAILRVTSGRRKRTPDIKSFSHELNSKGVRPFPLWKPRALGHLEEIMVAIREKFDRLKDDVNNELGIFAGDLVDLLDKTDHSQPELRKGLEDLLVMSRKCATISPADFWAEGEGIVQSLDDRRQELSLGVLKQAHTRILFILTRCTRLIQFRKESGYEDDHILGFHQLSDLGIYPEKIRQLEQLNFGDPLIVKEEIRQQLNLVAKDQASHIVKQDLGQNFINSAGNVELGSALSVDSSTSMRMASWKKLPSAAEKNRKDADSTGTPSRDKLELLHENEAKTGSNNQLDTPSNHVAHLEAPPKEQKLSWGIWGYHQNATFENLMICRICEVEIPTIHVEEHSRICTIADRCDLKGLTVNERLDRVATALEKILESWTPKSTPRSSDTSCGNFDTIKVSTSSMQEEVFVELSSRGVNSSCRNSEDLLDSLPVTGSNSFIESQDIFPDSSFNRQFIFTPDYSTKSTSAGTLTPRSPLLTPRSSQIELLLHGRKAISELENYQQIFKLLDIVRSIANVNDSGYGALESMLDRLEDLKYAIQDRKVDALVVETFGRRIEKLLQEKYVHLCGQIEDDKLESSHGMVDEESPVEDDTVRSLRASPVNKCSKDRTSIADFEIIKPISRGAYGRVFLARKRATGDLFAIKVLKKADMIRKNAVESILAERNILISVRNPFVVRFFYSFTCRENLYLVMEYLNGGDIYSLLRNLGCLDEDMARIYIAEIVLALEYLHSLNVIHRDLKPDNLLIGQDGHIKLTDFGLSKIGLINSTDDFSGPSISGPDSLGDNGPASLPKREHRQKQSVVGTPDYLAPEILLGMGHGVTADWWSVGVILFEMLVGIPPFNEENPQVESATSILNYVMIGACIQIFDNIINRDIPWPRVPDEMSYEAHDLIDKLLTDNAVQRLGATGAREVKEHPFFKDVNWETLERQKAMFIPSAEPQDTSYFMSRYIWNPEDEDFNGGSDFDDHDLTDTCSSSSFSNLQDEDGDECGSLTDFCTSALSVKYSFSNFSFKNLSQLASINYDLVVKSSQNSPDVSKPSVP >KGN50921 pep chromosome:ASM407v2:5:13161882:13162602:-1 gene:Csa_5G332530 transcript:KGN50921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKWGLYFFFKNSTLATYNLVIKCRKEGGIRYTVSGYGIYLSVLISNVAGAGDVSAVKIKGTRTGWLPMGRNWGQNWHINADLNHQALSFEVTSSDGVTITSYNVAPKDWNFGQTFEGKQFES >KGN51741 pep chromosome:ASM407v2:5:21632267:21636662:1 gene:Csa_5G597510 transcript:KGN51741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEKRGGGLAGCTRKAFFRSASWTDRSPSKPHHSRSLVPNSNKARSCLPPLQPLSISRRNVEEWPRAGSDDLGVWPNPETPREFANKSSQSSECEQPVREFQFKKDKLAFFDKECSKILDHVYLGSDSVAKNRQILRQNRITHVLNCVGFVCPEYFKGEFVYKTLWLQDSPSEDITSILYNVFDYFEDVREQGGRVFVHCCQGVSRSTSLVIAYLMWKEGRSFHDAFQYVKAARGVANPNLGFACQLLQCQKRVHAVPASPNSISRMYRMAPHSSYDPLHLVPKLLNTPTVQGLDSRGAFVVHVPSAIYVWKGEKCDSMMLNTAKAAASQVIRYEKAKGPILGIKEGEEPLEFWDALVSGQNSADSSEGKVQRLDSSYTREDRILKITSVKVGGRKVEEYDPDFEIFHRAISGGVVPPLDRFNTESENFLPSRENGWDSTKRKFENETSKDIVTSFSELDCDTRAPFDAMDVTANIDEDAEVPVSSIDLASPPLSPTAYLCGSPESFDHFPNSSSDRGIENSNKESSIPDDSLSLPIHPLGSPDSFSCFQGGTSPKVGTKSPSLSPSTSDNSSSFTFSPSSSNWSDLSYTSSRQPSPSDMDSLHPAVQNSSIIDNFCLHHKETHPIFVESFSSYHPTLKPENTNLTSRGASPSIAERRGSHPPPRMLLPSIEEACKVQRKIVRSSSFSLTDIDDDLLEISGCRQSKDKNSCKVMNFDIDRFGACTELECNFEEGKPLVFYQWPSLSKTAINQLKGLNSRSVYMLFDPDLNLDSNGGNKLFIWLGREVLHENDDSQPKSDYSGCLHWEMIGHIFINNKGIPRDTRIQVIREGEEPEEFLAILNRLLPQKTEDVDLWSAKT >KGN51521 pep chromosome:ASM407v2:5:20084625:20087479:1 gene:Csa_5G576630 transcript:KGN51521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAVNITNVTVLDNPAAFLNPFQFEISYECLTSLKDDLEWKLTYVGSAEDETYDQLLESVLVGPINVGNYRFVLQADPPDPSKIREEDITGVTVLLLTCSYMGQEFVRVGYYVNNDYDDEQLREEPPPKVLIDRVQRNILADKPRVTKFPINFHPENSEHGAEQQPSSPHHSVEALNNEEEELQPPPSPSAADEPPLDKEAIDSGSQPSVTA >KGN50144 pep chromosome:ASM407v2:5:5325855:5328250:-1 gene:Csa_5G155550 transcript:KGN50144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGIMAAPEKGKDYPGKFTWKVFYTCFIAASGGLIFGYDLGISGGVTSMDSFLSKFFPAVYEKQISTDPSNNQYCKFDSQTLTLFTSSLYLAALFSSLVAASVSRAFGRRITMLMGGFLFLAGALLNGFAEAIWMLIVGRLLLGFGIGCANQSVPIYLSEMAPYKYRGSLNNLFQLMITLGILIANVLNYEFAMIPGGWGWRLSLGGAVVPALIIIIGSFTLTDTPSSLIERDRLDEAKQLLKKVRGVDNVEAELADLVAAREASKGVSNQWGALFQRKYRPQLTMAIAIPFFQQLTGINVITFYAPVLFKTLGFGNSASLMSAMITGGVNCVSTIAAILLVDRFGRRVLFLEGGSQMLLSQIVVTIMIAYKFGIDGNSGGLSKEYAGAVVLFICTYVAGFAWSWGPLGWLVPSEIFSLEVRSALQSVNVSVNMIFTFAVAQVFTAMLCHMKFGMFIFFAFFVFVMSIFIYKFLPETKGVPIEEMALVWQKHPFWGKYVSQEKPQTTST >KGN49903 pep chromosome:ASM407v2:5:3739061:3739900:-1 gene:Csa_5G139810 transcript:KGN49903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIVVLLSLHNFTSSVKAQSWRHAFRKLPPSNLNSFFCRYFIFFNHYPTRGPHRYTQSNQSPFFFNPSPKFQSGSIAMAQLGDLRKNFVLLKHINDNQETSLRYCETKLQNIVLGYLSWGRLFFFGFSFSFKCKDWWVVLSLTLLSTFLYLLLFMDAVVMLSRTHDQLGIIRKELTEICQQILVAQNQDTVDLSMEGGECCDGFELSFHERMFMLDQFSVVENGRKGYIYFIVCALLVITAIELYACKRLLCN >KGN50225 pep chromosome:ASM407v2:5:5937391:5942847:1 gene:Csa_5G160770 transcript:KGN50225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAYRDEDPRIHGIRTRIRVVPNFPQPGIMFQDITTLLLDPKAFKDTIDLFVERYKGKNISVVAGIEARGFIFGPPIALAIGAKFIPLRKPRKLPGEVISEKYILEYGSDCLEMHVGAVKPHERALVVDDLIATGGTLRAAMNLLERAGAEVIECACVIELPVLKGREQLNDKPLFVLVEYQ >KGN51555 pep chromosome:ASM407v2:5:20401009:20401311:-1 gene:Csa_5G577700 transcript:KGN51555 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II CP43 chlorophyll apoprotein MYQHKYAYNTKVIFKWIASVRSRPRRTVVGDLLKPLNSEYGKVAPGYDLEDIVGGHVWLGSVCILGGIWHILTKPFAWARCTLVWSGESYLSYSLGALGY >KGN51289 pep chromosome:ASM407v2:5:17931390:17933673:1 gene:Csa_5G512960 transcript:KGN51289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLSSVGLGLSVIFGCLFLALVAELYYLLWWKKRFTDRDVENDYSSPARELFYMFCWKRSSSLSHTALNPQDLSSSVRFSETMIHGAEGQFNMHTNNDFLLKPFGEDGIETEFMRLQSLSGPPRFLFTIIEETKEDLESEDGKSKVDKNGKESKSRSLSDLLLTVETPYLTPLASPTLFTPPLTPADSYKPHGFNPLFESVSDAEFNKLRSSPPPKFKFLQEAEEKLKRKLLEAAEKGVHDNPKEFVEDSGTKASTEKLLKDEEDNEKSYITIVVDSNQDMELNHHYHQCTTQQYHSSTSSQVLPLPSSPSTFSSSINKKPI >KGN49806 pep chromosome:ASM407v2:5:3261417:3263020:-1 gene:Csa_5G136900 transcript:KGN49806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETPPHEISLDMNKLNSLIFAVTNRNPGISSCTWGGAASDCLPIYIKMQRPSPVNSPQFGNTFLSLTFQAIVGLFLSLNPSSSSPLPSRLFAAVMLTSFIFSYDGVILQKPFPKTAQLLQTFGALFAAIGTCIIGSLLLYPNFTWICWLAAGLILPAFIISFK >KGN49788 pep chromosome:ASM407v2:5:3150462:3153911:-1 gene:Csa_5G128260 transcript:KGN49788 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase MASTVSMSVFSTLPSPSQGKCRLPSLSSRSISLQVQTTNSPVTTLTWGSQRLSFPILREASPVKNRRLICANSATKNVELQAEVSTKCFFDVEIGGESAGRIVIGLFGDVVPRTVENFRALCTGEKGYGYKGCSFHRVIKDFMIQGGDFTNGDGTGGISIYGPSFRDENFSLKHVGPGVLSMANAGPDTNGSQFFICTVKTPWLDNRHVVFGHVIEGMDVVKRIESQETSNLDIPRMPCRIVNCGELEVKVD >KGN50784 pep chromosome:ASM407v2:5:10864381:10866589:1 gene:Csa_5G261730 transcript:KGN50784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAELMNVKNELSQLRDQMPSLMQTMMHNMLHNIPPPPPSTSSMDPSGSGGDA >KGN50953 pep chromosome:ASM407v2:5:13707327:13708099:1 gene:Csa_5G366680 transcript:KGN50953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREKGNREREELLNKVKKIALSVERDKTKKTPSELCDELEKELEDENSLEEVIKPSRKRKVTMKKVFQSLIFSISVGLIPEASIFNRSSAFDVRQLVFRWPPYDSLLRFQRTAPIVRSSAHS >KGN52312 pep chromosome:ASM407v2:5:24985934:24987265:-1 gene:Csa_5G623750 transcript:KGN52312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADFDRSKQFSEKPKSAFFNRSLTLHSSAMDASTKKPYLRASLNRTDSVKKYYSPFESVKSASNSIKGKVKQLCHLFEGAKSSSSPSEGSQHQIQTKLKSTKSFGSDFRVPSIRLPGTEDRIVVYLTSLRGIRRTYEDCYAVRIIFRGFRVWVDERDISMDSAYRKELQSVLGEKNVSLPQVFIRGKHVGGAEVIKQLFEAGELVKILEGFPIREPGFVCEGCGDVRFVPCMTCSGSRKVYDEDEQVLKRCLDCNENGLVRCPGCAS >KGN50802 pep chromosome:ASM407v2:5:11144120:11147264:1 gene:Csa_5G265820 transcript:KGN50802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNNFPNDVSVASNSWKVLQIPEREAERGVQVQGMATASFLRNRYWILRHGKSIPNEKGLIVSSTENGILPEYQLAPEGVEQARLAGVQFLKELKENSILLENVRICYSPFSRTIHTAKVAASVLNLPFEGPQCKMIENLRERYFGPSFELLSHDKYEEIWALDEEDAFKRPEGGESVEDVASRLAKAILEIESLFQGCAILVVSHGDPLQIVQAMMGSGGKQDGSTPSNDLSSMLEALMTKPILSNHRQFALLTGELRPLL >KGN50543 pep chromosome:ASM407v2:5:8039008:8043172:1 gene:Csa_5G181500 transcript:KGN50543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLWPLMELLIYLLLVVAFLLLSVILFEANRRRLNHSHVEASAVFLDPITSTQVSCPSIFDPAEKYISLIIPAYNEEQRLPGALQETIDYLQERATKDNSFSYEVVIVDDGSIDGTKRVGWEFSKKFSVDNVRVILLGKNHGKGEAIRKGMLHSRGELLLMLDADGATKVTDLEKLENQIHAFSKKESMGSNFSVSDIPVAAFGSRAHLEEKALATRKAYRNFLMKGFHLVVLLTAGPGIRDTQCGFKMFTRSAARKLFTNIRLKREEIKCCPSSLNYDRISNRTFGL >KGN49780 pep chromosome:ASM407v2:5:3108447:3110548:1 gene:Csa_5G125700 transcript:KGN49780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGKVIVSGLSLILVVGIALAIAATINKSNASNGGTEDVSPKMKAVSAICSTTDYQDECKTTLDHVARNTSSNDPKDYAEAAILATIGEITKGYNLSDSLIVEASTNASIKMSVEDCKDLLQFAIDELQASYSAVGESDLHTDSDRVADIKNWLSAVISYQQSCLDGLGEFDPQLKQRMQDGLDVAGKLTSNALAIVTAVSNILDNYRLQLKVQPSGRRLLGTTVVDRDGFPTWLTGADRKLLASKQRGVRPTPNAVVAKDGSGKYKTIAAALAAYPKVLRGRYVIYVKAGIYDEYITLTKDMKNVFMYGDGPRKTIVTGRKSNRDGFTTQNTASFAAIGEGFLCKSMGFTNTAGPEGHQAVALRVQSDRSAFFNCRMDGHQDTLYVQTHRQFYRNCVVSGTVDFIFGDSSTVIQNSLIIVRRPMDNQQNTVTAQGRAEQKEITGLVIHNCRIVPEQKLFAERFKIPTFLGRPWKQYARTVIMESTLGDFIQPAGYMPWSGDFALETCLYLEYGNRGPGANTNRRVRWKGAKVIGRNEALQYTAGAFLLGRSWLPTTGGLYYLGLKN >KGN50609 pep chromosome:ASM407v2:5:8602661:8603397:-1 gene:Csa_5G190530 transcript:KGN50609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLIPSFFGGRRTNVFDPFSLDVWDPFQGFPFSNSLPNLPSSAPETSAFANTRIDWKETPQAHIFTADLPGINKQEVKVEVQEGRVLQISGERSKEQEEKNDKWHRIERSSGQFMRRFRLPENAKVDEVKASMENGVLTVTVPKVEEKKPEIKSIEISC >KGN50691 pep chromosome:ASM407v2:5:9504583:9509110:1 gene:Csa_5G215110 transcript:KGN50691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRQSQDYAAASAMAAYAQQQRQATNMQQQQPFGFHGQHQQFPPSVPGPPFLPPHPAMQQFPYHHPMQQQPQLHPHHPPHPHLLHFQQQQQPPPAFAPHLPPPMGPSPFHGPYDSAPPPQVPPPSDPELQKRIDKLVEYIIKNGPEFESMIREKQQDNPAYGFLFGGEGQSYYRYKLWLMTRPHLGGPFNSPFPSSSVAMLHPHPNPMTSPSPLNAPPLNASASIIGGPQMHQTPFASFYEQQQHHQQAFGIPGRSDYDQSSKSFKGLSGPLPSDVALELNNVLSNLTGAKESIKGAKTWFMQRSPFAPAMAEALRDMIFNIDESEKQLHIIYLVNDILFESMMRRINSKDLDNEALAFEPVLGSMLARVYHNPQMKEESQLKLQKLVQFWASKEIYDQDTINALEGEMINGIPTKALPGHPKELSATVDSAAGLTQHTNNHAMHQWQPDRQGAIPSFPDQEHPDKAVSSGQALPRSVTPQQFLPSTIPTAAFVSSIPLPTSVQPPNQQPNAQLMPPQPAAVGEKLPPYPLFPPGLIPGMVRKMQIGSGVPYSPMSPLDIPTVIPPSTISPSEVLERVSKFFKEIGEVNPSEGPMGSDGKDDDFEYEREPPVRKGGACIPPPPNLQVDPETGAYADGSVDRKGSGRLGLGATTNPNEDSQYDDVYTSYRKQRSTNYHSSMSARATTK >KGN50974 pep chromosome:ASM407v2:5:14077573:14081043:1 gene:Csa_5G381800 transcript:KGN50974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREALPSDDTNQILSSTNKKKKPDDDKLDKDSQKPISQMHMLAVPPLLLVNRTRSQASSRRVTPTTLTTTPSLATPLSLDSLSPDATGIAVPTPVEKHLPNGDLYIGSCLGSVPHGSGKYLWTDGCMYEGEWKRGKASGKGKFSWPSGATYEGEFKSGRMEGTGTFIGSDGDTYRGSWSADRKHGFGQKRYANGDFYDGTWKRNVQDGNGRYVWKNGNEYVGEWKNGLMSGQGVFIWANGNRYEGQWESGVPKGNGVFSLLDGSSHTSEWNKEMKIQQYNGCFDSLDVKEKILPNGVVEGENLTPTFRKRSSVDASRGSLTERNFPRICIWESDGEAGDITCDILDNVEASMLYRDGFRQFKRTPCCLTNDAKKPGQTISKGHKNYELMLNLQQGIRYSIGKHASILRNLKPSDFDPKEKFWTRFPPEGSKITPPHQSVEFRWKDYCPLVFRHLRDLFRVDPADYMLAICGNDTLRELSSPGKSGSSFYLTQDDRFMIKTVKKSEVKVLIRMLPSYYDHVCRYENSLVTKFFGVHCVKPIGGQKTRFIVMGNLFCSEYRIHRRFDLKGSSHGRTTDKPAGEIDETTTLKDLDLNFVFRLQQSWFEEFIKQINRDCEFLEAERIMDYSLLVGLHFRDETRYDKMGLSPFLLRSGYKDSYQNEKFMRGCRFLEAELQDMDRVLAGQKPLIRLGANMPARAERMARRSDFDQYTTGGASHLIPSRSGEIYEVVLYFGIIDILQDYDISKKLEHAYKSLQVDPTSISAVDPKLYSKRFRDFVGRIFIEDR >KGN50585 pep chromosome:ASM407v2:5:8417009:8418054:1 gene:Csa_5G187340 transcript:KGN50585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRSIVCSLILNFIFFNLFNCQLVSGSHLDNDGRKTYIVYMGSKLEDTSSTPLHHRAMLEQVVGSNFAPKHLLYSYKRSFNGFAVRLTEEEAQKIALKEGVVSVFPNGKKHVHTTRSWDFMGFTQSVPRVNQVESNIVVGVLDTGIWPESPSFNDTDLGPPPAGWKGQCQTSPDFQCNR >KGN51890 pep chromosome:ASM407v2:5:22521128:22524067:1 gene:Csa_5G604350 transcript:KGN51890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQGKEVKYSALSNCESYFERIQSTKKLPKYLQETLNDAFSRIPVSSFPGVPGGRVIEIPADTTIANAVKILSECNILSAPVVNPNAKANMNWRERYIGIVDYSAIILWVLESAELAAAALSAGSATAVGVGAGAVGALGALALGATGPIAVAGIAAAAVGAAVAGGVAVDKGIGKDAPTAADNLGEDFYKVLLQEEPFKSTTVEMILKSYRWAPFLPVTLDNSMLCVLLLLSKYRLRNVPVIESGKPEIKNYITQSAVVQGLERCKGRDWFDCIAARPISDLGLPFVSCKEVISIQSNELILEAFKQMRENHIGGLPVVEGPKKRIVGNISIRDIRYLLLKPELFSNFRKLTVMDFIKTVVTLTQDVGKLAPPITCRLDSTLGFVIHSLASKSVHRIYVVAGDEEVVGVITLRDVISCFIFEPPNYIINHFGFSAEEMLNQ >KGN52720 pep chromosome:ASM407v2:5:27970800:27971015:1 gene:Csa_5G652255 transcript:KGN52720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRSRGFFVPRVKVKRSKERAWRNACSLSCWGVEEWAMWREAEIADDHDDVQWSLEVGLAEIRERRVESG >KGN51078 pep chromosome:ASM407v2:5:15634337:15636807:-1 gene:Csa_5G430000 transcript:KGN51078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNNNQPENQPSDAPKLDSDHATNLPHQTDAPAVKSDENSKDQDHDHNKNQDQDQENKEEEEEEKKKKKEDEEEERTNGDEKKEEEEESKDEPDLHYNLNTILEDVDQFLSTPHGSRDREEDSAVDIPKFIDKFLDLVDAKIEQYNNEEPNAKQRECRVPEDESTFLEAIDRISKLKNAIHEMKLEEEKNSLINRIGSTQQQAISYLEEEFRFFLEESRNSDSDPATDTKGKQEQDRCAFPEAESDQLQFPGYSKEIVNYLNKIAKRMISGGYESECCQVYMVARRNIIEDALLKLGFEKHSIDDIQKMNWESMEREIATWIKTIKQCATILFSGEQNLTESVFSSYPPISASLFSNLTRGIVIQLLNFSEGVAMTKRSAEKLFKLLDMYEALRDMVPKMETLFPEESANELKTETTTARTRLGEAAICIFCDLENSIKADTGKTPVPGGAVHPLTRYTINYLKYACEYRNTLEQIFKEHSKIERADSTSRPHFEGEQAPNYNPSADNQSPFSVELMRVMELLDSNLEAKSKLYRDIALSSIFMMNNGRYILQKIKGSADIHELVGDSWYRKRSSDLRQYHKNYQRETWGKLLGCLNHEGLTVHGKVVKPVLKERFKGFNALFEEIHKTQSSWIISDEQLQSELRVSISAVMIPAYRSFLARFSQYLDPGRQTEKYIKFQPEDIETYIDDLFDGNPSSMARRRT >KGN51443 pep chromosome:ASM407v2:5:19310215:19312958:-1 gene:Csa_5G547600 transcript:KGN51443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKIFRIININISTHKLLNFSLRTPKLLTPLVLFHGSNKNLISDSELELDSDNLGYRPPGSRRRWISEEQVDLREVSKAREFKVQLDPWPEWVDFMECLSKKGYFEGDRIPLLNAELGAKDFNHIRTASLDFARDRSNLIRSLSRKDLEVIAGCGCPSTDRKVVNSGKRLRAHLGIDEGNVCSSCDLQRECERAYAKACGDEGGRTLDVMCVLLFYGLDHISAIVENRPCLNKTANDSVRKLLKDIVEHSTGERESDLLKSKEATSAESHDTSASATRGDWHCPKCNFFNFSRNVKCLRCGHIFLERLRKLNEDQVNLPLKKGDWICDTCNFLNFAKNSTCLQCKEKPLNRRLNQGEWECESCNYINFRKNTQCLKCDHQRRKALNTRSVSAGPAFENGNYSFSKPKLSFGEVGNNASRKNDGWMWRFGENESEDDSGILDFPIARSSGSTERQERRKVEMLEKRKLMENAVAKEEDLILRSNNIERRFEFVESSDDENIIEWFGHKPESSK >KGN51730 pep chromosome:ASM407v2:5:21531652:21535250:-1 gene:Csa_5G593430 transcript:KGN51730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGKNSREAGRREFSSYGSGNSSAWDQYNYPPQSPYVQQYPYTEPSEYGSQTPQQPQRRLDRKYSRIADNYQSLDEVTAALIKAGLESSNLIVGIDFTKSNEWTGSRSFNRRSLHHIGNEPNPYEQAISIIGQTLSSFDDDNMIPCFGFGDASTHDQDVFSFYHDDRICNGFEEVLHRYREIVPDLRLAGPTSFAPIIEMAMTIVDQTGGQYHVLLIIADGQVTRSVDTHNGQLSLQERKTIDAIVRASEYPLSIVLVGVGDGPWDTMKEFDDNIPARAFDNFQFVNFTEMMSNNVNNVRRHADFCLAALMEIPSQYQATLELGILGRQIGSSPERVPLPPPLYSATSSSGYPGTPRSNSFQTRTSSLGRYNQGVGTNPSSSSSYDNQRCPICIANPKDMAFGCGHMTCCNCGGNLELCPICRSFIQTRIRLY >KGN50388 pep chromosome:ASM407v2:5:6870441:6871287:1 gene:Csa_5G171700 transcript:KGN50388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASILPISSPSSSLSTRPHLLSRNFNDNGRRRTVVVRAEGGSSGEHINPAIRKSEDKVVDSVLVPELSKPLTPYCRCWRSGTFPLCDGSHVKHNKATGDNVGPLLLKK >KGN51483 pep chromosome:ASM407v2:5:19772860:19773648:-1 gene:Csa_5G568810 transcript:KGN51483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDDQLNNPPQPPPPAPSLPHYPEMIMAAIDSLNDKNGVSKSAITKQIESTYGDLPPAFTTLLTHHLDVMKQTGQLLFIKNNYMKPDPNAPPKRGRGRPPKPKVPLPPGTVVSPPRPRGRPPKPKDPFAPISQPKKKTTSGSGRPRGRPPKYPKPAPTSAPPVAGPPRGRGRPPKVKPAVAPVGC >KGN52424 pep chromosome:ASM407v2:5:25976474:25978695:1 gene:Csa_5G633220 transcript:KGN52424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTFTFFLKWVSVSLLLLLSVSPSFSVVPEDDIRCLRGVKNALVDPIGRLSSWDFKNTSVGHLCDKFVGLSCWNDRENRILSLELKDMKLSGSISEDLQYCVSLQKLDLSGNSFSGEIPPHICEWLPYLVSMDLSNNQFTGSIPADLARCSYLNSLILSDNELSGTIPVELTSLGRLNKFSVANNQLTGTIPSFFDKFGKEDFDGNSDLCGGPVGSSCGGLSKKNLAIIIAAGVFGAAASLLLGFGLWWWYHSRMNMKRRRGYGDGISGDWADRLRAYKLVQVSLFQKPLVKVRLADLMAATNNFNSENIIVSSRTGTTYRAVLPDGSVLAIKRLNTCKLGEKLFRMEMNRLGSIRHPNLTPLLGFCVVEEEKLLVYKYMSNGTLSSLLHGNDEILDWATRFRIGLGAARGLAWLHHGCQPPFMHQNICSSVILVDEDYDARIMDFGLARLMASDSQDSSFVNGDLGELGYVAPEYPSTMVASLKGDVYGFGVVLLELITGQKPLEVTKAEEGYKGNLVDWVNQLSTSGRIKDVIDRDLCGKGNDEEILQFLKITMNCIVSRPKDRWSMYQVYQSMRTMAKDYSFPEPDDEFPLLLGKGDNDPM >KGN51347 pep chromosome:ASM407v2:5:18329450:18340470:1 gene:Csa_5G522930 transcript:KGN51347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHKTHSLSSTRLLKLLLLGFIILLALLCLYYGSSFAPSSRRSDGEDSDPLFAGDLSNHDFDDLHEPHRDLSLQVPQSIPICDERFSELIPCLDRNLIYQLKLKLNLSLMEHYERHCPPPERRYNCLIPPPTGYKIPIRWPNSRDEVWKANIPHTHLAQEKSDQNWMVVNGDKINFPGGGTHFHYGADKYIIALARMLKFPGDKLNNGGNLRNVLDVGCGVASFGAYLLSHDIVAMSLAPNDVHENQIQFALERGIPSTLGVLGTKRLPYPSRSFELAHCSRCRIDWLQRDGILLLELDRLLRPGGYFAYSSPEAYAHDQENRRIGMAMHDILKRMCWKVVAKKDQTVIWGKPMSNSCYLKRDPGTLPPLCNLDDDSDLTWNVSMQACISRYSAKMHKQKGSGLVPWPQRLTSAPPRLEEVGVSAEEFKEDSTVWQLRVAEYWKEMRLVIQRDSIRNVMDMNSNLGGFAAALINKDVWVMNVAPINSSAKLKIVYDRGLLGTVHDWCEAFSTYPRTYDLLHAWAVFSDINVRGCSMEDLLIEMDRILRPDGFVIIRDVPSVINYIRKYFTALRWDGWLSEVEPRVDALSKVEERVLIARKKLWEKELATLKMKIGKLRSTDNIFIFAAVAIFPLTFPDFSRFRSLFLLFLDVDRHFQMTPICSSSELVLMSLVVLASFNKGVDIRSKLGVLEVAKFNTGCHSFGNMRKPNEIMRILVTTFVGGVFGFFLGVSFPTLSLSQLNFPSSLIPSIDLTYIEDKYSGLSTEAFLNAWSSLKGNRGISSQFSLNETKIWVPTNPRGAERLPPGIVEPESDFNLRRLWGMPSEDLAIKPKYLVTFTVGFDQKKNIDAAVKKFSENFTILLFHYDGRASEWEDLEWSKRAIHVSVYKQTKWWYAKRFLHPDIVASYDYIFVWDEDLGVEHFNAEEYIKLVRKHGLEISQPGLEPNQGLTWQMTKRRGDSEVHKETEEKPGWCTDPHLPPCAAFVEIMATVFSRDAWRCVWHLIQNDLVHGWGLDFALRKCVYPAHEKIGVVDAQWIVHQSVPSLGNQGKAENGRAPWEGCRKTAETILSLSTGRNLCVKRHW >KGN52402 pep chromosome:ASM407v2:5:25823054:25823524:1 gene:Csa_5G631525 transcript:KGN52402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSTVLSSSRDFSQRRHSLFNLNNLRTFKLHDDLFLFRQVKSTNDLVIRGRRRRTATRANRKRKRQSRNNGAYRFIGTRMKMRTSTSNFSGGMVESNHRSLSNPLNQFLIAFTMSRDKFTNKLFSGGEIKGLRRFRRGIFTPIENGGFKSQIWNHR >KGN50168 pep chromosome:ASM407v2:5:5534947:5535854:1 gene:Csa_5G157270 transcript:KGN50168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEIMFNLIRTPTFFLFINPFINHLLQHIKDPIKMNTLFLLNPLHIKSLQQWKIISKIRFRSFFHSPSYSHLKLSPNFRIRVHKILRENGIPKQIHRSHKRHFSKIHCLITFQSTSRYLCHQLCHLASSEVLVGVENPGSEQLGVANSSEVSPER >KGN50017 pep chromosome:ASM407v2:5:4497818:4503720:-1 gene:Csa_5G149860 transcript:KGN50017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASFSLAAAKGINLSAELVSFVKEKPVPRDHNFLSFNSAYKYRSLHCGQTNGFCRKNKCVTVFCSQKREFSVVEGRCIDDIYDDLARRLLPTAAAASDPDLKYIVGLAGPPGAGKTTIASEVVQRLNKLWPQKASSMDSQVNPADVAAVLPMDGFHLYRSQLDSMENPEEAHARRGAPWTFNPQLLLTCLKTLRSQGSVYAPSFDHGVGDPVEDDIFVGLQHKVVIVEGNYLLLDDGVWKEISSIFDEKWFVEIDIDKSMERVLKRHISTGKPPDVAKWRIEYNDRPNAELIMKSKKNADLLIRSVDF >KGN52159 pep chromosome:ASM407v2:5:24062020:24064554:-1 gene:Csa_5G612880 transcript:KGN52159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFHSYPYLLKLFPIWVSAAMDVLSAFLFPPPPSTFVNVMTVVSTTALAMAGLSEINGKHLQYSKFWNANGASKLSAEESKVSSKYGMLLAYTPAFLAGAASFWLFPSGDERVLFIHKYSSKMVLKTAIQISLSYFMSTAIMIYTQHLSQGLPEPPIDLKNIGVGSFLIGIIGNFYHHYLLSQTRKQGDTAYKIPKGGLFSFVVCPHYLFEIIGYFGFAFISQELYPLCFSIGTAVYLAGRSYATRKWYISKFEAFPNNVKALLPFVF >KGN50544 pep chromosome:ASM407v2:5:8048986:8050654:1 gene:Csa_5G182000 transcript:KGN50544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDNYFRELKKQAYIFFKTNIKMARLALTDVTPAQLLTEEATSGNPGPPDSPTMREITKATFEVDDFFRIVEILHKRLEKFDGKDWRGSYNALILLEHVLTHGPKSFVEEFEDDNNKQVLMEMDGFHFVDHKGFDWGQSVRKLSRRVLKLLEDEEFLQQERIKARNLTRGIHGFGNLNRRSFPESRPGRFNYNNHLNSASTGRKMALSLTPAIDEKEEKIRENAKGKLKENGGNMEIDHPFSPIKHRRLSQSLLQNTPI >KGN49645 pep chromosome:ASM407v2:5:1434503:1435095:-1 gene:Csa_5G040500 transcript:KGN49645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLAGKLVSELELNVSAQKYYTVFKDKVCHIPNISDIIHKVEVHEGDWDNHGHGSIKIWNYTIDGKPEVFKEQVEFDDEKLAVTLIGLEGDVFEHYKTFKVTYQVVPKDSEHSLAILTLEYEKLRDDTPYPYKYLHLMNKLTKDIEAHHK >KGN52508 pep chromosome:ASM407v2:5:26598229:26598723:-1 gene:Csa_5G638462 transcript:KGN52508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTEHSQKHGMDALAETNHIGDANIHLVSITDDMEPGANRNDLGKLHDTMSDTMSMKLEELINTIHRLGVEEITSVIADESLGWALEVAVTTRIRRVGFSPASAAMLAKLFSIPKPIQEKLIDFDGQLKMEGSHLASTDRILVHLSCPSDRKLKLLSNRLLSNY >KGN51800 pep chromosome:ASM407v2:5:21999356:22001122:1 gene:Csa_5G601500 transcript:KGN51800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALELPENILTTAASSERAYVTFLAGNGDYIKGVVGLAKGLRKVATAYPLVVAVLPDVPMEHRKILKAQGCIIREIEPIYPPKNQIQFAMAYYVINYSKLRIWNFVEYKKMIYLDGDIQVYQNIDHLFEEEDGHFYAVMDCFCEKTWSHSPQYQIGYCQQCPDRVKWPPASGSPPPLYFNAGMFVFEPSLETYKSLLETLHVTAPTPFAEQDFLNMFFGKVYKPISATYNLVLAMLWRHPENVPDVHNVKVVHYCAAGSKPWRYTGQEANMERDDIKMLVSKWWDIYNDTSLDLKEVDKEDDEAQVQARPKFSILGSITEPNIAYVPAPSAA >KGN51319 pep chromosome:ASM407v2:5:18128124:18129799:1 gene:Csa_5G517170 transcript:KGN51319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIGTLEVKLANAKGLRGADFLGRIDPYVLIQYKGQEHKSSVAKNEGGSPVWNEKFTFRAEYPGSGDNFKIILRIMDHDTFSADDFIGQASIYVKDLLALGVENGVSELWPQKYRVVGDDLNYNGEIQVGVTFTQKVTEYDGEELGGWKQSEY >KGN51956 pep chromosome:ASM407v2:5:22883182:22886390:-1 gene:Csa_5G606470 transcript:KGN51956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEDDEQPPPPPLPSKSKSFPLRPTINLPPRTSMESLFSSGPGLGFGFSPGPMTLVSSFFSDSDDCKSFSQLLAGAMASPVTAVPSSSSEFKASPGLLDSPGLQGPFGMTHQQALAQVTMHAVEAYSLNQMQASSFSSSVAPSASSLQLLTSLPGEKTNDQLMQLPFQNSTVASKEISENSQSEQRLQLSSCNVDRPADDGYNWRKYGQKQVKGSEFPRSYYKCTHPNCPVKKKVERSLEGQVTEIIYKGEHNHKRPQPNKRAKDVGNSNGYSIIHGNLELSSQVQSGYLNKLDEETSISSIRKKDQELSRVTNDQFLGNSDGEGGSETETGVNRKDEDEPAAKRRNIEVRNSEPASSHRTLTESRIIVQTTSEVDLLDDGYRWRKYGQKIVKGNPYPRSYYKCTTPGCNVRKHVERASTDPKAVITTYEGKHNHDVPLGKTSSHSSVSSNISQLKSQNIVTEKKNSSNNTDRGNSRQQPTGLLRLKEEQIT >KGN51073 pep chromosome:ASM407v2:5:15558926:15564166:1 gene:Csa_5G429950 transcript:KGN51073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHGGSRRKRSSSFVRYLVLLCAVGAAICFLMLNVLMRMEASSDQYGNGERFEEPPAQTTGMEGRRSSCAMVEQMGDPFKDGVRKESLRVRTIIQNHFYLNGASRVRQLPPEQFCKHGFVMGKSSEAGFGNEMYKILTAGALSIMLNRSLIIGQTRGKFPFGDYISYSDISFTLKEIKHLWRLNGCVKKFNRRLIMRIDDFEKPAQTNVLCSNWKEWEHPIIWFQGTTDAVAAQFFLKNIHPTMRAAASNLFGWPEVLESRPNVFGELMRVLISPSKNVEEAVFSVLKSGADPDISLHMRMLMNRSVRGLQAAVQCIRKAMLNLTGLSKPRLVLVSDTPNFVKSIVPILDEFAEVIHFDYEHFRGNISGTDDEFHKLDFRVKDWGPSPRWVAFVDFFLASRAKHAVISGAHRRVGTTYAQLIAALAAANNLDNLGNKSTGSDFLFLSSFQSNLLREGLKNQIGWGHIWNRFAGPLSCPSQPNQCAVTPLLPPAWWDGLWQSPIPRDVKRMENYGVHLTSFGTVDEDSLRSFCNAKKNVLRTIPFIL >KGN50009 pep chromosome:ASM407v2:5:4438312:4440621:-1 gene:Csa_5G148800 transcript:KGN50009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPQSPSPPHLYPQALQLKLYQAFIFSIPILFSIILFLLFYLFYLKRRASSLSSSSSSSSPPILPTSSNNTLTLYVTTACQVDLKADNLIDKLPKIQFNEELKIRDSLCCVCLGEFEIKEELLQVPSCKHVFHIDCMNHWLISNSTCPLCRCSVIPTTQCSDPAVVPPTPPLPLPGSSELPLTSDHTAEIRSYSL >KGN51176 pep chromosome:ASM407v2:5:16768593:16769291:-1 gene:Csa_5G478110 transcript:KGN51176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDLLMNASLHLQRHILGVYEWEGTNPMPPEIWMFGKILPLNLGGFLCYTRLTFLPMSYLYAKRFAGPLTPLILQLRHEIYIQPYNDIKWNPARNFCAKS >KGN52244 pep chromosome:ASM407v2:5:24616295:24617831:1 gene:Csa_5G622590 transcript:KGN52244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIVQLYDSVNRHEDKARKAPLPTGKTRTRSKNKMATAVTLLLACAISLALLISAIPSATALRRDPEFLRQVTDGEIFNNLPAGSERKFVMFMEKYGKSYPTRKEYLHRFGIFVKNLIRAAEHQALDPTAVHGVTQFSDLSEEEFERMFMGVRGGAGGEGLPEMNQAVEVTAEEVKGLPERFDWRDKGAVTEVKMQGTCGSCWAFSTCGAVEGANFIATGNLLNLSEQQLVDCDHTCDPTDKTACNNGCNGGLMTNAYKYLIQSGGLEEESSYPYTGRSGQCNFQSDKIAVKVSNFTTIPIDENQIAAHLVRSGPLAVGLNAVFMQTYIGGVSCPLICGKRFVNHGVLMVGYGDEGFSILRFRKLPYWVIKNSWGERWGEHGYYRLCRGHGMCGINTMVSAVVTQA >KGN50406 pep chromosome:ASM407v2:5:7035437:7039213:-1 gene:Csa_5G172860 transcript:KGN50406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIKVPQVAFRRVLPIFLPRNRPFSVVSRQVTSNCVCTPAVVAVIISTSTTGLRSKSSNVAKYSRANGTFPKWGSSRNLSIRATQVNESGSIDSPLMQSMENKIKEQLDAQSVSVKDAYGDGRHVSIDVVSSAFEGQTAVNRQRMVYKAIWEELQSTVHAVDQMTTRTPAEAAAQNS >KGN51497 pep chromosome:ASM407v2:5:19908165:19908995:-1 gene:Csa_5G570420 transcript:KGN51497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMDTTVHGGLRICHLHSHLNNIYIPRKRRGSSSGAGKEQQYITETELPLSMVEAASILIELANSVPVPDVQRQKFNKTANSVPDVERQKLNKTHKRKNPPIPTEASTSKTKKQRKKNVNKREHPPMPVTMRDRILEMGGYEIRLVIQKELTDTDLNKNHGRFSMNTKLLSFDFATEEESKLLSEQENKNKKGINVMILDDALEERILCLKKWKIGSGEVYCLMTQWNLMVEKRGFKSGEEIQVWSFRKDDEYEAHRLCFALEKFIFQIHKFKFYH >KGN51447 pep chromosome:ASM407v2:5:19361129:19363553:-1 gene:Csa_5G548130 transcript:KGN51447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFFRIPFLFASFLCFFSLLVSGSSRNFPILDFEEGYNPLFGDDNLVLLKDGKSVHLHLDERTGSGFVSQDLYLHGLFSASIKLPSDYTAGVVVAFYMSNGDMFAKNHDEIDFEFLGNIRGKDWRIQTNIYGNGSTSIGREERYGLWFDPSEDFHEYSILWTESLIIFYVDNVPIREVKRTATMGGDFPSKPMTLYATIWDGSTWATNGGKYKVNYKYAPYIAEFSDFILHGCTVDPTEQSSSCDHSQKSIPIPSGMTPSQRAKMESLRKKHLTYSYCYDRIRYKTPPSECVINPDEAERLRLFDPVTFGKGRRHRGKIHHHSHPRQAESASA >KGN52644 pep chromosome:ASM407v2:5:27491642:27495153:1 gene:Csa_5G648650 transcript:KGN52644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKIETQFDKGYLEHSKKIVKVVGGHPLALKLLGSSLRNKNLSVWNEVIEEVGGGGNIHEKIFKCLKVSYDGLDEREREIFLDVACFFNGKRREVVEEILNGCGFYAKTRIELLIQKSLLTLSYDNKLHMHNLLQEMGRKIVRDKHVRDRLMCHKDIKSVVTEALIQSIFFKSSSKNMVEFPILFSRMHQLRLLNFRNVRLKNKLEYSIPSELRYLKWKGYPLEFLPIDSSEECKLIELHMCHSNLKQFWQQEKNLVELKYIKLNSSQKLSKTPNFANIPNLKRLELEDCTSLVNIHPSIFTAEKLIFLSLKDCINLTNLPSHINIKVLEVLILSGCSKVKKVPEFSGNTNRLLQLHLDGTSISNLPSSIASLSHLTILSLANCKMLIDISNAIEMTSLQSLDVSGCSKLGSRKGKGDNVELGEVNVRETTRRRRNDDCNNIFKEIFLWLCNTPATGIFGIPSLAGLYSLTKLNLKDCNLEVIPQGIECMVSLVELDLSGNNFSHLPTSISRLHNLKRLRINQCKKLVHFPKLPPRILFLTSKDCISLKDFIDISKVDNLYIMKEVNLLNCYQMANNKDFHRLIISSMQKMFFRKGTFNIMIPGSEIPDWFTTRKMGSSVCMEWDPDAPNTNMIRFALCVVIGLSDKSDVCNVSSFTIIASVTGKDRNDTNLKNGDDLLVDGFLVSGMKKLDHIWIQSITPNVEVKKCGVGLINLEEEKEAMKRYASHIILRNKNLLLN >KGN49888 pep chromosome:ASM407v2:5:3681497:3683726:-1 gene:Csa_5G139660 transcript:KGN49888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFKRDLELVVFVFCAVFWYAATFSPVMSEPIKDKEALLNFISKMDHSHAINWKKSTSLCKEWIGVQCNNDESQVVGLRLAEIGLHGSIPVNTLGRLSGLETLSLGSNYISGSFPSDFQELRNLNSLYLENNGFSGPLPLDFSVWKNLSIIDLSNNAFNGSIPRSISNMTHLTTLNLANNSLSGEIPDLHLPSLQDLDLSNNFLTGNVPQSLQRFPSRAFSGNNLVPKIKNAVPPIRPGQSPNAKPSKKGTTTIGEAAILGIIIGGSAMGLVIAVTLMVMCCSNRRVKNNASSKLDKQDLFVKKKGSETQSNSLKFFRSQSLEFDLEDLLRASSEVLGKGTSGTTYKATLEDGNAVAVKRLKEVSVSKKEFEQQMEVVGSIEHENVCGLRAYYYSKDEKLMVFDFYQRGSVSAMLHVAREKGQSPLDWETRLRIAIGAARGIARIHSQNCGKLLVHGNIKASNVFLNSHGYGCVTDAGVAALMNLMAPPATRSAGYRAPELKDSRKASQASDTYSFGVVLLELLTGKFPLHTKGGNGGDQIIHLVRWVNAVVREEWTAEVFDVELLRYPNIEEEMLETLQIALSCVGRVPDDRPAMADVAARLEGVRRVSGVGSLPPVLPPALERGAEELIQIQVNVGEGDGGAPSRSN >KGN52706 pep chromosome:ASM407v2:5:27875482:27876862:-1 gene:Csa_5G651640 transcript:KGN52706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSPCCEKAHTNKGAWTKDEDQRLIDYIRLHGEGCWRTLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFTEDEDELIIKLHSLLGNKWSVIAGRLPGRTDNEIKNYWNTHIKRKLITRGIDPQTHRPLNEPPTTAAALSPRLPYQNHHHHHRRHHHHLTPNDHSSSSSSSLPNIAELPIMKAATNVQSSDPDEEGSGTTTEMDPPLPAAEPTLGVHVKTEVNLELSIGLHPFQAEAVRGSSLGSSAESRLREERRALVRCLCWELGWEKGGECNRNCERTYGWFRASAMGSTS >KGN51948 pep chromosome:ASM407v2:5:22817450:22822995:-1 gene:Csa_5G606390 transcript:KGN51948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFGKQFTAQMVPEWQQAYMDYDFLKSLLKQIDTFNKTHARNQPSSHSGQLRRTLTLYRAFSGLTLRHSHSHGHAHGHGHAHNSHPASPAGSPQDGVEEQAILVNAVNRDGTKKYRTTFLMADEEGGEYELVYFRSLDREFNKVDKFYKAKVEEVVKEASVLNKQMNALIAFRIKVENPEGVWDFSGDRRAEEITRLATDIAVSTAALSSSTPSGVRASRRVHIAMDSIEEGSSHNERSSHKPNNESSKDEDEDENEDEKKKSKGGEDNSEGKSRKMMANRPPPLELLDRVTMNTTTETPRSTIKGLLNFPKNSELQFNKENLNKIENQLKKAFVVFYHKLRLLKSFSFLNTLAFSKIMKKYDKITSRDAGKSYMKMVDSSYLGSSDEVSKLMERVEAAFIKHFCNANRTKGMNILRPKAKKERHRTTFSVGFFAGCAIALVVALIFITRARHIINKEGSTQYMETMFPLYSLFGFVVLHLLMYAANIYFWRRYQVNYSFIFGFKQGTELAYREVLLPSFALATLALACVLSNLDMEMDSVTQSYQAVTELLPLVLLLVVIVVFLCPLNILYRSSRFFCIRTLYHCICAPLYTVIFPDFFLADQLTSQVQALRSLEFYICYYGWGDYKHRQNTCGTNTVFNTFSFIIAVIPYSSRLLQCLRRLYEEKDAMQGYNGIKYFLTIVAVCLRTAYSLNRGVIAWKVLAAIFSALAAIICTYWDIAIDWGLLQRHSKNRWLRDKLLVGHNSVYYVAMVLNVLLRFAWLQTVLDFQFSFLHTQGLITIVASLEIIRRGIWNFFRLENEHLNNVGKYRAFKSVPLPFNYDEDDDKDD >KGN51251 pep chromosome:ASM407v2:5:17561554:17566113:-1 gene:Csa_5G504130 transcript:KGN51251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIAESEEVGFKRIGLSASDYEANIPIKKRRFPGVQLTPSPSKDISSFHSDGNLLKVEQPSPPKDVSSFNHNENLIKSEEPILSVTTVSSSSVVTSCALSNNNQDSVSEEKKGKSDTDSCCVDIVQSNIGAAGVKFQEPSLGRHACTDGFVECEGKSLVTVEHTDHASPVICAGLKLLSTSLDSDHFAGNKEEEIDVKMPEENCSPPICQLGGAGVLVGLKGHMDLKLVSEKSDLNFLKQNSMEPVLLNFALNKQGSSTQCVKGNVGFDCDGSFLQSNREKWDLNTSMESWEGCTSGDAPVVQISATRTNTTIETYSCSSEMVESDSPCGKQTLLDNEDKGDSTKEHLHLSLDSSYLKSVLDEDPYISEYESDGNWDIAETVDDNDDNDDNDNDDNDNNVEEDYEDGEVRETMQETEVEVHVYEKREIEPLDHAGCNDKKINSVGLLDHEFFTLGPKKQETKLENLDYRSEDEDEVQTTTKSNSYEQENEDLCVKELHAVENAIGEDVNISAKATERSQLSQYDKKGNFEGQGTADKILNEEPVPTFSQNEVENAVAVDVVQNRDLTLPTVKESVNEDDAKDINGGTRNSRIINFNRTSTDSTPCKAKSNFAKPVLSHKDREFVPNMVVERANMKPQERDDVYSNISKKISIDKRQGPPPLMGFSHRRGRNTNRLDNRSEEWDFGPNFSPETYSEQQIDYHVTGLDQNRYKIIPDGPFGGANRRGRELVEDEEPFFFHGPSRRKSPGRRHGHSVRGGKMVNRMPRDFSPGRCMDEGGSFDRQHGEKFTRNFADDTVDEMYPRPQPPYDVDRPFFRERRNFSFQRKTFPKIDSKSPVRSRARSPSQWFSSKRSDRFCERPNMTHRRSPNYMTDRMRSPDQRSIRGYMPGQRQGFRYLSPPDELRDVGPAPDHGHMRPFIPNRNQTKRLPLRNRSYDAIDPRGRIENDGLFYGPVRLGQLTGYNGGEPDDDERRFNERHEPLHSFKHGFRDSDGERYRNKGEDCSRPFRFCAEDDPRISWKRR >KGN52671 pep chromosome:ASM407v2:5:27664321:27664899:-1 gene:Csa_5G649890 transcript:KGN52671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEPSSMRSHGAATSSYRGVRKRKWGKWVSEIREPGKKTRIWLGSFETPEMAATAYDVAAYHFRGRDARLNFPHLVNSLPFPLSSTPDDIRLAAHEAALRVRTTPVVASSDDQLGDETSTLGLAPVTVRLSPSQIQAINESTLDSPKMWMQMSSESLLMDQEFSNGNVFVDHDMQDYDQMWENMHNDSLWDP >KGN50630 pep chromosome:ASM407v2:5:8731573:8735223:-1 gene:Csa_5G198200 transcript:KGN50630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAYGNEMERQFSTQSHSTGGSSGNSDMGSRYIIESGFYMTPLTATIFVGALATVGVLLITLLVSLTVMLQYCQNRSEGVVEIQRSSVDYDYCKALSVHLELNGLETDGIPSFCKEFAIQYIRSGQYERDLDSSLLVVDNYFCSAAKVDNGQDIVLMDIDDLLFTNRDYNDVLISSDCVDQAKKLKQNFLLKLYKKLRSCRWPTTLISRKSEVNRNATIEHLTSAGYKGWLQLIMRMDDEMQINHPVYFSRQQAALQSEGFSVIGVISSHMDALSALSVGTRIYKLPNPMYFKYQSSKMEETHKVR >KGN52299 pep chromosome:ASM407v2:5:24915913:24922103:1 gene:Csa_5G623630 transcript:KGN52299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGSLFASAAINIGLAFIVLSIFSVLKKQPSNAAIYYARRLSLRHRIAFEPFTFHRLLPSVAWIPRAFRVSEDEILSSGGLDALVTIRLFKLSINFSFVSSIIGLVVLLPINYFNQDKPSRSYHSLDSLTISNVREGSDWLWVHFSYLCFISFYGIYLLHKEYKGILIRRIQQLKSMRQRSDQFTLLVREVPLCIEHKAHGCNVEHFFSKYHPCTYHSYQILSDVKELDHLLKQAKSIMGKIEEGRKKFGFQNDKREPLLSYTSQQNALKIALLEEKLRKYHDIIHNLQVQTAAKQKELPVAFVTFKSRSGAAMASQSQHSLNPLMWITELAPEPRDVSWKNLAIPVRFLPLREFGVIVGAFLLTIFFAFPVTAVQGIAKFEKLKKWFPPAMAINKIPGLSSIVTGYLPSAILNGFIYVVPFAMHAMAKLAGCVSRSNEEIKACNMVFYFLVGNVFFLSLLSGSLLDEIEEYLTHPKNFPSHLASAVSAQADFFVTYILTSGLSGFSLEILQPGLLSWDLLKSCLCCSRKENDAYLYSLPHARIIPFISLFLLIGMVYAVVAPLLLPFLIGYFCLGYVVYVNQVKDVYATTYDTFGLYWPHIHHYIIIGILLMQVTMIGLFGLKSKPAASIFTIPLLLITLYFNEHCKSRFLPTFHCYPIQEAMENDELDEKSDELEVNYETAADAYCLPCLQPLDFLLSTSTLPRVNST >KGN51023 pep chromosome:ASM407v2:5:14740784:14741294:1 gene:Csa_5G409660 transcript:KGN51023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKFARNEDASASTNNGGGFDGECRQRRSTTVTLSEGVAHELERRRRMDRKKMRGDTSNSGCYTSRLPVLHLHFRLSQMKLNSLRPEPPPFESSPSRAVHPSRLLCKSCVGAAWVDLFSLPSRFLPFQAI >KGN51726 pep chromosome:ASM407v2:5:21508034:21509505:1 gene:Csa_5G593390 transcript:KGN51726 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein MRVRSWLIPCSRAAATSAAEPNHIKPPQSSSFLMSDASSFSDTSAHSSSSSTPSSDTSSSSLQTNLSLQSLPSIPSLQKLPIPTDSFHVTLSQFPVASFKLPISHLAVHGPYLYVATAHEINVYDRLTFSHITGFNAPDSSSGSVKGIAFLPRQILTSHQDGKIRVWNLLHKKNNQFKLVNTLPTVNDRLRRFILPKNYVNVRRHKKLLWIQHADAVTGLAVNNGSIYSVSWDRSLKIWRGSDHRCVESVKAAHEDAVNAVAVSAGGTVYTGSADRKIRVWAKPEAEKRHVLVATLEKHKSAVNALALNEDGSLLFSGACDRSVLVWEREDSANYMAVIGALRGHKNAILCLIYVSDLLLSGSADRTVRVWRRGGDGSFSCLTVLEGHKKPVKSLVIVSEAEGMMRNGGVVSVCSGSLDGELKAWKISLSNLNSPLPNSNIMNCS >KGN50470 pep chromosome:ASM407v2:5:7494477:7494722:-1 gene:Csa_5G175920 transcript:KGN50470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTNVHQMYILHAGMIVGRTMSEYPFQSKENHSVANFHPSTPSLSTTEPRWRKHHFQRHHVTSGVLFPSMEQHASRHGFF >KGN52363 pep chromosome:ASM407v2:5:25460222:25461047:-1 gene:Csa_5G628680 transcript:KGN52363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTEGKPRFAKFYDFQPIEKQQELIRSVYGVLCSRAENVSNFVETESIFGLDSHLVYKHFATLYFVLVFNSSENELAMLDLIQGTSNILCDGFVTSGLLIMEILQGI >KGN49718 pep chromosome:ASM407v2:5:2521356:2522777:-1 gene:Csa_5G083800 transcript:KGN49718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSSAACAMEWSIELEKALRLKKPGRAVEAIRQIGCRLQQWSREPEPNVAVYNMFDLVTWEDRLFSNTILLRLADAFKFDDKHIRLAVVRVFLSELYSRDSSRSKQYQGILSKARVQNHHELLTRVKVVLNGGDPEARGLALILLGCWAHFAKDSAQIRYLIFSSLFSSHLSEVKASIFAAACICQLADDFAQVFLAILVNIMTSTTSLTIRMAGARVFAKLGCSHSMAKTAYKVMLVF >KGN50156 pep chromosome:ASM407v2:5:5439392:5447318:-1 gene:Csa_5G156160 transcript:KGN50156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSPEESLNQPPQEPHQHPLKDKEKEKEKEKECVYKTKPIQFLGRPTPIVLQNDNGPCPLLAICNVLLLRNNLNLGPDATEVSQEKLLSLVAERLIDSNSNVDNKDAGFVENQQQNIADAIDLLPRLATGIDVNIKFRRIDDFEFTPECAIFDLLDIPLYHGWIVDPQDHETASSIDSKSYNAIMEELVALETQHMEEQCKNNPEDDCIDFVAATTATLGVPSPNLSKVRSFDESPRSISDEQPVRKGDLEEEAELLKALRLSESETPILIDNHGVPSAEGNTKSTKLDEEPSPGKVSVIEDVEKTIVKDICAADNNLNEQSDSLTADTGKTSVSKSDADVSPFPNATEQVSSSPLKTDAGEHLDQAVESEGHMIFPDMLEKDNHETLVQTVTSSGRDGALANESHENTSPMNDNNPFPAASETVDHRPVDKEDPTEVSGPSPSINCTDSVDGTIQCIEAPEGLTSSVGSEPIYEGEECILDPRSTVLEDREPVYEGEVVLAEQGCGSSINFRNIQSKVEISPKQGELIRNFLKNNASQLTFYGLFCLQDGLKERELCVFFRNNHFSTMFKLDGQLYLLATDQGYIHQPDLVWEKLNEVNGDTLFMTSNFKEFKVESPSNESWDEQNAMTSTADYLASIDATKHAGMDLNSDLQLAIALQQQEFEQQPQRQNVQQPSSGGSSRLVVGPQVSRTSSKTPPSSSSRTDTKSKEKCSVM >KGN50992 pep chromosome:ASM407v2:5:14328526:14329098:-1 gene:Csa_5G387440 transcript:KGN50992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNHYFKLNELSRSSLTSHGTKAYVSSDLWHIQGSTSKYRRAVNYFRVANSYTQRMVLKIYVLNTTEEVYLLQLSYFTTTGIQASSYQFGYKLERILKGIYLILCAKSIYPNSHTGLTVVLRPPCSINCRFGQQNTLGKPSSMRESVEMRKDKEGIPQE >KGN50145 pep chromosome:ASM407v2:5:5334779:5335537:1 gene:Csa_5G155560 transcript:KGN50145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQKTLPINEGQIDQSLDHKSSSPISKPIPIDPFVSSSVPTPQNPNSKSQEQLPPPPPPPPPPPSSLPSSIISPPPPSSTNKKHSLYRGIRCRSGKWVSEIREPRKTTRIWLGTFPTAEMAATAYDVAALALRGGDAVLNFPASIPTYPVPASTSPVDIRTAASTAAIAAAAKAVKKVSSTSSVDVGTMEDCSKKMRIEEFVDEEEIFGMPGLLADMAEGMMVSPPRMNSPPLSDDLMENSDGTESLWSYF >KGN51308 pep chromosome:ASM407v2:5:18066695:18067021:1 gene:Csa_5G517075 transcript:KGN51308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMVLPALRYVGPTIALAMAFDGPLLAPHALPLDFLVEIEHHVFNLVIPVEVSTAGVEIQVIFLAVVHKAIDRFCAGVANPFAFVVAGPWWGFRELEGGTCEKFMKHW >KGN50654 pep chromosome:ASM407v2:5:9012193:9014711:-1 gene:Csa_5G202380 transcript:KGN50654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLRRLFSAVCLWILFLIATLFLILNHLEDHGVSSQLKVAEENPATVTHKVYFDIEIGGKPSGRVVIGLFGETVPKTAENFRALCTGEKGIGRSGKSLHYKGSKFHRIIPSFMIQGGDFTRGDGRGGESIYGEKFADENFLLKHDGPGFVSMANSGEDTNGSQFFITTIKTSWLDGHHVVFGKVLSGMDVVFKIEAEGNQGDGKPKTEVSISQSGELPV >KGN50130 pep chromosome:ASM407v2:5:5259657:5259899:-1 gene:Csa_5G155410 transcript:KGN50130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAHHLNLHLSVFIIGLLIIVGHVSAVTTSDQNKNFFYRRFEEMIFNMKKVGGGTGGNNNANVFGGSLHLVPNGPDALHH >KGN52294 pep chromosome:ASM407v2:5:24895130:24897121:1 gene:Csa_5G623580 transcript:KGN52294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGTIAEELYSESLQSTQSKLGDISLSDYKKDRPSGSGVDDSCEDDGSLWGGSDEGLEETADLDREWQRRHDQFHTIGYRDGLVAGKEAAAQEGFNIGFKQSVSVGYKLGLVRGVSSVLASLPADLKEKLAGIPENQSKFQSLYESVNSLSTVDALSLFNGDITTQRTKEVFVGANTNSQTIDLLKENPDYGRLGKFYEELAALLPQSPALNVHLHEEH >KGN52198 pep chromosome:ASM407v2:5:24246221:24251705:-1 gene:Csa_5G615210 transcript:KGN52198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISRELAGQKWVLLTTAQTPTNIAVIKYWGKRDEDLILPVNDSISVTLDPSHLCTITTVAVSPNFEKDRMWLNRKEISLSGARYQNCLREIRSRANDVEDKEKGIKIEKKDWEKLHVYIDSYNNFPTAAGLASSAAGLACLVFALANLMNVKEDHSQLSAIARQGSGSACRSLYGGFVKWCMGKEKDGSDSLAVQLADEKHWDDLVIIIAVVSSRQKETSSTSGMRETVETSLLLQHRAKEIVPKRVLAMEEAIKNRDFVSFAKLTCNDSNQFHAVCLDTSPPIFYMNDTSHRIISLVEKWNRAEGEPQVAYTFDAGPNSVLIARNRKAAVSLLQRLLFQFPPNPETELNSYVLGDKTILQDAGINSVEDIESLPQPPEFNSSSQKFQGDVSYFICTRPGKGPVVLPESDSLLDPKTGLPKNL >KGN50121 pep chromosome:ASM407v2:5:5216611:5219424:1 gene:Csa_5G154830 transcript:KGN50121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADDSEPDQPPPQNDVAPISLPHKISDSSEKIDAAKDRDIALARVEWEKKMALIKAWEESEKIKAENKAYKRLSAVESWENTRKASIEAQLMKIEEKMEKKKAEYAEQMKNKIVGIHKEGEEKKQPLKQNEKNIASRLRKRQKNIVLQGSYQRLYSNASVVEMLRGYKNRFLSQICTLILVFVWYYIELGDEHFSVLFYV >KGN52388 pep chromosome:ASM407v2:5:25741750:25742466:-1 gene:Csa_5G630900 transcript:KGN52388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQVSIWVFLVCSFLLLFPNSNAVDDSMKSSKWHSLKLQEVYESNHNSKDNILIYSGYIMIGVLAVIIIVTFMICKRRRKESKGDSRLSSNRIVAVSDDGLDNKFSTVSLSMVNGLKFEDLLKAPAELIGKGNHGSLYKVMFDYGMVFAVKRFKDWGISTDEFMKRMWNIDRVKHPNVLPPLAFYSSDHEKLLVYEFQPNGSLFNLLHGN >KGN50950 pep chromosome:ASM407v2:5:13680353:13680535:-1 gene:Csa_5G365660 transcript:KGN50950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLPADVKNCSSIEVPGWGYYEEDIYHQLFSTEEFFKDELELLEKVNAMSGERMFEPLDL >KGN50203 pep chromosome:ASM407v2:5:5767419:5767869:-1 gene:Csa_5G158590 transcript:KGN50203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFYPFGYGKRSCAGIALAERMLMFILASLLHSFEWELPKDSVIDFKEKFGIVNKKLNPLVAIPTPSLSNSDLYLA >KGN51928 pep chromosome:ASM407v2:5:22726803:22727220:-1 gene:Csa_5G605220 transcript:KGN51928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQTQHLVMSFFLVSLLIIFFNTVSASRATVMIHLGQDFPASQATNQKNQPLENLWNGRMDLQFNDYQIFGANPRHTPKPTGKV >KGN52117 pep chromosome:ASM407v2:5:23807756:23808437:1 gene:Csa_5G610510 transcript:KGN52117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLIVDQSFDDDDNKGKNQASVQIHKLPFCSFSKSESQLHFPVSFSPIKANRLRRKLVAFRFRLSLN >KGN49875 pep chromosome:ASM407v2:5:3617391:3620332:1 gene:Csa_5G139530 transcript:KGN49875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECNKDEAARAKEIAERKFTERNYSAAKKFVLKAQNLYPGLDGLSQMMTTLEVYISAENKINGETDWYGILGVNHLADDDTIRKQYRKLALVLHPDKNKSLGAEGAFKLVSEAWSLLSDKAKRLAYNQKRDLKGGRQKTPTHSHSTSAPASANGFQNFKNAAPNARNVQTKVQVGPTTPFQPSLRKPETFWTLCNRCKTHYEYLRVYLNHTLLCPNCHEAFLAVEKAPPPNVFKSPSWSSQQQQQHQNSRQHPVSSNTYGTGRNAKNPDTGHSVGVNSVDNTNFHWGPSSRTTGTGSNFSSASAQAANFVQQASEKVKRDRDETQASLEVERSHLTSSKKKRTDGINNFGVHVANQIVRGDGSAGDGLPESRKSYSDSQKFHSFYGAFNRNNSQRELSIFEIRNMLMDKARAEIRKKLKEWRSMAEKATLNKQSKKQKSVLNDGTHDIKINGKSSANGKGWHGRKPESDSLAGKNTGSAKDPITINVPDPDFHNFDLDRAESSFGDDQVWACYDDDDGMPRFYARIHKVISRKPFRMRISWLNSRSNTEIGPMDWIGSGFTKTCGDFRIGRHEVTRSLNSFSHKVCWAKGLRGVIRIFPQKGEVWALYRNWSVDWNKDTSEEMVHKYDMVEVLDDFNEEQGVSVAPLVKVIGFRTVFRTHMDPKEVRKIPKEEMFRFSHQVPNYLLTGEEAQNAPKGCRELDPAATPLELLQIDAESNQATTKETRVKTEEAISCINEENVVNEVEDTLEARKVDNPGR >KGN49664 pep chromosome:ASM407v2:5:1757914:1758451:1 gene:Csa_5G054060 transcript:KGN49664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNTNKTLAIVMVAMIMVCSLMENPTNATSLDYGAIGKGDARCKDGKCEILGDPANNYTRGCEPEEHCRSGSPGQ >KGN52161 pep chromosome:ASM407v2:5:24070772:24071729:-1 gene:Csa_5G612900 transcript:KGN52161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTLSEFVFPPPASAFVNVMTVVSSAIVAVVGLSEARGKHLKYSKFWSSNNANTDRKSFNLSAKLGMLVAYIPAFLAGVVSLWLFPSEDRRILLLKSALVLHFFKRNLEVLFLHKYSSKMAVDSVITISFSYFSSTAIMIYTQHLSQGLLREPPIDLKSIGVGLFLIGIIGNFYHHYLLSQTRKQGETSYKIPKGGLFSLIICPHYLFEIIEFFGFAFISQTIYSLFFAFATALYLSGRSYATRKWYVSKFEDFPIHVKALLPFVF >KGN52319 pep chromosome:ASM407v2:5:25033153:25042371:1 gene:Csa_5G623820 transcript:KGN52319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALASKLLMPSDNGYRVWEDQTFIKWRKRDSHVPLRCQDSVEGCLKYWQDRTKVDLLVSNSAVWNDDAVQSALDSAAFWVKDLPFIKSLSGYWKFYLAATPTSVPHNFHATVFEDSQWANLPVPSNWQMHGFDRPIYTNVVYPFPLDPPHVPEDNPTGCYRTYFHLPEEWKGRRILLHFEAVDSAFFAWINGSLVGYSQDSRLPAEFEITEYCHPCGSQSKNVLAVQVLKWSDGSYLEDQDQWWLSGIHRDVILLSKPQVFIGDYFFKSHVGEDFSYADIQVEVKIDSSLEGRKENFLNNFKLEAVLFDSGSWDNHDGNIDLLSSNMANVKLSLLSVTTLGFHGYVLGGRLQKPKLWSAEQPHLYTLIVLLKDSSDQIVDCESCLVGIRSITKGPKQLLVNGRPVVIRGVNRHEHHPRLGKTNIEACMVRDLVLMKQHNINAVRNSHYPQHSRWYELCDLFGMYMVDEANIETHGFDFSGHVKHPTLQPSWAAAMLDRVIGMVERDKNHACIIVWSLGNESGYGPNHSALAGWIRGKDSSRVLHYEGGGSRTSSTDIICPMYMRVWDIVNIANDPNETRPLILCEYSHSMGNSTGNLHKYWEAIDNTFGLQGGFIWDWVDQALLKEVGNGRKRWAYGGEFGDIPNDSTFCLNGVTWPDRTPHPALHEVKYLHQAIKISSKDGTLEVLNGHFFSTTEDLEFSWSIYGDGLELGNGILSLPVIGPRGSYNIEWQSSPWYDLWASSSALEFFLTISVKLLHSTRWAEAGHIVSLSQVQLPMKREFFPHSIKNGSSTLVNEILGDSVRVYQQNLWEIKLDVQTGTLESWKVKGVPLIIKGIIPSFWRAPTENDKGGGSCSYLSVWKAAHIDNLSFTAERCSILSTTEHYVKIAVIFLGVRSDDRQASNSDLEKSNVLIQADMTYTIFGSGDVLVNCNVQPSPNLPPLPRVGVKFHLDKSMDRVKWYGRGPFECYPDRKAAAHVGVYEKNVSEMHVPYIVPGESSGRTDVRWVTFENKDGVGIYASIYGSSPPMQMRASYYSTAELERAVHNDDLVEGDDIEVNLDHKHMGVGGDDSWSPCVHEEYLLPPVPYSFSIRFCPVTPSTSGYDAYRSQLLL >KGN49670 pep chromosome:ASM407v2:5:1825839:1827193:1 gene:Csa_5G056090 transcript:KGN49670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGHGREMIQLGFPIADAPHEDDNSLGLSKDRRIEPIPSLLQDLIDRLVGDQVMTVKPDSCIIDFYNEGDHSQPHVWPSWFGRPVGVLLLTECEITFGRVIGTDHSGNYRGAMKLSLTPGNLLVVQGKSADFAKHALPAIRKQRILVTLTKSQPKRAAPADGQRTSLNVGTFSGWGPPSARSPNPRLSPGQKPYPTVPSTGVLPVPPIRPQMAPPNGIPPLIVPPVASPMPFTPVPIPTGPSAWPTAHTRHPPPRLPVPGTGVFLPPPGSSSAPTPSPQQQLPISNIETGSLSEKENGLTKSDHSSGTFPGEKPDAKAQRQECNGSIDGSGNDKVKEEEQQQQQEEEQSAQNAV >KGN49761 pep chromosome:ASM407v2:5:2953713:2955852:-1 gene:Csa_5G114580 transcript:KGN49761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSPSPDCSFPPSNSFRKSHFISTSNFSLLFSLPTSNLPSLHLNSSGCPSPILEQPSIALPDIHSNSNLHDFQLPSLPNVQDLNDFLCGLSQNPGTEDLIYDYYVKAKETAGFRPQKSTLRHLIRYLVRLKKWDLILLVSRDFVDFGVCPDRDTCSKLVSSCVRGRKFKVVKSLLEVFERDSGVAMTAFEAAMRGYNKLHMHKSTIMVFQRLKSARIEADSGCYCRVMEAYLKLGDSERVMELFNEVESRISVSTPFSTKIYGILCESLAKSGRVFESLEFFRDMRKKGIAEDYTIYSALICTFASIQEVKLAEDLYNEAKAKKLLRDPAMFLKLILMYVQQGSLEKALEIVEVMKDFKIGVSDCIFCAIVNGYATRRGYEAAVKVYEKLIEDGCEPGQVTYASAINAYCRVGLYSKAEDIFGEMEEKGFDKCVVAYSSLISMYGKTGRLKDAMRLLAKMKEKGCQPNVWIYNILMEMHGKAKNLKQVEKLWKEMKRKKIAPDKVSYTSIISAYVKASEFEKCEQYYREFRMNGGTIDKAFGGIMVGVFSKTSRVDELVKLLRDMKLEGTRLDERLYRTALNALMDAGLQVQAKWLQDHYAGKSGFV >KGN50473 pep chromosome:ASM407v2:5:7513007:7513213:1 gene:Csa_5G175950 transcript:KGN50473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEGWLATVAKVDCRKFTTGVTEGGGQSSLTEDDHQIPKIDRQTSQSLKQILLGLKNSNDRHAPNKK >KGN51335 pep chromosome:ASM407v2:5:18245024:18246999:-1 gene:Csa_5G517820 transcript:KGN51335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSSSSSSLYFLLLLLSLSFFLSMTAPLPSNSSLPFVHQHPHLVVEEVQRSINGSRRNLGYLSCGTGNPIDDCWRCDSNWETNRKRLADCAIGFGKNAIGGKNGRFYVVTDSGNDDPLNPRPGTLRHAVIQNEPLWIIFKRDMVIKLAQELVMNSFKTIDGRGASVHLAGGPCIKIHYATNIIIHGIHIHDCKRGGNANIRDSPQHAGWWTASDGDGVSILGGKQIWIDHCSLSNCNDGLIDAIHGSTAITISNNYMTHHDKVMLLGHSDSFTQDKNMQVTIAFNHFGEGLVQRMPRCRHGYFHVVNNDYTHWEMYAIGGSASPTIYSQGNRFVAPYNRFRKEVTKHEDAPESEWRHWNWRSEGDLLLNGAYFRQSGAGASSSYARAYSLSARPSSLVGDITITAGALNCRRGSRC >KGN51833 pep chromosome:ASM407v2:5:22196087:22197242:-1 gene:Csa_5G603300 transcript:KGN51833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLRPNREQRIFFGLLQIDATRIRDNPIQWRGTVALWSRPLRATPITASYVYPEPIPEFAEVETQKFKEQLSKKLAKDRETFGNDFDSVVDVCSKIFGEYLHVEYGGPGTLIVEPFTNMFIALNERKLSGAPLAARTSLLWAQNHLDNDWNIWNSKGGFK >KGN51376 pep chromosome:ASM407v2:5:18556614:18557087:-1 gene:Csa_5G524690 transcript:KGN51376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKDTWSEEEDRILIEAHKDIGNRWAEIARRLPGRTENTIKNHWNATKRRQNSKKFKGRDADSINNNKSNPNGGGGSILQNYIKTLTAVEEPNQAPESLPQLVDTHSPAAEDGGGYCFGYGMESGSGSELECLNLLQQNDAMKSEMDLLELICQGNL >KGN51644 pep chromosome:ASM407v2:5:21018100:21022556:1 gene:Csa_5G587150 transcript:KGN51644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASASTSSSSEEEITLTVKWSGKEYTVRVCGDDSVAELKRRICELTNVLPKRQKLLYPKVGSKLADDSILLSQLPLKSSLKMTMIGTVEDDIIVDQVDSPEIVDDFELGKDEVIDIKDKDVNKQKLRRRISIYKIELRNPCREGKKLLVLDIDYTLFDHRSPAENPLQLMRPFLHEFLTAAYAEYDIMIWSATSIRWVELKMGQLGVLSNPNYKITALLDHLAMITVQSDYRGTFDCKPLGLIWAQFPEFYSSRNTIMFDDLRRNFVMNPQNGLVIRPFRKAHANRDTDQELMKLTQYLLAIAELDDLSHLDHNNWELYSEDGPKRRRHA >KGN50889 pep chromosome:ASM407v2:5:12746131:12747483:-1 gene:Csa_5G314850 transcript:KGN50889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGPSPPPVKIHTYKAAVQSFPNKPPKHEVVKPIPNRSDPRSSPHSNWKSMALIHVYDATVAKSLHKHEDRALEISICGSWMQLTSSYLCHQTASYGGWIDIFDLPPILCYEQIVKFIGNHCGGYVGCANQTGRGLNFKGARLKIRPKSYGLIPARLLLPMELAGLKITVRIRGVNVMTTPNTLATAAADTHAVVRESTLPAAASMGIKSALTHQKSRDSRQFEFSANFPNPVAINIPCEQIPSHSPALPYPNTLVGPTKSSSPSFNQPSLAMVTFQADSSNLREGTKHVATPENQLQTFSDSEADLTALSPKDDFATHSWEDAVFGENPTESNLSITILPPVPTDLHNPI >KGN51689 pep chromosome:ASM407v2:5:21304480:21307807:1 gene:Csa_5G590060 transcript:KGN51689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLYIGPYKWAEIEKKDPYRNISTVHSTRPARENLSSRIQWREMISKGNKVRSKLSYRNHLLPAPERAPDSILPDSNVRAYSFIVLTFFRGSRHCILSVFPYGVEYLLTLILVMRSQFQIIRIPGDGRCLFRSVVYGACLRSGKLAPSEVLQKELADELRENVANELMKRRLDTERFIEGDFGQYVRHMRQPHVWGGEPELLMSSHVLQMPISVYMCDKKSGNLKVIAEYGQEYGKENPIRVLFHSYGHYDSLKAPCN >KGN52213 pep chromosome:ASM407v2:5:24390409:24394674:1 gene:Csa_5G616330 transcript:KGN52213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLAPVSGGAAAAGKENGGAHVQSAPELDAGALFVLKSRGSWLHCGYHLTTSIVAPALLSLPFALSMLGWVGGIISLLFCGVVTFYSYNLLSMVLEHHAMQGSRLLRFRDMASFILGPKWGSYFVGPIQFGVCCGAVVSGIVIGGQNLKFIYLLSNPDGTMKLYQFIVIFGVLILILAQVPSFHSLRHINLLSLALSLAYSACVTAASLKLDYSKNPPSRNYSLKGSEVNQLLNAFNGISIIATTYACGILPEIQATLAAPLKGKMFKGLCLCYTVIVVTFFSVAISGYWTFGNEAKGTILANLMGHTILPSWFLIITNTFCLLQVSAVTGVYLQPTNEAFEKKFADPNKKQFSIRNIVPRLISRSLSVVIATILAAMLPFFGDLMALIGAFGFIPLDFIMPMLFYNATFKPSKRGFVFWINTLIVTISSVLAIIGGIASIRQIVSDAKYYRLFANI >KGN50108 pep chromosome:ASM407v2:5:5132774:5138228:-1 gene:Csa_5G154210 transcript:KGN50108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLPRWNRSTAAATEEITKNATAINDDEATTAAKAVVCVSGMSCSACAVSVENSIKHLPGILDAAVDFLNDRAQILYLPNLTDVETILQAIENAGFQATISKDGTDHRSREVCRIRVNGMGCNSCSSMVESVLEAMYGVQKAHIALLNEEAEVHYDPKVVNCNQFIIAIQDIGFEALPITIGEHVTKIDLKIDGMHNENSTTKVKESLELVLGIDDVNIDTTLSKVTISYRPDIIGPRTFIEILESIKSEHFKVTIYPEDTERETRKQKEIKQHYKYLIWSSALSIPVFLTSMVFMYIPGIKQTLDIKVVNMMNVGHIIRWNLSTPVQFVVGSRFYFGSYKALRRGSANMDVLVTLGTNAAYFYSVYIVLRAATSPTFNGTDFFETSSMLITFILLGKYLEVLAKGKTSDAIAKLKHLAPETATLLTLDLHGNVINEAEISSELIQKNDVIKITPGARVASDGLVVWGESHVNESMITGEAKPVTKRTGDKVIGGTVNENGVLHIKATHVGSESSLSQIVRLVESSQLAKAPIQKFADHISKYFVPLVILLSFLTWIAWFLAGKLHLYPKSWLPSSMDSFELALQFGISVMVIACPCALGLATPTAMMVGTGVGASQGVLIKGGRALEFAHKVSCIVFDKTGTLTIGKPVVVNVKLMNTTVLEELLELTAATEVNSEHPVAKAIVEYAKQFKKEQNPLWPEAQEFISIPGHGVEAIVKNKKIIVGNKSLMMNNDIEIPREVEMFLVDAEGMAQTAVLVAIDRMVSGVVTVSDPLKPGTKEVISILKAMEVKSIMITGDNWGTANSIAKEVGIETIIAEAKPQQKAEEVKNLQTAGHTVAMVGDGINDSPALVAADVGMAIGAGTDIAIEAADIVLMKNDLQDVITAIHLSRKTFAKIRLNYIWALGYNLLAIPIAAGVLFPSTRFRLPPWIAGAAMAASSVSVVCSSLMLKKYKRPKKLDEIEIQMNGIVVE >KGN50349 pep chromosome:ASM407v2:5:6655212:6656293:1 gene:Csa_5G168860 transcript:KGN50349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTSLAFRCRQSITPTAIRSYVAEFISTFFYVFSVVGASMASQKYMPGITTADLSSLLVAAIANAFALASAVYIAANISGGHVNPAVTFGMAVGGHVSVPTALFYWFAQMLASVMACIILRATIVGQHVPSYAIADEMTGFGASVVEGVLTFALVYTVFAASNPRRGPCNAIGAVMIGLIAGANVLAAGPFSGGSVNPACAFGSAIVAGSFKNQAVYWVGPLIGAALAGIVHDNVVFPIENVDSFRGVSEAVIA >KGN50295 pep chromosome:ASM407v2:5:6334531:6337784:1 gene:Csa_5G166390 transcript:KGN50295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNANNPNSTVEDSSSHHQLTHDIHSTVFPYFARWQTTYGKVFTYWLGTEPFLYIAEPELVKILSKEVKAKHWGKPSVFKNDRKSMFGNGLVMAEGDEWVRQRHIITPAFNPSNLKAMASLMVESATQMVERWANLIISGNPQIEVEQEITSTAGEIIAKTSFGFHHESGRQVFNKLRQLQFTLFKTNRLVGVPFAGLLNAAKAREAKVLGEEIDELFRSVIAERRENAVAETTRTTEQQNDLLSLLLKESSSGGGGGGEGRRLSTAELIDECKTFFFGGHETTALALTWTLMLLAVHSEWQTILREEIKEVFGHNLQTQFDFTNLSSLKKMGWVMSEVLRLYPSAPNVQRQARKDITIDGLTIPNGTNMWIDVVSMHHDQALWGHQVNEFHPERFRNDTVSGGCTHKMGYLPFGFGGRMCVGRHLTFMEYKIVLTLILSRFSFSLSSDYQHSPSIMLSLRPAHGLPLVFRLLEE >KGN49938 pep chromosome:ASM407v2:5:3923102:3925548:-1 gene:Csa_5G141120 transcript:KGN49938 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division cycle MDAGSLNSSSSKSNNTRFPLQEQQLQKKHSKENLDRFIPNRSAMDFDYAHYMVTEGMKGKENPSVSSPSKEAYQKRLAETLNMNRTRILAFKNKPPAPVELIPKEFFSSVSHDKPVKARRHIPQTSEKTLDAPDLVDDYYLNLLDWGSTNVLAIALGNSVYLWNGQDGSTSELVTVDDEVGPVTSVNWAPDGRHVAVGLNNSEVQLWDSLSNRQLRTLRGGHRMRVGSLAWNNHILTTGGMDGKIINNDVRIRDHIVETYRGHDQEVCGLKWSLSGQQLASGGNDNVLHIWDKATASSNSATQWLHRLEDHTSAVKALAWCPFQGNLLASGGGSGDRSIKFWNTHTGACLNSVDTGSQVCALLWNKNERELLSSHGFAQNQLTLWKYPSMVKMGELTGHTSRVLFMAQSPDGCTVASAAADETLRLWHVFGAPEVAKPTPKSYNTEPFAHINRIR >KGN51975 pep chromosome:ASM407v2:5:22978516:22980428:1 gene:Csa_5G606660 transcript:KGN51975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVFVFFVFFLALTGRSYANYCLCRDGVGQSALQKALDYACGAGADCSSILSSGACFQPNTVKDHCNYAVNSYFQRKGQVQGSCDFNGAATPSVTLTASAPSGCVYPSSPSNAGTSPTNGGGTTPTMTPGTPSTTNPGMTPTVFGNGISPSGSGSGFNDGSGGVGFNENRNLLLAVGLSLWLSVLFLWG >KGN52585 pep chromosome:ASM407v2:5:27164756:27168629:1 gene:Csa_5G645100 transcript:KGN52585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALVCRKLGDPTTSSPSDENSPVVLSKNHPIPPLNSPTSVRVQIKATSLNYANYLQILGKYQEKPPLPFIPGSDYSGIVVAVGSKVSKFRVGDRVCSFAGDGSFAQFIVDDESRLFRVPDGCDLVAAGALPVAFGTSHVALVHRANLAPGQVLLILGAAGGVGLAAVQIGKVCGAVVIAVARGAKKVEYLKSLGVDHVVDLNHQNVIENVKAFLKERKLKGVDVLYDPVGGKLTKDSMKLLNWSANILVIGFASGEIPVIPTNIALVKNWTVHGLYWGSYGIHRPQVLEESMNQLLSWLSTGLIRVHISHIYSPLEANLAFHAIKNREAVGKVVLVFEDKAVKSKL >KGN51462 pep chromosome:ASM407v2:5:19516892:19518417:1 gene:Csa_5G550250 transcript:KGN51462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLDGTRNQLPLLQHHKEKHFTAGEIVRDIIIGVSDGLTVPFALAAGLSGANASSSIVLTAGIAEVAAGAISMGLGGYLAAKSEADQYKKELRREEEEIVLVPDTEAAEVGDILEQYGIEAHEYGPVVNSLRKNPQAWLHFMMRFELGLEKPEPKRAIQSALTIAISYILGGLVPLIPYMFFPKASEAVLASIALTLVALLVFGYAKGYFTGNKPVMSAVQTALIGAIASAAAYGMAKAIQPRQP >KGN49934 pep chromosome:ASM407v2:5:3904986:3909258:-1 gene:Csa_5G141080 transcript:KGN49934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSESAMAVVKPEMKSYIWLQTADGSIQQVEEEVAMFCPMICREILQTGMGSSKNYAISLPQRVNPAILGLILDYCRFHQVPGRSNKERKTFDEKFIRMDTKKLCELTSAADSLQLKPLVDLTSRALARIIEGKTPEEIRETFHLPDDLTEEEKLEPLRNITDDPRIRLLNRLYARKRKELREREKLKNVEIEERVDDRSVDDLLSFINGGDGDSKAVKTNKNKKKNRRRKDQQKDSSSTTNENGNHDKIEELDALPSCCQNNEFNQILGASPSRTVKSQDSAAAMYSSKIEFDDADIYDDLDPAMKEELDREVEDFARRLNSDWPERVQEILSLGQERNLVTVLTGNGSSPRFTKQVLIGGSSLPVGVQR >KGN52136 pep chromosome:ASM407v2:5:23921471:23927302:1 gene:Csa_5G611670 transcript:KGN52136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRTLFVLILCLSALRLGVSLGSRSILRTVTDKSGDSEDYAVDLNATNFDAVLRDTPATFAVVEFFAHWCPACRNYKPHYEKVARLFNGPNAVHPGKVLMTRVDCALKMNTNLCDRFSVGHYPMLFWGPPSKFVSGSWDPKQEKSEIHNIENGRTAEKLLSWINKQMGSSIGLDDEKFENEQNLSSNISDPGQIARAVYDVEEATSIAFDIILEHKMIKSETRASLIKFLQLLVVHHPSLRCRKGSAEILVNFDELNPAVMKQEEVASENGAIFCRGSKNDTRGFSCGLWVLLHSLSVRIEDGESQFAFATICDFIHNFFVCEECRQHFYEMCSSVSSPFNKARDFALWLWRAHNKVNERLLKEEESMGTADPKFPKMIWPPRQLCMSCYRSRSSQPIEWDLDEVHKFLTSYYGRTLASLYKSNSALGTIGADGVLDEPTTSTNAVAVPVGAALAIALASCAFGVLACYWRSQQKSRKPRRSSGLRPSEGYD >KGN51334 pep chromosome:ASM407v2:5:18238192:18241125:-1 gene:Csa_5G517810 transcript:KGN51334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRNDSLIKHPIRKSRTKTRRPKFLSLRLQLTSPKSPPMIHHPPLPPPPPPPQKQLTLFPLHPENLQVEDREDDVAFIFENDDVVSSSCCSLQSFLPCYQNEMSSEEESRNLVEEAELVRTAMRNRERETGVEEKWVCYSEVVEKKEEEVTSTAADEWVKKVKMMKKKKKKKKIKMEMIGKQLWLKLDYEGILNAWPDKAPLFINGESPQTVPDLHDDPYLCSDSLLSRNVMDGIGSEGHNSSNNTNNNSSSNVWKVPEIGIMKKEELILEGKEGWKMGQREASVLRYKEKRQSRLFSKRIRYEVRKLNAEKRPRMKGRFVKRS >KGN51529 pep chromosome:ASM407v2:5:20171269:20171613:1 gene:Csa_5G576710 transcript:KGN51529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDGERGKEVKVQKEECCASVHVCNTSEIRERKKEKENEWSEGRPSGSGGVPSSSFLSTVLLQTWRSSKKLGACSTLSIQKWAKSVFSIPPPTNLVPLCVILPQIRVPTKHSPL >KGN49805 pep chromosome:ASM407v2:5:3254544:3258018:1 gene:Csa_5G136890 transcript:KGN49805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMMDENVCHRESQRLEMQEMEYTMKTLTKLELDLAYSSEKLMNLHVLLMCLLAQENDFEAMDLVHDYIPDDSFGKVLVFDFLSGFLDSEVRELDSFMNTLEAETVDARGMVSTCLQSTEVFSVLEGKLLDSEKSLVQSRKQILEVKMQSTKLQRIVLSSGNWRLEDPMMSSQNDQVFNINGKSNTMTEQQRHILRMLEKSLARELDLEKQLSESKQREEELKMKLHYTEQVALRMEETAEVVWGRFLEADNSVEILMGISKEFVGRLQLVQFNLHGSFQRENDIKAKFQDWTEQLNAKEVAIQKLEKRNAELIAKNAELDKLREEVKSLEEQLKESRLDLKSAYDSNEASQDQLIEMENLVETLKESICISENRAEGAETKLTQLQETNLELTEEVSFLKDSVSNKEKKVGSLEKQLRELEIQLQHAKSSSEASQEQQNMLYSAIWDMETLIEDLKSKVSKAESKTDSAEEHCIILSETNFELNKELTSLKGQVEFLEKSLDQANGEKYANANEINLSSKFVMDMVLQLAVERDRIQSQLSILTNDNKALIEKLKNVRDSAPIVTLHREDYDEKEQSAPKKDVNILAQ >KGN49736 pep chromosome:ASM407v2:5:2729742:2733778:-1 gene:Csa_5G092940 transcript:KGN49736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLSSLLSFPQKKRNILLLNPMERNFFQFSLPSLALPVIFILFAALASSAEQEGMTSIKTDVAALLKFKDLIDKDPNGVLSNWKLENNPCSWYGVSCQSKRVIALDLSGCSLTGNVYFDPLSSMDMLLALNLSTNSFTINSTTLLQLPYNLQQLELSLAKVVGSVPENLFSKCPNLVFVDLSFNNLTSYLPENLLLNANKLQDLDISYNNLTGLISGLRIDENSCNSLLRVDLSANRIIGSIPSSISNCTNLQTLGLADNLLSGEIPRSLGELSSLQRVDISHNQLTGWLPSDWRNACNSLQELKLCYNNISGVIPASFSACSWLQIMDLSNNNISGPLPDSIFKNLISLQSLLLSNNIISGPLPSSISHCKKLQLVDLSSNRISGLVPPGICPGAESLQELKMPDNLIIGGIPPELSLCSQLKTIDFSLNYLNGSIPAELGRLQNLEQLIAWFNSLEGKIPPELGKCRSLKDVILNNNRLSGEIPTELFNCSNLEWISLTSNELTGEVPKEFGLLSRLAVLQLGNNSLSGQIPGELANCSTLVWLDLNSNKLTGEIPPRLGRQLGAKSLNGILSGNTLVFVRNVGNSCKGVGGLLEFAGIRPERLQQEPTLKTCDFTRLYSGPVLSLFTKYQTLEYLDLSYNELRGRIPEEFGDMVALQVLELSHNQLSGEIPESFGRLKNLGVFDASHNRLQGHIPDSFSNLSFLVQIDLSYNELTGRIPSRGQLSTLPASQYANNPGLCGVPLPECPSDDQQQTSPNGDASKGRTKPEVGSWVNSIVLGVLISIACVCILIVWAIAMRARRKEAEEVKMLNSLQAIHAPTTWKIDKEKEPLSINVATFQRQLRKLKFSQLIEATNGFSAESLIGSGGFGEVFKATLKDGSSVAIKKLIRLSCQGDREFMAEMETLGKIKHGNLVPLLGYCKIGEERLLVYEFMEFGSLEEMLHGRAKMQDRRILTWDERKKIARGAAKGLCFLHHNCIPHIIHRDMKSSNVLLDHDLEARVSDFGMARLISALDTHLSVSTLAGTPGYVPPEYYQSFRCTAKGDVYSFGVVLLELLTGKRPTDKEDFGDTNLVGWVKMKVNDGKQMEVIDPELLSVTKTSDESEAEEVKEMVRYLEITLRCVEEFPSKRPNMLQVVTMLRELMPGSTNGSSNSA >KGN50213 pep chromosome:ASM407v2:5:5826519:5830073:1 gene:Csa_5G160160 transcript:KGN50213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMLSIAFVSEENLAVPFQKLKLSLFPFPFPFNRAKEGKTIMAAESVRAASSVCNFNGSQRRPAAPTPLSRTQFLLRSSRPSRSHFFGTNLRLTSSPSSNLCISRQQSRPNLSVFAMAAEDGKRSVPLEDYRNIGIMAHIDAGKTTTTERILYYTGRNYKIGEVHEGAATMDWMEQEKERGITITSAATTTFWNKHRINIIDTPGHVDFTLEVERALRVLDGAICLFDSVAGVEPQSETVWRQADKYGVPRICFINKMDRLGANFFRTRDMIVTNLGAKPLVLQLPIGSEDNFKGVVDLVRMKAIVWSGEELGAKFQYEDIPEDLVDLAQDYRSQMIETVVELDDEAMENYLEGIEPDEATIKKLIRKGAISACFVPVLCGSAFKNKGVQPLLDAVVDYLPSPIDLPPMKGTDPENPELIVERVASDDEPFSGLAFKIMSDPFVGSLTFVRVYAGKLSAGSYVMNSNKGKKERIGRLLEMHANSREDVKVALAGDIVALAGLKDTITGETLCDPDHPIVLERMDFPDPVIKVAIEPKTKADVDKMATGLIKLAQEDPSFHFSRDEEINQTVIEGMGELHLEIIVDRLKREFKVEANVGAPQVNYRESISKISEVKYVHKKQSGGQGQFADITVRFEPMEAGSGYEFKSEIKGGAVPKEYIPGVVKGLEECMSNGVLAGFPVVDVRAVLVDGTYHDVDSSVLAFQLAARGAFREGMRKAGPRMLEPIMKVEVVTPEEHLGDVIGDLNSRRGQINSFGDKPGGLKVVDALVPLAEMFQYVSTLRGMTKGRASYTMQLAKFDVVPQHIQNELAAKEQEVAA >KGN51783 pep chromosome:ASM407v2:5:21911262:21916557:-1 gene:Csa_5G599870 transcript:KGN51783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQFVLQIDGAVLAPPKVSSWPKSSLFQWLNFKWLHNFTIQGSGTVNGQGFNWWTLNNKKKLKHISDMKPTALRFYSSYNVTVRDITIINSPQCHLKFDNSGTVKIDNITISSPENSPNTDGIHLQNTRDVEIQHSNIGCGDDCVSIQTGCSNIHIHHINCGPGHGISLGGLGKDKSAACVSNIVVENISIQNTLSGVRIKTWQGGIGSVKNVSFSNIQVSDVKVPIMIDQYYCDKSKCKNQTGAVAISGITYDQIIGSYSVQPIHLACSSQIPCVDVDLIDIQLKPSSESRGFQNSICWNSYGKSQAPLLPTSIDSCLRRGGGAVRRIARSHDYVCY >KGN50472 pep chromosome:ASM407v2:5:7506757:7511398:-1 gene:Csa_5G175940 transcript:KGN50472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSFRFLFSNGALLQGSEAPPVATFLETHRGAYTTTRSLNNASSILFWDRHMKRLTQSVKILSNSSPLLLSESNKTINELVKPSWIDSVPWEPAIRTLVDDSMRKVMSTALNERIEGEELTITVVVSVNLEILGENESLVDVERVKEALDVHVYVGSYVPREFGVPENGANLAVVGRGRDVAAAKYSDWVRRRKSLEKLRPPSVSELLLSNDGDQILEGSVTNFFVVCRKDNSESKETSALDSKSKYSFELQTAPVSDGVLTGVIRQLVIEACSSKGISFREVAPTWSSNEIWEEAFITSSLRILEHVNTICIPSVWDLLDSKTWSETSWNKKSFKDAPGMISSTIQKEIMERAVSEAFPIGYFV >KGN50371 pep chromosome:ASM407v2:5:6745429:6753819:-1 gene:Csa_5G169070 transcript:KGN50371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQAFRKLFDSFFGNSEMRVVMLGLDAAGKTTILYKLHIGEVLSTVPTIGFNVEKVQYKNVVFTVWDVGGQEKLRPLWRHYFNNTDGLIYVVDSLDRERIVKAKTEFQAIINDPFMLNSVILVFANKQDMKGAMTPMEVCQGLGLFDLKHRKWHIQGTCALRGDGLYEGLDWLAGTLKEMRAAGYSSVGTSF >KGN49554 pep chromosome:ASM407v2:5:39250:39594:1 gene:Csa_5G000520 transcript:KGN49554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRFLNAELSSINDEIAVKRCLETEYWFLGISSLVVAMLKPVPIGLSRNRRPNFRFHEPGLGIREMGSVFCLWKLTRHGPSSKKLPTMEEQPGPPWSQIRRGVSEMGEIGVWAS >KGN50639 pep chromosome:ASM407v2:5:8844446:8845755:-1 gene:Csa_5G199270 transcript:KGN50639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKEEDVRLGANRFTERQPIGTAAQSQDDAKDYKEPPPAPLFEPEELTSWSFYRAGIAEFFATFLFLYITVLTVMGVTRSKEADGNTCNTVGIQGIAWAFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRAIFYIVMQCLGAICGAGVVKGFQPKPYERLGGGANVVSDGYTKGDGLGAEIVGTFILVYTVFSATDAKRSARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIFNKDKAWDDHWIFWVGPFIGAALAALYHQVVIRAIPFKSK >KGN52209 pep chromosome:ASM407v2:5:24332001:24347342:-1 gene:Csa_5G615310 transcript:KGN52209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSQVNSSGDFYAGNLLLRNQNIYSGSRPSTNNSFAQHVLTYGLPMFQPNYNLNPVSMTQTNQIFTNSVHTTPPVSSNVESVAYNQVSTPSFLVRDESSCFRKNADDFIRMFQDEAPRQHCDELLQSIVESSCVGNSTPFKGVESSCVGNSTPFKGTKDFVKQKDLEIDLNRTPEQRPPKRRQHTPTVFSGERFTDLLNLPLDGNLSLYEETQENFVTVPLDEATQKRHDELLKDLTDTLSAAISEPTKEVEKGSDQAIDLNKTPEQKTPKRRKHRPKVIKEGKPKKSPKPVTPKISKETPSGKRKYVRKKNIKEATTPPANVVEIKDSNTATKTKSCRRVIHFEMEKTGDEEQEKKQNEKDVSEENMGNFCFMTRPNVPDFCSQSTSVCGTSQDVHDSTQLGPMVAENVRPTIPSNPTHMNHMTTSHILQSEREAAEVPLNKSGYNKAENWLNVLRILHQGRANQYQTGFSNGYAPVQQNICAEDMQQFANQAKRNTYYKEVMGINSGYCQTVPNHQSNINEARGSKRGRPLTTYPTQPCSITTLDSSMTCQEVRQIGEFQRQGSNINIGPLENPGKKFESGLYATLHKRYSTIQSNEGCSSHLNTIGCNPTNSVGFTAEMKQAMLNGHHIRSNQITAKEIIGDRHIHSVVHENHFQRQQVSHNLHPAVDRTSVASGLNKVASYRSLMTGDKCNMIQPFPHPKAPEQGYACRQSDNSILTVRQAYQPMISGSLATNEVHKQGYSFGFQKFPAKTTSLLENEILHKMKRLSLNDHEVSIRSEQNAIVPYKGNGAVVPYVESEYLRKRKARPRVDIDPETERIWNLLMGKEGSEGIESHEKDKEKWWEEERKVFRGRADSFIARMHLVQGDRRFSRWKGSVVDSVIGVFLTQNVSDHLSSSAFMSLAARFPVKSASNLRTQGEVETSIVANESAACVLYPAESIRWHVQELSVPRFEMPQTSINHQNQIANSGTEKIFTELGGQIVEEEVISSQDSFDSTITQGTAGARSCSGSNSEAEEPIVSYNSSSTHYSNFTDIKQMETTATIQKSFSDLNRSSVSDEVSEHKHWQLPDGKQGSLTSEWNEIDNLSGHSLINFLVNIENQPKQVPDAPSNNQLHITPDCGVLEVEGREAFSEESTSSGPSIVSGCSTEKNMTFHRLNIGALEQRLDKTSAEDNVQARSHETTRMEHSESVSEHSVHLQGNGIQFRSHCEYNLHGKYEPCERNNTSPVESVSVTNPPPELDTPAEKSAVSNVVHVHAHTEKLLPGKGNLINFSNNEAHSLSQAHNEGNISPSKAKRRKVNSEKKGGMDWDSLRKQVEANGQIKEKGKDAMDSIDYEAIRLADVREISNAIKERGMNNMLAERIKEFLNRLVTDHGSIDLEWLRDVPPDKAKDYLLSVRGLGLKSVECVRLLTLHHLAFPVDTNVGRIAVRLGWVPLQPLPESLQLHLLELYPVLESIQKYLWPRLCKLDQRTLYELHYQLITFGKVFCTKSKPNCNACPMRGECKHFASAFASARLALPAPDEKGIVASTNPMSTEKQPPIVTNPLPILPPEGSTYAENTSGPSKCEPIVEVPATPEPEPNEITESDIEDAFYEDPDEIPTIKLSMEEFKTTLQHYIPEGDMSKALVALNPEAAFIPTPKLKNVSRLRTEHQVYELPDSHPLLREMDRREPDDPSPYLLAIWTPGETANSIQPPEQSCGSQDPNRLCNEITCFTCNSRREANSQTVRGTLLVPCRTAMRGSFPLNGTYFQVNEMFADHESSMKPIDVPRKWLWNLPRRTVYFGTSVSTIFKGLVTEEIQQCFWRGFVCVRGFDQKTRAPRPLIARLHFPASKLAKVKNGQTE >KGN50540 pep chromosome:ASM407v2:5:8017798:8023905:-1 gene:Csa_5G181470 transcript:KGN50540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAISTALIHQVCSPSVARALRPRCVAAVPSRNLSTSISPSARPSPEAPKVLIGLSDQELQQLALDFGQEKYRGKQLHQLIYKRKVKEVEEFTNLPLKFRTDLQEAGWRVGRSPVYQSVTAADGTVKLLLKLDDNRLIETVGIPVEDKNNKGSVRLTACISSQVGCPLRCSFCATGKGGFSRNLQRHEIVEQVFAIEDIFNHRVTNVVFMGMGEPMLNMKSVLEAHRCLNKDVQIGQRMITISTVGVPNTIQRLASHKLQSTLAVSLHAPNQKLRETIVPSAKAYPLEALMKDCRDYFLQTSRRVSFEYALLAGVNDAVEHAVELAKLLHEWGGGYHVNLIPFNPIEGSEYQRPYKKAVMAFVAALESHKITVSVRQTRGLDANAACGQLRNQFQKNPLLVDSDISQPEAAEAAEAAEAAEAVAC >KGN50190 pep chromosome:ASM407v2:5:5673733:5675261:-1 gene:Csa_5G157970 transcript:KGN50190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTSFRRRIPAISSTSNNHTRHILFQSPHRRTPSTNRTPSKPSKFTRCSSEPNLWTTAITSAAADRNFSSEKEGEEVVIFRPHTFSEAFASSPLLIPSTDQLLEGYKKDAKVVINVTVEGSPGPVRAMVKLGSNVDDTIKLVVAKYVEEGRTPKLEPTTSPSSFELHRSYFSLQSLDRRDIIGELGSRSFYLRKTHGGESSTTSPRGITKETMDDVALPIPPGFLLSSFFARKMGKIVRRTRKLWNFIICLK >KGN51813 pep chromosome:ASM407v2:5:22069867:22071573:1 gene:Csa_5G602120 transcript:KGN51813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSRGIMELPLLSFIFLCMLFVAPSCSRYYTPPSVPRLTDLVPRVSTDQCFAKIFGASNIQLRNNGSSVDLTLDKVSGAGLVSRNKYHYGFFSASIKLPSGLTSGVVVAFYLSNADVYPHSHDEIDIELLGHDKRKDWVIQTNIYANGSVKTGREEKFYLWFDPSLKYHDYTIIWNNYHTVFLVDNVPVRELRNSEVFYPSKPMSVFVTIWDGSEWATHGGKYPVDYKHAPYTASFEEMEINGGILTPKATVPSSSKANVSGPDTAEGPEFIKLSQQQVDAMDWARRKLMFYSYCKDTSRYKVLPPECK >KGN51424 pep chromosome:ASM407v2:5:19091921:19092508:-1 gene:Csa_5G537010 transcript:KGN51424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRPRSLGEPVTDYIHIFFFSSLSSFVLENPFRSGHSTNSLSFPFPCFAHSIFRSAFFITFLPFSLLHRVS >KGN52284 pep chromosome:ASM407v2:5:24835878:24838039:-1 gene:Csa_5G623480 transcript:KGN52284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRFSNTLIGFLNLFTLIASIPIIGGGLWMARSSTTCESFLQTPLLVVGFVVLVVSLAGFIGACFNVAWALWVYLVVMLFLIATLMGLTIFGFIVTAAGGGVEAPGGRVYREYHLEQYSPWLRKRIKDPRYWLTIRSCLLGSKTCAQLASWTPLDYLQRDMSPIQSGCCKPPTACNYDMMAAGAMVSQDPDCYRWNNAPTLLCYECDSCKAGVLENVRRDWHKLSVLNVVVVILLIGVYCVGCCAFRNTKRAETDYPYGHNQMTKVRPRWDYYWWRWLHDKKEQLY >KGN51005 pep chromosome:ASM407v2:5:14502000:14502329:-1 gene:Csa_5G396030 transcript:KGN51005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFNIKRKLTKEEMKELEKKRRAKEKVKQKKEEERREKEKEHRRKEEDRKAREKERQREGEERKAEEKREKEKQRKAEKTREKEEKLKKKRKQQKALKIEKEKNKRKRK >KGN49916 pep chromosome:ASM407v2:5:3809841:3810511:-1 gene:Csa_5G139930 transcript:KGN49916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWAWRKYGQKPIKGSPYPRNYYRCSSSKGCGARKQVERSNDDPETFTITYTGDHSHPRPTHRNSLAGSSRNRSSSSSSSSRHPTMGDSDPPMMTASVLLPSSSSPAASPITPLNDYDSTIGEKDGEMFEDMPIDSDDEDDDDDILIPNLTVRDEIYVGFDGVGRGRSS >KGN51103 pep chromosome:ASM407v2:5:15974721:15974900:-1 gene:Csa_5G449150 transcript:KGN51103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVASIQSNTQIIGQRYHSLKFAPMRTYLKDPVDQELLGSKMKWIGKSLVNQSDARSVK >KGN50754 pep chromosome:ASM407v2:5:10234655:10244825:1 gene:Csa_5G223120 transcript:KGN50754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIHYIPCLEDNYSYLIIDESTKEAAVVDPVEPEKIVNIANEHGVHLKLVLTTHHHWDHSGGNEKIKQLVPGIKVYGGSIDKVKGCTDAVENGDKIPLGADVVILSLHTPCHTKGHISYYVSGKEGEDPAVFTGDTLFVAGCGKFFEGTAEQMYQSLCIKLGSLPKQTRVYCGHEYTVKNLQFALTVEPDNEKIKGKLLWAQGQRQAGQATVPSTIEEEMETNPFMRVDLPQLQEKVGNHSPVEAIREIRRLKDNWKG >KGN50815 pep chromosome:ASM407v2:5:11358404:11362764:1 gene:Csa_5G270900 transcript:KGN50815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSSSVPLFGVREEGQMRGQQPPQPQPPPPSAPSNSSTALPTPPPQKKKRNQPGTPNPDAEVIALSPKTLMATNRFICEVCNKGFQREQNLQLHRRGHNLPWKLKQKSTKEPKRKVYLCPEPTCVHHDPSRALGDLTGIKKHYSRKHGEKKWKCDKCSKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAQESARHPPNLGTAIGSHLYGGNSNVGLTLSQVPQMSSLQDHSNITQSPHDVLRLGGGRTGQFTHLLPPSIGSSFRPPPQQAMPSSNAAFFGLSDQTNQNSFHEDHHQSQSQQGLFGNKPFHGLMQFPSDIQTHANNNNNSASNLFNLSFISNPTGDNTSNMNNNNDTNTNNSNSSSNNNNNLPSSLLNQFNGTNNGNNDGPASNIFAVNIMGDQINSAAVPSLYSNTAPGGCSSGTSGGGAIPHMSATALLQKAAQLGSTTSSSNTTATLLRTFGSSSTSSGKASDRTLFPPSYGGVVFGENESNLQDLMNSFANASSGSGMFGSFGVESLEDPTKLQQNLSTVSMGGGTDRLTRDFLGVGQIVRSMSGGGGGGGYTQREHKQGGQGIVMEGNESNTAPSSNAFGGGNGNYQ >KGN50062 pep chromosome:ASM407v2:5:4799562:4800185:-1 gene:Csa_5G152270 transcript:KGN50062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDLKTKKKVLGAVSGLLGVVSMAAEENKITVIGTTDPIKIVKKVRKVWPCANIISVGQEKEDAKKEESQPKIEQIDWDKAYTTFYSYPTTHYYYPYQEPYYV >KGN51862 pep chromosome:ASM407v2:5:22362857:22364969:-1 gene:Csa_5G604070 transcript:KGN51862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSFHLQWVAVLISIITLSEACHPGDWKGLTSFKSGISLDTSGRLDKWVGQNCCEWEGVYCHNITGRVKEINLPGFISTGDVPFQSEMRGFISPSITLLDAVEIIDLGGLLGLGGKIPSSIGLRLHNLRKLYLYGNKLRGPLPESVGKLLKLEELAVHDNRLSGSLPAGLGSLKTLNRLLLYGNRFSGAIPNSFADLTNLVEMDLHSNSLVGQIPDRIGQLQMLKELDLSNNFLSGKIPLSLNNLPGLSVLYLDNNKLEGPIPFASVSGQIPSSLAFLRLNDNRLSGPIPQSFGELVSLQRASLSNNKLEGTIPSSLGSLSSLSELYLDRNRFSGKIPKSLGRLSQLILLNLSHNFIKGPLPEMSSLKNIQTIDLSYNLLNLSSIPQWLLKLPSLSKIYLAGCGIHGQIPEFLRTTPSPIQELDLSHNHLTEKIPEWIGRLTQLYSLKLSENSLTSQIPNSITNLQDLGVLDLHSNKLTGNIQQIFNISHRFPVGSLTYIDLSDNRFSGDFYQTDIGQQSGIQFLNLSNNNLKGRLPTSIGALKSIQTLDLSHNNLGFDLPETIVNAKQLETLKLQRNHFTGRIPKGFLNLRKLKELDLSNNLLVGEIPAGKPLSDFPRSAYMGNKGLCGKPLNPCKL >KGN51065 pep chromosome:ASM407v2:5:15460158:15460421:-1 gene:Csa_5G426420 transcript:KGN51065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEDSGGRIFQRDHEITDEIVNSHSKLYKKEDNPCLICDELNWRSMNGEAMQALQAPFEEEIWTAVFDFGNIKSSEPNGMTGELNKN >KGN51756 pep chromosome:ASM407v2:5:21756591:21761279:1 gene:Csa_5G598640 transcript:KGN51756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLAHCLFSTSRNITKSESSSNPHSSILKHRPLSNHFFTLQNKFLNTNPYLSYKPHHQFSSISKFKHYPFAGLSSYAEAGGEGKQEIIQFSQNHNEQEKGVEEEELPGMAQAFHISSRTVTAIITCIAISALSLPLFMKSLGLGLSLKTKILSYVTLLFGFYMAWNIGANDVANAMGTSVGSGALTLRQAVVMAAVLEFSGALLMGTHVTSTMQNGIIVAGVFQGKDMLHFAGLLSSLAAAGSWLQIASYYGWPVSTTHCIVGSMVGFGLVYGGAGAVFWGSLARVASSWVISPLIGALVSFLVYKCIRRFVYSAKNPGQAAAAAAPILVFLGVTGISYVSFPLSKNLKWATAQAVACGTVGAFLVDRLIQGQLGHLLNKAASSSKRTDESTIEGKNIGFLDDIAGPKGTQLEIVYGVFGYMQVLSACFMSFAHGGNDVSNAIGPLAAALSILHGSVIGGAEIVIPIDVLAWGGFGIVAGLMIWGYRVIATIGKKITELTPTRGFAAEFAAASVVLIASKLGLPISATHTLVGAVMGVGFARGLNSVRAETVREIVLSWAVTIPVGAFLSVLYTWILTKLLSYIL >KGN49763 pep chromosome:ASM407v2:5:2960809:2961104:1 gene:Csa_5G114600 transcript:KGN49763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEKDEEEGEEMEANVELMEEREKWFREEVKRRILEWDRNQSFRSLFCKLSKPTSGATAVAIPTIETTSFCYNIDYL >KGN52507 pep chromosome:ASM407v2:5:26597222:26601827:1 gene:Csa_5G638460 transcript:KGN52507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALCWIRLRVPRGMESFFTTDAGEKPTRRILVVTATSRAHPRLSSAITLLFKLHAHGSMSSVIETSTARILAGGSHGLVLDKKTCWMHSSTPIKKQLKPFLPSESISFCSIKFGMLNCIANNAAAAGQKATRGIFIFAATSKAHPRFQAIRK >KGN51574 pep chromosome:ASM407v2:5:20529853:20535385:1 gene:Csa_5G579600 transcript:KGN51574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLTSSSYCSSSTSQLLHRPNSLFSKFPSSTFSPFTLSNRSTLLLAAAKKKDSDSVPAVAKESKTSKSNTVGDEEEFVEVEEELPWYQEKALDLVEFSGSVTQAIPGPRVGQSSLPWILAVPLAYLGVTFVIAFVKTVRKFNSPKEKRRRQVTKNAFLCISVDELLEKGRDEVKPEALAEIVQKTGFSVDQILRKYIRYALNEKPFNPELVANLIQLRKASALEDTQVAQILNEVSRRIERDKGPVVMNMSGYTEKGFKRKLAVQALFGKIFYLSELPEFCSKDSSLSVKEIFGVTDEDAEKLRLHTLSEVGDMDSLQKMADISDSEALKDDPSDERND >KGN52523 pep chromosome:ASM407v2:5:26679796:26683086:1 gene:Csa_5G640570 transcript:KGN52523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNKQGPPKHQNKYAWKPNAGRKINETEVGGRFRPLSDITGVCLRCKDQIDWKRRYGKYKPLSEPTKCQLCSKRNVRQAYHNLCPGCAKEQGVCAKCRCRVDQTVGRDLSEVEAEQKMLQEAIKNARERDRRTLLRAMEKGKAKSSNKNKSAVEEETKDGDSIHSPTEVQAEIGRNEDDNESTDDTDGDNYENEDEHECENEKDEDGKE >KGN50902 pep chromosome:ASM407v2:5:12970165:12980787:-1 gene:Csa_5G319920 transcript:KGN50902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPGRYGLQQGWDNNSALEGYGSIHEPNFRVGGAYDERRFLDERYTRDNSYPRDAFHPDNREDYPPPAPSASGIWSQSRRRSYEDEYPIDRGSRRYEKPYNESYHDLDAFNEHEIDTYQDFDRFRDDYRSLSNVHDHGIDRLDRFGSRERDDYSYDDYDYKSNVAHQKRDDSYERDYDYGRYRYDSDYDRGSRREGSWRRRESRDRERDKRCSSWDRDPSPHRRHDRSKSRGRDGRSRSRSPRGRSHGRNYREDSYEDNRHERSERRRDREEKREREHYSVAPSATVVVKGLSQKTTEEDLYQILAEWGPLRHVRVIKERNSGISRGFAFIDFPSVGAAQTMMDKIGDDGLVVDGRKLFFEYSSKPTGGAGGSFAAENTTRSGHFSKNITMPSDWMCTICGCVNFARRTSCFQCNEPRTDDAPPADINMSNQSSLGKKGQEAGPTHVLVVRGLDENADEEMLRYEFSKHAPIKDLRLVRDKFTHVSRGFAFVHFHSVEDATKALDATNGTTLEKNGQILRVAYAKSILGPGSGPSGSSQSSSLAAAAIEAATFAQQYDAVGWAPKEYNPDDRQSNGGQEQGGKGVAIQSHGSAPQSGFVWDDASGYYYDAASGFYYDGNTGLYYDGNRGLWYTYDHQKQQYIPCTDQNESSASGKESEFSKTAEGSSNKKVVISAPAATITSVEKAASLPDAVQAAATAAIAAEKREKEKAKEIKLASKSSILANKKKMNNVLTMWKQRSHEGQATRVALDDNQSLASTEDKSFPVGQSMKNKLKADVAQTSRESTSFNLGAASNAALESQVKPRPVSNSSGGTLMGVIRGSGRGIVKSDSLYSASSSVVSSSTTIDGGFNSTPSLTADTSTPSTTSSFRTDASALGSYTPPVTSASGKRRFSEMPQSSASASREQPQTTYRDRAAERRSLYGSSTFIGDDRSNLEFGDLNRDISVKKGSLDAMPFPPGVGGGRVSGDANLNTFEVITADRAIDENNVGNRMLRNMGWHEGSGLGKDGSGMTEPVQAQAMDSRAGLGSQQKKMDPSLEIQAGDSYKTLIHKKALARFREMS >KGN51626 pep chromosome:ASM407v2:5:20912197:20917056:-1 gene:Csa_5G585990 transcript:KGN51626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITHFPFPTFDFSNSFSQHCPCNNFTAFVPFSRKSRKPFSSTLTFRRRWFLVCSLSPDGVTSNFDLEFATSARRGVRNFVVNRISNELEGEEFSQEESSVQVGSNFTGFQEDPIVDKLRTQLGAIHPIPSPPINRNIVGLFVFFFFVGVAFDKLWTFRKRSKSRNNDGRLGTWPQVPTSFSSFLEKDLQRKESVEWVNMVLGKLWKVYRPGIEDWLVGLLQPVIDNLKKPDYVERVEIKQFSLGEEPLSVRNVERRTSRRANDLQYQIGLRYTGGARMLLMLSLKFGIIPIVVPVVVRDFDIDGELWVKLRLIPTEPWVGAVSWAFVSLPKIKFELSPFRLFNLMAIPVLSMFLTKLLTEDLPKLFVRPKKIVLDFQKGKAVGPVPDEVKSGVMQEGNNDFVGELSVTLVDARKLSYLFYGKTDPYVVLSLGDQTIRSKKNSQTTVIGPPGEPIWNQDFHMLVANPRKQKLYIQVKDSLGFADLTIGNAEVDLGSLQDTVPTDTIVVLREGWGLFRNRSSGEVLVRLTYKAYVEDEEDDKAASDALDIDISDDDESSDTDEPNGVYEESENDGVKATGKESFMDVLAALIVSEEFLGIVASDALNTKLQNDPTISTSSGTTNSRSRDTAIDNKPTVSSNGSGGLADSALFWLTVITSISVLIAINIGGSSFFNP >KGN50952 pep chromosome:ASM407v2:5:13702495:13703919:1 gene:Csa_5G366670 transcript:KGN50952 gene_biotype:protein_coding transcript_biotype:protein_coding description:Allene oxide cyclase MASFLSSSTSISSLRIPTSPLLSQTQTLLPFNLSQSQSFSSPALRSSSSVSTTSNNSTITSLLFKKSKPNSDHPKSARVQELFVYEINERDRGSPAFLKLSKKAENSLGDLVPFSNKLYSGDLQKRLGITTGLCVLIQHLPEKKGDRYEAIYSFYFGDYGHITVQGAYLTYQDTYLAVTGGSGIFEGVSGQVKLQQIVFPFKLFYTFYLKGIADLPAELLGKPVPPSPTVEPAPAAKACDPSAAIPNFTD >KGN51897 pep chromosome:ASM407v2:5:22576464:22577421:-1 gene:Csa_5G604910 transcript:KGN51897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSSTSIFSPTATATAATATTSSSSTHRPFLYNFPSKITPQFNRPTTRIFVSSSTNTSRAAANSKNAAEEIVFFDGGAHYGDLVANLLLGFTLLWLPLTLAAVSRAFYLRYRFTNLRVTVISGLTGEDRSDFSYNVIKDVQVVPRFIGEWGDVVITLQDGTKVDLRSVPKFREIAKYCLSMADKPAVPKETGPKGF >KGN49633 pep chromosome:ASM407v2:5:1185340:1188461:-1 gene:Csa_5G034000 transcript:KGN49633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEMENRTFSEVVSEIIASTDELASISKNSETENEMFTELALVLEKIPPIFNDLRDYDKIVDTPTIRKAVESLEKEIKRAKCSIKVHNQKVKHVESIAHDLGRSLGLVLFATVEVSTQFKTKIGELHKELMNMKFDENCSPTSTSSRTTEFICDLRVEEIEEERTSVKACDIALHLKYGNDDEFKLAVAGLKDLIQNKNVDDGWLNEEGIVSILLNRLGSNKSVNRPLIIQVLRYLVWNNPASKEMMADVGPLSTLVKSLAGDEEERREVVGLLLELCDFVNVRRRLGRIQGCIVMLVSILKGDDQIASYDARKLLNVLSGNTQNVLYMAEAGYFKPMVQHLIEGSDMNKILMATGLSRMEHTEQSKASLGEEGVIEPLVQMFRTEKLEAKLSALSALQSLSGLKENVQRLISSGIVVSLLQLLFSVTSVLMTLREPAAAILAKISESESILTNYDVALQMLSLLNLSSPIIQNHLLQALNNIAANPSALEVRKKMVESGAIQLLFPFLMEDNTKVKSGALKLLYTLSKDAPEELEESHISLILNIISSTDCKSERVFAVGILSNVPVTQKKITDLLRKANLVPILISIMNSSSANSDIFASLSSESVAGLLVRFTNPFDRKLQLHSVEQGVIPLLVKLLSSESPVAQSKAAISLAQLSQNSLSLSKSRTSRWLCVPPSKDSICEVHGRQCLTKRTFCLVKADAIRPMIEILEGKESEVDEAVLSALTTLLEDEICDNGSNYVVKMSGVEAILKVLGSGHIDAQQKALWILERIFRIEEHRVKYGETAWSILVDLSQKGDSSLKSTIAKLLVRLELFQFQHSEYL >KGN51444 pep chromosome:ASM407v2:5:19316684:19318103:-1 gene:Csa_5G547610 transcript:KGN51444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACLVSRTGRELQRYNHMGFRQVVGCIPYRFKYNEDGMKISNEYEVLVISSQKGQGLMFPKGGWELDESLEQAASRESLEEAGVLGKVESQLGKWRFISKSQGTYYEGYMFPLFVEEQLDLWPEKHVRERIWMPVAEAREVCRHWWMKEALDILVKRLTIGEQNDNDLLATDDL >KGN52286 pep chromosome:ASM407v2:5:24849947:24857776:1 gene:Csa_5G623500 transcript:KGN52286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPEPLPWDRKDLFKERKHEKSEAIGSAARWRDSYHGSREFNRWGSADLRRPTGHGKQGGWHQFSEDSSHGYGPSRSFSDRVIEDESFRPSVPRGDGKYIRIGRESRGSFSHRDWRSHSRDANNGFGNPSRRTSSQDVSSDQRSVDDTVTYSSPQSFHGLENGPRSDVEVSLGSTDWKPLKWSRSGSLSSRGSAYSSSTNSKNEKADLPLRVASPIESPSAEATACVTSSLPSEDAISRKKPRLGWGDGLAKYEKEKVEVPDGSLRKEVALLSSGSGELTHSLGSNFAEKSPKTLPFSDCASPATPSSFACSSSSGLEDKPFSKGAGADGMICSSPGSGSQNLQKLLCSIEKMEISSVANLGSSLVELFHSDDPNTIESCFGKSTLNKLLAYKGEISKTLEMTESEIDSLENELKSLKSVNGGNVSHKKSCSATRVMESSTYFKEQDGISCIATRPAPLVVVSSSDATVEKVPLCKGDVGVEDVDTKADEIDSPGTVTSKFNEPSRVVKAIASDIVDNGHCSVVTDAIVPGKMEGSFPISGPFVDEHETIGSGNECTLAKSCTSESVYGDLMAQAGSRSSLCDSIFACNKEYASRAAEVIFKRSPVGMCKISSKSTKNVSCSETEKLIKEKFVMRKKFLKFKESALTLRFKSLQQSWKEGLLHSVKKCRSRPQKKELSLRVTHSGHQKYRSSSIRSRLVQQGACQSSTFNTEIAVRHSSKLLLNPQIKLYRNTLKMPAMILDKKEKIALRFISHNGLVEDPCAVEKERNLINPWTSAEKEIFWEKLSLFGKDFKKISSFLDLKTTADCIQFYYKNHKSDSFKKNKNLELGKQMKSSAITYLVTSGKKWNPDANATSLDILGVASVMAAQADYDIENQQKCTRHLGVGRDVESKVSWSASSPNKSNLDDLQTEKETVAADVLAGISGSISSEALSSCITSAIDPREELRERKCYRVDFAAKLPSLSDVMQKTDNEPCSDDSSEDVDSSNWTDEEKLVFMQAVSSYGKDFDMISRCIRSKSRDQCKIFFSKARKCLGLDLMHTSGDVGETPGNGNDASGSGSGTDTEEHCVVEICEGRGSDEFISKSINGGSTSVNINHEETVSAVTDNMRTSMEFEESTALQQSDEKGAEAVGNLIFETLKEEDVPNPSQPTHDHKIEGSSENTESGKSCNEPDILRSESVSTVDENSAAVSEGRATVKLAIGEEVGSDTNLHGQSTILCSGQDSTGNDSNIALEGSSVGLDPHILHPNILKVEPVEKKSCIKSEENFLSVRNSDTGVIGREQMLNQDILSPTLVLQEISDANQKPMNRDDDAEHPNNLLCNSESSTFPRSYPFNKQIFEDINRNINHAYFRVQGLSKPDINCNSKYVSEGQFLQNCNSSKPHNLAEPPFLSQNIELGHDHQKNASGSGSASDSDVPRRKGDVKLFGQILSHAPSQQNSSSGSNECGEKKGPLHNSSSKSCDMGENIPLRSYGFWDGSRIQTGLSALPDSAILQAKYPAAFSGYSATSVKTEQQPLQALSNNGDQSLNELVSAFPTKDGVVDYHSYRSRDGVKMRPFPVDIFSEMHRRNGFDAVSLSSLQQQGRVLVGMNVVGRGGILMGGSCTGVSDPVAAIKMHYAKADQYAGQPASMFTREDGSWGGGGNGGDLGSR >KGN50591 pep chromosome:ASM407v2:5:8480973:8485379:1 gene:Csa_5G188380 transcript:KGN50591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRLENLLVGSSSSSSAIEKMVKNLIKFIKGFSDFGLPEMDEVVSVFPSEKHQLHTTRSWDFMGFFQQASRTTLESDLIIGMLDTGIWPESKSFSDEGFGPPPSKWKGECKPSLNFTCNNKIIGARFFRSQPPSPGGADILSPRDTIGHGTHTSSTAGGNFVSDANLFGLAAGTSRGGVPSARIAVYKICWPDGCFGADILAAFDHAIADGVDIISISVGSIFPRNYFNDSIAIGAFHAMKNGILTSNSGGNSGPSIGSISNVSPWSLSVAASTIDRKFVTKVTLGNGESFHGISLNTFDAGDKLFPLIHAGEAPNTTAGFNGSISRLCFPGSLDMNKVQGKIVLCDLISDGEAALISGAVGTIMQGSTLPEVAFLFPLPVSLINFNAGKNIFQYLRSNSNPEAIIEKSTTIEDLSAPSVISFSSRGPNTVTLDILKPDLAASGVDILASWSEGTSITGLVGDKRIAPFNIISGTSMACPHATGAAAYVKSFHPTWSPAAIKSALMTSAFPMSPKLNTDAEFAYGAGHLNPSNAINPGLVYDAEELDYVKFLCGQGYSTEKLRLVSGDQNNCSDVTKTAASDLNYPSFGLAVIKAPPGLKVTVRPATLSFRSLGQKISFTVTVRAKADVGGKVISGSLTWDDGVHLVRSPIVSFVIPSS >KGN49937 pep chromosome:ASM407v2:5:3918903:3922646:1 gene:Csa_5G141110 transcript:KGN49937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWFVSRKGPSGFSASSTAEEVTQGINGTGLTAIVTGASSGIGTETARVLALRGVHVVMAVRNVATGREVQEAIIKENPTAKVDTMELDLSSMASVRNFASNFKSSGLPLNILVNNAGVMASPFLLSKDKIELQFATNHVGHFLLTNLLLETLKKTAKNSEREGRIVNVSSRRHQFSYREGIRFDKINDQSGYNGLSAYGQSKLANILHASELARQLKEEGVKITANSLHPGAIPTNLFRYHNLINGFLGVLGKHVMKNVQQGAATTCYVALHQQINGISGQYFADSNIAKANSQANDSELAKRLWDFTQKLVSSGLQTP >KGN52546 pep chromosome:ASM407v2:5:26882654:26883762:-1 gene:Csa_5G643250 transcript:KGN52546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNSQKMSYHAGEAKGQTQEKMSNMMDKASDAAQSAKESVQETGQQMMAKAQGAADAVKDAVNK >KGN52067 pep chromosome:ASM407v2:5:23510450:23512958:-1 gene:Csa_5G608550 transcript:KGN52067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKLDALLGRSFKTTRFKALVNLAISRLAVLANQRQVRSSQARSDVVQLLQLGHHERALFRVEQVIKDQKLLDAYAIIESYCEVVLERIKQLEHERECPEELKEAISGLIFASSRCGDFPELIEIRSVITTRFGKEFTARAIELRNNCSVGPMIVQKLSARSASLEIKLKLLKQIASEHGITLKDLEAYEASTEEKVMVMAEETKEQPQQETKEEGVFQILPEEIEKDNRYADSMRGRKKYKDVADAAQAAFESAAYAAAAARAAVELSQSKSLDHDDLSNLSPKPRKSTETLESKNTEKEMNKETHGGSSSIEVEESENEIELKQPVSSSSSEAGDASLKENEIPIEAINIIELLEKDLTFDESDVDAANGESSNSALEEKNLSFVSCEKMESESAEITANNEESDNNDTAQNTSIGNEAVIRTIDVRGH >KGN49835 pep chromosome:ASM407v2:5:3410434:3414007:1 gene:Csa_5G139140 transcript:KGN49835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASFSLPSGLSTLSSSLPTSHPKLSFPLHSLSVTFNPPAFSLSLPPIFSGLSRLHTPKNHRPLASLTDMASDKRVFDSGDDLAVSLAKYTADLSEHFANKRGAFTVVLSGGSLIKCLRKLLEPPYVDSIEWSKWHVFWVDERVVPKDHVDSNYKLAYDGFLSQVPILPGHVYAINDALSAEGAAEDYETCLKHLVNTKVVDVSAASGFPKFDLMLLGMGPDGHVASLFPGHPLLNENQKWVTFIKDSPKPPPERITFTFPVINSSAYIALVAPGASKADAVYTALGGGSSQNAETLPVQRVSPEGELTWFLDKDAASKL >KGN51826 pep chromosome:ASM407v2:5:22169573:22169982:1 gene:Csa_5G602740 transcript:KGN51826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNGTLSVEVNLKSVANEFWNCIKDSTTIIFPKRGSIQRSVLKYYKSFKGHIVVNPKADGNGSLVKWSSEFEKPSEEIMRIIVYQSIDQPNSVI >KGN52248 pep chromosome:ASM407v2:5:24635859:24636689:-1 gene:Csa_5G622630 transcript:KGN52248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIVNLRSSENEIFKVSEEVAKQSVVVRIFLEEDDSNNDEITIPLPNISGRLLGMVIEWIVMHVEEKLAEEALHAWKTKFLEDLDLDLLFELIMAANYLEVTDLFHATCQCVADKISGKSPEEIRKIFNITNDFTPEEEAEIRRQNAWVFEPSGSGSGSTSGSK >KGN51671 pep chromosome:ASM407v2:5:21156914:21158459:-1 gene:Csa_5G589390 transcript:KGN51671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASACASSAIAAVAISSSSSSKNGCPKLASNFLTGKKLRLRNLNAAATAVVAPRTVPVCAAADPDRPLWFPGSTPPPWLDGSLPGDFGFDPLGLASDPESLRWNQQAELVHCRWAMLGAAGIFIPEFLTKIGILNTPSWYTAGELEYFTDTTTLFIVELIFIGWAEGRRWADILKPGCVNTDPIFPNNKLTGTDVGYPGGLWFDPLGWGSGSPEKIKELRTKEIKNGRLAMLAVMGAWFQHIYTGTGPIDNLFAHLADPGHATVFAAFTPK >KGN52146 pep chromosome:ASM407v2:5:23989214:23994553:1 gene:Csa_5G612260 transcript:KGN52146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMTAFKSSSRRGGSTSSTSSSSIGASTSGKDSKQSGNSPKKSTIRRSRSVSAFSRSSTADVSGDFSNSRDNPLFWSNGSSSLEEARAVNLESDGSSTRISVGGPKRVSSGGVENTRGRSVSRSSDSGSIASGSRKIGGRSLSRVGTERRERSASVTRYPVSSQSFNSESEAERDSRYSTKFNNRKTPDSVLHARRESGLVRTRSSSSNALQQTKGLRDRSTHRSSFDSSDNCDVSVSCSFEDRLSTASSLSEAEEKTIRAVCEQMMSINGDRLQGHSSGGDIYDIIQYEVRRAVQDIHSDLLNAPQSSSDATGNPDIDIPPELVDLRTEYMKKLEQSHERAKKLRGDLAVEENRGLELSRILREVIPSPKTSMRRKASIERRRMSKRLTDDALAYFDECVSLSTFDGSDFSSLEETPPIHQVSSTTQVEDGTTPQEPAIGTSAEIEQYNLGHTSYKNSNLSKLGEGKAQFSFTKKPHESYGFKHDIGKYIQKEDTTESRVVSVKHCNILNDKSLKNGTERVLLDRVVLRNRIESGSLLLCGVNSAPFSSYYASII >KGN50894 pep chromosome:ASM407v2:5:12827866:12832605:-1 gene:Csa_5G315390 transcript:KGN50894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARATGRIRNGTDSSGGGFLKATFRFLKMAEFEILFVLFFIVAFIVFKDLTSRPEYNQILVKKPEGFDMWPFQMM >KGN50284 pep chromosome:ASM407v2:5:6290484:6293102:1 gene:Csa_5G165300 transcript:KGN50284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLSENFPTPTLHLQTPPSNDQTFDLIPPHVKELWERWNTRGLILFSLSLQTFLILCAPLRKRTSRKFPIFLIWSAYLLADWTASFIVGLISSNQSKSDANVYLLAFWAPFLLIHLGGPDTITAFALEDNALWLRHLIGLLFQVVATVYVFIQTIPQNKLRVPAILMFLAGIIKYAERTRALYLASLGSFRASMLKEPDPGPDYAKLMEEFTCKKDAHLPTTIQLVNEPNQEWSPFTSTAKAGDLNQLEVVQYAFLYFNKFKGLIVDLIFSFKERNESRDFFLKRTPSDALKVIEVELNFIYEVLFTKVVVIHNIFGSIFRFISSCSVTVALVLFSRLDKTDFRKLDVRITYALLVGALALDFVSFSMTVFSDWTIATLIKDDSILATFFEYLLWLKRKRVSVHKKSPFSGLKKLDTPRIFRRWRESVSQFNLIAYCLSERIPTDDSRNTSVCCGCSFAWNKTVRLLRRIKDFVIDYLGAKEFFDDWKYVSRQPVFEKLWDLIFEEMLEKSKAAETVEITEEICSSRGSYVLKSMDLPSEIDIGELISDIDEVAFDESLMLWHIATELCYRDEQNTNTNVNDTGTSYREFSKVLSDYMLYLLVMLPSMMSAVAGIGEIRFRDTCAEAKKFFDRRRFSCTLDETIIMKGCREILAVNVTDANPVEVKGDKSKSVLFNGSLLAKKLKKYNEKWEIMSKVWIEMLGYAASHCRPDQHAQQVSKGGELITVVWLLMAHFGLGEQFQISEGHARAKLRVHK >KGN49658 pep chromosome:ASM407v2:5:1631689:1635513:1 gene:Csa_5G051030 transcript:KGN49658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPTSYRSRRTTNKSDLYSTVVIHSNSDSDSDNNPDHRNPHRRPRPPTEGQDLYATMLYKDVDKPRDEDDDDDSSLPPLLKRLPKDFGGGAPIGYEDDDAFDFDQDTEDFGTMIVKTDRNRPRNRSVSSSVSTNPRTSPLPFVNFQQGSPGKRDGSDEVEDSEEEDDGDGYSTFVVRSTARSRNRESVSGTVVRRTGGSRSGSRDGGGGLDGSTMGRAVASMQGMGELGFGKQRKGNGSPMSEEDGGRIRSKVSSSSIPESITREDPHSKYELLNELGKGSYGAVYKARDIKTSELVAIKVISLCEGEEGYEEIRGEIEMLQQCSHPNVVRYLGSYQGEEYLWIVMEYCGGGSVADLMNVTEEALEEYQIAYICREALKGLTYLHSIFKVHRDIKGGNILLTEQGDVKLGDFGVAAQLTRTMSKRNTFIGTPHWMAPEVIQESRYDGKVDVWALGVSAIEMAEGLPPRSAVHPMRVCLKF >KGN52051 pep chromosome:ASM407v2:5:23427390:23429128:1 gene:Csa_5G608390 transcript:KGN52051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFVHTFLDIRVGMDLSNYADALDRERQKIQVFQRELPLCLELVTRAIDSCRQQLSGVSTESSEHTSSDRPVLEEFIPINKPCVNSHFEIEEDEQSKPGKIELGRSDWLKSAQLWNQSPDPPLTEDVAKEVVEVKNNGGGGAFQPFEKTTPLKTESAVVVGRTAGSSFPEATTISIPETTTSSTAGTASKCGGGTAKREDKESQTQRKQRRCWSSELHRRFVHALQQLGGPHVATPKQIRELMKVDGLTNDEVKSHLQKYRLHSRRPTNTAMQDSGSSSAHQQFVVVGSIWVPPPPPPKYTVATTEKGRNGIYAPVATLVGGKASQSSGGMVHSNSPATSSCTHTTTNSPNSRSAT >KGN50636 pep chromosome:ASM407v2:5:8816178:8819112:-1 gene:Csa_5G198750 transcript:KGN50636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKNAKKANLLDHHSLKHLLDESVSEIVTTRGYVEDVRLSNVKLIMGTVIIIIALIEFHFLILVSDGNGGMQIVGGVSYVIFNSGKYVVFNGILQFIVYTKEKNAILFTYPPAGSFTSTGLIVSSKLPRFSDLYTLTISSSDPKSISANEQVQFTKSVTRWFTKDGVLVEGLFWKDVEALIDEYAREPKKSK >KGN51673 pep chromosome:ASM407v2:5:21182835:21184923:1 gene:Csa_5G589900 transcript:KGN51673 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase MGLFLTNALEAGASGRVSVIGPLGLKYIEVGPLGLKYIEIGLCYVSDCEKQWEIRWILFILRVHFLPRVNFSLLRKKSQFLQSTFEEYIRNEVHYGVC >KGN50219 pep chromosome:ASM407v2:5:5880509:5884740:-1 gene:Csa_5G160220 transcript:KGN50219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMASFGYLSLFLLPFCLFLTFSSAADPFAFFDFEVSYITASPLGVPQQVIAINGEFPGPTVNVTTNNNVVINVRNKLDESMLMHWSGVQQRRSSWQDGVPGTNCPIPPKWNWTYQFQVKDQIGSFFYFPSLHFQRASGGFGGIIINNRDVIPIPFSTPDGDITILMGDWYTRNHTALRKSLDDGKDLGMPHGVLINGKGPYRYNDTLVPDGIDHETIEVHPGKTYRIRVHNVGISTSLNFRIQNHNLLLAETEGSYTVQQNYTSLDIHVGQSYSFLVTMDQNASTDYYIVASARFVNESLWKRVTGVAILHYSNSKGKAAGPLPEAPKDEFDKTFSMNQARSIRWNVSASGARPNPQGSFRYGSINVTDVYVLKNKPPVSINGKMRTTLSGISFVNPSTPIRLADQFKLKGVYKLDFPTRPLTGPPKAETSVINGTYRGFMEVILQNNDTKMQSYHMNGYAFFVVGMDYGEWSENSRGTYNKWDGIARSTIQVYPGAWTAILVSLDNVGIWNIRTENLDSWYLGQETYVRVVNPEATNKTELPMPDNALFCGQLGKLQKPQDISSATSITGDRLKMLFTLLMIISTVICALQ >KGN50110 pep chromosome:ASM407v2:5:5148444:5150920:1 gene:Csa_5G154230 transcript:KGN50110 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP dependent CoA ligase MENCTFTGLLKKAASEFPRCRALSVSGKFDLTHERLQELIEHAAARLVDAGVKAGDVVALTFPNTVEYVIMFLAVIRCRATAAPLNSAYTAEEFEFYLSDSESKLLLTSKEGISSAQTAATKLNIPQVKANLSSGDEFIELFPSPIETGSNVVEIVNDPSDAALFLHTSGTTSRPKGVPLTQQNLGASVQNIKSVYKLSESDSTVLVLPLFHVHGLMAGLLSSLIAGAAVTLPAAGRFSASTFWSDMIAYNATWYTAVPTIHQIILERHLSKPEPSYPKLRFIRSCSASLAPSILERLEQSFGAPVLESYAMTEASHLMASNPLPEDGVHKAGSVGKPIGQEMAILDENGAIQSEGVKGEVCIRGPNVTKGYKNNPDANNSAFMFGWFHTGDIGFFDSDGYLHLVGRIKELINRGGEKISPIEVDAVLLSHTDVSQAVAFGVPDNKYGEEINCAIIPREGSSINEADVLQFCKKNLASFKVPKKVFITDYLPKTASGKIQRRFVAEHFLAAKA >KGN51113 pep chromosome:ASM407v2:5:16072890:16075404:1 gene:Csa_5G453700 transcript:KGN51113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCDGGGGGGGGDLRKQMTELSKTLKEGERILAPTRRPDGTLRKPIRIRAGYVPQEEVAIYQSKGALWKKEMASHDEPPGYDPPTTDVKTKTKSAKRNERKKEKRLQAAHEKDKVLEQVVV >KGN51150 pep chromosome:ASM407v2:5:16453696:16454362:-1 gene:Csa_5G468430 transcript:KGN51150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLMIHIQCQVMELELQKLFETANSIQEEMYYLREREEEMQDLNKSTNTKMAWLSLLSLFVCLSVAGLQIWHLKTFFEKKKLI >KGN50502 pep chromosome:ASM407v2:5:7727002:7729372:-1 gene:Csa_5G177700 transcript:KGN50502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGLMMALCLITILFTIFTLFKLFLQWKNQSSCYMLAYQCYKPTDPTRTLNTDSCVKIVLRNKNLGLEEYRFLLKTIVSSGIGEHTYAPKNVLEGHEENPTLSEAVAEMDDIIFDTLDKLFAKTLVSPSDIKILVVSVSLFSPSPCLTSRIVNRYKMREDIKAFNLSGMGCSASIVAIDLVNNLFKCYDNSYAIVVATESMGPNWYVGKEKQMVLSNCLFRSGGCSMLFTNKRELKHQAMLKLKCLVRSHLGANDEAYQCCIQVEDDRGYRGFRLTKNLPKAASKIFAMNLRVLVPKILPLRELLRYVIANSFVQKNKPPKGAAMGSGGGGLRQGPITGLNLKTGVDHFCIHPGGRAVIDSVGKSLGLNAYDLEPARMALHRFGNTSSAGFWYVFGYMEAKKRLKKGERLLMISFGAGFKCNNCAWEVMRDLEDENVWKDCIDSYPPQSLTNPFMEKYSWIYDESLNFIRNKDFNFIKDN >KGN51781 pep chromosome:ASM407v2:5:21900658:21902031:1 gene:Csa_5G599850 transcript:KGN51781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFFCIYARLLCRSSTASSKFCLHTPRDFNDPIFKLESFARFVSKMRCSMVIFKADVGSDAPFTVDRQSAGKAASSQRADPWAWLMKTATRRKTIEKETKCFSPIVNLINYLRYELLYLFLGFFLEVAKMRNGQGVVL >KGN50289 pep chromosome:ASM407v2:5:6309362:6312811:-1 gene:Csa_5G165840 transcript:KGN50289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLFPVGSSPDFTEDKEQGSGHALLYLNVYDLTPINNYLYWVGLGIFHSGIEVHGMEYGFGAHEYPTSGVFEVEPKSCPGFIFRRSVLLGSTDLSRAEFRLFMEHLSSEYHGDTYHLIAKNCNHFTEEVSMRLTGKSIPGWVNRLARLGSFCNCLLPESIQISAVRHLPDHPAYSDDDDDDGSESLASSTSARSEDEESDHHLLMSPNGDVAFLKEKPVKIAREPV >KGN50187 pep chromosome:ASM407v2:5:5656443:5660485:1 gene:Csa_5G157940 transcript:KGN50187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRDGGGASSGVTVVGSDAPSEYKIAPRTSDNPPQTGGSTTPPGTQSTSTPSASAQVSGQPPPPTAASSVPGKKKRGRPRKYGPDGSVSMALSPKPISLSVPPPVIDFSTEKKGKVRPASAVSKSKFEVDNLGDWVPCSLGANFTPHIITVNAGEDVTMKIISFSQQGPRAICILSANGVISSVTLRQPDSSGGTLTYEGRFEILSLSGSFMPSDNGATRSRSGGMSVSLASPDGRVVGGGVAGLLVAASPVQVVVGSFLSGNQHEQKPKKPKHDTISPAPPTAAIPISCVDPKSNLSPSSSFRGDNWSMLPTDSRNKSTDINVSLPSA >KGN52633 pep chromosome:ASM407v2:5:27431233:27435848:-1 gene:Csa_5G647550 transcript:KGN52633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLRLRTMKMLLGLGSNDVRFIGIVGMSGIGKTTLAEMTYLRIFKPFVSALRKPYFLHFVGRSIVSLQQQLLDQLAFLKPIDIQVLDENHGVELIMQHLSSLKNVLIVFDGITERSQLEMLAGSPDWFGAGSRIIITTTNKNIFHHPNFKDKVQEYNVELLSHEAAFSLFCKLAFGDHPHTQNMDDLCNEMIEKVGRLPLALEKIAFSLYGQNIDVWEHTLKNFHQVVYDNIFSDVLKSSYEGLEAESQQIFLDLACFLNGEKVDRVIQILQGFGYTSPQTNLQLLVDRCLIDILDGHIQMHILILCMGQEIVHRELGNCQQTRIWLRDDARRLFHENNELKYIRGIVMDLEEEEELVLKAKAFADMSELRILRINNVQLSEDIECLSNKLTLLNWPGYPSKYLPSTFQPPSLLELHLPGSNVERLWNGTQNFKNLKEIDASDSKFLVETPNFSEAPKLRRLILRNCGRLNKVHSSINSLHRLILLDMEGCVSFRSFSFPVTCKSLKTLVLSNCGLEFFPEFGCVMGYLTELHIDGTSINKLSPSITNLLGLVLLNLRNCIRLSSLPTEICRLSSLKTLILNGCKNLDKIPPCLRYVKHLEELDIGGTSISTIPFLENLRILNCERLKSNIWHSLAGLAAQYLRSLNDLNLSDCNLVDEDIPNDLELFSSLEILDLSSNHFERLSESIKQLINLKVLYLNDCNKLKQVPKLPKSIKYVGGEKSLGMLRTSQGKVLCSPVCTRSEMSPSPSRDHSFTCTEYAVPKLPRSSARHSGYILRRRSSGMSLSTSKSVQLKMNSNFELFKKYSTEEVDLIKDMGKQTDNKLVLSHKTSLVGMENQVKKVCNLLDLERSKDILFVGIFGSSGIGKTTIAEVVYNTIIDEFQSGCFLYLSSKQNSLVPLQHQILSHLLSKETKIWDEDHGAQLIKHHMSNRKVVIVLDGVDERNQIEKLVGSPNWFAPGSRVIITATNRDVLHQLNYRDQVQEYKVELLSRESAYSLFCKNAFGDGPSDKNDLCSEIVEKVGRLPLALRTIGSYLHNKDLDVWNETLKRLDEEEQNYFDTILKRNVEKI >KGN51269 pep chromosome:ASM407v2:5:17701018:17703280:1 gene:Csa_5G505790 transcript:KGN51269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIHKFTLGSPGEASQPDAIKASFAEFFSMIIFVFAGQGSGLAFDKVADGGGSTTASGLIIASLGHAFALFVAVSVGANISGGHVNPAVTFGALVGGNISLFRSIMYWIAQLLGSVVACFLLKFATGGKVTPAFGLSSGVSVWNGFIFEAVMTFGLVYTVYATAIDPKRENLDIIAPISIGFIVGANILVGGAFDGASMNPAVTFGPAVLTWSWTHHWVYWLGPMTGAAIAAIVYDTLFISDSMHDPLTQYNDF >KGN52309 pep chromosome:ASM407v2:5:24971626:24971886:-1 gene:Csa_5G623725 transcript:KGN52309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERQSIKDSKYPEERKGKFFSFSNFFVFKRRLEREREGGSVCICKNHCAYEIYESLEWLTPKQPPPLALALALARSPFLRLTLFSS >KGN49929 pep chromosome:ASM407v2:5:3878713:3881274:1 gene:Csa_5G141030 transcript:KGN49929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTLIASLSSNLKLPLLSAISSSPSTFRRQTQTVPFSNSPIQTLWLNPTRNCNVISHNRSGFLISRKLESFTVFAADFEAQSDDREESTMPERFRYLAKEGSDPPVRWPFFVALPFLLYAWRAVLFELGNWRKIVVNLVGFVVSLLKGALALILYVIGDPITSVIRGIETAFYTIRSFHSSIVAYAPVSELTTIIILASTVLAISEASAPDSVRSQPYLLTLSGLAGYAAVRGYISEPFFWTILLCVYGYSSFVKKRNDVSSALPAAAVFAAIGEPWVRILAMGSFLALAITHHWKNLSQGKKEDEDEKGVYRWDIPLPLLGVALAIGIHGAAKWAGYRHLTWMIV >KGN51965 pep chromosome:ASM407v2:5:22925663:22927933:-1 gene:Csa_5G606560 transcript:KGN51965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMTSKPLLLTLLCFFTISSAEFVKVSVKGVTTIAQTDDDFICATLDWWPPNKCDYGQCPWGKAGIPNLDLQNIILAEAIKEFNPLRIRVGGSLQDQIIYRVGKSYKNCPEMRKQSDGLFGFSKGCLTMQRWDELNDFFNKTSARITFGLNALKGKKPANDGTINWVGDWDSQNARDLIRYTASKGYKIDSYELGNELSATGVSARLEPDQYGKDLTVMGKIVEEVYPNPNNRPKILGPAGFFDKEWFRVFLQNTAPNVVDGVTHHIYNLGAGVDPTLIDKVQDPYYLDQIAQTFKEAKEVSESFGAWSKPWIGESGGAYNSGGKTVSHTFADGFWYLDNLGMTASFDHQVYCRQALIGGNYALLNTTTFIPNPDYYSALLWHRLMGKKVLAASHDSSPYLRVYSHCTRNDEGVTVLLINLSNSTTHDVKIVNDRNIYPNFVGKKLKAREEYHLTPKDGDIQSDVMLLNGTPLQLTPMLEIPKMKPKLVDPTSAVSVAPHSYVFVSIKDFKASACA >KGN49702 pep chromosome:ASM407v2:5:2297337:2303772:1 gene:Csa_5G077210 transcript:KGN49702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFLQNHLNSSLSTSTPNLHWTPNLHPSPRRPHFSAKPRVLTFRVTYKCRLGVSSFRCFCSSGTELQNASLQQRTEPRPFDINLAVILAGFAFEAYTSPPENFGKRELDAAGCTTVYLSESFVRETYDGQLFIKLKKGIDLPAMDPWGTSDPYVVFQLDGQIAKSKTKWGTKQPIWNEDFTLNIKEPSTKYVQNKVEKNLSGGPVRSQEEESVTYAEKKLSENIQKLLASSSIVSFHQSLVPLNQRVISKIGEKPEGQTLLLRVQTSMSRLVFLLSFKFCRNKLNPKAAQRSSPISEASDFHVCIISPGCTKAAQRSSSTSTMQEKASNSGINDKGISLNGDGPTFRNSSDKSGESDLKKRKRISVLSLAKPTMEKRKLLRRKEKIPTKTTSLLFEASALLVTSNFPPKNPINFGFLLPISFFLGKIWIKIKAQKTCKSSSLPSPLQTVS >KGN50261 pep chromosome:ASM407v2:5:6168293:6170801:1 gene:Csa_5G162590 transcript:KGN50261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGYCSSRKLGSIHGLKSMVEDEEMKMVSSFPSIPQPQTPQEPMEFLARSWSLSASEITKALAQKQKQLYIERSPVTIPETIVAPQLPEKMVNSVHAWRVGSFGKWFNFPHKEAGNSIVKKKDRARIENARVHSAISVAALAAALAAVAAAENSDGSDSKMGAALASATEILASHCIEMAEFAGADHERVGSVIRSAVDVRSPGDLMTLTAAAATALRGEAAFRSRLPKEGRKIASVSPYDRITAQNHWATAFNSHMEEQELPCVGELLQFSRKGHLRWKEVSVYINKKSQVIASIKSKHVGGTFSKKNKCVVYGLCDETSSWPYERKRDISNEIYFGMKTAQGLLEFKCKNKNHKQSWVQGIQSLLHRVNCIETTRRSLQILSFSESI >KGN52573 pep chromosome:ASM407v2:5:27021888:27024951:-1 gene:Csa_5G644010 transcript:KGN52573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQFHYGSQRREEADELNLREWPVRARIKRENTSSRRFSGSYIRSFGEDGRSFRSNLTISSTASSPGCYSMRDEIDPSTYSFTTALKALQARSSYNSWESLSPEGFALNSKWYEAEKYICNPLSGEVPMECLSAKTLSARSFRNFRTRITMSAPLVYSTNSRQIQERPISFPQEEAIHHYPIPEKKMEGMRTKDVGTQSTPPDRSSTSPSPASTPPIKERSLKECGKEQTGSSKSYSIPKKKLEKVVTIKARKEKEVTKEEKGDRNSTNEQTWSQGGCLSWMRTRQRDKHKTRKKNFLPHLKGC >KGN50152 pep chromosome:ASM407v2:5:5403193:5407144:1 gene:Csa_5G156120 transcript:KGN50152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSVWGEFFTSALTAFLLFHGFSSSHQVPKFSFLRNATDAPTVSYYDYIIVGGGTAGCPLAATLSKKYKVLVLERGGSPYGNPNITNLSAFGAALSDLSASSPSQRFVSEDGVINSRARVLGGGSCLNAGFYTRASPDYVRRAGWEGKLVNESYEWVERVVAFEPPMGEWQSAVRDGLIEAGVKPNNGFTYDHLYGTKVGGTIFDHHGHRHTAADLLSYANPSNLNVLLYATARSIIFPSLGKRRPKAHGVVFEDSKGIKHRAYLKYGSKSEIIISAGCLGSPQLLMLSGLGPAQHLKAHNITVVLDHPMVGQSVSDNPMNAVFVPSPVPVEVSLIEVVGITQNGTYIEAASGENFAGGPSTRDFGMFSPKIGQLSTVPPKQRTAEAIAKATEAMKELNEAAFRGGFILEKIMGPISSGHLELRTRDPNDNPSVTFNYFKEPTDLHRCVAGINLIRRIIDSKSFSRFRYDNVSVATLLNMTASAPINLLPKHENLSRSPEQYCRDTVMTIWHYHGGCQTGAVVDRDYRVFGVDSLRVVDGSTFHDSPGTNPQATVMMLGRYVGVRILRERLKIRHQK >KGN50919 pep chromosome:ASM407v2:5:13143431:13143816:1 gene:Csa_5G331030 transcript:KGN50919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQQRHERKIDGWRMRREGDDKERKLHDVHLLWQPVLRREKKTTGTCRKIMRNGKSVRRRRCEQLQLGEEEGE >KGN51139 pep chromosome:ASM407v2:5:16347922:16348107:-1 gene:Csa_5G466360 transcript:KGN51139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSQPLDPKTIVVARESEPKSDVIEEGKNCLMKVVATTIFGTGCKALTTFVGLYLWTILWQ >KGN52023 pep chromosome:ASM407v2:5:23234561:23235373:1 gene:Csa_5G608110 transcript:KGN52023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSCCCDNIIQDIRPQLFDPRNVYQQFQINYFTESLHNFKAKSMAPDGVPPYLLRQVWRARTKTLKNFKATPALGVNAALRACFPNLDSAANPVVVGKWYCPFIFIREGEVGAQMRDSAYYEMTLQQNWEEIHGCYNDDDNNYDNNGHVAVDVSVRRETVLVGGVSMATKRVAVSDGVMWFGATLELGLSMAIVERMKWEEERVGFVWGKSNEDGEIERVVRREEFKGKGMWKRFRCYVLVERFVLERMNGTLVLTWEFRQTHRIRTKWE >KGN51506 pep chromosome:ASM407v2:5:19965415:19968352:1 gene:Csa_5G571490 transcript:KGN51506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRQSLSSLQRLFPRTSSFQYASARSVSCSKTITKNQTSICISSHCNEHSTLLIPNRNYTSHRSTHHRIEHSKQDLKASQKSIVESDEIAQDAEKFCKLISKNPNSCIESLLDGAPMELSPALIVEVLKKLSNAGFLALSFFRWAEKQKGFKHTTESYNLLIEALGKIKQFNVIWNLVSDMKRKGILSRETFALITRRYARARKVKEAVESFEKMEKFGFQMGVSDFNRLLDTLCKSRNVKKAQEVFDKMKHGRFKPDIKSYTILLEGWGQDQNLLKLNEVYREMRDEGFEPDVVTFGILINAHCKARKYDEAIRLFHEMEAKNIKPSPHVFCTLINGLGSEKRLKEALEFFEQLKLSGFAPEAPTYNAVVGAYCWSMKMAYAYRMVDEMRKSGVGPNSRTYDIILHHLIKGRKSKEAYSVFQRMSREPGCEPTLSTYDIMIRMFCNEERVDMAIQIWDEMKAKGVLPGMHLFSTLINSLCHEHKLEDACTYFQEMLDVGIRPPATMFSNLKQALLDDGRKDTALLMAEKIKKLRKAPLVG >KGN52457 pep chromosome:ASM407v2:5:26279636:26280466:-1 gene:Csa_5G636500 transcript:KGN52457 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor MRKRQVVLRRSEESSRDSVASSFTVRSVRYGECQKNHAAGVGGYAVDGCREFMASGDEGTTAGLTCAACGCHRNFHRRQVGTEVVCDCSSSP >KGN52344 pep chromosome:ASM407v2:5:25244025:25247234:1 gene:Csa_5G626040 transcript:KGN52344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMACASQTLIAANSCSFPSHRAMRKSQRLFSQNTSNLVLTVRASSEDSDCNVDECAPDKEVGKISMEWLAGEKTKVVGTYPPRRKGWTGYVEKDTAGQTNIYSIEPVVYVAESAISSGTAGSSSEGAENTLAIAGGIALIAVAVASSILLQVGKKPPEVKTVEYTGPSLSYYINKFNTREIVQPPVQSEPESSSQIDGVTPQVTQIQIQPDIPAPEVTDVQVESQTTEPSSSAVSSAL >KGN52361 pep chromosome:ASM407v2:5:25436412:25440987:1 gene:Csa_5G628660 transcript:KGN52361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMILLFFLLAVSVVSSGEAAFIGVNLGTDLSDMPSPTQVVALLKAQNIEHIRLYDADRAMLLALANTGIQVTVSVPNDQLLAIGMSNATAANWVSRNVIAHVPATNITAIAVGSEVLTTLPNAAPVLVSALKFIQSALVASNLDRQIKVSTPHSSSIILDSFPPSQAFFNRSWDPVMVPLLKFLQSTDSYLMLNVYPYYDYMQSNGVIPLDYALFRPLPPTKEAIDANTFLHYTNVFDAVVDAAYFAMLDLNITNVKVVVMESGWPSKGDASEPDATLDNANTYNSNLIRHVLNNTGTPKHPGVPVSTYIYELYNEDLRPGLVSEKNWGLFYPTGMPVYTLHLSSAGAVLANDTTNQTFCVAKDGADRKLLQAGLDWACGPGRVDCSPLLQGQPCYQPDNVIAHATYAFNAYYQKMGKSSGTCDFKGVAIITTTNPSHSSCIFPGSTGSNGSLVNSTSLAPSSNSSDTAGGCLPEYLYGTSPYTSSIILCVLLTALAFL >KGN51996 pep chromosome:ASM407v2:5:23081323:23082880:1 gene:Csa_5G606860 transcript:KGN51996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLIVMAILCCVSILVLLIPSASSHALDQSSPLQATEGVHSPLVLGRKFKMLEEAARVDKKVEAQGFQVAKQKDNHKENVSGQEEQGTKLNKGKGRKWVKVADMSSQIFTMDYAHLKRRRPVHNMSLKRP >KGN50173 pep chromosome:ASM407v2:5:5554942:5557575:-1 gene:Csa_5G157320 transcript:KGN50173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEEDDMDFFTFPLFIFLIFTCINLCRLIPARRNPKLPPGPTPLPIIGNLLALGDKPHLSLTNLAKSYGPILSLKFGQVTTVVVSSPETIQQVLQTHDNVLSYRFIPDAATVYDHAELGLPWIPISPNYKNHRKIFNNYLLSPKALDASRNLRRMRIDKHLDNIRRCAVNGEVVDIGTTLFSLALNLISYSIWSMDLVDTDSEMTKEFKATLRGSLEEMGRPNISDFFPVLKKMDVQGVRRRTAIHFGKMLGLIDEMIDKRLKMQETPDFTPKNDMLHHLLNMKEDNNEIPLDRNQIKHSIFVLFSGSSDTTGSVAQWAMAYLLKYPKVMCKAKEELLEVIGKGNPIEESHMEKLPYLQAIIKEVLRMQSSFLIPRKSESEVTILGFTVPKGTQIIVNLWASCRDPNLWENPDVFMPERFLESKARNFEFIPFSHGRRTCPGKGMAMRMLYLTVGSLIHCFDWKLEDGVTPENLNMDAKYELILVKSQPVRAIPLLI >KGN50186 pep chromosome:ASM407v2:5:5641678:5644964:1 gene:Csa_5G157930 transcript:KGN50186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSMVEIEWPGSLDEYSKLINRMNTPRVVIDNAVCETATLVKVDSARRHGILLEAVQVLTDLNLSIQKAYISSDGIWFMDVFHVTDLEGNKLTDEGVISYLEQSLATIHCGKPATSNDLTALELTGTDRVGLLSEVFAVLAELQCDVVEAKVWTHNGRIASLIYVKDCNSGSPIKESERIDTIVGRLRNVLKGDDDILYAKTSVSMTVTHTERRLHQMMFADRDYERKPVQQHTEDSPVVTVQNLVERGYSVVNIQCKDRMKLLFDVICTMTDMDYVVFHGTITTSRHRAYLEFYIRHTDGTPISSEAERQRVIQCLQASIERRTSRGVRLELCTTDRPCLLADVTRTFRENGLNVTRAEVSTSQEVALNLFYVTDGHGSAADTKMIDSVREKIGMSNLKVKELPLVSQQKTEGEEQAASVGGAVLLSLGSILRRNLYNLGLIRSYS >KGN50839 pep chromosome:ASM407v2:5:11813695:11813916:1 gene:Csa_5G285040 transcript:KGN50839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLDLLSPASHPWTEDSLHQRLAVDLGFNFTSVSLIIPNLDLGFAFTSIRHQERVSYSLILGIHFYQHLITWT >KGN52029 pep chromosome:ASM407v2:5:23280797:23285725:1 gene:Csa_5G608170 transcript:KGN52029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGAIVYQMPSEFSTEIPESASQPTDSKLLELICYSKSRRPQKVVLLRASAYAICEPLEAPFFKRPIFLNERCNGNPLNLPSSSCCSCCVCYTFPTYRVPVGSSIFYGPRQSTLLQWSISRRLMMGGRNRFCYTLPEYGRVLDCYEVPFSVSDGRTCHCSEGRRYRRCCMASDSDCEFDKSDGFDEEDIAEAMISLIREGFGSQEKIKSSKRLEVGNQKKYGAKERNLSSLRRVELEKKVRRGVEEKTVSSIEKKRVDRKRESNHQQEREERKNNEFGSLNSKHNNKVGSMAVELRKDGYGLIGDQLVHSRADRQSLRKEGSTCSSYYSLSSSGDIESDAEVEDKKVQFVEESSSGYRYDSLSDVGEKLDGQVKETFRRQADDERGREEETVVHDTTVGNNANWHVRKNSENELTEISTTVTSSTSGTSEMNSRLSRARESGSVSTSSTKKFVDKEEELKKAMTLNEESKKYDVSGKKVGGVSINEGKKRTEVSEISHSSAEEISRSHKRLTIKNENLELDANLISKASNNNHGTGRPVLQEKSSRRSSSFQQLLGVSENRKTERERISISQQTSQSDASESTGLHVSSNQEVEEGYHQIENHPTGEVNSRQKLLHLGVISVIKEGNTNTSVSSSEIRTQNEEQNAALVKTSNFVAKDIKSSTDQKASQRVISRKGSRDGSSVVHGTDKMSATHSEKIFENRIFKQETNKSVVEKTVKETIIRHGQNNDRVVQTESGKESKNHEEKLKVQGSINLSSQSSYQGIGVNIDENKRSQAVLMPPPSQLAARDSLRTDSTSEMGQVVSRRTSGSSSGASYMQSGGSPALDRKSYRGGGADESIEEPVYVITPDDTLGSADRLERSSAQFVGEFMEKSRNELLISETHAERNTSEVDLLHEEQDGESDLVDYQRKDHDSRLSSGSSGTKGPPDEMWHVMDSTTEQPPKTDDPEISAHSENAIVKRSGKSLWNVISDIVRLRWNSRTETSESALRSGGRNSPNESVSNETWFSGREHEESDNTKMGRTTVSEFTSLDQLEEPNLSAQGQDLSDDKKVKSKYYEVDTPSSSNTVEPKPSGGTLLVSGEAILTDGTKVEVISSGLDIEPSSIPLSTQGIKESPTIQEMSQSGKTEAFASSSADQLGHSFSAKLSETSTTETKDGEVKQRKLQRNKQVLKDRFDEWEEAYLLETEQRKIDEMFMREALAEAKKAADTWEVPVGAVLVKHGKIIARGCNLVEELRDSTAHAEMFCIREASKQLKTWRLAETTLYVTLEPCPMCAGAILQARIENLVWGAPNKLLGADGSWIRLFPNGGEGNISEQSEKPAAPVHPFHPKMTIRRGVLASECADVMQQFFQLRRRKKQKKENTPPLAIAHHPSKFLTKMHNIFHILFCL >KGN52337 pep chromosome:ASM407v2:5:25200668:25208186:1 gene:Csa_5G625970 transcript:KGN52337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQLTKYLDKEIPNVENFEREEEEEEEEEDDADEDQEDGQDDWDDWNADEEEGEEAFDSNFLCLFCNSKYNDCNVLFNHCNSVHYFDFHSIRKDLGLDFYGSFKIINYVRSQVAENRCWSCGLSCQSNLDLQHHLHKILNLNDIKALWDDDKYLKPFKQNDPLLYSFAEDEEDDDETTRENLPFHANQNQKNGMEDCTSASHGYLNAASSLEAKIENTVETTKTLELKYDVQEDNQLKIARQIFDRNDIKNANENYFGSYGSFGIHREMLSDKVRMEAYMKAILENPSLFQNAAVMDVGCGTGILSLFAAKAGASRVIAVEASEKMAAVATQIVEDNGLWRNRKQTRECGVSSGIIEVVHGMVEELDKSIEIQPHSVDVLLSEWMGYCLLYETMLSSVLFARDRWLKPGGAILPDTATILVAGFGVGGTSLPFWENVYGFRMSCVGKELVKDAAKAPIVDIVDANDLVTSPAILHTFDLATMRLDEVDFTARTELEPNLHNPSNNSNSVEVEASWCHGVVLWFETGFTSRFCKESPAVLSTSPYTPKTHWSQTILTFGEPIAVASRKSVTNISAPVGSHACPAIKLHLRLSIARAPEHRSIDISLETTGIAGDGRKRHWPVQLFTLR >KGN50513 pep chromosome:ASM407v2:5:7817651:7822158:-1 gene:Csa_5G179780 transcript:KGN50513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEGFNEMDALTDRGYVPGMSKEERENLAKSETFAIRISNVANMVLFAAKVYASIRSGSLAIIASTLDSLLDLLSGFILWFTAFSMQTPNPYQYPIGKKRMQPLGILVFASVMATLGLQIIMESIRTLLLSDSVFNLTKEQERWVVGIMISVTIVKLVLMIYCRTFTNEIVKAYAQDHFFDVVTNAIGLVAALLANYIAGWIDPLGAIILALYTIRTWSMTVLENVNSLVGRSAAPEYLQKLTYLCWNHHKAIRHIDTVRAYTFGSHYFVEVDIVLPGDMPLEEAHDIGELLQEKLELLPEIERAFVHLDYEYKHKPEHAQAHS >KGN52598 pep chromosome:ASM407v2:5:27243472:27251650:-1 gene:Csa_5G646720 transcript:KGN52598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSSGSAIFKWKKLKAMKLLCFSNHNFRLTQISPISTHLNYLHVSGHRRRESFIGVQERYKWDNGGSGSDDFHSQSNITGTPIRKIRAEANCPRCSKHMDILFSNRHFPTLNLPSSSSGDAPPKAGGGREAYEAVNLCPNCKTAYYFRPYKIAPLQGSFIEIGNLNSKPKNSSERRITTKDGKGPNGSTSGSGGNGAGDGKKNEWGGSNLGKDLPTPKEICKGLDKFVIGQEKAKKVLSVAVHNHYKRIYHASLQKGRSGNESGTQDTVDDDDSVELEKSNVLLMGPTGSGKTLLAKTLARFVNVPFVLADATTLTQAGYVGEDVESILYKLLAAAEFNVQAAQQGMIYIDEVDKITKKAESLNISRDVSGEGVQQALLKMLEGTIVNVPEKGSRKHPRGDNIQIDTKDILFICGGAFVDLEKTISDRRQDSSIGFGAPVRANMRIGGATSAAVTSSLLESVESSDLIAYGLIPEFIGRFPILVSLLALTEDQLVQVLTEPKNALGKQYKKLFGMNKVKLHYTEKALRMIAKKAIAKNTGARGLRAILESILTEAMYEIPDVKTGIERVDAVVVDEESVGPLNSRGCGGKILRGDGALERYLAETKLKESQENLEVVELQEGETELSSRAMSI >KGN50370 pep chromosome:ASM407v2:5:6729608:6742334:-1 gene:Csa_5G169060 transcript:KGN50370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDSFESPQEFCVVTEFAQGELFEILEDDKCLPEEQVQAIAKQLVRALHYLHSNRIIHRDMKPQNILIGAGSVVKLCDFGFARAMSTNTVVLRSIKGTPLYMAPELVREQPYNHTADLWSLGVILYELFVGQPPFYTNSVYALIRHIVKDPVKYPENMSSSFKSFLRGLLNKVPQNRLTWPALLEHPFVKETSDELEMKELNATSVATRGCSPTWRGEGNANLASNVSSAGGKIDAPASFQDKISVNTPNAQHNSPNSILGNNSHPEEFPGFASPNDVKHSGCQTLDKFEDNSRTVKGAQVISQDTEALTHILGQLQRWSEMSQNSCREQIILSSSQSLRILSNLAAAGAIQCTGRFDEVTHELLVFTRVIINLKSIEVNDLIIKSFSIVRTLVSKSGGVMGSLSFRHWVTLAEIFSQVICSSGDLSGDVVCESTACVAVLLSKVAQGLKASNSGSGPEVVCAPNEILRKILDHAKTSGLVDHLCLCLATSGASLISGSSILLRAACEACRALWSLIESFEILFVKENTYLFPLNAFRSHSLLRLDIRDHEKGSLQGSDSTKVVDAVTRAFLKSNAIQVSIYYCLHQRHEAALSSCIQILLRCCLHNGIVPGVLCGLPSSLPVTTVVSGGGDGTIVAEAFNVLSLCISVLNKDSQTGDMSNMKCKLVNPSSLVMHSCLLLATVAQCLKSMGRNSALFMLTTSPKRQLSRLTVLAHSFSSDDKIRNAVLPHCASGMLALASILSLEIGASVESSVSEISIPLIPRTATLCDYLKISSLGNNDGHTNEFGQFLSHWHGCMDGCVGLLEARLRWGGPLAVQQLCASNIPHLLVNMLAKNGSSAQQGMDIKNDQVGLSPIGLVWTVSSISHCLLGGSLTFRQILIRNDNVKLMSDLISDAHLKLVKGWGGPGGGKSGVKDVINVVIDLLAFPFVAVQNAPGLPSATASVNSGFLLNMGSPGGRVCMDDKDLVKAIEDDLGKYIKILLEVGVPGIVIRCLEHSEFKDIGRPVAFLAKMISHRPLAVQLVGKGLLDANRMRRLLDTSNSKEILLDILMIISDLARMDKAFYEYINGASILEFLKEFLAHEDPNIRAKACSALGNMCRHSSYFYGSLARYGIINLLIDRCSDADKRTRKFACFAIGNAAYHDDTLYGELRRSIPQLANLLVSSEEDKTKANAAGALSNLVRNSNMLCEDIVSRGAMQALLKLVADCSAVALNPSRKDAANESPLKIALFSLAKMCAHTPCRQFLLSSKLFPVIGQLRQSPESIIAKYASVIVRKVAET >KGN50743 pep chromosome:ASM407v2:5:10155143:10156623:1 gene:Csa_5G223020 transcript:KGN50743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSSSSSSSSSSCMKWSCENGYQPHVLAVDDNLVDRKLVEKLLKNSSCKVTTAENGVSALEFLGLLDEHNQHTNFERSNEWQQRSKVNMIITDYCMPGMSGYELLKKIKRSSKMKEVPVVVVSSENVPTRINKCLEEGAEMFMLKPLKQSDVVKLKCQFMN >KGN49713 pep chromosome:ASM407v2:5:2426639:2433171:-1 gene:Csa_5G080790 transcript:KGN49713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCGKIWKAKFLISFHCRPKTVPYSNHFLSSSFLRLLHNQLHNTQAAFMAAKHPKDEAYLSTTIPKRIQLFQSIQAQILADRSSLPSHQIKITLPDGTMKEGQKWKTAPLDVAKEISKSLAANALIAQVDGVLWDMSRPLENDCELKLFTFDSDEGRDTFWHSSAHILGQSLEMEYGCKLCIGPCTTRGEGFYYDAFYDDLGLNDDHFKQIESGALKAVSEKQPFERIEVTRQQALEMFSDNKFKVEIINDLPEDKTITVYRCGPLVDLCRGPHIPNTSFVKAFACLKASSAYWRGNKDRESLQRVYGISYPDQKRLKEYLHLLEEAKKYDHRLLGTKQELFFCHPLSPGSWFFLPHGARIYNKLMDFMRAQYKDRGYEEVLSPNMYNMQLWETSGHASNYKENMFVFEVWP >KGN50990 pep chromosome:ASM407v2:5:14314408:14317225:-1 gene:Csa_5G387410 transcript:KGN50990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNATSFRKIAFLNQTLISLLDGCKSMFELKRIHALLFTLGISQDETIKSKLLLFSALSPARDLDYSYKLILNVPNPTTFNWNTLIRAFSNTKNPNPSITVFIKMLQNGVSPDYLTYPFLVKATSKLLNQELGMAVHVHIVKSGHEIDKFIQNSLIHMYASCRDIASARKVFDEMPRKNLVTWNAMLDGYAKCGDLNMAREVFNLMPEKDVVSWSSLIDGYVKGRVYGEAMALFERMSFDGPMANEVTLVSALCACAHLGALEHGRMMHRYIVENELPLTIVLQTSLVDMYAKCGAIHEALTVFRACSLQEADVLIWNAIIGGLATHGLIKEAMNLFCEMKMVGIVPDEITYLCLLSCCAHGGLVEEAWYFFDCLRKHGMIPKVEHYACMVDALSRAGQVSEAYQFLCQMPVQPTSSMLGALLSGCMKHGKLDIAKVVGRRLVELDPNHDGRYVGLSNIYAADKRWDDAKNIREAMERKGVKKSPGFSFIEVYGVLHRFMAHDKTHGDCEQIFMMLNLIVDQMKPIEDYVHQECCFYDIMNVS >KGN50685 pep chromosome:ASM407v2:5:9415365:9424278:-1 gene:Csa_5G211580 transcript:KGN50685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARKEIFMAIFLLIFLFLFTHLRAEERSFDVKQHLSTVTRYGAAKGGHYSNYVPAPDGCIPIHLNLVARHGTRAPTKKRIKELNNLENQLQMLLSAAAGNGLSLHKVPSWLKGWKSPWREKVNGGELIPEGEQELYDLGIRTRKLFPDLFSDDYHPDIYTIKATQIPRASASAVAFGMGLFSGRGNLGLDHHRAFSVVSESRASDTMLRFFDCCQRYEDYRKNQEPEVEKRKEPVLDDITKSLTERYGLNFTRQHISSLWFLCKQEASLLDITDQACGMFSQSEVALLEWMDDMEVFILKGYGNSLNYRMGVPLLQDIVQSMEKAITAKEEKRVPGSYEKARLRFAHAETVLPFTCLLGLFLEGGHHGFKQIQREQSLQLPPRPPATRIWKGSAVAPFAGNNMLVLYSCPANNLSDEYLVQVLHNEEPIAMPGCDGLHFCPFQMFKDKIVAPHLEHDFNTLCTANVEEQTPSPESSKLSLFHWLFSLWNNDKQPRTDEL >KGN49925 pep chromosome:ASM407v2:5:3848771:3850271:1 gene:Csa_5G140500 transcript:KGN49925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTIMALRQGDENFGPSFKIKAVSLVEKEGESEDSNGFVDEKCESKLYIGNLDLRITEAALIKLFSPFGKIISEDFLWHTRGPKRGEPRGFAFIEYSSKEEAKLAKEKMHGKLACGRPLVVRLASEKLMTNTTNDTSRTASESRKSRLGGSSYGQLTRSDKIAAIKNKLRALEGGGESSSVKKPKQDNISYKDHNDKSSLKPS >KGN50067 pep chromosome:ASM407v2:5:4834528:4837995:1 gene:Csa_5G152820 transcript:KGN50067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTVISAVATAGPYRYDYGRLFQRPAAGAPSPGFPWRCFAKQRWRRRRLMVVKMKKKKSEEDEMVKELKMSVEEKMGKKKAEREGYLIAAIVSSFGITSMAAIAVYYRFSSQIMEGGEFPLLEMFGTFVLSIGSAVGMEFWARWAHKELWHASLWDMHESHHKPRVGAFEKNDVFAIINAIPAIALLSFGLFNQGFFPGLCFGAGLGITVFGMAYMFVHDGLVHRRFPVGPIAAVPYLRRVAAAHHIHHTDKFDGVPYGLFLGPKELEEVDGEEELQKEIRRRKVYRN >KGN50400 pep chromosome:ASM407v2:5:6976544:6988976:-1 gene:Csa_5G172800 transcript:KGN50400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGKVEMKRIENSTSRQVTFSKRRNGLLKKAYELSVLCDAEVSVIIFSQKGRLYEFSSSDMQKTIERYRKHGKDGQSNPFRSEGYMQQLKQEAEMTAKKIEQLEKSQQKLLGRGLDSCSFEEIREIERQLVLSLTRIRETKAQLFKEQKEKLIEKGKLLLEENLKLSAKCGTKPWQEEGVEGDGGINMMSNLCSQSTNSQASDHHMQTDLFIGLSCS >KGN50459 pep chromosome:ASM407v2:5:7413008:7413292:1 gene:Csa_5G175825 transcript:KGN50459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESIFSEEGMPVKHRFILKAIKLRKKSTKLRRESMLFCLRKLTGAYLVFLWVQVSEVKDERSGNMLLLFLRRKGRRGVGGPLENAEMDGNLKGD >KGN50549 pep chromosome:ASM407v2:5:8086846:8087992:1 gene:Csa_5G182050 transcript:KGN50549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSPQSLRNGGVGGGGTPSPHARIPTPHHHFHSTVSVQKLKRFNSLILVFRLSTFCFSLASAVFMITNSRGSGSDSPRWYDFDAFRYVFAANAIVAVYSLFEMIASVWEISREVTLFPEILQVWFDFGHDQAFAYLLLSADSAGTALAITLKGTDTCKVTSAFCVQSTISIALGFAGFLFLGLSSLLSGFRVVCFVINGSRFHF >KGN49630 pep chromosome:ASM407v2:5:1116013:1131844:-1 gene:Csa_5G033480 transcript:KGN49630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLQTWRKAYGALKDSTKVGLAHVNSDYADLDVAIVKATNHVECPPKERHLRKILIATSAIRPRADVAYCIHALARRLSKTRNWTVALKALIVIHRTLREGDPTFREELLNFTQRARILQLSNFKDDSSPIAWDCSAWVRTYALFLEERLECFRILKYDIESERLPRPAQGQEKGYSRTRELDSEELLEHLPALQQLLYRLIGCKPEGAAIGNYVIQYALALVLKESFKIYCAINDGIINLVDKFFEMPRHEAIKALDIYKRAGQQAGSLSDFYDICKGLELARNFQFPVLREPPQSFLNTMEEYIREAPRMVTVPNEPLLQLTYKPEESLSEDQNLPTDELEASPSNDLSITPVETAPTPPPPAPAPAPESHLETGDLLGLSLATTEVSAIEERNALALAIVPSGDTEAPTFHSNGAQANDFDPTGWELALVTTPSTNLSSANERQLAGGLDTLILDSLYDEGAYRASLQPVYGKPAPNPFEVQDPFAYSNAIAPPPSVQMAPLAQQQANPFGPFQPTFPQQQQPFTMDPTNPFGDSGFGAFPAPNHHTVPPPASNPFGSTGLL >KGN51324 pep chromosome:ASM407v2:5:18153212:18155289:1 gene:Csa_5G517710 transcript:KGN51324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAGAPDFFYREAQRLGYVARSAFKLLQIQNQFKLITSGSSVLDLGCAPGAWLQVACQSLGPPRNGGSVLGIDIKKVKVPHMHCDSRVQTVSADVMNLPKSKLKSLSPKEKGFSVILSDMCPLVSGITTRDAALSVELGMQAVNLALGEAALGVGDVIHGKDKDSVDDSTSASDCDGVLRQGGHLVLKLLESEDTQEFSRMLKPLFRKASWLRPKATRSSSREIYLICQSLHLQART >KGN49606 pep chromosome:ASM407v2:5:781143:781501:1 gene:Csa_5G022370 transcript:KGN49606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIVHLHLNNRTQIYNEGKFWAAITFQKYELCKIGKLARRPKKASRRYDCRSVMLRLDKMQWCLLLCTNYELSGKSAKQTLT >KGN50222 pep chromosome:ASM407v2:5:5902149:5907376:-1 gene:Csa_5G160250 transcript:KGN50222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANTSGFFATSVPSLRTRNFPLGACFRSGFRSSDRIPKVIFCSSSIGAEKVSSGASPSESRVPRILTRGCKLVGCGSAVPSLQISNDDLAKLVDTNDEWISVRTGIRNRRVIAGKDGSLTALAAEAARGALQMAQVEPDDVDLVLMCTSTPEDLFGNAPQVQKALGCKRNPLSYDITAACSGFLLGLVSAACHIRGGGFSNVLVIGADALSRYVDWSDRASCILFGDAAGAVLLQACDTEDDGLFGFDLHSDGDGQRHLNAGIKEKETDKSLGSNGFVAGFPPKNVSYSCIHMNGKEVFRFAVRCVPQSIEAALQQAGLNASNIDWLLLHQANQRIIDAVAARLEVPSERVISNLANYGNTSAASIPLALDEAVRSGKVKAGHTIATSGFGAGLTWGSAILRWG >KGN51530 pep chromosome:ASM407v2:5:20171664:20175742:1 gene:Csa_5G576720 transcript:KGN51530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPLKVLLLLVQCVAYSTPPFASSLFKSLLAAPKFTTTQLASIALSMIQEKVMSSGMNLSVATKALAEGACYFLQKPISRGDLKYMWQHVYRWNRNITKQTYKANCIETAKPRKESVGIQITDAVVLSRSAAAVSYNNNCCINYKPMENKEKDKSVQIGSHDSLVGSFFGGKRLSDDIEGISREKRVKYYSEPTKFGFSRIDEDHERRKEYYIASDSRTRVVWNAERRRKFTDALNKLGDKSRPKLILKMMNEPCLTLRQVANHLQKYKAQVECFKRRRENKLPCRREASKSNFSIRTQLPPLVQQHHETCRFTNGGLTSVFGGERFQLIAPKSLPNPRLPASNFINHDLTTLGHNFHHVGSNCDLVSYNVNKEVGLCLDNVQSFQKEGGAFRTDNCGKFALIGGGVQTTELNFSSVSKMTPELASSHVFDETQFPDNLLDGVVQEVDMTALKIENQEEIHSMSRDITVPDSFNLDNIFDGGQELPAASESRGNQQLADYAYLLDVLEEDPYNFVSDLNLSDVDKYSEWLRNTVLENRSGPDSFISDNADAENSPVENP >KGN52203 pep chromosome:ASM407v2:5:24287773:24290638:-1 gene:Csa_5G615260 transcript:KGN52203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLTYFLLGKQAYFFFIHVCFGDIDRSGCGLLDGQSFSPFAFALKLSFQCTLSVTTGLFFLGKCLKGITHMKYPENV >KGN52394 pep chromosome:ASM407v2:5:25775328:25778864:-1 gene:Csa_5G630960 transcript:KGN52394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWAMSTLVPFEAPPVYVVATASSPLLGCTINCLDVVGPRRTELQLSLSKKWLRVRTFVTKSNQVSFSQSRQETWEDPDDGSGSESDEEDDYDLDFESDWEGEENVQAVSIIDQPAANKYEEDLQKEVEQLLEPEERAILEQNATLNVEKLSTPKWNPLHTFALSGQIIPMDKLLDSGFDIDSVDEDGFSALHKAIIGRKDAVIGHLLRKGASPHIKDKNGATPLHYAVQVGAKQIVKLLIKYKVDVNVADSDGWTALHIAIQGRNRDITKILLVNGADRNRRNKDGKTALDLSLCYGKNFKSYDLSKLLKVVPLYGAF >KGN50822 pep chromosome:ASM407v2:5:11546662:11554152:-1 gene:Csa_5G273440 transcript:KGN50822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETEEQNNGCHLKPEAEAFKRAENVAETERKMMTPWEQHSAVISIPRFDYNAPSALLHRCQTGFLITCTIKREKSATKEAISILQKYVQYFNSSMSETLVVSDENETSKRRKVSEDVDHRSVGGESSTDEHAKETSLISTKSEAKVEKCSPISLVKLTRSGLLLFTFTKDISPDTVYIVKDIMQSLEARTLKSLAWCHRIFPIQATCSLNENDLQGVVSKLVLHFMNDKGNILSHPVKFAIGYNRRGIEETEMKKTFEDSSGVNVILGRDKCFSIVAAAVKGVVSDAIVDLKSPELCVLVELLPVSGLPSGSSVVGVSVLSNNLVTTKPRLCIKALTSDTKAKS >KGN49820 pep chromosome:ASM407v2:5:3357287:3357768:1 gene:Csa_5G138760 transcript:KGN49820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFSLPGSPAEQISTLVNVAGITIKSYWPSLFAKLAVNRNINDLILDLGCAAAAAHPLSIASFVGNVAVAAPVKKKVYTVIYI >KGN50814 pep chromosome:ASM407v2:5:11329940:11330125:-1 gene:Csa_5G270400 transcript:KGN50814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPYIYIVRQVGINACALVKVSRKKACVRKGLFEKACAYGKACDKAMVDAQHDKEGYHTSW >KGN51552 pep chromosome:ASM407v2:5:20374792:20379359:1 gene:Csa_5G577430 transcript:KGN51552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRISVVVAVSIAAYAIKQLTIRSWTSFFLPTTNCSENGEDVKKNVKQGLEEEEEEEANSISDTTSQVNGRTSDLEDGDHSSDEFQVLLLPQRNSENWLLDDNRKEEKVPEFLIENSKIELERLLKLLMELEERKVKLEGELIMCDGIKYSETDVMELRKQLDAKNDDISMLNNTISSLQAERKILKEEILKGALMKKELEEGRGKIKELQRQIQLDANQTKERLLLLKQRVSTLQAKEEEAVKKEAELYKKQKAAKDFEVEFGELKWKNRELQHENQELTSKLEVMKARIKTLTKMTETEIITKEREEAQKLKSENEDLIKQLEGLQMNRFSEVEELVYLRWINACLRYELRNNQIPAGESARYLNKSSSPKSKEKAKQLMLEYAGKEIGEAETDHESNFSHPFSSEIDNLENTSIDSSRSRTSSFREKPNSNLSLKKLIRNQGGSSAVSPPSTIDSSHRWKDPLEAVMALSAETLTLSEVRLQVSSRKSVNSVATSFQLMSKSVEQSLQQKYSTYKEHHKLAIGSEKQIKEKVENERAKSSGDSSSSNLEYEDISMRKNATLVLKLAQMKMNKISCEPDSQYDNNSTNFISSPTSSGGEVHRGSELVQFNRKMMKPEVKDHMETQRDHLVMALAMEVREASFSNMEDIVSFVIWLDEKLSSLVDGMEILEHFDWPKRKTDALREAAFGYQKLMKLREEVSSFVDNPKLTCEVALNKMNSLLDKVEQSVYALLQTRDTTISRYEELGIPIDWLLDCGVVGKIKVLCVELARKYMKRIVKEHNALSGPEKEPNREFLLFQGVRFASRVHKFAGGFDSKSMKAFEELRSRVHTETGQQN >KGN51510 pep chromosome:ASM407v2:5:19982025:19986181:1 gene:Csa_5G571530 transcript:KGN51510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLHLSYPLPIFSRRNGFRTISLSSPPKSIVSCSAAKRYTAGCKRQYTSVMIVPTGVGAAIGGYAGDALPVARALASVVDCLITHPNVLNAAMLYWPMQNVLYVEGYALDRFAEGSWALQPVHQNRVGLVLDAGMEKELQIRHLQVADAARASLGLPVMEYVVTDTPLVVEKWIDRTTGQSTGRIRHPASLLRAVQTLMNRSKVNAVAVVGRFPDDDVEEEDSYRQGMGVDTLAGVEAIISHLVVKEFQIPCAHAPALSPTPLCTSLSPKSAAEELGFTFLPCVLSGLSNAPQYLSKNSDSLGKDCLLANDVDSVIVPINACGGDGTLAFARSKQYKPLIIAVEENDTVLSDSPESLGIEAVKVANYWEAIGVVAAHKAGIDPYSLRRNRIKNINCISSTSSNGAVSSASEGFH >KGN50233 pep chromosome:ASM407v2:5:6014351:6014886:1 gene:Csa_5G161330 transcript:KGN50233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCQHEERQTSDAVAKTSGKHGLHPMSCMHELTENNHLNNDCVYPDSMHVCIGICRATPDVDLDGTLLTNALGVVGNSQRVSWCVRSFLFKNSLPDLEEKRRRSEKNEKVRENRNDHHPPPYAIVVRCASVVAFFFNNYRFLFCFFPLYYF >KGN50146 pep chromosome:ASM407v2:5:5349455:5350370:1 gene:Csa_5G155570 transcript:KGN50146 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dehydration-responsive element-binding protein 1F MDWFGQFSDPLPYYPNCSYKSESSSTLSDAGTPPPQTLVNSDEEVILASNRPKRRAGRRIFKETRHPVYRGVRQRNNDKWVCELREPNKKTRIWLGTYPTAEMAARAHDIAALALRGKSACLNFADSAWRFPIPTSDDPTVIREAAARAANECNVGREEDEGGLNQPDTYPDGTDYVDDEAMSNMPMLLANMAEGLLLSPPSFCVNDDVEWDDEAMNDDVSLWNF >KGN52564 pep chromosome:ASM407v2:5:26971809:26974874:1 gene:Csa_5G643920 transcript:KGN52564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSSEGRREIELNSEFVELRIRIDLSLLPQKRKRKRKKKREKNLNRTASTHQSTAPPGSSFDLTRSSSLLCLIFSQFRLRQISPAPDFLFLPLPFSFTIQAFQFLLTVISTWYAVYSCKSSLQLVSGHFAT >KGN49996 pep chromosome:ASM407v2:5:4354184:4359375:1 gene:Csa_5G148670 transcript:KGN49996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDLVYCEEEIERFYDTREEISSVSDWGSDCSENCSTSFGDDGDIPENLRYVGWIRNLESVYERRNKFFKWMGLDLDQNFDNTDEEEGDSWKVYRDRIIEDCGTVLRLSGSEGELSSSLTISSMSNEAPESSGNVAVEENHACTIRNLDNGTEFIVDSFRQDGMLNMLREVGSNRSFSFDEFERNIGQSPLVQQLFRKNVEKAGVIVNARKQAKKGWLRKLGAVACIVDNGEGAMKTGVSNSSSKAGIQQVRVHPYKKQSKELSSLFVGQEFEAHKGSISTMKFSVDGRYLATAGEDGVVRVWQVLEDVRFDNFDIHNVDPSSLYFSMNHLSKLDPLDVPKETLGKTKLKRSSSTACVIFPPKLFRILEKPLHEFLGHSGEVLDLSWSKKGLLLSSSVDKTVRLWQLGCDTCLRVYCHNNYVTCVSFNPIDENHFISGSIDGKVRIWEVLACQVIDYIDIREIVSAVCYRPDGKGGIVGSMTGNCRFYNIIDNRLELDAQICLNGKKKSPGKRIIGFEFSPSDPSKLMVCSADSPVHIISRSDVICKFKGLRNGGNKMSASFTSDGKHIVSASEENVYVWNYNCKDKASRKKKIWSSESFFSRSTTIAIPWSGVKITPEPPLSPTRVCDTPGSIPEMEPKYSDDDGDREHKVPSSSPDCFSLSRTLFPELLKGTATWPEEKLHDSSSMTPSPSPSMCKTEFKFLKNACQSMLSSPHMWGLVIVTAGWDGRIRTFLNYGLPIRL >KGN50237 pep chromosome:ASM407v2:5:6031211:6031621:1 gene:Csa_5G161860 transcript:KGN50237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKATASLAFLLSLNLLFFTFVSACDNCYVPAPPKPKPCPPTKPNPPSNYGKCPKDALKIGVCAKLLGGLVDLTIGKPPVTPCCTLVQGLADLEAAVCLCTAIKASVLGKTIKIPLHLSLLLNVCNKNLPNGFQC >KGN50109 pep chromosome:ASM407v2:5:5143505:5145232:1 gene:Csa_5G154220 transcript:KGN50109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALADVTPTTKVRRETAAKAVESLLQWRNSKREKPQLFDQEDFLYLIVTLKKIPPKGRTNPYKIPLPHSLHSDSSELCLIIDDRTKSNLTKDDARKKIQSENIPISKVIKLSKLKSDYRPFEAKRKLCDSYDMFFADDRVIPLLPSLLGKHFYKKKKIPVPLNLRHKNWKEQVERSCSSGLLYLRTGTCSVVKVARTSMEVEEIVDNVIAAIDGIAEVVPKKWSNVRSFFLKVLESIALPIYQTVPELKFKIEAGVKGKEDEITKEEEAAKSPTPVKVGSKKERKLSKKKGRIHEIRYMDTNGRELSNEDEGSDLDDVEVNENLKKGSDELKKGKKKKVKKSEVSKSKAAGETKVKAKKVKTAK >KGN49794 pep chromosome:ASM407v2:5:3189174:3191862:1 gene:Csa_5G129300 transcript:KGN49794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQPSKKKNFRKRNCYDSEDGGDEANSVTAISEEEEEEHRMALEEVKFLQKQRERRAGIPAVPPVSAQTTTAGAGGAPARPDPPPAGAGGASGGGGLVRKSTDANSKTGGGGGNKNESAGGEGDKDDLVLQDTFAQETAVMVEDPNMLKYIEQELAKKRGRTVETVEGAENDLKQAEDELYKIPEHLKVKRRNSNESSTQWTTGIAEVQLPIEFKLKNIEETEAAKKLLQEKRFVGRSTSEFSIPSSYSADYFHRGRDYAEKLRREHPELYKDRSLQDDGSGSKPAETGTEAAGQRQAATDEFMLERFRKRERHRVMRR >KGN50610 pep chromosome:ASM407v2:5:8607105:8607301:1 gene:Csa_5G190540 transcript:KGN50610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALIPSIFSGRRSNVFDPFSLDISHIHASKNGVLTVTVPKMEEKKPEIKSIDISG >KGN50587 pep chromosome:ASM407v2:5:8432142:8432330:1 gene:Csa_5G187850 transcript:KGN50587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSERGFPDAVVDVSKCVDNGCSDMICFDLPQRVCASAIPTISCSVFSISLPRASFISSSSQ >KGN49840 pep chromosome:ASM407v2:5:3428961:3434342:-1 gene:Csa_5G139180 transcript:KGN49840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVSGDEECPFFDAQEINAPSISEPNCDGIEAAFDSHPRFNNWVDGSLVYDVWNRSPKSVHERRRKFLTWMGMGLDRTPPPCHGNSLSLGCDWREGDVGRLRDNSGVESSDFEDVFCSGRSSISCWSTDDIELAEMASTNTVLYRDDVIKGECGRKNGDLKPSVRFAMAEELEETSSSSPSFQQMMQMEAERVNIPSRSARRVNKRWLKKLRSAACIFDKHGKSTRLVVDDDSAAGSRVRRVKVRHCKKHLKELSAMYMGQDIKAHEGAILTMKFSPNGQYLATGGDDGIVKLWQVIEDERSNESDIPEIDPSCIYFTVNRLSELKPLLVEKEKLANSMTLRKTSESACIIFPPKIFRILDRPLHEFHGHSGDILDLSWSKNNYLLSSSIDKTVRLWRLGSDDCLRVFSHSNYVTCVHFNPMDENYFISGSIDGKIRIWGIPSCQVVDWIDIREIVTAVSYHPDGRGGIVGSINGTCRFFKVIGDNNLELDGEICLSSKKKSPSKKITGFQYSAEDSSRIMVSSADSRIRIIQGLNVIQKYKGPCNTGNQTSASFTSDGKHIISASGDSNVYVWNCSYKNEAVLSPVKKIKSYEYFSSNASIAVPWYGLRCNTEAGERHFPGMQRNSSETFPLPSPAFFSLSQELLESFPKGSATWPEEKLPTSSLLPKPSMMHKSRYKFLKLSCQNTSSSHAWGLVIVTAGWDGRIRSFHNYGLPVPI >KGN51362 pep chromosome:ASM407v2:5:18466547:18469261:1 gene:Csa_5G523080 transcript:KGN51362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSYTNSPCAACKFLRRKCLADCIFAPYFPPEEPTKFANVHKIFGASNVSKLLNEVQPHQREDAVNSLAYEAEARMKDPVYGCVGAISVLQRQVIRLQKELDATNADLVRYASGSARPPSQYGRRATTASVSTRHHSGLSFLSPLSSSHHDSTYCHDKEEGN >KGN50468 pep chromosome:ASM407v2:5:7476660:7476974:1 gene:Csa_5G175905 transcript:KGN50468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNETLHSKQMYDPQNKQPNRSPFYNTSSPDQKNQTDQISDLATKDGSSLYPTLNQKQKNHLHSPMAGDNKLGAIVQNFQVDHHHLQYLSHLHLLPRHVNPSG >KGN51569 pep chromosome:ASM407v2:5:20494795:20497080:-1 gene:Csa_5G579560 transcript:KGN51569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFLKWVLLFLCFCDVLLAMSVAETTTTTVAPVCAEEDRASLLSIKARIVQDTTDILASWTGMDCCNGDWEGVACGATGRVTSLELQRPVKNSEMFMKGTLSPALGNLHFLEVIVISGMKHISGSIPESITALPHLTQLVLEDNALGGTIPSSLGHLSSLQILSLSGNHLTGQIPPTIGNLNNLLQLNLARNSLSGPIPLTFKTFSSLQYFDLSSNKLSGAIPDHVGQFKNLTYIDLSNNQISGPIPISIFSLSKLLDLLLSNNKLTGTIPVQIEGLKSITTLSLSGNQLGGQIPASISKLQNLWNLNLSRNGLSDPLPTLLSSNIPSLLTIDLSYNNFIFETVPAWIRNKQLSEVHLAGCGLKGALPTFRKPDSITSIDFSDNHFIDRTSSFLTNMSSLQKLKLSNNQLKFNLAELKLPNVLSSLDLHSNQISGSLSNILNSKTSGFLEEIDVSKNQITGIIPELNSGLGLKVLNIGSNKITGHIPSSISNLGELLKLDISRNQIQGTIPMSIGSMVKLQWLDISINSLTGKIPNTLLAIGRLRHANFRANRLCGKIPQGRPFNVFPAAAYAHNLCLCGTPLPPCRESQESKKKTNQ >KGN52610 pep chromosome:ASM407v2:5:27326544:27327237:-1 gene:Csa_5G647330 transcript:KGN52610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETPPKLPWKSRVLIRLFSSASFISRRSNITVNRFLISFFDPKIPSSSKQQNGVSTYDVVFDHSRNLWFRFFLPFSFSSSADSVTLPVIIYYHGGGFVFFSPDSMAYDDLCRRLARELGAVVASVNYRLSPEHRFPIPYEDGFEALKFLDTVENNLDFGGFPVKLDVSRCFLAGDSAGGNLPHHVALRSGGYDFKKVT >KGN49617 pep chromosome:ASM407v2:5:937468:938088:1 gene:Csa_5G026190 transcript:KGN49617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWIIVCDIPQRNYRKLSIMSLIGKLVSELEINVAAEKYYRVFKEKAFHVPTISPGIFQQVEVHDGDWDDHGHGSVKTWKYTLDGKDEVFKEQVEFDDEKYAMTMIGLEGDVFTHYKTFKGTYHVVPKGPEHSLAVMSLEYEKLDDGSPYPYSYLDIMNRVTKDIESHLK >KGN50420 pep chromosome:ASM407v2:5:7121147:7121782:1 gene:Csa_5G173470 transcript:KGN50420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIPSFSILLPSLLLLLLPSVFIILKHIKSPSSKKLPLPPGPSPWPIFGNLLQMGQNAHVSITQFANVYGPLISLKLGAQRLIVASSRAATTAVLRTQDCLLSARYIFQMTPDRALHDQHSLVFSHEYGDQWKNLRSICKVNLFTAKEIESQDTLREKKMKELVEFLESKQGSVVEIKDFVFTSVFKSLTNLIQNQVRKPTNLFPIKLTYI >KGN51911 pep chromosome:ASM407v2:5:22680595:22682034:1 gene:Csa_5G605050 transcript:KGN51911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERNDSDKVEEVMLPGFRFHPTDEELVGFYLKRKIQQKPLLIELIKQIDIYKYDPWDLPKLGGTGEKEWYFYCPRDRKYRNSARPNRVTGAGFWKATGTDRPIYSSDGTKCIGLKKSLVFYKGRAAKGVKTDWMMHEFRLPSLNDPLPTKRLIDKPIPPNDSWAICRIFKKTNSTAQRALSLSSNNMIPPLLSETNTSDDNNNNHHHNPYNNFPTKFPTLLPSFESHQYSSISNNNITYPNFDFVASCKPFNSQIISNNRSFSHLPILAEPPPPPSNTTDQEKCRVDLSSILLNMSSSVLGDFGIMKPNDTVDLHAQHQQFMNNYPQTFSHDQMQGAASDQFVGAIRSNVIGSYPLNISDLGKPNFIWDSSSSCPSEVSTSLSTTNCYT >KGN49810 pep chromosome:ASM407v2:5:3282027:3282836:1 gene:Csa_5G137430 transcript:KGN49810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISGAHFFAPEKYGMIQNPNINELLSCIPVNSISTSDDGDDQNHKPGIVIDERKQRRMISNWESARRSRMRKQKHLDELWSVVLHLRTENHSLMEKLNQLTDSEQQLLQENVKLKEEALNLHRMITDIQMGSPYTTHLRELEEAPCNTFVIMAESSSQSDE >KGN51933 pep chromosome:ASM407v2:5:22754751:22756357:-1 gene:Csa_5G605760 transcript:KGN51933 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-expansin 1 MASVLIFLAGFYAIVSSVDAYGGGGWTGAHATFYGGSDASGTMGGACGYGNLYSQGYGTNTAALSTALFNNGLSCGSCYEIKCASDPRWCLPGSIVVTATNFCPPNNALPNNAGGWCNPPLQHFDLSQPVFQHIAQYRAGIVPVAYRRVPCRRRGGIRFTINGHSYFNLVLITNVGGAGDVHSVSIRGSRTGWQAMSRNWGQNWQSNSYLNGQSLSFKVTTSDGRTVISNNAVPAGWSFGQTYSGAQF >KGN50707 pep chromosome:ASM407v2:5:9759580:9761503:1 gene:Csa_5G218240 transcript:KGN50707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSSFPDVYSWIQNLPPLSQWKSTSISTPICSSSSTNSSLNVVAAKSLHSPTITLSVIADFSLPISLWISEPLKTSTKSSNLLDDQENLFSLLLNCVRDVLHYGSNQQNYFNLSFPKLNITFNLKEIFNLAFLTLIFLICIYEAPTSLRLDGLTTVKYHLANCWSRQTSKVFMKLLGSNLEEQWMRSINLAITNWILELKANCCTLKTPSPLFSYSYSTHGLWKVQLYCPVIAMDHIENSSSPSTDERLQFSLNYHQLEGVLQFNYKAEVHEKWINLRVHVDNIRCGVIQLVNDTLMSKRGVGRSEKHFPSKISLQITPTIQTNIISVSVSKSSGNPRIEVGTEKTLEAGFEGQNPYPCIKLAVGETATASLRPWKFEQMVHGNTGILNWYLHDSSDGKEVALRKPSRFALINPRAWFRDRYTSAFRPFNKQGGVIFAGDEYGDGICWKIEREGRGKTMDWEIRGWIWLTYWPNKHKTFYTETRRLEFKEILHFSIP >KGN49710 pep chromosome:ASM407v2:5:2352370:2361710:-1 gene:Csa_5G077780 transcript:KGN49710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSAASNLPSGGPHSGLSSDALYRELWHACAGPLVTLPRQDERVYYFPQGHMEQLEASMHQGLEQQMPSFNLPSKILCKVVNVVLRAESDTDEVYAQITLLPESNQNEVTSPDPPLPEPTRCNVHSFCKTLTASDTSTHGGFSVLRRHADDCLPPLDMSQQPPWQELVATDLHGNQWHFRHIFRGQPRRHLLTTGWSVFVSSKKLVAGDAFIFLRGENGELRVGVRRLMRQLNNMPSSVISSHSMHLGVLATASHAISTGTLFSVFYKPRTSRSTFLVSLNKYLEAQNHKLSVGMRFKMRFEGEEVPERSFSGTIVGLGDNASPGWANSEWRSLKVQWDEPSSILRPDKVSAWELEPLVASNPLSTQPTQRNKRPRPTVLPSSSPDATVLGGWKPTVESSTFSYAEPQRGRDLYSSPKFSTAASNSLGFNANSSLGAVSSNNYWCNTNRVENIMDPSSHGANREPVEKKQNSRNGCRLFGIQLLGNSNVDEASPVSTPKMGGEDRLVPPIDTDFEQHSEPSNIHRSDIPSISCDADKSCLISPLESQSRQIRSCTKVHMQGIAVGRAVDLTRFNQYDDLLRKLEEMFDIEGELCGSLKKWQVVYTDDEDDMMLVGDDPWNEFCSMVRKIFIYTTEEVKRLSPKIKLPLGGEAKLSKPDSDIIANHTEDQSSIVGSDC >KGN50278 pep chromosome:ASM407v2:5:6250952:6257654:-1 gene:Csa_5G165230 transcript:KGN50278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRQIDGHAVPSTSTNGRLASASTSSSSRPDYSSRAVQEALKHLALIDLIELCNEAKVEHCRATRDLRSCGRDVQFVLNSCGHASLCEECCQRCDVCPICRVPVPKSGARTRLRLFYECVEAGLIPKNSKERPLEEDEENRITTDVQRLYSLFDIALENNLVSLICHYVTDVCMDESAVSSDPVLAFLLDEVVVKDWCKRASRNIITELQEIYNSDVEGMRSRMSLLLKFSVLLAGISNVLEVLDSSFRSSHSAQLEDLHNLHEGILKIKQHLEIMMWCIRHQFLENVRSRHSSFLAWLTAVRERKSAAIRRSWPDALDDSADSSGLDGSLFIEDALGNLDVQQLYSLDAVDGIKIASLENDGAPSNFSSKIGGSSSCYPFENLRVAVDVLFLRGSSDVVVAKKAILLYYLFDRHWTLPDEKWRHIIEDFAATFSITRHSILESFVFYLLDDRTDEALQEACRLLPQISGPTTHPKIAQVLLERKNPDTALMVLRWSGRDSVSVPVSLVEAVIGVRVRVECALLTEAYMYQKMLCNRVRDRKNYKEHEDTFDNAQGKFRSWEDWMKILVTEICFLCIRRNFVDRMIELPWNSDEEKHLHKCLLEWSTAHPSTTIGSLLFVYYLQRYRYPEAYQVNLLLQKAELGCISENSVGEDVLSRMKSTSHWRAGLVDKFMELLPEAQQLEIKSGKPANTGANSQVEVAPNANPSVVQDQHLSSVLIPSANTSTVSHRIDSKGIFKPPVFETPGRLGGTLNHSKIATFGSALIHERRFGSKERIPKQTNLHESVNFQDVFSSGFHQASAMNISPSEEATRSSSRVLNSPLFGNDPEKLSLVKEQIGKSNQVRNTPPYSRRITANPIYNTPSSNFGLLDAPSRGVQENGSTTKVAVSTRDNGTWNFSSLDDPMDISSHGEVQDSAADTRYLNGAPRWRSDEASDEEEPGLDRASSIVRHRVTRSSTRRTRSTKR >KGN51562 pep chromosome:ASM407v2:5:20454013:20454952:1 gene:Csa_5G579000 transcript:KGN51562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLALSRARSLGHSSKHLHVSSSDDRISQSSIKKYNGKDCILKRLNKNGKKTDNIIHALREHVKLGAKISETVKGKLSLGARILRVGGVRKIYKKLFSMSEEEKLLKVSQCYLSTTAGPLPGLLFISTHKIAFCSDKSIKIASPNGDHIRIHYKVVIPKEKVMRVNESENVKKTSERYIQIETLDNFEFWFMGFLNYQSTFNSLQEVAR >KGN51451 pep chromosome:ASM407v2:5:19417879:19418145:1 gene:Csa_5G549160 transcript:KGN51451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRIEALDGREEKISLVYFSRMSALGTIYKLKCVKRVVVMEQNEDEDEDEDEEEERD >KGN52233 pep chromosome:ASM407v2:5:24530541:24532059:-1 gene:Csa_5G622500 transcript:KGN52233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVDHQENSDMGSKEQQQAALIAKGKRTKRQRLHSPIPFAVPTNSSSGDLGGVVVVVADHDDHHSDFVPQNNDHYQSNTNFSPSSSSAHDDQLVQQFNNNTSTTTEEEEDMANCLILLAQGRPPCSPLTKQLDTGPFHHVTNNVRRFSAENVEKGGGVGCYAYECKTCYRTFPSFQALGGHRASHKKPKAMEAEKKHILSSDDEEIQFKNNNITTTHSLSLQLNQRGSLNSSGKAKVHECAICGAEFTSGQALGGHMRRHRAMPVGTNTALSLTPMNMETEDQRQPKRQRSVLSLDLDLNLPAPQEHDQRSESKTLVDCHY >KGN49883 pep chromosome:ASM407v2:5:3662047:3663050:1 gene:Csa_5G139610 transcript:KGN49883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNHRHQKPAKISPLESEEVSSTRWQFITMTAQEEDLIHRMHKLIGDRWDLIAGRIPGRKPEEIERYWIMTHLEGFGKRRRG >KGN50726 pep chromosome:ASM407v2:5:9952313:9957975:-1 gene:Csa_5G220400 transcript:KGN50726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLEPGKCWDSSKKDSWKTVLVLAYQSLGVVYGDLSISPLYVYRSTFAEDIQHSDTNEEIYGVLSFVFWTLTIVPLFKYVFVVLRADDNGEGGTFALYSLICRHAKVSLLPNRQVADEALSTYLLEHSPEKKKQSKVKLLLEKHRALHTALLILVLLGTCMVIGDGLLTPAISVFSAVSGLELSMTKAHHQYAVVPITCFILVCLFALQHYGTHRVGFVFAPIVLAWLLCISTLGIYNIIHWNPHVYEALSPYYMFKFLEKTRKSGWMSLGGILLCITGSEAMFADLGHFSYTAIQIAFTFLVYPALILAYMGQAAYLSQHHHTTKSIGFYVSVPESVRWPVLTIAILASVVGSQAIISGTFSIINQSQSLGCFPRVKVVHTSDKIHGQIYIPEINWILMILCVAVTIGFRDIKHLGNASGLAVMTVMLVTTCLTSLVIVLCWNKSPLLALAFLIFFGSVELLYFSASLTKFREGAWLPILLALFLMTIMFVWHYATIKKYEFDLHNKVSLEWLLALGPSLGIARVPGIGLVFTDLTSGIPANFSRFVTNLPAFHRILVFVCIKSVPVPFVPPAERYLVGRVGPATHRSYRCIVRYGYRDVHQDVDSFESELIKKLADFIRYDWFRKQRGNSCSEDEASRSNESTSECRLAVIGTIAFAGATAYEETVQPASVSVGFQTVDSIADVIEMEPLGEERRVRFAIDDEFEGGSQAETEVLLQEELEDLIAAQQSGTAFILGHSHVRAKQGSSLLKRLAINYGYNFLRRNCRGADVALKVPPVSLLEVGMVYVV >KGN52043 pep chromosome:ASM407v2:5:23362599:23362866:1 gene:Csa_5G608310 transcript:KGN52043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAVHIASGGDIEMDSTKSQLIYLAMALLYALNSDGSNEKQAESLKIWQFCSDSPSLFF >KGN52185 pep chromosome:ASM407v2:5:24190567:24191225:-1 gene:Csa_5G613620 transcript:KGN52185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRRTETALILILIYSSMLPFSFAFKQLSLTDNSKHQESEHMEKQYGRRYNIEGRHGYTDGIPEHIAITHSEGRGGVGVSVGGNGAAGIHDQNCMKFIAAAVSISVAYLCGLI >KGN52258 pep chromosome:ASM407v2:5:24684376:24685989:-1 gene:Csa_5G622730 transcript:KGN52258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKTKKSTDVIDPASPRSSRHQRTSKTYASSSYADPSSSLNFTSYNITTTDTKSSTKGSSKSSASSRASLASLKDSLPDNPLIYEFSEIRSATNNFLSKPFSSSSSSSSWRCSIRGKDVIVFQRKLLRPIELLELKHQLSVICRSHHNSLVKLLGASISGNYIYMVYDFIAGASLAECLRNPRNPNFTVLSTWISRMQIATDLAHGLDYVHHCSGLNCKFIHNHIKSSSIVITEETLSAKICHFGTAELCGELAMAEEERDEEEGDELEITTYRRPKRSNSKKMKLEGTRGYIAPEMMANGTMSQKIDVYAFGVVVLELISGNEALKYIFDEGNRGGYVRVSVIETARKAMESGIGGIRTWVDRRLRDSFPVEVAEKMVIVGLECVEEDPDKRPDMGRVAGKISKLFLESSRWAESIGKSVDMSVSLAPR >KGN52469 pep chromosome:ASM407v2:5:26365842:26366409:1 gene:Csa_5G636610 transcript:KGN52469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDQRILPILLVSCFLFLFLSPGFGVETMRTMEQAASLTPHEKEMSGGKWRDVMVDQLLEDYHGPGPNKPRSITAPNSATP >KGN50280 pep chromosome:ASM407v2:5:6267115:6268122:1 gene:Csa_5G165250 transcript:KGN50280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGPVKSQPLHNFALPFLKWGGKNQTNSNHRIRRAIGGGGGDSSPAVDHSEPESEADSKPQLRVGSRTVRNRLAFSPCSLGDKFAKHSEGEVGDEVVKEQKREGEEVEGEEIVQKPWNLRPRKGTSLRGYGDLKNGGDLQEMDGAVSSAAGASQQGENPQPKSLRLRGFTESHRIEKKDKRKFWIALSRDEIEEDIFIMTGSRPSRRPKKRPKNVQKQLDTVFPGLWLVGVTADSYRLADSPAKR >KGN51525 pep chromosome:ASM407v2:5:20115420:20123374:1 gene:Csa_5G576670 transcript:KGN51525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLEASLERRKQPQAPGTGNGNGVVSPTPQSLSTHRLRLQPKEDHKSESYEDLQLEFSPVLFSMLERHLPPNMLNVAREVKLQYMRDILLRYAPEGERNRVQRHREYRQKIISNYQPLHRELYSMHAANFFVPSFLKAINENSEESFRRIMSEPSPGIYKFEMLQPQFCEKLLSEVESFERWVHETKFRIMRPNTMNKYGAVLDDFGLETMLDKLMDDFIRPISRVFFPEVGGATLDSHHGFVVEYGIDRDVELGFHVDDSEVTLNVCLGKQFSGGELFFRGIRCDKHVNTETQSEEIFDYLHVPGHAVLHRGRHRHGARATTSGRRVNLLLWCRSSVFRELKKYQKDFSSWCGECQREKRERQLLSIDATKQELLRREVKSPP >KGN51286 pep chromosome:ASM407v2:5:17912303:17915006:1 gene:Csa_5G512930 transcript:KGN51286 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein 70 MAGKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDSERLIGDAAKNQVAMNPINTVFDAKRLIGRRFSDASVQSDIKLWPFKVIAGPSDKPMIVVNYKGEEKQFSAEEISSMVLIKMKEIAEAYLGTTVKNAVVTVPAYFNDSQRQATKDAGVISGLNVMRIINEPTAAAIAYGLDKKSSSSGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKSTAGDTHLGGEDFDNRLVNHFVQEFKRKNKKDISGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYSTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSTVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERARTRDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQEAEKYKADDEEHKKKVEAKNSLENYAYNMRNTVRDEKFSSKLDPADKKKIEDAIEQAVQWLDNNQLAEADEFEDKMKELESICNPIVAKMYQGAGGPGMGGGAMDDDDAPPPSGGSGAGPKIEEVD >KGN52176 pep chromosome:ASM407v2:5:24143226:24148506:1 gene:Csa_5G613540 transcript:KGN52176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFEMDTRSVVLEESLRKLGVEKLSKEDVQKMAWEVLEAKIGNWIHFMRIAVKLLFAGERKVCDQIFEGFESLRDQSFAEVTSSSVSVLFSFGEAIANSKRSPEKLFVLLDMYEIMRELHSEIETIFKGKACSEIKESASSLTKRLAQTAKDTFGDFEVAVEKDATKTAVLDGTVHPLTSYVINYVKFLFDYQATLKQLFQEFEDSGQTNSELASVTMQIMQALQSNLDGKSKHYRDPALTHLFLMNNIHYIVRSVRRSEAKDLLGDDWVQRHRRVVQQHANQYKRNAWSKILQCLSVQGLTSSGGGSVPGIDGGNSSGVSKALIKDRFKTFNMQFEELHQRQSQWAVPDTELRESLRLSVAEVLLPAYRSFLKRFGPLIDGGKNPQKYVRYQPEDLERMLGEFFEGKNVNEPKR >KGN51684 pep chromosome:ASM407v2:5:21281047:21284658:-1 gene:Csa_5G590010 transcript:KGN51684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYGGAFGLGLDDILSMKLDTSGLESATFLSGAGSTELLDGLSSAPSFGIPNARNFDGFQKEAIQMVKPAKGTTTLAFTFKEGVMVAADSRASMGGYISSQSAKKIIEINSYMLGTMAGGAADCQFWHRNLGIKCRRHELENKRRISVTGASKLLANILYSYRGMGLSVGTMIAGWDETGPGLYYVDSEGGRLKGTRFSVGSGSPYAYCVLDNGYQYDLSVEEAAELARRAIYHATFRDGASGGVASVYHVGPNGWKKLSGDDVGELHYKYYPVVHDTVEQEMIEVTV >KGN50313 pep chromosome:ASM407v2:5:6449388:6456432:1 gene:Csa_5G167050 transcript:KGN50313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIYGCSAALHYKSREHSILSNAAGRRTWASLTGNSACGGRFDAKSCIIMEVTADQAKRNHMLLPSSSVVAVAISGKKNSKYIIRWSLEKFLPEGIIDFRLLHFIPRITSVPTPMGNAIPISQVREDVAAAYRKEIWWHTSEKLLPFKKMFAQRKVHLDVVTLEADDVAGAIIEEVTKCSINKLVIGVSSQGLFSRKLSGLSSRISALAPRYCTVYAISKGKLASIRPPDMDTNVSIRDDASEESSASSYSSYTSSSLTDGSSSLTSSYSHFPSPSPSLPLQRFQALSTINQPLLTKKPSPIKADHSRCQSVDIENQVDGVHSSSYVSDCIQTLSRASSSKSSPAENKSWNSDEASSSGMFNDYSSCESQADVSFELEKLRIELRHARGMFAIAQRETIDASRELNHLNNQRSEEARKLEEINNKAVAAKEFAREERVKHEALRREAKYVKERAEREGIYRKEAEMKALQNAKEKGKHENALQGPLQQYQHFQWEDIVSATSSFSEDLKIGMGAHGTVYKCSLHHTTVAVKVLHSRDSHKQMQLLQELEVLSRIHHPHLLLLLGACPDKNCLVYEYMENGSLEDRLYRRGNTPAIPWYERFRIAWEIASALVFLHSSKPKSIIHRDLKPANILLDQNLVSKIGDVGLSTVFNSDPSMSTAFMNSGPVGTLCYIDPEYQRTGLISPKSDVYAFGMVILQLLTAKPAVALTHVVETAIDNSNLINVLDIEAGHWPLEETYELARLGLRCAEMQRKDRPDLKDQVLPLLMTLKKVADKARNLASKVPAAIPNHFICPILQDVMNDPCVAADGYTYDRQAIEKWLQKNDNSPMTKLPLPDKNLIPNYSLLSAIVEWNSKRS >KGN50697 pep chromosome:ASM407v2:5:9633816:9647321:1 gene:Csa_5G217160 transcript:KGN50697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRRIHFVKWVTFFFVILHQILPFNSAFSICEYSLVNNGKMYNFNLASPTSKFPHGVLSEDGFYRVAVNNTVVWFQLCDGMIFNHDPPMCIDCLGCGGPSHCGMGCGALVANKIEGYDVCTTIGLVQNTNISIIDIKNPDRGVAVKMSNIDTKVKCSLSVSVICTTDGIQGPQILEKIGPCDYATSLRHPSGCAKIVHVHRRGWGFFGTFTIIILCLFGGYLLAGIVYRYFVLKVRGVDVIPNLEFWLSLPHKIQSLFASLVRKFKGPSRGHRDSYSPVNF >KGN51320 pep chromosome:ASM407v2:5:18133013:18135287:1 gene:Csa_5G517180 transcript:KGN51320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIGTLEVKLVNAKGLGGTDFLGGIDPYVLIQYKGQEHKSGVARNEGGSPVWNEKFTFRAEYPGSGDDFKIILKILDHDTFSADDFIGQTSIYVKDLLALGAENGMSELRPQKYSVVGDNLNYTGEILVGLTFTRKETEYDREEVGGWKQSEY >KGN51807 pep chromosome:ASM407v2:5:22032942:22037211:-1 gene:Csa_5G601570 transcript:KGN51807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAILASTPSQLNSYCHFISSKLCPSFSLSLRQSNRKTIGYSPVSSRIRLRVFANSQSAPASVVTSEVASVPSEMKAWVYGEYGGVDVLKFDSSVSVPEVKEDQVLIKVVAAALNPVDGKRMLGKFKATDSPLPTVPGYDVAGVVVKVGSQVKELKEGDEVYGNINEKALDGPKQFGSLAEYTAVEEKLLAVKPKNIDFVQAAGLPLAIETAYEGLEKTNFSTGKSILVLNGAGGVGSLVIQLAKNVFGASKVAATASTGKLEFLKSLGVDLAIDYTKENIEDLPEKFDVVYDAIGQCDKAVKVVKEGGSVVALTGAVTPPGFRFVVTSDGAVLKKLNPYLESGKVKPIVDPKGPFSFSQVVEAFAYVESSRATGKVVIHPIP >KGN50774 pep chromosome:ASM407v2:5:10621965:10622358:1 gene:Csa_5G255680 transcript:KGN50774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKFYYACDLKEQQHWMLKKWHWGLRAEIAALDERRWLLNVVDDKVREADDWWMMERPLVADVGHRLRK >KGN50272 pep chromosome:ASM407v2:5:6219718:6220964:1 gene:Csa_5G165170 transcript:KGN50272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLFLSQSPIAISTPRSSPSISLLSSPQTLKFRSSHSNFLFNLSIAVSHAPSRLATIIPRATASPSSTAFHGLCYVVGDNIDTDQIIPAEYLTLVPSNPSEYEKLGSYALIGLPSSYSTRYVESEEMKTKYSIIIAGDNFGCGSSREHAPVALGASGAVAVVAESYARIFFRNSVATGEIYPLESETRICEECKTGDVVTIELAESRLINHTTGKEYKLKPIGDAGPVIEAGGIFAYARKTGMISS >KGN52245 pep chromosome:ASM407v2:5:24618053:24621609:1 gene:Csa_5G622600 transcript:KGN52245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLTFSPYPFLNSRTLRTSLFHFINGASSKISPLSASSTCNPLKLKVRRTFKASSEGASNELMEDAKFVPLNADDPRYGPPALLLLGFELDEAVKIQELLKDLDGEFMQVILCTEDMITRSLWEAVHTNQPVLAKVKIARSLPRICFLSGLSGDEMMMFIDAFPETGLEPAVFAALVPNAANKPVEELIEEIMGDHEAMTGATSEGPS >KGN51712 pep chromosome:ASM407v2:5:21428850:21429705:1 gene:Csa_5G591770 transcript:KGN51712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAQKGPFLPKFGDWDEQNPAAAEGFTVIFNRARDNKKNGGAAGTPNNVIPPQNQSQKYEPAKKNQKHKYPRKQKGWCGCFW >KGN50204 pep chromosome:ASM407v2:5:5769293:5770141:-1 gene:Csa_5G158600 transcript:KGN50204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFALLWLRSKFRRPSLPPGPRGLPLVGYLPFLSGNPHHKLTHLAKIYGPVFKLRLGPKLCVVLTSPAFIKEAFHHQETLFPNRNTTVCALLSSYGCSGIVFAQDGEDWKKLRKIFVRKMISKSNLDASYCVRRQEVRKVIKGVFESVGTQIDIGKVSFLATMKSVVAMTWGDSGRVMGEDGVGLEVKFREMMDELVVLLGSRNVSDVFPVLGRFDLQGIGRRTKKVMGVLDGILNSAIEEQRKMGGNGGGKGGYLQLLLELQDNEDNSECITNDQLKALLLV >KGN52444 pep chromosome:ASM407v2:5:26133100:26137402:1 gene:Csa_5G635390 transcript:KGN52444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYGGPATVAGSASASSIRQVKLDRESELRIEVGSDSPLRLRLLSGNAEIFGTELPPEIWLTLPPRLKIAVFTWYGATLEMDGATETDYTADETPMIGYVNVHAILEARRSRARASSSDDPGSTQGPRVIVVGPTDSGKSTLSRMLLSWAAKQGWKPTFVDLDIGQGSITIPGCIAATPIELPIDPVEGIPLEMPLVYFYGHTTPSNNVDLYKVLVKELAQVLERQFTGNAESRAAGMVINTMGWIEGVGYELLLHAIDTLNANVVLVLGQEKLWSMLKDVLKNKPNVDVVKLQKSGGVVSRNAKFRQKARSYRIREYFYGPANDLSPHSNIANFSDLFIYRIGGGPQAPRSALPIGAEPAADPTRLVPVNINRDLLHLVLAVSFAKEPEEIISSNVAGFVYITDIDIQRKKITYLAPSAGELPGKYLIVGTLTWIET >KGN51787 pep chromosome:ASM407v2:5:21938369:21938653:1 gene:Csa_5G600890 transcript:KGN51787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKNIGKKGKAFYTVADMILLHQSYCAYTSLLFSALPFFTLPPITLFVGPQLSTHAGLRTTGSLA >KGN52264 pep chromosome:ASM407v2:5:24704857:24708210:-1 gene:Csa_5G622790 transcript:KGN52264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRKKQVSLSNKAGKVLEEAIQEITREVIYFWAYLGVGSEVIDSDDGPFWQTCDIFNRGHCCSTFKDAFRHMYELSSSHSEALPSMPNDSGLWFSLHSWMILTPACFIRYVDSIDAMFAGFFEARGSRRASIISRLTLSSHPTFTPSPASISDRLSLSAHLRSRSALPLSLPSSLAPKEESWNTCNAPISCFSIVCTMTSTEAGMGQSAEPKKISSASNATRASPSMFRRWGRRHPFIRYGLPMISLTVLGAVGLGHLLQGSSVKILQR >KGN49561 pep chromosome:ASM407v2:5:129990:130242:1 gene:Csa_5G002560 transcript:KGN49561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIAPYCASKWGVEGLSKAIAKEVPEGMGIVSLDPGLIFTDMLLSCLPDSAPNYQSPQHW >KGN50483 pep chromosome:ASM407v2:5:7605815:7606160:1 gene:Csa_5G176540 transcript:KGN50483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMGEISVTPLAEVAMFLDLFDFCVLFGNFAVAGWDMGWEKDKKCLTHVNIRIRVVQGIGSFDRTADNSQLL >KGN52475 pep chromosome:ASM407v2:5:26414541:26415722:1 gene:Csa_5G637160 transcript:KGN52475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETQTQTQTNNFWNHTNNEEHQQIDLPPGFRFHPTDEELITHYLSPKVLNPNFSSIAIGHADLNKSEPWDLPGRAKMGEKEWYFFCVKDRKYPTGLRTNRATESGYWKATGKDKEIFRGKKLVGMKKTLVFYKGRAPKGEKSNWVMHEFRLQPKFIIKPTTKNEWVICRIFNKSSTESKSLPSIIMNNNPFNSPALPALMEYSQSQTPYTGEARAASHVSCFSNDGGGGGGDTKLYNFRTNYNHHFSSSSSSSAPPPAPPLLPFPLPNTADSGYGNSIMRMLMNPKVEISGYSSNGIGMMEGDDDQNPSLVSDDPLDLECLWNY >KGN49976 pep chromosome:ASM407v2:5:4217757:4219292:1 gene:Csa_5G148470 transcript:KGN49976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQDMTLSVNGHSQVPPGFRFHPTEEELLHYYLRKKVAFQKIDLDVIRDVDLNKLEPWDIQEKCKIGSTPQNDWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKIIYTGSKRIGLRKTLVFYKGRAPHGQKSDWIMHEYRLDDSDRDINICNSIGESQLAEDGWVVCRVFKKKNYQKSIDSPKTSPTSMDSEVHLLNSNNDGVLDQILQYMGRTCKLEMESFSNNINNNSNSSSRLILSPNNNNTEDHQQQTIDKSFMHLPRLDSPTTIPSIISLHQLETSSPFDHNIIFNHEMLSVSETTTEPSPRPVVKLDNWVAFDRLVASQLNGQDERSAMEDDEDEQQQQQQQHHHHHHHEQQHHHHHMQVSNRVLGYGHENDIWGFTKSSSSSSLDPLSHLSV >KGN51794 pep chromosome:ASM407v2:5:21967112:21969891:1 gene:Csa_5G600950 transcript:KGN51794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEGPSGVTIHVTGFKKFHGVAENPTEAIVSDLKAFVEEKGLPAGVTLGSCTVLDAAGDGALPVLQKVLESGVSNVTETNKVVWLHLGVNSGSTRFAIEWQAVNEATFRYADEHGWQPQKLPIVSEDGEISMIRKTSCSALVILEKLKAKSYNVILSTDAGRFVCNYVYYHSLRFAEQKGHKSLFVHVPLFSKIDKETQMRFIHSLLEAIASTC >KGN52108 pep chromosome:ASM407v2:5:23756462:23759264:1 gene:Csa_5G610420 transcript:KGN52108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKIPSVSFFFLLCNLLCLSMASLNNEGNALLSFKQSITEDPEGCLSNWNSSDETPCSWNGVTCKDLRVVSLSIPRKKLNGVLSSSLGFLSELRHVNLRSNKLHGTLPVELFQANGIQSLVLYGNSFTGSVPNEIGKLKNLQIFDLSQNFLNGSLPVSLMQCTRLRILDLSQNNFTNSLPSGFGSSLNFLETLDLSYNKFNGSIPMDIGNLSSLQGTVDFSHNLFSGSIPPSLGNLPEKVYIDLTYNNLSGSIPQNGALMNRGPTAFIGNPGLCGPPLKNPCSSETPGASSPSSFPFFPDNYPPGSSEGNGHKFDKGGLSRSTLVAIIIGDIVGICLIGLLFSYCYSRFCTHRNGKKADQSSYGFEKGEKGRKDCLCFQKSESENVSEHIEQFDLVPLDSQVTFDLDELLKASAFVLGKSGIGIVYKVVLEDGLTLAVRRLGEGGSQRLKEFQTEVEAIGRLRHPNVVSLRAYYWSVDEKLLIYDYIPNGNLASAVHGKPGTTSFTPLPWSVRFGIMIGIAKGLVYLHEYSPKKYVHGNLKTNNILLGHDMTPKISNFGLARLVNIAGGSPTVQSSHIAEEKSQEKQLKSATSEASTFSSSMSTYYQAPEALKVVKPSQKWDVYSYGVILLEMITGRLPIVQVGTSEMDLVQWIQLCIEEKKPLSDVIDPSLAPDDDADEEIIAVLKIALACVQNNPERRPAMRHVCDALGKLAVTPN >KGN50922 pep chromosome:ASM407v2:5:13167370:13167688:-1 gene:Csa_5G333030 transcript:KGN50922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKIFLGLLVAGVSDSGSIELQAHSDNNFNRNGDENLHNSDRRAAGNHNFRGEEVERNVDEGERSPLVSYSRERNSSDSGSSEKLKSI >KGN50980 pep chromosome:ASM407v2:5:14153631:14154665:-1 gene:Csa_5G381850 transcript:KGN50980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGVRRSLGIVSNALLPRFESLSIQSIHLGAGMEIPDSKPLKFALQYINGIGRARANQVLAQLHLENKLSKDLTKKELIFLADEISKYTVGHELDKCVQRDIGRLQDIQCHRGIRHEQGLPCRGQRTKTNARTVKSKQTRVGKRKAFH >KGN51900 pep chromosome:ASM407v2:5:22597593:22599353:-1 gene:Csa_5G604940 transcript:KGN51900 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription regulator MSGRSSRSRRSGVGSRNISDEQIADLISKLQQLIPEIRNGRSSSRVSASKVLQETCNYIRSLQREVDDLSDRLSELLASTDPESAQAAIIRSLLM >KGN51822 pep chromosome:ASM407v2:5:22140377:22140726:1 gene:Csa_5G602210 transcript:KGN51822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHGVWRESRLRWLKDIALEGEKEEEEEEEGNGSLGKWNQMGSPRCETVRTFQKEKRWPMSRCLVICLGHRFEYPPTWFKFTFRSRLE >KGN51407 pep chromosome:ASM407v2:5:18829817:18830104:-1 gene:Csa_5G528440 transcript:KGN51407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRILFQIPHEAGYIRLSVDDGNVDGKESINKKTCLFHLGTCEHSIETCSEFRFEVQKLMDAKILIVSQTNIQETEIDVIFDALRLLKRHHLYENH >KGN49870 pep chromosome:ASM407v2:5:3585273:3587888:-1 gene:Csa_5G139480 transcript:KGN49870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVEGHVLKRIPRIKFPDRHPKSSSSSGSALQSQHNPDKDIGYYALSGSNVPAPPQNMAVGGKASLLPKRTPVSDREIEAILVFILHFKGK >KGN52070 pep chromosome:ASM407v2:5:23531574:23535258:1 gene:Csa_5G608580 transcript:KGN52070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPFLSRAALSSNTTSVPFSFSYSVSSRLPWSSSRSHTSVPANYRRRLPVIQSKIREIFMPALSSTMTEGKIVSWVKSEGDVLSKGESVVVVESDKADMDVETFYDGILAAIVVGEGETAPVGAPIGLLAETEEEVAEAKAKAASKSTSAPAAPAAAVSPSPPPPSSSPAPAISQSSPPSDGPKKIVATPQAKKLAKQHKVDIGSVTGTGPFGRITPADVEAAAGIAPSKPAVSNVASPVAAEAAAVPSKASAAPSNLPPPVPGSTVVPFTTMQAAVSKNMVESLSVPTFRVGYPVSTDALDALYEKVKPKGVTMTALLAKAAAMALAQHPVVNASCKDGKSFTYNSNINIAVAVAINGGLITPVLQDADKLDLYLLSQKWKELVEKARSKQLQPHEYNSGTFTLSNLGMFGVDKFDAILPPGQGAIMAVGASKPTVVTDADGFFSVKSKMLVNVTADHRIVYGADLAAFLQTFAKIVENPESLTL >KGN51457 pep chromosome:ASM407v2:5:19486884:19489396:1 gene:Csa_5G550210 transcript:KGN51457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFDAAPLSILLLLPLASAQPTTNPRKFSSFSISQSPWRPTQNLTLLSPNSLFAAGFHPLPNNSNLFIFSVWYFNISTDNVVWSANRLHPVNRSAALVITATGQLRLNDASGRNLWPSNNVSAHSNSTQLILRDDGDLIYGTWESFQFPTNTFLPNHTFNGTSIVSNNGKYSFVNSANLTFGTETYWSSGNPFQNFQIDGQIIINNQIPVIPSDFNSTRFRKLVLDDDGNLRIFSFNPNWPRWDVVWQAHVELCQILDTCGPNSVCMSSGSYNSTYCVCAPGFSPNPRGGARQGCHRKLNVSNKPKFLQLDFVNFRGGVKQISLQTPNISVCQADCLKNSSCVGYTFSFDGNGNAHAQCVLQLDILSNGLWSPGMKAAAFVKVDNSETDRSNFTGMMYKLQTTCPVRITLRPPPVNKDNTTRNILIISTIFVAELITGAVFFWAFLKRFVKYRDMARTLGLESLPAGGPKRFNYAELKTATNDFSTCIGRGGFGEVFKGELPDKRVVAVKCLKNVAGGDRDFWAEVTIIARMHHLNLLRLWGFCAEKGWAFEKAFVEEKMKEILDGRIREEYERGGNVCIVNRMVETAMWCLQNQPEKRPSMGKVVKMLEGKLEIPPPEKPSIYFLSQ >KGN51755 pep chromosome:ASM407v2:5:21739153:21739946:-1 gene:Csa_5G598630 transcript:KGN51755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGASHSTGGIAAKSDKTATIKFLCSYGGKILPRYPDGKLRYIGGETRVLAVDRSIPFSELLLKLGQLCGTCVSLRCQLPSEDLDALVSITSDEDLANLIEEYDRAASPPSFLKIRAFLSLPKSVKKNPLSSSSASSSSSSSKPSSPITAISSPRISTQVPDYCVHQIPTPVRFSYRWKKSQSKVPHCSYHLQGNPSHIYLIHNGNHWQ >KGN52055 pep chromosome:ASM407v2:5:23457956:23462458:1 gene:Csa_5G608430 transcript:KGN52055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSTSQPPKETLHVDQKWKEEDPTRRAKWWYATFHSVTAMIGAGVLSLPYAMAYLGWGPGTMVLFVSWCMTLNTMWQMIQLHECVAGTRFDRYIDLGRYAFGEKLGPWIVLPQQLIVQVGCDIVYMVTGGKCMKKFMEMACVNCFEVKQSYWILIFGSIHFFLSQLPNFNSVAGVSLAAAIMSLSYSTIAWVGSLSRGRIENVSYAYKETSVQDSMFRVFNALGQISFAFAGHAVVLEIQATIPSTPEKPSRVPMWKGAMGAYFINAICYFPVALIGYWAFGQDVEDNVLLNLKKPAWLIASANLMVVVHVIGSYQVYAMPVFDMLERMIRKRFNFPDGFCLRFITRSAYVAFTIFIGVTFPFFGDLLGFFGGFGFAPTSYFLPSIMWLVIKKPKRYSCNWLINWASIFVGVFIMLASTVGGLRNIITDASTYTFYT >KGN50409 pep chromosome:ASM407v2:5:7063337:7064510:-1 gene:Csa_5G172885 transcript:KGN50409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTRGGLKDFYKQRKSSGISKAKASKPSSRKTKSPANSASLGSDAVQPAALVSHGSLDLQDDYGVSENTLRQFDMNTAYGPCLGMTRMARWERACSLGLNPPKDIGTLLKAGKVQLECLWDSRV >KGN51681 pep chromosome:ASM407v2:5:21244705:21256360:-1 gene:Csa_5G589980 transcript:KGN51681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQSRRNPRSRIKSASAVDDDDDSAVRQASDNYRRLRDRNKSSSSIRIFNVNLKVMVGICFVAFFVILYLISSLIVTGDHQTPRVITPFPAPKVTDLPQFQGEHKESLYWGTYRPHVYLGIRARTPRSLLAGLMWIGVQNGRYVMRHVCQSSDELSTYGWTRHNARDFGHQVLVDQDMTLGTSFLKSKESGSGYGGDWAVRIQVNSKKSEETEELLKTGHLFFYLADEDGNALSLSRDAMNIHETSLLASGSRSDVGNWQLHLESKDDLEVHFSGFKTEHYHNLSELVQENIGGQARKFGRLQLSDTSDDSSNILVFQISGRIPFRTDLAFISGSGLPSSREEERVNNLTGSSLTDRLKEKELEFDTRFEECFGLANKFDLESTTVGKAAVSNLLGGIGYFYGQSKIALPGASHLGSHNGFLFYWPAELYTAVPCRPVFPRGFLWDEGFHQLLIWRWDIHISLDILGHWLDLMNIDGWIPREQILGAEALSKVPEEFIPQYPSNANPPAFFLVLRELIHGLKKNAFTETESSEISSFFERSFVRLEAWFQWFNTTQPGKEASSYYWHGRDSSTIRELNPKTLMSGLDDYPRASHPTEDERHVDLRCWMLLAADCMHSISELTAKEKGLETVYSSATKILSEFELLNQMHFDDAHGTYLDFGNHTEKVRLIWKEVMGEQGFTTRQLIREVSETPRLRMVPHIGYVSLFPLMGRIIPPESWILEKQLDLISNRSIFWTDYGLRSLSKTSSLYMKHNTEHDAPYWRGTIWMNMNYLILSALNHYATEHGPYREKAKDIRDELRSNIIRNVVRNYQKTGYIWEQYNQKTGKGKGAHPFTGWTSLLLLIMAEAYTES >KGN52396 pep chromosome:ASM407v2:5:25794818:25795995:1 gene:Csa_5G631470 transcript:KGN52396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTISKSKFLTIFLLFCTLLHLGFAIEEAMDNPIAQSEIGQHLPYAPDCCSSCHSGTCCSGGSSDIPPYGPVPGTVPGTVPGTVPGTVPGTVPGTVPGTKPGTVPGTEPVSRIVPGTVPGIEPVSRIVPGPVPRIVSGPMPRIVPVLWLWERKCPG >KGN50157 pep chromosome:ASM407v2:5:5455236:5458184:1 gene:Csa_5G156170 transcript:KGN50157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLELIFMNKTIDRYQNRTKDLMSSNSTAIEDVQLEKEYDSFSMTKKLEHLEVCKRKLLGDGLDLCSIDELQQLERQLERSLSKIRSRKYQMLKDEIMKLKEEEKMLLEENAALQIKVISESSKKQESNQRSESSNHEEIMDVETELFIGPPERRSNNNNNNNNNAFL >KGN49871 pep chromosome:ASM407v2:5:3588921:3589599:1 gene:Csa_5G139490 transcript:KGN49871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNLSRLFSSPPTLSALPAVSRLTFNSLSRFVSSSSNQQPSRLEKGVSSEEQREAGKGSSPNRHEAAGDDRGGQDEGVDTEKMTREIGGPKGPEPTRYGDWERKGRCSDF >KGN50927 pep chromosome:ASM407v2:5:13315700:13323149:1 gene:Csa_5G346550 transcript:KGN50927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFQKIKVANPIVEMDGDEMTRVIWESIKNKLIFPFLELDIKYFDLGLPHRDATDDKVTIESAEATLKYNVAIKCATITPDEARVKEFGLKQMWRSPNGTIRNILNGTVFREPILCKNVPRLVPGWTKPICIGRHAFGDQYRATDTVIRGPGKLKLVFEGQETQEIEVFNFTGAGGVALAMYNTDESIRSFAEASMATAYEKKWPLYLSTKNTILKKYDGRFKDIFQEVYESQWKSKFEAAGIWYEHRLIDDMVAYALKSEGGFGSLGLMTSVLVCPDGKTIEAEAAHGTVTRHFRVHQKGGETSTNSIASIFAWSRGLAHRAKLDDNASLLEFTEKLELACIDTVESGKMTKDLALILHGSKLSRDQYLNTEEFIDAVAEELKSRLLKARL >KGN51372 pep chromosome:ASM407v2:5:18516043:18523599:1 gene:Csa_5G523170 transcript:KGN51372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVISAPTGSGKTVLFELCILRLLSNFIVEGKFIHVKGTLKSIYIAPSKALVQEKVRDWNQKFGSWGVSCLELTGDNETYNVKYIQEADIILTTPEKFDAVTRYRIKDGGLGFFSDIALVLIDEVHLLNDPRGAALEAIVSRIKMIARNPEMKSSPLSRVRFLAVSATIPNIGDLAEWLSVPVQGVKRFGEEMRPVKLTSKVFGYAPAKNDFMFEKRLQNYIFDVLMQYSRGKSALVFCSTRKGAQEAAQRLSQTAMTFGYSNPFIKSKEQLERLREASLSCSDKQMQSNILYGVGYHNGGLCLKDRNLIESLFLKGDIQVICTTNTLAHGINLPAHTVIIKSTQHFNKEKGLYMEYDRSTILQMCGRAGRPPFDDTGIVIIMTRRDTVHLYENLLNGCEIVESQLLSCVTEHLTAEIVQMTIPDIIKAIEWMKCSYLFVRMKKNPQKYAIRNGIPNHNMEKHMEDICIEKVNELSRHQMIWMDEDGFLLKPLDPGRLMTKYYLKFDTMKHIMHAPENCSLEEALRIICHAEEISWIQLRRNEKKLLNDVNNDKDGRLRFHILGEKGKKKKRIQTREEKIFILANDCLTGDPLIHDLSLSQDMNSICSNGCRIAKCMKEYFVYRKNYKGTYNSMLLAKSLYQKLWDDSPFLLKQLPGIGMVTAKALHSMGIESFEALAEADPRKIEIVTGRKYPFGNHIKESLSSLPPKVDLKLEEAECPKQGKAKLIVTLTRLPQSYRPNKRHYAEMIVGSEEDNQILFHEKIRVDEFSSPYSTVALISHPQQGKLTIKADLIFDEYIGIDLHRKLQLMEQNLNVRNKWGKMPPSSHPPEEVCVIDDDSEPPPQAATDELPISGDLNPLLDSMPSFNLLDEDLEEADPATGKDEDECKIITERTVFDHIREKAKSFPMLAASKNNAHSPAAVTYSLTKNKQPLELQVEALEEKQRIELSPHAKVDSFSGFRDEVRNNEYVTLQSHITSGPRFIDDDKGESGVQREGSKIIVTEETIFDHIKRKSNNFPVIHKSSENERTGEGGDRYRKMNEGCSVESMGMVCFDISMMKENKRARAGPESSTNMNSSKWKKQQQSPSVENRKKWRSLQTATETREVDPFVAFKSVFSFL >KGN51404 pep chromosome:ASM407v2:5:18803786:18812454:-1 gene:Csa_5G528410 transcript:KGN51404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEKQVQLIRSIFHRQLSLPLSNMSSTLEAYKAWEMEVKQECALDTESNYSDGVPTQVATTYQRALDMYNARVQLEDQISKQDLTDTERLHQYIIYLKFEQSAGDPARVQVLFERAIADFPVSVDLWLDYTCYMDKTLKVGNIVRNVYSRATRNCPWIGDLWVRYLLALERSHASEGEIASVFGKSLQCSFSTLDEYLDLFLTRIDGLRRRISSGVQLEDALEYSLIRETFQRASDYLSPHLKNSEVLVRLYAYWARLEINMGKNLDSARGVWESLLKICGSLSAAWEGYIAMEVELNHINNARSIYKRCYSKRFPGSGSEDICHSWLRFEREFGSLEDFDHAVRKVNPRLEELKSYKLQIDDSENPVKQNDRSKRKLGGDAPNVESPAKKLKDSAHGPKKVTEKGKAQLENVDDQTGDIRGRVKKLDDISDQQMNDSIQEKGKVYNDQCTAFISNLNLKASYYRSAFYFNSLSAVCIFVDEHIAYAASSQLWQVTYDHLRDFFQDVGGVVAIRILHDKFTGKSRVHSLLFSPYFVSSIVLLYLYM >KGN50053 pep chromosome:ASM407v2:5:4741496:4741801:1 gene:Csa_5G152180 transcript:KGN50053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSESVVDLFGYDWRRLIVAERGMDFGGSKKVKWWSQEGKEEDEGGRERCGSGSGTVFRSGSDFRLDR >KGN50383 pep chromosome:ASM407v2:5:6840643:6842515:1 gene:Csa_5G171160 transcript:KGN50383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYAAMKPTKPGLEDAQEQIHKIRITLSSKNVKNLEKVCADLVRGAKDKRLRVKGPVRMPTKVLNITTRKSPCGEGTNTWDRFELRVHKRVIDLFSSADVVKQITSITIEPGVEVEVTIAD >KGN51151 pep chromosome:ASM407v2:5:16458791:16459614:-1 gene:Csa_5G468440 transcript:KGN51151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRFVAAFHLLVLIGFLSSRSESLRFDLQSGHTKCISEDIKQNAMTVGKYHVVNPNEGQPLTDERKLIVRVTSATGNTYHYSDKVESGQFGFVAAEAGDFMACFWAPDHQPIVTLSVDFDWRTGVAAKDWSNVAKKGSVEDFDWRVYPKLEFFEKLRFQNSRKLGFFGCIGSIFLSCLSAFLFIEQPRVSLKFGNSKQSLTSFNDIVFVIS >KGN49671 pep chromosome:ASM407v2:5:1837179:1837526:-1 gene:Csa_5G056110 transcript:KGN49671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIKQVTVEKDAGYFWTRSTGKFDATTELRYCRALSLMGKLHALAQGFRLACSCAPLIAGWRFAWLPPAAAYFTAVVVAGGENAGRAGVFGG >KGN50947 pep chromosome:ASM407v2:5:13622275:13623365:-1 gene:Csa_5G359150 transcript:KGN50947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRVLKFMHTVDVYHRDLKPKNILANANCKLKICYFGLARVAFSDTPTKENDGESAPVLPLARKEFSLPRYEEQVWGSSFLQGSLFMIVSTVCTNLVSPDHEPFWRNPKVCNDSMGLPDRTFGNLSKAHPPPKVPTGMNIFLMDSPLKVSLFFSVDKFF >KGN51291 pep chromosome:ASM407v2:5:17950260:17952209:-1 gene:Csa_5G514470 transcript:KGN51291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFFWRASEDQHVIDFVTTQCNVWMEQEAVIDSSNMETSFSVDVNATNGIQSKPFVADQNVVLRDSENQFEAPGSVTLTLENTNVGYDISLDRIRLCNSPMNLQRFNYSSENKNKNEIFTECSHDSFLIDKQGKPYKVSAENELEEVDTIHGSIMNTSNTHGQFKENMMEYKEQQREEKDLVKHENGRSDSISDCSDRLEDEDDAIAKYRRRTGQGPQSKNLVAERKRRKKLNERLYNLRALVPKISKMDKASILGDAIDFVKELQKQVKELRDELEEHSDDENGKTGLSGNNGNYNIVQLPEFLSQHDKAQNSYHMGVLGSGSILKQNLQDTEGTSNDKTQQMEPQVEVAQIDGNEFFIKVFCEKKRGGFVSLMEALNALGLEVTNANVTSYRGLVSNVFKVKKKDSEMVQADDVRDSLLEITKYPCRGWSDIIKAPECIGSRMDYQPHPHPHPHQHSFQDKAINSRIHLLDD >KGN52376 pep chromosome:ASM407v2:5:25670823:25672177:1 gene:Csa_5G630790 transcript:KGN52376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSVLRINFIFSGPLPKQECKNIFNARGCKICLAMFESPLQLNLHQESCQLRPINFVRSHENRARLGKTESGGTKGNNEAVALSCTMVGAGIDGSLNICVRVCVVDQNESLIFSTYVNPTLPITNYRYEFTGVRPEHLRDAMPLKQVQKKIQEFLCNGEQMWKIRPGSTGRARILVGHGLQEYLTSLQIDYPPIMIR >KGN52699 pep chromosome:ASM407v2:5:27823471:27826632:-1 gene:Csa_5G650623 transcript:KGN52699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCVNAKGQRCLFLYTSLTSRELNFVNLNSQKHVNRDLKVSLGFKLQCHSRTLSMASQRLSTNGKKKSYGGILPSILRSLKSASDIGNILSSSCQNLSPKEQTVILKEQSRWERVIQVFQWFKSQKDYVPNVIHYNIVLRTLGQAQKWDELRLCWNEMAENGVVPTNNTYGMLIDVYGKVGLVKEALLWIKHMTVRGIFPDEVTMNTVVRVLKDAGEFDSADKFYKDWCRGLVELNDFDLNSRVEDFGVNSAVEPITPKHFLLTELFRIGTRIPNRKVSPEVDNCVRKPRLTSTYNTLIDLYGKAGRLKDAANVFGEMLTTGISMDTITFNTMIYTCGSHGHLAEAETLLLKMEERGLSPDTKTYNIFLSLYANNGNIDGALKCYRRIREVGLFPDVVTHRALLHVLSERNMVEDVENVIAEMEKSHILLDEHSLPRVIKMYINEGLLDRAKILLEKYRLDTELSPRISAAIIDAYAEKGLWFEAESIFLWKRDLSGKKMDVMEYNVMIKAYGKAELYEKAFLLFKSMKNRGTWPDECTYNSLIQMFSGGDLVDEARRLLTEMQRMGFKPTCQTFSAVIASYARLGLMSDAVEVYDMMVHADVEPNEILYGVLVNGFAEIGQAEEALKYFRLMEKSGIAENQIVLTSLIKAFSKVGSLEDARRIYNRMKNMEDGADTIASNSMINLYADLGMVSEAKQVFEDLRERGYADGVSFATMIYLYKNIGMLDEAIEVAEEMKESGLLRDATSFRKVIECYAINGQVRECGELLHEMVTRKLLPDNRTFNVLFTILKKGVIPLEAVSQLESAFHEEKTYARQAIIAAVFSGLGLHASALESCDTFLKAEVQLDSFAYNVAIYAYGAAEKIDKALNIFMKMKDQNLKPDLVTYINLVGCYGKAGMIEGVKQIYSQLKYGEIELNKSLFFAIINTFRSAHRYDLVQMVKQEMKFSLDSEVHSESELDNLSDEDSPSDKDSPSDEDCLSDVACLILSDEESPRLLKS >KGN52435 pep chromosome:ASM407v2:5:26034699:26034986:-1 gene:Csa_5G633820 transcript:KGN52435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHPPYQRGKGFPFACGKERKRIPKSPVDSDSQYLHPHIAIFPFMAKGHTIPLLHLLCLLRRRFPHLSLTIFTTPANRPFTSIVFIKEIVYENSI >KGN49844 pep chromosome:ASM407v2:5:3457022:3460174:-1 gene:Csa_5G139220 transcript:KGN49844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLKKALWTDGLTPNPSADSLPDDQSASATSSAVGELVNSLNRQRLFREVTFALRTGLRDASAEFSFLRVCGLRSLLKSLRSIAESNSTIELFCQTQSVPELQVVPVLFQQSLKESEDDPVVNLDHIFGVEPLKIASPSTDAEVALALRVLEGCCLLHRESTALAHQHKAIPVLMNILSIRGILEQGACLDALISVMLDSSANQMDFEVCNGIEEVAVLIRDKQIDENLRLKCGEFLLLLIGHVNGRGRPPLATIHEDIRQLLGEKSASLIWAASQFGSTLDPEQRLTALHIQARRVLESLDLY >KGN52192 pep chromosome:ASM407v2:5:24219295:24221530:-1 gene:Csa_5G614680 transcript:KGN52192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPSLHFLLFIFFIFLCFYHGNSSLFGSEDDQSWLTEEDDEVHIVQSGADSHRRCDFSDGKWSFDRSYPLYDSSCPYLSSAVACQRNGRPDSDYEKWKWKPHSCSIRRFDALNFLGKMRSKRIMLVGDSIMRNQWESLVCLVQGVVPTGRKRVTYNGPSMAFHAMDFETSIEFSWAPLLVELNKGPENKRVLHLDRIEENAKYWTGVDILVFDSAHWWTHSQQWSSWDYYMEGQTMYKYMNPMIAYEKGLTTWAKWVDLNLNPEKTRVIFRSMSPRHNRNNGWKCYNQRQPLAYFSHQHVPGQLHVLQAVLKKMRFPVYLQDITSMSALRRDGHPSVYRNNLSQEGKQHQESLSSDCSHWCLPGVPDIWNEMLSALL >KGN50671 pep chromosome:ASM407v2:5:9313644:9315389:1 gene:Csa_5G209480 transcript:KGN50671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCAVAPSTTPMTPVFSSPKLPSLLCKSSSSSSSSSSSPRSLSAPINLHVSASPSPSSSSVSHLDTLLKRKRPSRIHLPQSMPSIGFGIFDTPEIMEEEGEGYSVFSKRGRRRISAMEDRFSVTLGIQADSRQAFFGVFDGHGGAKVAEIAAKRLSENVIDQVWRRTESEVEEAIKDGYLRTDREVSEEGVSGGGACCVTALIRNGNLAVSNVGDCRAVLSRKGRAEALTSDHMAGREDERNRIEKSGGYVDFCGGGWRVQGTLAVSRAIGDEHLKQWVISEPETRVMKIEDDCHFLILASDGLWDKVTNQEAVDMVEAVCGVEIAKKKPINPKLIMSACKQLVTLSTSRGSLDDTTVMIIKLN >KGN49796 pep chromosome:ASM407v2:5:3194554:3198964:-1 gene:Csa_5G129320 transcript:KGN49796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEIKRQNEKALLKAFQVDLLSIQSILDFKNSLQLWLQDSKMHPSVQLLINNAGILATSSRLTSEGYDQMMATNYVGPFFLTQMLLPLLKNSPFPSRIVNVSSFTHRCVFDVHVDEDTVCGKGFWGLDQYPCSSIYQYSKLCLLLFSYELHRKLSLDKESHKLTVNVADPGVVKTNIMREVPTYLSRVAFTILRLLRLLQLPKDGVNSILDAALASPETSGVYFFGGKGRRVGSSAQSNDAKLAEELWETSSNLFVKSQISVEGGTSS >KGN51236 pep chromosome:ASM407v2:5:17461618:17463557:1 gene:Csa_5G497010 transcript:KGN51236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSSLQDTNTNYTITQEEFNLFHTIDRSLFSRMVFSLGREPEESVRVMGFWLWLEKYGEESNLVHKMLGLPDVLVDALCDEAVISLACIQNDKFPFEPDSTLDIPLIQHVSKTPVSLRFVHHNRLEILPGVAKMCNDICRRAFLDILQTLHTRRAISRAPAAVSIPAVQGEGGGRGRVFEGAPPVTNFFVPSFGFLGLGGECSTAAIRSGMSSLELKSGKEEQEGEVVPADQRTIFLTFSKGYPISEDEVRDYFGRRYGNFIESIHMQEAHPPEQPLYARLVVKTESSIDLVLEARTKAKFSINGKHVWARKYVRKTPIRSSPRPPPHRHLRHLV >KGN51292 pep chromosome:ASM407v2:5:17953788:17954518:-1 gene:Csa_5G514480 transcript:KGN51292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVILIIAIVIMQINLVERLRPLVGTKSWDYCVLWKLSQDQRCIEWMDCCCAGTENNNNQNGNGEEELVLPVSQVIQCRDTICPHPRASSCELLDQLPCSMPLNSGVYIQTLLSNEPNWLLFSNAADSTAPDETTVTRVLVPFAFGLVELFVAKHV >KGN51980 pep chromosome:ASM407v2:5:22998692:22998932:1 gene:Csa_5G606700 transcript:KGN51980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNNTSSESVPAFLCFSGFYFQARFLWVSRIFPCVRDRVLELHIAGVGPGEV >KGN52169 pep chromosome:ASM407v2:5:24102123:24104968:-1 gene:Csa_5G613470 transcript:KGN52169 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:DNAJ1 description:hypothetical protein MFGRPKKSDNTKYYEILGVSKNASQDDLKKAYRKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDQYGEDALKEGMGGGGGHDPFDIFQSFFGGSPFGGGGSSRGRRQRRGEDVIHPLKVSLEDLYNGTSKKLSLSRNVICSKCKGKGSKSGASMKCPGCQGSGMKVSIRHLGPSMIQQMQHPCNECKGTGETINDKDRCSQCKGEKVVQEKKVLEVIVEKGMQNAQKITFPGEADEAPDTVTGDIVFVLQQKEHPKFKRKGDDLFVEHTLSLVESLCGFQFILTHLDGRQLLIKSLPGEVVKPDQFKAINDEGMPMYQRPFMKGKLYIHFSVEFPDSLNPEQCKALEGVLPPRTSVQLSDMELDECEETTLHDVNIEEEMRRKQAQEAYDEDEDMHGGAQRVQCAQQ >KGN50612 pep chromosome:ASM407v2:5:8623214:8623966:-1 gene:Csa_5G190560 transcript:KGN50612 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplast small heat shock protein class I MSIIPSFFCGRRSNVFDPFSLDIWDPFEGFPFSDSFANAPSSAPQTSAFANTRIGWKETPQAHIFKADLPGIKKEEVKVEVEEGRVLQISGERSKEQEEKNDKWHRIERSSGKFMRRFRLPENAKVEEVKANVENGVLTVTVPKVEEKKPEIRSIDISG >KGN49795 pep chromosome:ASM407v2:5:3193745:3194283:-1 gene:Csa_5G129310 transcript:KGN49795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVTLSVCPKCTLQQTHACLASWLASATCLEPRGWHGCLSVVCRATSHALLYRCLSCLTFLKAKAPAVCLATDLVYSKFIDQVFWQSTIRRWSFFLLWLLANEEDTLFISFTSTDG >KGN50304 pep chromosome:ASM407v2:5:6392464:6394718:1 gene:Csa_5G166480 transcript:KGN50304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHGGQHVINYPVDTDVGEEYANAFRTESYIDFWTRVVALNNGDNLTAQVSLESTTATRLSSYRLFVEHLLDPPQPTIKTILTAHLGPNSCSLLLDHYFSHTANASLLCSRILKQIVHLRLKLHSLDQNKQEFNHDDSHFKQLLVRLFEFSNDSTPNSFVPYCMEQVQIIQNGCSKLLKRLEYSRDKTRDKLKRVRYFQHSSAGFLVAITASFTVIVVTHGIALFVAAPGFLVGAIKLAKKSRKLAKEVAQLNVAAKGTYTLNRDFDTIGRLVARLSHELEHMKVMAKFWLDKGGDKRWAIDELARQLNQSHENFNQQLDELEEHLYLCFMTINRARNLVVKEILNLSKPIKISYL >KGN51303 pep chromosome:ASM407v2:5:18029742:18030527:-1 gene:Csa_5G515560 transcript:KGN51303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMNVILRNHPTHPNHELEPQNYARPYTCHGCKQKGFGPRYRCQNCDFDFHQACTYTGTDPVYHDYFPGSKFMFLRNPPEPCHPECKIRCDACRNTIKGFVFHSEEDDLDLHPCCWNLERSYQIEDMKFNLNKKVKGKCMWCNSKRLKDGGTDNGWSYVSNSGKYHVHVACVTEIALEAWYNNNRTDGGGGSSSTSSRGGQEFLALKKKLKEVQVVGGYGDGGFGKNKFWRILKFLVKTVVSIVIGDPTMILASFFVDLLS >KGN52590 pep chromosome:ASM407v2:5:27191644:27192755:-1 gene:Csa_5G645150 transcript:KGN52590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKENLAPSSVNSVSVQIPPHFVLVHGISGGGWCWYKIRCLMENSGFKVTCIDLKGAGIDRSDPNSVFNFDDYNQPLLDFISTLPENEQIILVGHSAGGLSVTQATLKFAKKIRLAVYVAATMLRFGFQNDQDIKDVIIEVELGVVVRRPDDEIVVKLVAGQRWWCCRLYASENV >KGN51634 pep chromosome:ASM407v2:5:20961037:20963779:1 gene:Csa_5G586560 transcript:KGN51634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAVLLNLNTSPYTRSPQTLESPSCSRNFKVSRFFVLRHVSPWRKSCRSLRIRLPSSSRTRFIRAVATPNSALELPLTVENVESVLDEVRPYLIADGGNVALHEIDGNVVRLKLQGACGSCPSSVTTMKMGIERRLMEKIPEIVAVEPIADEETGLELNEENIEKVLEEIRPYLVGAAGGSLELVGIEEPIVKVRITGPAAGVMTVRVAVTQKLREKIPSIAAVQLLS >KGN49852 pep chromosome:ASM407v2:5:3485774:3492627:1 gene:Csa_5G139300 transcript:KGN49852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEWQDFLQTLFIGLIFSYLVAKLISIVVSFKEDNLSLSRATSTPIPQSNEGVSKTKPIETDIGSGSGDFGFAHEADSVIAEHGSVRNDSIGGSDTDDDDWEGVESTELDELFSAATAFVAASAADRLSPKVSNEVQLQLYGYYKIATEGPCSTPQPSALKMTARAKWQAWQKLGAMPPEEAMQKYIDIVTELFPSWVAGASGKSKDGNADARSKDSRGPMGPVFSTFVYEETGNELELEDIHGFAREGELENLLKCIENGVSVNIKDSEGRTPLHWAVDRGHSNVVEVLVSRNADIDVKDVDGQTPLHYAVVCDREGIAEYLVKNNANVSEKDNEGKSPCDICESNWPFMVSAKK >KGN51057 pep chromosome:ASM407v2:5:15282957:15284623:-1 gene:Csa_5G423870 transcript:KGN51057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLRQKLSPIVLSSKISLCLSMRNLISIPVADKLINDDATVNSICDSLTRRQSWDTLSRKFQFLELNDFLVQKVLLKFQQPVDAKRALGFFHWSAKRKNFNHGPQSFGIMIHILVKARLVLDARALLESILKKNEGNSFDYSVVDSLMDSYEVTGSSPFVFDLLVQTCAKLRLIDFALCVCSHLEERGFSLSLISFNTLIHVVEKSDENLKVWKIYEQMIRKRVYPNAITVRIMINSLCKEGKLQETSDMLNRIHGSRCSASLIVNACLIYRILEEGRVEDGITLLKRMLQKNMVLDDIAYSLIVYAKVKTGSITSTWEVFEEMSERGFQANSFIYTLFIGVHCRGGKVEEAHCLMQEMENMGLKPYPETFNLLIEGCAISGHSEEILSMCEKMLERGFLPSCSVFNVAIDKICEKGDVKKANALLTILLDKGFLPDETTYTNLIIGYRKSGEIQEILKLYYEMGARLLSPGVSVFFALIGSLCQSGRLEEAEKYLKIVKDSSLTPCLSIYQALILLYLKKGNRAKALELYNEMMFDG >KGN50829 pep chromosome:ASM407v2:5:11737997:11738158:-1 gene:Csa_5G283470 transcript:KGN50829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPSDNIGQEALSANFVASSFGLHLISSHTKEFHSLTDVIDQASDKKYKFPG >KGN51010 pep chromosome:ASM407v2:5:14584063:14584347:-1 gene:Csa_5G406550 transcript:KGN51010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGERLKATSTKRKRVRWNLRGGRIIDWWQHQEKVLATSDLGVGGAKESVGQLKCGRQG >KGN50547 pep chromosome:ASM407v2:5:8060671:8062165:-1 gene:Csa_5G182030 transcript:KGN50547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFPPLPSYLDPPNWQPLTNHQTGGNDTAEDSGQVLLPPPPGGGGGGDGGGGTGSIRPVSMTDRARLAKIPQPEAGLKCPRCESTNTKFCYFNNYNLSQPRHFCKTCRRYWTRGGALRNVPVGGGCRRNKRTKSRNRSKSPAASERQLLGGSTNSTAAVSLSTQPHLPFLSSLHNFSTYGLNLGIIPPQAPPTSGGSAAGGVDVHEFQGDHWRLQPPQQFPLLANDQQPNLLYTFEPPEGAMARYNLGGFSRLGIENDIGMTMESGAVKVEESKGMNLGKNFQFWVGGGGGNDVNAWSGGGSGGADLHGFSSASASHLLRQ >KGN51463 pep chromosome:ASM407v2:5:19521256:19521663:1 gene:Csa_5G550750 transcript:KGN51463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEMCGYEINLVIQKQLTDTDLNKNHGRLSMNTKQLSFDFATEEESKLLSEQENKNKMGINVMLLDDVLEERMLCLKKWKIGSGEVYCLMTKWNLMVEERGLKSGEEIQVWSFRKDDEDEAHRLCLALVKLATC >KGN51656 pep chromosome:ASM407v2:5:21072134:21079044:-1 gene:Csa_5G588740 transcript:KGN51656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLDSESSPSSSRDWFFPPQSFVHSHPAKSPNYIRRFSDTSRLSRRYTDYHRYRKTSSSISDSHSSSTITNDVKFARTRRRFDFDRRSDLSLKSSEVEFSSKRKLELPDVSSSVKKVSDTSRLSKSIDSSLKVRWIFLAITASIFVVSFATIVHENLYLQEQVNNLETRISNLNSKLRVCNLFDDGNEDDVRSPDEVTDVFTDKKLKTLASIASLTLLFAPIIILKYIDYVSKSRSLDHNLEEVSLNKRLAYKVDVFFSIHPYAKPLALLIATLLLIMLGGLALFGVTDDSLVDCLWLSWTYVADSGNHANSEGFGPRLVSVSVSFGGMLIFAMMLGLVSDSISEKFDSLRKGRSEVVEQDHTLILGWSDKLGSLLNQISIANESLGGGIVVVMAERDKEEMELDIAKMEFDFKGTSVICRTGSPLILADLKKVSVSKARAIIVIAEDGNADQSDARALRTVLSLTGVKEGLRGHIVVELSDLDNEVLVKLVGGELVETVVAHDVIGRLMIQCARQPGLAQIWEDILGFENCEFYIKRWPQLNGMQFEDVLISFPDAIPCGIKVASRGGKIVLNPEDSYILEEGDEVLVIAEDDDTYAPAPLPTVWRGSLPKDFIVPKSAERILLCGWRRDMEDMIMVLDAFLAPGSELWMFNDVPENEREKKLVDGGLDISRLENISLVDREGNAVIRRHLESLPLESFDSILILADESVEDSAIQADSRSLATLLLIRDIQAKRMPVRYAKGTAHKGSFSQGSWIGEMQQASDKSVIISEILDPRTKNLLSMSKISDYVLSNELVSMALAMVAEDRQINDVLEELFAEEGNELHIRQADLYLREGEELSFYEVLLRARQRREIVIGYRSANAERAVINPPAKNERRKWSLRDVFVVIAEKE >KGN51974 pep chromosome:ASM407v2:5:22971042:22975090:1 gene:Csa_5G606650 transcript:KGN51974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASISNIIHSPIHSHRLPSFRLFPNRTFPANSFVLKRRSLASPLSSPLTENPTVSPSVELSHKAIGLLSSRQPLKSRSVVRLPVVKAAAADADGASDGLTSSSESFGARFPALITGFYFFMWYFLNVIFNILNKKVYNYFPYPYFVSVIHLLVGVVYCLVSWAVGLPKRAPIDKDLLLLLTPVSLCHALGHVMSNVSFAAVAVSFTHTIKALEPFFNAAASQFILGHQIPFSLWLSLAPVVLGVSMASLTELSFNWIGFVSAMISNIAFTYRSIYSKKAMTGMDSTNVYAYTSIIALLFCIPPAVMIEGPQLLQHGFKDAIAKVGLHKFLSDLFWIGMFYHLYNQLAANTLERVAPLTHAVGNVLKRVFVIGFSIVVFGNKISTQTGIGTAIAIAGVAIYSLIKANLEEQKRRAAKIPSS >KGN51780 pep chromosome:ASM407v2:5:21900891:21902031:-1 gene:Csa_5G599855 transcript:KGN51780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKHLVSFSMVFLLVAVFISQAQGSALCDEAAFPALCRSTVKGASDPTSALKITIEHLIFETKRAKDSSLKIGSLKSLGVCKQNFDDAVDDLQSSLAYMQKKDIPSLKINLSAALTFYSTCDDAVVESGDQKKASTVLSNDLLLQHLAANCLHLSTLLK >KGN52509 pep chromosome:ASM407v2:5:26600400:26602019:-1 gene:Csa_5G638465 transcript:KGN52509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGHILAIPYPAQGHVIPLLELSLCLARHGFKITFVNTEYNHKRVVSALAETNHIGDGRVHLVSLPDGLEPGEDRNNLGKLTETMLQVMPVQLTELINTINGLGGNEITGVIADENLGWALEVAAKMKIPRVAFWPAAAALLAMQFSIPNLIEQKLIDSDGTLLKSEDIKLAESVPITRTERLVWKCVGDEETEKIIFQVCLGNNKAIEVADWVICNTVYDLEAEIFSLAPRILPIGPLLARNRLENSIGHFWPEDSTCLKWLDQKAPCSVIYIAFGSFTVLDKTQFQELALGLELTGKPFLWVVRPDITEENPNNVFPLGFQERIESRGKIVGWAPQQSVLNHPSIACFVSHCGWNSTLESLSNGIRFLCWPYFADQFLNESYICDIWKVGLKLKKDKHGIVTRTEIKEKLEKLIADEDSKQRIQKLKKTVVESIKEGGQSYNNLNNFINWLKT >KGN51398 pep chromosome:ASM407v2:5:18712391:18712750:-1 gene:Csa_5G526880 transcript:KGN51398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQRVASCRSSSGLLQLFAFLFIFFLLLTVPGCWGATKFVRQKCRRVENWVSANKNRKGRITHGSMHGDYEDTINMSPIHHN >KGN51882 pep chromosome:ASM407v2:5:22465807:22466076:1 gene:Csa_5G604270 transcript:KGN51882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMVSACVKCGDTDFACSRPARHGVKVNEVPMVSVLTACAHLDALDKENGQMLI >KGN49989 pep chromosome:ASM407v2:5:4306658:4309187:1 gene:Csa_5G148600 transcript:KGN49989 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MYG1 MVTLCRGLGFNRGQFLSFPNFFFLRTFMASSPLASLSPASPSPSDSIPLKRVGTHHGSFHCDEALGCFMIRLTDKFSNAQIVRTRDPQVLQGLDAVLDVGGVYDPSHDRYDHHQKGFEEVFGHGFSTKLSSAGLVYKHFGKEIIAKELQVDEGHPDVHRLFLAVYKSFMEAIDAVDNGINQYDTDKPPKYVNNTHLSSRVGRLNLDWIDPDQSPENENKAFEKAMALAGNEFLDSVRFHAKSWLPARSIVMGSLAARHTIDPSGEIMVMTTFCPWKLHLFELEAELKIENSIKYVLYQDDRSKHWRVQAVAVSPDRFESRRPLPAQWRGLRDEELSKESGIPGCVFVHMSGFIGGNQTYDGALTMAKNALKL >KGN52224 pep chromosome:ASM407v2:5:24469738:24471622:1 gene:Csa_5G621920 transcript:KGN52224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFTEKEESLVKESYEVLKKDMPFYSLHFFTQILEIAPAAKAMFSFLRDSEEIPQNNPKLKAHALKVFKMTCEAAIQLKEKGEVIISETTLQYLGSVHLRNGVIDPHFEVVKEALLRTVKEGMGEKWSEEMGNAWTKAYHHLASAIKAEMK >KGN51153 pep chromosome:ASM407v2:5:16470032:16475791:-1 gene:Csa_5G468460 transcript:KGN51153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSSKTKRARSALSSEGAFNRHKFISKDAADRYRKLVVKSSTKPERGLAPCEVHQPQLFQNIMQRGWSDFVKQPEPAVLSIVREFYANMVEGSSRSFVRGRQVSFDYGTINRYYHLPNFERDEYDIYASEHVDVHQIIRELCQPGAEWLLPMAHTSSVTKERAILLYAIATKRSVDVGKVIQKSLCNIRKSGMTGGLGHSSLITALCRNEGVVWNEKEELVDPKPIMDKSFIMEIPGWSFEPMGAGHCDETAGTSHCNKTTDAGHNDEPSDQDEAEPIREVLHGDAVFDNSLGCTILSGDVIIRHLAGQLKPDYVVFLTDVLGVYDRPPTEPNAVLLREIAVGEDGRWSVIQPVLHNGNTEGLNIVFYFIP >KGN51406 pep chromosome:ASM407v2:5:18828455:18828688:-1 gene:Csa_5G528430 transcript:KGN51406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRYMSKTSLMIAKPMIKSGFQMTKGLGKNNQGGSELFSLPKAKEKFGLGFKPMAFDWEKVRAKKKKKETHDLRDAK >KGN52492 pep chromosome:ASM407v2:5:26528714:26529449:-1 gene:Csa_5G638310 transcript:KGN52492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSESERKEPVNEQMVANMYGALRSELNQIYSKITELEMEASEHSLVISAIEPLDPSRRCYRMIGGVLVERTIKEVLPAVQRNKEGLEEVISRLNEALEKKKKEISDLEAKYKIRIRKPDGEAKEEDSGRKEGAAQGVLVGPAGES >KGN51034 pep chromosome:ASM407v2:5:14874998:14876438:1 gene:Csa_5G410750 transcript:KGN51034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADETLASQDLLLPQKIAKILDEARSSNATHNRKLKELCALRLKSKSPLDFLTAFSKTLTPLFNFHRRISSVERLIRFISLFSTSRDPNFASHADDFLEEFLKFLLVASCAANKSARFRACQIVSEIIMRLPDDAEVSSEFWDKVIDHMKVRVQDKVPLVRMFAVRALSRFANDSENGDILNLFLEVIPMEQNPEVRKTILLSLPPSNATLQVIIDCTLDVSESVRKAAYCVLANKFPLQSLR >KGN50070 pep chromosome:ASM407v2:5:4849406:4852771:1 gene:Csa_5G152850 transcript:KGN50070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDSEKVFWDSMKNPAGNHHITAAFNSQSRTSSKLLLCLIFFISFTYLIYSLKLLSSPRLCSDSQPFSSSAVDPLHNLTTTAAISLPTSSQNQTELRHVVFGIAASAKLWEQRKNYIKLWFKPEKMRGTVWLDRKVKIDEDSDELPPIRISGDTSKFAYKNRQGHRSAIRISRIVSETFRLGLKDVRWFVMGDDDTVFVTENLLRVLRKYDHTQYYYIGSLSESHLQNIYFSYSMAYGGGGFAISYPLAEALVKMQDRCIQRYPGLYGSDDRMQACMAELGVPLTKELGFHQYDVYGNLFGLLSAHPIAPFVSLHHLDIVEPIFPNATRLQALDRLKIPMELDSAGLLQQSICYHKSNTWTISVSWGYAIQIFRGILSPREVEMPSRTFLNWYRRADYTAYAFNTRPVARNPCQKAFVFYLSNALQTNSTTGQTVSKYIRHRAPQPACKWKSPSPSSIEFVKVIKKADPKLWERSPRRNCCRVMKSKEKKTLMVEVGICKDGEISEV >KGN49572 pep chromosome:ASM407v2:5:298668:299110:-1 gene:Csa_5G003640 transcript:KGN49572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKLGLCSFHFHIFVAPSPPLNPVEGFSSFGQFWLLSEYDDITVLQGKFFVFANSLPAVCTIFELWEGISRAV >KGN50214 pep chromosome:ASM407v2:5:5831727:5834487:1 gene:Csa_5G160170 transcript:KGN50214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSTSNLVLFLLLFLAYGCADASPWDRWERTIRMPTEKEEMGGAGDRKVGTRWAVLIAGSSGFGNYRHQADICHAYQLLKKGGLKDENIVVFMYDDIATNVLNPRPGIIINHPQGEDVYAGVPKDYTGEHVTAQNLYAVLLGNRTAVDGGSGKVVDSKPNDRIFVYYSDHGGPGVLGMPNLPFVYAMDFIEVLKKKHAAKGYKEMVIYVEACESGSIFEGILPKDLNIYVTTASNAQESSFGTYCPGMEPAPPPEYMTCLGDLYSVAWMEDSETHNLKRETIDQQYRTVKERTSNPNNLNTGSHVMEYGNSSIKAERLYLYQGFDPASVNLPPYNGRYEMKSMDAINQRDADIFFLWQMYRKFEDGTNERAQVLEEIRETVTHRTHLDGSIRMIGFLLFGPEKGSNILDDVRASGLPLVDDWECLKSMVRVLESYCGSLTQYGMKHMRAIANICNRGVSKASMREASMVACNGGSYGLWHPSNRGYSA >KGN49915 pep chromosome:ASM407v2:5:3804925:3807099:1 gene:Csa_5G139920 transcript:KGN49915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTILSSETMDIPDGVKIKVNAKIIEVEGPRGKLVRNFKHLNLDFQLITDEATGKKKLKIDAWFGSRKTSAAIRTALSHVENLITGVTKGYRYKMRFVYAHFPINASITNTNKSIEIRNFLGEKKVRKVDMLDGVSIIRSEKVKDELVLDGNDIELVSRSAALINQKCHVKNKDIRKFLDGIYVSEKGTVVEEE >KGN51680 pep chromosome:ASM407v2:5:21238900:21243318:1 gene:Csa_5G589970 transcript:KGN51680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGAQPSSSAFGTPQSSPAFGTPQSTPAFGTPASTPAFGTPSASPAFGNLSSTPAFGTPSTSSFATGFGSSLFSTPFSSQPSQQQQQLQQSPMFPQSSATGFGFQTPFTAPQSTPFPNAQLTTQMAPVAPLPFSLADRDIQAIVEAYKDDAGNPKYAFKHLLFSVTDPQYRTKPPGVSDIMWAEAMAKLEGMESADRERLWPQLVQGFKDLSQRLKIQDEVILSDSERLRMTQSNVKMLQRHFLADTLPWVQRLKQKEQGLQRHLLRVMRIVEALESKGCRVPVMKGEAELAEKLALITRQLKGSGAELSRRVQNLLTVTRGQANGSGPGNSIYFQGSAKIHEQSLADMQEVLQQQTEAIARLGNVLKRDVRDMEIIMAEDKEMADDAS >KGN51852 pep chromosome:ASM407v2:5:22305555:22307769:1 gene:Csa_5G603970 transcript:KGN51852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWEQQRRKRKRFGRSSSHVQFFTRRSLFLCLSFFAFLLFLSSSRWFSIAAASFRPVLDASSTTLSRLSTSASKSTLDNSLSSKYSPLKIESRVLFPDHLLLMVSGEFGRDEKLDCLYHKSVARGSDRETLKQSVLSTDKYDEFRSIARCPLPPLNYSASAVDLRRGGVEADDHWLVRNRHPVASWERVVYEAAIDGNTVVVFAKGLNLRPHRESNPAEFSCHFRLGNSNNNGEYVHTTKAVAAAQEIIRCSLPASVPSSLDKEKGIRVTVSRGSIHSKTHLQVTLPSVARLFDSKLSDLQRNQEKHELCVCTMVWNQAAALREWIMYHAWLGVGRWFIYDNNSDDNIEKIVRELNLEDYNISRLTWPWLKTQEAGFSHCALRARDECKWVGFFDVDEFFYFPSKYRHQREYHTAGRNALHSLIAESSASSSNSTTIAEIRTACHSFGPSGLTSHPPQGVTMGYTCRLQSPERHKSFVRPDLLDITLLNIVHHFRLKRGFGFFDVPKSNAVINHYKYQVWETFRAKFFRRVATYVVDWQEAQNEGSKDRAPGLGTEAIEPPNWRLQFCEVWDTGLRDFVQTLFSDPLTGYLPWEKASG >KGN52506 pep chromosome:ASM407v2:5:26595651:26597269:-1 gene:Csa_5G638455 transcript:KGN52506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGHILAIPYPAQGHVIPLLELSLCLARHGFKITFVNTEYNHKRVVSALAETNQIGDGRVHLVSLPDGLKPGEDRSNLGKLTETMLQVMPVKLEELINTINGLGGNEITGVIADENLGWALEVAAKMKIPRVAFWPAAAALLAMLFSIPNLIEQKLIDSDGTLLKSEDIKLAESVPITRTEKLVWACIGDKETEKFLFQVFLANNKAIEVADWVICNTVYDLEAEIFSLAPRILPIGPLLARNRLENSIGHFWPEDSTCLKWLDQKAPCSVIYIAFGSFTVLDKTQFQELALGLELTGKPFLWVVRPDITEEDPNNVFPLGFQERIESRGKIVGWAPQQSVLNHPSIACFVSHCGWNSTLESLSNGIRFLCWPYFADQFLNESYICDIWKVGLKLKKDKHGIVTRTEIKEKVEKLIADEDSKQRIQKLKKTVVESIKEGGQSYNNLNNFINWLKT >KGN52230 pep chromosome:ASM407v2:5:24512246:24515927:-1 gene:Csa_5G622470 transcript:KGN52230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRDSATSLAPGFRFHPTDEELVSYYLKRKVSGKPFRFDPISVIDIYKSEPWDLPGKSKLKSRDLEWYFFSALDKKYGNSSRTNRATEKGYWKTTGKDRPVRHSARTVGMKKTLVYHIGRAPRGARTNWVMHEYKLTDEEMGKIAIVQDAFVLCRIFQKSGTGPKNGEQYGAPFIEEEWEENEELAVVPGDDQAADELLGVGGVCIEGDDFSENVEEGILSESAPPPPFNYYYGETSNSIEQSDNYIEDDQKPAVGICETSELPDGQKFFVLPDECGLHDRLVKHEYLAESSNDAGANAADEVNAGDMDGRYVLNEAFYSTSDNLSFSDELLLEPNELSNPTESDPADFDVLEEYLTFFDADGDNVDMSFDPSEILGSGSPIPDQTEAVGGATEHASASKQTADLRHDNGASSSSMQRPEDPKSESDIKYPFLKHTSHMLGSIPAPFASQFTSKDAAIHLNSAPQASSSVRVTAGMLVIRNIALNGYEVNNMYGKNSDLNIIYLYGIVEGNIDSSQLLPNAAGVNSSKSEPLISRYFLYFFILFWVLILSASFKVARCIHSR >KGN51370 pep chromosome:ASM407v2:5:18506612:18509108:1 gene:Csa_5G523150 transcript:KGN51370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFSITPQISPKSSSPVETRRLFSICASQRSSIPVGRLSKFRNFTSRFRMSIDNGSSNRIGAGDAVIIVDHGSRRRESNLMLNEFVAMFKDKTGYPIVEPAHMELAEPSIKDSFTLCVEQGAKRIIVSPFFLFPGRHWQQDIPSLTAEAAKDHPGISYIITAPLGLHEQLVDVVNDRINYCLSHAAGVSDECEVCAGTGKCRFN >KGN49602 pep chromosome:ASM407v2:5:758130:760679:1 gene:Csa_5G021350 transcript:KGN49602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFKTTLANPSLSKSGKFTNSFAVASRIFSKNLSYVSQPYRSICTEVINVLPPLDETYISNNFISLFSQQKFSLDDPQLKNLAPSLNPRIVETVLNGLGSWKIAHMFFTWASKQHGYRHNCNTFNAIASILSHARKNAPLRAVAMDVLNFRCSMTPRALGVFLRCLGSVGLVEEANYLFDQVRSMDLCIPNNYSYNCLLEILSKTNSIDSIENRLMEMKDFGWEVDKYTLTPVLMAYCNAGKFDKALIVFNDMHERGWVDGYVFSILALAFSKWGEVDRTMQFIDRMEDQNLMLNGKTFYALIHGFVKESREDMALKLLEKMLKLGFTLDVSIYDVLIGGLCKKRAFEKAMALFFKMKMLGITPDVQILAKLVASSPEERVVIMLLGERPKDINDEGMIFLFNSVLKFLVNAGKVESTCYLLQLMMGNESRSDNIHILDIHQTFKKLLPNTASFNIVIHGLLKTTSKLDQDAALSLFEDMVQLGCERDQLLYNNLIDALCKSDRLKESYKLLRDMEQSRLQPTHFTYNSIFGCLCRREDTVGAIELLREMRGHGHEPWIKHSTLLVKQLCKNGRAIEASNFLADMVCEGFLPDIVSYSAAMDGLVKINKLDRALELFQDICTRGCRPDVVSHNILIKGYCKAGKVNEAYNFLHKMRVAGLVPSAVSYNLLINEWCKNGDIDKAILCLSQMNEENKKPTIISYTTLINGCCNSGRPDDAKILWNEMQEKGCSPNRITYMAIVHGLCKCGKPDEALVYYHSMEEKEMKPDSYVSVALIDAFISKHNFSMAFNILKETIEKGNIPDPTDKNYVTIKDAIFKLSKDEQTGLEVKALIEKGRIPTISVSCLSS >KGN51952 pep chromosome:ASM407v2:5:22853799:22856448:1 gene:Csa_5G606430 transcript:KGN51952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLILPLASRTTIFYMFGCGNFYANYDFQGLILERAASFDDKILNLLEYDYISSRMIGYFVHPSLCFISLPS >KGN50506 pep chromosome:ASM407v2:5:7782473:7784700:1 gene:Csa_5G179220 transcript:KGN50506 gene_biotype:protein_coding transcript_biotype:protein_coding description:Esterase/lipase/thioesterase family protein MEIENVRYEEEVIVNGRGLKLFTCNWVPKNEEPKALIFLCHGYAMECSITMDSSARRLAKEGYGVYGIDYEGHGKSSGLQGYVSSFDNVVDDCSSFFTSISEKKENREKKRYLMGESMGGAVALMIHRKQPDFWDGAILVAPMCKIADEMRPNPLVISLLTKLCKVIPTWKIIPTQDIIDIAFKQPHVRKQIRENAYCYKGRPRLRTGYELLRITSLLETKLHEVSLPFLLLHGEDDRVTDKLVSKQLYDDAASDDKTLNMYPGMWHGLLYGETPENIDIVFSDIIGWLDKRSIVENLKSELERKYENDGLLEAKK >KGN52468 pep chromosome:ASM407v2:5:26343557:26344208:1 gene:Csa_5G636600 transcript:KGN52468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHPKLFSTLLLLSCFLLLSFTHGLKVEMIESLGHGSNVRISPSLKGIGGKSRKMMIEIMDYADPGPNTNTRSGYLNPPPPPSPAT >KGN52599 pep chromosome:ASM407v2:5:27251837:27253687:1 gene:Csa_5G646730 transcript:KGN52599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVLLFDRFITSKRLRVWLSLRIISKAIGRSMSSVSIHPHLNQLFVAALEKCSNLNHLKQLQGFLISHGHSQTQFFAFKLVRFCNLTLADLCYARYIFDNLTSPNVFLYTAMITAYASYPDPKAAFLLYRNMVRRGAIRPNNFIYPHVLRSCPDVLGSNATKMVHTQVLKSGFGGYPVVQTAIVDSYSRFSSDIGSARQMFDEMLERTVVSWTAMISGYARLGNFDSAIELFESMPERDVPAWNALIAGCAQNGFFCEAIWLFKRMVLLALEGNNNDRENKPNKTTLGSALSACGHTGMLHLGKWIHGYVFKTYPGQDSFISNALLDMYGKCGNLKVARRVFDMITLKNLTSWNSLINCLALHGHSGSAIDLFAELIHCGDGVKPNEVTFVGVLNACTHGGLVEKGYSYFEMMRRDYDIEPQIEHFGCLIDLLGRAGRFEEAMEVVRGMNIEPDEVVWGSLLNACKIHGRSDLAEYSVKKLIEMDPKNGGYRIMLANIYAEFGKWDEVRKVRRLLKEKNAYKTPGCSWIEMRKSNHERIMKLYQPSLSFQLHSLL >KGN51212 pep chromosome:ASM407v2:5:17262878:17270408:-1 gene:Csa_5G492340 transcript:KGN51212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVMLQSSQMVSIILQDTRDEFSEKLKVLTSGNPQENSHVAQKSGAIFFAMKGASASTLFPQMSSFISKNAAYTLGIINLNSKDQTLLPARDSALNSYISITIEALNPLLSKFLSQFSHRRSIPIMLLGRSFQVIGIPWPDLNDGLFYNDVVKPPDSGKSLIHFYSWKYKSSAPLEGWLQRIKNEQITIDGEVITDPETILRIGSDLVYRRLPWKEPDTPYLLEILYEDNEMIALNKPSGLQVLPGGVFQQRTVLTQLQWWSSKQSFSVSEELHPVPVHRLGRGTSGILLCAKTKLARTQFAAYFAEGTSSVRRNSTMEEGTKRKISKIYRALVTGIIHDDEVSVDQPIGRMQYPGVAQGLYVATPSGKPACSKVNVEIQSGRPHQIRIHLSSIGHPLLGDPLYVAGGQPNCLHSEVVNQSFAEDGGYERPTKPVPGDCGYYLHAHQLILYHPKTNEVVKIIAPLPPILRTRIEAEDVHE >KGN49778 pep chromosome:ASM407v2:5:3077713:3081181:1 gene:Csa_5G119690 transcript:KGN49778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGDVAPAATPATSAEPQYIRAKTSVWWDIENCQVPKGCDPHAIAQNISSALVKINYCGPVSISAYGDTNRIPNSIQQALSSTGIALNHVPAGVKDASDKKILVDMLFWAVDNPAPANYLLISGDRDFSNALHQLRMRRYNILLAQPQKASAPLVAAAKSVWLWMSLVAGGLPISSTESSQLVNGIPTSEPQISQTSGFDHNQHTGQAIVYKPENVNLGNQRSYSTERMGDNKHKGKYVQKNSNQPVISRALSSPASMQEKNPNFLNQPNHMQAKQFKKAPHEFFGNGNPVGSSSQSIPNLFIENSSHARIDGNGSMGSSSCYQPSHLAHARSDGNISMSNSSSYQPPHMRQNNMQLHPPFRPDNVFPPNSLNHNPFPVLGQPDLPAPNISQLHISDYPNYPINPQNFHQQTGEFRPHSKSQNPANFNAPDKSRSHHGGQSFHHDALNKRHARDAVEYTPHSSFTTVTRSLSHNDGWGSQGQPPPSEYIQGLIGVILLALNTLKVEKIMPKEENIAECIRYGDLRNCNTDVKMALDSAIEHNMVVKQEIGELQLYVGKTEKLWKCVNPLGGYPNQYPKAIWDKIHYFLASPAGRSAMMASRCRYEAALILKKECLTDFALGDVLQILHMITSMKKWITHHNSGWQPINIILAEGNTDASSRTELD >KGN51429 pep chromosome:ASM407v2:5:19170137:19171106:-1 gene:Csa_5G537540 transcript:KGN51429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLAKVMADFSQGVQSGWQWCHFSGSMSEGNCSISSQLHSNNDVEDAASMEKQISADNLASNGNYIIKQSLFQSGVLRTNCIDCLDRTNVAQYAYGFAALGHQLHALEILDSPKIHLDAPLADELMAFYERMGDTLAHQYGGSAAHNKVRPISSKVLPLWEM >KGN50268 pep chromosome:ASM407v2:5:6195766:6196840:1 gene:Csa_5G163650 transcript:KGN50268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLDSSSIQKLNVGNKSAAGECYIRTEICLQGLVDAIREDVSMLTLLAEVLCLLDMIVNSFAHTISTKPVDRYTRPNFTENGPMAIEAARHPILESIHNDFVANSIFLSEASNMIIVMGPNM >KGN51520 pep chromosome:ASM407v2:5:20074928:20079424:-1 gene:Csa_5G576620 transcript:KGN51520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRRLLSSLLRSSARRSSSRSPISSPNPRIPLSNTSRASPYGYLLNRVAQYATSAAAATPPPSSPPSAKSDVGPSGKITDEFTGAGSIGQVCQVIGAVVDVRFDEGLPPILTALEVLDHSIRLVLEVAQHLGENMVRTIAMDGTEGLVRGRRVLNTGSPITVPVGRATLGRIINVIGEPIDEKGDLKTDHYLPIHREAPAFVEQATEQQILVTGIKVVDLLAPYQRGGKIGLFGGAGVGKTVLIMELINNVAKAHGGFSVFAGVGERTREGNDLYREMIESGVIKLGDKQAESKCALVYGQMNEPPGARARVGLTGLTVAEHFRDAEGQDVLLFIDNIFRFTQANSEVSALLGRIPSAVGYQPTLATDLGGLQERITTTKKGSITSVQAIYVPADDLTDPAPATTFAHLDATTVLSRQISELGIYPAVDPLDSTSRMLSPHILGEDHYNTARGVQKVLQNYKNLQDIIAILGMDELSEDDKLTVARARKIQRFLSQPFHVAEVFTGAPGKYVELKESITSFQGVLDGKYDDLPEQSFYMIGGIEEVIAKAEKIARESAA >KGN52404 pep chromosome:ASM407v2:5:25833846:25836224:-1 gene:Csa_5G631540 transcript:KGN52404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILQASSFNIAVPLYGSSLGCSRHRHVVRAQAEPSEKSVEIMRKFSEQYARKSGTYFCVDKGVTAVVIKGLADHKDTLGAPLCPCRHYDDKPAEVAQGFWNCPCVPMRERKECHCMLFLTPENDFAGNDQAISLEDIRATTANM >KGN51803 pep chromosome:ASM407v2:5:22011206:22013944:1 gene:Csa_5G601530 transcript:KGN51803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSFEEALEFLRPLVEIKLWDYCIVWKSRDDDSLRFIDWVGCCCSGGVSGAGGKEEAGETIPAALCKDTRFRHFRRTNACQALAQFPSSISLNTGVHGDVSISNQPMWLTSGEVSYFSSFSHELTGTRVLIPVSGGIVELFATKRMPREGEVIDFVMAHCNFSLGQEFETESALNAGLNEKILNSSTKYYSLNWPDPQAILGFKSKLETLPSVSQSSSFPGCGEGSSSGSKPSPGLFNQPIRTSFESKAGMRQEDLLEQQRNVVLDHSKILQKDEAKTGEKQEKEVYKSKNLMTERRRRNKIRDRLYTLRALVPNISKMDRASIIVDAIGYIRELEENVKSLQNELIQLEHKDCQKNKHLKVSPLEKTNDDINSWPFVQDDQPMFILDEEKPMEVEVEVMQINERDFLIKLFCKRKQGGVVSSIEAMDSLGLQVIDVNITTFGGMVLNIFHVEANENDIQPKRLRDSLIKLTS >KGN51304 pep chromosome:ASM407v2:5:18046660:18047493:-1 gene:Csa_5G516560 transcript:KGN51304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIRPEIVLRNHPSHPWHGLELKNYMKPYTCNGCKEKGFGPRYRCERCDFNLHQACSYTSLRLSSHDYFPGSKFKFLRNPPKPCHPECIIRCDACRKTIKGYVFHCEEDDIDLHPCCRNLKRSYQIEEVKFKLHRKVRGKCMWCNRKNLKDGGNNDNGWSYVSECDNYHLKVQEIQARGRWDGGAKNKFWRITKFIIKTVVSIVIGDPTMIIASFFVELLP >KGN50029 pep chromosome:ASM407v2:5:4581172:4581684:-1 gene:Csa_5G150470 transcript:KGN50029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPTFNKSKSTQSAVVWDCGSSLYDSFELNSLKRQLDSAIASRTLSMPHLPGRLCTLLPPPPPPPPPPSKRSSKISRSLHKFLRSVFKHKTNSIPGTFEANPERVDDDHGFYVLYEDEANSLSAVPEVGFPGFSPEIGSLVRRTTSERFTAATSMSISCA >KGN52086 pep chromosome:ASM407v2:5:23631750:23633910:-1 gene:Csa_5G609720 transcript:KGN52086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHCAILSNAFSGHEEMRTSVPCPLSDFRDQLVCPKPRRLTVNAHSDTSLRWNLSHQVEPIDMAAGPDLLDFLLTKGGCSVDQSFTQLASSPPFLCGSPPSRVANPLIQDARFREEKFIPFTPIASPSGQLSPSTSSRKGGRVRASFGNKPTVRIEGFDCLDRDRQNCSIPAFA >KGN50503 pep chromosome:ASM407v2:5:7761005:7762276:1 gene:Csa_5G178700 transcript:KGN50503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSVKIAVNTLFRGERFLCDHVFSRSERIRESCFYEITKEGAITLFKFPELVAKGKKDSDKIFILMELYDANSDVLPEIELIFDSVSTSVIRTQAQTSMTKLADSIRDILCEFESTIQKDSSKNPTPGGGIHPLTQSAMSYISSLGDYASTLSDILTVENSPIPSSYMETIAADDALSSPVAAQLGWLILVLLCKLDTKAEVYRDVSLSYLFLANNLNFIVKTVATTNLKMLIGGEWVANHRTKVKVYATNYEATAWNRVIKSLPERGSEEVGSPETAEEGLKRFNAAFEEAYRKQTSWRVEDGNLRDELKVSIARKIVPIYREFYEGCIERMNVNVGVRFSPDDLGNYLSDLFHGVSSSGSSSSSSSSSALKR >KGN51069 pep chromosome:ASM407v2:5:15502392:15502625:-1 gene:Csa_5G428190 transcript:KGN51069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLYFVAVHHSSTCTREKGLPLMIMKKKKDLGYSGGCGVELAVVAFPDIVFGDTQMLHRNSIGQFVTVEHFAPDLPN >KGN51159 pep chromosome:ASM407v2:5:16526553:16530231:1 gene:Csa_5G469010 transcript:KGN51159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSGDLRVSATLCSHHSHPLRPSFPSSKVEFSGFSCGGPSSHEVALKWRNTAIDSRNRRGISQITTGSSESFELSSKTSSQEAETFLLNAINMNFFERLNLAWRILFPSPASKRNSNALIAKQRLKMILFADRCAVSDEAKRKIVSNIVRALSDFVEIESKDKVQLSMSTDSDLGTIYSVTVPVRRVKAEYQEADESGTITNIEYKDNGETSGSVDVRFDFFIPD >KGN52580 pep chromosome:ASM407v2:5:27084424:27128027:1 gene:Csa_5G644570 transcript:KGN52580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIIFKGDTISVYSRVSSLQGFLSDGKRNEPHKIAGIAQCLGELYQHFGRRITSGLLETTMIAAKLIRFNEDFVRQEALHLLQNALEGSGGTAAASAYTEAFRLITRVGIGDKSFIVRIAAARCLKAFASIGGPGLGVGELDNSASFCVKALEDPIASVRDAFAEALGLLLALGMNPEAQVQPRGKGPFPPAKKLEGGLHRHLSLPFSKANGPRLKEIRVSLTLSWVFFLQAIRLRYLHPDTGLQDFALQVMDVLRVDTSVDAHSLACVLYILRVGITDQMTEPTQRNFLVFLGNQLQSEDASPSMKIACLRTLSYTLKTLGEVPSEFKEVLDSTVIAAVSHSSQLVRIEAALSLRTLTEVDPNCVGGLFSYGVTMLTALRENVSFEKNYNLQLELDSLHGQTAVLAALVSVSPKLPLGYPSRFPRSVLEVSKKMLTDPSRNPVASTVENEAGWLLLSSLLAYEVFDILSLWAAFFSGNMEHEIQQTVDLTSRICVWSTAIDALTAFIRCFISPDVISAGVFLQPVIVYLSRALSLISILATKDLASSRPALNILIIRTLIAYQSLSDPMVYKNDHSQIIQLCTTPFRDASGSEESSCLRLLLDRRDAWLGPWIPGRDSFEDELRAFQGGKDGLVPTIWEEEISNFAQPETINKKLVNEMLLCFGVIFACQDSSGMLSLLGVIEQCLKTGKKQPWHAASVTNICVGLLAGFKALLSFRVPPVSLEILSSAQGIFQGIMAAGDICAAQRRAAAEGLGLLARLGNDVFTARMIRSLLGDLTGMTDSTYAGSIALALGCIHRSAGGMALSTLVTGTVNSISMLARSSITSLQTWSLHGLLLTIEAAGLSYVSQVQATLGLALDILLSEENGLVELQQGVGRLINAIVAVLGPELAPGSIFFSRCKSVVAEISSWQEASIMLESVRFTQQLVLFAPQAVSVHSHLQVLLPTLASKQPTLRHLAVSTLRHLIEKDPVPIIDEQIEESLFHMLDEETDSDISNMVRTTIMRLLYASCPSCPSHWITICRNLVLATSTRRNIEYNSNSENDPSNALDGDITLNIGDDDENMVSGHKRVPIPGHALEPSNIIIQRDKHLRYRTRVFAAECLSHLPGAVGKDAAHFDLYLARNQLAKGPTSGDWLVLHVQELISLAYQISTIQFESMKPIGVELLSLIIDKFQHIADPELPDHLLLEQYQAQLVSAVRSALDTSSGPILLEAGLLLATKILTSGIIDGDQVAVKRIFSLISRLLNDFKELYYPSFAEWVSCKIKVRLLAAHASLKCYTYALLRRHQSEVPHEYLNLLPWFSKTSTVLGKHWIGVLMDYSHTCLFFHPKKKWNPFLDGIESPLVISKLQSSLEESWPVILQAIALDALPVNLDGIASSSINNASENNFLSGYSMVELECNEYRFLWSFALFSLFRGRQHPGKQNISSSSTTASVVEESPKETTNSIELKLYEIVLPVLQSLSTVKFCSAGYFTVDISIELLQVFSYYTFLDISWNSLAASVLSQIVQNCSESFLQEEGFAYLALELCLAFLFRMYQSMNSRQLDHHPNWEDLVSSLFVTVKVLMERFEFKKQILSLLLAFFSVGLKYFRETSTEFCLSKVNDFIRSFGHILEKLIQDRTKLGEDSLRSKILLGTCMNLVVDLCNNCVEGIHLVKNRSSKLQRLLQVKLAFSLEQTISLGKLVYLAGCLEADVEIEKASFSVFKYGTECIRNVLHDSNSQVQAIGLQVLKGMTQKYTNNEEKAFLLFFVGELIGDVLATIDMVLKKPITKESIAIAVECLRFLVLLQTVSSVGECQKMFMNLLLEAVVMVFSASSGSNPRELEELKSTAIKLVSHLAQMPASAGIFKDVILSMPVMHRQQLQGVIRASVTQDQHPTQKSLSTPILEIKAPVIKVNREKDFPSHTAESSIENNPAIVSEEDEDEDEDEDEDDWDTFQSFSVSTREVITDNVTESHETEDSKFLEGSSPSVSMEDVAPLPIHELKIENTEHEETSEELSASMSQRSSDGDQLSDKNGMQGVSDQESGNVDIVLNQEKEPSEVTEQEVSQLQLAESVEASAIVSSEEDHTPLDESPENKTKPVTSDREILDDEAEKDHVKVYKEGNETDTVVKTSSIDNEQRNESL >KGN49945 pep chromosome:ASM407v2:5:3951766:3953737:1 gene:Csa_5G141190 transcript:KGN49945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNGNGSKNRWMMGLHSKGRKERDNEDLHLFRELYKRDKERTACFLLPVDDLEHNHGGNSPFYRIHSIKKESGFGHLFEGNKNDYDWLKTPPATPLFPSLEMEATAPSHKNAQKETPLVQPLSQPQSQASSNSESTKKSSGIEKSPITKAKIPSRSITPSNRPRINSSIDPKNTKRTTNPSPNPNHRIDQTSQIDLTVKRNNNIKPTNLKESYTDYLTSNLLKGSTNSVKPNQNQNPNPRSRPTSPIVRSTIASQIPEFSNETPPNLRTDRSSSVTRGRQPENVEKSEANPRRQSCSPSVTRGRKVEVAKQEKNRGGNLSNNDQRRTETTNILGSRMVERVMNARKAIGNEERDVKPSRRRGIGEFRQTVRNSLFP >KGN50141 pep chromosome:ASM407v2:5:5297904:5302126:1 gene:Csa_5G155520 transcript:KGN50141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYPNLLAFFLLIFLATQTISGVQILSKSKLEKCERNSGSDTLNCTKKIVLNMAVPSGSSGGEASIIAEIVEVEENSTNKMQTLRTPPVLTVSKSPAYVLYELTYIRDVPYKPEEFYVPTRKCEPDASARVVQICERLRDESGHIILSTQPICCPCGAKRRMPTSCGNFFDKMIKGKANTAHCLRFPGDCIGQWTLGFSVQIHVKSGSKVSEVSVGPENRTVVSNDNFLRANLIGDLVGYTNIPSFEDFYLVIPRQGGPGQPQNLGTNFSMWMLLERVRFTLDGLECNKIGVGYETFNGQPDFCTSPFWSCLHNQLWNFREADLSRIGRKQLPLYGVEGRFERINQHPNAGTHSFSIGVTEVLNTNLVIELRADDVEYVYQRSPGKIMSISIPTFEALTQFGVATVATKNTGEVEASYSLTFTCSKEVSLMEEQYYIMKPNEIASRSFKLYPTTDQAAKYVCAAILKDADFSEVDRAECQFATTATVLDNGSQITPFELPKKKENGFIHSIKLAWKQFWGSVIDFVTGKSCRKVCSGFFDFSCHIQYICLSWLVLFGLFLATFPAVLVILWVLHQKGLFDPLYDWWEDMFCHKSEPTRSTWKYRGERKHYHRHGSRHHQNHGSGYKRRSHELHKKHKHSERDTDYFLHHVHRKKGKRGHNRV >KGN51393 pep chromosome:ASM407v2:5:18672420:18675493:1 gene:Csa_5G524860 transcript:KGN51393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPLKLRRVLVILFLGFVGWPMLLIGAGGSGSCRFPAVYNFGDSNSDTGGISAAFNVFESPNGMTFFGHPSGRACDGRLIIDFIAEKLKFPYLNAYLDSVGTSFRHGANFATGGSSIRPGGYSPFHLGLQVSQFIQFKSRTTYLYNRLQSNNRTTILIKSNIARPQEFSKALYMFDIAQNDLSYGFQHSSEEQVRASIPDILNTFSEAVQQVYKEGARYFWVHNTGPVGCLPFSILDNHRPGNIDSIGCVKSANEVAQELNRQLKNLLVKLRKELPLARITLVDMYSAKYFLVSKAKTEGFLSPVSFCCGSFHGFHLNCGKKEVVNGTVYENNACNDPSKHISWDGIHYSETANLWIADHILNGSFSDPPLPIDKACQAL >KGN51509 pep chromosome:ASM407v2:5:19978748:19980688:1 gene:Csa_5G571520 transcript:KGN51509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKEDDFKLLKFQTCDLRVNIHCDGCRLKVKKLLQRIEGVFQVEIGAENQKVTVLGNVDSSTLINKLVRAGKHAELWSQKGNPSPKPKNKEDKTPNKETKHLKLTTFNCEDDEIADCVEEGDDYEVAQLQFRDLLRQRAIEANNAGKGGIGISRIPGLVAGNGKMNNNHHHLSINNKPGNGKKIDPNQPMSIKNTPSEIDRKTLATLKMNNAQLFGNGRESINPGEAKRANNNDLNSMMSMAGFNGGNLLNFATPSSIDVNSTNTSQGLHLQQNNGYGYGYQPPSTSGFSMATGQYHHQQQQQPNFISGYNQYQQQQPSMNMNNMLNRQAMNQQPQMMYNRAQLVPSNTGYYFSYNPSPVHPSHPNVHGYNSNSAADMFSDENTSSSCSIM >KGN50569 pep chromosome:ASM407v2:5:8274251:8275156:1 gene:Csa_5G182740 transcript:KGN50569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGLNSSNSSTSCGACKFLRRKCSDQCVFAPFFSYDEATSHFAAVHKVFGASNVSKLLLHLPTHIRSHAAITVAYEALERMRDPTYGCVAHIFALQQEVASLQEEIEILGSQIANFATTGGSIAAVDNPFIDQVQVPQQMDGFGMMNTNYYQNELVSELPYQSFDNVQNDNTTAQMISPLFCLGEEDGVFEFSNSNNVVESSAFDVSVEENCIGYPWIVG >KGN49828 pep chromosome:ASM407v2:5:3389444:3394463:-1 gene:Csa_5G139080 transcript:KGN49828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQGKQGVVVKLPPHQNPVEQIQARFKELENGFRTWLAKQSLPVEAAVVTVTSAAQGAAIGGFMGTLTNDVSSSLPTPQAGLNPQAMASFKQAQALAGGPLVQARNFAVMTGVNAGISSVMKRLRGKEDVQSSMVAAFGSGAMFSLVSGMGGPNQATNAVTSGLFFALVQGGLFKLGEKFSQPPVEDVYYAKTRSMLNNLGLQSYEKNFKKGLLTDTTLPLLTDRHVN >KGN50767 pep chromosome:ASM407v2:5:10490371:10490777:1 gene:Csa_5G242150 transcript:KGN50767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKPNYVNLREDERKKFSRRRSMARCEGLKDDSSELAGADGAATDDDGEKETNDSELEIAESCVDSSQPKVLKQFPMTDTIGKNWKDMNERSGNANHRREEKAVE >KGN51535 pep chromosome:ASM407v2:5:20199860:20210288:1 gene:Csa_5G576770 transcript:KGN51535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTWARAAADAIHLTPTQAVLYLSGGASQAIGWLLSVPGASGTVLEALVPYSRNSMVQLLGKVPSQFCSLRTAEELALLAYNRALKLSRPGYPVLGVGFTGSLATTHPKLGEHRMHMSTRSSNRHWVSTITLSKGLRTREQEEILSGHLLLKAIAKACKVPGTFVSDLTQSDLVEECETLFTEDEELEQLIKGDVCFKVYPFLSETFTSDAERKIILSGSFNPLHDGHIKLLEAATSMCSDGYPCFELSAVNADKPPLSVSQIKDRVEQFEKVGKSVIISNQPYFYKKAELFPGSAFVIGADTAVRLIDPKYYDGDYKKMLEILIQIKNRGCTFLVSGRDIDGVFKVRKLSDLYKVYIPLVSFGQLILMLLLFLQHHYL >KGN52664 pep chromosome:ASM407v2:5:27608716:27608908:1 gene:Csa_5G649340 transcript:KGN52664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLRTEARGNVMESDLALERDLKREAVQTLISLKITQNLLLARIVYDFRSAA >KGN51896 pep chromosome:ASM407v2:5:22552113:22557101:-1 gene:Csa_5G604410 transcript:KGN51896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDTKKKLATEDSKKKERHIVSWTQQEDDILREQINQHGTENWAIIASKFKDKTTRQCRRRWYTYLNSDFKKGGWSPEEDLLLCEAQKIFGNRWTEIAKVVSGRTDNAVKNRFTTLCKKRAKYEALAKENSSSFINHNNKRVIFQKGSNDVSSETSQPVKRIRRAHISHTTEGCSLEDGSKKPELAVDQQLRAPLAVLVENSVDHADGQSATQSNKSQGTFIKKDDPKVTALTEQAELLSSLALKVNSENTDQSLENAWKILQDFLSRSKENNMRIPKYVTPDIDLQLEDAKGSVEDLRSSNDGSQWREPNLHESPGSSEYSTGSTLVSQTAEEKIDQSQPEIGTHHQEPQFESGSSCTREQYNIGESTKEILPKTNLERETFKCCNERKIESFASSFSSSEFSSPMHVIPLFRSLAAGIPSPQFSESERSFLLKTLGVESPSLNPSVNPSQPPPCKRALLQSL >KGN51421 pep chromosome:ASM407v2:5:19021333:19030848:1 gene:Csa_5G534990 transcript:KGN51421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTFDCCSTLAGKLHPGPINPLHVREFRVFRRRRLKHYRHGHHRTDFTIRSQSNPFESLFHNLVSQVTTVNSLELIAPALGFSSGVALYLSNVVSSKNSALSDIGEWIFLCSPTPFNRFVFLRCPSIAFPGSDTNLVEDVSERLVKEGRHFVRLNSGRMKATTGEDEKEDKLTYQRLCISTEDGGVISLDWPSHLNLREEHGLDTTLLLVPGTPEGSMDRNVRLSVIEALGRGLFPIVMNPRGCAGSPLTTARLFSAADSDDIYTAVQFVSKARPWTALMAIGWGYGANMLTKYLAEVGERTPLTAAACIDNPFDLEEATQTPPYHMAIDHDLTGGLINILRSNKELFQGKAKGFDIEKALEAKSVRDFEKLISSVSHGFNSIEDFYSKSSTGSVVGNVKIPVLYIQNDNGSAPVFSIPRSLIVENPFTSLLLCSYSPSSIISSMKPVLSWCQQLSIEWLTAVELGLLKGRHPLLKDVDITVNSTKGLALVEGKAVEERGKVIRQLGYNWSDASSGYQSTRFIKKKLEESHSSFHTDLISQSNSQSKSQLEDKGSLEIEVGVLNQTSSISEDMGEKHEVRSENTEKGQVLRTAEVVMNILDMTNPGTLTEEEKKKVLNAVGKGETLMKALQDAVPEEVRGKLTTALAGILHAQGSNLKVNDLIRSSKISNATLELERKTDEKVRHAADAEGSSQISAPSHEMGDVNDVLDGSDSYQPTKDKFVEELESEPPSSAKLLDQNGSQALGIHDDDTISSIGKETSGSGSTESDDEFSRENTSQYLVDDEKELGLKSELSSKDEQVSNHKVTIGDNHKNRGGEIGQSDKEEENKPKKNEEKAVDPSSDDKAVSSLTIEEALSSPRSTSEAEAIRVEHKYNNDQKDNNNIPPVVEPTKPVISEPNDNNFSVSQALDALDGIDDSTQVAVNSVFNVIENIISQLEGSENEGEGKKTDFLVDNHCSGNNDETSSVKIESGCHNINIPERRGDTEHNVRSGQEEEEFTSDLVPINRSYLIKSQSAQAGQDGNNKDKLLDDLDGNVDMTSTAYLGSVHDNFLLNYVTSNMPTESLDKDTTTALLLDYIPEEGQWGFFEQQGNENGAISASQRVHGQVNAYAHAKVKNTDDVIEPLYVILDIENQPEPVGEYQTTINGKEEFESNGGIKDFKYFVRSIIQDSLQIEVGCRFSAVNKDFKLGVDRDIEHVANLLSVAVGYGCGCSQCLGSQSDSIDSSAEKTGTLCGEQIIRSISSSVQETVYLKKILPLGVIIGSSLAALRRHFHVTTLRDDNQGQCLFIDQDKKSGERNHGEANNGREPSQNVTLTDTVCEEGGCSKMRNLDEDTVVVGAVTAALGASALLVHQQSLCETNGTTESSLKCKENDNLQKEPERNEEQIISDKNHNIVSSFAEKAMSVASPVVPKKEDGEVDEERLVSMLAELGEKGGILKLIGRMALLWGGIRTAMSVTEKLISILRIAERPLFQR >KGN49823 pep chromosome:ASM407v2:5:3368426:3370320:-1 gene:Csa_5G139030 transcript:KGN49823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPAPPPPPVPPPQPQPISNLTHLNKSKAALPDFFLAALSLFAFLSSSSSSSKSFKFPAFSIQLNPRRFFKIPSISMEFPNSNSKSLTFTSPQSLSEWLQPRLPSHSFASWGVIPGTKNLHNLWLEISQGETSLADSNPPIRTLHVLSLRIIDNHHRLLLESHQQLSDGTLRNRNRPLSEKMKPNETPESAVYRAVQEELGSILGDSDYSQLVRIVPDSYRLKIEERDSVSYPGLSASYVLHSMDVWVEGLPDGDFCTVEEEEYVNSEDTNIADHAVSVKKHFWKWVSPESVDF >KGN51729 pep chromosome:ASM407v2:5:21521176:21532208:1 gene:Csa_5G593410 transcript:KGN51729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPVKLTLRCPSKIGQVTVDPDPDFSFDDLRVELHSLEEKLNKSTMPFKKTCSRDFPVTKTLKRSFKPFIMGVYEDELKEIFNDEVVREPSSNANRFNCDGIFLSDSEDSDNDSTPEAQAYLKEDMDLVESSLAELTHDHMLNIKEEIRNQLGRLETDLTTLNEKSSAAISQIEKYYEARREADRRLDTQYQREIAEGLDKYLTTVQHHHEQISQREERKIRSDAAFEEAKRKEKAILEDKKRQEKLKAEAEAKAKAEEAMKAAIEAERRATKEAAEREAAENLKKVNNVQVQETMVGSLTTKPVNSVGQPKGTTSDETFVSKSPDSMVRASKSALALERERLQQLKEVEEGNQALRLSPNKDFNTYERHIARLIKQIGGTKENVRTKTSEILKIFMAPLCPQTISIAAFAKKIVSQCESPHDAFALSHVIVLVTSQAPSALVLVLAELHRACIYTVPKHIQYSAAAFGSKESYYKTIGFREVDGKMESVEDYLMRLEAYVKLYGALIQTEIPGVRNLHGLEEGWAWLARFLNAIPPNLFTAASLNAFLKVAGFAMYRKYKSQFRKLLNIISNNFLSALRGKGNANLNHIILDIETYLEDRRFLEEPEGKTLVGGSLLSSDAFPEPEHAQEYYRHSSNSYY >KGN50763 pep chromosome:ASM407v2:5:10379512:10386045:1 gene:Csa_5G240140 transcript:KGN50763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRVCACCFTSSSPNIKRQNPNSDKPSQSSVVTMRTTQQNNATQLPKDVEETPAKLSPPRAANILQNHDFSMGLQHWHPNCCNGYVTLAKSNNLDEASHSSCARYAIATDRNECWQGLEQEITNSIIPGITYSVSAIVGVSGSLQGFADVLATLKLVYKDSTINYLGIGRSSVLKDKWEKLDGTFSLSTMPDRVVFYLEGPSPGIDLLIQSVEITCASPNEMKKSGKDNASDENIILNPKFDDDLKNWSARGCKIVVHDSMGNGKVLPQSGKFFASATERTQSWNGIQQEITGRVQRKLAYDVVAVVRVFGNNITTTDVRATLWVQTPNSRDQYIGIANVQATDKDWVQLQGKFLLNASPSKVVIYIEGPPSGVDILIDSLIVKHAQKIPPSPPPSYENPAYGFNIIENSNLSNGTNGWFPLGSCTLNVGTGSPHIVPPMARDSLGPSQPLSGRYILVTNRTQTWMGPAQMITDKVKLFLTYQVSAWVKIGSGATGAQNVNVALGVDNQWVNGGQVEISDNRWHEIGGSFRIEKQATKIMVYIQGPAPSVDLMVAGLQIFPIDRRARLRYLRTQTDKIRRRDITLKFSGSSSSGTFVKVRQMQNSFPFGTCISRTNIDNEDFVNFFVKNFNWAVFGNELKWYWTEPQQGNLNYKDADELLDLCKSHNIETRGHCIFWEVQGAVQQWIQSLNKNDMMAAVQNRLTDLLTRYKGKFKHYDVNNEMLHGSFYQDHLGKDIRADMFKNANKLDPSALLFVNDYHVEDGCDTRSSPEKYIEQILQLQEQGAIVGGVGIQGHIDSPVGPIVSSALDKMGILGLPIWFTELDVSSINEYVRADDLEVMLREAYAHPAVEGIMLWGFWELFMSRDNSHLVNAEGEINEAGKRYLGLKHEWLSHASGQMDGTSEFKFRGFQGTYNVQIIVNASKKISKTFVVEKGDTPVEISIDM >KGN50107 pep chromosome:ASM407v2:5:5128636:5130929:-1 gene:Csa_5G154200 transcript:KGN50107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCSSSIRSLLTRNLFFSVRSLSSSSLLSNTRFNHDSKQILLHITQFSSLTRFVSPINSRSSLIASFFTRFDHTMATPSKTSVHDFTVKDAKGKDVDLSAYKGKVLLIVNVASQCGLTNSNYTELSQLYEKYKGHGFEILAFPCNQFGSQEPGSNEEIVQFACTRFKAEYPIFDKVDVNGNNAAPLYKFLKSSKGGLFGDAIKWNFSKFLVDKDGNVVDRYAPTTSPLSIEKDLKKLLGVA >KGN50681 pep chromosome:ASM407v2:5:9387550:9388422:-1 gene:Csa_5G211540 transcript:KGN50681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSQWLRSPARVQSPSQPRHRHFSCSSFKDIQDLCREDSSSDSGSDSPPNTPKKPSIFHRVRLSTSVLRSWSHRLAVSSTSSSRRDSDHRIILYHTSLRVVRRTFEDCRVVRSILRTLRVPIDERDLSMDSRFVDELHDAIGRKSLSLPRVFIGGRYIGGVEEIKLMNENGELKRLIERLPDVATGPAAAWCCEVCGGIRFVVCEECDGSHKIYIEKIGFRSCNSCNINGLIRCPSCSPMKLRIAGS >KGN52171 pep chromosome:ASM407v2:5:24114363:24117289:-1 gene:Csa_5G613490 transcript:KGN52171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRVFGVKKDKEPPPSIQDASDRINKRGESVDDKIKKLDVELSRYKEQIKKTRPGPAQEAVKARAMRVLKQKRMYEGQRDMLYNQTFNLDQVAFASEGIKDAQQTMSALKSANKELKGMMKTVRIQDIDNLQDEMMDLMDVSNEIQETLGRSYNVPDDIDEDELLGELDALEADMGFETEADGVPSYLQPDKESDFEGELNLPAAPIGHAAVPAGRNNAQAEDELGLPAVPHASLRG >KGN49692 pep chromosome:ASM407v2:5:2139325:2149354:-1 gene:Csa_5G070180 transcript:KGN49692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDGDRIEEGSSRLLPGSSVTGSSNDYRWVDGSEVDSELPPWSLFEDRDSVEASGSIRRRLIKKPKRVDSFDVEAMEIAGANPHHLKDVSMWQTIAIAFQTLGVVYGDMGTSPLYVFADVFTKVHIEEDVDVLGALSLVIYTIALIPLAKYVFVVLRANDNGEGGTFALYSLICRYAKVNLLPNRQPADEHISSFKLKLPTPELERALNIKEILEKRSSLKTLILLLVLMGTSMVIGDGILTPAISVMSAVSGLQGQIKSFDTNAVVIVSIIILVALFSIQKFGTGKVGFLFAPVLALWFFSLGSIGIYNVVKYDLTVVRALNPTYIYLFFKKNSNNAWSALGGCVLCVTGAEAMFADLGHFTVPAIQIAFTFVVFPCLLLAYMGQAAYLMKHPDSAARIFYDSVPASLFWPVFVTATLAAMIASQAMISATFSCVKQSMALGCFPRMKIVHTSKRRMGQIYIPVINWFLMIMCIFVVAIFQRTTDIANAYGIAEVGVMLVSTVLVTLVMLLIWQTNLFLALCFPLVFGSVEFIYLTAVLSKIREGGWLPLAFASVFLSVMYTWNYGSVLKYQSEVRDKISTDFLLELGSTLGTVRIPGIGLLYNDLVQGIPAIFGQFLLTLPAIHSTIVFVCIKYVPIPVVPQEERFLFRRVGPKDYHMFRCIARYGYKDVRKEDHQAFEQLLMESLEKFLRKESQDLALESNLNELELDNISERSQGFSSPRVADVNEELRIPLIEQERTVGPEEAFGVQLPSSVMASDDDPSLEYELSALREAMDSGFTYLMAQGDVRAKKNSFFVKKLIINYFYAFLRRNCRGGAATMRVPHMNIMQVGMTYMV >KGN52080 pep chromosome:ASM407v2:5:23605175:23608039:1 gene:Csa_5G609660 transcript:KGN52080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIKKATTLLDDFLHNAGGCAVIDGGFATQLEKHGAVINDPLWSAVCLINDPHLIKKVHLEYLEAGADILVSSSYQATIPGFISKGLSVEEGELLLEKSVKLAIEARDSFWDSVKCIPGHKYNRALVAASIGSYGAYLADGSEYSGHYGPDVNVDKLKDFHRRRLQIFVDASPDLLAFETIPNKLEAQACVELLEEENIQIPSWICFSSVDGENAPSGESFEKCLYAINKSDKVNAVGINCTPPHFIEALITKFKELTNKHIVVYPNSGEVWDGRFKKWLPSNCFGDDKFESLSSRWRNLGATFIGGCCRTTPSTIRAVSKVLKESTF >KGN50257 pep chromosome:ASM407v2:5:6143248:6146731:-1 gene:Csa_5G162060 transcript:KGN50257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYQQLWMGKSIKYQTVIELLQLRLQQERSMRSMLERAMGRASSTLSPGHRHFAQTKDLISEIELLEEEVANREQHVLSLYRSIFENCVSKPSSQQNSVTASPAHGKHESRKHPSIISSAFCSSRKFPLGPLQPFSVNDLGKRTSNAGPNSLFGSKSDISTGKTSGTAKVREAFSQMKRTSLRSLKDHLFECPSKLSEEMVRCMAFIYCSLHRVASNKAQKKAGSFPKVKQPQCGPVEEQFGGGKAMLEIHCISTNNSQFSRASYAINNYRVLVEQLEKVNVSKMGIDAQTAFWINVYNALLMHAYLAYGIPHGSLRRLALFHKAAYNIGGHIISANAIEQSIFFFKSPRIGWVWTILS >KGN50148 pep chromosome:ASM407v2:5:5360747:5363298:1 gene:Csa_5G155590 transcript:KGN50148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNEDQTRSLFGILLTDRPKWQQFLICTSGFFFGYLVNGVCEEYVYNRLKFSYGWYFTFVQGFVYLALIYLQGFTTKQMVNPWKTYVKLSAVLMGSHGLTKGSLAFLNYPAQIMFKSTKVLPVMIMGAFIPGLRRKYPIHEYISALLLVIGLILFTLADAQTSPNFSILGVVMICGALVMDAFLGNLQEAIFTMNPDTTQTEMLFCSTVVGLPFLVVPMVLTGELFRAWTSCAEHPYVYGVLVFEAMATFVGQVSVLSLIAIFGAATTAMITTARKAVTLLLSYVIFTKPMTEQHGSGLLLIAMGIVLKMLPENKLPSRAAKSSHRVDENHLDKGKEEDEENRPLV >KGN52662 pep chromosome:ASM407v2:5:27600090:27606553:-1 gene:Csa_5G649320 transcript:KGN52662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEVVVATGAASPEPLDHKRKLVDLDSEPTEATEENHAEPIEGSAAPDAADVPISDESEYKRPRLEGKPEGNASENGHEEKKEEELEPKEDYKQSSEEEPPASVEVLPEKEGTEQPTEEPHEAGDAQDSAAEISQDSAAEISQEDKTQELSKEESQPSEVEAAPPLQEEDISNAEQDQPSSESETTTYKMEVPNSKVGVLIGKAGDTIRYLQYNSGAKIQIMRDAEADPNRLTRPVEIIGTSENIKKAEELINAVIAEADAGGSPSLIARGLTSSHSIATAEQIQLQVPNEKVGLIIGRGGETIKSLQTRSGARIQLIPQNLPEGDESKERTIRVTGDKKQIEIATDMIKEVMNQTVRPSPHSTGFNQQAYRPRGPGGPTQWGHRGPHPSHPAGYDYPHRGPYPSHNTQYQHPGYGNYPQQMGGPRSSYGSGWEQRPPPSMQGPPPSSGGYDYYGQRSHYSDAPPSHFPGAMPSHAPGPSPAPTHGPPQTQSSYNYNQQQGQGYGHTAPYSQAAPHQSYGHGYEQKYDHHAPAQNPYSGHGNAQHYPQAGTQQVYPGQQYDNKPSSYGVSQQGPPPQSYGAPRVGQPAEPYQGGSAPATYGQNMQPQQTYPYQSGGSTQQYPPYGAAPSTDGYNQAPAASAAAGYSQQGAQAGYGQPSVQQPSAYGQQVAPAAAYGQYPTSQQGYSEQAAANTAAGYAAYQAPQDPAAYSGGTAAAAAAYTAPASGQQGYTQQTATQPTYDQSIQQSGGYGTVPSSAPVGYGKSVSPQPQPGYPQYDSTQVYGASR >KGN49672 pep chromosome:ASM407v2:5:1836679:1843557:1 gene:Csa_5G056100 transcript:KGN49672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAIVPSSASFCLPKSLVFSKTSKGNCRTGIAVPSDIRLAARNPKRFVLNASVSVNESNSRTSSLNKKTQNPIIVIDNYDSFTYNLCQYVGELGCHFEVYRNDDLTVDELRRKNPRGILISPGPGAPQDSGISLQTVLELGPTIPLFGVCMGLQCIGEAFGGKIVRSPYGVVHGKSSPVYYDEKGEEGLLSGLSNPFTAGRYHSLVIDKETFPSDELEVTAWTEDGLIMAARHSKYRHLQGVQFHPESIITNEGMLIVRNFVKLIEKKEREVELHS >KGN49858 pep chromosome:ASM407v2:5:3509884:3512868:1 gene:Csa_5G139360 transcript:KGN49858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAEANPRKHLENHFTAQDDGYRDSKLSTPSFSLNSERNAASDHRAHGYNSPSKPAHIPTSYQLKSDKQPDFQHQKGFAVGWAKTLHAGVDNSSVRIVSKATVSVERHQNIEELEHRVCKSYVTTEAVSNNESVNTTKKTDCIDEFHYIEDHFDNQISEQGDKVSLDLESHWIGIEKTKPWWRSASKDELASLVARKSLENVQNCDLPQPRTKHQSKNELTCFECFDQDCFLNSPFTEMQLSSLNGYNRDIHPSGGMGVGSIGHSLRHQDHFSISRTDNEENNSSIISNLNSSKAQLLEALCHSQTRAREAEKAAQEADTEKKHIVSLFLRQATQLFAYKQWFQLLQLQNICLQLRNKDQPITGLFSDALPWDPCKGNQFNKPRNRRKKRDRDHRKFTMYDIAFAVGLSLAGASLLLGWTTGWLVPPMF >KGN50369 pep chromosome:ASM407v2:5:6727719:6729413:1 gene:Csa_5G169050 transcript:KGN50369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSNAMVIILMGVCGSGKSTIGEMLGKALDFTFLDADHFHPISNKEKMSKGIPLSDEDRMPWLEKIRDTLRENIACKSSVVLGCSALQKHYREILRSSDPNYEKVGITMKCVVKFVLLDAPAEVIALRLEKRAKEGNHFMPSALLKSQLDLLQIEDTEGIVKVDATQTPQAILSNILNLVLIHH >KGN51988 pep chromosome:ASM407v2:5:23041709:23043722:1 gene:Csa_5G606780 transcript:KGN51988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSEDKSSMADLVQPSEHFCYVKCNFCNTVLAVGIPCRHWLDTVTVKCGHCSNLSFLSTRPPLQGQCIDHPLTFQSQVGFSNNDHIRKGASTSSTSTASSITNDSPNFVVKPPEKKHRLPSAYNRFMKEEIQRIKAANPEIPHREAFSAAAKNWARYIPNSAAGSVSGTHNNNE >KGN52601 pep chromosome:ASM407v2:5:27256238:27259417:-1 gene:Csa_5G646750 transcript:KGN52601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSGGGSGGGGGGVPPGFRFHPTDEELLHYYLKKKVSFQKFDMEVVREVDLNKIEPWDLQEKCRIGSTPQNEWYFFSHKDRKYPTGSRTNRATNAGFWKATGRDKCIRNSYKKIGMRKTLVFYRGRAPHGQKTDWIMHEYRLEDADDPLSNNSEDGWVVCRVFKKKNLFKVTNEGMGGGGGTSSANSDQHLNNNNAHSSASIATPHTFLHRESQYQLRQPQQNYASHPTFELNKSDLALHYIPTPPQPSHFPHHHLFHPNLSTIKPGLPTSSSAYDYPALSSLPPDTPLMAKQLMSNNPETSQPTDGITEPWAMLDRLVTSHLGNENSSKDVRFDDEHGSSSVSQINQLSLRGEIMDFWGYAK >KGN52681 pep chromosome:ASM407v2:5:27749969:27751922:1 gene:Csa_5G650460 transcript:KGN52681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNSTNFTSHFASTFRRIHPISAPCTAALPLLKPALHRSLFASPLPLARKISCKASEISVAEESSASGNWVPVVPLSALPRGERRVIIQGGETILLLWYKDRIFAIENRSPAEGAYTEGLLNAKLTKDGCIVCPTTDSTFDLQTGEIKEWYPKNPVLRVLTPALRKLFIYAVKTDENNIYINMRGNVISDSSAEIVFSGKAQPGVTATDVNVDEVRMVVDEDLEGFGFTGKNEVINGKAAVIGFLLLLDFELLTGKGLLKGTGFLDFIYSVSDAFK >KGN50462 pep chromosome:ASM407v2:5:7428941:7433617:-1 gene:Csa_5G175850 transcript:KGN50462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNPNDTHLQTPLRHTQPSSSSSTSRVLLLLTFLPLTLASLAFVLQWRGGITDPATRWSPPGSYQFPGMEASPLSPISRHSTPSASDCLNLGRSVSPSFPYYHDSKFDRGSNLKPKICITTSTSAGLEQILPWMFYHKVIGVTTFLLFVEGKAASPDVVRVLESINGVRLIFRTKELEEQQAKSRIWNETWLSGFFYKPCNYELFVKQSLNMEMAINMARDAGMDWIIHLDTDELIHPAGAQEYSLRQLLLDVPGNVDMVIFPNYESSVERDDIKEPFTEVSMFKKNFDHLPKDTYFGMYKESTRGNPNYFLTYGNGKSAARVQDHLRPNGAHRWHNYMKTPNEIKLEEAAVLHYTYAKFSDLTSRRDRCGCKPTKDDVKRCFMLEFDRVAFIVASTATQEEMRNCYEVIIQGLRESGIFDSVIASASATQSKDKFLSSITTSNSSKAVASQSLPSRKLGGSKNYQATARKALKIDANEWNEYAVPPQSPPGIETSKQL >KGN50302 pep chromosome:ASM407v2:5:6383728:6386178:1 gene:Csa_5G166460 transcript:KGN50302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVKPKSNLISGRKGLRDRLVDRPLSYSNYSGRIDPSRVVQVSWRPRVFLYKGFLSDEECDHLISLASNSEDNPSRNSAGSGITVSTELLNSSGVILNTTDDIVARIENRLAIWTLLPKDHSMPFQIMQYRGEEAKHKYFYGNRSAMLPSSEPLMATVVLYLSDSASGGEILFPESKVKSKFWSGRRKKNNFLRPVKGNAILFFSVHLNASPDKSSYHIRSPIRDGELWVATKFLYLGPPAGNKHTIQSDVDGCFDEDKSCPQWAAIGECERNAVFMVGSPDYYGTCRKSCNAC >KGN49804 pep chromosome:ASM407v2:5:3252661:3252956:1 gene:Csa_5G136880 transcript:KGN49804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDVVDGQKGKNKAWLSHCEDVLDERVGAWRGDSRRWGLRPDEIIPKRLSRRWKLVSESFVYYLLTVSASLVRRLGLRKEGLTR >KGN51007 pep chromosome:ASM407v2:5:14522605:14526803:-1 gene:Csa_5G402540 transcript:KGN51007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRGHSNGGELETNEMDIRNLSSSRNNTHCVHKVGVPPKQNLFKEFKTRVKETFFADDPLRTFKDQSKSRKLVLGIQAIFPIFEWGRNYNLTKFRGDIVAGLTIASLCIPQDIGYAKLANLAPQYGLYSSFVPPLVYALMGSSRDIAIGPVAVVSLLLGTLLQKEIDYHTHPEEYLRLAFTATFFTGITQATLGILRLGFLIDFPSHAAIVGFMGGAAITIALQQLKGFLGIQKLTKKTDIISVMRSVFEATHHGWNWQTIVIGVAFLSFLLFAKYIGKKNKNLFWVPAIAPLISVILSTFFVYITHADQKGVAIVKHIERGINPSSVKQIYFTGDNLLKGFRTGVVAGMITLTEAIAIGRTFATMKDYQLDGNKEMVALGTMNVIGSMTSCYVATGSFSRSVVNYMSGCQIAVSNIVMSCVVFLTLEFITPLFKYTPNAILAVIIISAVINLIDIQAAILLWKIDKFDFVACLGAFFGVIFASVEIGLLIAVSISFAKILLQVTRPRVSVLGKIPRTTVYRNTQQYPEATRVPGILIVRVDSAIYFSNSNYIKERILRWLADEEEQTKKAYSPKTQFLIVEMSPVTDIDTSGIHALEELYNNLQKRDIQLVLANPGPVVIDKLHTSDVTNLIGEDHIFLTVAEAISSCSPKLVEEA >KGN51990 pep chromosome:ASM407v2:5:23057247:23058492:1 gene:Csa_5G606800 transcript:KGN51990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKTGAKLPSFCLNRIRPHVRVPIQSKPDFVSVKTGPSKKIDDCLDEKNDHVGVDQSNKAVMGIGRKIMIVVDSTIEAEGALHWALSHTVQIQDNILLLHVTKPSSKGEGPNKETAPRAYELVHSMRTLCQLKRPEVETEVVVVEGGKEKGAVIVEEARKREASLLVLGQKKRSTTWRLLMVWAGQRWGGGGGSSGGVVEYCIQNASCMAIAVRRKSKKLGGYLITTKRQKDFWLLA >KGN51914 pep chromosome:ASM407v2:5:22688437:22689388:-1 gene:Csa_5G605080 transcript:KGN51914 gene_biotype:protein_coding transcript_biotype:protein_coding description:ZF-HD homeobox domain-containing protein MLISYKECLKNHAAAVGGHALDGCGEFMPSITSTPTDPTSLNCAACGCHRNFHRRESDDPWPNRRYYPYRLCAPPSPRLSRIKSQSPSSPIPLPISHIPPPVQFSGAHMLMALSSGAGEEDELRRKERKRKRTKFSGEQKEKMQLFSEKMGWRIGKSEERLVEEFCREIGIGKRVLRVWMHNNKYMGGKTEKNRASQTHSSEENGGNGNESKQST >KGN50786 pep chromosome:ASM407v2:5:10923294:10926643:1 gene:Csa_5G262240 transcript:KGN50786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGGSSKKIKAKKICFNGLIDHLFSWTLEDILYDDFYRDKVQNIPESFKSVHQYLGSYLFPLLEETRAELSSGLKAIHKAPFARMVSIEEPKSSGKLLLNVKLDVWKNTANNSGKEPYRTLPGDIFLILDDKPETDMNLQCSTRTWAFASVNKITDTGCSTNLKLNVSKNISGEHGMQKEFFIVFLMNVTTNLRIWNSLHFSEDVKIVKHVLSKSSMGDEICSKCSLYNNVICAEKLRTSLSSVLNDSQKAAVLCCVCKALCEHKPSVELIWGPPGTGKTKTISFLLWAILEMKQRVLACAPTNVAITELASRVVKLLRESSREGGVLCSLGDVLLFGNKDRLKVGSELEEIYSDYRVDRLLECFGQSGWKSHITSLINLLESTNSEYHMFLESNVNMSRRDKKTGDNAVAATSFLRFIREKFNTTAVALRGCLQTLITHIPKHFILEHNFQNIVILLNLVDSFGMLLSQENITSTQMEVLFSSLDVFMEFPNSSVEATFLHLRNQCLSILRFLQASLDQLQLPTTANKKSVKEFCFQRASLILCTASSSFQLNFMKMDPVKLLVIDEAAQLKECESMVPLQLPGIKHAILIGDECQLPAIVSSQFFIQVSSELTRTILSSIC >KGN51970 pep chromosome:ASM407v2:5:22948468:22949905:-1 gene:Csa_5G606610 transcript:KGN51970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSRENKFVCNFCHKSFTCGKSLGGHIRIHKNEKSPRVAGKERSSMLKFQVPKERRRSKRDSESEVGNGNSGYGLRENPKITQRFADSGFSSRQEKFCRECGQGFQSSEALSWHLACHTGNERENRRFEYNYGITHHRSDIPERVREAAMCLMMMSKAPRFSGFEDNDSAAESSDSKSSSRYFRESETSASNSNGGGLEMKEVTGRINKLEVYECGGGENSDSENFRKEVCKRVKLKVSVHRALMDEEYKKPGFKFGVRVGRESGDILKNSMKTKKNDDQVHASSYKYELRKRLKNGSYSPDLWEGSSKKIPSEIFRSHDRETYRRMSNGCEWTHQSGENSVNTTTNSISIPSRELIESSNGKNKGNQDTFANEEKKLGPKRKHKCPICFKAFKSGQALGGHKRSHVVGSLEDASIVTRQESNGMAGLFDLNVPAPMEEEENGRWG >KGN52028 pep chromosome:ASM407v2:5:23264269:23271333:-1 gene:Csa_5G608160 transcript:KGN52028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGRRRKLHFSKIYSFACGKASLKDDHSQLGGPGFSRVVFCNEPECFEAEIRNYIDNRISTTKYTLATFLPKSLFEQFRRVANFYFLVSGILAFTPLAPYTAVSAIIPLILVISATMIKEGIEDWRRKKQDIEVNNRKVKVHQGEGVFDHIEWKNLRVGDIVRVEKDEFFPADIILLSSSYEDAICYVETMNLDGETNLKLKQALEVTSHMNEDSMFNSFKAIIKCEDPNANLYSFVGSMELEEQQYPLSPQQLLLRDSKLRNTDYIYGVAVFTGRDTKVIQNSTDPPSKRSKVERKMDKIIYILFCLLFFLALVGSIFFGFVTDDDLENGRMKRWYLRPDDARIFFDPKRAPIAAVFHFLTALMLYNYFIPISLYVSIEIVKVLQSIFINQDINMYYEEANKPARARTSNLNEELGQVDTILSDKTGTLTCNSMEFIKCSIAGRAYGQGFTEVERAIGKQKDSPLHEATNGVNHHEDGNDKASHIKGFNFKDVRIMNGNWVNEPHANVIQMFFRLLATCHTAIPEINEDNGEVSYEAESPDEAAFVIAARELGFEFYKRTQTSIALHEFDPSLGKKVDRTYKLLHVLEFNSSRKRMSVIIRDEEDKILLFCKGADSIMFERLGKNGRKFEEETKEHVNEYADAGLRTLILAYRELEEEEFREFDNEFMKAKSSVSADRESLIEKVTDKIERNLILLGATAVEDKLQNGVPECIDKLAQAGIKIWVLTGDKMETAINIGFACSLLRQDMKQIVITLESSEIQAIEKTGDKASIIKASMQCVLDQITQGRAQITSPNGLSEAFALIIDGKSLSYALEDSIKALFLEVATHCASVICCRSSPKQKALVTRLVKSGTRKTTLAIGDGANDVGMLQEADIGVGISGAEGMQAVMSSDVAIAQFKFLEQLLLVHGHWCYRRISSMICYFFYKNITFGFTIFLYEAFTSFSGQPIYNDWFLSLYNVFFSSLPVVALGVFDQDVSARLCLQFPLLYQQGVQNVLFSWLRILSWMFNGLCSAVIIFILCTKSLEHQAFNSDGKTAGRDILGATMYSCVVWVVNLQMALAVSYFTLIQHLFIWGSISIWYIFLLIYGSMTPTFSTNAYKIFIEVLAPGPSYWLVLLFVVISTLIPYFSYTAIQTRFLPMYHQLILWIRNEGQLDNQEYCSILRNTSTFRSTSVGSTARLAAKRSKLKERNKNAT >KGN51534 pep chromosome:ASM407v2:5:20192525:20194981:-1 gene:Csa_5G576760 transcript:KGN51534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVGLFLILLCCSDCLVLVSSLNDEGFVLLTLKQSISLDPDGAFSYWDSSNETPCSWNGVGCLNDIVVSVTIPKRNLYGFLPSSLGALSGLRHLNLRNNRLFGSLPFQLFSAQALQSLVLYGNSFSGFVPNGIGKLKYLQTLDLSQNLFNGSLPASIIQCSRLKTIDVSHNNFTGSLPHGFGTSFSYLEKLDLSFNTFDGSLPSDLGNLSSLQGTFDLSHNLFSGSIPSSLGNLPEKVYIDLSHNNLSGPIPQNGALMNRGPTAFIGNPGLCGSPLKSKCSSGTLSASSPSLLPFLPDDHSPGISGVYAEKTRGLSKSAVIAIVLGDVVGICLIGLLFSYCYSRACYPRTKDKMGHNSDKGKGRNECLCFRKDESESVSQNVEQYDLVPLDAQVGFDLDELLKASAFVIGKSGIGIVYKVVLEDGVTLAVRRLGEGGSQRFKEFQTEVEAIAKLRHSNLVTLRAYYWSVDEKLLIYEFIPNGNLATAIHGKPGTVSFTPLSWSARLKIMEGIAKGIVYLHEFSPKKYVHGDLKPNNILLTQNMEAKISDFGLARLANIAGGTPTLQSSRMASEKPLDPKQPKTVTSEIICSSSSNTGTCYQAPESLKVLKPSQKWDVYSYGMILLEMITGRFPLIQVSSSSEMDLVHWIQLCIEEQKPLSEVIDPHLIQDADKEEEFISILKIAMSCVHGSPERRPTMRHVSDAIERLSSSSSSSRN >KGN52289 pep chromosome:ASM407v2:5:24868771:24875617:1 gene:Csa_5G623530 transcript:KGN52289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSANDPIESFFNSIQVVKEALSPVELGFRKVAKDLEYCFPGHKNEENFVRLILHPKDEDKLSEGEICGTKKRGPYVAGDKRKQGLSINVPVKAFLGNFSRKSVNSEASDTALKEEDLGKEEASCANCLQFAVSWSLLVNNVVQALPRPFKTIKKRLQKTDEEEKIGLCTKQKVSRESKQRQKEKQHKKPFQESLKHDEGKHVPFECLIGFVFDQLTQNLQKFDLDGAGYVDKSYDTSPQSPLAPQVDRFKAVANIWEGRKAEVNGFFGNLRFARVGGVPSGIVGVSSSVNEGDDGVSAQSREETSGISPQKLASGILSIPLSNVERLRSTLSTVSLTELIELLPHVGRSSKDYPDKKKLISVQDFFRYTEAEGRRFFEELDRDGDGQVTMEDLEIAIRKRKLPKRYAREFMNRTRSHIFSKSFGWKQFLSFMEQKEPTILRAYTSLCLSKSGTLQKSEILASLKNAGLPANEDNAVAMMRFLNADTEESISYGHFRNFMLLLPSDRLQEDPRSIWFEAATVVAVPPPVEIPAGSVLRSALAGGLSCALSTSLMFPIDTIKTRVQASTLPFPEIISRIPQIGVQGLYRGSIPAILGQFSSHGLRTGIFEATKLLLINVAPTLPDIQVQSLASFWSTFLGTAVRIPCEVLKQRLQAGLFDNVGQAILGTWNQDGLKGFFRGTGATLCREVPFYVAGMGLYAESKKAVEKLLSRELEPWETIAVGALSGGLAAVVTTPFDVMKTRMMTAQGRSVSMSFVFVTILRHEGPIGLFKGALPRFFWIAPLGAMNFAGYELARKAMDKNEEVAAADQLSQKKAAAGSA >KGN50817 pep chromosome:ASM407v2:5:11486494:11487218:-1 gene:Csa_5G272410 transcript:KGN50817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRVFEEISDEEWSNHSFKPSRVFTKPQTEPSIPPPIESFAYRPHQLYISDESSDDCVVVMESSKNYEENLEDEDVEVEGVKSTTAVSRGRRFVVDDEDDESERELTEVCDVKSTSEEELEEGREDDDDVVGKALQKCAKLSAELKRELYGSSVSASERYSEVESSSVRIVTQVHLRVQSELERFYFLSIIMD >KGN52315 pep chromosome:ASM407v2:5:25003576:25005136:1 gene:Csa_5G623780 transcript:KGN52315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADCDDLFLGIGIDEKKLVDMVRRSDFNPGNIKRRRELIMIEFQRFMNATLMWMTSPAERDARLLRKAIKTRGTHVGIMVIIEITCTREFCDVSAAKDVYHHLYKSLLEFDLSRYIVGPEQTLLNSLLNTKRCKETNKEEEKIVMLDAETLAKAFNDKSEVYIENREIINILMYRSISHLRAVFEQCKKIGVTPKNDSPDLWLHTALTYLVHPIQNFVQLLENSLAFKLLMAEEEDVWDKDYYSLEDSLSRIIITCPKVDLDKIKIKFKETSKITLQERIRLKAVEEAKLQEAQSCKKAMEFVSVFVLSFFKINQ >KGN49998 pep chromosome:ASM407v2:5:4362798:4368055:-1 gene:Csa_5G148690 transcript:KGN49998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLLQESSQKVLTPEILRSAAKQSQGCLVVPVRLRRAIKKYLRDHEVSHLKRKVLRLSQSFSGIKDVNLQLAAATSKELVEDPLKSVEQSKRWKIKSVYGDIGFQYTDDETIAYVASRMPAVFSACYRVLNEVRRRLPDFSPTSVLDFGAGTGSGFWALREVWPHSIQKVNIVEPSQSMQRAGQSLIQGLKNLPLIHGYDSIQSLNKDISKSEREHDLVIASYVLGEIPSLQDRVTIVRQLWNLTKDVLVLVEPGTPQGSNIISQMRSHILWMEKRKWKKNENKKNIASKDLVTQTCGAYVVAPCPHDGKCPLEKSGKYCHFVQRLERTTTQRIYKRSKGESLRGFEDEKFSFVAFRRGQRPRDPWPLDGMRFETLKEQHAKRNPEDLEIDYEDLLKMENQVQVVPYQEVDPVSYDSDVMETEVLDDGEEEEGEEQGEPALADLGGGWGRIVFSPRRRGNHITMNICRSTKRDASEGSFDQVVVTQSQNPTLHHQARKSIWGDLWPF >KGN50734 pep chromosome:ASM407v2:5:10086703:10090019:-1 gene:Csa_5G221960 transcript:KGN50734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASITKLKIPSPSPATLFSLSLSTSSFPSPSPIMGSEVEVVADIDFPKQIVKAKPLSLLGHGSTEIEIHFLEIKLTAIGVYLEPSIVEHLQQWKGKAAKDLEEDDDFFQAIISAPVEKVIRVVVIKEIKGSQYGVQLESAVRDRLAADDKYEDEEEAQLEKIVEFFQSKYLKTHSVINFHFPPASTIAEIEFSSDEKEESKMKVENGNVVEMIKKWYLGGTRGVSQPTISSLANNLAIELSK >KGN50088 pep chromosome:ASM407v2:5:4980524:4986634:-1 gene:Csa_5G153030 transcript:KGN50088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFQRHFLGGFSICALVLLLIFPSHVTAGDIVHHDDLTPKKPGCENDFILVKVQTWIDGKEASEFVGVGARFGATIVSKEKNANQTRLVLANPRDCCSVPKNKLSGDIIMVDRGHCKFTTKANIAEAAGASAILIVNNQKELYKMVCDPDETDLNIHIPAVMLPQDAGTSLEKMLISNSSVSVQLYSPLRPPVDIAEVFLWLMAVGTILCSSFWSAWSAREAAIEQDKLLKDGADDIQNAEDIGSPGVVYINMASAVLFVVVASCFLILLYKLMSYWFIELLVVLFCIGGAEGLQTCLVALLSRCFKQIGESYVKVPFFGAVSYLTVAVSPFCIAFAVVWAVYRNVSFAWIGQDVLGIALIITVLQIVHIPNLKVGTVLLSCAFLYDIFWVFVSKKVFNESVMIVVARGDKSGEDGIPMLLKIPRMFDPWGGYSIIGFGDILLPGLVVAFSLRYDWLANKSLRVGYFLPAMLAYGSGLLITYVALNLMDGHGQPALLYIVPFTLGTLLTLGKKRGDLGILWTKGEPQRVCPHAHLLINDDLSDEK >KGN50524 pep chromosome:ASM407v2:5:7896859:7897164:-1 gene:Csa_5G180830 transcript:KGN50524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPITLLISLQQRPNNSSLVSPILKDMASSLAIADVKLKLLVDSKSKRVLFGEAVDKNSTLFLFHILNLPLVEKGLLTSMVIMDDMSVKPMSPISTLEISIR >KGN50120 pep chromosome:ASM407v2:5:5213583:5213795:-1 gene:Csa_5G154820 transcript:KGN50120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVFIPDDYVIRRRIEKKLAAQAPVKTRHPHPADQRPRNSDKEKEAPAKTGLFRFTATGADNVVFNCFSA >KGN50644 pep chromosome:ASM407v2:5:8869731:8872780:1 gene:Csa_5G199810 transcript:KGN50644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAYRDRTSEFRSLLETLKKIGGATSAMNQAQNEPSASTPSGSPAFARSEFSKKASRIGLGIQDTSQKIVRLAQLAKRSSMFDDPIREIQEMTALIKNDITSLNVAITELQTIHNMETTEGNSSEDRVVHSTAVCDDLKSRLMGATKQLQDVLTTRTENIKANESRRQIFSANASRESPFQNQAKAVTQPPPWSSNTSGSAQSSLLSSNGAQVGGQLRRRLAVENMNTPSQQMEMSMLQQVVPRQENYSQSRAVALHNVESTISELSGIFSHLATMVAHQGELAIRIDDNMDESLANVDGARSALLRHLSQISSNRWLLIKIFAILIIFLMVFIFLA >KGN52180 pep chromosome:ASM407v2:5:24166918:24170311:-1 gene:Csa_5G613580 transcript:KGN52180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPLISKLLFLNFCFFLLFFSTNSQDNGSQKTYIVYMGNHPKGKPSTSSHHMRLLKESIGSSFPPNSLLHSYKRSFNGFVAKMTEDEAKKVSEMEGVISVFPNGKKQLHTTRSWNFMGFSEQVKRVPMVESDIIVGVFDTGIWPESPSFDDTGYGPPPAKWKGSCEVSANFSCNNKIIGARSYHSSGPHPEGDLEGPIDSNGHGTHTASTVAGGLVRQANMLGLGLGTARGGVPSARIAVYKICWSDNCSDADILAAFDDAIADGVDILSVSVAGPGFKNYFNDSMAIGSFHAMKKGILSSFAAGNTGPGSASVANYSPWSLTVAASTTDRVLETVVELGDGRELKGVTINTFDMKGKQVPLVYGGDIPKANTSSSFSSQCLRNSVDLKLAKGKIVMCDMITTSPAEAVAVKGAVGIIMQNDSPKDRTFSFPIPASHIDTKSGALILSYINSTNSIPTATIKKSIERKRRRAPSVASFSSRGPNPVTPNILKPDLSGPGVEILAAWPPIASPSGAVEDNKRVLYNIISGTSMACPHVTAVAAYVKSFHPTWSPAALKSALMTTAFPMSPKRNQDKEFAYGAGHLNPLGAVHPGLIYDASEIDYVRFLCGQGYTTELLQLVSDGSNTCSSNDSDTVFDLNYPSFALSTNISVPINQVYRRTVTNIGSRSAMYKATIINPWKNLDIKVNPSVLSFTSLGEKQSFEVTIRGKIRRNIESASLVWNDGKHKVRSPITVFDATIVGFTNT >KGN52565 pep chromosome:ASM407v2:5:26974897:26981004:1 gene:Csa_5G643930 transcript:KGN52565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTEDFIALPASGDSGNETESNESLTFNETREAYSQSSVLKRKDDDASIEKAELVDDVQLEAMHCIPQSDLVDETQRSDSDMEIEDLNNLPDFSKTRSRSENSEILSKAADLPVNSADGNILPSSELLQQNELHTRYEDVCHVESKKFQKDLVDNSSFLKTGGQLTVMNGVSIDFNELNSGAPMENGSATSHHHGGPSKIQKSDGISGVKRPRMAMEAMDEQQPSVHIVYTSLTRDSKQKLDELLKQWSEWHAQQGSLSCDDKDTENLESGEETFFPALCVGTKKTSAVTFWMDNQKSEQQQNFVPIDDNSVPLYDRGFTLGLTSANDSSNAEGGQKIIDDASRCFNCGSYNHSLKDCRKPRDNAAVNNARNKYKKQHNSASRNSTRYYQNSRGGKYDDLRPGTLDAETRQLLGLKELDPPPWLNRMRELGYPPGYLDPEDEDQPSGITIYADEKTDEQEDGEITEAEYRKPRKKKSVEFPGINAPIPENADERLWAPEPSNSGLSRNRSNQRLNHYPEYDTRGNDHHQQRWSRDYRDDRPPGVDSIKSPPSFTPRYGGHDFSYDSQTPRGSFSTSRSPNLGRPHSDRGRRSPQRDDDYSRYSSSYSSSLFSPPRRR >KGN50729 pep chromosome:ASM407v2:5:10023554:10027332:1 gene:Csa_5G220920 transcript:KGN50729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTVAPRTLSPSPFLHIPQTNSHFLTSSSIHLFQFSHSNKIPLNNCLSNNRTERGLDFDIGDTFFRHESATGRDLGVLAAALYRKSKGRLRVLDALCGCGIRSLRYLVESEADFVLANDANDENRRVIVKNLSRVAENIGDNRRWLVTNCDANRVMTEFYLKKDFFDFIDVDSFGSDSSFLRPAINALKLDGLLYVTSTDGFSSGGHRPSQSLAAYGAYVRPMPFSNEIGLRMLIGGVAREASVLGFYATPLFSYYSYHGPVFRVMLRINRGRIHKNRHYGFVSYCEKCGNSQAFSWSELGQMSCPCNDSRVSESLVVSGPLWIGPLHSADYIEDILTLAKQWEWIGNGQGKDLEKLLRQMVDESDSKLPVGYIKMDEVASRAKVNSPPLSTMMSEMIKAGYAASRSHIASNAIKTNCPMAECIRIAQLQRNCLGAHQVT >KGN51638 pep chromosome:ASM407v2:5:20984441:20989974:1 gene:Csa_5G587090 transcript:KGN51638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLGSSVNVVVLAAAFNGKRANYIVNLQTVFLRRHCGILTMDSAAHALIKVVGEKSRRASQLWLEGFIDACCLHRVIILCRRSKKLLIRTGQCFLLNGLIFLGSLLILNSVIIPTLQWILPDQQSVINSHKLNAFGATLKLYSFLRLGLIQLFYLFWFYPMYIFSFILSNIWYNDIAKHGFAAMEQSEPTAANPSTQNEGSFNTAQTGKSIGLGRVVIGIGEQLYSLLLINSFFLEVYATGFLPSVGKTLNFLLLSWMYAYYCFEYKWNLSDVSLDRRLDFFESNWAFFAGFGSPCVLAIFFFSPLVSYAVMAILFPLFVLTATGSVAEQDIYSQRATWKCAGLGRLPIFYAANTLSMKLLTLFPHESQEKMQQSKDL >KGN49558 pep chromosome:ASM407v2:5:101670:101970:-1 gene:Csa_5G002040 transcript:KGN49558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGPTSLSASVPISKATVLCRIKALPAISSNSFISNDRRVPHAGSSTILFYVLPESVSILQTI >KGN49923 pep chromosome:ASM407v2:5:3845860:3847362:-1 gene:Csa_5G140490 transcript:KGN49923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSLHLLPNPFFFNSFHSKTLTSPIPNPKFLPKSPSFTPIKSVLQWNRKPELAGDTPRVVVITSGKGGVGKTTTTANVGISLARLGFSVVAIDADVGLRNLDLLLGLENRVNYTVVEVLNGDCRLDQALVRDKRWSNFELLCISKPRSKLPIGFGGKALVWLVDALKARQEGCPDFIIIDCPAGIDAGFITAITPANEAILVTTPDITSLRDADRVTGLLECDGIRDIKMMVNRVRTDMIKGEDMMSVLDVQEMLGLALLGVIPEDSEVIRSTNRGYPLVLNKPPTLAGLAFEQAAWRLVEQDSMTAVMVEEEPKKRGFFSFFGG >KGN50250 pep chromosome:ASM407v2:5:6095027:6099424:-1 gene:Csa_5G161990 transcript:KGN50250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAISSCIFCQKAYNSTANSLLHFDERVVAFEDINPSAVRHFLVIPKEHIPTVRNLQRRAEDYSLVSHMLEVGQTLLSQDSPQLKHRFGFHQPPMNSVNHLHLHCFALPYTPRWKFAKYLSLGSIGFIEAEKLLEKIKP >KGN52153 pep chromosome:ASM407v2:5:24038035:24038779:-1 gene:Csa_5G612820 transcript:KGN52153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATARPETSGLRVPFTPNVEKRDENEAYILRLQLPEFKRQQVTVRVEEGKRMMKVTGERLAGDNRWFRFDETFPIPEICVINKISVQLKKGVLFIRMIKQTNGPVPAPPRPKQNEQLTLEKGREEISALDQKISSPEKEIENKKVEKMKDSKTEDVGKIKNEETAKIGTGTPYPRTTSVGRMSVPAMVSLAAAVVIAVAAYFIYLFLVQEVTKLSGKLFKLTK >KGN52560 pep chromosome:ASM407v2:5:26950683:26953338:1 gene:Csa_5G643880 transcript:KGN52560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKKLKGNFKKIRTLINKSFNNSSSSSSSSKRYKFSSSPSPPPLSPPPLEMSSPSQPFWQSPPPVAALFPQTESSVLPDPSNFFAPHLLSSPLPTNSFFQNFTVKNGDQPEYIHPYLIKSSLSTVSVSYPSMLSNSAFGYQVFNADWTVSENPPSVSQKPHIISSFSDLSLTLDIPSGNLRFFLVRGSPFMTFEVFNNTQISISTIHAILSFSSNSSLTKFTVTLNNNQTWLIYASSPINLTHSLSQITSGGFTGIVRIAVLPNPHCETILDRFSSCYPVSGEVNFRNPFSLEYKWEKKGWGNLLMLAHPLHLRLLSGDGSSAVVLDDFKYKSIDGDLVGVVGGSWALKPDPVYLTWHSINGVGEEFHSEIISALVKDVEGLKSSPITTTSSYFYGKSIARAARLALIAEEVNYLQVIPEVRKFLKGAIEPWLLGTFNGNGFLYDGKWGGLVTQQGSHDSGGDFGFGVYNDHHYHIGYFLYAIAVLVKIDPAWGRKFKPHAYSLMADFMNLSRRSNSMFPRLRCFDLYKLHSWASGLTEFADGRNQESTSEAVNGYYSAALLGLAYGDTHLASIGSTLTALEIKAAQTWWQIKEGDNLYEEDFARENKVVGVLWSNKRDSGLWFAPPDWRECRLGIQVLPILPITEILFSDADFVKELVDWTLPSLGREGVGEGWKGFAYALQGVYDKDGALEKIRNLTGFDDGNSLSNLLWWIHSRGGGDEVEACGGGWKHWWFSH >KGN51836 pep chromosome:ASM407v2:5:22214012:22215808:1 gene:Csa_5G603330 transcript:KGN51836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKLLSNRFRQLVLTSTATIRPTSFTTSFSFSSFRRVFSSKFSSPLSSSFGIDCSTAAPADIADVPEEVVKDYVDVVSPAVAVNSLAAEPVFPTLLQPRVVIYDGVCHLCHRGVKWVIKVDKYKKIKFCCLQSKTAEPYLRLSGLDREDVSHRFVFIEGHGSYHQASTAALRVLSYLPLPYSALSAFLIIPTPLRDSIYDTVARHRYDMFVKAEGCLVLQDEELLERFIDREELLNQRHQE >KGN50835 pep chromosome:ASM407v2:5:11771977:11774311:1 gene:Csa_5G284510 transcript:KGN50835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAAYVVVPSSLRELESASADNDRFAVNALAFQGVCGALHSLDQGLICLSNWNMETFNESTFPCYYILQPSQNGSMFLRRLAGSEEVCYVPDIKSLIIPHVSKEIQSSILVSLEKVELKDYNPLMHERGLHQKLNVLVKESLGFRWPSTLTAEEGTSGNETNVHDSLRGTVNSVKDIEITTATSDGNELTVPDSLKGTVNYVKDIEIVSAMDGTESGVAETWEKLVTHEFPETCPVYVSKDKLDGFSLSLPYGNRQLGAKTSRILERLEAPRQRSKATSPNTLTTGLIDPNAPTKKPPIPLVPALTRDQGFTGTQSQLMKPNFNKLKRKRT >KGN51831 pep chromosome:ASM407v2:5:22184351:22188622:1 gene:Csa_5G603280 transcript:KGN51831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGTSAPPPKQEELQPHPVKDQLPNVSYCITSPPPWPEAILLGFQHYLVMLGTTVLIPSSLVPQMGGGNAEKAKMIQTLLFVAGLNTLLQTFFGTRLPAVIGGSYSYVPTTISIILAGRYSDIVNPQEKFERIMRGIQGALIVASTLQIVVGFSGLWRNVARFLSPLSTVPLVALSGFGLYELGFPVLSKCVEIGLPQLILLVVFSQYIPHMIKGDRHVFDRFAVIFSVVIVWIYAHLLTVGGAYKNVSVKTQLSCRTDRAGIIGGSPWISIPYPFQWGAPTFDAGEAFAMMAASFVALVESTGAFFAVSRYASATPLPPSVLSRGVGWQGVGILFSGIFGTGNGSSVSIENAGLLALTRVGSRRVVQISASFMIFFSILGKFGAIFASIPAPIIAALYCFFFAYVGSAGLSFLQFCNLNSFRIKFILGFSIFMGLSIPQYFNEYTAVNGYGPVHTRARWFNDMINVPFASEPFVAGFLALFLDVTLHSKDNATKKDRGMHWWDKFRSFKTDTRSEEFYSLPFNLNKFFPSV >KGN50558 pep chromosome:ASM407v2:5:8189490:8193771:1 gene:Csa_5G182630 transcript:KGN50558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMKKRLDNGFSGFSNWTVPRGPRSLRKVHRKKDFEDGQICAIELLASLAGKLLQDGESTACSNTSDGDRSVIGTNVVKEERSSEEKAFKVECCDGGSSQSCDLDLEEKTDQKQNLNKLQYVDNNTVLDCTSVVVNSNSSDEACGDVKPVIHKTEFEDYRTKPEEGSPDFLETTNTGMNIVDEEHEPKGFGIGFQKITHSHNSKDLKKSYGKLSTMPNSSFKTKLPLSTDAIRSSFSRYRNYLKLASRDDDEKFRSNKSSIHSNSYRPPSRIAGRRIRKLLNSKHWKVAPKLKDCEVARSESIGEETRNPFRKRKLYFNSERYQDSLYKRKRFFDRSSMVNSDGGMSSESVTNSPEKSVHIDKSSLAAILHGASVSPSSGQQASFLSKDAHVKFSIRSFKVPELFIDVPENATVGSLKKIVLEAVTAILKDGLHVGVLVHGKKVRDDNRTLLQTGLTCKDNLDTVGFTLEPNLVHNTTPALCSEDPPQILACEMTELPPSSPVNPVSSSVILDLALPNHSLTYSQNQDENKHELVTTSIDKLPDNSLQDCKALVPVPEMTMEALAAVPLNPKSKRLEVVQRRTRRPFSVTEVEALVQAVEELGTGRWRDVKFRAFENADHRTYVDLKDKWKTLVHTARISPQQRRGEPVPQELLDRVLAAHAYWSQHQAKQHGGKHLQAGVVKAIEGSSS >KGN52143 pep chromosome:ASM407v2:5:23972416:23976597:1 gene:Csa_5G611740 transcript:KGN52143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAEKKWLFTLFSAALLSLILLLFSTISAFTASRLLPSSVHRGLHHPPAFSYYIYGGHGDKDRIFRLLLAVYHPRNRYLLHLNQEASDGDRQQLAEAVKSVPAIRAFGNVDVVGKPDRMTYSGSSYIAATLHAAAILLKIDSGWDWFITLSAKDYPLITQDDLAHALSSVSRDLNFIQHTSDIGWKESKRVNPIVVDPAVYLARRSQIFHATEQRPTPDAFKIFTGSPWVILSRPFLEFCVLGWDNLPRKLLMYFTNVVWSQEGYFHSVICNSPEFKNKTVNSDLRYMTWDNPPKMDPHFLHSSNFDKMSQSGAAFARQFQQNDPVLNMVDKIILNRKPNQPTPGAWCSGWNIWWTDPCSQWGDVNVLKPGFWAKKFEKTITNLYDELGSQPNQCK >KGN49775 pep chromosome:ASM407v2:5:3052908:3057818:1 gene:Csa_5G118180 transcript:KGN49775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDHTSDNTKPPLKSLPPQDWESLIDDFHSGGPRLHRWSSQFSITPSSLLDLVLSSILKRDFPLNLKLHLLHFIDEFVSLSDFSDSSDSVLSESILERLVETLRAILQSPTSDGLFTFSLKEQIMVSTTSIFISVDALRNFDVRLVESLTELLLTVVNRPNHGIDRQARAIACECLRELEKAYPCLLSHVVGHLWSLCQSERTHSSQSYILLFTTVISNIVAQKSSVSILSTSIPLVPFNVPQSVLAPDSSSIREVSAGLNSKELRRAIAFLLESPQILTPPAMVEFMAMIMPVASALELQASMLKVQFFGMIYSFDPLLCHVVLMMYLHFLDAFDEQEHEIARRLLSISKETQQHLVFRLLALHWLLGLFRIDSSLGKKITSVAEMGLSFYPAVFDPLALKALRLDLLALASIRSTMHKAETVSAEDSESGKSVVKLLQDGLVCVSAFKWLPSGSTETAVAFRAFHKFLIGSSSHSVSDSNTIKSLVDSSIFHMLQEMLVESILESQRLVPVIVAFADRLLGCGKHRWFGENLLQKFDEHLLPKVAINYKLVSCFSVFNRMAENDTIPPSGLLGLFAKFMLFLVEKHGPDTGIKSWSLGSKVLGICRTLLMHHQSSRLFLKMSHLLAFTCLYFPDLEVRDNARIYLRMLTCVPGNKLRDLLKLGDQPFGISQSLHSGALYNVQSPRLSHDLKKCRNISSYIHLRRKIPLLVKHSWSLSLSTLGVEKDKSGFPEGIMDIETVVEERVTEFSSNIEKISLPQEPLRVMDSKISRILDILRRHFSCIPDYRHMPGLKVTIFCSLSFDSEPFNRIWGNDTFSKKLDDMDNHPAMYATVLKFSSSASFGPIPSRHIPFILGETPGDEDAPSSRGVSSLDIVPIQNGYGKEDRFKALVAVELEPREPTPGFVDVSIESTARSGQIIRGPLESITVGLEDLFLKAVVPSDVSMDEIPGYYSDLFNALWEACGTSSSTGRETFSLKGGKGVAAIGGTRSVKLLEVSVASLIEAAELYLAPFIISVVGEQLIQIVKDRNIIKNVIWEDMESENFSQVTSSVPDLDRGPLRLTYFSNEDEMGSLVTSYKRNMGHFHILIFLPPRFHLLFQMEVSDFSTLVRIRTDHWPCLAYVDDYLEALFLA >KGN52543 pep chromosome:ASM407v2:5:26876816:26879141:-1 gene:Csa_5G643230 transcript:KGN52543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFSWRLLRLRPHLRISSNSSHFQVLSHPNLQSLRSLSSLFPKHPLHHTPSPPISDFYFTSIVRPIYGTLRTFSSEPAAEQESDHAVIVDILSKSRDVDEIRKGLESNGVVISHDLVLEVLGQLESNPDDAIRFFDWVSGDYGEKLSSKSFNLMLGILGVNGLVKKFWDLNCDMKKKGYGMSKTVRDKVLEKFDKDGLKSEAEKLRDMFASGSTDKSPDNIGSNVSRLIRTNLWGEDVEQQLRDMSVSFSSDMVKMILEDLSTDPAKAYIFFLWIDESGMFKHDEQTYNAMATVLGREDCIDRFWKVVDEMRSQGYKMEMETFTKVLGRFCKRRMIEEAVNLYVFAMSVGDKPSEDCLTFLLKKIAVSEQFDLDLFSRALKIFSESGNVLKDSMVFAVLRSLSSVGRTGEFNEVLNVMKEYGYVCSGGLKRKVAYRLSRTGKSDEANDFMNNLEASGCNPDNKTWASLIEGHCAAGDLDKASDCIHKMVEKGGVPSAAYALDLIVNGYCQKKHETDASHLLFDLVDKSQLKPRHSTYKTLINKLLLCGEFKDALKLLGMMRNHEFPPFIEPFISYVSKSGTADDGLEFLKGMTSKKFPSTTVVLQLFEAFFQAGRHGDAQDLLLKCPGYIRNHADVLDLFCSMKPVEAAASPNLTS >KGN50024 pep chromosome:ASM407v2:5:4562885:4564090:1 gene:Csa_5G150420 transcript:KGN50024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTMINTPNLQFGLTDVSTALSNLILTGGGNTLDSILSHYENLSSVTDSRDFQPAAGSSVYLQQREVLQKFSQDRKSNGSRDLFSRAYELLYSRSGAAVNGGERKIYRGVRQRHWGKWVAEIRLPQNRMRVWLGTYDSPETAAYAYDCAACKLRGEYARLNFPNLKDLKTDLSSGEFARLSELKKMVDAKIQAIFQKIRKGKGKRSVKKKDSQGTGGDLDSISGSCSSSSSSVSLPPATAELTEEWSWGNVQPLATAEEGLWNFENSHRAVSMDCATTGPETECYSLSKMPSFDADLIWQVLAN >KGN51477 pep chromosome:ASM407v2:5:19695174:19696696:-1 gene:Csa_5G566290 transcript:KGN51477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGPESSVAKELGFSRTSAAEKKDEELTSMSENQEPPNPASVAEAGPPHEALFHVMTYLPLFELLSLSAVCVSLRDAVEHDVLPWLHIVVDGRLSSRLSDYTLGRIARKASGRLRTLALINCFKISDSGLHEVVENNPLLTKLYVPGCTSLTPEGVVRAVKTLSQDSHNLKNLMIGGIYNIENQHLEVLRSHLLENRSLNKQQEWRQVHHLYHEHVDRPRLLSSDFLPVIDVQICPKCYDIRNVYDCSRERCKIKQGENLLADCRGCICCIPRCEECGGCVDDDEIEEAICSDILCSSCWFQLPKCNHCNRPYCKRHRDNVSSSTAGFVCEICLET >KGN52409 pep chromosome:ASM407v2:5:25859244:25860131:1 gene:Csa_5G632080 transcript:KGN52409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQFSLQLFLLIASIAPHVITARVRHTHISSHLQGSRIGNNIEGIHNVKLYLKRYGYLTNVESTNSNNTFDVLLESAIKTFQKYHSLNVSGVIDEETLTLMSLPRCGIPDIMHNINVMDNNVQMNSSSFHSHFTFFPDNLKWPVSKYNLRYTFLDDFPNDFKEPVMNAMEQWALFSLFRFSEAVEAQEADITFNFVRGNHGDGYPFDGKGGVLAHAFGPLDGRVHFDWDEDWADGSVGGFINVGMVALHELGHVLGLAHSTIRDAIMWPYMEAGEQTRGLQFDDIEGIQTLYAP >KGN51481 pep chromosome:ASM407v2:5:19745548:19748532:1 gene:Csa_5G568300 transcript:KGN51481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKILHCFLLFSLLVIIHGRSFNNFMSCSQTPYPDLCFHYINPNDNIRTAHIDETYLITRFRNSAIQATLYQAMKVRDHLRSTMDLGSFDDNDRNHVALIDCLEFYEDSIAELNRSTLSSTSANSIDHSTMLSASLANHQTCLDGFRDFGFLVDDSNNFFLPIQIMSNFSKLVSNSLAITKAIAAAPSTSSSTSCGQPLLDGLCPEWVYDDDKTLLQDMVGKGADMVVAQDGSGDFRTISEAVAAAEEARKGSGSGRFVIYVKGGIYKENVVIEKRMKNIMMVGDGMDRTIVTAMKNVQDGSTTFQSATFAVAGEGFIAKDMTFENTAGPEKHQAVALRSNADRSIFYRCSFKGYQDTLYAHSNRQFYRECHIYGTVDFIFGDGVVVFQNCNIFVRKPMLNQKNTITAQARSDPNENSGFVIHNSVIGAAPDLKPVQGLYGTYLGRPWKAYSRTVIMKSYMDGLIEPAGWLPWAGDFGLRTVYYGEFMNTGDGANTKGRVEWPGYHVMTSAVEAERFTVESFLEGGGWIPATGVPFVNGL >KGN50393 pep chromosome:ASM407v2:5:6899568:6904388:1 gene:Csa_5G171750 transcript:KGN50393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKKEKKMKNKALVEALASNDALEHNADGLDLSDKKKKKSKSDKESKKRKAVEVADDGDRSETSSELGEPVNSRSKSGKEKKSSKKAKVVDSEDDDVEKEAENPNAVTQFRISEPLKAKLREKGITSLFPIQATTFDTVYDGSDLVGRARTGQGKTLAFVLPILESLVNGPYKSSRVTGYGRSPSVIVLLPTRELADQVFEDFKFYGRTLGLDSCCLCGGLQYGPQEIALKRGVDIVVGTPGRVKDHINRNNIDLRSLKFRVLDEADEMLNMGFVDDVEFILGKVADVNKVQTLLFSATLPDWVKNITSRFLKASKKTVDLVGNEKMKASKDVRHIVIPCSDTERSRLIPDIIRCYSSGGRTIIFTETKESASELAGLLPGARPLHGDIQQSQRSVTISGFRSGKFLILVATNVAARGLDINDVQLIIQCEAPKDIEAYIHRSGRTGRAGNTGVAVTLYDPRKSGRIARIERDSGVKFEHLSAPQPIDIARSAGASAAESVTQVSDSVIPPFKSAAEEMVNSSTLSAVELLAKALAKLSGYTEIKSRSLLTSMENYVTLILEPGKPMYSPSFAYSILRRFLPEEKVESVKGMSLTADGSSAVFDVQTEDLDAFLTGQANAADVSIEVLKSLPKLQDREQSRGGRFGFGGRGGFGDRRSGGSRFSGGRGGGRGGFSDRNRFSGGRGRNFGGGSRSSW >KGN52200 pep chromosome:ASM407v2:5:24269634:24271793:1 gene:Csa_5G615230 transcript:KGN52200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIVAAYLLAVLGGNSNPSAKDLKDILGSVGAEVDEERINLLLSNVKGKDITELIASGREKLASVPSGGGAAVAVAAGGGGGGAAAPAPAEAKKEEKVEEKEESDDDMGFSLFD >KGN52206 pep chromosome:ASM407v2:5:24305667:24308283:-1 gene:Csa_5G615280 transcript:KGN52206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFSLIAFFLSGLIFFLTRKPIRNRPNLPPGPPGWPLVGNLFQVALSKKPFFEYIEDQRRIYGSIFTLKMGPTKMVVVSDSNFVHEALIKKGAIFADRPRENPTRIIFSSNKFSVNAAVYGPIWRSLRRNMVENMLSSSKVKEFRDVREKAMEKFVKRLRREAEDNNGVVSVLKNVRFAVFWIMLTMCFGIEMEDETVVKMDEILKSVLIALDPRIDDYFPILSPFFSRERNRANLVRRKQVEFVVELINRRRRALENPASDGDATSFSYLDTLFDFKIDGRGGEGKSSSATDEELVTLCSEFLNGGTDTTATVIEWGMAELIANEEIQRKIVEEIKETVGERKVEEEDVEKMVYLQAVVKEVLRKHPPTFFALTHSVTETTKLAGYDIPNDTNVEFFLPAIGRDPKLWKNPDKFEPERFYSGMEEADITGVSGVRMMPFGVGRRICPGLGMATVHIHLMLARMLQEFEWAAYPPSSSVDFSWKMEFTVVMKNPLTAVVEPRV >KGN52639 pep chromosome:ASM407v2:5:27465306:27469130:1 gene:Csa_5G647620 transcript:KGN52639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPAIMERRDSMTSLSFPPPPPPPYSISLPLPPLRRYDVFLSHRAKDTGCSFTSNLHEALTSQGIVVFIDKEDGGKPLTEKMKAVDESRSSIVVFTKNYGSLVCMKEIRKIRMCQKLRDQLVLPVFYKIDPGDVRKQEGSFEKYFNEHEVNPNISIEEVKKWRKSMNKVGNLSGWHVQDSQSEEGTINEVVNHIFNKLRPDLFRYDDKLVGISRRLHEINKLMGIGLDDVRLIGIWGMGGIGKTTIARIIYKSVSHLFDGCYFLDNVKETLKKEGIASLQQKLLTGALMKRNIDIPNAEGATLIKRRMSNIKALIILDDVDHLSQLQQLAGGSDWFGSGSRVIVTTREEHLLISHGIKRRYNVEVLKIEEGIQLFSQKAFGEDHPKKGYFDLCSQVVDYAGGLPLAIEVLGSSLRNKPMEDWIDAVKKLWEVRDKEIIEKLKISYYMLEKDDREIFLDIACFFKRKSKKQAIEILESFGFPAVFGLDILKEKSLITTPHEKIQMHDLIQEMGQKIVNEKFPDEPEKRSRLWLREDITRALSHDQGTEAIKGIMMDLDEEGESHLNAKAFFSMTNLRILKLNNVHLSEEIEYLSDQLRFLNWHGYPLKTLPSNFNPTNLLELELPNSSIHHLWTASKSMETLKVINLSDSQFLSKTPDFSGVPNLERLVLSGCVELHQLHHSLGCSNLTHFPKISSNMNHLLELHLDETSIKVLHSSIGHLTSLVLLNLKNCTDLLKLPSTIGSLTSLKTLNLNGCSKLDSLPESLGDISSLEKLDITSTCGSIFGGMFSSSEFTKTSAKC >KGN51132 pep chromosome:ASM407v2:5:16270413:16273216:-1 gene:Csa_5G464810 transcript:KGN51132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKLLPSSHLYPLPSNSFSAIDLSPRPCFARPRTHFKPHRSVTVRVSAEPLVALQDHNNSAFLLAESVGYSMASYYTSLGLFVISVPGLWSLIKRSVKSKVVKKTFVSEDGSKKEPNQIAGEILSFFTRNNFQVTDRGETITFEGTMVPSRGQAALLTFCTCISLASVGLVLTITYPDFGNNWFWLSSLSPLAGAYYWVKASRKEEIKVKMIVGEDGRLGEIVVQGDDQQIDQMRKELKLSEKGMVYVKGIFEQ >KGN51077 pep chromosome:ASM407v2:5:15623715:15626302:-1 gene:Csa_5G429990 transcript:KGN51077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRNIHRHYFSSVLFLVLIICSVAEAELDLAFDMAALVALQKAMGVLSRTRYWNLSDNNPCLWLGVTCSGGRVTELRLPGVGLVGQLPLGLGNLTQLQTLSLRSNMLSGSIPSDFANLRSLRNLYLQWNSFSGEIPPILFSIRSIVRLNLAHNKFVESIPLGFNNLTNLQVLNLEENQLEGFIPDLNIPSLNALNVSFNRLNGSIPSQFSNQPASAFNGNSLCEKPLSPCDGGGKKKLSAGVIAGIVIGSLIAFLIIILILFYLCRRAIRINQPNDAQTTVTTSGRLSSEVETVVGENRGGGNERALVFCRKGEVVFDLEELLKASAEVLGKGSFGSTYTAALDVGITVVVKRLRDVKVSEEEFKEKIESLGMMNHPNLVPIKGFYYGRDEKLLLSDHISSMGSLSVHLHGNKDPSRTSLKWEARAGIALAAAQGITYLHSRRPPISHGNIKSSNILLNRSHTACVSDFGLIQIASPASTPNHVATYRAPEVTDPRKVSLKADVYSFGVVVLELLTGKAPNSAMFNDDAVDLPRWVHSKVKEKKTAEVFDEELLEYKNGLDEMVQLLHLAMLCTAPHPDSRPSMAKVTSRIDEIYHLILLKEQEMSNDKFYDVESTVSQQFYSADSIMVPLPPSI >KGN50988 pep chromosome:ASM407v2:5:14301289:14301594:-1 gene:Csa_5G387390 transcript:KGN50988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSQISKESLSNSINTTINMASATRTFQNAIAIQTSWKVYVTLASQYPSATSALQNASAMYWAVREETFLNVGTSCVAESIPDMLSATGVFDDVALHWERP >KGN52571 pep chromosome:ASM407v2:5:27009215:27012155:-1 gene:Csa_5G643990 transcript:KGN52571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGEEEENAAELKIGDEFLKAKCLMNCEVSLILEHKYEQLQQRAEDPTNQVSQVFEKSLQYVKRFSRYKNPDALCVLGNLCPETVEEATSIVPSLKTKGRVHEDEAIEKMLNDLSLIKKFE >KGN51599 pep chromosome:ASM407v2:5:20718684:20721063:1 gene:Csa_5G583290 transcript:KGN51599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLTTIYCSFHGIRNLVSCPSKHAFGFQFRCWRSAAEGDIVHFRTEDIDNDYLLESRTISSRGHLRRALSLFYSSKQPHSHQTYAYLFHVCARLRCLQEGVGLHRYMLSQNPMVSFDLFVTNHLINMYCKCGHLDYANQLFNEMPRRNYVSWTVLITGFSQYGHVDECFLIFSRMLVDHRPNEFTVSSLLTSFGEHDGERGRQIHGFALKISLDAFVYVANALITMYSKICSEDGAFKDSKDDDAWTMFKSMENPSLITWNSMIAGFCFRKLGHQAIYLFMQMNRHGIGFDRATLVSTLSSTSFCNRDEFGRRLSFCHQIHCQALKTAFISEVEIITALVKTYAELGGDIADSYRLFVEAGYNRDIVLWTSIMAAFIDHDPGKTLSLFCQFRQEGLTPDGHTFSIVLKACAGFLTEKHASTYHSLLIKSMSEDHTVLNNALIHAYGRCGSISSSKKVFNQMKHHDLVSWNTMMKAYALHGQAEIALQLFTKMNVPPDATTFVSLLSACSHAGLVEEGTSLFNSITNYGIVCRLDHYACMVDILGRSGQVQEAHDFISNMPIEPDFVVWSSFLGSCRKYGATGLAKLASYKLKELDPSNSLAYVQMSNLYCFNGSFYEADLIRMEMTGSRVKKEPGLSRVEIENQVHEFASGGRCHPQREVICNELEKLIGRLKEIGYVPETSLALHDVEQEQKEQQLYHHSEKLALVFSVMNDYNLGRVNNPIRIMKNIRICVDCHNFMKLASRLLQKEIVIRDSNRFHHFMAGLCSCNDYW >KGN51734 pep chromosome:ASM407v2:5:21579879:21580049:1 gene:Csa_5G594460 transcript:KGN51734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQRYWREDQMKDEEMRRKWKMEGKCEAVEAYMKAPELEDEELEDEEIKSVFEIGI >KGN51583 pep chromosome:ASM407v2:5:20607957:20611389:1 gene:Csa_5G581670 transcript:KGN51583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDLPPSLVLEILNRLADSADLARCRVASKSINVLSRDVRSVNLFCSLDRYLKSRAAETKLLVTPFKVILKTLVNEFLALDSVSIGVEKSLGRISYEHDDVEDWSDDLFLTDVGYAKEWLPSIGKNLTSLSIVDFWVQSCWRQSKILALITLYCCNLLELELKNAWLSVDGLHRMNSLKYLTLEFIRLDDEDLSELNNNFPHLEVLNLIGVGGLNEPKIRLLHLKTCKWTVSNAPVSLCIYAPSLSKLELKCVKPKFLIIETPMLSDFHFCLEDASGLQVDEFPCLRKLHLHFPRLHSLITTFSSARTLKELTLDTMQRAESIESVKFCLDTVFEIFPNLSFLKLGPGVLLEAETFYQAEGLEGRMGMRDLKKNLSNLKTNKIELKLPFIISILEKCTDSFDMTLLLYQNADSDITGGIMSKRAINHRRPRWRWELWKEGSREAWAKGN >KGN50999 pep chromosome:ASM407v2:5:14404132:14404769:-1 gene:Csa_5G387990 transcript:KGN50999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGCKLTTTLIESQIKLLKKTFQTIVKMREPAGSGFEWNGDMKCIIAERDMFDNWVRTYPATKGLLNKVFPHYGMLSYVFGKDHTKGPVWRYSRTSGPTCKDPSACVPTEDGLKIEFPTMCSPRMNLSLDDMMVGQSGRFSDCRSGLTIKKESAIFRHLRLMTSFRSPWTLRMKSLRPL >KGN49695 pep chromosome:ASM407v2:5:2215602:2216120:1 gene:Csa_5G073680 transcript:KGN49695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPKPNQTLIKTNEKLKWLKEERKRLIARLRGGHDSAFGNSACEGLHGRAELAHCSRRVEDRLKLKDSPDDGARRRDDDTMEGERRHGRFSFTMTNEERENATKTGDIDYTLQPWQRCGWRAWAAARVLSKGEDEV >KGN49731 pep chromosome:ASM407v2:5:2667010:2667219:1 gene:Csa_5G091900 transcript:KGN49731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVFTAEVDTLTSLKTLRSYFLSNRFEQLNNSTTPFVEDQEEDEYEDEEMFSTVNFICKNKSTFQDHLK >KGN51878 pep chromosome:ASM407v2:5:22450050:22452296:-1 gene:Csa_5G604230 transcript:KGN51878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVANFFFLIIPILIASFSSSHASIFTVTNNCPHPIWPGTLSGAGTPPLPTTGFRLESGQSIRIPSTPGWSGRIWARTGCNFDESGIGKCQTGDCGGRLECDGNGAAPPASLFEITVGIGDDKDFYDVSIVDGYNLPLVVVPRGVYGPCNATGCSYDLNLGCPKELQVVGGENGGVQGGVIACKSACEAFGLDQYCCSGQFSNPNTCHPSIYSSIFKHACPKAYSYAYDDGTSTFTCKAYDYTIVFCPDPNGSKGTTNETISTPPGMEDPADGEFIHLVSSSTILQVLPFSLVIINVFFIFINLFF >KGN52090 pep chromosome:ASM407v2:5:23661429:23662790:-1 gene:Csa_5G609760 transcript:KGN52090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIPVINRFSGLESGMSSLPNPTLLPQILTSPSGFQTLSRSLDLWKWSAVIIAVVATFSGVINRIKLLFVIFRRQKRILQEIVYDSDSDTEYSVDDSASSVSSVWSEFEEDDVDEPASSSSFSWDLTDQDFHVRGSDYYLNDDKTKQTLRLRHRRSFHNQDGEGEQFSWADFTGGKSVVKLWDNLRFEFDHCDSDTNEIRVHDVIKEQTIGSILAGKSQIAPSLTSTLLLSTTTNVSSKTSVNIWDTRMGCQIPALIAEWKPVAGKVLGVKFSGEQKVYLRNESGEKITVGDVRNMKSPLENLTAADMETWFDADAVMVSAE >KGN50442 pep chromosome:ASM407v2:5:7291445:7292933:-1 gene:Csa_5G175170 transcript:KGN50442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSDHTNGVSNGATIDTHKNHSSSNGALVVKKPPSKDRHSKVDGRGRRIRMPIICAARVFQLTRELGHKSDGQTIEWLLRQAEPSIIAATGTGTTPANFSSVSLSVRGNGGGSASLSSPSSTTSTLSLSRPQPLSGPTPFILGKRVRSDDDAKDDALGVGQAVGSIVGPTGPGGYWAIPARPDYGQVWSFAAAAPSEMVVQPGGIAQQASLFAQQQQPIREASAARVGNYLPGHLNLLASLSGGGGSGRREDDPR >KGN51635 pep chromosome:ASM407v2:5:20964451:20970072:-1 gene:Csa_5G586570 transcript:KGN51635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWAFTANLQPSLNSPIPLISITSRSSHSRVCPKPTLICSSLQSQVETLNGTTDGRVSERSEIRFGLPSKGRMATDTLELLKDCQLSVKQVNPRQYVANIPQLSGLEVWFQRPKDIVRKLLSGDLDLGIVGLDTVSEYGQGSEDLIVVHEALEYGDCRLSLAIPKYGIFENVNSIQDLAQMPQWTESKPLRVATGFTYLGPKFLRENGLKHVSFSTADGALEAAPAMGIADAILDLVSSGTTLRENNLKEIEGGVVLESQAVLLASRKSLVQRKGALDTTHEILERLEAHLTAVGQFTVTANMRGSSTQEVAERVLSQPSLSGMQGPTISPVFCKRDGKVVADYYAIVICVPKKSLYKSVQQLRAIGGSGVLISPLTYIFDEETPRWNQLLKELGL >KGN50522 pep chromosome:ASM407v2:5:7882845:7883282:1 gene:Csa_5G180455 transcript:KGN50522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSILLPDIVSNSSTTAKTLFVCTNTYAKCLYSVSHGVNAICPSCNCYMNKVGTYVKPDSHSDESESERKREGTKSESESESESKSATIRQPSSGKDVSGFVKHKSVVSYMVMDDSSVRSMSTISGITLLNKFDIKDLGALSNLPW >KGN51055 pep chromosome:ASM407v2:5:15225912:15226223:-1 gene:Csa_5G421360 transcript:KGN51055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAPCKRGTLGRQQARGARPTSVRLACGRTQAMHSRPAPYTMSMGSDEQEKLGGTPKTKVIFE >KGN51623 pep chromosome:ASM407v2:5:20905213:20908985:-1 gene:Csa_5G585970 transcript:KGN51623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLITELRSKQKEINGLRTLMEESSEDRDMFDMATEELEQAVEEEKRLQQFLLKSLLPRDDADERDSILEVRAGTGGDEASLFAMDIFKMYERYAQKKGWKFEVVDITESDLKGYKEAIAAISGAGVYGRLKFESGIHRVQRVPVTEKAGRVHTSAISVAILPQADEVDVELKNEDLRIDTFRSGGSGGQHANTTNSAVRVIHVPTGLTVTIQDERSQHMNKAKALKLICAKLYEMERRRIQSSRSKLRSEQIGSGDRSERIRTYNFPQGRVTDHRVGITDHSIEEVMQGENLDIFVDALLLQQEMDAIASFTSSSSS >KGN52052 pep chromosome:ASM407v2:5:23434803:23439494:-1 gene:Csa_5G608400 transcript:KGN52052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVQCTSFCKPFSTTRAFSPSSFTLSISRTSSPTFKASSSLLNLSISRSNRVVPAVIAEESADGATVSATDAFNLTYLEGNSWLWEVGGLSILVDPILVGSLDFGIPWLYEASKKILKNFQLNELPEFDCLLITQSLDDHCHLKTLRPLSKKSPNVKVIATPNAKTLLDPLFSNVTYLEPGQSSVIEAKNDSQVLIKATAGPVLGPPWQRPENGYLVVSPQGQLTLYYEPHCSYDKEFLGKERADIVITPVIKQLLPNFTLVSGQEDAVQLAKLLHAKFIVPMNNGDMDSKGLLASLISAEGTIGSFKELLSRELPEAVVLEPTPGVPLNISPPSDQA >KGN49833 pep chromosome:ASM407v2:5:3404556:3407369:-1 gene:Csa_5G139120 transcript:KGN49833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTLTPSCFGSFAAAASNKTSYSSPAAFKPLKLPLFWPWQKVKMGPLSVSPMGFGTWAWGNQLLWGYQESMDSELQHIFNLAVDNGINLFDTADSYGTGRLNGQSEKLLGKFIRQSQVKNDIVIATKFAAYPWRLTPAQFVKACQGSLERMQIEKIGIGQLHWSTANYAPLQEMALWDGLVAMYDKGLVQAVGVSNYGPKQLVRIHDYLKDRGVPLCTAQVQFSLLSIGDDQLEIKKICDSLGIRLIAYSPLGLGMLTGKYSPSKLPSGPRGLLFRQTLPGLEPLLTTLGDIAEKRRKTIPQVAINWCISKGTIPIPGAKTLKQAQENLGALGWNLTQEELNLLDDGARESPRKMIQNIFQTR >KGN49660 pep chromosome:ASM407v2:5:1686686:1690968:1 gene:Csa_5G052540 transcript:KGN49660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKENTTMMMDSNPPLFDQYEFLLQSTAHLQPFNNGNLPTNSVMEECQLPLIDLKGLKSSDEKERVACRREIFEASEEWGFFQVINHGIHTELLNRMNKEQIKLFGVPFEKKFTSGILDNSYRWGTPTATHPNQFSWSEAFHIPLTKVSEAACYGDFIYLREVMEEVASAMSKVARKLAGVLVESMGQRKELLEDICDESTCFLRLNHYPICPFSGEVSGLVPHTDSDFLTILHQDSGGGLQVMKGSQWLAVKPNPQALVVNIGDLLQAWSNDTYKSAKHRVVANPMRERYSIAYFLCPSYHSQIGSCREPSPYRHFTFAEYRKQVRDDVEKTGYKIGLSNFRL >KGN50166 pep chromosome:ASM407v2:5:5524710:5527488:-1 gene:Csa_5G157250 transcript:KGN50166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHFLHPSSSLRLLGKGFLYPSSSSSLHSNSIALRSFSCQMSADSSIRTQYITIPWQHDQPVQILAAPGVSDSDFRNAIYSPLFKQWLMNLQSESGILTKGAMSLKRVLIQGVDMFGNNIGFLKFKADVYDKQTGKKVPGIVFARGPAVAVLILLSCEGETYAVLTEQVRVPVGKLMLELPAGMLDDDKGDFVGTAVREVEEEIGIQLKLEDMVDLTGFLDQSTGCRVFPSPGGCDEGIGLFLYRGSASKETIAELQGKETGLRDHGEMIKVRVVPYEKLWRVTADAKALMAIALYEMAQKEGLLPSLKP >KGN50600 pep chromosome:ASM407v2:5:8555177:8556179:1 gene:Csa_5G190440 transcript:KGN50600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVACNDYKFYLYQHFLHAVEFHSKETPNPTIVLFKNICLSPFPLLGGRGALLSHITTGDDVLVLGALSVLATLLQTKELDELMLDALGILPQRKQHKKLLLVLSLDTLYCNFGLCFNRLHVLVLSSSSFSD >KGN52317 pep chromosome:ASM407v2:5:25010740:25012225:-1 gene:Csa_5G623800 transcript:KGN52317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLSSDQPRFHVLAVDDSLVDRKLIERLLKTSSFNVTVVDSGSKALELLGLVRERNGTNHSSPISPEDHRQHHQEIEVNLIITDYCMPEMTGFDLLRKIKESDSLKDIPVVIMSSENVPSRINRCLEEGAEEFLLKPVKLSDVNKLKPFICRGIKAKEMDFNHLKRKEMEII >KGN51283 pep chromosome:ASM407v2:5:17879695:17880779:-1 gene:Csa_5G512900 transcript:KGN51283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLQRRGNIFILTLTGPGEHRFSPHLLDSIRSSLRQVRSHAESAASSGTSSNFVLITTAEGKFFSNGYDIDWAKTSMNQMILMDDNLKSVVSDLITLPMPTIAAVSGHASAAGFIFAMSHDYIVMRRDRGFLYMSELDIGRVIPQWFAVLVKSRIGSAAVRREVVLKAPKLTADKALELGIIDSSHDGAEETVAAGVRLAEDLVARKWDGHTYAGNRMELLSEVLNVMGARCSVAKL >KGN50674 pep chromosome:ASM407v2:5:9330071:9333465:-1 gene:Csa_5G210000 transcript:KGN50674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRELLFWAFITLLLALIRRIAWAILNGRRNRKPAVGFFHPYTNDGGGGERVLWCAVKAIQDENPNLDCLIFTGDHDASPESLMARAVDRFGVKLHFPPKVVHLHKRKWIEETTYPRFTMIGQSLGSIYLSWEALCRFTPLYYFDTSGYAFTYPIARLFGCIVVCYTHYPTISLDMLSRVRGRSSMYNNNALIAKSSWLSQCKIIYYVLFSWMYGFVGSFTQLAMVNSSWTQSHIKSLWKIPDRIKRVYPPCDTSVLQALPLERSCKTPIIISVAQFRPEKAHLLQLEAFAVAIKKLDASSVRPKLQFVGSCRNKSDEERLQILKNKAIELKVDEHVEFHKNLMYRDLVGLLRGAVAGIHSMTDEHFGISVVEYMAAGAIPIAHNSAGPKMDIVLEEDGQQTGFLATNVYEYADAILHILRMPETERLKMAAAARRRAERFSEDKFYEDFKTAIRPVFSLVSR >KGN52217 pep chromosome:ASM407v2:5:24408024:24408218:-1 gene:Csa_5G616370 transcript:KGN52217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPVFSLTSSVSHSPASLSPLVALVQSSSSPFFRSQIVFYSWVFNEYFVPAILICNFDTISRS >KGN51760 pep chromosome:ASM407v2:5:21773223:21773429:-1 gene:Csa_5G598680 transcript:KGN51760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWAFGGPAHFAGEEKSGSHCCRLSPHHRRQGNPNSNGDVTVTTPLQFTVGLLGVSLPLSPQGIVMML >KGN50080 pep chromosome:ASM407v2:5:4916096:4924114:1 gene:Csa_5G152950 transcript:KGN50080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGHDSKYFSTTKKGEIPELKEELNSQYKDKRKDAVKKVIAAMTVGKDVSSLFTDVVNCMQTENLELKKLVYLYLINYAKSQPDLAILAVNTFVKDSQDPNPLIRALAVRTMGCIRVDKITEYLCDPLQRCLKDDDPYVRKTAAICVAKLFDINAELVEDRGFLESLKDLISDNTPMVVANAVAALAEIQENSSRPIFEITSHTLSKLLTALNECTEWGQVFILDALSRYKAEDAREAENIMERVTPRLQHANCAVVLSAVKMILQQMELITSTDVVRNLCKKMAPPLVTLLSAEPEIQYVALRNINLIVQKRPTILAHEIKVFFCKYNDPIYVKMEKLEIMIKLASDRNIDQVLLEFKEYATEVDVDFVRKAVRAIGRCAIKLERAAERCISVLLELIKIKVNYVVQEAIIVIKDIFRRYPNTYESIIATLCESLDTLDEPEAKASMIWIIGEYAERIDNADELLESFLENFPEEPAQVQLQLLTATVKLFLKKPTEGPQQMIQAVLNNATVETDNPDLRDRAYIYWRLLSTDPEAAKDVVLAEKPVIGDDSNLLDSSLLDELLSNIATLSSVYHKPPEAFVTRAKTAQKTDEEDYPEGSDAGYSESPSQAGGASPPTTSDAPYSVQKRTAPGSVSPPPPASVPDLLGDLIGLDNSVTAPVDQPAALAGPPLPILLPASAAQGLQISAQLTRVDDQVFYSLLFENNTQITLDGFMIQFNKNSFGLAAAGPLQVQPLQPGSAINTLLPMVAFQNMSQGPPSSLLQVAVKNNQQQVWYFNDKIPMHIFFTDDGRMERANFLETWRSLPDSNEVSKEFPAIVLTNVEAFLERLAATNMFFIAKRKHANQDVFYFSTKIPRGIPFLIELTTVIGSPGLKCAIKTPNIDMAPLFFEALETLLKD >KGN49659 pep chromosome:ASM407v2:5:1652234:1660489:1 gene:Csa_5G051040 transcript:KGN49659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGILFTVYLKCVAEFSSSYTSLLLNQSIVLIFILFEICSFVSFLYHSVNLPFRSLLFHDFVAKCLTKDPRSRPAASEMLKHKFIEKCRCGASAMLPKIEKARKIRTLMAQQAQSIAPDASGDGTIVAANLNQDYGDTVPSKPQNIGLQVASEIAGSELVAEGTFGTVIVHDGDENDKVASQLDIGIAEPPTGSLRNESLSINVTRVDSSVRTGGIVNNILDGKSDPTMPASLPSFLGIHELSTLKSETVSRKSFALQDKLWSIYAAGNTVPIPFLRATDISPIALLSDNVLGGVQHDNRGTVAVETLQELFTGDGQSKKGRRGQNEMPLPPSVYQRLTSSPTLLNLAQALAYHRMCYEDMPLQELQATQEQQTIQNLCDTLRTILRL >KGN51011 pep chromosome:ASM407v2:5:14593203:14593580:1 gene:Csa_5G406560 transcript:KGN51011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGLNCMINLHKNKAERKRLKSKKMETIVENKEMEVDNDEEEREKEKQTPLVHKRKGKDEGSGSKKQEKKPRAKESKDPFPLAIFTPHVAQTTKTTYSLSKSPTRTPTKTTLPSQEHQCPPKFKL >KGN52615 pep chromosome:ASM407v2:5:27342269:27348849:-1 gene:Csa_5G647380 transcript:KGN52615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKKKTSAPPNGAKKGKNFPLSKDPFFSSESRKRRKIVDDEIESGESDEDNGLMGVEDEEFEEETVDEKRKRVAVEYLEKIREIAKREKERGDEEKDEDESDDEGEKDSLVAKILQQEQLEDSGRLRREIASRVQKPVARDEFQLLIKHRQSVTAVALSEDDLKGFSSSKDGTILHWDVESGKGEKYRWPSDEVLRLHGAKDPQGRATKHSKVTFSLAVSSDGRYLASGGLDRHVHIWDTRTREHIQAFPGHRGPVSCLTFRQGTSELFSGSYDRTVKIWNVDDRAYINTLFGHQSDVLTIDCLRKERLLTVGRDRSMQLWKVPEESRLVFRAPASSLECCCFISNDEFLSGSDDGSIELWTALKKKPVFIVRNAHSSSSSSTNLELKENGAIPNGCMGNGDANHNTSHNLSAYSWISSVSVCRNSDLAASGAGNGSVRLWALTSDKKDVRPLYDLPLVGFVNSLTFANSGRFVVAGVGQEPRLGRWGRIPAARNGVAVHPLKLS >KGN52249 pep chromosome:ASM407v2:5:24637542:24637775:1 gene:Csa_5G622640 transcript:KGN52249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVRAWLEICVRSMELVNEGSLWLARYKQGFSFTRANFLTSSISLALDFGRRPIVQYH >KGN50323 pep chromosome:ASM407v2:5:6499196:6500389:-1 gene:Csa_5G167150 transcript:KGN50323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTSSTHLHLHLPLLPLPQPHHRTFLPLPTTLLRRNLRSLTVSVGTDILGDFGARDPFPEEIASNFGEKVIGFSDTEHKILIPNARALALSEQECVPVSSLQAPMAEDEAKKLLRKVVGWKLVDGGRGELKLQCLWKLRDFKCSVELINRICKVVENVGHFPDLHLEQPNQVRAELWTSSIGGLSMNDYIVAAKIDEIKTSDLAPRKRAWA >KGN51082 pep chromosome:ASM407v2:5:15649617:15649961:-1 gene:Csa_5G430520 transcript:KGN51082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTANYPTHISPFDYISAKVFPVEHTDPSSKCGSPIPSQLGLIDTLDARSPGSTPNCRPTRFALDAKFESKSLLTVAFQAFTATSCTRFSPTSARCFTTEVSPD >KGN52214 pep chromosome:ASM407v2:5:24395411:24400175:1 gene:Csa_5G616340 transcript:KGN52214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQLVPPRGEWTHHRLCDFAKTALIKIFSHPYVTVCDLYCARGADAEKWDETQISHYIGIDESTSGIGQMREAWESQKKAYTAEFFEVDPCVENIETQLKDKTETVDRVCCLQHLQMCFETEERARRLLHNVSALLKPGGYFFGITPDSSTIWAKYQKNVEAYHNRSAGMKPNIVPNCIRSESYMISFEVEEEKFPLFGKKYQLKFANDPSAETHCLVHFPSFIRLAREAGLEYIEIQNLTEFFDDHRAQLADMLMNFGQNILDPRGRLLPRSYDVLGLYTTFIFQKPDPDITPPIMTPLLPETIYDHEETEWLGNVWRDEERSVQAEHVSGQVPVPVPVPVPIPVAVPVPVSVTVQVPVVVPLTVPGLGKISEQKGILGPGPADLRFPEAL >KGN52502 pep chromosome:ASM407v2:5:26576913:26579668:1 gene:Csa_5G638410 transcript:KGN52502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIGKNGGTVTPVKESTGSRSKMIQEVSRHSENMNPNVNDTISPVPKLSKSSPLIKSTKTVQKSAARNPSVVLNSPKYKIRERKFVVAKKNQKKEKTGKSKTDCKCDVGNGSDSKKCLCLAYETLRASQEEFFKNQNGGIGEIRAEIELEEEMERKLMIQDLQNEEGIETENHDVNGESESPEQVSSSIIKRRRERLLETARNSVPETGSGKVKHLVLAFEKLRTIQVAKEETDENEEKQLENQDKPIEEKSKVMKWALPGLPPPKVIESSSSFCPSELFLTSENLGLDSRASVSSSWDGSRGSISSRNGGRRSRRNSAESAGTIGGSKWKKKQLKVTRQKPFKLRTEQRGKEKEEEFLKRVQETMIEEEKQRIPIAQGLPWTTDEPECVVKPPVKEITRPVDLVLHSDVRAVERAEFDHQVAEKLSVIEQYKMEREKQEKMAEEEELKRLRKELVPKAQPMPYFDRPFIPRRSGKLPTIPKEPKFHIPQQKKIKSCLSWNDMSQYTFQFQP >KGN50896 pep chromosome:ASM407v2:5:12871079:12873209:1 gene:Csa_5G317890 transcript:KGN50896 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP dependent ligase MYRGEKQINNHTTTSLSLPISMEHLKPTPANSSPLTPIGFLERASLIYADSPSIIYSSTTFTWSQTYRRCLRLASSLSSIGIHKGHVVSVIAPNTPPMYELQFAVPMAGAILSCINLRLDARTISVQLRHSESKLLFVDQASSGLISDALALFPPEAKRPLLVLISDDAAVEDSASSVAIGGDVVDLYEDMVQKGDPEFRWIRPVSEWDPIVMNYTSGTTSSPKGVVHSHRGIFTVTLDSLIEWGVPKHSVYLWTLPMFHANGWSFPFAIAAVGGTNICIRKFDAALIFSLINRHHVTHMCGAPVVLNMLTNSPNNRPLERPVKILTAGAPPPAAVLLRTESLGFDVSHGYGLTETGGLVIFCSWKLEWNQLPATERARLKARQGVRTLAMAEADVLDSGTGKRVQRDGVSIGEIVIRGGSMMLGYFKDPEATKKAMTEEGWFFTGDVGVMHPDGYLEIKDRSKDVIISGGENLSSVEVESVLYTNPAVNEAAVVARPDEFWGETPCAFVSLREGLTRVPTEEEIIEYCKGKLAGFMVPKTVVFMEQLPKTSTGKIQKFLLREMAKSMGCSPKSRL >KGN50625 pep chromosome:ASM407v2:5:8715745:8719198:1 gene:Csa_5G198150 transcript:KGN50625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGSSRFFTIGLVTSWYSSNIGVLLLNKYLLSNYGFKYPIFLTMCHMTACSLLSYVAIAWLKMVPMQTIRSRIQFLKIAALSFVFCISVVFGNISLRYLPVSFNQAVGATTPFFTAVFAYLMTMKREAWLTYVTLIPVVTGVIIASGGEPSFHLFGFIICVAATAARALKSVLQGILLSSEGEKLNSMNLLLYMAPIAVVFLLPAALFMEENVVGITLALARDDKKIIWYLLFNSSLAYFVNLTNFLVTKHTSALTLQVLGNAKGAVAVVISILIFRNPVSVTGMLGYALTVMGVILYSESKKRSK >KGN51049 pep chromosome:ASM407v2:5:15194621:15194983:-1 gene:Csa_5G420320 transcript:KGN51049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDPQDQAERERIFKRFDANGDGKISSAELGEALKTLGSVTADEVQRMMAEIDTDGDGFISYEEFTDFARANRGLVKDVAKIF >KGN52116 pep chromosome:ASM407v2:5:23804373:23806873:1 gene:Csa_5G610500 transcript:KGN52116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSAEEFEPLFDYSRVQPPSVVCLDDEDSDADKSPAPFTKRAKIVNPAATSSVNGNPKEKQVEIEDKEEDWLPPPPKVLVDAENRHVEDSTLKELRLKKQELASVALSAKNLLREVEESAKVDVGNTSMDPLEPDLDVQTPVASKERAKIVISVRDSDKEELKQYRLFVDDKFERLFKLYADKLKIDPKSLVFVFDGDKVGPDDTPGGLGMEDDDMIEVNIKSS >KGN49574 pep chromosome:ASM407v2:5:351212:353692:1 gene:Csa_5G010650 transcript:KGN49574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGGQGDTGNGIEAVAGSNNPRPETAAPPSEGGGPAGSAAEAGKKKRGRPRKYGPDGKLNVAALSPKPISASAPAPAAVIDFSAEKRGKVRPASSLTKTKYEVENLGEWVPCSVGANFTPHIITVSSGEDVTMKVLSFSQQGPRAICILSANGVISSVTLRQPDSSGGTLTYEGRFEILSLSGSFMPSDSIGTKSRIGGMSVSLASPDGRVVGGGVAGLLVAASPVQVVVGSFISGNQHEQKPKKPKHDVVLPVYTFPISSVEPKSYKTTTTMTTSSFRAETWSPNVVPDLRSQPTDINVSLTSG >KGN50576 pep chromosome:ASM407v2:5:8320097:8322539:-1 gene:Csa_5G184270 transcript:KGN50576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEASGSAGNSRWSLEGFTALVTGGTRGIGHAVVEELAGLGASVHTCARNESDLNQCLKEWEAKGYVVTGSVCDASSRTQREELIQEVASSFNGTLNILVNNVGTNIRKPSAEYSLEEVSTLMTTNFESAFHLSQLSHPLLKASGNGSIVFISSVGGLVSIGSGSIYAATKSAINQLTRNLTCEWAKDNIRVNCVAPWYINTPLVEKLMKNKTLVDNIVSRTPLGRIGESKEVSSLVAFLCLPAASYITGQIMSVDGGFTANGFEPGMRLDKL >KGN52416 pep chromosome:ASM407v2:5:25900563:25900781:-1 gene:Csa_5G633140 transcript:KGN52416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTVPKVQQQWRLNRDNDDVSSIEWRAIVRVAVVLEQKRPNVGITSVGGIFTHADEWPLLKMVEYLFNLHVS >KGN52524 pep chromosome:ASM407v2:5:26684016:26690647:-1 gene:Csa_5G640580 transcript:KGN52524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNANGSRFQSFGQTEINWDKLDKAKFYGVGAGLFTGITVALYPVSVVKTRMQVAVKDSAERNALSVIKGLLKNDGVPGLYRGFGTVITGAIPARIIFLTALETTKVGAYKLVEPFKFSEPTQAALANGLAGMTASLFSQAVFVPIDVISQKLMVQGYSGNTRYTGGLDVARKLIKSNGIRGLYKGFGLSVMTYSPSSAVWWASYGASQRVIWRFLGQNSASEKFSPSHSQLISVQAAGGIIAGATASCITTPLDTIKTRLQVMGDKGKTARQIVESLIAEDGWKGFYRGLGPRFFSMSAWGTSMILAYEYLKRLCAKDEQT >KGN50823 pep chromosome:ASM407v2:5:11564748:11566884:1 gene:Csa_5G273940 transcript:KGN50823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEEDAKEQLKGTELQARKTQVTQLPCDGDGICMLCKAKPSDVETITCKTCVTPWHVSCLSSPPETLASTLQWDCPDCSTPPEDVILPPPGNHSFPTAPSSDLVTSIRAIEADVSLTDREKANKRQELLSGKLQSDKDDHDTNKEKIKKGDNVFDLIDERLNCSFCMQLPERPVTPFLQFHFNSSSVQ >KGN52050 pep chromosome:ASM407v2:5:23421929:23423050:1 gene:Csa_5G608380 transcript:KGN52050 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding protein RAV1 MDGICIDETTSTESKSPPPDTSLCRVGSGVTSVVLDSDSSGGGVEAESRKLPSSRYKGVVPQPNGRWGAQIYEKHQRVWLGTFNEEDEAARAYDVAAQRFRGRDAVTNFKPLTHGGGEEDDIVSAFLNSHSKAEIVDMLRKHTYLDELHQSKRNAGLSGSDRKQNRFLSGSDDDPETARELLFEKAVTPSDVGKLNRLVIPKQHAEKNFPLQTGSTASSKGLLLNFEDGGGKVWRFRYSYWNSSQSYVLTKGWSRFVKEKNLKAGDIVSFLKSTGQDKQLYIEWKARKPSTTTGSAINPVQTFRLFGVDIIKVSPNSGCSEKRRRELEFLTLQCTKKQRVVGAL >KGN50019 pep chromosome:ASM407v2:5:4518710:4522284:-1 gene:Csa_5G149880 transcript:KGN50019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVLHSHLPPIRFSSLTLFHFFLIMPLLRPAAASLPEVFSPRLADKGPVIPVPNLVKFLERIQVVALNSFGKLDFDLKYYVDLSLKFDLNSTQIAFDALERSSNGSVSVENLRTFISNYFDSAGTDLVYSNPADFDPHPDGFLPKVENVEVRAWAFDIHNFWKNLSRRVSDDLIHHPDTHTLLPLPEPVVVPGSRFREIYYWDSYWIIRGLLASKMYDTAKGIVINLISMIDEFGHVLNGARSYYTNRSQPPLLSSMVYDIYLRTGDLEFVRNSLPALIKEHKFWNSGFHSITVQNGNGNHSLSRYYAMWNEPRPESSLVDEKVASKFVNNYEKKHLYREIASAAESGWDFSSRWMRDSTDLSTLATTSILPVDLNVFILKMELDISNLARAVGDYCTAEHFFEASLVRKKTINSIFWNSEKGQWLDYWLDNGSYKGAHSWDVRNQNQNVYASNFIPLWVESFYSDSRQMKKVLKSLRNSGLLCNAGIATSMINSGEQWDFPNGWAPIQHMIVEGLARSELPEAKALAEDIAARWLRTNYVAYKHTGFMHEKYDVQKCGGFGGGGEYVPQTGFGWSNGVVLAFLEEFGWPKDQKIDCYLPS >KGN50096 pep chromosome:ASM407v2:5:5031139:5037980:1 gene:Csa_5G153110 transcript:KGN50096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCQGNGERFEKMDKQNKPCVSNQPSIYYWWRPDEGISSELADFVLENGTSNTCYAKQSKDGITVNKPKSSEILSTNQVISIFGQVLNLASRPFTFFQPKRVLNRDNDDSVEVTFNSVVEIDGKTVTSPEIKDFCVDLRTDGQCSPMVQPTLGLNCLTVTQKISLLEPCNYHSMSSFWNLLNGGSGMPANSWTGKGLTSVRILHDMGKIYGWMKRVSHTETCYPYPMKVANTGNREANVLQARGGLNEAGDCISGDPNFLVHNLISETSKNAPMFQSTNVSSLFIRKLEIKMIENVYMASRILMFVQDNKADGSILESHNPDILAAHSVPSKDGALENLDYGQKTSSSEQRENITKKSDKLIVENEYNREDSSLTRERSCYNIGKQEHAFAGALAGVFVSLCLHPVDTIKTVVQSYHAEHKSLSYIGKSIVTDRGLSGLYRGISTNIASSAPISAVYTFTYESVKGALLPILQEEYRSIVHCVAGGCASIATSFLFTPSERIKQQMQVSAHYHNCWNAFVGVVAKGGLRGLYTGWGAVLCRNVPHSIIKFYTYESLKGLMKSNAQQTTSQTLVCGGVAGSTAALFTTPFDVVKTRLQTQIPGSLSPYKSVIQALYEIGKKEGLQGLYRGLTPRLVMYMSQGAIFFTSYEFLKRLFSLEVPRHDTAIVQHRVDEKLER >KGN52039 pep chromosome:ASM407v2:5:23343636:23348323:1 gene:Csa_5G608270 transcript:KGN52039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSSSSFPSTFSLFLTKSPSISRRRTLLFPNSHLFLPHLRPTNSTFRIAASITEPDLHLSSWSNFDQPNTGDTYGGWVFLNTPTTDAKIEKRGLSRFVIGVVGTSLVVLFAVIAQISLSRRGFKFQWRIPLRSLEGIFSHTENVGDQGKTVEDSLTNDDLPTESGAESITDSKIDDAITSDSGNKLQRVIIAIPVDSTQDEALSILKKLKVIEEDINAGELCSRREYARWLVHMYSSLERNPKHHIIPSVSLSGSTVAAFDDISFEDPDFESIQALAEAGVVPSKLSPNYGYDGLGDQERTYFFPERFVSRQTLIDWKVQLDYEFVPGMLERISSAKVDFMDLKEISSEASPQLFMDILAGERSILRKVFGQIKRFQPNKPATKAQVAVTLASGRMAEAIAAELSRLESESSARKAEIEDIKLELVERGDIQRYWDKKLTEEKKRLLDVEELYLAAISNLGEEKMVQEKIFSEYLKEKASIDCQRQLLLSLNEEVDGIAEKILSERSVCETEQNELHNMHTDLQNQLEGMLDTKSVLEAEKEALRILRTWVEDEARKSQARAKVLEEVGRRWKWDDQA >KGN50955 pep chromosome:ASM407v2:5:13721335:13737766:1 gene:Csa_5G367190 transcript:KGN50955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLNQLFNRGVFAGKCKTCLSLAISRIKLLQNKRDMQLKLMRKEIAQFLQTGQEQIARIRVEHVIREQNIWAAYEILELFCEFVLARVPIIESQRECPVELREAIASIIFAAPRCSDLPDLLQLKNLFAVKYGKEFVSAISELRPDSGVNRSIIEKLSVSAPPGELKLKVMKEIAKEHGLNWDSSSTASEFSKTHEDLLGGPKGVQGSAVSQTPQHSLNPSISHVSAHLAASPMGKKEPEHHHAVGPISYNTNEIKPEPKSFDVKPEVAAKLDTRPPSDVLEKAKAAIASAERATAAARVAAELANVNLGTMKFEGGQTSEC >KGN50724 pep chromosome:ASM407v2:5:9901883:9910393:-1 gene:Csa_5G219390 transcript:KGN50724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKDLTQDGTVDLRGQPVLASKTGKWKACAFLVGYEAFERMAFYGIASNLVNYLTTQLHEDTVSSVRNVNNWSGSVWLTPIFGAYIADSFLGRFWTFTFSSLIYVMGMVFLTMAVSVKTLKPTCNNGVCSKATPSQITFFYVSLYTIALGAGGTKPNISTFGADQFDDFNPTEKQMKVSFFNWWMFSSFLGALFATLGLVYVQENIGWGLGYGIPTVGLLFSLFIFYLGTPIYRHKVRKSRSPAKDLIRVPVTAFRNRKLELPASPNELYEVELQSYPGYGAGASKRQVQHTPTFRFLDKAAIKDGTNSSRPSCTVTQVEGTKLVLGMIIIWLATLVPSTIWAQINTLFVKQGTTLNRTLSHGFQIPAASLGSFVTLSMLISVPMYDRYFVPFMRQKTGNPRGITLLQRLGIGFVIQIIAIAIAYAVEVRRMHVIRTNHIVQPKEVVPMSILWLLPQYILLGIADVFNAIGLLEFFYDQSPEHMQSLGTTFFTSGIGVGNFLNSFLVTVVDKVTGENSGKSWIGNNLNDSHLDYYYGFLLVISTINLGLFLWVSSMYIYKKEAIDEVKDGMETKGLDTSPLGLQV >KGN52622 pep chromosome:ASM407v2:5:27375618:27386183:1 gene:Csa_5G647440 transcript:KGN52622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAMDSIEELGELSESMRQAAALLADEDVDDNSTSGASSRRATTFLNVVALGNVGAGKSAVLNSLIGHPILPTGENGATRAPISIDLQRDGSLSSKSIILQIDNKSQQVSASALRHSLQDRLSKGSSGKGRDEIYLKLRTSTAPPLKLVDLPGLDQRAMDDSVVSEYAEHNDAILLVIVPAAQAPEVASSRALRSAKEFDKDGTRTIGVISKIDQASSDQKSLAAVQALLLNQGPARASDIPWVALIGQSVSIATAQSGSVGSENSMETAWRAESESLKSILSGAPQSKLGRLALVDALSQQIRKRMKVRLPNLLSGLQGKSQVVQDELVRLGEQMVNGVEGTRALALELCREFEDKFLQHIGSGEGAGWKIVASFEGNFPNRIKQLPLDRHFDINNVKRIVLEADGYQPYLISPEKGLRSLIKGVLELAKEPSRLCVDEVHRVLIDIVSAAANGTPGLGRYPPFKREVVAIASAALDGFKNEAKKMVVALVDMERAFVPPQHFIRLVQRRMERQRREEEVKTRSSKKGHEAEQAVSNRASSPQTNSQQAGGSLKSMKEKPSKEEKEEKEGSGLKTAGAEGEITAGFLLKKSAKTNGWSRRWFVLNEKTGKLGYTKKQEERHFRGVITLEDCSIEEVADEEEPTPSKSSKDKKANGPDSGKGSSLVFKITSKVPYKTVLKAHSAVILKAESAADKVEWTNKIRNVIQPSKGGQTRGASSEGGLTLRQSLSDGSLDTMARKPADPEEELRWMSQEVRGYVEAVLNSLAANVPKAVVLCQVEKAKEDMLNQLYSSISAQSSAKIEELLQEDQNVKRRRERYQKQSSLLSKLTRQLSIHDNRAAAAGWSDSGAESSPKTSGSPGDEWRSAFDAAANGRADYRRSSSNGHSGHSSDPTQNGDINSGSNSSSRRTPNRLPPAPPQSSSGSRYF >KGN50092 pep chromosome:ASM407v2:5:5000938:5005570:1 gene:Csa_5G153070 transcript:KGN50092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTKHMQSNSSMVGRVSKSHKMQCKTVDTPSKDLQQPSPKVLVNASSKKLDSTASTRVACCRNQRFCTCKSCMEYSRHNEISLKLVQKNEASEPFSSKKFVGVADKQCKQLLDALGIFNSNKELFVNLLQDPNSLLIKRIEGSTDSRNRKQQMMTFFDSRLSENKIREVGEYEEPKYCQNLKPCDRLPAEDSDDSLSLERIVVLKPNSTSSLQAAVGTNYCSSLKSHSSGIKNGQSDKGTLFSFRQIKRKMKQAMRVGRKEGECLSTNGIPKETPVICRVPKDDGKQTFIEATGRSSYSNIQTDDKGISSSFQDSLGRDQEDKAFYSRNGDKTASTSESTYKKIVQSAVPSNLKRQKSKKHEGDKEVSRKTKAKPWGWVMCFSDDDILPSNKPGCDTAGRMRYSHLGNKKFIHEKKTKPQNDEERCCKTPEMVKVGASFAEAGREDDQLHASTTELNVSPVIFPEVDQDPMIEGSVKLVKDVATVQQERSNFCEASSRFDDSFNTSCCQSTNKFKGFGEKGNPELSKLNLPLEVQPSPFSVDTFSSSSLQFQTVEDPNGFCDRVVQPLPEPIHDQLMVDATSSNLAITPGTVEPSSGALPINFEEDQCSGLARLQEVLDPAIASFHCCGSTSQCILELLQVSKQNWNELSMDCHSSTWLQISFVDKVKMFSSQLCGDCVLLFDYFNEVLEDVFHCYVRCSSWLSSYKPHIQAPHKESAFYHEVMQHMDWSLLQQQPPQTLDHLCLRDLKSRTWIDYPTETEEVVTIIAESVLRELIIESVVYLGL >KGN50530 pep chromosome:ASM407v2:5:7920094:7921143:1 gene:Csa_5G180890 transcript:KGN50530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVHMEERRQSLTSSEWPIRGTILYHHSEQSETKTMTELKTEPTETIPVIYYLTRHGQLQHPHLLEVPLSSSQGLLLKDVIKRLDILRGEGFSRLYSWSSKRQYKNGYVWQDLSDDDLIHPSQGREYILKGSEVQLLEGSSSFRSCETSSSFSDSKFSSETNNSSTDSKVGVGVNKSNQSWNSVEDICRNVVYKARISGEGGMNAGTQTGERRRRWTDSVAAEECGGEGVSKSGIGKTERMKSVDCDGAGDLRDRTGRKSNRWKASTVLMQLMKCNIQN >KGN50298 pep chromosome:ASM407v2:5:6363554:6367036:-1 gene:Csa_5G166420 transcript:KGN50298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQGVVSASCPPYITIPHYHHKNFKTFKSSKVPNALTLRSRFIHSRRPPICCTQTNPWEPAPVTFAPNNEEDETFLKKTDNIFESLNADRTTEVSEVETKELLEATNQPEVVHLQIFKWPMWFLGPSLLLTTGMAPTLWLPMSSVFLGPNVASLLSLIGLDCIYNLGAMLFLLMADACARPKQPIKPMSSEAPFSYQFWNMLANVFGFMIPLVMFYGSESGLIQPHLPFISLAVLLGPYILLLSVQILTEMLIWHWRSPVWLVTPIVYEGYRVLQLMRGLKLGAELSAPAWMMHTMRGLVCWWVLILGIQLMRVAWFAGIAASLSHKQEIVADTANHHVKVNLG >KGN51888 pep chromosome:ASM407v2:5:22507497:22516303:1 gene:Csa_5G604330 transcript:KGN51888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIALDPLLYHCAKGKHRFHHRPRFNMLRPCSFTYCPNKLLCHGRKSFVHYNSYRPPTIKATTTNAPTFQSTDVLFNETFPLKRNEKLEGRISVRLAQGKDHNNWELTVGCNLAGKWILHWGVSLIDDSGSEWDQPPKEMIPPGSITIKDYAIETPLKKSSSSSSGDVHEVKIDLAPDKTIAAINFVLKDEETGIWYQHKGRDFKVPLLDYCGEDGNKVGTKKGLGLWPGALGQLSNLLVKAETNSKDQGSSSESGDTKEEKKSLEGFYKELPIVKEIAVDNSISVSVRKCSETTKYLLYLESDLPGDVIVHWGACRDDTKKWEIPAAPHPPETTVFKNKALRTLLQPKEGGKGCSGVFTIEEDFGGFLFVLKQKENSWLNYKGDDFYIPFPSSGNLSNQQRKSKLKDTRASKISGEESEGVSVTAYTDGIIKEIRNLVTDISSQKTKKKKTKEAQESILQEIEKLAAEAYSIFRSSAPTFTEEIIETPKPVEPPVRISSGTGSGFEILCQGFNWESHKSGRWYMELKEKAAELSSLGFTVLWLPPPTESVSPEGYMPKDLYNLNSRYGNIDELKDVVKTFHDVGIKVLGDAVLNHRCAHFKNQNGIWNIFGGRLNWDDRAVVSDDPHFQGRGNKSSGDNFHAAPNIDHSQDFVRNDIKEWLLWLRKEIGYDGWRLDFVRGFWGGYVKDYLDASEPYFAVGEYWDSLSYTYGEMDHNQDAHRQRIVDWINATNGTAGAFDVTTKGILHSALDRCEYWRLSDEKGKPPGVVGWWPSRAVTFIENHDTGSTQGHWRFPGGKEMQGYAYLLTHPGTPSVFYDHIFSHYKSEIAALISLRKRNKVNCRSVVKIVKAERDVYAAIIDETVAVKIGPGNFEPPSGSNGWSLVIEGKDYKVWEVSK >KGN50415 pep chromosome:ASM407v2:5:7109874:7113980:-1 gene:Csa_5G173430 transcript:KGN50415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHSDTIPLHQSSQSDIDEIENLINASVQTGPSTVLPARPPSPPRASIPVSSSPFLQSNLPPLPPKSTIQKPPTVFPTPPPLPVSGNGRPDLSASGFGSPPNTLTEPVWDTVKRDLSRIVSNLKLVVFPNPFREDPGKALRDWDLWGPFFFIVFLGLTLSWSASVKKSEVFAVAFALLAAGAVILTLNVLLLGGHIIFFQSLSLLGYCLFPLDIGALICMLKDNVVVKIVVVCVTLAWSSWAAYPFMSSAVNPRRKALALYPVFLMYVSVGFLIIAID >KGN51312 pep chromosome:ASM407v2:5:18078440:18089656:-1 gene:Csa_5G517110 transcript:KGN51312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYPWTILFIFISLALCFPAALLSTLIIEAYKRRFNREHLGAPAIFEDPNSLKRVPCPSIFDPAEKYMSLIVPAFNEEYRLQGALEETINYLQQRAAKDKSFSYEVIIIDDGSADGTKGIAFNFVRKYSVENVRVILLGRNHGKGEAIRKGMLHSRGEILLMLDADGATKITDLEKLENQIHAVVKKETSRDSSVRVSDIPITAFGSRAHLEEKALATRKWYRNFLMKGFHLVVLLTAGPGIRDTQCGFKMFTRSAARKLFINIRLKRWCFDVELVYLSKYFGIPMIEVSVNWSEIPGSKLSPQSILNMLWELALMSIGYKTGLWKIFN >KGN50340 pep chromosome:ASM407v2:5:6608342:6610543:-1 gene:Csa_5G168780 transcript:KGN50340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHNNVIPSGHFRKHWQNYVRTWFNQPARKTRRRNARQEKAVKAFPRPTAGPLRPIVHGQTLKYNMKVRAGRGFSLEELKAAGIPKKYAPTIGIAVDHRRRNRSLESLQANVQRLKTYKAKVVVFPRRARKFKAGDSTPEELATATQVQGSYMPIGLEKPSVELVKITEDMKSFKAYDKLRVERANARHVGARLKKAAEAEKEEKK >KGN50570 pep chromosome:ASM407v2:5:8279549:8280528:-1 gene:Csa_5G182750 transcript:KGN50570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRWWAENIPTTTDSSTSNPYSTPLKQSLEVADEENNSGSHERAEPGTSSSTRRPRGRPPGSKNKPKPPVVVTKESPDALRSHVLEIGSGSDIVESISNFAQRRQRGVSVLGGNGVVANVTLRHPGASGGVITLQGRFDILSLSGAFLPAPAPPGATGLTVYLAGGQGQVVGGIVVGALVATGPVIVIAATFTNATFERLPLEDEEVAAGDKSGTSQNNSTSQSMGEQQQQQQQPSMGVYNMTPNLVTNGQVSSHEMIWSLPRAPPPF >KGN50430 pep chromosome:ASM407v2:5:7207804:7211062:1 gene:Csa_5G174560 transcript:KGN50430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSKISKGAPAAAAAAAAGGINGESRGMEWEMRPGGMLVQKRTESEKNSVSAPNVRVRVKYGSVYHEISISSQATFGELKKMLVGATGLHQEDQKLIYKEKERDSKAFLDVCGVKDRSKILLQEDPISQEKRLVELRKNAKLEKASKSISQISLEVDRLAGQVSALESVIGKGGKVAEKTVLNLIEMLMDQLLKLDGIMGDGDVKLQRKMQVRRVQKYVETLDILKIKNENQTPTQVQQRSSNGLNNQAQPQEKANGVHQSQPSMGDSSLVPERKSTSETVVTTRWEIFDAMPPLVPTSSSNAVPAAAANNNNNHHVQPKFNWEFFD >KGN50881 pep chromosome:ASM407v2:5:12638617:12641984:-1 gene:Csa_5G311820 transcript:KGN50881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKLDGVVEEEETRKVQFDASEIEYVSYGGEHHLPLIMNLVDQELSEPYSIFTYRYFVYLWPQLSFLAFHRGKCVGTVVCKMGEHRGTFRGYIAMLVVIKPYRGEGIASELVTRSIKVMMESGCDEVTLEAEVTNKGALALYGRLGFIRAKRLFHYYLNGVDAFRLKLLFPRMESHPSLPLMANAADNQRDNDGL >KGN51143 pep chromosome:ASM407v2:5:16388678:16389106:-1 gene:Csa_5G466890 transcript:KGN51143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERQSIIWSLRRWVLEDMFFRIWDGAIQKIIAAANGLHAWKMLEDLTNHIDLVLTEVVMPCCKSEESLTIASTLLVC >KGN51868 pep chromosome:ASM407v2:5:22395271:22396992:1 gene:Csa_5G604130 transcript:KGN51868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFFRNAKTVRLRSHHDKYLVADDDQDTVNQDRNGSSKTARWSVEFVSGANSESFIRLKSCYGKYLTASNQPFLLGMTGRKVLQTLPRRLDSSVEWEPVREGSQVKFKTRYGNFLRANGGLPPWRNSVTHDIPNRTATQDWILWDIDVVEIQVSMNHRAPTIDHQDSLDFNPSSPPSTSGKPTHYSRLESTDSTVSVPPKSEGRTIYYHVADESGDVDEDTVEGSSFTFKGNGVEELTRKLKEETGIEGIIVCTRNPLNGNLYPLRLQLPPNNAIMHVVAVPETSKLGKDLGKQRLL >KGN50259 pep chromosome:ASM407v2:5:6150199:6151940:1 gene:Csa_5G162080 transcript:KGN50259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHQTFNNAISPLLRKCKSVQHLKQIHAHLLKTYLSQSPYAIAPLLEVAATSGCDRDSFFSYACGIFKNLQQRNIFMYNSMIRGYFLSRFPKQAILCYLDLMDRGFLANKYTFPPLIKACALVYRELKRIGYLVHAHVIVLGYENDAFVVSALVEFYSLFDLKVARVLFDKSSGKDVVVWTVMVDGYGKVGDIESARVLFDEMPERNVISWSAMMAAYSRVSDFREVLCLFRQMQKKNIVPNDSVIASVLTACAHLGAITQGLWMHSYAKRYGLDSNPILATALVDMYSKCGYIESALEVFEGISNKDAGAWNAMISGFAMTGNVVKSLELFDKMIASGTQATEATFVSILAACTHAKMVERGLEFFDQMYPVYRVQPQFEHYACVVDLMARAGMVEDAEKFVEEKMGGFSNVDANVWGAILSACRQYRNIEIGDRIWTKLSALGLLDGGTQVLSYNIFSEAGREMAAKEVRKKFSEARSKKIPGCSVIVVDGAVQEFLAGDLSHPQVQHICEMLGFLSKMVKWETVK >KGN50387 pep chromosome:ASM407v2:5:6864081:6864512:-1 gene:Csa_5G171690 transcript:KGN50387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQRPGWGGSLYILLEFVKVMGRQPCCDKLGVKKGPWTAEEDKKLVTFILTYGHCCWRAVPKLAGLRRCGKSCRLRWTNYLRPDLKRGLLDETEEQLVIDLHAHLGNR >KGN50423 pep chromosome:ASM407v2:5:7134570:7135149:-1 gene:Csa_5G173500 transcript:KGN50423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPTISSEDLYRIFKKLDKNGDGLICLQELKWLFDRVGVELTMEELESFLEKPSLDFDQFLFCYKSISKQNKGECEEEDVLGCLEEDDHEEDMEMICMAFKVFEMSDDDGFISCDGLENVLARLNEYDQRLQQSERQRKVEVQALKHQIMELENRFEDRFKTIMTEMHKRHVSS >KGN50876 pep chromosome:ASM407v2:5:12574515:12574780:-1 gene:Csa_5G310300 transcript:KGN50876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLREARLDEGFNKGIYLRAMQKEMKSEAELCGLRWRKTSVLLSSQGGRK >KGN51466 pep chromosome:ASM407v2:5:19547809:19551966:-1 gene:Csa_5G561260 transcript:KGN51466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTASKTAMASLSFTHFRPFSSASMPSLSPSFSSRLVPHPPDLIKWVRREGGFVHHALNIAPAPGAHTGLGLLASHHIPKGSELIILPHNLPLRFESPEAGDSDEADSVLVNLARQVPEELWSMKLGLKLLKERAKVGSFWWAYIGNLPEVFTVPIFFPGDDIKNLQYAPLLYQVNKRCRFLLDFEKEVKRTLDSIKPENHPFGGQTVDASSLGWAMAAVSSRAFRLYSKNLTDSTPTSVPMMLPLIDMCNHSFNSNARIIQEQDASMKLKVKVVAETEIEENAPLTLNYGCLDNDLFLLDYGFVVPSNQYDYIELKYDEALLEAASIVAGISSENFSSPAPWQRLILTKLNLHGEAALLKVSIGGSEIVDGRLLAALRVLLSVDEEMVQKHDLSVLKSLSAEAPLGIANEVAALRTVIALCVIALGHFPTKIMDDETLLKKCESETSKLAIQFRLQKKSVIIDVMSNLTRRVKLLSSKAVSQG >KGN52412 pep chromosome:ASM407v2:5:25870100:25871264:-1 gene:Csa_5G632110 transcript:KGN52412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPKALQIIFPFTLLFLSLFPNPNTSSPIILKHSSQNMNSSNSLMFLKNLQGCHLGDTKQGIHQIKKYLQRFGYITTNIQKHSNPIFDDTFDHILESALKTYQTNHNLAPSGILDSNTIAQIAMPRCGVQDVIKNKKTKKRNQNFTNNGHTHFHKVSHFTFFEGNLKWPSSKLHLSYGFLPNYPIDAIKPVSRAFSKWSLNTHFKFSHVADYRKADIKISFERGEHGDNAPFDGVGGVLAHAYAPTDGRLHFDGDDAWSVGAISGYFDVETVALHEIGHILGLQHSTIEEAIMFPSIPEGVTKGLHGDDIAGIKALYRV >KGN50777 pep chromosome:ASM407v2:5:10699564:10716809:1 gene:Csa_5G257690 transcript:KGN50777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNSCFFPFIFASALVFSLFLDLSFAHGSLGHHQCTHSHGHHQHAHHHHHDDDVSVTSKLLPEELAEEEDMRLYGFGRPYVEHDHESVGSSDLSGLGLWIRALGCSLLISMASLICLILLPVIFVRGKPSKAVVDSLALFGAGAMLGDAFLHQLPHAFGGGKHSHSHADHHGHSHSEDEHSHSHAHTLEDLSVGISVLAGIVLFLLVEKIVRYVEDNSEGGNDWSHSHHHHHKNKKLKDDSDSHDTTDKKGRAKLLDGVPNDSKEDQGTPQKSVPRKRNANSSSRDDKEDLVAANGPTADVNSSQEFPAKTPSNLVFGYLNLFSDGVHNFTDGMALGSAFLLYGSVGGWSRTVFLLAHELPQEIGDFGILVRSGFSVAKALFFNFLSALVALAGTAIALLMGTDPGHSSLIEGFTAGGFIYIAVAGVLAEMNSSSKSTIKTTVFQLTSLVLGMAVALGISLFE >KGN52452 pep chromosome:ASM407v2:5:26230596:26237162:-1 gene:Csa_5G636450 transcript:KGN52452 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multidrug resistance protein 1, 2 MSYKNVPISLYKNPFSPRTSSFKSPLLQPLTTALTLTLNFISLSSSPVFSGQPTLLTLKHSLNHYSSTIFPSHLFSILFIPNAFFLTHSSSPFILCFCSLFPIGFFFYDPPMAEPVTEPKALPEPEKKKEQSLPFHQLFSFADKYDWFLMILGSFGAIIHGSSMPVFFLLFGEMVNGFGKNQSNFHKMTAEVSKYALYFVYLGLIVCFSSYAEIACWMYTGERQVSTLRKKYLEAVLKQDVGFFDTDARTGDVVFSVSTDTLLVQDAISEKVGNFIHYLSTFLAGLVVGFVSAWRLALLSIAVIPGIAFAGGLYAYTLTGLTSKSRESYANAGIIAEQAIAQVRTVYSYVGESKALNSYSDSIQNTLKIGYKAGMAKGLGLGCTYGIACMSWALVFWYAGVFIRNGQTDGGKAFTAIFSAIVGGMSLGQSFSNLGAFSKGKAAGYKLMEIIKQKPTIIQDPLDGKCLGEVNGNIEFKDVTFSYPSRPDVMIFRDFSIFFPAGKTVAVVGGSGSGKSTVVSLIERFYDPNQGQVLLDNVDIKTLQLKWLRDQIGLVNQEPALFATTIYENILYGKPDATTAEVEAAAAAANAHSFITLLPNGYDTQVGERGLQLSGGQKQRIAIARAMLKNPKILLLDEATSALDAGSESIVQEALDRLMVGRTTVVVAHRLSTIRNVDSIAVIQQGQVVETGTHDELITKSGAYSSLIRFQEMVRNREFSNPSTRRTRSSRLSHSLSTKSLSLRSGSLRNLSYSYSTGADGRIEMVSNAETDRKNPAPDGYFLRLLKLNGPEWPYSIMGAVGSVLSGFISPTFAIVMSNMIEVFYYRNSSAMERKIKEFVFIYIGIGVYAVVAYLIQHYFFTIMGENLTTRVRRMMLAAILRNEVGWFDEEEHNSSLVAARLATDAADVKSAIAERISVILQNMTSLFTSFIVAFIVEWRVSLLILAAFPLLVLANMAQQLSLKGFAGDTAKAHAKTSMIAGEGVSNIRTVAAFNAQDKILSLFCHELRIPQRQSLRRSQTAGILFGISQLALYASEALVLWYGVHLVSNGGSTFSKVIKVFVVLVVTANSVAETVSLAPEIVRGGESIGSVFSILDRPTRIDPDDPEAETVETLRGEIELRHVDFAYPSRPDVMVFKDLNLRIRAGQSQALVGASGSGKSSVIALIERFYDPLTGKVMIDGKDIRRLNLQSLRLKIGLVQQEPALFAASIFDNIAYGKDGATESEVIEAARAANVHGFVSGLPDGYNTPVGERGVQLSGGQKQRIAIARAVLKDPTILLLDEATSALDAESECVLQEALERLMRGRTTVVVAHRLSTIRSVDSIGVVQDGRIVEQGSHNELLSRAEGAYSRLLQLQHQHI >KGN50171 pep chromosome:ASM407v2:5:5547227:5547612:1 gene:Csa_5G157300 transcript:KGN50171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGLKAERGRRRSIVVHRSLLVVTLDACELPSAPRRPSLLCFSHCRRHPPLRFYCCPHPLLARSD >KGN49735 pep chromosome:ASM407v2:5:2719215:2721335:1 gene:Csa_5G092930 transcript:KGN49735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKPSSSSSSTPLLPPPPPPPPPNSDQYSITTKRKRRPAGTPDPDAEVVSLSPKTLLESDRYVCEICNQGFQRDQNLQMHRRRHKVPWKLVKRAEAESSSSNVVVKKKVFVCPEPTCLHHHPCHALGDLVGIKKHFRRKHSNQKQWVCDKCSKAYAVHSDFKAHLKTCGTRGHSCDCGRVFSRVESFIEHQDTCNLRHMRSDQLQSAVVRPPPPPPLLLQPYNSRSVSYTGASTSNDHAFQMASTPLRGFPILSSNSDRNININLPDRHDLELHLSSPSSAFLKLSIGSSNKEPNDDRQLELAIAEKAYADEARREAKRQIEIAEVEFANAKMIRQQAQAELEKARILKEEASRKMNSTIMEITCQTCRLHFHVSSAMPEETSLAISYMSSATTEGDGE >KGN50176 pep chromosome:ASM407v2:5:5564913:5565248:1 gene:Csa_5G157350 transcript:KGN50176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILEKEGFEMCSSIKGPAMGGRLWGAEWGLKDTRGWVWLGTLTACGEKPAQYGKCLETFMWRCLQWQYILFRAS >KGN50646 pep chromosome:ASM407v2:5:8897934:8903056:1 gene:Csa_5G201310 transcript:KGN50646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPAKRSTEEAMVSSFNQTIQQEDYREEEQRGEKDESMGGFKSFLWHGGSVYDAWFSCASNQVAQVLLTLPYSFSQLGMVSGIIFQIFYGIVGSWTAYLISVLYIEYRGRKEKENVSFKNHVIQWFEVLDGLLGPYWKAVGLAFNCTFLLFGTVIQLIACASNIYYINDKLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLGMTTYTAWYLTVAALAHGQVEGVKHSAPTELVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKYIYLVATLYVFTLTLPSAAAVYWAFGDQLLTHSNAFSLLPRSRWRDAGVILMLIHQFITFGFACTPLYFVWEKVIGMHETKSLFLRAIVRLPVVIPIWFLAIIFPFFGPINSAVGALLVSFTVYIIPSLAHMLTYRSSSARHNASEKPPVIVARSWTVMYVINTFIVVWVLVVGFGFGGWASMTNFIKQVDTFGLFAKCYQCPSKTPPVPTAAAHR >KGN51955 pep chromosome:ASM407v2:5:22873843:22878963:-1 gene:Csa_5G606460 transcript:KGN51955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKHGWQLPYHPLQVVAVAVFLALGFAFYVFFAPFVGKKIFQYVMIGIYTPLITSVFGLYIWCAAADPADSGVFKSKKYVNIPDEGKCSHKKCSKLGGDSVSFTHDPNAASVEEKSVDKDTTGADANSKDLLQTQKDSAPSKKLSFLSLACFPCAYVCNCLSSKEESSEQHMSEDGMFYCSLCEVEVFKYSKHCRVCDKCVDRFDHHCRWLNNCIGRKNYRQFFTLMVTSLLLLIVQWSSGILVLICCFVEKKRFSVEISSKLGSSFSLAPFIIVVAVCTILAMIATLPLAQLFFFHILLIKKGITTYDYIIALREQEQEQQGVGGQQSPQMSVVSSLTGLSSASSFSTLHRGAWCTPPRLFLEDQFDVIPPETGSVSSLGKRTVSEEATKKKNPAAVRISPWTLARLNAEEVSKAAAEARKKSKILQPVVRSGTTFERETDSGFGSSGHRMVSRPENNRRRGNKRVRLPADLPMQRLTNIPAKAVEKGFSGTSTSLGPLQLEARSAFQTSRAMSSSTMVASSPESSLDSPDIHPFRISSSGAEESKRLTGLSAANAAAQKGLPLSRSTSDGYEASGGEDSDRVPSRIVQRPMSWNNVLYGSDQDERLAQLQASSSNQINSKHTRL >KGN50832 pep chromosome:ASM407v2:5:11747191:11752950:-1 gene:Csa_5G283990 transcript:KGN50832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDIEQPFSFNFQVESTISDSISFGRFEKESLSWERRSSFSHNRYLEEVEKFSKPGSVNEKKAYFEAHFKKKGGLLGQNSMESHTIENDASEQVNQEADVEIINMENHDGHFDDSSVSSQDHGDHLVTECEEMPKHGISAPNPKFENSLSSANAKVNQQSDTAESKFSALVIHKPEVELRPDPKSYGMSANMQSESMEAAPKTELAKKAGKNCSGSQQTLTPKMISRRETKVSSSSQANIPQSRRNVSSGILKSNKSKGELSQTTCTEAHPSKTAIARANPVRRTPKVEDSKVLKGRPLLENRRYSGEKGQTCGVSYSPSSKLESRSYLKTTTRQSRTVNPVVQDTGKNSSLSNRSRSLAERRNQGKHDSEMKKLQKNVDIRSKAIPSSYGAVRPESDGKKAVFSGAKVRNSSRKSTAVASSSHSQLRKDIVSTVSEAQNTSKNISHQAAVHVEASDGSLSSSANKERRQDAVLESENRRRKDGGKEKEPSVQKSRISENYKATSKQGSEGRQKVGTQRSMNLMGRSRGVGGSGTGRFAVGVTS >KGN52189 pep chromosome:ASM407v2:5:24210991:24213589:1 gene:Csa_5G614650 transcript:KGN52189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSSSFFAAASQKAGPAARRQILTLTEAAAARIRQLLQQRQRPFLRLGVKARGCNGLSYTLNYADEKGKFDELVEDQNVKILIEPKALMHVIGTKMDFVDDKLRSEFIFINPNSKGQCGCGESFMTTSSAEANKK >KGN51099 pep chromosome:ASM407v2:5:15909315:15912935:1 gene:Csa_5G440130 transcript:KGN51099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKKGWFYLVKKLFISESQPKPEKKQKRWKWVFGKMRNKRLATLTAPLPPKATTTTTSRLEEEEEEEERKQALSVAIASTAAAEAAVAAAKAAVEVVWLTGTTQSHQQEAAEEVFKPLKKAPPADLLKREREIHEFAAITIQTAFRGFLARKALRALKGIVRLQAIIRGRAVRRQAIATLKCLQSIVSIQSQVCSNRLHLPQNTFNSPETRQFQSLKDKIIKLDSNDQRWDDSLLSKEEADAVFLSRKEAVIRRERVKEYLFAHRRSAESERKKVRGRWRYWLDQWVDTQLSKSKELEDLDSIFTSNPKYKETTNERFKPNPTTKNMDRTTEHPPNQSPSQKPALKSPFHHKKQRSLGGGIDSNSSFSSSPLVPTYMAATESAKAKSRSLSSPKLRPAGGLDTCSDGNSPCKTKQLCLVSSMVSEVGISSGRRGFHQQQRSPGLKGLPGPTRSSRTLIKDLSIDSEHSLPNWDRQSAFQ >KGN50764 pep chromosome:ASM407v2:5:10438800:10442228:-1 gene:Csa_5G241140 transcript:KGN50764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKLLQDLCNKEAIFSKFSDSLPANGGNGTYSYSNNSCYQRLFANVEREKIDQEIKEKFEIVKLSSSSPSNTIVLADLGCAAGPNTFGTMQHIVKSMKETFQSLCPISVLPEFQVFFNDQVTNDFNTLFQSLPVERDYFAAGVAGSFHQRLFPRASVQFVHSSYAVHWLSRVPEEIRDERSPAWNKGHIHYLGAAEIVASAYAGQFAKDMGDFLRARAEEMVQGGIMVIITSGNPDGISASHLPSGLLYKVLASTLIDMSKEGLVSEAKVDSFNLPIYITCPSEMRQLIEDDGNFSIERMELTAPTTWLQGAIDTREWINHIRAAMEGIFTQHFGHNLTFIEQLFERVIQKLNHHYEEINSKLHEKVQLFVVLKRL >KGN50345 pep chromosome:ASM407v2:5:6631767:6647709:-1 gene:Csa_5G168820 transcript:KGN50345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDDGNPGITDEERARISHNFRAAKALLARKRPRLFHSHHTISQCSYTTHSANPLPHSSRVGDFNANEATVRDNDAKRVPLGEISVNTPLSNGLGLDCLKTPVKTPTCSGIRDSFSVPSILDDDFDESALEEIDALLEQSSSGRSVRPASDSSFHVTNQDHGSYNGGLSADFQSVIGGGSIEAKDLLCSLDASEPRAELIISDSAMKKIGTMPEEYSKYLLSLNDRQREAACGDISIPLMILAGPGSGKTSTMVGRVLMLLNEGISPSKILAMTFTTAAASEMRDRVGVVAGKKMAKELVISTFHSFSLQLCRLHAEKLERTSDFSIYGHGQQRRAIIEAVRLLENEKSKQKLDSNILGDAFKDVAPMQFKDKSKKWQTFVPKAKACGTTSAELLTKGDEAGATVLDNYNDILKSCNALDYHDLISCSLKLLTDFPEVYKECQDSWKAIIVDEFQDTSSMQYKLLQVLASHQQITIVGDDDQSIFSFNGADISGFDSFRKDFPTYKEIRLNKNYRSTGCIIDAASSLIRNNKKRCPLKSVQTDNLTGSKITIKECNNEDAQCAFVIDKIMESTSNCSASKGFGSFAVLYRRQISGKIFQTAFRERKIPFNVHGVAFYRKKVVKTILALLKTTFPDCDDGAYHQAFKALMPFEKEDKKRIINHIDKISTVRKCRFIDAARDVFSSKISGTLKRSQLNQGRKVLSTLEMISRLVLREQSISTVITSVSNMLPEKYLLEQQAVTNVDGGKLLNEDSDIRSVLQYLLDDVSDFLSSQSTLKEEKKEIVENEPGCHSSLKAFIDHISEREKANFCARRLNNKSSVTLTTIHQSKGLEWDIVFIIKANESEIPLLHESRGITMENGNSIEEERRLLYVAMTRARHKLFILYVLMDSDWQILQPSRFLKEIPDHVREIQAEVSIQHLQKKHHDALEQNAYMHLEKSISGHLDVASNDPANNQIDIRDFEEPIEITNGNNFLKRFDVDNRAVISHLFHQWNKKKAFPDPKRLIDKVGFVIEERLRVKKCKTKEVLRSLKSSLTSNEALQYAEYVLRWEQIPADKRALLMQEKQEHFQKLRIENAMGSSAATSKQISYLRNLGCTITPTSRLHASSLIEQYKSL >KGN51199 pep chromosome:ASM407v2:5:17108283:17108945:-1 gene:Csa_5G488750 transcript:KGN51199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQFLTFQNTAGPNEGQAIALLDQATHTAYYKCVFLAQSKPSLNSLSGFIFQNCNVTVSPEIAPSKDNVTVFLGRPWRMYSMVVFIDSFLDNVVQPKGWLEWPGVPENLLYYAEYNNSGGGANTSQRVNWPGYHALNNAKEVATFTVETFINGTQWLPQTGIPFRAGF >KGN49552 pep chromosome:ASM407v2:5:22789:29335:1 gene:Csa_5G000010 transcript:KGN49552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISKSSRLLVFALFIVVGCVAGLDEDEEKNHYIVFLENKPVLNEVDVVETHLNLLMSVKKSHAEASESMVYSYTKSFNAFAAKLSDDEAKLLSTRKDVHHVIPNKYRKLQTTRSWDFIGLSSNARRSTKHESDIIVGLFDTGITPTADSFKDDGFGPPPKKWKGTCHHFANFTACNKKLIGARYFKLDGNPDPSDILSPVDTDGHGTHTSSTATGNAIAGASLSGLAEGTARGGVPSARVAMYKVCWTSSGCSDMDILAAFDAAIQDGVDVISISIGGGGFNNYSDDSISIGAFHAMKKGIITVTSAGNGGPTAGSVVNHAPWIVTVAASSIDRKFISPLELGNGKNISGVGINIFNPKQKMYPLVSGGDVARNSESKDTASFCLEGTLDPTKVKGSLVFCKLLTWGADSVIKSIGANGVIIQSDEFLDNADIFMAPATMVSSLVGNIIYTYIKSTRTPTAVIYKTKQLKAKAPMVASFSSRGPNPGSHRILKPDIAAPGVDILAAYTPLKSLTGQKGDTQYSKFTLMSGTSMACPHVAAAAAYVKSFHPLWSPAAIRSALLTTATPISRRLNPEGEFAYGAGNLNPSRAISPGLIYDLNEISYIQFLCSEGYTGSSIAVLSGTKSINCSNLIPGQGHDSLNYPTFQLSLKSTNQPMTTTFRRRVTNVGHPISVYNATINAPPGVTITVTPPTLSFSRLLQKRSFKVVVKASPLPSAKMVSGSLAWVGAQHVVRSPIVVYSP >KGN50725 pep chromosome:ASM407v2:5:9950149:9951577:-1 gene:Csa_5G220390 transcript:KGN50725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAICPSHGGFPSQLGQGWKSVRGRPDRRSSNLRVRAEKGEERESGGGENKKSLFSSVTEALDFSAVRSTRDAELLDDARQATKAGGRMSREQYGALRRKIGGTYKDFFKSYIEVDGQYVEEGWVDKTCKVCKKDTRGEARQVDKLGRYVHVACLEKSNSSPGNFFSKFFSK >KGN52234 pep chromosome:ASM407v2:5:24536724:24550118:-1 gene:Csa_5G622510 transcript:KGN52234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLFSSSLHFFPPSAFPTSLCYQLHHPFAAFSSSPPAAGTSLSPGFRHNFRTGPLYCSPSSSMSLEESTSTSQLEDSLLYSRAFWVSKHVIAWNVEVESGSCYLFASKMATLRVEDGVVEGYDVKIRLEKDRSQLPENVIKKFPHIQNYCPFTVPPASDVEALLKCQLAVATFNSYGECKNITCLQLPGVLDDLFSYEGPLGAIYSKEAVSLYLWAPTAQAVRAQIFRDPVGGMPFEVIPLEEVDGIWRTKGPKSWKGCYYEYEVTVYHPSTLQVEKCFTTDPYSRGVSSDGRRTLFVDLFSDDLIPKGWDKLADEKPPVDSFSDISIYELHVRDFSISDQSVHPDLRGGYMAFTLQDSAGINHLKKLSNAGLSHVHLLPTFQFGGVDDDKTKWKFVDTELLENLPPDSAEQQSLIADIQNSDGYNWGYNPIMWGVPKGSYASDSNGPCRLVEFRKMVQALNQIGLRVVLDVVYNHLHGHGPFDPNSVLDKIVPGYYLRRNTDGFIENSTCVNNTASEHFMVERMIVDDILHWVVDYKVDGFRFDLMGHLMKSTMLKAKDALRGLTKEKNGVDGSSIYIYGEGWDFGEVAKNGRGVNASQFNLFGTGIGSFNDRVRDAILGGSPFGHPLQQGFVTGLLLEPNDHDHGTHEVAESMLAVSKDHIEVAMAANLRDYILTNFEGKEVKGLEVLTHDRSPVAYASCPTETVNYVSAHDNETLFDIVSLKTPRNITVDDRCRINHLATSIIALSQGIPFFHCGDELLRSKSMDRDSYNSGDWFNRLDFTYMTNNWGVGLPLKEKNQYNWPLIKPRLADPSFKPSKSHILAAVENFTNLLQIRYSSPLFRLKTSNAIQKRVRFHNSGTSLIPGLIVMSIEDGHNGIPGLSQLDSTYSYIVVVVNARPTEISFPCPALRAKTLQLHPIQLMSTDPVVKNSTYEPSTGCFMVPPRTTSVFVEPRMHE >KGN51844 pep chromosome:ASM407v2:5:22259590:22259883:-1 gene:Csa_5G603900 transcript:KGN51844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSNPLGSDLEINAILAISRLQASLSDTRFGEREEGRVLLVNILDYVHPRWNQERGKRSELTEVNEGGYDAVKSLSREITAHFRKRERQDFNPKVK >KGN50117 pep chromosome:ASM407v2:5:5190323:5191374:1 gene:Csa_5G154790 transcript:KGN50117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVYPQTALSPSPSSSSSPSSSSLCSETETETFTIWMKSLIYHTNGCTIFDSNGDIVYRVDNYDRKCSSEVFLMDLRGKVLFTIRKKKFSILGGWEGYRWMESERSKKPSFGVKKLYRSGSRKRNQSAVCEINVGFERFSLVKMDGKLAFRIININGEVVAEAKRKVSWNGILLGDDVLSLNANSQIETSLVMALVTVYGLIRRQM >KGN52019 pep chromosome:ASM407v2:5:23223689:23225320:1 gene:Csa_5G608070 transcript:KGN52019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRERGKALEVYSNDMDFYSSASEFPCKKHPSSSSVGICADCLKDRLIKLVCSDCGEQRLSSCSCSEISSKRNSCTVEVGSVGRVSFLIENERNGVSLLGPIKPKIEKREEVVLLERSSSSCVEIKKSGFWRIGKFFRKKREKGCERSSVCGFDEKSDICMVDYMGVSRSRSLCSFRGNGFFGSEDGGDMVVSGGRSSISGARTSSVNGGLVCDSARRSGFSETEPRKSGFESDHRECGNYDSDHNGFSLANRRVFSLKESDFNGMDESGFIDFKLDFTSESKQDISVPKMGFGMGLGLLSNPNSTFGSTRAFDMAAHECSRGLYCGTAGEGIIGNGAGGGGGSCRITVSDRGIKKGRKSLKAWKWIFKHPPNWTNATARKKEEELMSKT >KGN51636 pep chromosome:ASM407v2:5:20972694:20975947:1 gene:Csa_5G586580 transcript:KGN51636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEPPSWQEGMSSDNVKGLVLALSSSFFIGGSFIVKKKGLKKAGASGIRAGAGGFTYLYEPLWWLGMITMIVGEIANFVAYAFAPALLVTPLGALSIIISAVLAHIILGEKLHIFGVLGCILCVVGSITIVLHAPQEREIESVTEVWQMAMEPAFLLYATLVITSAIILIFHFIPQYGQTHIMVYIGVCSLLGSLSVMGVKALGIAMKLTISGVNQFVYPQTWLFAIIVTTFLLTQMNYLNKALDTFNTAVVSPIYYVMFTSLTILASVIMFKDWDRQSPSQVITELCGFVTILSGTFLLHKTKDMVDGMLLILFY >KGN50178 pep chromosome:ASM407v2:5:5570152:5571267:-1 gene:Csa_5G157370 transcript:KGN50178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWRSGCCKVLAIVAILLPCVLGKEYVVGDEHGWSINFDYQAWAQGKLFFVGDSLIFNYQQERHNVFKVNGTAFKECTPPANVPPLTTGSDRIQLKSAGKKWYICGIGFHCTAGQRLAITVLDKGAGVPSPSPSPRLLPTPPASLPTNSTNAPPPAPSTATKAAGFVFLMVFTILLAGIL >KGN51027 pep chromosome:ASM407v2:5:14793575:14802647:-1 gene:Csa_5G409690 transcript:KGN51027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSGGREKEEEAGTHRRSDSDGEVEYRVESVRERISSSRGSRFNLIQRQLRIDQSRRRFSRENLINGIKCLVILPDSRWYRAWTKFILIWAVYSSFFTPMEFGFFRGLPENLFILDIVGQIAFLFDIVFQFFLAYRDKQTYRMVYKRSPIALKYLKSTFVTDLLSCMPWDILYKACGRREEVRYLLWIRLFRVRKVDAFFKTMEKDIRINYMFTRIVKLLVVELYCTHTAACIFYYLATTLPASEEGYTWIGSLKLGDYSYSHFREIDLWKRYTTSLYFAIVTMATVGYGDVHAVNLREMIFIMIYVSFDMVLGAYLIGNMTALIVKGSKTVKFRDKMADVMKYMNRNRLSREIRDQIKGHLRLQYESSYTEATVLQDIPISIRAKISQTLYLPYVQNVSLFRGCSPEFINQIVIRLHEEFFLPGEVIMEQGNVVDQLYFVCHGVLEELGIGQDSSEETIDLLQPNSSFGEISILCNIPQPYTVRVCELCRLLRIDKQSFTNILDIYFYDGRKILNNLLEGKETNLRVKQLESDITFHIGKQEAELALKVNSAAYHGDLYQLKGLVRAGADPNKTDYDGRSPLHLAASRGFEDIVVFLIQEGVNIDLKDNFGNTPLMEAIKNGNDQVAVLLSKEGASLKVDNPGSFLCTAVSRGDSDLVKRLLCYGIDPNSKDYDSRTPLHIAVSEGLTLMAKLLLESGASVFSKDRWGNTPLDEGRICGNKNMLKLLEEAKASQLSESPYSSREFTDKKPTKKCTVFPFHPWDPEENKRPGIMLWVPLTIEELIKESSEQLQVSGECCILSEDGGKILDVHMIDESQKLYLVPDTH >KGN51503 pep chromosome:ASM407v2:5:19935697:19937143:-1 gene:Csa_5G571460 transcript:KGN51503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPYFPKFMGSDNSSIPLLPPSSLPLSPPIFTQDDFKKAAAYKAVEFVHSGMVLGLGTGSTAKHAVDRIAELLRLGKLKDIVGIPTSKKTHDQAVSLGIPLSDLDSHPVIDLAIDGADEVDPHLNLVKGRGGSLLREKMVECVCKKFIVIVDESKLVEFIGASGLAMPVEVVPYCWKFTAFRLQNLFEDSGCIAKLRTVGGEDGEEPYVTDNENYIVDLYFKKDIGDLKVASDRILRLAGVVEHGMFLDMATTVIIAGESGMTIKNKEIEQ >KGN51973 pep chromosome:ASM407v2:5:22967413:22969544:-1 gene:Csa_5G606640 transcript:KGN51973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGGKGREEEQDGISVHSPCKAPPSSASSLPKEHPQIELELKLLQALEIYPLVKLQGIHRHFVLFGLMEFLRRSFDRQFSSDEVLQLLDRFYNLEMLKPDDEEMEILNHEEDFCLPQTFFVKEES >KGN51181 pep chromosome:ASM407v2:5:16878576:16879037:-1 gene:Csa_5G484620 transcript:KGN51181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKGLGGMSDLQIMNLFVLGKDKGGDLSELNGLKSLRGSLCIRELQFCSITDLKYVKYFDEKSRVQELELHWDTYKYKRFKIDDASDEVILECLKPHPNVRKMIIKGYRGMKLCDWLSSNFLSGLVSIEVYIVKNCSISLKLLNFHISRIFVL >KGN50934 pep chromosome:ASM407v2:5:13423636:13424273:-1 gene:Csa_5G349580 transcript:KGN50934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKFGLAGGLPERRVRPIWDAIDSRQFKNALKAVKTLLSKYLNAPYALIHSPTTAARNPSESISLLNLFHALQISSPRKRILQKKKKKKKMYRSVSWN >KGN49571 pep chromosome:ASM407v2:5:291625:297967:-1 gene:Csa_5G003630 transcript:KGN49571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSEDTGPLLVVYDDPSDQQSLSLDETGSTEESPDETRLSLDSSNDATPYIGQRFPTHDSAYEFYSEFAKRCGFSIRRHRTEGKDGIGKGLTRRYFVCHRAGSTPIKTPNENKPQRNRKSSRCGCQAYMRISKTLELGPPEWRVTGFANHHNHELLEPNQVRFLPAYRTISEIDKGRILMYAKSGISVQQMMRLMELEKGVEPGYLPFTEKDVRNLLQSFRKLDHEEESIDLLRMCRNIKEKDPNFKFEYVIDSNNRLENIAWSYASSIQAYDTFGDAVVFDTTHRLTAFDMPLGIWVGINNYGMPCFLSCVLLREENLRSITWALKAFMGFMNGKAPQTILTDQNVCLKDAIAMELPTTKHALCIWMIVAKFPSWFNAILGERYNEWKSEFCRLYNLESIEDFEIGWRDMVNSFGLHTNRHIANLYSLRSLWALPFLRSHFFAGMATIGQSKAINAFIQRFLSAQTRLAQFIEQGSPQLKLFLSRKKHLDEVD >KGN52694 pep chromosome:ASM407v2:5:27795494:27795829:-1 gene:Csa_5G650590 transcript:KGN52694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPCKNAMELEKTLFFVKWLFNFILSLVIYLVYLTLAVDHKRKAVRIIMERTLKEASGIMKLAEEMKWKRCPDCKNLVERIGGCSHIICICGSHFCYTCGTHWSPHHECPI >KGN51892 pep chromosome:ASM407v2:5:22530229:22530473:-1 gene:Csa_5G604370 transcript:KGN51892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAYISKFVPFDYKTIKYKLEKEEESRNGCRAWELDLPSVAVASMEKRYNSLMLIKIRQFLYTHQLNAISKG >KGN52451 pep chromosome:ASM407v2:5:26207899:26209211:-1 gene:Csa_5G635950 transcript:KGN52451 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription regulator MSSRRSRQSSASRISDDQIIELVSKLRQLVPEIRHRRPDKVSASKVLQETCNYIRNLHREVDDLSERLSQLLSTIDSDSAEAAIIRSLIMQ >KGN51953 pep chromosome:ASM407v2:5:22862133:22865818:1 gene:Csa_5G606440 transcript:KGN51953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESDEPKTTNKFNNKTGTFKARINPHHPHPHPHPHLLHHQYQHHQLWQYSNQYAFCNQNHFQRCCPVLLPLPLPLPLPSPIPLQLALPPSKTTRPKPHLQKPSCKLSNPPLAATSDTHVPIIKISPAPEGLRLKSSLPLKGENGKVSQSKPEVLVAARRPDSGGVEGPVIPLLANHFLVQFDPSQRIYHYNVEISPNPSKEVARMIKQKLVEDNSDLLSGASPAYDGRKNLYSPIEFVRDRLEFYVSLPIPSSRMKFSEGEIEHKQAFKLFRISIKLVSKFDGMELNRYMTKEGDDWIPIPQDYLHALDVVLREGPNEKCIPVGRSLYSSSMWGGKEIGGGVVGLRGFFQSLRPTQQGLAMNVDCSVTAFHESIGVIPYLQKRLDFLRDLSQRKTRGLTIEEKKEVEKALMHIRVFVCHRESVQRYRVYGLTDEPTESLWFADRNGKNLRLVGYFKDHYNYDIQFRNLPCLQISRSKPCYLPMELCMICEGQKFLGKLTDEQTTRMLKMGCQRPKERKANIDGVMQGPVGPTSGVQGREFSLQVSKEMTKLSGRVLQPPKLKLGEGGQIRDLIPSRHDRQWNLVDCHVFEGTRIERWALISFGGVPDQRSNIPRFINQLSRRCDQLGIFLNSKTVVPPQFESTQVLNSVSLLESKLKKIHGAASNNLQLLICVMERKHKGYADLKRIAETSIGVVSQCCLYPNLAKLSSQFLANLALKINAKVGGCTVALYNSLHSQVPRLLRIDKPVIFMGADVTHPHPLDDFSPSIAAVVGSMNWPAANKYVSRMRSQTHRQEIIVDLGTMVEELLEEFYQEVNELPSRIIFFRDGVSETQFYKVLQEELQAIKTACSRFLNYKPPITFAVVQKRHHTRLFPFKVDPSSNQTEVLDENIPPGTVVDTVITHPKEFDFYLCSHWGVKGTSRPTHYHILCDENQFTSDELQKLVYNLCYTYNRCTKPVSLVPPAYYAHLAAYRGRLYLERSDSTTYTRGISTVSRAAPPKTTPLPKLKENVRKLMFYC >KGN51210 pep chromosome:ASM407v2:5:17227810:17229702:-1 gene:Csa_5G492320 transcript:KGN51210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKLSNSLIGLLNLLTLLGSIPIIGGALWMARNSTTCEAFLQRPLLVVGFLVLLISLAGFVGACFDVAWALWLYLFVMLLLIATLLGLTIFGIVVASGGGGEHRVGDYSAWLRNRVNNPQYWITIRSCILGSNTCNQASNSFSPLNYLQRDITPIQSGCCKPPSTCSENVQDPDCYRWNGAPNILCYDCDSCKVAVLETARRDWHKLSILNVVMLIFLIVIYSIGCCAFRNTKRARADYAYGENRMTKIQPRWDYKMWRWLEDRKEFY >KGN51698 pep chromosome:ASM407v2:5:21355640:21357569:-1 gene:Csa_5G590150 transcript:KGN51698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLHIQKSSKGIWGVLDRCKSFAYFHVSSQRCSDALSPANILYPLEPNISVGARDLQQRVPSEFQKKKSDIDFIIAKVQVGSSEDEVFQSLLQDPVCNSIQLSHDLVYKLLQRFKDDWKSALGVFRWAESLSGFKHTPDLYDILIDTLGKTKQLVKMRGMLEEMKEARLVTLDTVAKAMRRFAGAGQWENAVRIFDDLETYGLEKNTESMNVLLDTLCKEKKVEKARQMYLELKSHIAPNANTFNMFIHGWCKVNKIDEAHWTLEEMKGYGHRPCVISYSTIILFYCHRCNFNKVYELLDEMDAQGCPANVITYTTIMCSLTKSEEFEEALQIAERMKSAGYEPDTLFYNCLIHTLGRAGKVREAIHVFEVEMPSKSVLPNTSTYNSMIAMYCRRAREEKAMKLLEEMQKSEHCKPDVQTYYPLLKSCFRTGKTDYDLSNLLDEMINKHHLSLDISTYSLLIHGLCRANKCDWAYQLFEKMISQDIKPRYLTCQLLLDEFKQKNMDGVADRIEGIMKKL >KGN51064 pep chromosome:ASM407v2:5:15455587:15456326:1 gene:Csa_5G426410 transcript:KGN51064 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter MGVYVTVYVTFANSFEASYRLVWDDENNNITQSVFPPLALLNSASSFNSFEGEYHFWPLYWFTFPLATTDPWKIQFPVLFDFLGWELELVC >KGN50714 pep chromosome:ASM407v2:5:9823383:9827870:-1 gene:Csa_5G218800 transcript:KGN50714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKSKGSRKGKKAWRANISTAEIEDFFEKSTKDALSGGSLSALPSDSLFVVDKSQDLSVKRKIEKKRDKVLYCDSVLTKNPFVQAVPSSVNKKSKKKPKEASSVKDIFQEDPKVGMLDLWGDEGEVRSKKTSKKSKPSIIPAVEVEPPGCSFNPSHESHQDVLAQAVAQEMQKVYRKELAPAPVPLTVPGEVISEEDMLFLDADINTDDETNLDEMDQDEDNELEKRPLKMRRVTRVELNKRARHKEKVRKEAEAKKVEGVSKEIDSLPDIIQEIAKEDEERDNRRIRRTIAKQEKLKSCPPRLGKHKFEPAPVQVLLSEEITGSLRKLKGCCTLVRDRYKSLEKRGIIAPTAKSRRK >KGN49740 pep chromosome:ASM407v2:5:2778859:2781160:1 gene:Csa_5G097460 transcript:KGN49740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSPLTLVLIGRTGNGKSATGNSILGTRAFKSRTSSSGVTKSSELQSCVRKNGQVINVIDTPGLFDSSVGSEYIAREIVKCVDLVKEGIHAIILVFSVRTRFSEEEIATVRTLQTLFGTKIVDFVIVLFTGGDELEDNDETLEDYLGRECPVGLKDIIAASKNRCLVFNNKTKNETKKIEQVEKLIEMVHGVVQQNGGKAYTHGLFSNIKFETKLQDVKTKLEQQLEFEIQARLKAEQKAQEIQQQSTDQIRVLNDRLRIALERPPMTPPPPPPPQTIHHAHRRKSRGCVIV >KGN51042 pep chromosome:ASM407v2:5:15073538:15074591:1 gene:Csa_5G418770 transcript:KGN51042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSIGGRKRVKVMKVDGEILKLKLPIRVSEVLKDYPDHVLMESEAVKHYGVKAKPLEPQQDLNRKKIYFLLQLPKIAADNRPPPDRIPRRVRSSGVHMSAKDRLDLLMLSRRTMSEIAITRPSTTSATDSSAQPRFHSGPMQVKMKIPRSQVAKLMEESASEGEIAEKIIKMYLKNEVNTGGGSAGDVNAGQHPEHWKPSLVSSVRENSKVHREVRFFLPNFRFIHFTFLKLPYLLTNFNKI >KGN51724 pep chromosome:ASM407v2:5:21499096:21499356:1 gene:Csa_5G593370 transcript:KGN51724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKKTGVFRYADWLDQLLMFLGCLGSIGDGLTTPLTMLVLSGMINHYSVSDSNSFSNHVVDKVISLLLLSILIIVSSIGIYWLWL >KGN50361 pep chromosome:ASM407v2:5:6695847:6701544:-1 gene:Csa_5G168970 transcript:KGN50361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKVLVVCSVVGLLGILSAVTGFVAEATRIKGSQVQFISTSECAYPRSPAMGLGLTAAISLLIAQLIVNVSSGCICCKRSPNPSNPNWKIALLSFVISWFSFVIAFLLLLTGAALNDQHGGGTMYFGNYYYYCYVVKPGVFAGGAILSLASVLLAIVYYLTLNLAKNNSPLWGNSAPAQGIALGQPQFPPQNTQQPVFVHEDTYARRQYA >KGN51688 pep chromosome:ASM407v2:5:21302372:21303281:-1 gene:Csa_5G590050 transcript:KGN51688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLTKMPSTSSVFTVYTSFAASTMVVRTLISETQSIISQNNGIAINELYTASETYLSTKISRSLKNLKAFKAPGETNVTFKINKGELLIEEFEGIEIAWEMISTEKQIMNFDSDIPAQTTETFEKRHYQMSFNKKNKDSVMKIYLPFIMERAKAIEEENRVVKLYALMIRHANEDSIVLQNSCSFGNLAMDLKKKKELMDDLDRFVRRREFYKRIGKAWKRGYLLYGPPGTGKSSLVAAMADYLKFNIYDLELTSVRNNSTLRTMAVVHC >KGN49637 pep chromosome:ASM407v2:5:1241888:1242154:1 gene:Csa_5G035750 transcript:KGN49637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQVHYSSSSSSHHTKLTLETLANVKVFPGVASVRQSSGEASSPFIFHHRPSSQESGLRPCHREAADASLPRSSTPPSAAQRRCLLHA >KGN50124 pep chromosome:ASM407v2:5:5233057:5237146:1 gene:Csa_5G154860 transcript:KGN50124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFWRNGSLSSDDDSEEEFGNVDKDLRSWKGLKTGSSASLGKCDVRMKKRVPLKPFDEESDFAEQVELLRYELSKKSAAEEEGEKREEIIFTEKRFDECGISPLTVKALSFSGYVRMTRVQEATLSLCLEGKDTLVKSKTGSGKSVAFLLPAIEAVLKAACSSSNQRVPPIFVLILCPTRELACQIAAEANVLLKYHDGIGVQTLVGGTRFKDDQKRLESFPSQIIVATPGRLLDHVENRSGLSLRLMGLKMLILDEADHLLDLGFRKDIEKIVDCLPRQRQSLLFSATIPREVRRISQLVLKREHVFVNNVGIGCVETPVQVKQSCLIAPHGSHFQIVCHLLKEHISCTPDYKVIVFCTTGMVTSLLHVLFREMKMNVREMHSRKPQLYRTRISDEFKQSRQLILVTSDVSARGMNYPDVTLVLQLGIPSDREQYIHRLGRTGREGKEGQGILLIAPWEEYFLEELKDLPLERRRLPQLDSGLKLKVEESMAKIDTSIKEGAYHAWLGYYNSIRGIGRDKTTLVELGKQFSESIGLQNPPALFRKTALKMGLKDIPGIRVRK >KGN50605 pep chromosome:ASM407v2:5:8578421:8579518:-1 gene:Csa_5G190490 transcript:KGN50605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEMGKVISCHTVSSWKQHLLKAEQCNKLVVVNFTASWCGPCRFMAPILEELAKKMSNNVIFLKVDIDELMSIAKEFGVSSVPSFQFLKNGKLVDKFVGAKKTLLQNTISKHSAY >KGN49580 pep chromosome:ASM407v2:5:434774:438535:1 gene:Csa_5G011700 transcript:KGN49580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGLDEDADVVLSDVEGDEHPITIQNPSPEEITVERFREILAERDRERQSREAAENSKSELQVSFNRLKALAHEAIKKRDECGRQRDEALREKEEALKLNEKVSAELAEANRQRDEALKLRDEITKEFDEILKDRDTLRSEIGNASHMLVTGIDKISAKVSSFKNFTAGGLPRSQKYTGLPAVAYGVIKRTNEIIEELVRQIDTTTKSRNETREQMELRNYEIAIEVSQLEATISGLKDEVSKKTSVIEDLENTIIEKDKKICENEVDLVGKLRRAEDEASDLRQLVQEYDDKLRDLESKMESQRPLLVDQLGLISKIHDQIYDIIKIVDVSDVDHSEFSESLFLPRETDMEENVRASLAGMESIYALAKLVMDKTRNLIEEKIRESKNLNETVAQLLKEKEHIGYLLRTALSKRMTSDPSSKANQLFEVAENGLREAGIDFKFSKLLGEEKFSTTRDNRKALDAEDEIFTLAGALENIVKASQIEIIELRHSLEELRAESVVLKERLESQSKELKLRSLQIQELEEKERVANESVEGLMMDVTAAEEEIIRWKVAAEQEAAAGKAVEQEFLAQISGVKQELEEARQVILDSDKKLKFKEETVNAAMAARDAAEKSLRLADVRASRLRERVEDLTRQLEQLDNREESRIGSSNGHRYVCWPWQWLGLDFVGSRHSETQQQESSNEMELSEPLI >KGN52697 pep chromosome:ASM407v2:5:27816844:27820861:1 gene:Csa_5G650620 transcript:KGN52697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGKVQMKRIENPVHRQVTFCKRRAGLLKKAKELSVLCDAEIGLFIFSAHGKLYELATKGTMQGLIERYMKHTNGNQPPDPPIHHQTLEVKEEIIRLKQEIEVLKGLRNALGGGGGEGIMTLDELDIFEKQLEIWICQVRSTKMKFMCEEIEALRNQEFILTAANKYLHDKMEAENISNTTNMEPVNITNCQYPLTIQDELFQLCT >KGN50063 pep chromosome:ASM407v2:5:4808160:4808406:-1 gene:Csa_5G152290 transcript:KGN50063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEIDFGEKRHRLGRLHNQIDEWKWRGNVAEREPLWSAAISHDTFTITTLGTPLEPATIFFILPFPNN >KGN52673 pep chromosome:ASM407v2:5:27688623:27695126:1 gene:Csa_5G649910 transcript:KGN52673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKEDTKQFSAFQERLRDWVEDLPGFKINTDCSFEAASHCSVKIFRPIDGGGCFHGGGHDRKSGKVKQIVGSTLRDLEQDGSTLLTNFETDKSAAYYANMYKQDGLIGGHAIMLGADPLSVVAAHEALHAYPGGLQVGGGISSGNACSYIEEGASHVIVTSYVFNNGQMDLTRLKDLVRIVGKQRLVLDLSCRKKEGKYAIVTDRWQKFSDVFLDEEVLAFLADYADEFLVHGVDVEGKKLGIDEELVTLLGKYSSIPVTYAGGVTTMADLERIKDAGMGRVDVTVGSALDIFGGNMAYKDVVAWHARQKEETYPT >KGN49851 pep chromosome:ASM407v2:5:3481459:3484797:1 gene:Csa_5G139290 transcript:KGN49851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEVTQVLLNAQSIDATVRKQAEDSLRQFQEQNLPSFLLSLSNELGSEEKPVDSRKLAGLILKNALDAKEQHRKFELVQRWLSLDGNVKTQIKACLLNTLSSAVADARSTASQVIAKIAGIELPHKQWPELIGSLLLNVHQQSSHVKQATLETLGYLCEEVSPDVIDQDQVNRILTAVVQGMNASEGNNDVRLAATRSLYNALGFAQANFSNDMERDYIMRVVCESTLSPEVRIRQAAFECLVSIASTYYDKLARYIQDIFGITAKAVKEDEESVALQAIEFWSSICDEEIDILEEYGEDFTGDSDIPCFYFIKQALPALVPMLLETLLKQEEDQDQDEGAWNIAMAGGTCLGLVARTVGDDIVPLVMPFIEENITKSDWRQREAATYAFGSILEGPAPEKLMPIVNVALAFMLTALTQDPNNHVKDTTAWTLGRIFEFLHGSTLDTPIINQANCQQIITVLLQSMKDVPNVAEKACGALYFLAQGYEDVGPSSPLTPFFQEIVQSLLTVTHREDAGESRLRTAAYETLNEVVRCSTEETASMVVQLVPVIMMELHNTLEGQKLSSDERERQGELQGLLCGCLQVLIQRLGSSEPTKYMFMQYADNMMGLFLRVFACRNATVHEEAMLAIGALAYATGPEFAKYMTEFYKYIEMGLQNFEEYQVCAVTVGVVGDVCRALEDKILPYCDGIMTQLLKNLSSDQLHRSVKPPIFSCFGDIALAIGENFEKYLMYAMPMLQRAAELSAHTAGADDEMTEYTNSLRNGILEAYSGIFQGFKSSPKTQLLIPYAPHILQFLDSIYMGKDMDEVVMKTAIGVLGDLADTLGSNAGSLIQQSVSSKDFLSECLSSDDHLIKESAEWAKLAISRAISI >KGN49928 pep chromosome:ASM407v2:5:3862701:3864671:-1 gene:Csa_5G140530 transcript:KGN49928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSGFTGGGAPDFYTAGRSMSSNPSQQNAYRSQLSGGSFIDPAATQIARQIPSSLLGKRNLADLHSHNQHNHHNLPLNNLFLRSVKPRAFNHPISSLSNLDFYSTMTLPSPDVQAHRLYGTSSGALLQQLRQQPNGGIPVRDLQSLESEKKMMNHRLQELEKELLEDNDDDDGSDAVSVITSSNSAWCETIYNLISPNPSPTAQNPSPTSSASSSCSSSTSSSVASPASDSWKQSVIEAATAISDGKLEGLDEILAPVVKISNARGNSVQRLAEYMVLALKSRVNPVEFPPPVVEIYGDEHSAATQLLYDVSPCFKLAFMAANLAILEAIGEEDRKLHVVDFDIGKGGQYMNLIHLLSGRQKGKVTVKLTAVVTENGGDESLKLVGESLTQLANELGVGFNFNIVRHKLAELTRESLGCELDESLAVNFAFKLYRMPDESVSTENPRDELLRRVKSLAPTVVTVMEQELNMNTAPFVARVTESCTYYSSLFDSIDSTVQRHHSDRVKVEEGLGRKLANSLACEGRDRVERCEVSGKWRARMGMAGFEARSMSQTVAESMKTRLSSGYRVNPGFTVKEENGGICFGWMGRTLTVTTAWR >KGN51207 pep chromosome:ASM407v2:5:17189681:17199783:-1 gene:Csa_5G489320 transcript:KGN51207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSLCFSIPALTPHYWRPKNFRFFLLLQSNRCICFAPRFVASLNNDDSVAIPKPAPLAFDPAEELYGLDVDLKPRNSASSAPEPRSWFGPNGQYIKELPCPSCRGRGYAPCTECGIERSRADCSVCNGKGIVTCHQCLGDRVIWEESIDERPWEKARSTSPLRMKEDDEVDNLEIKLEEKKKSKRVYQSPPPEVGLKISRSLKILNAKTGIFSKRMKIIHRDPALHAQRVAAIKKAKGSAEARKRTSEALKAFFSDPENRRKRSSAMKGVKFYCKNCGREGHRRHYCPELKEDSIDRRFRCRVCGEKGHNRKTCEKSGLNVTPITATIQRHCGICGLKGHNKRNCQKSDAHRQSHQNVLRPNLISEYRASNENRRVRQYHCRICKESGHSQRNCPSTDREGNGLSTRRSYSCKLCHEKGHNIRTCPNRSTNNLQKNPPVALNQ >KGN49868 pep chromosome:ASM407v2:5:3573755:3582099:-1 gene:Csa_5G139460 transcript:KGN49868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRGSRHKSTRHGLKDARESSDSENDSTVRDRKGKESGSRVLKDSASSEKRRFDSKDTKEFYGSENLETEEHGHSKRRKERYDEGTTDRWNGGSDDELGVPSKKSKPSVDSKSKRRDESVGLQGGGEELKKSSGKGEGRHRESSRKEGRNGGGERERDRDRDRDRDRDRDRDRDRDRDRDRDRDRDRDRDRDRDRDRDRDRDRDRDRDRDRDRDRDRDRDRDRDRDRDRDRDRDRDRDRDRDRDRDRDRDRDRDRDRDRDRDRDRDRDRDRDRDRDRDRDRDRDRDRDRDRDRDRDRDRDRDRDRDRDRDRDRDRDRDREREREREREREREREREREKEKEKDRKGREGRSDRGIASEELRVEKQVEKNAENVLHSPGLENHLETRGRKGAGSFDGDKHKDDAGDVENRQLSSKNDTVKDGRRKSEKYKDERNREKYREDVDRDGKERDEQLVKEHISRSNDRDLRDEKDAMDMHHKRNKPQDSDIDREITKAKRDGDLDAMRDQDHDRHHGYERDHDQESRRRRDRGRDRDREHDRDGRRNRSRSRARDRYSDYECDLDRDGSHLEDQYTKYVDSRGRKRSPNDHDDSVDARSKSLKNSHHANDEKKSLSNDKVDSDAERGISQSRSRHGDVNLSSHRRKSSPSSLSRVGTDEYRHQDQEDLRDRYPKKEERSKSISTRDKGILSGVQEKGSKYSYSEKPSETEGSNATELLRDRSLNSKNVDIEESGRRHNTSIDAKDLSSNKDRHSWDIQGEKPLMDDPSQAESYYSSKGSQSNPSPFHSRPAFRGGVDIPFDGSLDDDGRLNSNSRFRRGNDPNLGRVHGNSWRGVPNWSAPLPNGFIPFQHGPPPHGSFQSIMPQFPAPPLFGIRPPLEINHSGIHYRMPDAERFSSHMHSLGWQNMLDGSSPSHLHGWDGNNGIFRDESHIYNGAEWDENRQMVNGRGWESKPEMWKRQSGSLKRELPSQFQKDERSVHDLVDDVSSREACDESTDTVLTKTAEIRPNIPSAKESPNTPELFSETPAPLRQSMDDNSKLSCSYLSKLKISTELAHPDLYHQCLRLMDIEHCATADEETAAYIVLEGGMRAVSISSSSAHQSLFHPDKNSIFQHAMDLYKKQRMEMKEMQVVSEGITSSERRLEEKEMEVVCGEMAASETKLEEKTFDFNNGEVKVPDSTVDVEMEQAPIKTAGVDEEVETTEALGKLEDIASTGSQEEVKCLENPEESLPNSNSIEVDMIDSEQLVVNLEAEKDTIFIAKDNTPVNDSDKFNNIDIKGIAKGNDSTRCGVGNSCFDNAVSGPLSFPEEIPETCEGLMPVSIGSESLILSQIHHSPESTH >KGN52722 pep chromosome:ASM407v2:5:27978553:27981781:1 gene:Csa_5G652270 transcript:KGN52722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIMLMGPISCSVQTGVSLNLAGSFTLVGIPNPGRVSSFLPRSRSISRVPLIITERHFSKNRIFHSQAQPPLLSAERRWNLSVYATTSLDLPLLPFGVNDVLVPSESKTLHLYEARYLALLDESLFRKNKVFVHFVLDPVAVSDSSREISFAARHACLVFIENVERLQVGALVTIRGIGRVKIIELLQVDPYLRGTILSVRDNIVQDECLLSSKVMDVKNVLHNLNSLEIKLKAPKDELLQTQILNSLNWAEKGIYVDIDQNFVPSLAERVSFAAFQPVSGSTKSELQSLQLKKLKAMDMKNTHERLNKSLKLTKENISIVAAKLAIQSIEI >KGN51349 pep chromosome:ASM407v2:5:18348037:18351318:-1 gene:Csa_5G522950 transcript:KGN51349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKTSSSSSSVIEHKAYARVGLLGNPSDVYHGRTISFAFSNFWASVQLRPSDELVITPHPTHDFVHFRSLDHLINRLSSEGYYGGVRLLMAICKVFYSYCREKEINLHTRNFTLSYDTNIPRQAGLSGSSAIVCAALSCLLDFFDVRHLIKVEVRPKLVLAAEKELGIVAGLQDRVAQVYGGLVHMDFSQEHMEKLGHGIYTPMDINLLPPLYLIYADNPSDSGKVHSTVRQRWLDGDKFIISSMQEVAKVAEEGRTALLEKDYSKLAMLMNRNFDLRRSMFGDDVLGALNIEMVEVARRVGAASKFTGSGGAIVVFCPDGPSQVKLLKENCQKAGFVLQPIQVAPSCLSEVDLKTLSC >KGN52455 pep chromosome:ASM407v2:5:26261249:26264401:-1 gene:Csa_5G636480 transcript:KGN52455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLSNTQFYFLNNEDFCNVFVVIFKTLLIFLCGLFPFFLRYFKYFKASDEKQPEDPFSALTFRFPTYEEFLKTKENVDSNEEDFLEQHCSMPSSSAPDFFLHSREGSENLSPNDLDCTNDVGIEDEYVDSIKTNKFENEEDSEVDELIKPLQIHNMKAKANEKDFVEQHSSIPSRPAPNSFLHSRERSENLSPNYLDCTKGDFVDSIKTNKFEKEEDSEDDDFIKALQIQIMKAKAKSVLPSIPEETDYSITTNENDLKPWKKKQESFNHRDLTKELHRFHKQYTEKMRKYDILNRQKTYAKELKMMQSKESVESVSTKGFCVCKGEKKTEEDKGIDGEMEMVYVVQLWVSWEFIVWEYKKALEIYGREDYGSCRFNEVAEKFEHFKVMIQRFMENEKIEEGSRVECYVKSRLVRRKFLQVPLLKEDEVKEGGFKEDNKENAVTIDRLIDILQESIRILWQFIRKDKLVHISTNLTCHLETKQEFASPSHSNVQTQLLVDLQKVCYFPIKLHLCIEILLNYITQIQTCFFFSFWQKERKLKKIVKRRKCMLKNCKEELEEDEEIDDELCFLTMVDLKLVSRMLNMKKITRKQLSWCQHKLSCITFPNGKIKIHPSSFLFSSS >KGN50127 pep chromosome:ASM407v2:5:5246482:5246846:-1 gene:Csa_5G154890 transcript:KGN50127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQKKIVPFMSSLLSFSLLAFSISSRSVSIQIHPQLSVLRPHRFAFLWACGLLLPCNRWGRRALVKIRRSTAHFASRRHISARFFSRFLQTHLRRAQIRPHFL >KGN51135 pep chromosome:ASM407v2:5:16297249:16298310:-1 gene:Csa_5G465580 transcript:KGN51135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPYKSFSIIATLESLDWLKTTFNTLLKMPRTTRFFLKKGFGDYCLWVQKTHNRKGYLAEFFRVDDKGRKCCILVIEGRDKQGWAQFTEVLSLRKEAPQKRSPQTNPSVRGPKAYSYSSSTDFDNPRHTYVEVLTKGSLSNSDSPNSYNADTKEKKKEDTTARKFETFDWSKTEVITKRCFHDDWKKIMEKLQEQLDHLNITYKLFHAEKAMVFLEEKNMVNLLCKNRGWTTIGKFYVKFEAWNISKHATPKVLSSYVGWVKFKGIPLHAWNYSSFYQIGEVCGGFVEVARITRDTEWVMKKATISQYRPLSKLKENGSRKETQKSMALSLVKQQKTLMNSNQIVNSISLLKM >KGN51310 pep chromosome:ASM407v2:5:18072101:18076048:1 gene:Csa_5G517090 transcript:KGN51310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVSVVSRSGREVVKGGIQLSDSASVADLQDAIHKRTKKFYPARQRLTLPVQPGSKERPTVLNSKKSLREYCSDNSDSITVVFKDLGPQVSYRTLFFFEYLGPLILYPIFYYFPVYQFFGYKAERVIHPVQTYAMYYWCFHYFKRIMETFFVHRFSHATSPLSNVFRNCAYYWSFGSYIAYYVNHPLYTPVGDLQMKIGFAFGLLCQVSNFYCHILLRKLRSPEGNGGYQIPKGFLFNIVTCANYTTEIYQWVGFNIATQTFAGYVFLVVAALIMTNWALAKHRRLKKLFDGKEGRPKYPRRWVILPPFL >KGN49772 pep chromosome:ASM407v2:5:3036317:3038398:1 gene:Csa_5G115670 transcript:KGN49772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLQIHIAPHHTFFLNEKIISAYSSRFRRIIKQHRRARRRITTTNYGDDFSNSPIEIDDFPGGWNAFELISRFCYNHARIEITVSNVALLHCCGVFLGMTEDIAAGNLIWQTENFLDGIFYWPWSDLILCLQSCHSFIAFSDSSGLLQKLVCALLAKIAQNSNASFVTPSSSSSSSSPDNASGSSRVSTKSWWFDDMSLLPVQIIEKVVQGMGSYGSSNNSLILTKFLLHYLKTAAQNTVGYGSGRPRGEYGGLADTAVHGVVMVGKSMFSCRGLFWVLRVVSGFGLSRDSRNGLERMIGGMLDQAKLDDLLISGHHKCTYDVNLVIRLIRVFVNSDGAYSVHKLKKVGNLVDKYLGEISPDQNLKISKFLGVAESLPDCARDCFDGVYRAIDIYLESHPNISMEERSRLCRCLNHEKLSLETCKALAKNPRVPPRTAVEALKCQARGGGCSGEEEALTTATGEEDDRSSSGYYSECQRVLSSSSFESEGCSSSTGQDNNQEMKLNLQRMQWRVVELEKVCREMKSNMSTLVRQSPITSPPTYHRALPRLC >KGN50696 pep chromosome:ASM407v2:5:9625680:9629856:-1 gene:Csa_5G217150 transcript:KGN50696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGDDVNRALTTTRFSDLQPPLSPPVIDALTQSGFQFCTPVQAATIPLLCSHKDVAVDAATGSGKTLAFVVPVVEILRRCSSRPKPHHVMGIIISPTRELSSQIYEVARPFVSTLSNFKAVLLVGGADVKVDMKVIEEEGANLLIGTPGRLFDIMDRIENLDFRNFEVLILDEADRLLDMGFQKQITSIISRLPKLRRTGLFSATQTEAVEELSKAGLRNPIRVEVKAESKPGPLSSTQLASSKTPSSLHIEYLECEADKKSTQLVDILIKNKSKKIIVYFMTCACVDYWGVVLPQLTGLKGLFLIPLHGKMKQTAREKALASFVSLSSGVLLCTDVAARGLDIPGVDCIVQYDPPQDPNVFVHRVGRTARLGREGSAIVFLLPKEEAYIEFLSIRRVPIQEKIYCSDASDIIPQIRTAAKRDRDVMEKGVKAFVSFIRAYKEHHCSFIFRWKELEVGKLAMGYGLLQLPLMPEVKHHSLSTDGFVPVEDINFEEVKYKDKSREKQRKKNLQAKKEAQKKLPKPEPKKTPNVAAPILRKKTARQRRATQTAEDEDELAHEYRLLKKLKKGTIDETEYAKLTGTEELL >KGN52293 pep chromosome:ASM407v2:5:24893459:24894147:1 gene:Csa_5G623570 transcript:KGN52293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQLKPIVGRRLTKCFPPVVLLSILSYNRLLPIPSPVFPLCRHLHPRLHAATAASLSPLLISLTLSVATSRRHSNSVFPICSGGPLLHHSQSILLIVHPISQ >KGN51887 pep chromosome:ASM407v2:5:22499909:22503597:1 gene:Csa_5G604320 transcript:KGN51887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVIAEDGKVESREVRESKGKKKQKNNKEQVETVEEETGCWIALRIFGSCISSRSKVDSSISGTSINYAESKSTADSSRDQPTPREISSTNTSMSNGESNSSTSKLEEELKISPHLRKFSFNDLKLATRNFRPESLLGEGGFGCVFKGWVEENGTAPVKPGTGLTVAVKTLNHDGLQGHKEWMAEVNFLSDLKHPNLVRLIGYCNEDDQRLLVYEFMPRGSLENHLFRRSLPLPWSIRMKIALGAAKGLAFLHEEAKRPVIYRDFKTSNILLDAEYNAKLSDFGLAKDGPDGDKTHVSTRVMGTYGYAAPEYVMTGHLTSRSDVYSFGVVLLEMLTGRRSMDKNRPNGEHNLVEWARPYLGEKKRFYRLIDPRLEGHFSIKGAQKAVQLAAQCLSRDQKVRPLMSEVVEALKPLPNLKDMASSSYYFQTMQADRVRSSPMARNGYHTAAGQVPKNGQQLRSYSIANGSHASPYHHQQPHPSPKPNVKA >KGN50665 pep chromosome:ASM407v2:5:9224007:9226749:1 gene:Csa_5G207940 transcript:KGN50665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSGSKNKVASTNSAMEARFLKLSDGGLFSENSILLELSASDDVEGFKREVEEKDLDVDEPSCWYGRGIGSKKMGFEERTPLMIAAMFGSSKVVKYLIETGKVDVNRACGSDLTTALHCATAGGSCSSLETIKLLLDGSADVDCVDAYGRKPVDLIVSACRMVLNSGRKAMEMLLRGDGSGMPSPRSLSSNTGDMSTMSPLALGSSSLSLPTTSTPPMSPLASVSSPKNGNLWQNKINLTPPALQLPGSRLKATLSARDLDLEMELLGLEKNVSQLQHQQQLIDEISRLSSPYWNADVSRTAELKPSNLDDMFGSLDSSLLSQLQGASLKTPISAQVQSPTGLQMRQSMSQLRASYPANNLSSSPVKKPSSFGFDSSSAVAAAVMNSRSAAFAKRSQSFIDRAAVSRLPGFTGAANSAATMSSHLSDWNSPDGKLDWGMNGTDLNKLKKSASFGIRNGTPTFAPPVEEPDVSWVNSLVKDVPSESFGLFGAEKRPYNLKREINEMLPSWMEQQLYAEQEQIVA >KGN51598 pep chromosome:ASM407v2:5:20718049:20718258:-1 gene:Csa_5G583285 transcript:KGN51598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRGGPEHLEASKQERKVRIDKSLVGRRPFTKILAEKTALIYEQRVEDGLVAVAGNGREQAKELMWPS >KGN50396 pep chromosome:ASM407v2:5:6923672:6925695:-1 gene:Csa_5G172270 transcript:KGN50396 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gibberellin 20-oxidase MHVVTSSMAKEAANNDGVPLVFDASVLRHQHKIPKQFIWPDEEKPSEAGCPEMEVPLIDLSGFLSGDKDSVREAVRHVGEACEKHGFFLVVNHGVDLKLIADAHKYMNEFFELPLCEKQRAQRKVGEHCGYASSFTGRFSSKLPWKETLSFRFSADESLDDHVFHYLRTKLGDRFANFGRVYQEYCEAMSGLSLGIMELLGKSLGVEGEYFKNFFKDNDSIMRLNFYPPCQKPHLTLGTGPHCDPTSLTILHQDQVGGLQVFVDNQWRLIAPNFDAFVVNIGDTFMALSNGRYKSCLHRAVVNSKRTRKSLAFFLCPRNDKVVKPPMELVDNENPRIYPDFTWSMLLQFTQNNYRADMKTLEVFSASLQQQQS >KGN51809 pep chromosome:ASM407v2:5:22042611:22052065:-1 gene:Csa_5G601590 transcript:KGN51809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIQRDKPTNAPFKIDWGKVWARKDDDPIPDLLIATTTSKMGSDWEHSFREELQKLSDGELEDKIDRMKNLSKTSCYRLSDKGEKLRRSIELLEEERESRKLRRIEKEATGCENLSQPTNSSVVGRERIASSSADSVSIFAARFNQKLEQKTERNNSAFGEELSILGHCDNRRQRSNGKLSPKVKQKGQTSSRQQPFKFVNSLSTDVHKKVSSVAAQNSRSSDHIDFHVNEWQPERFGKKDDSDTPMPQKRQTIVVVDEEEALAMKIPKHDDKCMKEAKIYYPSRDDPESVEICFEDIKCLDPEGYLTSTIMNFYIRYLQQRALSANKVTCNYHFFNTYFYEKLKEAVSNKGKDRDNFFVKFRRWWKGVNIFQKAYILIPIHEDLHWSLVIICFPQKEDESRPIILHLDSLRLHSSRSIFDNIKSFVKEEWCYLDREVAGSDLPLPHKIWKNISRRIEEKIIEVPQQKNDCDCGLFVLYFIERFIEEAPDRLKRKDLDMFGKRWFKPQEASSLRTKIRCLLKVEFQNEKRRCLADPVGSSSSDHTPKQ >KGN51686 pep chromosome:ASM407v2:5:21295678:21300574:1 gene:Csa_5G590030 transcript:KGN51686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVPEVEEIFFAAGDAKLHGEMYKKLAAIYGQVMSIFPSLEAARPRSKTGIQALCSLHVALEKAKNTLRHCSESSKLYLAITGDAVQAKFEKARCSLEVSLICVEDIVSQSIGFQIQQIVNELKDTVFLLDPLEKQVGDDIIALLLQERKFDDSNGHNELEHFHQAATKLGITSSKAALTERRALKRLIERARLEEDKRKESIVAYLLHLMRKYSKLFRSELTDDNDSQSGSTPCSPTVRCSLEDNGIAANGQVFEQQLSKLSSFNFKPNYRISGQMPLPPEELRCPISLQLMYDPVIIDSGQTYERICIEKWFSDGHKTCPKTQQRLSHLSLTPNYSVKGLIASWCEHNGVPILDGPPKSLDLNYWRLALSDSESGKSRSADNVGSNTLKEVKVVPLEESGTIKDAEGNEADDHTYMEETSDFITIESCVNFMAVLTAEGDLRKKCKVVEQIRLSLKDDDEARILMGANGFAEALMDFLTLALIEENSDAQETGAMALFNLSVNNNRNREMMIAAGVISLLENMILKSNLHGPATALYLNLSCLEDAKPIISSSTAVPFLIQLLTSNDESQTKLDALHTLYNLSTTPSIIPILLSTGIVGGLQSFLTSPSDSIWTETSLAILMNLASSKLGIEEITSAPELISGLAAIVDAGERAEREQAVSCLLVLCRGSEKCSQMVLQEGVIPGLVAITVNGTSRGKVKAQKLLMLFREQRQKDTDITQQRDGNSDTAMAAPDPKPLCKSVSKKKMGKALSFFAKSKRFSLYQC >KGN52084 pep chromosome:ASM407v2:5:23621411:23625010:-1 gene:Csa_5G609700 transcript:KGN52084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSKDLQNRGTQYFILLYPGDDCQANNSGKLKPPREFRIFWDDLSGCRSLSNCSRTNPVVRIWQPMLKIHPITFTSGLWGAYKLMVFPRAFEVVKKEKNVIGILEAVKSEEVEIQY >KGN51248 pep chromosome:ASM407v2:5:17542889:17547517:-1 gene:Csa_5G503610 transcript:KGN51248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLFFFRSSAPSNGSSEVSPSKTEKQGITEQPFEGTGLRRSRSLSSASLLDSGKHKSPSGSKDKNRSPYGNFIDTLDQQCEHSNRCQTLPLRRQCREKEFEMPYNDYGAVSERPCSASAASCRSYGDSSGNSSTSSSNVSSKILDRYIDDGEQQEESRKPQKSIPPRNHHGHGSGRRPPRGRCTAPTSPKYVVDEKTMNHPFEEFPSSNYHFFPAKHAENRFGHESPRTIAKNVIERLSQSHGIPKTNIKGFDNSMPPITAEDIHDRSSDEHYGSNVNPQKFYPVNEPFQAINRNDMEGSDLDRHNLINHNEVLNLVETEEDMDGELKRRIKVAKERVMRFREECDRESFLQLRTGVSGLIQIIRHVTEEKMSLALEVLSLLQSQVTERASAKEELQLAKEILDSQTKRLDREKSELQSELEKELDRRSKDWSVKLEKYQLEEQRLRERVRELAEQNVFLQREVSLLNERDVENRSMMSNSEQKVKDMTVMVDKLRDENQVLMQNLSDLQDKYKTAKEDRETFKRNFEEKDKECKELYKATTRLTRSCCDQQKTISGLQERFNHELGENTEIERFDKHVAKLQMEQIRLTEVELGLRRELESCRFEIDSLRHENINILNRLKHNGRDGSALTIKLDEEMSARVDCLQHQGLTLLSESFQLCAELFEFIKEKVHCLSDSMQGMEVVKNNLDGIYFVESEMKLQGLKRGIESLKRSLKMASSLLHKKSNLAASEVHSQYVDADESMQLNCEATEDVVKSELKAERLLTSLLREKLYSKELEIELLQAEIATAARANHILKCEVQSAQDDISCITHKLKDQKLQILKRDENVSRLQNDLEESTTELAIIRGTVPNISKERDIMWDQVKQYSEENMLLNSEVNLLKKKIETLEEDILLREGQITILKDSLRNKSFDLLGNIETTDEFLIR >KGN49631 pep chromosome:ASM407v2:5:1148553:1157389:1 gene:Csa_5G033980 transcript:KGN49631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSGVKLIARDKVIESNQKQKNRGKSTRYSSSDEEYHVTKKKKFERKKLESSKDYSTSSTDSEMTEDSCWDEKKHRSRRASKRNKNNSSSDENDGRVKMKSRSDKNTLAGEYSSSTDSDNSSSDIREKRRKHRRRDDRKQGKKRKSQHLREDMFDGIRDTSKDDKEIVRKEMGLEWMLKPQEKMQRGLDECVDNQQEEEEALEEVLPSKDVNQPITDSTWQAKGEVEFFNQSLLSQSGKRSMNA >KGN51475 pep chromosome:ASM407v2:5:19626595:19653240:-1 gene:Csa_5G564790 transcript:KGN51475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDRSIGMFWVVSYTMAQPACETVMNWLTSAGAPELSSAQNLQTNERIMVMREVSPLPMSLLSGFSMNLCSKLAYQMEESLFSGQAVPSIALAETYTRLLLLAPHSLFRSHFNHLAQRNPSLLSRPGVTLLVLEILNYRLLPLYRYQGKSKALMYDVTKIISALKGKRGDHRVFRLAENLCMNLIFSLRDFFFVKREGKGPTEFTETLNRLAVVTLAILIKTRGIADADHLLYLQTMLEQTMATSQHTWSEKTLRYFPPVLREVLIGRIDKRGVAIQAWQQAETTVINQCNQLLSPSADPTYVMTYISHSFPQHKQYLCAGAWILMQGHPESINCTTLARVLREFSPEEVTANIYTMVDVLLHHIQIEPQHGHSTQDILLKVCANLSFFVWTQELLPLDILLLAFIDRDDDPNALRIVISLLDRQELQQRVKLYCISRGSPEHWLHSVVFKRNELQKALGNHLSWKDRYPVFFDDIAARLLPIIPLIVYRLIENDAVDQADRLLALYSPFLAYHPLRFTFVRDILAYFYSHLPNKLIVRILYVLDISKIPFSESFPTHISSPGPVMCPPMDYFATLLLGLVNNVIPSLQTSKSGTVGDNSSSSMRPSHNKSPATNPPVATSAPESQKAFYQIQDPGTYTQLVLETAVIELLSLPVSAGQIVSSLVQIVVNIQPTLIQSSNNLHVAPSSMGQGSAIPTSPSGGSTDSLNAGRSNTSVSGINTPNFVSRSGYTCQQLSSLMIQACGLLLAQLPQEFHGQLYLEASRIIKETWWLSDATRSLGELNSAVGYSLMDPTWAAQDNTSTAIGNTVALLHSLFSNLPQEWLEGAHVIIKHLRPVTSVAMLRIVFRIIGPLLPKLANAHSLFCKTLSSLLSVMVDVFGKNSQTSIPVEAAEIADLIDFLHHVVHYEGQGGPVVASSKPRQEVLAIIGRALENLRPDVQHLLSHLKPDTTTSIYAATHPKLVQNPA >KGN52173 pep chromosome:ASM407v2:5:24126570:24133223:1 gene:Csa_5G613510 transcript:KGN52173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFLFTGKPLPLFFYKDHLQIIAKSTSSTAKIRSPATFMAPEDAVVVVNGLNFEQRHGKERVRVARVWRTKGGRHFIVEWSVGISLLSDCVAAYVSDDNSDIVATDTMKNTVYAKAKECSDQTSVEDFAIFLAKHFTLYYNQVTTTIVKIAEKSWERVSVNGQPHNHGFKLGSEKHTTEVIFKKSGVLQVSSGIEELSLLKTTQSGFERFHRDKYTALPETRERILATEVSALWRYSFDSLYDIPKNQGYFTETYLDVKKVLVDTFFGPPKEGVYSPSVQYTLYEMAKNVLSRFQVISSVKLKMPNLHFLPVNISTKDNQSIVKFEDDVYLPTDEPHGSIEASLSRFSSKL >KGN52569 pep chromosome:ASM407v2:5:27002863:27005989:-1 gene:Csa_5G643970 transcript:KGN52569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSKAIPHEIGGIQNDALRFGLQGVKSDLVGSHPVESLYETTRRTQEEMKRKVLVNTYGSAFPLKMDLDRQILSRFQRPPGPIPSSMLGLEALTGSLDDFGFEDYLNDPRESESLRPLDMHHGMEVRLGLSKGPVCPSFM >KGN52094 pep chromosome:ASM407v2:5:23673312:23678377:-1 gene:Csa_5G609800 transcript:KGN52094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCADSEQTPLNSMAMGLERSKPLHNFTLPFLKWGNQRYLRCMKLDSDAPHTDDDDDLPPPVDRRPSSAHRFNCRKFHTDKPTLFKDSAKRPRASKSKIHDNYDGDEDIAAVREKLMIDLKTAADRMKVAFWRDGVVDDDDGDGDDGDVTIPEKKIPAAAPAASVTAPAPEKELKPWSLRVRKAAPKALIDTITEGKGGGGGGGGGKVLKIERRSEKKAIRNSPLRSGDGGGSVKSSGRRLVTEKKEREKFSVSLSKKEIEEDFMAMIERRPPRRPKKRPRIVQNQMDTLFPGLWLTEITPDLYEVPEIQENGKVWRFRPLDHTDPLNSQTQFTPIAILNKSADDSTRIRAMLQSSITPIQSPSPIFNQKTNGFPVPPSVVFPIARPSTSLRLRSLPATRSSISSSFDLKAGKGMDSFLDIELKVRDYELDQFGVVNNAVYASYCQHGRHELLESIGLSPDAVARDGDALALSELSLKFLAPLRVRYLL >KGN51440 pep chromosome:ASM407v2:5:19276596:19276775:-1 gene:Csa_5G546585 transcript:KGN51440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDEPKCSSGFMGCTHLIDKDILAWSHGRLIDKDIFANSYQSGSCSLVVRLATRDEQIA >KGN50085 pep chromosome:ASM407v2:5:4965534:4967128:1 gene:Csa_5G153000 transcript:KGN50085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSPPISASISSSPILPQLLNPESNIVTVNVGGHLFQTTKQTLAIAGSSSLLSTISDSSQSLVPFIDRDPDLFSLILSLLRTGYLPSKAKAFDLQDLISESQFYGVESLLINSLSNPSQFEAFNLEKSLILPLNGRDSPSTIASTPFGSLHVAHGGKITSFDWSLQRKTTILTQFSVIDSLLALSPSLAAAGATDFSGLQILNLQTGQVKSILNWENVTRSSSTVQAIGASSELLFTSFESGRRNSNSIMIYDLQTFNPVTEIAHNEIYGAEIDSAIPATMLKWVAGYNLLMASGSHSGPSGVQGNIKFWDIRSGNIAWEVKEKVDCFSDMTVCENLSAIFKIGVSSGEVYSADLRKLGDENPWICLGDRMKVMNGKKVGVGGKIESYGNQVFCSREGGSIELWSEVTVGNGGNKEGGLGLEERVFRKNLMGRMKDLGGGKVMKLGFGGNKMFVIRKDEQCVEVWKSSGKGFWDAL >KGN52148 pep chromosome:ASM407v2:5:24000101:24003448:-1 gene:Csa_5G612280 transcript:KGN52148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLESSEEPRRDSKAAIASGEGVLQKAPRRRRYGTPEFPPCLIFSVRMHNFKLFPHFLLLSPKNVDCNSSSLHKLLLPSLSSAASRARRGFYHYAPEPLSGNEYVCYNGNNKFELQNGGPDIHKEGKCLVLPVQDVVSDYKCEERFGICDEKKSKFTNVGSSPESSRHTFLTTAGHCYPNYKTKHLETNELRRLVEFNDLQFVDEPKQNKQPAERNAVTETPFWLTYTSYNNMDHARIVATLLMNCTNVLELYQIHAHVLRTNMLENHPSSFYWNIIIRSYTRLEVPRIALFVYIDMLRAGILPDCYTLPIVFKALSLAYAFDLGLQLHSVAIRLGFEFDQYSESGLISLYSKIGDLECACKVFEQNHNRKLGSWNAIIAGLSQGGRAKEAVNMFIKLRQSGLEPDDFTIVSVTSACGSLGNLELSLQMHKFVFQVKVTGKSNILMLNSLIDMYGKCGRMDLAMKVFSNMGHRNVSSWTSLIVGYAMHGQVKQALENFQFMREAGVPPNQVTFVGVLSACVHGGMINEGKHYFDMMKNVYGFKPQLPHYGCMVDLLSKAGLLEEARRMIEEMPMKANSIIWGCLIGGCEKHGNVEIGEWAGKHLQELEPWNDGVYVVLSNIYATNGMWKEAQKMRDVMKQRQLAKVPGYSLATRLD >KGN50194 pep chromosome:ASM407v2:5:5722758:5724547:-1 gene:Csa_5G158500 transcript:KGN50194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSPTSFISSSHFTPIQECDREEREDTSATAAGGCTDRGTSPKHYPTPLNRNKNGKSQTIKKRSESESDSVSSSDGPVSCNRCRPHAREKISVVPLDNNNGVNKQTYFSMASPNGIFKSLISSLTRKSPKSINESSALTAREEQWRAAVTELSQKLVQATRKRDEAVMEASRLKYAMAELEKKLDKLETYCHSLKSGIEECSGNSPCQIGKYNQIQSFQQSNQKQVIEHFLVSVSESRSSIRLLSRSLTLQLRHVGAKVYERISVLLQPYDIKTSFSKNPRSMLFYLEALLNQAFFEDFESIGFQKNASTQVLNPIERCEANFECFNFLHELTWEEVLSKGTKHFSEDFSRFCDRKMSEIVAMLGWNRAWPEPLLQAFFSASKSVWLLHLLANSVHPNLPIFRVEKEADFDSVYMEDMGGDKARKLIPSLVRIMIAPGFYVYGSVVKCKVLCRYNAATATATATATAVTAAALSP >KGN50398 pep chromosome:ASM407v2:5:6962639:6965837:1 gene:Csa_5G172290 transcript:KGN50398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSINPGDIKDLSSGFERKLEVKNSLVVCFGEVLIDFVPTVGGVSLAEAPAFKKAPGGAPANVAVGISRLGGSSAFIGKVGDDEFGHMLVDILKQNNVDCSGVRFDPNARTALAFVTLREDGEREFLFFRHPSADMLLTERELEVKVIEQAKIFHYGSISLIDEPSKSAHLAALKLAKNAGCLLSYDPNLRLPLWPSPEAARDGIMSIWDQADIVKISEDEITFLTGGDDPYDDNVVLKKLFRPNFKLLIVTEGSQGCRYYTQKFRGRVAGIKANPVDTTGAGDAFVSGILFRIASDSSIFQDEQRLQDALRFANACGAITVMERGAIPALPTKEAVQKIMSNATTV >KGN51088 pep chromosome:ASM407v2:5:15731419:15733216:-1 gene:Csa_5G432550 transcript:KGN51088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGRRKNKNINVFPKSHGHDDSASASVVTSSRYEKPVHYILKIQSFSLLKEGLACSPRQRFESQKFNAGGYEWKLALYPNGDQRRDVSDHISLYLVMVGDNILSTTSEVNAVFTFLVYDTLRGKYLTVQDGKMRRFSATKTEWGIEKLLPLNTFKDASNGFLVDDCCVFGVDIFVMNSDVGKGEVFSLIEQPNNYKYTWKLNNFSKLDSSLRECNPFTVENCCWKIRLFPSGDLQAKPGFFSMYLMLTNLKEFPQGAQVYVEYEMAVLSQLEDVPPIKETCN >KGN51149 pep chromosome:ASM407v2:5:16448210:16449184:1 gene:Csa_5G467930 transcript:KGN51149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGQSNDGDFDVTKFGAMPNTEIFQNVRFDYIKNSIVSDITSKDSKSFHFNVLGCKNLTFKHVNINAPQDSPNTDGIHIGRSVGINVINTKIGTGDDCISLGDGSQQESELRIAH >KGN51640 pep chromosome:ASM407v2:5:20993270:20995045:-1 gene:Csa_5G587110 transcript:KGN51640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSASSAATHHLDASLVIATRSDTTAAADPSPRSTSTANAANKRSTKDRHTKVEGRGRRIRMPATCAARVFQLTRELGHKSDGETIEWLLQQAEPAIIAATGTGTIPANFSSLNISIRSSGSTLSAPPSKSAPHSFHGAALALAHHPHAYEEGFAHAALLGFHHQQQQQQQHHLNLMTADQIGEGLPSGGGESTDNYMRKRFREDLFKEDTQSPGESSGGGGSPKAMKTDLQLEKQQQQPSASSSGLLRPGAAMWAVAAPGPSSGASNTFWMLPVTAGSGGGNVGNSSGGGGGGGGGGDGGLEAHQMWPFSTSGNTLQAPLHLMPRFNIPGGVEIQAAAAAAAAAAAAGGGRGGGGQLQLGSMLMQQAAGGGGGGLGVSETNLGMLAALNAAYNSRSGSDYEDEREKRIRYNMIENENV >KGN50653 pep chromosome:ASM407v2:5:9010389:9011765:1 gene:Csa_5G202370 transcript:KGN50653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNNPRRKSKMKPSATTTTTTTTTTSDDAPHHAFPDEYDPEVWASFDQTFRQVQSVLDRNRTLIQQVNENHQSRIPSNMVDNVALIQELNGNISKVVSMYSDFSSDFSTAFHNHKPRTGKRGTTNPDA >KGN51211 pep chromosome:ASM407v2:5:17254959:17262439:1 gene:Csa_5G492330 transcript:KGN51211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPEPLPWDRKDFFKERKHERSEFLGPLPRWRDSSSHGSREFSRWGSGDFRRPPGHGRQGGWHVFSEEYGHGYGPSMSFNNKMLENVSSRPSVSHGDGKYARNGRESRSFSQRDWKGHSWATSNGSTNNGGRMQHDLNYDQRSVHDMLIYPSHSHSDFVNPREKVKGQHDKVDDVNGLGTNQRRDREYSVSSSGWKPLKWTRSGGLSSRTSTSGHSSSKKSIEALDSNDRKSETVSKNASQNFSPSADHAECAMSSLPYDDASARKKPRLGWGEGLAKYEKKKVEVPDGSTAFTNITAESTHSLNSSLIEKGPRGSGFADCTSPATPSSVISGSPPGGDEKSFGKASSDNDVSNFHGSPGSCFQNQYEGTSTVEKLDNFSIANLCSPLIQLLQSNDSISVDSTALSKLLIYKNQISKVLETTESEIDLLENELKGLKSESKGYFSFTLASSSLLVGDKFFEEQNNVANAVATLPVVTSANTISKTMAHSTSDLEEVYADKDRSGRLDVKESVMKEKLTIYGCSVKENIAAYIDNSVPIKSEGVTVHPVANDMYECAEGGDSVSDLILASNKESACKASEALIGMLPTNERKIDIWSTNACSQNQCLVKERFAKRKRLLRFKERVITLKFKAYQSLWKENLHVPPVRKLRAKSQKKHQLSLWTNYSGYQKNRSSIRYRMPSPAGNLNPVSSTEILKHVSMQLSTPQIKQYRRTLKMPALVLDQKDKMGSRFISNNGLVENPCAVEKERAMINPWTSEEKDVFMEKLECFGKDFGKIASFLDHKTTADCVEFYYKNHKSDCFEKTKKLEFGKKVKSSTSNYLMTTGKKWNPETNAASLDMLGAASTMTARAHKYSSSRSGGRTSYHITQFDDGLSERAKGLNGFGNEREKVAADVLAGICGSLSSEAMGSCVTSNFNRGDSSQDLKCKKGVTTVLRQRMTTNVPRYVDNEIFSDESCGEMGPSYWTDGEKSLFIEAVSVYGKNFSVISTHVGSKSTDQCKVFFSKARKCLGLDLICSAKKMPDNGNGHDADRSNGEGGVDTKDAFPCEMVGSRVVDDLPKAVMSISGGESESMNLQSTHQEVNPSSKTCSNAAVDAMVSDDECTRKDGSQSGFDDDCQSVNSANDKNGLIHEQQHVVISDETAKEQDISVLVATSVGNVSDTETKRGNVDASTARGDKADSHATDCPSIPSNSHITSSAKEEQGRHHVRVHSRSLSDSEQSSRNGDIKLFGQILTHSSFVPSSKSGSSENGIKTTEPHHKFKRRLKVNSHGNLSTAKFNCKNSPGQEENTPSRSYGIWDGNQIRTGLLSLPDPTTLLSRYPTFNHLSKPASSPTEQSPSGCKEETSNSNKETQKREVNNSRKEEVVGEMNVEESCCNEGGGGGGS >KGN51090 pep chromosome:ASM407v2:5:15775961:15799043:1 gene:Csa_5G435050 transcript:KGN51090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAPSCSSILDAEIVGIRFSLANGQEICIAAISDCPITHASQLSNPFLGLPIEFGKCESCGTSEPGKCEGHFGYIELPIPIYHPNHITELKKMLSLLCLKCLKMKKTKFPSKNIGFAERLLSSCCEDASQVTIREAKKADGASYLQLKVPSRTSLQERFWDFLERYGFRYGDNFTRTLLPCEVKEMLKKIPNETRKKLAGRGYYPQDGYILQYLPVPPNCLSVPEISDGVTVMSSDPAVSMLKKILKQVEIIKGSRSGAPNFESHEVEANDLQLAVDQYLQVRGTVKASRGIDARFGVNKELNDPSTKAWLEKMRTLFIRKGSGFSSRSVITGDAYKLVNEIGVPFEVAQRITFEERVSVHNIRYLQELVDKKLCLTYRDGSSAYSLREGSMGHTYLKPGQIVHRRIMDGDIVFINRPPTTHKHSLQALRVYLHDDHVVKINPLICGPLSADFDGDCIHLFYPQSIAAKAEVLGLFSVEKQLLSSHSGNLNLQLANDSLLSLKMMFRKYFLGKAAAQQLAMFVSSYLPPPALLGVRSGSLHWTALQILQTVLPASFDCHGDSYLIKNSNFLKFDFDRDAMPSLINEILTSIFFQKGPEEVLKFFDSLQPLLMEHIFSEGFSVGLDDYSMPMAFLQALQKNIQVLSPLLYQLRSTFNELVELQLENHLRSVKVPFTNFILKLSSLGKLFDSKSESAINKVVQQIGFLGLQLSDKGRFYSKSLIEDVASLFHNRYSSDKIDYPSAEFGLVKGCFFHGLDPYEEMVHSISTREVMVRSSRGLTEPGTLFKNLMAILRDVVICYDGTVRNVCSNSIIQLEYGMKAGMMQPYSLFPPGEPVGVLAATAMSTPAYKAVLDSTPSSNSSWDMMKEILLCKVSFKNEPIDRRVILYLNNCACGRKYCNENAAYVVKSHLKKVTLKDAAMDFMIEYNRQPTPSGLGPGLVGHVHLNRMLLKELNIDMTEVLRRCQETMSSFKKKKKKIAHALRFSISEHCAFHQWNGEESIDMPCLIFWHQTRDVHLERTAHILADIVFPLLSETIIKGDPRIKSASVIWISPDSTSWQKNPSRWQDGELALDVCLEKSAVKQNGDAWRNVLDCCLPVLHLIDTRRSVPYAIKQVQELLGISCAFDQMIQRLSKSVSMVSKGVLGDHLILLANSMTCTGNMIGFNSGGYKALSRALNIQVPFTEATLFTPRKCFEKAAEKCHKDSLSSIVASCSWGKHVAVGTGSRFDILWDQKELGCKQDDVVDVYNFLHMVRSGKSEEPTSACLGEEIEDIMVEDEYGELTLSPEPFSTSEKPVFEDSAEFEHCLDNYPGESKWEKAPSLGAVSTGGGQWESNENGKATNSSDGNDWSGWGRKAEPDVTVTNAQENTSNSAWDTTSSWGNKATNSSNDNDWSNCSTKEVERDSFTSMEKTPKSGGWDSASTWGTKTKDDSFKRETAPKKSSQWSGLQKDKAETQDAFHKKAEMASKSGGWEDKAWSRGTSKTEDNWSSQVKDKAESFQVQVQEVSSKTNGWGSTGGWTKNSGGDHQSEAGWNDGQASMDREKVSDRWDRKATQKLESHQTSSWGSPTVGDSKDSFPSKAVDHSDSVVNHSWDRQKSPEASQGFGNDAWGQQKSRDVIKPSLANNESNLSGWGSQIESNEGSDHGFDQVTNEQKSSDTRGWDSQEKTDKPWDKQKSLEASQSWGSQNDSLGSWGQPQRASEECSRESQDDSSTQFSQLKPPETSLGWEQQKSPEVSHGWGSNKESSEQTSSHGWDKKNQGSKGWGGNAGEWKNRKNRPPKSPGMSNDDANLRALYTASGQRLDMFTSEEQDILADIEPIMQSIRKVMHQSGYNDGDPLSAEDQSFVLQSVFNFHPDKAAKMGAGIDHFMVSRHSSFQESRCFYVVTTDGHKEDFSYRKCLDNFIKGKYPDLAEMFVAKYFRKPRPNRNRDRNPASEENENKSIGGELTPIPEEAQNGSQQ >KGN50750 pep chromosome:ASM407v2:5:10214068:10218168:1 gene:Csa_5G223080 transcript:KGN50750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIRYRAPPLFPLGMVSPFPSSSSSSFSSLSSFSSNSNLISFNFSPSFPIPRPFLSFPSLLSAFLHKPCRSMTHSVCFSGPSPTAPIHSSSEESEDVESASLVVVSFYKFADFPDHAEFRLPLKKLCEELRVSGGIILAPEGINGSICGSRESVQRVLGFIQSDERLKGLRQVETPVSPEEEAIHNGHTSSSPLAAGEDAPFRWDHVRVKLKKEIVTLGMPVISPVERVGQYVKPRDWNALISDPDTVVIDVRNHYETRIGKFKTAVDPCTTAFRDFPAWVEDKLQLPKLDCKHSNQGGEETVNPKESSPPRVAMYCTGGIRCEKASSYLLSKGFKEVYHLEGGILKYLEEVPKSESLWEGECFVFDKRVSVEHGLEQGTFKLCYGCKQPVSDADMESPEWEYGVSCPYCFSTKSEEEKERARARQRQFETWGIIGGPDKGRRPTQNDDNVSHPNSV >KGN51355 pep chromosome:ASM407v2:5:18398706:18402737:-1 gene:Csa_5G523010 transcript:KGN51355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGNELCSQEFDLDAKWLVDPKQIFVGPRIGEGAHGKVHKGKYKDQNVAIKIIRKGEAPEEIAKTEARFAREVAMLSKVQHKNLAKFIGACKEPIMVIVTELLSGGTLRKYLLSIRPRCLDFSEAVGFALDIARAMDCLHSHGIIHRDLKPENLILTADHKTVKLADFGLAREESVTEMMTAETGTYRWMAPELYSTVTLRNGEKKHYNHKVDVYSFGIVFWEIIQNKLPFEGMSNLQAAYAAAFKNLRPSAENLPADLAPIVTSCWKEDPNDRPNFNQIIQMLFKCLSTIPQPEYVPPPTMHPPDNAVLPPESPGTSSLMATTRHGTGEVMNSEIGEKPTGLFSCFAGNCY >KGN52389 pep chromosome:ASM407v2:5:25748328:25752008:1 gene:Csa_5G630910 transcript:KGN52389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNIAEIKDRQAAPLSFSMITVFFLLGLIGSVGQIMAYTGIKYSSLVLLSALSNLIPIFTFLLALLFRMEKVDLRRSSGKAKCVGTILAVLGGSLITLYKGPLLINNSSSNSFVKNEDDDEHVLQLSHNSNWVLGGFLFLITCFLSASWHIAQTWFVGKYPSKKMTNVFFFTLSVTVQTAAFTAIIERNPIVWQLQPDIGMAIFGSVVHIGVHIWCLERKGPVYVAMFKPLGMVTAIPLVVIFLHESLHLGRS >KGN49809 pep chromosome:ASM407v2:5:3275718:3276528:1 gene:Csa_5G137420 transcript:KGN49809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCKLEKRKEIMKLSNQIAMAKLPTSFTLPTIILKSILFLSLNSLTILLLFAGSAVGTVIFHGFPSSAIDAFIISIVFAFSGALSALLVPQRHKLARFCALYSLASLASALLLLIWGLYHTLILGKAQSAL >KGN51162 pep chromosome:ASM407v2:5:16544859:16558983:-1 gene:Csa_5G469040 transcript:KGN51162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSSRGTGNYGQQSSYTAQTGYGQNLGNVYPGNSVGGPDTQQHSIATRHSSMLGASQEADTAAYRSHPSSTTYGGQYSSVYSSTALSSKPQVTQLTAKGSSVPSALEGRGGYASAIADSPKYLSSDYMSSSSHGYGHRTDQLFTEKVTEYPTLDRRQYSERQSAYLGRDLNTDAAGRFSESSVGFGHQRHADSYDRVDQMSLLRQEQLLKAQSLQSDALDGSSRQNDYLAAKAATSRHSTQELLSYGVRVDADPRNVSVLSSSYSGQHSTSILGAAPRRNVDELIYSQSSSNPGYGVSLPPGRDYAAGKGLHGASLESDYSGSMLTHSSHPRIDEHKDDRAGYLREFELREEERRRERFRIREKEREREKVRERERERERERERERDRERRERERERERERERERERERERERILERQKERDREFKRGLEIRRERTPPRVSKDRRGSSLTKEGRSLRRDSPHYEALHRHHSPVKEKRREYVSKVYTHSLVDTQRDYLSLEKRYPRLFVSPEFSKVIVNWPKEKLNLSIHTPVSFEHDFIEEGTVSASKEHFDELMARELEKSNNVNTVWNVKIILMSGISKNALEELSSERSLDDRIPHFCNILRFAILKKDRSFMAIGGPWQSSDGGDPSVDDDALVRTALRYAKDVTQLDLQNCQHWNRFLEIHYDRYGKDGVFSHKEVSVLFVPDLSDCLPSLNAWKEQWLAHKKAIADRERHIALKKETSKEAKEGMEVKEAESTKDTKSVDKFEKEQHTVSIRQADIDQKEKSDKGDKGNTSEGRGTGSSSKLESKDGDERGKEAQNVEKPDQEVSGSTPKSGAVKSGKKKIVKKIIKQKAKTVGDAAASKKNDQVDEKVDGEQISDFPSDQPSNDSATVKAPGKKKVIKRVGKSPQNEKNKDTLPKVENEVNCSEDKSKDNSDLNAAVGQDPVVKTTVKKKVIKRVPKKKVTVEEVSKKGEGGDANEKKVTADETHNVEKSTADDKQEKKSTADDKQENKSATDDKQEKKIPKSNSTSPAVLKRRDSVNLKKSEKEPAVKNDNDTGKAANPVTTSIDKQKVGEKDSSDGKKERSRDGEQSKDEKEKMGKDESRSKPNKDLKEKRKSEEPPRHPGLILQTRWSKDSKCRSLSLSLDSLLEYTDKDIEEPTFELSLFAESFYEMLQYQMGSRILTFLQKLRVKFVAKRNQRKRQREEIHKEDNKKSSPKRPKTTDIPIENKSTEPESSTLSQADAETPAVEGNDLATHVDETKMETETDYGDEPEEDPEEDPEEDPEEYEEMDDTSSRHNSSNENEADATVETNDEEDATMVTNEEDAKTELNKEAQTANVVSEKVAGNIPEEEETKGSNQESASKKATESDKRGVEVEMKKKEVSPPKEAVVDKELLQAFRFFDRNLVGYIRVEDMRMVIHNMGKFLSHRDVKELVHSALLESNTGRDDRILYGKLVRMSDI >KGN51118 pep chromosome:ASM407v2:5:16104019:16116351:1 gene:Csa_5G456220 transcript:KGN51118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDVQAIVNDFVIKLMKRKIEGSLATAKHTAELLRSVISAQRIPYTNQAAALIDAVKAVGEQLIAANPVELAVGNIVRRVLHIIREEDLSLTTASIAGLSLSAVSDDEDDIEKDDRPVLSAAAVAAAARSTLRPPSLQTLLEDVPNQTSVHQTSSSGGDSEGKSKSADKSSRSRKLKHDVIEAVNELIQDIATCHEQIAEQAVEHIHQNEVILTLGSSRTVLEFLCAAKEKKRSFRVFVAEGAPRYQGHLLAKELVTRGLQTTLITDSAVFAMISRVNMVIVGAHAVMANGGVMAPVGLNMVALAAKRHAVPFVVLAGSHKLCPLYPHNPEVLLNELRSPSELLDFGEFSDCMDFGTCTGSPLLHVVNPTFDYVPPSLVSLFITDTGGHNSSYMYRLIADYYSADDLVIKRSSTGS >KGN51589 pep chromosome:ASM407v2:5:20642351:20651278:1 gene:Csa_5G582220 transcript:KGN51589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISLASFSRIRSNCSRSQLPELPRHFAPLQSQIRFSVSRNPSVRFCLSNAKISANDPLKSEDDFSNHEMEGSMEKNENQQKHPQKSNEVLDKLRRYGLSGILSYGLLNTVYYLTTFLVVWFYIAPAPGKMGYVAAAGRFLKIMATVWAGSQVTKLARAAGYVCALKFSKKGKQNCYLGSCFGAIRRQRVVMVHRQLQLRVSGEGIYGDCWVLLRIGSLVIHCCYSAFSLRQPWKVELVSSPNRNQNLSCSISG >KGN51668 pep chromosome:ASM407v2:5:21141705:21148891:-1 gene:Csa_5G589360 transcript:KGN51668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVARTMSRSLSIFLTEPSRPLHFSPSSSSSSSIRTNRSFSHRLYCNARRINARGFHFSKPTLLNCSYDDTQSTSSSNQDGQDPPQEAVLKAISEVSKTEGRVGHTTNMVLGGTVTSDSSNEWLALDQKVNSYPGVRGFTAIGTGGDDFVQSMVVAVESVIQQPIPEGKVRHKLSAKGKYISVNIGPVQVISSEQVQAVYNAMKRDDRMKYFL >KGN50957 pep chromosome:ASM407v2:5:13839393:13839978:-1 gene:Csa_5G373190 transcript:KGN50957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNWVCEEMQTAATDRRERIVCPKPRRLGLINDTCNDNPFRHRFDSDALDLLFLKGGCGLENFNSSNAQLASSPPYFCGSPPSRVANPLIQDARFGDEKPKLLPLFSPAASPPLSPPPSAGRKGGCVRVNFGKNPAVRIEGFDCRLDRERRNRSIPALA >KGN52071 pep chromosome:ASM407v2:5:23535379:23537008:-1 gene:Csa_5G608590 transcript:KGN52071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNGATPLTAIAESFEGLAKLVKSLKNSSQELRLDTLCDACSLVSILFSSLGLAFKFAELEYVSKIANRMKFECHSRNLRRVRQGLDLIRALFEQFMSTDEYSLRDAASTAYTRVCAPYHSWAVRTAVSAGMYTLPTREQLLLKLNETNQSAEKKMRRYINASGPVIEYIDKLYISRKISLDW >KGN51846 pep chromosome:ASM407v2:5:22267107:22270456:1 gene:Csa_5G603920 transcript:KGN51846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLSHLILFLFFLSLSPLSYSQLSPPQNIETYYPFPQPPSPSSSSVDHPPSSTSTKTIATAVAVTAVGVALISTFFFFLIQRYVIGRKRKTEVVNSGTGSGSGSAVPPAVAQSDFSRVDGNLKGFIVDENGLDVIYWKKLEQRKSKNSFDRDVEGNVKENRTKKSEPVQEIPLLRGKSSTSHVKIAPEDEDDSRITSPPPPPPPQVNQPPQFTAISVQAVGKSPSSSNLSSTAPTQSTGNQVPPKQSPMAVPPPPPPIPAKTNSRLPPPPPPIPKTNSRPPPPPPPIQPKTNSAGPPPPPIPAKANPSAPPPPPPKAGGSKLPLRPAPPKESNKSSAEASSSADNGQVKMKPLHWDKVNTANADHSMVWDKMTAGSFKFDGDLMEALFGYVATNRKSPRSEASSSATAVGRNSGPSQTFILEPKKSQNIAIVIKSLTVPRNDILDALNEGQGLETEVLEKLTRIALTQEEISQILAYKGDHQKLADAESFLYHLLKSVPSAFTRFNAMLFRLNFTSDILHHKESLQTLESACKELRTRGLFMKLLEAILKAGNRLNAGTARGNARAFNLTALRKLSDVRSTDGKTTLLHFVVQEVIRAEGKRCVLNRNKSLSRNSSRSSDNSFSSLENSAAKEDRVKEYMMLGLPVVGGLSSEFSHVKKASAIDYESFVKAGTSLTSRTEEIRKLLTQMGNNEGGFAKEMREFLDAAENELKMVREAQTKVMDLVMKTTEYYQAGSSKDKETNRLQLFIIIKDFLEMVDRVCVEITRDLQRKRSSAVNAGTGSGSLPGRSKAIFHNLPENFMSDKSRGSSSDTDDEF >KGN52422 pep chromosome:ASM407v2:5:25963068:25963977:-1 gene:Csa_5G633200 transcript:KGN52422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRTEEINRELKQGGEFEDKDSIRNALLSAGTALLMATCLKKLAVVMFLVEQWRIWVFLVLNLILVAIFFTSNPANPANYSETQKQETSGKTKLKKIEKRELEEAKKEEDKTKQKKIENREIKEAIKEEDEIKEEDEIEEEEEEEIELSKEELNERVEAFIVMFKKQLISDARKGGNRFSYNHNYDKNKRKNSQ >KGN51661 pep chromosome:ASM407v2:5:21112607:21114014:-1 gene:Csa_5G589290 transcript:KGN51661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHIAAMEEQVVSERMRQKLNEVNMAAQSHLGPIQDHVNFSLQQAYFKCAYECFDRRRRQEEISNCVENCSVPVVKAQQHVENEMAKFQERLNRSLMVCQDKFEAARLQQKTGSVYDLESCVDASIQESMNTLPHLANKLKASFNITN >KGN51167 pep chromosome:ASM407v2:5:16604521:16611003:-1 gene:Csa_5G470570 transcript:KGN51167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVHLAVDLKESVISMNDLDATIISSNFWPPIQDENINLPASVDHLLTDYAQRFNEIKTPRKLQWKKNLGTVKLELQFEDRELQFTVAPVHAVIIMQFQHQKSWSSRSLAAAVGVPVDILSRRINFWVNKGILSESRTADSTDHVYVLVESMIDTSKNVSNNGNNEDLMVGEDEGEGSVASVEDQIRKEMTVYEKFILGMLTNFGSMALDRIHNTLKMFCVADPSYDKSIQQLQSFLSGLVSEEKLELRDGMYLLKK >KGN51720 pep chromosome:ASM407v2:5:21464876:21470359:-1 gene:Csa_5G593330 transcript:KGN51720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPAASRPAVVIDNGTGYTKMGFAGNVEPCFIVPSVVAVNESFLNQSRNSSKANWLAQHNAGVMADLDFFIGDEALAKSRSSSTYNLSYPIRNGQVYNWDAMERFWQQCIFNYLRCDPEDHYFLLTESPLTAPESREYTGEIMFETFNVPGLYIAVNSVLALAAGYTTSKCEMTGVVVDVGDGATHVVPVADGYVIGSSIKSVPIAGKDVTLFVQQLMKERGENVPPEDSFEVARKVKEMYCYTCSDIVKEFNKHDKEPGKYLKHWKGIKPKTGAPYSCDIGYERFLGPEVFFNPEIYSSEFTTPLPAVIDRCIQSAPIDTRRALYKNIVLSGGSTMFKDFHRRLQRDLKKIVDARILASEARLGGEIKSHPVEVNVVSHPIQRFAVWFGGSVLASTPEFFAACHTKAEYEEYGASICRTNPVFKGMY >KGN51667 pep chromosome:ASM407v2:5:21139667:21140942:1 gene:Csa_5G589350 transcript:KGN51667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNRISAKPWILGLAVAGLIAGSLLISGYIGFVDSNLFCSISRTGAPTITGYSPAEVQFQSIIHYATSKTVPQQSIDEIHISYDVLKVRCPCNFLVFGLGHDSLMWASLNPLGTTIFLEEDPKWVQTVLKDAPMLRAYHVQYRTQLQEADRLLSTYKSEPYCSPSKAFLKGNEKCKLALHNLPEEIYEKEWDLIMIDAPRGYFAEAPGRMAAIFSATVMARNRKGSGVTDVFLHDVDRKVERTFAQEFLCKNKYYVNGVGRLWHFKIPPAANMSEADRSSDRFC >KGN50220 pep chromosome:ASM407v2:5:5892347:5895342:-1 gene:Csa_5G160230 transcript:KGN50220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTFNHFPTFFASGHHPENLPFYSPLPGDPLQSPSIQRRPVKRTLLISSGFFLVCLLVAIIVQNNVDFVATFPGLSFLSSKSPEILPPVSRGVSEGVSEKANRHFIGQNLAYFPWNNSMLSWQRTAFHFQPEENWMNDPNGPLYYNGWYHFFYQYNPRAAVWGNIVWGHAVSTDLIHWLHLPLALVPDQWYDINGVWTGSATILPDGRIMMLYTGSTKEHVQVQNLAYPANLSDPLLIDWVKFSGNPVLVPPPGIDFRDFRDPTTAWFTSEGKWRIAIGSKVNRTGISLVYDTEDFKHFQLLDNLLCAVAGTGMWECLDFFPVSKDGKIGLDTSVNGPDVKHVVKTSLDDDRHDYYSLGTYDEKTATWVPDNPKIDVGIGLRYDYGLFYASKSFFDHKKGRRVLWGWIGEADSEYADVQKGWASLQGIPRTVLFDNKTGTNLLQWPVEEIENLRQRSHAFHNLVIHPGSVVPLEVGSSTQLDIFAEFELDKEAVAKAIETNVEFSCQRRGGAAIRGALGPFGLLVLADETLSEHTPVYFYVAKGQNGTLKTFFCTDESRSSEANDVYKPIYGSSVPVLEGEKFSLRILVDHSVVESFAQGGRTCITSRVYPTKAIYGAARLFVFNNAKDTNITASLTIWQMNPAFIRPYHPGGDHDSSKSCVVLLPIYLFLLVSLLHLIKWDVQV >KGN52231 pep chromosome:ASM407v2:5:24517276:24522598:-1 gene:Csa_5G622480 transcript:KGN52231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSETLTLSTPLPPTKPIAAPPPAAAPTALAPGFRFHPTDEELVIYYLKRKVCGKSFRFNAISEVDIYKSEPWDLAGKSRLKSRDQEYYFFSVLDKKYGNGARMNRATSQGYWKATGNDRPVRHDSRNVGLKKTLVFHSGRAPDGKRTNWVMHEYRLVDEELEKAGAGTGSSQDAYVLCRVFHKSNIGPPNGHRYAPFIEEEWDDAGSVLIPGEEIADGKIASHDAQSERNSNSVCAAEGSNDGACGEGKDGMQDKAHFINKDPVISNDPPRSSHNFLFVCKSEKLEDYTPPSIIANPKPFPLMKYKRRRQSDLTSELSKGSENSSMSNEEPSLSETAIASQTDITTTSVTTPTTKNFLSTLVEYSLLESAEPKDAPAPPPALETSDLSSSVHPSILKFIQDLQHEIHKTSMERETLKFELLSARAMISILQSRIVVLNKEINDLKSNYD >KGN50890 pep chromosome:ASM407v2:5:12747832:12751973:1 gene:Csa_5G314860 transcript:KGN50890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRSNGVEGRRWQTGIHISSCSHLPEEMRNPETFKWMMQNPLYRQQLEEMLNNMSGSPQWDGRLMDSLKNFDLSSPEVKQQFDQIGLTPEEVISKIMANPEIAMAFQNPRVQAAIMDCSQNPLSITKYQNDKEVMDVFNKISELFPGVSGAP >KGN50637 pep chromosome:ASM407v2:5:8822262:8828233:-1 gene:Csa_5G198760 transcript:KGN50637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDALINGLAGAGGGIIAQLITYPLQTVNTRQQTERDVKKERRKLGTFQQMCQVVKHEGWDRLYGGLGPSLVGTAASQGVYYYFYQIFRNKAEVASLERMKAGIGDGSVGMLSSLLVAAISGCVNVLLTNPIWVVVTRMQTHKKISKPSLPGGALTPLDETIPPTAVVDPPSYGTTHAIQELYDEAGIKGFWKGVIPTMIMVSNPSIQYMLYETLLNKLKKRRALRKDGSGVTALEIFFLGALAKLGATVVTYPLLVVKARLQAKQVVAGDKRHQYKGTLDAILKMIRYEGLYGFYKGMGTKIVQSVLAAAVLFMVKEELVQSARFLLTKGPVGRIKSKPQ >KGN50930 pep chromosome:ASM407v2:5:13389805:13390710:-1 gene:Csa_5G348060 transcript:KGN50930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSKNAANNLLKDGDHLILIHVQPPNSDTPTKLLFQDTSSPLIPLEQFREIKLEKQYGLSNDAEVLDILHNLATTKGVKVMAKVYWVIQERSCAKLLMILIFTHLFLEAEGIAWECEQPCGEKCFMSSNSGEREAIGTVKLQILIVKSSICPFLLHLFIIYYT >KGN50579 pep chromosome:ASM407v2:5:8338881:8343666:-1 gene:Csa_5G184300 transcript:KGN50579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPFFICDSLKSPSIFKISALILISLTFFYLGKHWSDGYPQLIFFTETRYPPPSVSISPNHDTLFNVSSLIELNLTREAPEKPLSSASAPLPSPILPSSPPPPTPPPSDSVQRFGIVDENGTMADEFEVGDLDPELTENWGNETESGTDESGSAKIRIKKFALCPKSMREYIPCLDNVDAIKQLKSTEKGEKFERHCPDSGGGLSCLVPAPKGYKMPIPWPRSRDEVWFNNVPHTRLVDDKGGQNWISRDKDKFKFPGGGTQFIHGANEYLDHISKIVPDVAFGSHTRVVLDIGCGVASFGAYLLSRNVVTMSIAPKDVHENQIQFALERGVPAMVAAFSTRRLLYPSQAFDLIHCSRCRINWTRDDGVLLLEVDRMLRAGGYFAWAAQPVYKHEEALEQQWEEMINLTTRLCWKFVKKDGYIAIWQKPMNNSCYLTRDAEVKPPLCDIDDDPDKVWYVKLKPCITRLPENGFGRNVTKWPARLQTPPDRLQSIQYDAYISRNELFTAESKYWNEIIGSYVRALHWKKIRLRNVMDMRAGFGGFAAALIDHKLDSWVMNVVPVSGPNTLPVIYDRGLLGVLHDWCEPFDTYPRTYDLLHAAGLFSVEMRRCSMSTIMLEMDRILRPGGRVYVRDTVAVMDELQAIGKAMGWRVSLRDTSEGPHASYRILIGEKRLLHT >KGN50086 pep chromosome:ASM407v2:5:4970690:4972441:1 gene:Csa_5G153010 transcript:KGN50086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDATVAVAVAALVATYLIWFLNIKRSLNGPRVWPLLGSLPGLIKNSHRMHDWIVENLQSTGGTYQTCICAIPFLTKKQGLVTVTCDPKNLEHILKTRFENYPKGPNWQAVFHDLLGDGIFNSDGDTWLFQRKTAALEFTTRTLRQAMVRWVSRAIKSRFCPILELAQRRGKPVDLQDLLLRLTFDNICGLTFGKDPQTLAPELPENSFAMAFDQATEATLCRFILPAFVWRLKKWLRLGMEVTLTQSLNHTNQYLTNIINTRKHELSNQHQVNSNQTPHDDLLSRFMKKKESYSDTFLQHVALNFILAGRDTSSVALSWFFWLVIQTPRVEEKILAEICTVLMETRGKEMSTWTEEPLGFEEVDRLIYLKAALSETLRLYPSVPEDSKHVINDDVLPTGTFVPAGSSVTYSIYSTGRMKFIWGEDCLEFKPERWLHTNENAYKFVAFNAGPRICLGKDLAYLQMKSIAAAVLLRHRLTVVPGHRVEQKMSLTLFMKYGLKVHLHPRDLGRVVETISKTAAETAT >KGN52689 pep chromosome:ASM407v2:5:27777561:27777776:-1 gene:Csa_5G650540 transcript:KGN52689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVWRGDAGSDQDQPRTCPVKFASTGTCQEPYGTAGCYDEVMGKYGNFPPKDCQCIPNGDNSRFCQCNIYC >KGN52620 pep chromosome:ASM407v2:5:27365938:27367828:1 gene:Csa_5G647420 transcript:KGN52620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSLNNRLRSEGRFEVMFKINKRKDVSSLPLRVPRLPKLTAARGFPPTNLPTAHVVSGSRCPRPLVCLPPVFLSPNPSLAALCLPLKSRPSATAAAGEINNEVEA >KGN51412 pep chromosome:ASM407v2:5:18877078:18881309:-1 gene:Csa_5G529950 transcript:KGN51412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRSWSSFALPNSNNSRRSTYTRRLPDDHGDHDLLHHHDHDPQGMLPIFLNDLVNHQDFVEVTLEVEDDSVLVRSIAPVAPSSDLQDDAALSITARIRNKFPWLRSASSRASTASSLDRDDTAQVPMSARDARRLNAQLQRTRSRAQAALRGLRFISKTTNASDANELWQRVHSRFHSLAQAGLLARDDFAECIGMVDSKEFALRIFDALARRKGLRISKINIEELHEFWLKISDQSFDARLQIFFDMADSNEDGRITREEVQELILLSASANNLSKLKERAEEYASLIMEELDPENLGYIELWQLETLLLQRDTYMNYSRPLSTATSVGWSQNLSSFNPKHIIKSATTTFNSVIFQNWQRIWILFLWASTMAALFTWKFLQYKNKAAFDIMGYCLPTAKGAAETLKLNMALILLPVCRNTLTWLRSTAARSLVPFDDNINFHKLIACFIAIGIAVHGGVHLACDFPRLANSSAEEFEVISSDFHNKKPNYKELLMSVEGVTGVFMVILMGISFTLATRRFRRNVVRLPWLFNRLAGFNAFWYSHHLMGFVYLLLLVHGTFLFLAHNWTQKTTWVYISFPLLLYLGERSLRACRSEYYSVEILKVSVLPGNVFSLVMLKPRGFKYISGQYIFLQCPSISQFEWHPFSITSAPGDEYLSVHIRTVGDWTRELKRVFTDHVNSRPLLGRAKLGHLVNMERKSQPRLLVDGPYGAPAQDYQNYDVLLLVGLGIGATPFVSILKDLLNNSRTNEDQQTPAESTTTETSRSEDSFGSLNTSTPSGKRKLQSRTQAQAYFYWVTREASSLEWFKGVMDEVAEMDKKGQIELHNYLTSVYEESDARSTLITMVQALNHAKHGVDILSGTRARTHFARPEWKEVFGRIASKHAYKTVGVFYCGMPMLAKQLRRLSQQFTLKTTTRFEFHKEYF >KGN49855 pep chromosome:ASM407v2:5:3499901:3500685:-1 gene:Csa_5G139330 transcript:KGN49855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKVLKFFLLFLLSISIPFSSGMVVEPLRDDMIAYKSIFKMGGIQMNGRKLMTLDLQDYDETKANNRHDPKKPGNGKP >KGN50036 pep chromosome:ASM407v2:5:4615127:4615900:-1 gene:Csa_5G151520 transcript:KGN50036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEPQPQPVCAQEALNLLNCVTESPFDQEKCYRLLHSLRECVLSKKVKKFSLAEEEQTKPIIKKNA >KGN51653 pep chromosome:ASM407v2:5:21055124:21059602:-1 gene:Csa_5G587730 transcript:KGN51653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVDRDLPTISDDLPALRRVAAAGHILSHQFRFFVHFTADAPLEFFFRITVLGNFLFLAPIMALHSLRLRRSLSVLSTFYRYAAVSSQTRHSIFCPSAPSVSKSPAMISSHWPLRLSSIASYSRSSFARKKEPDKLILEGCDYNHWLITMDFKDSKPTPEEMVRTYEETCAKGLNISVEEAKQKMYACSTTLYQGFQAVMTEEESDKFRDLPGVEFVLPDAYIDPEKKEYGGDKYINGTIIPRPPPTQYGGRQEPIDRNGNPDQPRNERPPRTAPNSQGNSSFNQRGCMQGDGRHFRASENYLPQRPPKNYIPPQREQRDCVPPPGQGNYGSGFNPTQGGPYVLGGYRGHGAGTPYGQGQSHGSYPNFIEVRAILVVALIPHGVDRMGKVDIMVMEGMSYGQGQIFPSSTEGQEFSKEQECLMVKDRFIQALLKVKTFPI >KGN50662 pep chromosome:ASM407v2:5:9138044:9140733:-1 gene:Csa_5G206420 transcript:KGN50662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTNLNQDWPEPIVRVQSLSESGCSAVPSRYIKPLSDRPTVFSVASPTTNIPIIDIHGFALDQDDSLRHTILSQVSDACRNWGFFQIINHGIRDELLDGVRRAWYDFFKLPVEMKQTYANSPKTYEGYGSRLGVQKGAILDWSDYFFLHYLPSHLKDHNKWPVIPNSIRELTEEYGEEVVKVGGKLLKLLSLNLGLQEGYLQNAFGGEEVGACLRVNYYPKCPQPELTLGLSSHSDPGGLTFLLPDDKVAGLQVRKDDKWITVKPAPHAIIVNVGDQVQVLSNAIYRSVEHRVIVNSDKERVSLAFFYNPKSDIPIGPTKALITRDRPALYSPMTFDEYRLFIRTRGPQGKSHVDSLRSPR >KGN52179 pep chromosome:ASM407v2:5:24159015:24165667:-1 gene:Csa_5G613570 transcript:KGN52179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVRSLSNATTTTSSTVFSSHRRRRHHYAHPSPAIILFSLKPPSPPTPSRSDSDDSSSSDPSLSGRIRRPQTLKTTSSPKRTTSQVPSNPLRNLVGSAYVPILPPPPPPPPPVSHSLSEKLWLSSKLSPPPPPISELLEEDRNEIEEIESDNSSSKGRREVQFRQEGKIFVGNLPNWIKKHEVQEFFRQFGPVKNVILIKGHNAVERNAGYGFIIYDGLTADKSAIKAVEFDGVEFHGRVLTVKLDDGRRLKEKTDERARWMEGDDSVEYRSHWHEERDKARNGLRKVIETEPENWQAVVSAFERIKKPSRKEYGLMVNYYARRGDMHRARETFEKMRARGIEPSSHVYTKKMKEEGIEMSLVTYSILVSGFAKTGNAESADHWFQEAKEKHSSLNAIIYGNIIYAYCQRCNMDKAEALVREMEEEGIDAPIDIYHTMMDGYTMVGDEDKCLLVFERFKECGLNPSVITYGCLINLYAKLGKVSKALEVSKEMEHAGIKHNMKTYSMLINGFLKLKDWANAFAIFEDLIKDGIKPDVVLYNNIITAFCGMGKMDRAVCTVKEMQKQRHKPTTRTFMPIIHGFARKGEMKKALDVFDMMRMSGCIPTVHTYNALILGLVEKRKMEKAEQILDEMTLAGVSPNEHTYTTIMHGYASLGDTGKAFTYFTKLRDEGLQLDVYTYEALLKACCKSGRMQSALAVTKEMSAQNIPRNTFIYNILIDGWARRGDIWEAADLMQQMKREGVQPDIHTYTSFINACSKAGDMQRATKTIEEMKSVGVKPNVKTYTTLINGWARASLPEKALSCFEEMKLSGLKPDRAVYHCLMTSLLSRATVAHGCIYPGILSVCREMVDCELTVDMGTAVHWSKCLLKIERTGGEITEALQKTFPPNWNVYNNTLTNSNIDSDDESDISDDEDDDICQEGASSNAGDDGESDGDVVGRSWF >KGN51366 pep chromosome:ASM407v2:5:18482930:18483902:-1 gene:Csa_5G523110 transcript:KGN51366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPSYWRYDMVQSRPFPPRRFSTLDSCLSSREITFKTISLSFGALGVNDVFAAVVYVLLTKLENMKEP >KGN49940 pep chromosome:ASM407v2:5:3932916:3933943:1 gene:Csa_5G141140 transcript:KGN49940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQETTRNLKSKVEKGEEEGNMVGKTEDKAAKIRRLKSGFRICKPQGTFLWPNMGMSPQLQDDEPYFVVPTPPSVSSTTTAAPRLISLSPSPSSIGPHPTSPVKPLAMRPLTTTTTTTFSNITTSPNLINLNEVPPHGPCDLAFCGTLTYQRRHSNATACHDLPNLVCGNQENDGVEGKECSGSPSSTPSWLLMRDKWLLDLATSKSSLDLFSEGE >KGN52024 pep chromosome:ASM407v2:5:23236101:23237424:1 gene:Csa_5G608120 transcript:KGN52024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVTRPLSLYRDSPSVSMPPPEGPNSGILVIQDQEENAELRSSRWCGLFKKKESVKTAPFPQNKILQLTHSAEAGEFEYSESVYAVMIPVLNQPLSSNQYYIINARGNRKGLACTSSKADETSSSKCCYTVPDPPPQLFDPKNQYQQFQISDYVYCGGASGFICNSVAQDGVSPLRLSRNGWRAYIHPLNDFHEPTPAHGLNAQLRARLPDLNFSLPCKSSDPVAVGKWYSPFIFIRDGNQAVGSQMTNSPYYEITLHQNWVEIFGCENNGVAVGNVDVFVEREVVSGESGASSSKNVVDGIVWFEPLKVGLSLVVVERMKWEEDRGGFKWVQEGEEKKVRVVKERLKLKEMGKKWTRFGCYVLVERFVVKRMDGSLVLTWEFRHTHQVTTKWE >KGN50011 pep chromosome:ASM407v2:5:4448539:4452865:-1 gene:Csa_5G148820 transcript:KGN50011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEITNRWKVTYTKHLKQKRKVYHDGFLDIHRSSNKTMLYDECEKLLECRMLKQDEVICSGETLIFNSFLVDIDTPLGDQKPESGLNFQEGDDKISENSGVVRGKSILNNSVCSGAEKNKTRPSFSPSQQIIREFKKRRLKCYGSPQTSLDTRKTEETEWQVLYTTNITQKAKKFHDGFLKLSICGSLGSQVMLFDENRKLLDSRFIKKHETVKSGESIAFDAHLVEIGECEKDHKPSKIPLNEGTSSKEGGASVLHGQKSCFSENEISTGKEWNVLYTSQITQKSKKYHNGIIKISSSGSHQMQVTLLNEDRNILSRKHLSLSKNVRVGEKLELPKYLVEIGEACESVKVELGDRKCDIRKDASFCISGGDENGSGRETTQKSLRDAHQILSILQRPRGRVNLSSGHTDENISVSVSSRNPKPSLAEALHLPKDYQSHQKPSEGQNTRESIKNTENSQSIALTQSTFTGNAETLTEDGEFGQSSKLLRSDHVEAESISLRNSIPRRSDSTACSLVNDDEGKICEEITYEREMHAFPSFDLGI >KGN51637 pep chromosome:ASM407v2:5:20980674:20981138:1 gene:Csa_5G587080 transcript:KGN51637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYMKTDDGSIPSIVADHIGVYLGSVKGRGSIVEVVSEVSLVKSFAPAGGNVDATALQTPLAKSISNKSKASSDGDSKDNLMGLETLMKQSSAAADEQANAEEKFKKTLYGTANDGSSSDEEDVSKTRKLHIRILDKPVTSPTVDVKKIKIGKD >KGN49881 pep chromosome:ASM407v2:5:3650739:3653152:1 gene:Csa_5G139590 transcript:KGN49881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRASSSLGTWKHNRWKACLELFSTLCEGLHTENSNIISTNIYISRHVRDGHLDLAQTLFNEMPVRSVVSWNIMISGYSKFGKYSEALNLASEMHCNNVKLNETTFSSLLSICAHSGCSSEGKQFHCLVLKSGLQIFERVGSALVYFYANINDISGAKQVFDELHDKNDLLWDLLLVGYVKCNLMDDALDLFMKIPTRDVVAWTTMISAYARSEHNCKRGLELFCSMRMNGEVEPNEFTFDSVVRACGRMRYLSWGKVVHGILTKYGFHFDHSVCSALILFYCQCEAIDNAKAVYDSMERPCLKASNSLLEGLIFAGRINDAEEIFCKLREKNPVSYNLMLKGYATSGRIEGSKRLFERMTHKTTSSLNTMISVYSRNGEIDKAFKLFESVKSEGDPVTWNSMISGCIQNHQHEGALKLYITMCRTSVERSRSTFSALFQACTCLEYIQLGQALHVHAIREAFDSNVYVGTSLIDMYAKCGSIYDAQTSFASVCFPNVAAFTALINGYVHHGLGIEAFSVFDEMLKHKVPPNGATLLGILSACSCAGMVKEGMTVFHSMEKCYGVIPTLEHYACVVDLLGRSGRLYEAEAFIRCMPIEADRVIWGALLNACWFWMDLELGESVAKKVLSLDPKAISAYIILSNIYAKLGKWVEKINVRRQLMSLKVKKIRGCSWIDVNNKTCVFSAGDRSHPNCNAIYSTLEHLLANVTP >KGN51238 pep chromosome:ASM407v2:5:17479322:17479903:1 gene:Csa_5G497025 transcript:KGN51238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAESLEDIPNLNLTLASFLSKGGTNSKGVNLVGWNKLTKPLSHGGLSIQGIGQNEAVLAEWIWWYFHEEGALWRQVINVNYGVNKDSSWPTVSFPEHIKGPWRTILHLNIIKERVTIKIGNGKNTSFWLDHRILTAPLSTLYPGSQLGIQQTVHR >KGN50840 pep chromosome:ASM407v2:5:11856574:11857191:-1 gene:Csa_5G286040 transcript:KGN50840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADICPPGKNQWPELVGVKATTAKYIIKKDNPNVENVVVLLAGSGTTEDIRCDRVWVFVNIHDLVVEVPKVG >KGN50828 pep chromosome:ASM407v2:5:11702333:11703033:-1 gene:Csa_5G277970 transcript:KGN50828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVQGSSKKMIATQAEMVEARVPIPYRDQCAHLLIPLNKCRQSEFYLPWKCEDERHSYEKCEYELVMERMLQMQKIREEQAKLKKGIHLIPKTANV >KGN51964 pep chromosome:ASM407v2:5:22922634:22925069:-1 gene:Csa_5G606540 transcript:KGN51964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHLLKQMGSRYPKPEHNREAQSSRRDVYLAPHKSPSFREKRTFGNWLGRQFSRQMSRGNEFSDVGMERATAVAAAAFAIHLVEESEFSKPVKKIDRPKSSSFPRVKIVEEDNRSPPPRPAPEPDRILRPLSNVAKDPEAATGEKPAAKTEQQKQKPIGKITVPSPPVVYDYSRDRGRTETEKPLPIPRPPTPPISRKQPTAPIQTRPEETKADMWEKAELAKIQERYQKVNETISYWETKKREKAICKFEASQVEGTKRSQREKGRKKFEEDMEFIKQIAGEARSKADHKKKNEILKANRKADIIRQTGDIPVSCYCC >KGN51305 pep chromosome:ASM407v2:5:18062582:18063346:-1 gene:Csa_5G516820 transcript:KGN51305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNQLCTPNQLKHELELKEYLKPYTCDGCKEIGFGPRYRCEKCNFDLHKACMFPQDSPIFHEFFPQSAFEFFKTPPRACCDDCKKFCDACTKPINGFMYHCKKVKVELDLHPCCRNLNRNYKIEDVEFNLYKKVKGKCMWCKKRTINPHGQTNGWSYISECGEYHVHVACVIEMALEEWHKNGGNWINANNANQDQQLALQKLNLKAIKARGRGKGGKGNKYWRILKVFIGTIVSIVLGDPTTVLVSLFVELIT >KGN50003 pep chromosome:ASM407v2:5:4389925:4392171:1 gene:Csa_5G148740 transcript:KGN50003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEKLYDGIEEARENANDRRSVAACLVQGVYISERDRQEKRQGSKAFAPRWWEFCHFQLLRQLVDDVDSSIFGAVYEFKPLLLQGHHKVDGSPRFVIAFRGTLTKPDSVSRDIELDLHLIQNGLHRTSRFEIAMQVVRNMVATVGDSNVWLAGHSLGSAMAMLAGRTMARTGIFLKSYLFNPPFLAAPIERIKDKKLKRGLRIAGSVITAGLALALKARSNSNQINGTEDPFFAIAAWVPSLFVNPSDHVGSEYIGYFEHRKNMEDIGAGSIERLATQNSIGGLLLNALGRESEPVHLIPSAELVINLSPAQYFKQAHGIHQWWQPHLQVRSKIYEYS >KGN52045 pep chromosome:ASM407v2:5:23378778:23381248:1 gene:Csa_5G608330 transcript:KGN52045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGEDQEEDHQQQQHQGECSQTIENMFQEQLLLHQQQLQNNDGDHNNNDHHMMYGVEHHHHGIGRSGLIFPPEVMPPMLQPWSSLNPFMIPPPPPPPLPTSLSCSSSSYGSLFNRRPPNCLQFAYDGPSSADHLGRIISTTLGPVVHPGSTAPFGLQAELGKMSAQEIMDAKALAASKSHSEAERRRRERINNHLAKLRSILPSTTKTDKASLLAEVIEHVKELKRQTSIIAETSPIPTEVDEVSVDDASEQEMMMISNNGSISSSAKFVIKASLCCEDRSDLLPDLIKTLKSLRLTTLKAEITTLGGRLRNVLFVTADEEQQQQHNITSIIQDALKAVIEKTAGDHDSSSANIKRQKKGPPLQITLIIIISFNLFFLLLFEKFW >KGN51230 pep chromosome:ASM407v2:5:17418529:17422469:1 gene:Csa_5G495970 transcript:KGN51230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKGGWFSAVKKAFAPESKEKKDQKTNKSKKRWFGKPKKLETVTSAEPASFDVSILPIEEVKLADAENEQSKHAYSVAIATAVAAEAAVAAAQAAAEVVRLTTIPRYSGKSKEEIAAIKIQTAFRGYLARRALRALRGLVRLKSLIQGQSVKRQATTTLRCMQTLARVQSQIRARRIRMSEENQALQRQLQQKHERELERLTTSANYEWNDSTKSKEQIEARLANRQEAATRRERALAYAYSHQNSWKSSSKSANSTFMDPNNPRWGWSWLERWMAARPWETKSTMDYHDRGSVKSVISHTTSIGDIAKAYARRDLNLDIIKQFPRTPTSQKTSRAPSHQSPATPTKAYSSLSAGRKLKPDSPRGIGWSGDADSRSALSIKSERYRRHSIAGSSVRDDESFTSSPSVPSYMASTEAARARSRLSSPMGTEKTAGTPGSVGAKKRLSFPGSPANSRRHSGPPKLEASPIKNVGEREFTGESR >KGN51266 pep chromosome:ASM407v2:5:17682736:17683035:-1 gene:Csa_5G505760 transcript:KGN51266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLLLLEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKERKMEVGLKVRE >KGN52558 pep chromosome:ASM407v2:5:26940708:26942353:1 gene:Csa_5G643370 transcript:KGN52558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLHAFEAPIVNKSVLWNSYKGILLALTLILLTIIPLSTNKNLPSSVPSLWRNITSLKTVKSEKKCDVFRGAWVSKSEQPYYTNDTCDMMFEYQNCLKYGRPDKEFLKWRWKPDECELPLFDSAQFLEIVKGKSLAFVGDSVARNHMQSLLCLLSNVSHPVDVSLKYNLTYDFKRWFFPDYNFTVARFWSPYLVKSRDADQNGFSSNSLMNLYLDEADETWISAVETFDYVIFSAGQWFFRPQVYYENGQMKGCFNCQQSNVTQILNFYGYGKVFQTSFRKLMALKGYKGMTFMRTFSPSHFENGDWNKGGNCARTRPFTKEEMEWKSFVFELHKAQVEEFRAAEEEGLRRGLQFKLLDTTEAMLMRPDGHPNHYSPPRNGNIADCVHWCLPGPIDTWNEFLFYILKTGREDTH >KGN50731 pep chromosome:ASM407v2:5:10046165:10050466:-1 gene:Csa_5G221930 transcript:KGN50731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPSGSGVVRFKVSPSTACVIQKGDITKWFIDGSSDAIVNPANQVMLGGGGADGAIHNAAGPDLIQACYSVQEVQPGIRCPTGEARITPGFQLPASHVIHTVGPIYNASRNPQALLRSAYRNSLAVAKENNIQYIAFPAISCGVFRYPYDEAATIALSTIKEFSQGLKEFLLWMVNSHSLVPVFCSLWKFKFPKQLKLFVFEAAPFRLSIFFRLT >KGN49590 pep chromosome:ASM407v2:5:599806:600478:1 gene:Csa_5G013780 transcript:KGN49590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDDYTFDHIRSPFNVRRNLILCSPSVSVHRSLLAPRSDLKNEFHNLLRVSRSSLISASIVRNSRIFFVIHRSRIIYASFSCKSCSAASTPNHDCSQPRSDEAQSKKFDFVHVSRDLWLALLLPLLLKRAISNI >KGN51582 pep chromosome:ASM407v2:5:20596923:20602715:-1 gene:Csa_5G580670 transcript:KGN51582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQSEGLSRNSNENDISSSSLRLTTPQKSIRRLGLCSQIATGGQHSSPIVFPEKRSKAKSSSRRGSEINSSIPKFTMTSSDDRDKPKSFEHRIDIGGGDEKSDLLGYTVLSGKLVLDKRKNSDKNTSDDTGVADQEGFDAKLTSTALVWGSHMLRLEDVISVSYNVGLRHFTVHSYPLHKGPCGLSCFMKARRKQKNFRFLASSIEEAVQWVGGFADQHCYVNCLPHPLLSSKKQASSELIPVDTPPELLFKCKNPPKMLVILNPRSGRGRSTKVFHGIVEPIFKLAGFKLEVVKTTSAGHARKLASSVDISSCPDGIICVGGDGIINEVLNGLLSRDNQKEGISIPIGIIPAGSDNSLVWTVLGVRDPISAAMAIVKGGLTATDVFAVEWIKSGVIHFGLTVSYYGFVSDVLELSEKYQKRFGPLRYFVAGFLKFLCLPKYSFEVEYLPASLEDEGKGSAEREVVDMSDLYTDIMRRSSKEGIPRASSLSSIDSIMTPSRMSGGDLDTTCSSTRASTEPSEYVRGLDPKSKRLSSGRSNVTAEPEVIHPPPPFSTTPNWPRTRSKSRTDKGWTGLITTQDTTRCSWGNAANNDREDISSTLSDPGPIWDAEPKWDTEPNWVVENPIELPGPTNDAEEGPTEQAVRVVEDKWITKKGKFLGIIVCNHACRTVQSSQVVAPRSEHDDNTLDLVLVHGSGRLRLLRFFLLLQIGRHLSLPFVEYVKVKSVKIKPGKHTHNGCGIDGELFPLTGQVVSSLLPEQCRLIGRFPGHHV >KGN49812 pep chromosome:ASM407v2:5:3291816:3293517:1 gene:Csa_5G137450 transcript:KGN49812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDVLTEIPPPSRFFQDDLNNFAPPSPSLPSPFLLFPKSKPNELLRPSLLVIAISSPSLHLFRHLSAKTLIGSLILPEIPFSGNHIQPSVDDKSCDIYSIDQDNELIILVSVQFSVPAERSLLVAKLLLDNKIIPERVLILDSLQSQNFRGKLSPDETFAFKLETSSESKRNDEGNDGSQMLKGLDYYPSGSVVDGLAAALLGRCQMKNIKGALCVSWPEYGSEVLSLLKSLLHQNAPLQPILEFCTGSNNGDEYANRIKDLDLYT >KGN50849 pep chromosome:ASM407v2:5:12047183:12049685:1 gene:Csa_5G289600 transcript:KGN50849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIVPNLTSDQLQFFHSHGYLVIESFATPDEIHAMRNRMEHLLHGFDCSTSSVFSTVNQQQSTDDYFLQSAENISFFFEEKAFGEDGNLKQEKELSINKVGHALHEFDPVFKSFSDSEKFSGLLLSLGYKRPIAIQSMYIFKQPGIGGEVVPHQDNSFLYTEPTTCTGLWLALEDANTTNGCLWAIPGSHKNGLVRRFLRGDKGVYFDQPSPTYNKKDFVPIEVKAGSLVVIHGDVIHQSFENQSPKSRHAYSLHVVDTEGCVWAQDNW >KGN52715 pep chromosome:ASM407v2:5:27946857:27947606:1 gene:Csa_5G652215 transcript:KGN52715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHYCRRRWTCLSEVHPGCHWWWPLEFCRWWSHRGRSRSRSCSYGVEGLDVLFLLLLDLRTLVSISGDLQFLLIEYVGIDFLQGRFEMGRLWWRWMGRRRRRKGRRIIIVNHIHSKSNIAFDMREKATFVKWVSLNISFLFRKRHRHAGDSNTAEKIPAVAGFPLVINHNIDGLVGKIFRVGDVEEELLIPVRIEISMFGMSSLFLVVKINLDKGAGRVAVFGHEVAGVEDFYYELGHGGGKDRDRDWDQ >KGN49822 pep chromosome:ASM407v2:5:3366607:3368125:1 gene:Csa_5G139020 transcript:KGN49822 gene_biotype:protein_coding transcript_biotype:protein_coding description:NDR1/HIN1-like protein MSLAHVKSPKHCANKQEVKVQKRYKKLFLGVSAFLSTISLLILLLWLILRPSKPEFRVKQADVYQLNLIDDLHLLNSSIQLTLSSKNPNHRLGIYYDNLQVYAVYKGQQITLPTSLPPFYQGYQEGNLLTAFLAGSRVPVAPSFGYEVGRDQSAGRFVLNLKAMGRLRWKVGSWVSGGYRFNVNCVAVMPFGPTLPTPPLTLNQPARCSTTL >KGN51200 pep chromosome:ASM407v2:5:17109238:17109588:-1 gene:Csa_5G488760 transcript:KGN51200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTISTLKMLFIVYLLVVVIVEFSDCFIFNFTVSLDGTGNFVKINDAIAAAPNFSTTRFYIHVKPGTYKEIIEVPYEKTCIALIGDDASTTIIVNNRSNGTGSSTASSATLSKLQLS >KGN51371 pep chromosome:ASM407v2:5:18508684:18512685:-1 gene:Csa_5G523160 transcript:KGN51371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISIVPSIHHSMPPIASSPSLPHPKDHNKHILRILVGRRQRLTSIRCSASERVNEMNYYELLGVSADSNTQEIKEAYRKLQKKFHPDIAGQEGHEYTLRLNEAYGVLMREDRRKQYDTYTGGMRVRFGNFGTGLGYSSWNGPLRPQALFVDGNACIGCRECVHNASNTFTMDETLGRARVKVQYGDNDKKIQVSVESCPVNCIYWVEKEELEVLEYLMQPLPKKGYGVFGGGWEIPANVFMAAKAFTKQLERQEQDTKYGAETVGETPAQAEARANATMKIQREKFHGVWNWVKQVFSDR >KGN49854 pep chromosome:ASM407v2:5:3498054:3498305:1 gene:Csa_5G139320 transcript:KGN49854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHMGVLSWGPDCILQVFTLRTTGSCSLLVVTNSCIIVIMKALLTIALAASSRDLVCHGRSGRRERPLAPPVRLAWSVPIVSLT >KGN50897 pep chromosome:ASM407v2:5:12872394:12872918:-1 gene:Csa_5G318140 transcript:KGN50897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTTVFGTINPANFPLQYSIISSSVGTRVSPSLRLTNAHGVSPQNSSGRATTAASFTAGFVYRTDSTSTLLKFSPPLIITSFDLSFISKYPSGCITPTSPVKNHPSSVIAFLVASGSLKYPSIIDPPLITISPIETPSLCTLFPVPESNTSASAIARVRTPCRAFNRARSVAGN >KGN49841 pep chromosome:ASM407v2:5:3444572:3447130:-1 gene:Csa_5G139190 transcript:KGN49841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHSRRTLAPKPSSPHRFLPDHDDCDDIFCQKCGSGDSPADLLLCDKCDRGYHLFCLTPILPSVPKGTWFCPTCSNHKKLKSFPLVQTKIVDFFRIQRPADSEKGSSLENRKKRRRAGSLVLSKKRRKLLPFNPTADPARRLEQMASLATALTATGTNFSNELTYMRGMAPRSANCASLEHGGMQVLPKEDVETLNLCRSMMERGEWPPLMVVFDPREGFTVEADRFIKDLTIITEYTGDVDYLKNREHDDGDSMMTLLSATNPSKSLVICPDKRSNIARFINGINNHTPDGRKKQNLKCVRFNVNGECRVLLIANRDISKGERLYYDYNGYEHEYPTEHFV >KGN52331 pep chromosome:ASM407v2:5:25134268:25135128:-1 gene:Csa_5G624420 transcript:KGN52331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSERKEGTYSWLQMDISETEPDIPLATFFSFLSQLAWYTSPGMIMKIRRDLEERKYPASAMKRKPTKQQLNCKNYQATGSSGCYLQSSELPLVTTPPLKSKTAVSNQRKHKARQKLTISQESNSPKTSQNGISGIGSTYLTANERDPVG >KGN50769 pep chromosome:ASM407v2:5:10532284:10535446:-1 gene:Csa_5G244150 transcript:KGN50769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFDEAPPGNSKTGEKIFKTKCAQCHTVDKGAGHKQGPNLNGLFGRQSGTTPGYSYSAANKNMAVIWEEKTLYDYLLNPKKYIPGTKMVFPGLKKPQDRADLIAYLKESTNSA >KGN51260 pep chromosome:ASM407v2:5:17603086:17608567:-1 gene:Csa_5G505210 transcript:KGN51260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPRQHTASVLEALMGFDESQSQHPASRHSKVFSDDYLQRVASIGISKKKYPSRCHPFRMTIEEPTELFNSLKVENNFSRCTKLWEREEADSTLSAAYTPLTRHEKHFSTGKVIQTSKGFQDLPEVLDSMDISPRPTRGKNSLFHQAKSGLSVSTAHYNLTEGNNDAGTKFKDRKQGQAHLSEDLCLLKSSRPFLEWSNKLGFSSSPPNSLKGSHLVTDKCKGCHNSQNGKNIAKEKERTTVSLEPIKQLSQVSSILDGSRRTMRREFFNLHLKTSRSETIYDNVCRNKASLSNWTAESKHSCCFSVESYKARESGEKVIEEQRKTANLMPSTQGRKMNEMPTVPRYATLPSDLNCKPVEYDFQKHVCSDKEHLHSGSPLCLSWKVKRLDELDKKFHRLRFDSTSTVTTRSRTRSRYEALNTWFLKHEGPGTWLQCNPLNRSSNKKDAAKPTLKLSSKKLKIFPCPDSASHHFDNDGCMVGGDPKTTVKKKDPCDQHSLNCLPPRSKVVFCTQNIPVKQGNQATSIQQEGLAFDHYPSKERDSIVSLEEAFQPSPVSVLEPLFKEETLFSSESPGINSRDLVMQLELLMSDSPGTNSEGHDLFVSSDDDSGEGSICNSDKIDDIMSTFKFKDSRTFSYLVDVLSEASLHCKNLEMGSVSWHNQEQHVISPAVFEILEKKFGEQISWRRSERKLLFDRINSGLAELFQSFVGVPEWAKPVSRRFRPLLNHEMIEEELWILLDSQEREVNKELVDKQFGKEIEWIDLGDEINSICRELEILLVNELVAEFGSIELC >KGN51851 pep chromosome:ASM407v2:5:22289855:22299613:-1 gene:Csa_5G603960 transcript:KGN51851 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY domain class transcription factor MKRSRDDVYMGSQLKRPAISTRAEASTQPQMAGAGSTQKLTTNDALVYLKRVKDIFQDKRQQYEDFLEVMKDFKAQRIDTAGVIGRVKDLFKGHRDLILGFNTFLPKGYEITLPLEDDQPTQKKPVEFEEAINFVNKIKTRFQGDDHVYKSFLDILNMYRKENKSITEVYQEVAALFQEHPDLLVEFTHFLPDSSATGSVHYSSGRGLMLRDRHSAMPSMRQMQVDRKDRTIASHAERDLSVDRPEPDHDRALMKLDKDQRRRGDKEKERRDDRERREHDRERVDRDYEHDGRRDCNMHRFPHKRKSARRIDDSSAEQLHPGGEGDENFGVHPISSYDEKNSAKSLYSQEYAFCERVKEKLRNSEDYQEFLKCLHIYSKEIITRAELQSLMGDLLGRYSDLMDGFNEFLSRCERNDGFLAGVTSRKSLWNEGSLPRTVQVEDRDRDRDRDREKEDISKDRDRENRERDRLEKNTTFGSKDIVGHRMSVFSSKDKYLAKPINELDLSNCERCTPSYRLLPKNYPIPSASQRTDLGDQVLNDHWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNVTTKRVEELLEKINNNVIKADCPITIEDHLTALNLRCIERLYGDHGLDVMDVLRKNAPLALPVILTRLKQKQEEWARCRYDFNKVWAEIYAKNYHKSLDHRSFYFKQQDTKSLSTKALLAEIKEISEKKRKEDDVLLAIAAGNRRPIIPNLEFEYPDPELHEDLYQLIKYSCGEICSTEQLDKVMKVWTTFLEPMLGVPSRPHGAEDTEDVIKAKIHPTKSATVVESDGSPGGGATMMHPKQLNSSRNGDESIPPEQSSSCRTWPLNGDNGVKEDSFHDADRTVRKGDPFCSISQHTKIQDNVPVNDELSGVSKQDNSTECFVNSNVSLATAAEQSNGKPNIENTSGLSTTPSRLGNGGAVESGIELPTSEVGGPTRQILTANGAVTDGTKGHRYAEEPARHLKIEREEGELSPNGDFEEDNFANYDGELKALPKVKEGVAGRQYPSNRGEEELCCREAGGENDADADDEGEESAQRSSEDSENASENGDVSASDSGDGEDCSREDHEDGEHDDNKAESEGEAEGMADAHDVEGDGTSIPFSERFLLTVKPLAKHVPPLLHEEGKESHVFYGNDSFYVLFRLHQTLYERIQSAKINSSSSERKWRASNDTTPTDLYARFMNALYSLLDGSSDNTKFEDDCRATIGTQSYVLFTLDKLIYKIVKQLQTVASDEMDNKLLQLYAYEKSRKMGRFVDAVYHENARVLLHDDNIYRIERSSTPTHLSIQLMDYGYDKPEVTAVSMDPIFSSYLHNDFFSVLPEKKVKSGIFLKRNKRKYACGDENSAACHAMEGLKIVNGLECKIACNSSKVSYVLDTEDFLFRRNSKRKRLHGNNSCHNQSRSSSGDSSRRVQKFHKLLENS >KGN49819 pep chromosome:ASM407v2:5:3346962:3355661:1 gene:Csa_5G138510 transcript:KGN49819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRFSRTIYVGNLPSDIKEYEIEDLFYKYGRILDIELKIPPRPPCYCFVEFESVRDAEDAIRARDGYNFDGCRLRVELAHGGRGPSSSDRRSSYGGGGGGGGGGGGGGGGGGGGGGGRFGVSKRSEYRVIVRGLPSSASWQDLKDHMRKAGDVCFAEVSRDSEGTFGIVDYTNYDDMKYAIRKLDDTEFRNPWARAYIRVKKYDGSPTRSRSRSRSRSRSRSRSRSRSRSRTARRNRSKSAERRSVSRSVSRSRSPSPIKSSRPRSKSRSGSPRQAREGSE >KGN50310 pep chromosome:ASM407v2:5:6432236:6434621:1 gene:Csa_5G167030 transcript:KGN50310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPLLDETVEGAVDYKGNPASRFNSGGWRSASIIIGVEIAERFALFGTSSNLINFLTYQLQQSTATAAKNVNAWSGTAALLPLLGAFLADCVLGQYRTIVLFTALYVLLFVALANYVSVLLFIFNGASVKDHEDLLED >KGN50842 pep chromosome:ASM407v2:5:11897794:11900504:1 gene:Csa_5G286060 transcript:KGN50842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYIEASAYIYQDGKILIEVDHLQDAPCPYLQIKGVDKEAVAAAGSMLELNDSYTTKSYLQIILESLPPNRSSGLIHNHQAARLQELVEFIQSQGSSTASESSPSREASSPLEGIIEDMQSRIRRLERWLAINTILWTFFVSAFVGYSLYRTKRQ >KGN51316 pep chromosome:ASM407v2:5:18107491:18108051:1 gene:Csa_5G517140 transcript:KGN51316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKTYAILQKKLQELELQLSHNNLTTIIHPSLSQEFHQKLLFVANLLTAEIASCPSTLKPRRLKHMARRLAQLEAVFWSPDLVDNGQVDDSTTCLCTESCLNDDSEVVSGSSCLEDEMEGGECFYECVEGEKEEKEERKIVGVVKLNGAMVSGFVIGVVSMGVANFFIELFGYFQHQQNGGFVIPT >KGN50154 pep chromosome:ASM407v2:5:5419266:5421204:-1 gene:Csa_5G156140 transcript:KGN50154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILADSGSVSTLKFLIYGRTGWIGGLLGHLCQKQGIDFTYGSGRLENRASLEADIAAVNPTHVFNAAGVTGRPNVDWCESHKVETIRTNVVGTLSLADVCRERGLILINYATGCIFEYDSAHPINSGIGFKEDEIPNFIGSFYSKTKAMVEDLLKNYENVCTLRVRMPISSDLSNPRNFITKITRYEKVVDIPNSMTILDELLPISIEMAKRNLTGIWNFTNPGVVSHNEILEMYKQFIDPNFTWKNFTLDEQAKVIVAPRSNNELDATKLKNEFPELLSIKDSLIKYVFKPNQKTPTA >KGN51655 pep chromosome:ASM407v2:5:21072134:21073122:1 gene:Csa_5G588750 transcript:KGN51655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHSLSSISATANVTATLSRSIRFSNTQCSYQRLPNPQACSNACNETQHTNLISRRNTALILTGVMLGVNVVDRRAEAAARRPPPPPPQEKKDPNLSGVQAKVLASKKRKEALKEATAKLRAKGKPVDQPPPE >KGN50111 pep chromosome:ASM407v2:5:5156457:5156782:1 gene:Csa_5G154730 transcript:KGN50111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIEIVEAMRLCLEILKVVVEPSGAIGLAAVLSDSFKQNPSWKDCNSIGIILSGGNVDLGMLWNSYKN >KGN52187 pep chromosome:ASM407v2:5:24203773:24206743:1 gene:Csa_5G614630 transcript:KGN52187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMILKGGRGIGVSTATYFPHNPKPSPLFSLHMMVHKLAAERKVICCSTLQESSTPTVAAEPKEIKTVPKEAPAKAKPPAKAPVKPLPELMDEEVIPSLKAILEAQADVSDVALSFQDDRLDGSFLKNGVPYSFWAFFPNGLTGPKGFSLSSYGNGGSSVEPFLVDEKKVSGKLIVFWIEKRLAAQGIIPVWKD >KGN49982 pep chromosome:ASM407v2:5:4277550:4281937:-1 gene:Csa_5G148530 transcript:KGN49982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSGTWAALPAGPSSDQIDIQIQDDGIIRILNNNHSRSSPISNIPIPSHSPPMARAFLGSTPSSSPLIALRLSSFTPSFFLTNQRPRSLRLTTDTVVPMAELPSEKRIKPHPSLEVIGGGCDQFLPAFKDLDLPYKPFPVIGSNRHLETIFASFFRTCPSVNLHRECLRAADNGTVALDWVAGDDLRLPLDSPVLILLPGLTGGSQDSYVRHMLVRARDRGWRVVVFNSRGCGNSPVTTPQFYSASFLGDMREVVAHVTERYPKANLYAVGWSLGGNILVNYLGQDSLTCPLSGAVSLCNPFDLIVADEDFRKGFNNVYDKSLAGALRKIFNKHAALFTDMTGEFNIRLAAQATTVRDYDDALTRVSFGFNTVDEYYSKSSSSKFIKDVKIPLLCIQAANDPIAPNRGIPREDIEENPNCMLIVTPKGGHLGWVAGPGAPLGFPWTDPFVIDFLMYLENKKFKASASSTDLTMAEVMT >KGN50306 pep chromosome:ASM407v2:5:6408886:6411749:1 gene:Csa_5G166990 transcript:KGN50306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLLRTFQFHCNPIVFKQLFYPIPSSPICSFPTHRYHLKLKTDHFITRVVSSRAQSSALEMVKAIRVHELGGPEVLKWEDVEIGQPKEGEVRVRNKAIGLNFIDVYFRKGVYKAATMPFTPGMEAAGVVTAVGPGVNDRKVGDVVAYAGNPMGSYTEEQILPADKVVPVPPSVDPTIAASVILKGMTAQFLVRRCYKVEPGHIVLVHAAAGGVGSLLCQWANSLGATVIGTVSTEEKAAKAKEDGCHHVIIYTKEDFVARVNEITSGNGVNVVYDSVGKDTFQGSLACLKTRGYMVSFGQSSGTPDPVPLSAIAAKSLFLTRPTLMQYTTMRDELLEAAKEVFANVESGALRVHVNHTYPLSEAAQAHSDLESRKTTGSVVLLP >KGN51339 pep chromosome:ASM407v2:5:18271852:18272433:1 gene:Csa_5G517870 transcript:KGN51339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKMTALGFEGSANKIGVGVVTLDGNILSNPRHTYITPPGHGFLPRETAQHHLHHILPLVKSALETAKITPKDIDCLCYTKGPGMGAPLQVSAVAVRVLSQIWNKPIVAVNHCVAHIEMGRVVTGADDPVVLYVSGGNTQVIAYSEGRYRIFGETIDIAVGNCLDRFARVLTLSNDPSPGYNIEQVCYRKTII >KGN50484 pep chromosome:ASM407v2:5:7613351:7617377:1 gene:Csa_5G177040 transcript:KGN50484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLILKHTSLMAATFHSHNPFFFSSSSPHKNLPFWLRSISSGRRNCLAGPPQLPGGGVRDSVIYGGGGVGWWTLAPRPRLVHDIIKGPPKILGFRSGYKCLSIGSKRSNSNSSEKRGGVFGSGSGSDSVSPPSAGSEFVADMGSESKDHNNVWFQNDFHNQTPSPLMHQHEPQHSKLLTLPTILTLARVVAVPIFVCTFYVDNWWGTTATTSIFIAAAVTDWLDGYIARKMRLGSAFGAFLDPVADKLMVAATLILLCTQPLEVSVFGHIPWLLTLPSIAIIGREITMSAVREWAASQNSKLLEAVAVNNLGKWKTATQMIALTTLLASRDSSLGGSGIVVATGVVLLYISAWLAVWSLFVYSRKISKVLLR >KGN51172 pep chromosome:ASM407v2:5:16673193:16677320:1 gene:Csa_5G471600 transcript:KGN51172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFGKEFTAQMVPEWHEAYMDYNFLKTLLKEIQRFKIRNGPPQPPQPSGLKRKLTLYRAFSGLTQGNVYPSTPSSHNDIESQAILVTSMHEDGSQNYKTTFLMAADEGAEYELVYFRRLDDEFNKVDKFYKAKVEEVMKEAEMLNKQMDALIAFRVKVENPQGLVFDMSEKTVEMTRLASGIAASSAALSASTPKGAKSGKRPHMAMEIIEESGVGEFEQSDELNEDGDDIDTKSRNKKVEEDSSSKRKGVRPPPLDVLDRVKINQPIETPRSTIKGFLKISKNSELRFSRDNLIKVEEQLRQAFSVFYQKLRLLKSFSFLNTLAFSKIMKKYDKITSRDASKAYMKTVDSSYLGSSDDVAKLMERVENTFIKHFCNANRSKGMSILRPKAKREKHRTTFSMGFLAGCSAALVLALILIIRARHIMDSRGSTKYMETMFPLYSLFGFVVLHLVMYAINIFYWRRYRVNYSFIFGFKEGHELGYRQVLLVAFALAVLGLGSVLSNLDMEMDPSTKDFKALTELLPLFAVVLVTAILICPFNIIYRSSRVFFLTCLFHCICAPLYKVVLPDFFLADQLTSQVQALRSLEFYICYYGWGDYRLRTNTCKASAVFQTFSFIIAVVPYWARLMQCVRRLYEEKDKMHALNGLKYSFAIAAVCFRTAYSLNTKLYVWYVLAWIFSVIAAISGTYWDLVIDWGLLQRHSKNRWLRDKLLVPQKSVYFVAIVLNVVLRLAWMQTVLNFKVPFLHREGLVAIVASLEIIRRGIWNFFRIENEHLNNVGKYRAFKSVPLPFNYDEDDKDD >KGN50073 pep chromosome:ASM407v2:5:4873185:4874008:-1 gene:Csa_5G152880 transcript:KGN50073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNGLVLSLITGGLIPWYWLVRIFFLPKPGASYGGPNVPESHVTKRNETDVIGKGNSQEECTKDDTETRTVKYDKRRFDLPIRVCPLPCHLICCKKRKGEKQV >KGN49969 pep chromosome:ASM407v2:5:4157160:4157599:-1 gene:Csa_5G146920 transcript:KGN49969 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferase FkbM family MNSLFGLFDSFAAQILFNKPMLNKNNGGTHSSQSRTDDGQSKTQVPTQQDNR >KGN52660 pep chromosome:ASM407v2:5:27591428:27596297:1 gene:Csa_5G649300 transcript:KGN52660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVLLTLRPASSNPPPCLSSPNFSPPLASSFLFSFRPNKRFHFLKPCSSLKQSKKPTLQKTPTSAPQSFKWLFSAKSDDDDAGEKGNKGVDGDGAVLEDDSAVKGTLLAGVLLVGVIGGFAFAGYVYRDPINAFLNQFSTFIDGYGPAGYALFVAVYAGLEILAIPAIPLTMSAGLLFGSVIGTVIVSISGTVAASVAFLIARYFARERILKLVEGNKKFAAIDKAIGENGFKVVTLLRLSPLLPFSLGNYLYGLTSVKFVPYVLGSWLGMLPGTWAYVSAGAFGRAIIQEESEVGLLGGGNGQLWTLGLGLLATALAAAYVTRLAKDAMKDIE >KGN50557 pep chromosome:ASM407v2:5:8175651:8176621:1 gene:Csa_5G182130 transcript:KGN50557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVDHHQKIHPLTDVEPPPPPPQSSAPPPPLEKALHHQILPPKKRRSCLCRCLCYTFCLILLLLIILGAVIGILYLVFKPKIPTFSIDSLNISDLRLNFDMSLYARFDVKITTYNPNEKIGIYYEKGGVLSVWYTENKLCEGSLPAFYHGHRNKTALDVVLTGRTVYGSTLMSALVEQQQTGRIPLQLQAVAPVAVKMGKMKLKKVKILGNCLLVVDSLTANNAITIKASNCKFRLKL >KGN50182 pep chromosome:ASM407v2:5:5599850:5607214:1 gene:Csa_5G157410 transcript:KGN50182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASGGTSYRNGATSRNSLKLDKPFSANSSNSKSSLKSKSLPNSALRRSSPAALGVAKDDGGVPGRVRVAVRLRPRNTEESIADADFADCVELQPELKRLKLRKNNWDSDTYEFDEVLTEFASQKRVYEVVAKPVVESVLDGYNGTIMAYGQTGTGKTYTLGRLGEEDTADRGIMVRAMEDILAEVSLETDSVSVSYLQLYMESIQDLLDPANDNISIVEDPKTGDVSVPGATLVEIRHQESFLELLRLGEAHRFAANTKLNTESSRSHAILMVHVKRSLKGRDSTLSSDSSHLVKTLKPPIVRKGKLVVVDLAGSERIDKSGSEGHTLEEAKSINLSLSALGKCINALAENSAHVPVRDSKLTRLLRDSFGGTARTSLVITIGPSPRHRGETTSTIMFGQRAMKVENMLKIKEEFDYKSLSRRLDIQLDKLIAEHERQQKAFEFEIERITKEAQDRISEAERSHSNALEKERLKYQKDYMESIKKLEDQLMVKQRKLGGEKVINEEVVASASSVIANGEGLAVSADKEVAELKKLVKKEIVLRKAAEEEVNNLRNQVAQLKRSEISCNSEISKLRKTLEDEQHQKKKLEGDIAMLQSQLLQLSFEADETSRRLDRGEPGKVLGSLDSLVQQVKHSQAQDAGNGEKASVAKLFEQVGLQKILSLLEAEDYDVRIHAVKVVANLAAEETNQQKIVEAGGLTSLLMLLRSTEDETIHRVAAGAIANLAMNETNQELIMSQGGISLLSMTAANADDPQTLRMVAGAIANLCGNDKLQMKLRGEGGIRALLGMVRCRHPDVLAQVARGIANFAKCESRASTQGTKAGKSFLIEDGALPWIVQNANNEASTIKRHIELALCHLAQHEVNAKDMIIGGALWELVRISQDCSREDIRTLAHRTLISSPTFQAEMRRLRIDY >KGN49958 pep chromosome:ASM407v2:5:4086376:4089224:-1 gene:Csa_5G146320 transcript:KGN49958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKIMGHHCSSIFFLTFLHLLHFSSPKLEVSTPPPLPILPLPSFSQLKWQQREVIMFLHFGPNTFTDSEWGTGAESPAIFNPTALNATQWAATAAAAGISLMILTAKHHDGFCLWPSKYTTHSVSRSPWKNGQGDVVEEFVTAATAHGIDVGLYLSPWDRHDRRYSHDLPYNEYYLAQLQELLKRYGNVKEIWFDGAKGQNAPNMSYYFSDWFAMVKELQSSINIFSDAGPDVRWVGNEKGFAGTSCWSTINRTSLAIGAEGITPYLNKGDPRGRDWVPPECDVSIREGWFWHKTQSPKTLEHLLKIYYNSVGRNCVLLINVPPNSTGLIPQKDAQVLKQFKNTIDRIFTTNLAQNCSIKASSQRGQEFGPQNVIDDDHLWTYWAPKEDVDDHWIEVRSQGNEQLRFNVVRIQEAIGLGQRIGRHEIYLDGKRIVDERESRSIGYKRLYRIKSGVVCGYALKVRFIEFKGVPLISSLGLHLDPFWNPIRLSSVPTN >KGN50138 pep chromosome:ASM407v2:5:5284173:5284667:1 gene:Csa_5G155490 transcript:KGN50138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYPKLILPPPFVVEITVISADGLKNSSSIFSLHRPFRPFLTLSTVPDDGGFLPSNPIYHFGDLFRIPLDVGFFPNRCFIFLRLFSKRLLFGPALIGWCRMPADDISQLPVGSVKRLSYRLRKRDGSRSRVIVNLTLKLVESVMEPCGTVIGMPVAAVKLHGSR >KGN49638 pep chromosome:ASM407v2:5:1269014:1269390:-1 gene:Csa_5G037000 transcript:KGN49638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRAKLFTFFFLQRTLAVAASNCLRSPSSDAPFKTFFIASSRPAKCRCRPSFSAKFKRKVILLRVVCPELEQIETEDRSRYSDDISTSVHYLLQATVASQTPRLSHTRQLPFTRADL >KGN52105 pep chromosome:ASM407v2:5:23742619:23746262:1 gene:Csa_5G610390 transcript:KGN52105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGAGVRFGRAKGEDRFYDSSRARKGLLSRQNDRLSTSQQDASATTPSYADKEVVSTRPLDRLVSDEATKPVPVSILSNLERFLQSVTPFVPAQFLSKSALRGWRTCDLETKPYFLLGDLWEAFKEWSAYGAGVPLLLNNSDGVVQYYVPYLSGIQLYGMESSTKTRRWGEESDSDYRDSSSDGSSDSETIRRIKHSREPPHHNDPSITDPLRMDRLSLRDQHLGLHEDCSSDEAESFNSQGRLLFEYLERDLPYLREPLADKISDLASRFPQLKTMRSCDLLPYSWISVAWYPIYRIPTGQTLKDLDACFLTYHSLHTPMRDSQSPPTPFVAYPCKTNGAEKVPLRIFGLASYKFNGSSLWMRNGGVEHQLANALSRAAENWLRDLHVNHPDFLFFSRRDATPY >KGN52049 pep chromosome:ASM407v2:5:23407780:23409426:-1 gene:Csa_5G608370 transcript:KGN52049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLVLLFFLALTVRFPSTVVSAELPLFREAPAFQNGRECQRTMKPSVIHIAMTLDATYLRGSVAGVFSVLQHSSCPENIVFHFIVTHRRLELRRVITTTFPYLNFHLYHFDSNLVRGKITYSVRRALDQPLNYARMYLAELLPVTVNRIIYFDSDLVVVDDVAKLWKINLGNHVLGAPEYCHANFTNYFTAKFWMNSEYAAAFEGRRACYFNTGVMVIDLGKWREGKYTERLDKWMKIQKKNRIYELGSLPPFLLVFAGDVEGVEHRWNQHGLGGDNLKGVCRDLHPGPASLLHWSGKGKPWLRLDAKKPCPLDSLWAPYDLSRHSSLFSDN >KGN51087 pep chromosome:ASM407v2:5:15723817:15724652:-1 gene:Csa_5G432540 transcript:KGN51087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLVTVEDEILTTTLEVNVFFTLLVYDSLEDEYLAVHDGKTKCFHAMKTEWGFENLVSLDTFNVPSNGFLVDDYCAFGVDVFIMKFDGKGEILSSINQPENYKFTWKFKDFSQLRQNRYESNAFTVENYRWKISLYPNGYSQASSEYLSLFLALDSVEELPSRFSSVH >KGN51247 pep chromosome:ASM407v2:5:17535396:17541973:1 gene:Csa_5G503600 transcript:KGN51247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDYTREMMDLKTLVTRTLEKKGVLAKIRAELRASVFEAIEEEDRVIEKDDGLPPALLGSCNDRAKQLHASPSGRLLTALICEYLDWAQLNHTLKVYLPECNMQKDSWKSELKEFSSKNGYDLNRNGDSGPLLLDVLEGFLKFENLSQARGPGRRITTSESDSMSSHDSRNSRRPSSSVAGGLPPLGRPSAGPQASDRRVGSSMSGYRKDEYSWRYDGNELPEDVMRTSAALENLQLDRKARNLTSSWRHGGDGMNEEDSRGEHM >KGN51957 pep chromosome:ASM407v2:5:22888166:22890515:-1 gene:Csa_5G606480 transcript:KGN51957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDEEHHFESKADAGASKTYPQQAGAIRKNGYIVIKGRPCKVVEVSTSKTGKHGHAKCHFVGIDIFTAKKLEDIVPSSHNCDVPHVSRTDYQLIDISEDGFISLLTDSGNTKDDLRLPTDDGLLTQIKDGFAEGKDLIVTVMSSMGEEQICALKDIGPK >KGN51557 pep chromosome:ASM407v2:5:20416012:20430273:1 gene:Csa_5G577960 transcript:KGN51557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRKSQVMVSLQSIKSMPVNSRFMIPQSAENLEDPYSRNVKNMEANSIVDGENGAVGDDAVNRHQYGTNGIDELNEDSPYNCNTTSVEERPTMDDGEDGFMPLPSPSVSASHSERRWGDTSSYTPRKILQSWFKLPNGNWDLVKILSTTGTESVVSLTDGKVLKVKAENLIPANPDILDGVDDLMQLSYLNEPSVLYDLEYRYNQDVIYTKAGPVLVAINPFKKVDLYGNDYIDAYKRKTVESPHVYAITDTAIREMIRDEVNQSIIISGESGAGKTETAKIAMQYLATLGGGSGIEYEILKTNPILEAFGNAKTLRNDNSSRFGKLIEIHFSETGKISGANIQTFLLEKSRVVQCTEGERSYHIFYQLCSGASPALKEKLNLRRAEEYKYLCQSSCFSISRVNDAEEFRVVMEALDVVHISKEDQNSVFAMLAAVLWLGNVSFSVIDNENHVEPVEDEGLQTVAKLIECEIEELKLALSTRKMRVGNDSIVQKLTLSQAIDTRDALAKSIYACLFEWLVEQINKSLAVGKRRTGRSISILDIYGFESFDRNSFEQFCINYANERLQQHFNRHLFKLEQEEYIQDGIDWAKVDFDDNQDCLSLFEKKPLGLLSLLDEESTFPNGTDLTFANKLKQHLGPNSSFRGERGKAFTVCHYAGEVTYDTTGFLEKNRDLLHLDSIQLLSSCSCHLPQIFASNMLTQSEKSIGGTLHKSGGAESQKLSVARKFKGQLFQLMLRLENTTPHFIRCIKPNNVQSPRLYEQGLVLQQLRCCGVLEVVRISRAGFPTRMSHQKFARRYGFLLQESIASQDPLGVSVAILHHFNILPEMYQVGYTKLFFRTGQIGVLEDTRNRTLHGILSVQSCYRGHLARRHLKELKRGISVLQSFARGEKARKEYSILIQRHRAAISIQKSVKARIASKRLKNVCDASLTIQSVIRGWLVRRCSGDIGLMKPGGLTANGSGEVLVKSSFLAELQRRVLKAEAALREKEEENDILHQRLQQYENRWSEYELKMKSMEEVWQRQMRSLQSSLSIAKKSLAVDDSERNSDASVNASDDRGYSWEVGSNNTANESNGVRPMNAGLSVISRLAEEFEQRSQVFGDDAKFLVEVKSGQVEASLDPDRELRRLKQMFEAWKKDYGGRLRETKVILTKLGNEGAMDRVKKKWWGRRNSTRYS >KGN50599 pep chromosome:ASM407v2:5:8552714:8553259:1 gene:Csa_5G189940 transcript:KGN50599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGGYGVQGDGSGSLSIFSNMLKEDLKPNEVIFTTVLSACSYSGMVEEGWRYFKSMIQDYNFVPSMKHYACMVDLLARSGKLDEALDFIKKMPVQPDVSLYGAFLHGCGLYSRFDLGEVVVREMLQLHRNEACYYVLVSNLYASDGKWGQVNEVRDLMLQRGLNKVPGYSLVETNAGVLFH >KGN52332 pep chromosome:ASM407v2:5:25135893:25142761:1 gene:Csa_5G624430 transcript:KGN52332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLYKLSVIIENLKVYAMTDVSPRTDISTDVDTDDKHQRLDMLQRNAVASDSSDRTKDKSDQKTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQLEQELQRARQQGIFISSSGDQAHSMAGNGAMAFDVEYARWLEEQNKQINELRAAVNSHASDTELRMIVDGILAHYDEVFRLKGVAAKADVFHLLSGMWKTPAERCFLWLGGFRSSELLKLLVNQLEPLTEQQLMGISNLQQSSQQTEDALSQGMEALQQSLAETLSSGSLGSSNTSGNVANYMGQMATAMGKLGTLEGFIRQADNLRQQTLQQMHRILTIRQSARALLAIHDYFSRLRALSSLWLARPKE >KGN51624 pep chromosome:ASM407v2:5:20909760:20912016:1 gene:Csa_5G585980 transcript:KGN51624 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein DnaJ, N-terminal MQGDEARTLLGFSPGSRPSSSQVKEAYKRMVWDTHPDLFPAHQKPQAESKFKLISEAYSCLLSGSRNGDSHSATYERVVRRGVPVSHGGRRNHALIKLPFLLLILGTVSLGGLNVSRAYKKQKETYPSHNPFLP >KGN51961 pep chromosome:ASM407v2:5:22912588:22917117:1 gene:Csa_5G606520 transcript:KGN51961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTKVQYESYLPGYHSMRDLNEDSHGCSWPLYYSEKSCQSGQYYNGILPRATSDAYLGCDRDAVKRTMLEHEAIFKNQVRELHRLYIKQRELMNDIKRSEQRHPIPVDISFSSSPLASQSTPDGARKWHLPSFPLAISSSGPSVPGVEDVKSSLSSLKENNRSDGLLPSQNGTSSKDCEVLESRPSNSRRKTFDLQLPADEYIDSEEGEVFHDEKVPPTLGCHSNGSKKFETQCCVTANLNLNPGEKSGGQRAALASDSCVWNKYGLADLNEPVQVEEANGSNFFDLPSARDTNNGETQGPIVSSTKQENFLSSSNEGGHATNRNLYIENGNRREAFPNIFEAGRSKESEKSFTRGQMEKFHLSSNPLQVPLNKYHELPVFYLNDKSKVQQDLDRPVSDLQLFKRSYEMSNAGDPGYVLASQTSHAYQIAPSLNVGKSWAHSGSSWEKSNGNSSQKTSGHTQPCFKSAAVHKSFPSSTQNNGIFGDRCHLSSDSRSNPGSGCENPNRNGFYFGSTSGSNGGVFSSTISRDHGANYYKGSGCVSTNSPKDINLNVVLPKSLSNEAGQQPNYRTRESDQNNEDHHNVLPWSRAVPAASKNETINSRRFSVTGELNFALSPMKQFSDRNETENGSKVICYPNIESNSHCSNNEPRMSEHGECQSSRKLLGFPIFEGPHISKNESFSLTSPSASLPNPSENEMEGNRKTRVLDINLPCDPSVFESDNATNGALAVENGKDTKVSTVRVDIDLNSCVSDEEPSIRPLPLASSSGKERVVVEIDLEAPAMPETEDDIIVEEESLEKQHEQQPQSLQHKAVDIQDDLMSLAAEAILAISSCGYSGLLDDSVVSNGLEDSSSDTLNWFAEIVSTHGDDEQTKSDTVLRSKEGKETEESSLRGIDYFEYMTLRQAEVDEEHYMPKPLVPENMEIEDTGTNLLQNRPRKGQTRRGRQRRDFQKDILPGLSSLSRHEVTEDLQTFGGLMRATGHSWHSGVTRRNSTRNGCGRGRRRSVTSPPPPVQSACNQLIQQLSNIEMGLEDGSLTGWGKTTRRPRRQRCPAGNPPAVPLT >KGN51301 pep chromosome:ASM407v2:5:18019630:18020433:-1 gene:Csa_5G515310 transcript:KGN51301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKKFRTKSHKHELQLKSYTKPYICDGCKERGFGSRYRCEKCDYDLHQYCMETTPSTSHDFFPNSTFNLYTTPPKACHKHCKRRCDACRKPINGFVYHCKKDDLDLHPCCRNLKREYTIKGEGEGEEELKFILHKNMKQKCLWCNKKSIKEGGRSNGWSYISKCNRYHVHVTCVTEMFLEVWNNKNETINKINCNVKEDNLKALALHKVDLKEIQAKKNGHGKSGKKYWKILKVILTIVSIVLGEPTMIVASSLLEALHAVLSNII >KGN51130 pep chromosome:ASM407v2:5:16257859:16261237:1 gene:Csa_5G464790 transcript:KGN51130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRVAARRLSSLSSSHFRPTIASSLPLSHNIIDSTDSNDFRSAGFFHTFPIRPHFDRNSRGFASDALTSTKENNLVPDVPATIAAVKNPTSKIVYDEYNHERFPPGDPSKRAFAYFVLSGGRFVYASLIRLLVLKFVLSMSASKDVLALASLEVDLSSIEPGATVTVKWRGKPVFIRRRTEEDIKLANSVDIGTLRDPQQDGERVKDPEWLVVVGVCTHLGCIPLPNAGDFGGWFCPCHGSHYDVSGRIRKGPAPYNLEVPTYTFLEDNKLLIG >KGN51647 pep chromosome:ASM407v2:5:21031922:21033079:-1 gene:Csa_5G587180 transcript:KGN51647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKVDIVVMEQECLMVKDSTQVLLKVRDFPQVSKETCRKNDETTFPVDKHGTINGNSEAV >KGN52704 pep chromosome:ASM407v2:5:27854029:27858146:-1 gene:Csa_5G650640 transcript:KGN52704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSLICKSKKDVKEIGSRSKRMGRSQRKLQSEEEYLQKQALSLALQQLQLSQRFDGSTSKRIGSTSSRRRNLSDPFSNGKQGKKDSKLCGADVASGEANLQAPDFVENLKEKKFVLVHGEGFGAWCWYKTISLLEEVGLSPIAIDLKGSGIDLTDTNRVNTLAEYSKPLTDYLQDLPDDEKVVLVGHSSGGACLSYALEHFSNKISKAIYVCATMVATGQRPFDVFMEELGSEEIFMKDSKFLIYGNGKDKPPTGFMFEKEQIKGLYFNQSPTKDVALAMVSMRPFPLGPVMEKLLLSPENYGTGRRFFVQTLDDHALSPDVQEKLVRVNPPERVFKIKGSDHCPFFSKPQSLHKILLEIAQIP >KGN50048 pep chromosome:ASM407v2:5:4718927:4719592:-1 gene:Csa_5G152130 transcript:KGN50048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIVDNHMSQPRWCCSLDDGNGFFGNNNFDPQEWLQGLSLVAQRFRNKSTVVGMSLRNEIRGFMENANDWNKYITQGVTTIHNINSEVLVIVSGLNYDNDLRYLKEKPLNVSTLDNKLVFEVHLYSFSGDSESKFVKQPLNNICANIMNGFIDHAGFVMQGPNPFPLFVSEYGYDQREVNDAEN >KGN49980 pep chromosome:ASM407v2:5:4253546:4258004:1 gene:Csa_5G148510 transcript:KGN49980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSASSSSLSSSMAKSAKWVLKSIGNFTNEILSIFLFSLLDIIDIVLCFLYKMADFFFESQWKPCYCSSHKEAITSCDGNKVLVSQNNVLSLSTKLQLEEVSDTLYTRPSYLSEISKVMPFVIGSTATTAKTTVGSTFTVHSTIVEMLQDKINGGQNPRWSDCDCKPCTRWSSSPKQSLYVRSEGLTDHPREDVLFIHGFISSSAFWTETLFPNFSASAKSSYRFLAVDLLGFGRSPKPADSLYTLKEHVDMIEASVLDAYKVKSFHIVAHSLGCILALALAVKHPGSIKSLTLLAPPYYPVPKGVEPSQYVMRKVAPRRVWPPIALGASIACWYEHISRTVCLLICKNHRFWEYLTKVVTRNRIESFLVEGFFAHTHNAAWHTLHNVICGTGGKIERYLDAVRERVKCHINIFHGSDDDVVPVECSQSVKARVPTARVNIVQNKDHITIVIGRQKAFARELEQIWSNSSA >KGN51329 pep chromosome:ASM407v2:5:18205793:18208317:-1 gene:Csa_5G517760 transcript:KGN51329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSILSSSSPSLPIFNHKKSPSPFPISHSFTSPSIPKPAPYSPLRVSSSSSDNPAVTVPSPPTDAPLDTLRSASNVVRDFYDGVNRHDLASVEPLIAENCVYEDLIFSRPFVGRKDILLFFKKFNDSISKDLQFVIDDISTEDSSALGVLWHLEWKGKEFPFSKGCSFYRLADVDGKKQIIYARDSVEPAFKPGEMALTAIRGVTWLLEQFPQLADRI >KGN51905 pep chromosome:ASM407v2:5:22645540:22647143:-1 gene:Csa_5G604990 transcript:KGN51905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGNFLQVVANNFDVLALPVVSLVYPLYASIKAIETKSIVDDQQWLTYWVLYSLMTLFELTFAKILEVVAVWPYVKLIIMSWLVLPHFNGASHVYKNFIRPHYMNPQTSTLWYIPRKTKLIFSTQDDVLTAAEKYMEEHGTEAFERLMYKQAERERSRRNNNCMIFDDDYAY >KGN51416 pep chromosome:ASM407v2:5:18966433:18971078:1 gene:Csa_5G532970 transcript:KGN51416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKLAEKERDGLEGVKNEAEAYMLKELSHLKWREKASKLAHEDTTKRITELQDEVSTLEANKKTEREKIRETSKELKELEAVHEKNMKRKEELDNDLRRSKEKFKDFERQDIKYREDLKHIKQKIKKLDDKLEKDSTKIDGLRKECEESTSLIPKLEESIPQFQKLLTDEEKILDEIQESSKVETERYRSELAIVRVELEPWEKQLTEHRGKLNVACTESKLLSQKHEGGRAALDDARKQMVNILKNIEEKSTKLEQIKTELQKRKLETLKAQEEEQECIKEQGSLIPVEHAARQKVAELKSVMDSEKSQGSVLKAILKAKKTNEIEGIYGRMGDLGAIDEKELHLVDAVKKSEEENSSLDKEIDRLVNLLKQTEEEACKMREEEAQLKDSLKEVEAEVIYLQEALGEAKSESMKLKESLLDKENEFQSIHQENEELFSLIIASLKKF >KGN51435 pep chromosome:ASM407v2:5:19249922:19250980:1 gene:Csa_5G544060 transcript:KGN51435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMETSVRCGLRICLQLPDLNNVYVPRKRRGSSSGVGKKEQSMTETELPLSMAEAASILIQLANSVPDVPPQKINKTRKRKNPPTQTEASTSRTKQQRKKNVASTSRTKKQRKMNVDQSERPSMPVAMRDRILEMGGYEINLVIQKQLTDTDLNKNHGRLSMNTKQLSFDFATEEESKLLSEQENKNKMGINVMILDDVLEERMLCLKKWKIGSGYVYCLMTKWNLMVEERGLKSGEEIQVWSFRKDDEDEAHRLCLALVKLATC >KGN51749 pep chromosome:ASM407v2:5:21673516:21676636:-1 gene:Csa_5G598080 transcript:KGN51749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWWMMGEGGGHYCSKKSDDICGDVCDQESNRVLGMSRLRCIFRGYDVKTFLILFALVPTCILIIYLHGQKISYFLRPLWESPPKEFNMITHYYDGNVSMENLCKLHGWKVREFPRRVYDAVLFSNEIEMLTLRWKELYPYITQFVLLEANSTFTGKPKPLYFARNRDKFKFVESRFTYGTVGGRFKKGENPFVEEAFQRVALDQLLRIAGITDDDLLIMSDVDEIPSRHTINLLRWCDDIPEVLHLQLKNYLYSFEFHVDDNSWRASVHRYKSGKTRYVHYRQSDDLLADSGWHCSFCFRRISDFVFKMKAYSHNDRVRFSSYLNPKRIQKIICKGSDLFDMLPEEYTFKEIIGKMGPVPHSFSAVHLPSYLLENAEDYKFLLPGNCIRESG >KGN49611 pep chromosome:ASM407v2:5:848447:852718:-1 gene:Csa_5G023910 transcript:KGN49611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSKKRRPNPKPQSFSPPKNKKLRSQLPRRKRPLILPFFCCYLDSDSPPPSTTFSFASSSSFTAAQSTSTSFFPTGPEVSSHLNPLNFRKTRFDSNKEVGVGSNEQVSESSCVESNSGLDFGVSGPSTTSKLKNRRTIHGNEDPIDPAENGVDASSKLCGKGAVVLTSCVESCAESIFQSVCSFEEKGLEVEDNRLWEFQLPELQKNEINKTFTVSKSDSTIEQWPGSLKIESDLACTEQFSYDDVSEYLSQPLSLQSTILLEMSDDCSDYTPSIFLESGSEFSEKSNEDAAPTSTFTMLLQYRREFISLNFSHIRTSSSIEEEEVDQSTILRFEELDDEEAYRMFRNRERRQLIICDYIEEYRSTTDYGDFILQQRSNMVQWIVERSREKKLHQETTFLGVTLLDQILSKGFFKAETHLQILGIACLTLATRIEENQSYSWLQQRNIHVGSNTYRRSKVVGMEWLVEEVLKFHCFLPTVYNFLWFYLKAAGANSDLENRAKNFAVLVLAEKVQFCYFPSTIAAAVVILASLGEKQDAPSERVIEIHVRTENDDLPECIEVFIVNYKKIN >KGN50744 pep chromosome:ASM407v2:5:10170132:10175776:1 gene:Csa_5G223030 transcript:KGN50744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGKWMGASTFPTDSLDVTRYLLAVFLCDTQLSYNNPSMETPFMDLPSNNPFSTFNHPSFSHAYPSRLTIPSLSSPNYPTTSPLRFCADTTPPPILQDTDALLDSVPERTQNRRRVVRLAWEKLVRWSRSLRFKAKTDVLERTNKVAVLGGGSFGTAMAAHIAGRKPQLEVNMLLRDLLVCQSINDGHYNSKYFPKHKLPINVIATTDAKAALLGADFCFHAVPVQFSSSFLESIAHHVDPHLPFISLSKGLELNSLRMMSQIVPQALSNPRQPFVALSGPSFASELMNKLPTAMVVASKDKKLANAAQQLLASSYLRISTSSDVTGVEIAGALKNVLAIAAGIVEGMNLGNNSMAALVAQGCSEIRWLATKMGAKPTTITGLSGTGDIMLTCFVNLSRNRTVGVRLGSGENLDDILSSMNQVAEGVSTAGAVIALAQKYKVKMPVLTAVARIIDNELTPQKAVLELMGLPQVEEV >KGN52669 pep chromosome:ASM407v2:5:27643016:27643600:-1 gene:Csa_5G649870 transcript:KGN52669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPNYRGVRKRKWGKWVSEIREPGKKTRIWLGSYHLPEMAAAAYDVAALHLRGPDARLNFPDLADSFPRPASSSPDHIQAAAHMAALRFANTKPPEPAPAPVRVGLSASQIQAINESPLDSPTMWMQMAAEALSLEGHEWDDDEEYNYYNNMQHHHDHSIWDY >KGN50789 pep chromosome:ASM407v2:5:10996766:10997441:1 gene:Csa_5G262270 transcript:KGN50789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNREKDAKTRDFLEVAKSCIKICRAHGVPLLINDRIDIALACNADGVHVGQSDIPAHEVRRLLGPNKIIGVSCKTTEQAEQAWIDGADYIGCGGVYPTNTKANNLTVGIDGLKRVCLASKLPVVAIGGINHTNAAAVMGIGIPNLKGVAVVSALFDRQCVLEEASKLHATLVEATT >KGN51016 pep chromosome:ASM407v2:5:14637800:14661809:-1 gene:Csa_5G407100 transcript:KGN51016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSLYLEEFRKAILQMGPPENFALQIVQDVIRPQKHTKLAQDENQLLENILRRLLQELVSSAVQSTEPVMQYGMSIDEKETSQGHIPRLLDIVLYLCEKEHVEGGMIFQLLEDLTEMSTLRNCKDIFGYIESKQDILGKQELFARGKLVMLRTCNQLLRRLSKASDVVFCGRILMFLAHFFPLSERSAVNIKGVFNTSNETKYEKQPPDGFSIDFNFYKTFWSLQEFFCNPASLALASTKWQKFTSSLMVVLNTFDAQPLSDEEGDANILEEESATFSIKYLTSSKLMGLELKDPSFRRHVLMQCLILFDYLKAPGKNEKDIPSETMREEIKSCEERVKKLLEVTPPRGKDFLQKIEHILQRENNWVWWKRDGCAPFEKQPIEKKTINDVTKKRRPRWRLGNKELSQLWKWSDQNPNALTDPQRVRSPAISDYWKPLAEDMDESAGIEAEYHHRNNRVYCWKGLRFSARQDLEGFSRFTDHGIEGVVPLELLPPDVRAKYQAKPNERSKRAKKEEAKGAVQQVDENQMATPASENDGEGTRSDPDGPSAGMDVDTAIATGNVSQGGISTPEENKLSSDTDIGQEAGQLEADAEVEPGMIDGETDAEVDLDTAG >KGN50701 pep chromosome:ASM407v2:5:9728190:9733803:1 gene:Csa_5G218180 transcript:KGN50701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEDLPEARAALSLLRSRLCNSSFYFKPPSDSSDSNYSKLKFIISSSVTEACNNSILLLGPRGSGKMAVLELVLQDLLLEYPDMITVIRLSGLLHCDDNGAFKEIARQLCSEYQLLFSKMASFDDNSQFMVAMLRECGLAHKTIVFVLDEFDLFAQGKQRLLYSLLDAMQSVSSQAIVIGISCRLDADQLLEKRVRSRFSHRKLLFLPPCKENVERLLEHILSLPIDSDLPHDYIIKFNAKLHNMLANERFKKVISTYLDSDSTVKQFVRYLFCAISKLNLKSGLLTVENFEHALSDTQRQPKQEYIKDCSILELYILVCMKRLEVKEQNSYNFNSVMKEYKSIHDSFRTSDYYSRSVCLRAFEHLLQRELICFADNRGHNQSIEFRPAKLLITAHELHHGLKAYRSCPSILQKLMN >KGN49944 pep chromosome:ASM407v2:5:3948712:3950646:1 gene:Csa_5G141180 transcript:KGN49944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRGLKISPNNRFPGQASSMSSHIGTANKIVKEKLTPKQLDLFKRTVFGRFVDMDLVFSSPLVHHILLREVKDERPDAMSFNLNGIIATFSKEEFLLVTGLWPSPTKPVPRVEATKSLGTKYFGNELVVDMNPLTFEERYKNLNFYDDLDAVKVTLVYYTELAMMGKDRTKSIINKSLLDDVEDLKYYNSLDWGHILWEKTLRGLQNALKNKVDMYKKKVKLNKNYNVKYSLPGFPHAFQVWAYEIISSIAGKAVTRLNNEAVPRILRWSCSYSLPSKLLQRDVFNSMRIVISPTLIMSDAEKQFRDSEVDERPIYVVDQAKRAPSVIDVSEDSDGPKDAQGHFDDHDLHNEDISNPHYEHLQGPHAKHERSDNCDAHNDHDIDNNSERFMRDHVEDRLPEPEMSMKRCKREGKAHKEHNHNVFSYLKSLDGRVSRVEDTLKEMKSDLQTITSLLHSYCKSKNVFNDKNGACDLDSRHPEPTSLTAPSPHIETTTTTGLDDERAIRTAECEIVQDMELRKWGFKFTLA >KGN51942 pep chromosome:ASM407v2:5:22795120:22796872:1 gene:Csa_5G606330 transcript:KGN51942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQADGRLISQGLQPRYSGPFDALTKIVRGEGVVGLWKGVVPNVQRAFLVNMGELACYDHAKRFVIQNQLAGDNIFGHTCASVISGLCATALSCPADVVKTRMMNQAASKEGITKYNSSYDCLVKTVKVEGLRALWKGFFPTWARLGPWQFVFWVSYEKFRKLAGLSSF >KGN52142 pep chromosome:ASM407v2:5:23967912:23969385:-1 gene:Csa_5G611730 transcript:KGN52142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKYEKENLAEKYLEGRPRSYPAEWYSRLAALTAGHSLACDFGTGNGQAALGVAEHYKKVIGIDVSKSQLECAMKHERVQYLHLPASMSEDEMVKSIGEENTVDLIISAEAVHWFDLPKFYAVATRLLRKPGGIIAVWGYYYISLNEAFDAAMNRLTEATLPYWDEKVKEYVLKGYRTMPFPFESVGIGSEGKPEEMEMEQKFSFEGMLKYLKSMGPVITAKENGVDVMCEEMVKELRDAWGGGGDLVRTVVYKCFMIAGKVKA >KGN52682 pep chromosome:ASM407v2:5:27751987:27754051:-1 gene:Csa_5G650470 transcript:KGN52682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELINSFLNVVVPPASLFMLAFSWPALSFISACEWIYNSFNTEDMEDKVVIITGASSGIGEQIAYEYAKRKANLMLVARRENRLRMISENARFIGAKRVLIMAADVVKEDDCRRFVSETIQYFGRVDHLVNTASLGHTFYFEEVTDTSIFPHLMDINFWGNVYPTLVALPYLRQSNGRVIVNASVETWLPLPRMSLYSAAKAALVNFYETLRFEVKDDVGITIATHGWIGSEMTRGKFMVEEGAEMQRKEEREVHVAGGPVEEFAKLIVSGACRGNTYVKYPSWYDVFLLYRMFAPNVLNWTFRFLLSANGSRRTSLVGTGMPVYEGSASGRPVLEGASPRRLLLPANSPQSSPQAQKLE >KGN52215 pep chromosome:ASM407v2:5:24402615:24404368:1 gene:Csa_5G616350 transcript:KGN52215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENEENIMRNPKLPSFVRNNRQKLNNVDQKKEEINLPETKSEVVTIPAPEVVPPPPIKAEIKHHGKFSHPAIVWSLCALGGFFIIKFAWKKWKPKDDETKKK >KGN49843 pep chromosome:ASM407v2:5:3453282:3454070:1 gene:Csa_5G139210 transcript:KGN49843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVKQMEGGGGGGGSSSSCSKPNSGNNGNGNNLLEKKVRGEKEQALKCPRCNSSNTKFCYYNNYSLSQPRYFCKACRRYWTEGGSLRNVPVGGGSRKNKRSSLSSSSSSSDHNKKINASHQIINHQDLNLAIFPPNNNNNNTSISTSSSSSSHLLASFMTAPATGMFNGSGGFGLNELKPPASLSFSLEGFDQNGVRGYGDLHHHHHQDQTAVMFPIEDMKQSNDHEENRGGHGGDNNNNNNSNNSGGSTGFWNGMLGGGSW >KGN51685 pep chromosome:ASM407v2:5:21290201:21290537:1 gene:Csa_5G590020 transcript:KGN51685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTEWSTLMMVPPATPMLLVDSDRSIGIGNSLCELHGHYKILALLYCSTKEECLDVNHSKESRMWNSDVGGVVVSDGNKWLGRM >KGN51116 pep chromosome:ASM407v2:5:16087404:16088752:-1 gene:Csa_5G454720 transcript:KGN51116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIALTDGSCILGLDDLRVQGIGIPTGNLDMYVAVSGINPQRNQESESFSVPCLEFADIFFPFQQVFLIVGILRVMLDIGSNNEMLLWWWWW >KGN51898 pep chromosome:ASM407v2:5:22581272:22583185:-1 gene:Csa_5G604920 transcript:KGN51898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSNGMAFFPANFMLQPSHEDHDHHHHSNSPPSLNPILPSCTPQDFHGVASFLGKRSMSFSGTEMVEEGNNGEDEFSDDGSQAGEKKRRLNMEQVKTLEKNFELGNKLEPERKMQLARALGLQPRQIAIWFQNRRARWKTKQLEKDYDLLKRQFEAIKSDNEALKSHNQKLQAEIMALKSREPTESINLNKETEGSCSNRSENSSDNIKLDISRTPAIDSPHQQHPTNRPLFPTSSSLRPPALAQLFQTSSRSSDHPPKQIDNHHQIPKEESSSLSNMFCGMDDHSGFWPWLEQQHFN >KGN50399 pep chromosome:ASM407v2:5:6971297:6974143:1 gene:Csa_5G172790 transcript:KGN50399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSEWWYFGGRSSSSRRVTTVDIDHFQRRDHSLPSCMSTLFHLFDFRSSHFTHIVFDNHRSSSFDLSHHHPTLRPTKASHHGVEAPRNSLELDNGDSISCLRNKEENLQLQMGLQIKTRNGSTKSKATEQQLPNNDNIIALESPSTNTPNLLARLMGLDNFPQTTFSSSYNHCMPNLGTRSLSESPRNSLSRLSDVDYHHRRLSLQINIQEKENNKIKICEEISKREKKKVERPKVALIDITNSYNKVRSKIQEIGSSQSRKVEMKSLKKLKKTTTNKSSSSKVVCRSNQKNVIVSNKQKSISMSMQIPKERRAREGEALDCPRSNKLDLLDHSTIFQPCSYPKGKAKAAGGETNAVDTATTTDGGSAEFKYIKTIQISSKENSNWVVVPASRFYHSVAGEERRWKKRVELQQAVVGGDQIPNNKGWWQKQRGRKRGWEFPHVKFELVEYALINKDLEKSKFIIMAEEREGIVKLVELHILDSLLRELTHSLIS >KGN49867 pep chromosome:ASM407v2:5:3568804:3571662:1 gene:Csa_5G139450 transcript:KGN49867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAETEHLLPYFQNFCDEFQLAKDFCKTHKLDSPLSNMVQTSTVCEYNMGGEGDLFKAPEPIIEESFSGLDPMMAAISMMSFGNPNISLEGLKDSDFESLQSDQLLSEVYYECEKDLLEKAAIHTPLSEVFDLKSQTSNTDEHQIPENKPVPDATLQKSVSSGCLRSMDWMQGPAMKSSILDFSGMDINEVYGMRRAFSEGDIKTLSNGSGSQFHSSLERPLIISNCTAEERKEKLSRYRNKRTKRNFGRKIKYACRKALADSQPRIRGRFAKTEESEIRIRQ >KGN50718 pep chromosome:ASM407v2:5:9853978:9858397:-1 gene:Csa_5G218840 transcript:KGN50718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCIHNSPLPCFSASSSSSFSRRNGCASFKPRIHCSLGGTVAEPKPTTSAEPLLLNAVRGEDVERPPVWLMRQAGRYMKSYQVICEKYPSFRQRSENTDLVVEISLQPWKVFKPDGVILFSDILTPLSGMNIPFDIVKGKGPIIANPISEAAQVDQVREFIPEENVPYVGEALTILRKEVDNKAAVLGFVGAPFTLASYVVEGGSSKHFSKIKRLAFSQPKVLHALLQKFTSSMARYVQYQADRGAQAVQIFDSWATELSPVDFEEFSLPYLKQIVESVKKTHPNLPLILYASGSGGLLERLASTGVDVVSLDWTVDMAEGRRRLGADIAVQGNVDPGVLFGSKEFITKRINDTVKKAGKGKHILNLGHGIVVGTPEENVAHFFEVAKGIRY >KGN52175 pep chromosome:ASM407v2:5:24136919:24139758:-1 gene:Csa_5G613530 transcript:KGN52175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNRCVVLSHSLFHYRRPPPPPFFSSALRSTSSSMEAPPEGYRKNVGICLINPSKKIFAASRLDIPDAWQMPQGGVDEGEDPRSAAIRELREETGVKSADIIAEVPYWVTYDFPPHVREKLRQQWGSDWKGQAQKWFLLKLTGSDEEINLLGDGTEKPEFGEWSWMSPEDVVESAVDFKKPVYKDVLTVFKPHLE >KGN50820 pep chromosome:ASM407v2:5:11512176:11512513:1 gene:Csa_5G272930 transcript:KGN50820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSQPKEYKRFMMSSINDVADRMKAMLLISRRDFGISELIAVENLSVAIAVLQ >KGN49846 pep chromosome:ASM407v2:5:3462881:3464504:-1 gene:Csa_5G139240 transcript:KGN49846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELLGPRLYSCCNCRNHVAFHDDIISKAFQGRHGRAFLFSHAMNITVGPKEDRHLMTGLHTVADVHCVDCREVLGWKYERAYEASQKYKEGKFILEKSKIVRDNW >KGN49649 pep chromosome:ASM407v2:5:1489126:1489430:1 gene:Csa_5G045010 transcript:KGN49649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLTLVITIGDVVKLCSSDELNRPWNSLFLLVSSLQDFVEDDASICTVRLPPTYKNNRDTVKCLEKKMGV >KGN51697 pep chromosome:ASM407v2:5:21351251:21353808:-1 gene:Csa_5G590140 transcript:KGN51697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQLEMAEQSGKDANQKAIDDWLPITSSRNAKWWYAAFHNVTAMVGAGVLSLPYAMSELGWGPGSVIMILSWIITLYTLWQMVEMHEMVPGKRFDRYHELGQHAFGEKLGLWIVVPQQLTVEIGVNIVYMVTGGKSLKKFHETVCPSCSQIKTSYFIVIFASIHFVLSHLPNFNSISGVSLAAAVMSLSYSTIAWVASLEKGVQPNVDYSYKASSTSDGVFHFLSGLGEVAFAFAGHNVVLEIQATIPSTPEKPSKGPMWKGVILAYLVVAVCYFPVAMIGYWVFGNAVEDNILISLEKPAWLIATANMFVVVHVVGSYQIYAMPVFDMIETLLVKRLKFKPCFRLRFITRSLYVAFTMLVGIAVPFFGGLLGFFGGLAFAPTTYFLPCTMWLAICKPRRFSLSWIINWICIVFGVLLMVLSPIGGMRTLILSAKNYQFFS >KGN50986 pep chromosome:ASM407v2:5:14249757:14255582:-1 gene:Csa_5G385380 transcript:KGN50986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRLPQFFSPRNKRKPMDPRKIPGKPKLGRLNAAKNIDYDAPSSSSSLEDSSGSLYTRSMENPDPSSFRIKGLDGEVDLICRTLGLAGPDDFAIPMEAWEARKVRSSSELLPRSRLYPMDTSPKTEEISEDKEDKEIQDELCRRVKDSVRISVDLSKTKTEFAELNERRMATATGCSSRSGINGARPPLLKPPPSMRLPNFDNAYSTWDILKGFAPLVEDEHQEEVGERVEPLVEVEGEGEGNTVRPVENASLIGSWGSFTTSNDDDSSSSTTEPANISPNMRVNPIITSWVLGRLLGRGSFGSVYEAISEDGTFFALKEVSLLDEDSQGRQSIYQLQQEIALLSEFEHENIVQYYGTHSDGSKLYIFLELVSQGSLMSLYQRTSLMDSIVSAYTRQILSGLKYLHERNVIHRDIKCANILVDVNGSVKLADFGLAKATKLNDVKSCKGTAYWMAPEVVNGKGQGYGLPADIWSLGCTVLEMLTRKLPYSEFESHMQALFRIGKGKPPAVPESLPKDAQDFILQCLQVNPKDRPTAADLLNHSFVKRPVSSLSGLASPYNRPGRRI >KGN51285 pep chromosome:ASM407v2:5:17891804:17895219:-1 gene:Csa_5G512920 transcript:KGN51285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEGSNGNSHISSKPPPTPSPLRSAKFFQANMRILVTGGAGFIGSHLVDKLMQNEKNEVIVADNYFTGSKDNLKKWIGHPRFELIRHDVTEPLLIEVDQIYHLACPASPIFYKYNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLIHPQDESYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQAIRSEPLTVQAPGTQTRSFCYVSDMVDGLIRLMEGDNTGPINIGNPGEFTMLELAETVKELINPAVEIIMVENTPDDPRQRKPDITKAKELLGWEPKIKLRDGLPLMEDDFRTRLEVPRKI >KGN50565 pep chromosome:ASM407v2:5:8245548:8245902:-1 gene:Csa_5G182700 transcript:KGN50565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVEASPELAKIKLRECTRESMKRQVINTRKSNGNKSDVRDMTPLKQYVVEKQCCRSKIKNFSNKSQIAGC >KGN52410 pep chromosome:ASM407v2:5:25862300:25863241:1 gene:Csa_5G632090 transcript:KGN52410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFKSSSDLQIFLLLLLASIAFPFIWARDLHDIKKSQLLFPQHLLGSSKGHNIEGIHTIKMHLQRYGYLSKNYNIIDTNGAYNNAFDDHLESAIKKYQMFFKLPKSGVLDMETLHQMSQARCSVPDIFENNENETSVTTSNLHIGSKYTFFPGRVKWPDSLNYRLTYALVNNFPEEFKESVRTAFEIWYGRSRFNFTEVSENEGGNIRISFERGVHGDYHPFTKNSKTLAHTFAPIDGRFHFNADKPFSVDVTYNAYHLRTVALHELGHAFGLAHSPSEDAIMFPTIPTNLEKDLDMDDIEGLWELYDGFGVA >KGN50248 pep chromosome:ASM407v2:5:6087316:6089651:1 gene:Csa_5G161970 transcript:KGN50248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGHGGLNILPQKRWNVYNYENREKVKRDEEAAAKEEQLKREQVRKRDAEFRLEQLRTARGLAPVIDTSKPVETDLKPGHINLFEGIKIFDPIKGSDNGGVEDGSKKKRMKKEEVEKRVITPEDEKYKLGYGVAGKGVKMPWYLERPTKDDDTETGENDGSLRVGSGNVKKGEKKTLEELRAERLKREQKEKGRERALIAERSRKSGIASREGDPSSRRWKSRR >KGN50908 pep chromosome:ASM407v2:5:13034904:13035316:-1 gene:Csa_5G321460 transcript:KGN50908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGVSSINHPDLCCISTDYGSEGHPLRKDLPLSGYVERSEGLSIRKFECKGSKNLRDNSDFENQSFA >KGN50918 pep chromosome:ASM407v2:5:13126347:13126724:-1 gene:Csa_5G331020 transcript:KGN50918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEEVIILDCSLSPFCNRVKIALNEKGVSYESKEEDLFGFKGGKSELLLKSNPIYKKVPVLLHNGKPLNESSIIVSYIDETWPSSSPLLPSLPYERAQARFWIDYIDKKVCLFVFTFFLKYFTT >KGN51778 pep chromosome:ASM407v2:5:21898218:21899268:-1 gene:Csa_5G599845 transcript:KGN51778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKQLVSFSPVFLVVAAAAAVVVLFAGQAQGVDICVHSEYIPLCRSVVKGASDPTAAIKTAIGHLLFETKRAKTSSVVLGNEQAISACNQNYDLALDNLQKSLEYLQSKDLASLRVMLSGALSSYVSCTDAVAEVSSFGVVKMAKNVEQTDTTLQHLAGNCLHIASLLK >KGN52552 pep chromosome:ASM407v2:5:26906042:26906227:-1 gene:Csa_5G643320 transcript:KGN52552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHWQLGNNPEIPKRKYRRRSSIIMDFFRNIQAFKIKFLRMKQIQLLLSKFKLDVDNRKVKT >KGN52483 pep chromosome:ASM407v2:5:26472036:26473287:1 gene:Csa_5G637730 transcript:KGN52483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMLCSNTKPMCLHYFQRESSLKKQKVKNWKCFAIDPRSQKIIHHNNLLSVSFVSFSDLPLYESPGKASFDEYLEDKPRLVKATFPGKNQQLNQEEWRIETPKIQLLFLKICPTIDMKIISKTNGGEAYPCHVPHYIPKLLHFQMTNWEINGIHKEYRPSSANVCSHGVIYRQKIGTRSRLKFQLVIDLSFLVPDALHFVPNDVLRGIIETVMKAMVEDLKHKTVHKLVEDYSKFRMEKEKENIGKVNTSK >KGN52221 pep chromosome:ASM407v2:5:24439346:24444560:-1 gene:Csa_5G616900 transcript:KGN52221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFHVCSIMVEPFCSVPLIWIIQDDMLSKRLNMYKDRGWENLVSHWRSTFSRASVVVFPNFALPQLLISYSIFHQMLYSALDTGNFHVIQGSPVDVWSAEIYKKTHFKHELGNKLGFDVEDIVVLVVGSSFYNELSPEYAVALNRMGPVLTKLPRKNPEVSFKFVFLCGNSTNRCNDALQETASRLGLPSGYLSHYGFDQDVNGILYFADIVLYESAQNVQDFPSLLIRAMTFEVPIVAPDLPIINQYVGLLFIQVIDGFHGLLFPKFSSDALISALTDLTSTSDGRLTMIANSIASSGRLLAKNILASECVTGYANLLKEVLNFPSDVVLPSSITRLPKAVWEWDLFWNELIQVPPNEQRSEKIKRKSSVVIKLEEEFSDLVSPLNISSPGKEISAHDIPTQQDWDIIEEIELIEEYDRVEMEELQERTESILGSWEQVYRIARKSDRIKLEKEKDEEELERAGQIVCIYEIYNGPGAWPFLHHAALFRGLSLSPKALRLKSDDVSAPQRLPLLKSRFYQDILCEIGGMFAIANNIDTIHRTPWIGFQSWQADGRKVSLSKKAGQVLEEAIQENTGGEVIYFWAYLDVGFEVIDSDDSPFWQICDIFNRGHCRSTFKDAFRHMYGLPRAHLEALPPMPDDGDLWSSLHSWVMPTPTFLEFIMFSRMFVDSIDAVNGNLSDDYKCLLASSGLERRQCYCRMLEMLINVWAYHSGRRMVYLNPRSGSLEEQHPLEERQDFMWSKFFNITLLKTMDADLAEAANDGDHSTQNTWLWPLTGEMFREGINEMEEEEKRHRQKMEKRRISREKKPGNHLNHEHKQKPLGE >KGN50966 pep chromosome:ASM407v2:5:13939712:13945027:1 gene:Csa_5G374760 transcript:KGN50966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAGGGKVSFKVTLTSDPKLPFKVFSVPEAAPFTAVLKFAAEEFKVPPQTSAIITNDGVGINPQQSAGNVFLKHGSELRLIPRDRVGAA >KGN50150 pep chromosome:ASM407v2:5:5369956:5373755:-1 gene:Csa_5G155610 transcript:KGN50150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLAETESDQIGQSIQFYNCFKVSSLSETILETTQVGNLKDRYVLGERLGWGQFGVIRSCSDRMTGEMLACKSIAKDRLMTVDDVRSVKLEIEIMSRLSGHPNVVNLKAVYEEEECVHLLMELCAGGELFHQLEKHGRFSESDARVVFKHLIQVVKYCHENGVVHRDLKPENILLATTSSSSPIKLADFGLATYIKPGQNLHGTVGSPFYIAPEVLAGGYNQAADVWSAGVILYILLSGMPPFWGKTKSRIFEAVRAAELRFPSNLWDHVSTSAKDLISRMLCMDPSKRLTAKEVLGHSWMKDAAQASQEQEKQDARDCRRVEMGMSSSPAPFIVRNRDFSFSDGTPVICEDQMGHSPVFTCKSSFSSFLLDNVGTPSSVPGGFSFSSCVELDTATEFSSPIPKLPSFTFFSPCSSTVDQVNSSMRFKANLSLSETLHEEPIKRKLSLLLEPTIPAKYRFGEMEWKETRKGGPGGSRGTNIPSKRNHTIGLGELDQLNLIVTESVIRWASCTHIPTTPSLRLSLVC >KGN50972 pep chromosome:ASM407v2:5:14034250:14042868:1 gene:Csa_5G381780 transcript:KGN50972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSFCCVSTLSDNSPSKQPPYSMPDLHISPPSPSLSLASRSDPTIRISSHAHNRSLSSSDVGRLSQRSIFAAEMVARHSSAREPPVDVKINDIVGNGICGILYKWVNYGKGWRPRWFVLQDGVLSYYKIHGRDKIVVNQETEKGSKVIGEVSMRRISRHKNGFSNQTPQLPLRRKPFGEVHLKVSSVRESRSDDKRFSIFTGTKRLHLRAETREDRVAWMEALRAVKELFPRMSNSELMAPMDFLSVSTDRIRQRLLEEGVSETAIQDTENIMKTEFAALQNQLLLLKQKQWQLIDTLRHLETEKVDLENTVVDESQRQSNEPGALPGLLEKFSDASASATESDDDNDRVDAAEEETDEEENTFFDTRDFLSSSSFKSNGSDYRISSFSSDDEGLCTVDSEEDVDPSIRSVGMNYPYVKRRKKLPDPVEKEKGVSLWSMIKDNIGKDLTKICLPVFFNEPLSSLQKCFEDLEYSYLIDRAYEWGRRGDSLMRILNVAAFAVSGYASTEGRSCKPFNPLLGETYEADFPDKGLKFFSEKVSHHPMVVACHCEGKGWRFWGDSNLKSKFWGRSIQLDPVGLLTLEFDDGEVYQWSKVTTSIYNLILGKLYCDHYGTMRIQGNREYSCKLKFKEQSIIDRNPHQVQGIVQDRSGRTVATLFGKWDESMHYMNGDCPHKGKGLDSLSESHLLWKRSKPPKFSTRYNFTRFAITLNELTPGLKENLPPTDSRLRPDQRYLENGEYEMANSEKLRLEQRQRQARKMQERGWKPRWFAKDKGSDAYRYIGGYWEAREQGKWDSCPDIFGQIPTDPQLE >KGN51169 pep chromosome:ASM407v2:5:16631396:16631898:1 gene:Csa_5G471080 transcript:KGN51169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSGITGFLLAAEIRGFEGPPPPFVVVLADTVRSTSDILTFSAMSIRRKICCDSPEAPLRGSVVIGRGLWSEAAPMYFNFARDYHFNFSTNLIYQRGSVNWRSARFGSLATGPIGWFDSNFGSA >KGN51572 pep chromosome:ASM407v2:5:20516219:20522634:-1 gene:Csa_5G579580 transcript:KGN51572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDQWIAKVKEGQHLLEDELQLLCEYVKEILIEESNVQPVNSPVTVCGDIHGQFHDLMKLFQTGGHVPETNYIFMGDFVDRGYNSLEVFTILLLLKARYPANITLLRGNHESRQLTQVYGFYDECQRKYGNANAWRYCTDVFDYLTLSAIIDGTVLCVHGGLSPDVRTIDQIRVIDRNCEIPHEGPFCDLMWSDPEDIETWAVSPRGAGWLFGSRVTSEFNHINNLDLVCRAHQLVQEGLKYMFQDKGLVTVWSAPNYCYRCGNVASILSFNENMEREVKFFTETEENNQMRGPRTGVPYFL >KGN51228 pep chromosome:ASM407v2:5:17406402:17408838:-1 gene:Csa_5G495950 transcript:KGN51228 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation factor 1-alpha MFDFGSCKWRKLHDSVFDISKLCYQMETIFVEGINKGGIKNKFHTVFGFVSRSPNRVDFTLSLFPLITSIMGKEKVHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRMDATTPKYSKSRYDEIVKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQVHEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGIIKPGMVVTFAPTGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKEAASFTSQVIIMKHPGQIGNGYAPVLDCHTSHIAVKFGEILTKIDRRSGKELEKEPKFLKNGDAGFVKMIPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKSVEKKDPSGAKVTKSAAKKSGK >KGN51786 pep chromosome:ASM407v2:5:21925448:21932133:-1 gene:Csa_5G600390 transcript:KGN51786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEMYGLQSSAEYSDKALMSPENLILPPDYQSLLVSSGEFRDRIPVFGSNELLSAAASAISEAEAASITPDIQREEDMVNVIKAKISSHPTYPRLLDAYIDCQKVGAPPEIAHLLEGIRQESDLCNRHAVTTCLGVDPELDEFMETYCDMLVKYKSDLKRPFDEATTFLNKIELQLSNLCNGAFSRSLSDDGAVSSDEELSGGEMEVVEAEAQTKGENRDLKDKLLRRFGSHISTLKLEFSKKKKKGKLPKEARQTLFEWWNVHYKWPYPTEADKVALAERTGLDQKQINNWFINQRKRHWKPSENMQFEGMDGLSSGRFFRED >KGN51014 pep chromosome:ASM407v2:5:14622972:14623999:-1 gene:Csa_5G407080 transcript:KGN51014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVEGTWEYFSNLVNKHRKKKKQMQTVSLKVRMDCEGCGRKMKQIMSRVKGAKKVDVDVKQMKVTVTGYIEPKKVLKAAQATKKKVEMWPYVPVSLEPYPYISASYDKKAPPNMVRSVPNTATITETLVNENYVRMFSDDNPYACSIM >KGN52114 pep chromosome:ASM407v2:5:23795759:23799150:1 gene:Csa_5G610480 transcript:KGN52114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVATKADGLLDTGHARLNELGYKQELKRDLSVVSNFAFSFSIISVLTGITTLYNNGLNFGGPVSLVYGWFIAGFFTMFVGLSMAEICSSYPTSGGLYYWSAKLAGPNWAPFASWMTGWFNIVGQWAVTTSVDYSLAQLIQVIVLLSTGGKNNGGYEASKYVVIAFHGAILLVHAILNSLSISWLSFFGQFAAAWNFFGVLLLTLLVPLVATERASPKFVFTHFNTDNGEGINNRLYIFILGLLMSQYTLTGYDASAHMTEETIEADKNGPKGIISSIGISIIVGWCYILGITFAITSIPNLLDENNDAGGYAIAEIFYQAFKSRYGNGVGGIICLIVVAVAIFFCGMSSVTSNSRMAYAFSRDGAMPFSPTWHKVNGNEVPINAVWLSALISFCMALTSLGSTVAFNAMVSIATIGLYIAYALPIFFRVTLAKSSFVPGPFNLGRYGIIIGWVAVLWVATISVLFSLPVEYPVTDTTLNYTPIAVGCLLIITISTWVVSARHWFKGPVTNIPI >KGN49959 pep chromosome:ASM407v2:5:4094272:4094782:1 gene:Csa_5G146820 transcript:KGN49959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLVSVLAEILEEYTVVLSDVLRQLFYSAPFPHRVRFLILHNLPFADRPTALAA >KGN52493 pep chromosome:ASM407v2:5:26529919:26530923:-1 gene:Csa_5G638320 transcript:KGN52493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLRRGFAFTSPQFVLRACSFCSKAFPGGSIGSENERVAHSNSIANGSCRPQLTPLFPDDKPCSVAYDVELVDDDTWAVSCGLARAWEAREKGWSGFENRYSLEDEAHDPVDYCDSDFDDIDNMRIRGNLFYKLDQASKEFEECSLDFHRKKKSLKEKEDMVKRRSKVNDKLDKCLASGQVKLPEHLKNKYVIVERENDDVEKKLRTPTFNQLTSPYHEPFCLDIFISKASVRACIIHRVTSKVVAVAHSISKDMKFDLTSRKDSSACAAVGAVLAQRALGDDIHNLIYTPRKGERIEGKLQIVLQSVIDNGINVTVKIKQQKRPRKLGRPPLA >KGN49683 pep chromosome:ASM407v2:5:2035834:2041610:1 gene:Csa_5G065660 transcript:KGN49683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILPTSTSRLDLSISVPGFNSFSSALPPSVGRDLDMNKAPDEEEWMMGTMEEDEEINNNGSNNPRKKLRLTKEQSHLLEQSFRQNHTLNPKQKETLAEVLKLKPRQIEVWFQNRRARSKLKQTEMECEYLKRWFGLLTEQNKRLQKEVEELRAMKVAPPTVISPHSSEPLPASNLTMCPRCERVTTTTLDKTRIVV >KGN51565 pep chromosome:ASM407v2:5:20462052:20462959:-1 gene:Csa_5G579030 transcript:KGN51565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLALSRARYLSHPSNRLHLSSSDDGFQSSIKKYNGKDCILKRLNKNGKKTDNIIHALREHVKLGAKISETVKGKLSLGARILRVGGVRKIYKKLFSMSEEEKLLKVSQCYLSTTAGPLPGLLFISTHKIAFCSDKSIKIASPNGDHIRIHYKVVIPKEKVMRVNESENVKKTSERYIQIETLDNFEFWFMGFLNYQSTFNSLQW >KGN50801 pep chromosome:ASM407v2:5:11141529:11143980:-1 gene:Csa_5G265810 transcript:KGN50801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNLRQKQTECIIRMLNLNQPVNSTSAGNEEEVYKILIFDRFCQNILSPLIHVKDLRKHGITLYFLIDKDRKPVHDVPAVYFVQPTKINIDRIVADASRLLYDSFYLNFSSSIPRPLLEDLASGTLNSDSVQRISKVHDQYLEFVTLEDNLFSLAQKSIYLQLNDPSAGDREIEEIIERIVSGLFSVLATLAVVPVIRCQRGGPAEMVASALDQRLRDHLLSKNNLFTEGGGFASSFQRPILCLFDRNFELSVGIQHDFRYRPLVHDVLGLKLNRLNVQGEKGGMKSYELDSSDPFWVGNGSLEFPEVAVEIETQLNKYKKDVDEVNRRTGGTDGAEFDGTDLIGNTKHLMNAVNSLPELTERKQVIDKHTNIATVLLGEIKERSLDSYAKKENDMMARGGIDRNELLSVLKGKGTKTDKLRFAVIYLISSETLNPSEVEAVEAALRESEVDTSAFQYVKKIKSLNASFSSANSASRSNLVDWAEKLYGQSISAVTAGVKNLLSSDRQLALTRTVEGLMDGRPNPEIDTFLTFDPRAPKSSSGTSSSHLKGPFKEAIVFMIGGGNYVEYGSLQELSMNQQPIKHIIYGSTEILTGVEFVEQLSLLGQKMGFGNVAAPPPPPGR >KGN50920 pep chromosome:ASM407v2:5:13150786:13151511:-1 gene:Csa_5G332030 transcript:KGN50920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAEDGNNERSPRLDLSLRPPSAQPPPAPEYPQLSSTLPSSQIPNEESNATPQPNIETSNDQQQHRRRLRRRRTRADMTRIEPPYPWATDKRAVVHELKYLQSNNIMKIKGEVICKKCEMKYEIEYDLMNKVNEITRFFEEEIDSMHDRAPNCWTKPNLPNCNFCNEEKCVMPVISKEDDSKINWLFLFLGQFLGCLRLKQLKHFCAQSNIHRTGAKNRLLYLSYRALFHQLQPSPTLNIN >KGN52350 pep chromosome:ASM407v2:5:25290060:25316498:1 gene:Csa_5G627070 transcript:KGN52350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVLNPYVRQFLVGWITVLDSVPDIDMLGFLPDFLDGLFNMLSDSSHEIRQQADSALSEFLQEIKNSPSVDYGRMAEILVQRASSPDEFTRLTAITWINEFVKLGGDQLVPYYADILGAILPSIADKEEKIRVVARETNEELRNIKAFPTEGFDVGAILSIARRQLSSEHEATRIEALYWISTLLDRHRTEVLIYLDDILDSLLQALSDPSDEVVLLVLDVHACIAIDQQHFRQLVVFLVHNFRINNSLLEKRGALIIRRLCVLLNAERVYRELSTILEGESDLDFASTMVQALNLILLTSSELSGLRDLLKKSLVHAAGKDLFVSLYASWCHSPMAIISLCLLAQSYQHASVVIQSLVEEDINVKFLVQLDKLIRLLETPVFAYLRLQLLEPGRYMWLLKALYGLLMLLPQQSAAFKILRTRLKTVPPYSFSGEHFKQLSSGNSYSVMHHMSGLNINEDGDVSQDAGNSRNGINFAARLQQFEHMQHQHRLHEKGQTLSRTSTPPPLTKTGVEIPEETKRPASVSALTPGSASAVVAAEINRPPSRSRRGPGQLQL >KGN51580 pep chromosome:ASM407v2:5:20576959:20586168:-1 gene:Csa_5G580650 transcript:KGN51580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTKRAYKLQEFVAHSSAVNCLKIGRKSSRVLVTGGEDFKVNLWAIGKPNAILSLTGHTSGIDSVSFDSSEVLVAAGAASGTIKLWDLEEAKIVRTLTGHRSNCISVDFHPFGEFFASGSLDTNLKIWDIRKKGCIHTYKGHTRGVNAIRFTPDGRWVVSGGEDNTVKLWDLTAGKLLHDFKCHEGQVQCIDFHPHEFLLATGSADKTVKFWDLETFELIGSAGPETSGVRCLTFNPDGRTLLCGLHESLKVFSWEPIRCHDGVEVGWSRLSDLNVHEGKLLGCSYNQSCVGVWVVDITRTEPYAVNNANRLNGRPEPKSSSHLQNENNTKTSFGGLSVSQTSDALLKETKTLGRLSVSQNSDVAKDPKSLSSTGNGPSTPQKINLNAGSKTNLVSSAAVPSAVVPKRNSVKATSTFNNPIFNKSDVIPVIVPRTSSRHEQDDSRKEFDVAGRAVPVSRTNSRQEQDDSRKEFDVAARVMPLSRSNSRHEQDDSRKECDVAGRAAPAPLLSKTTDNRRFPNSRDEVDNPTISVLSESRGLKANDMSTIADNRNSLHGIGSIQGVSAPQKIVKEERYIGSGKNETETKDTTANYKHEDESRGHKISRDASFPEATKGGRLRSHVDWEKRERPNFSRLTYNASPGRAAALENIPLNNGRGYRPSPEKETVSPASDEDTIANVLQQHDQFVNSMQSRSAKLQAVYRHWERHDIKGAVSAMEKMADHAVAADVISIMTNRIEVVTLDICTCILPVLSGLLESDLDRYLDISMEMLVKLVRTFGSVIYSTLSATSSVGVNIEAEQRLERCNLCFIELEKVKRCLPALIRKRGSVAKSAQELNLALQEFQ >KGN51136 pep chromosome:ASM407v2:5:16315299:16318418:-1 gene:Csa_5G466330 transcript:KGN51136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPADEAVAKTVGDRVKLFTTRCVLLELKALGQSYSGAFEAASQLFTARCDHEKRKSAEACILDVIGESNPEHFFVATQDTNLRKQLQQIPGVPLIFGLRNALFMEQPSDVQRQFVKSLEEKRMHVSEVERDLLKKKTKYVVEAEKTNEDEDLEDQNLDTLVLKKKKKNIPSNLKDRPQFKRNKAKGPNPLSCLKKKVKPSPHPVSEKKKDDDSVAQKRTRKRKRSRKAKAPTETVMS >KGN52477 pep chromosome:ASM407v2:5:26438727:26443752:1 gene:Csa_5G637670 transcript:KGN52477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFGKEFKTHLEQTLPEWRDKFLCYKPLKKLLKHYPNLPSTLYPIPTNHSLNFLLPPLHPPPVSFDDLTEAAPCETAAASLADLQDWFVRILNEELEKLNDFYVDKEEEFVIRFQELKERIDRVKEKSSRGGVFTSENEFSDEMMNIRKDFVAIHGGMVLLKNYSSLNFAGLIKILKKYDKRTGELLRLPYMQLVVRQPFFTTELLTSLVHQCEANLELLFPLEAEVIESTSALLVDPNPLIDNSKITTAKTPSNLREESEDLYRSIVAAMTAIRGLQKESSTNNPLSFSSLFKGQDDESTGAVTDENSPSNSLASLPKVDDDDE >KGN51323 pep chromosome:ASM407v2:5:18146241:18147472:-1 gene:Csa_5G517210 transcript:KGN51323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPTISTIFLISFSNFLLTMSLPFENRALIGGLHGAGPWHNAHATFYGGNDAAGTMGGACGYGNLYSQGYGVNTAALSTALFNDGYSCGACFEIKCVNDPQWCHAGNPSIFVTATNFCPPNYALPNDNGGWCNPPRPHFDLSMPMFLKIAQYRAGIVPVSFRRVACRREGGMRFTINGFKYFNLVLITNVGGAGDIVSVSIKGSKTGWMSMTRNWGQNWQSNTVLVGQSLSFRVKSSDNRISTSSNIVPSHWQFGQTFIGKNF >KGN49591 pep chromosome:ASM407v2:5:605052:606969:-1 gene:Csa_5G014280 transcript:KGN49591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISGLILGSNPTEIKRKETKFEGSWDYLGPILIEIEGEKEFEGSWGYLRPIPVESIYTIMFFHIGAFWGMIYLRSSINIYTKHVNFSIVCAVQPGSHGSRILEKSAGGGAHGLRTARKGRRTLTARARLGLKKKADARVRLGRDGQRSDKAVANAAEKKTMRRMLARTAKRRRRLATTS >KGN52069 pep chromosome:ASM407v2:5:23526257:23527730:-1 gene:Csa_5G608570 transcript:KGN52069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAVVVGIGVRKKRIFPHASSLASIESLTLPLVQEIVLTADIGCAECQKKLANILSKMNDTESVVVNLLDKKVILTRRSQIPSRVSTIRRLFASPCR >KGN51561 pep chromosome:ASM407v2:5:20447752:20448835:-1 gene:Csa_5G578990 transcript:KGN51561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTTIQEQIVLGIQMKSLGLARARLLGDPSKYLHISSSDDGSQSIKKYNGKDCILNRLNKNGKKTDNIIHALREHVKLGAKISETVKGKLSLGARILRVGGVRKIYKKLFSMSEEEKLLKVSQCYLSTTAGPLPGLLFISTHKIAFCSDKSIKIASPNGDHIRIHYKVTIPLGKITRVFQSENVKNPSEKYMEIVTVDNYEFWFMGFLNYHKSFNCLQEALSSQA >KGN51982 pep chromosome:ASM407v2:5:23000566:23001494:1 gene:Csa_5G606720 transcript:KGN51982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIAPPLPLLANERRSAHAHRVTVLTVVRRSATVCGHVATLHGQTVVAGTVAGRSAIVGTIAEEVVTFRPLTFWPMKRRRLDPCLMMLNFKALQSLQNQNRSVGSPAVHVVVHFLLVSNGFFVGVFIVPNGGVRILLVISGVVVRVLLVANGIHAHVHLVGHAAAVVIVIFAIVAIFVLAVAFDLAVIFTLLVIFAVVKWTSSQKGHRHLIFYTNPLGV >KGN50104 pep chromosome:ASM407v2:5:5108783:5111740:1 gene:Csa_5G153680 transcript:KGN50104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYVRPLMLFRDLTKRDALEHGRLLGLDVGDKYVGLAVSDPDNKIACPLSVLLRKKTTMDLMAQDFQKMISEFSLAGFIVGYPFDRLRNNPDAMQVKIFIDDLCKTGKLEGVKYTFWDECFTSKNVELLIKPLNLLPAVSKTIIDKFAAVGILQGYLDYFNRRPELGET >KGN50956 pep chromosome:ASM407v2:5:13791789:13793262:1 gene:Csa_5G372190 transcript:KGN50956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCRRSEGSPLDLNNLPEDYIRDGKQIIEDSSSGHRKKKSGLKEGKEESGKVYECRFCSLKFCKSQALGGHMNRHRQERETETLNRARQLVFSNDNLAAQPPPPHLGCCHSMAPANYHSAGGSGNVGSDSTLPLRFPTRMFSGSSPGTLLPQPPPPPLPSSHQPYLYNSPTRPSSFPSYYPPPQASINDYYVGHVLGNPSQCSHQTVNYGSSSVESSSYTCIGAPVGHAAVGFGGRDGGGSGGRDGSQQQQRLDVPSSINRFQDGF >KGN52679 pep chromosome:ASM407v2:5:27738769:27739644:1 gene:Csa_5G650440 transcript:KGN52679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDNRISLLPDTLLHHILSTLNTKFVVQTCLLSKRWKTLWTLIPTLNFDYNSFSSSSLFKYDDEESKRRSFKFFIFRVLSIHCATNIHKLTYTSSPNGDECVLVELLICYAGSHKVQQLCINTYNICIYEWDYCFPMCSSLIELKMIQCDWSARDERANYNGITMFSGCPNLESLVLVDYLFETATISAPRLEIFKLCASHDMELTFPQVELLTPTLKTVNFVNMLPILQSDSEFSCIHKVDIQLEDSVFTTDGSQTQELKSKFIDLLTVA >KGN51824 pep chromosome:ASM407v2:5:22150604:22152852:-1 gene:Csa_5G602230 transcript:KGN51824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQRLSLLATTHLLQHTLRSLCIHHNSHWVYAVFWRILPRNYPPPKWENQGAFDRSRGNWRNWILVWEDGFCNFAASASSDEMEGSGGDFPGYGLQPCRGLQPELFFKMSHEIYNYGEGLIGKVAADRSHKWIYKEANDNQDIKFLPTWHNSTDSHPRTWEAQFQSGIKTIALIAVKEGVVQLGAVQKMTEDLNLVVQLRKKFCYIESIPGVLLPHPLYSSIPSSFMDGGVGVTTMAYENPEMGRFEGSGLGGSVESLVYNNLNQQLRITPSMSSLEALLAKLPSVVPVSTGAEAGIIRPHYQYQHQHESESSAQKTLELLAMEKVAKVEMNDDEDDQVAYTQLLHRYHDCDITTTSSHNNHGF >KGN51107 pep chromosome:ASM407v2:5:16036147:16042088:-1 gene:Csa_5G453150 transcript:KGN51107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVSSSCLSSLNPIISSTKHSLFISRISSNKPFPSKSLKFSSSPNPPNPETPPPNSPETVSDAAPPPLDPVKLAFERAKAYKKLSKSGSNLNVELKPGVGSEGNSVQTGKSGVLSFDGADEQRKMQGGVRVAVESANEVKGEAKVVTDGTKGGVINTNEGLNDRDGGNLGNKQKGDKKGELSISSIDFIGLGFADKKKSRGLPAGLVPISDPFSVEDLPEVEIIVGDSSKFDDATVSEIKPTQEDDSDFYKPKVSTWGVFPRPGNISKTFGGGRTIRPGDVLETDEEKAVKEARTKELIAAYKKKFGLTIDAKLKSECEMALEEGDSLMNDGKLKEALPYYETIMEKVNFQSELHGLAALQWSICQDSLSRPDVAREMYEKLKSHPNPRVSKKARQFMFSFQAMEMMKVTTSSSFLSNDSSYRNYFEAFLDNKLNYSADESGIGEGVLNQSLPYVIFLLSPILLVLFAAVQKRI >KGN49764 pep chromosome:ASM407v2:5:2968700:2969751:-1 gene:Csa_5G114610 transcript:KGN49764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLETASVGKFWYRRLGYSKEFEEAFVQNEKGFFFFVDCEDVEETENSKLEEAFEAVMATGEYNERIDCEAVDMELRDDLERLKRIRNQRGLRHYWVFVSVVSTLRLPVAEGRLLGVSKKR >KGN52559 pep chromosome:ASM407v2:5:26942844:26945243:1 gene:Csa_5G643380 transcript:KGN52559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKKIERLLGRVIKGKRHSPPWCLPPPPPPPPPPPSPPPSPPPPQPPESQPPEPSQKPSEPTPFLFPSTQSTVLPDPSVFFSPELLSAPLPSNSFFQNFTLNNGDQPEYIHPYLIKSSLSSISVSYPSILSNSASECQIFTPDLTISPSEKINPLPQKSHVISSFNDLSVTLDIPSSNLRFYLVRGSPFLTFTVSKGVAFSISTIHEVISFSFNNALTKYTIKLKNNQTWLIYSSFPINLTHNLSMITSGGFAGIIRIAALPNSDLECEQILDRFSSCYPVLGEAQITKPFCLEYKWETKGWGDLLMLAHPLHLRLLGGSDDNVVILDKFKYKSIDGELVGVVGSSWALKPEPISVSWHSIRGVEEESFAEIISALRKDVEALNSTSMILTTKSPYSYGKLIARAARLAVIAEEVRSLEMVPEIRKFLIGAIEPWLNGTFEGNGFVYDEKWGGIVSKEGAFDYSADFGFGMYNNHHHHLGYFLYAIAVLVKIDPAWGRKYSPQVYSLMADIMNLSRRANSKFPKLRCFDAYKLHSWGTGLSEFTDGRSQESVSEAVNAYYSAALVGLAYGDAHLVSIGSILAALEIKAGQMWWQIREGETTLYKEEFVKENRVVGVLWSNKRDSGLWFAPSEWKECRLGIQVLPILPITELLLSDVGFVRELVNWALPSLGREGVGEGWKGFVHALESIYDKDGSLQKIRNLKEFDDGNSLTNLLWWVHSRGKEEQNINNSKIHLV >KGN51945 pep chromosome:ASM407v2:5:22805337:22807493:1 gene:Csa_5G606360 transcript:KGN51945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRIMRALEGQMGSMLGLKAMAYGLPHSSPISGVMGPKDSPPPLVLPEFNQEYDDDKTNNCSIVGFGFPSLSFSGSMELMAVPKKKVSPHKRRIRNAPKALKPIPVIVRCKACGKVKLPHYYCCSGRPGDQDNSAT >KGN52239 pep chromosome:ASM407v2:5:24579529:24580731:-1 gene:Csa_5G622540 transcript:KGN52239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEYNLWKLKTTLIKSSNPSVQIVTEQLPKTEHPKQEQKEPSCWRTIFSPPERGEDFTILQEVAGNEAVATAGAVEETEKTIIQRRS >KGN52450 pep chromosome:ASM407v2:5:26190310:26194072:-1 gene:Csa_5G635450 transcript:KGN52450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEREIEEKRKLSTTNYHKRPIDDKHIVISQASIVKISVGSWKYPLQKTVPYGVALVYESLKATDSAPPSPAPFFSSFLSLSFQTGVVDISVFSKQTGGTPQVFCSNSSFQHRKLCETMYNGGYTAEVTSLSPKATENDVYDFFSHCGTVEHVEILRSGDYACTAYVTFRDAFALETAILLSGAEILDQCVFISRWGAYIDESDSWNSPAQMTNDNTSLMATKIMHSVHTPGEAVTMAQQVVKTMLSKGYVLTKDALVKAKAFDDSCQVSATAAAKVYELSNRIGLTETINSGMETVKYIDEKFHVSDITRSAAAVTGTAAVVAVTVTGKAAMAAGNAVINSSYFSKGALWVSDMLSRAAKAAAEAGKSISK >KGN51265 pep chromosome:ASM407v2:5:17663859:17674734:1 gene:Csa_5G505750 transcript:KGN51265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLGIRLNNIWRWQTSPVLCTSNAFYFNSHFSTSSLRKELYSLAESNDDTFLPWLERKAETKISSVLSIGKSSIGRFLFASETIRAGDCILKVPFNVQISPDSLPLPIRDLLGNEIGNVAKLAVVVLLEHKLGLGSEWAPYIIRLPQPWEMHNTIFWKESELEMIRKSSLYEESLNQRSQIKREFLAIRKALEAFPEIIDRISCDDFMHAYALVTSRAWRSTEGVSLLYFNFLNHDGASEAMLLNDDDKQLSEVVADRDFAPGEHVLIRYGKYSNATLMLDFGFALPYNIHDQVQVPVKTVKDDPLARIKLELWGRSCTSGTDYVKGVYSTGNSFTIREVRSATGKGRGLPQSLRAFARILSCTNPQELNDLSSEAVNGDGRLARIPLKNVYKEVEAHRILLSQFKQLVEEYNASIEAIEPVDSPCLGRKLARRRLLAQHLLTGEVRVLKSAIAWLENYCEAI >KGN50229 pep chromosome:ASM407v2:5:5985626:5989653:-1 gene:Csa_5G161290 transcript:KGN50229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVLPETIQEKTLPDAWDYTGKPAIKAKTGGWVAAAMILGPEAVERLTTLGIAVNLVTYLTETMHLGNATAANNVTNFLGTSFMLCLFGGFVADTFLGRYLTISIFATVQAAGVTILTISTIIPSLRPPKCSPGVSTTPCIMADSKQLAVLHTALYLTALGTGGLKSSVSGFGSDQFDDSDKEERAKMSKFFNWFFFIISIGSLGAVTILVYIQDNWGRQWGYGICACAIVLGLVAFLLGTRKYRFKKLVGSPLTQIVAVFYAAWRKRKLDLPSDPFSLYQIEDAVDGSGKKKQKLPRTKQFRFLDKAAIKDEEVVGNVVNKWKISTLTDIEEVKLVLRMLPIWATTIIFWTVYAQMTTFSVSQATTMNRHMGKSFEIPAASLTVFFVASILLTVPIYDRFIIPIASRILKNPQGLSPLQRVGVGLVLSIIAMVAAALTEIKRLKVVEENGLTYKPTAEVPLSVFWLAPQFLLVGSGEAFTYMGQLDFFLRECPKGMKTMSTGLFLSTLALGFFFSSLLVTIVGKVTEHGRPWIPDNLNEGKLYDFYWLLAILSVLNLMVFLVCAKWYVYKEKRLADEGIELEDCGPTVH >KGN52006 pep chromosome:ASM407v2:5:23125790:23127962:1 gene:Csa_5G607450 transcript:KGN52006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFSVEDFVGNGVLKDLLPTLLDEGWDDVPTLKVMNSEDMDAINMTRQQKEAIEIRTYLHDRSLMPYADRLESTGKCLPELLSISVEDLTSQFHMKRGHIARFHDRKSSCVDPSTNKFDAPLASTSIKRTYQSNSSKRMQSMRSRNFQDKTVEQAMSEFKIEDGYEFKGIVATELAGHIACGCVQPPHIVDKIAPYSAIENISIQKLTPEYKIGMERLVKTKTPPMKASSLWQDKPAIILCIRRPGCIMCRAEAHQLYARKAIFDALGYQLFAVIHEHIESEVKDFWPRYWGGTVIFDQGRGFFKALGGGKLMKEKFLFGFLFNPRAIANYKRAKAMGIKQNFNGEGEIKGGLFILGSSKRGIAYQFIERNFGDWAPLSEVIEICTKIQSQSQASGLSIKPSQEDNRSSSLV >KGN51968 pep chromosome:ASM407v2:5:22936441:22938774:-1 gene:Csa_5G606590 transcript:KGN51968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSFMKSPAKVTKQNSVDPELSTGSGTNPFDSDTGPDAKQTLNAARRTSSEPVLPMPKANPFDDDDDTGFVGRKGTATSSGSKDRYKNDFRDSGGLENQSVQELENYAVYKAEETTKSVNNCLKIAEDIREDATKTLDMLHKQGEQIERTHRMAADMDKDLSKGEKLLNNLGGMFSKPWKPKKTKEITGPLITADHSSGKTENNKEQREKLGLSTGKKQSATKTPPSEPSGAIQKVEVEKEKQDDALSDLSNILGDLKSMAVDMGSELDRQNKALDHLSDDVDELNSRVKGANQRARHLIGK >KGN49634 pep chromosome:ASM407v2:5:1191497:1191760:-1 gene:Csa_5G034250 transcript:KGN49634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEVANCRHRDGTLEVPSCQHATSVSIARVGRNAFLTPSRCRVGNDFLDALLSTFFLTTQCISGEPFPKLFVIYTDTFLHMETLEFL >KGN49557 pep chromosome:ASM407v2:5:81030:86650:-1 gene:Csa_5G002030 transcript:KGN49557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEKEFFTEYGEATQYEIEEVVGKGSYGVVASAIDTHSGEKVAIKKINNVFEHVSDATRILREIKLLRFLRHPDIVDIKHIMLPPSRREFKDLYIVFELMECDLHHVLKTNDDLTPQHHQFFLYQLLRALKYIHSAHVFHRDLKPKNILANADCKLKICDFGLARASFSDAPSAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEMLGSKPLFPGKSVVHELDLITDLLGTPSAECIAKIRNEKAKRYLSGMRKKDPIPLSKKFPNADPLALRLLERLLAFDPDDRPSAEEALADPYFHGLANLKDEPSRQPISKLEFEFEKRKLTKDDVRELIYREILEYHPQMLKEYLQGSGSHFLYPSGIDRFKRQFDHLEERSGKGERGSPLLRKHASLPRERIYTLGYEDDDDEKHRTGYRNAASIERAAVHSPPAYPLTARNDCNSYNLLRSASISCSKWVD >KGN52387 pep chromosome:ASM407v2:5:25740261:25740915:-1 gene:Csa_5G630890 transcript:KGN52387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILSWSRSISFQSSHNNKPFPWINRLEVASRTAKALAHMHEALEQDEIPHGNLKSSNILINGNMEPCISEYGLMQIQSHNKTANSFKSDVYGFGLILLELLTGKVVIDEKGICLADWVKTVLREEWTAEVLDRSLMAEAASEERMVNLLVVGVKCVENSPNARPNMIQVVAMIDSIKEDEEESSINSVH >KGN50601 pep chromosome:ASM407v2:5:8561033:8561536:1 gene:Csa_5G190450 transcript:KGN50601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFDQMPNLDFYVWKVMIRWLSAQSVAFEEIIDKNVASWASMIAGYVENSCVEEGLVLFNQMRDALVESNPFTLGSIINAFTKLRALHQGK >KGN51885 pep chromosome:ASM407v2:5:22488577:22496105:1 gene:Csa_5G604300 transcript:KGN51885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWVGKTELRKCYNLLGLKKFRTSKAQPIINGPLVVVRNYVRPGHRFPYVRCSATIGSVLHFANRGSPARSPISYSVDATMNFLLRSTHTVPQERPSIQETPPPAAYYAPKPAVTLEGLISEDPFPQYSVVDDDNDEEDDASAGENGSIAGHREKSGRAGVVKHSDVSEEEGWITIPCKGLPSDWKNASDIHSLCRMDRSFVFPGEQICILACLSASKQDTETITPFKVAAVMSKNGKWHSPKKQNENIDDGTNSTNGESHSTDQNGENLLNEKIDPSKDVSASESLLRKEDHRRQTETLLQRFENSHFFVRIAESSDPLWSKKKSDKQSDCEIVGQNIVKSSINAVIDQGDFDSSVSGGVARGSFKCCSLSDGSIVVLLRVNVGVDTLRDPVLEILQFEKYQERPVSFENQDVLSYSNPDPCGELLKWLLPLDNTIPPIPRPLSPPRLTTNAGIGGTSQKSVSSSTGSQLFSFGHFRSYSMSSIPHNSAPPSAPVKAASSKPNFELENWDQFSTQKPSISKRIGGRDLLSFRGVSLEQERFSVCCGLKGIHIPGRRWRRKLEIVHPVNIQSFAADCNTDDLLCVQIKNVSPAHIPDIIIYIDAITIVFEEASKDGLPSSLPIACIEAGNEHSLPNLALRRDEEHSFILKPATSMWRNIKACGEKSSQSSRLQAGNAISSLSLTPKSNDQYAIMVTCRCNYTESRLFFKQPTSWRPRISRDLMVSVALSGDPPKPNGIVSHLPVQVLTLQASNLTSEDLTMTVLAPASSTSPPSVISLNSSPSSPMSPYMVLNEVAGRIGTEKYVTSLERPRSIPSVTENLKQSIDSGGRSVSFKEQSSPMSDIIPSAIGCSHLWLQSRVPLGCIPSQSTATIKLELLPLTDGIITLDTLQIDVKEKGATYIPEHSLKINATSSISTGIL >KGN52022 pep chromosome:ASM407v2:5:23231392:23232763:1 gene:Csa_5G608100 transcript:KGN52022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVTRPLSLYRDSSSGSGSDSGLPSGPNTGVLVIEDEGSERRWFFGLLKAKSVKVPPFPQNKLMKLWYSKGIHSDTDDFEAMLIPVLNQPSNSNQYYVISSDVIEKGLACTSSKECDVKNSCCFTYIFDISPQIFDPRNVYQQFHITNRYTFFGRPGGFVSKSTAIDGIPPDFLRHYGWQARTRTLKNFNPTPALGVDDALRTRLPELDLGNPVEVGKWYCPFIFIRDGEVGVQMRKSPYYEMTLQQNWEEIFGCYNDSGGGGVMVDVCVRREAVLVGGALLAAERVVVSDGIMWFGPWPSEVGLSMAIVERVKWEEERVGFVWGRNHEEEIERVLRREEFEGEGVWKRVRCYVLVERFVLKRMDATLVLTWEFRHTHQIRTKWENEN >KGN52375 pep chromosome:ASM407v2:5:25660117:25661458:1 gene:Csa_5G630780 transcript:KGN52375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTSFHSHHEPTCAICKKHCRSLDSLREHLIGPLPKQECKNIFATRGCKFCLAIFDSSYSQRLHQERCQFSPVNSGLLARFANLGIRDGSTVIDSGRTRGSGAIALACKYVGGGSDGSMDICAKVCLIDEGENVIFYSYVKPVTAVTNYR >KGN51413 pep chromosome:ASM407v2:5:18935684:18939590:1 gene:Csa_5G532450 transcript:KGN51413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRRLCCLLSSSLASVHTKPPPAIVINQALSRPFLEFQQNPFLDRCVGSLPSGNIFGFRAFSLLSLNDLRDKVPRKQKTRKGRGIGSGKGKTAGRGHKGQRARGSGKLGFEGGQTPLRRRLPKRGFKNPFSLTFQPVGLGKIAKLINAGKIDSSELITMKTLKDTGAIGKQIEDGVRLMGRGAEHIKWPIHLEVSRVTVRAKEAVEAAGGTVRRVYYNKLGLRALLKPEWFEKKGRLLPRAARPPPKQQDKVDSIGRLPAPTKPIPFSVEYEDGGKAASNLST >KGN49753 pep chromosome:ASM407v2:5:2905871:2907358:1 gene:Csa_5G106030 transcript:KGN49753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNASNGLYTGNGSVDFNGNLVLKQNTGNWKACPFILRNEGCERLAYCGISVNLVTYLTNKPHQGSVSAARNVTTWQGTCYLAPLIGAILADAYWGRYWTIAAFSTIYFIPESISFTCVLVSILSFHKDAGFCLQYIVFFIGLYLTAIGTGGIKPSVSSFGADQFDDTDPAERVKKGSFFNWFYISINAGALISSSFLLYRFQKSTDFKNPEGAQLQAKKHKHFILELVSVLDTWRTRIRSDTLGTRV >KGN51018 pep chromosome:ASM407v2:5:14688374:14691402:-1 gene:Csa_5G409610 transcript:KGN51018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLVGNLPLLSLLFLFLNHGLAAGSTFNIVSLGAIADGKTDASHAFQEAWANACGSSEPATIYVPNGAFYIQSGNFSGPCKNNAITIVINGTLIASSNIQVLAQSQAWIAFRQINGLSIYGGVIDGQGIGLWNCKHSGKSCPDGATNLEISHAQNVNVNGLSSINSQMFNIVVYGCENVQIQGVNVSSAGDSPNTDGIHVQQSLNVNISSTSIGTGDDCISIGPGTTNLWMENIKCGPGHGISIGSLGRQVEEAGVENVTVTTATFTGTQNGVRIKSWGRPSNAFANKILFQHIRFYNVNNPILIDQNYCPNNQGCPGQASGVKVSDVTYQDVNGTSASEVAINFDCSPTNPCTGITLEDIQLTYNNQIPKASCKNARGTASGPLQPRSCLA >KGN51926 pep chromosome:ASM407v2:5:22722972:22723408:-1 gene:Csa_5G605200 transcript:KGN51926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLWRRKKLEQNRNYVRTRTWRRKRLFSCYHKEAKSPAGRLSTPPLDRDFKVRNQIASTQPNHIHSGRKLARPIACVQGARRKS >KGN51761 pep chromosome:ASM407v2:5:21774485:21784345:-1 gene:Csa_5G598690 transcript:KGN51761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFICNIQVPHPNLLLLRQLHVDKIRSRGLTFTDNKILRWNHLSIDCRFLLPPLKSAINGYGISVPSSSEEREESRGEAEFDIVDKLRGLLGHLRSILPGGSWWSLSDEAEVRISVEPVTVTRALGRMWDLVSRDRWIIYSAFSVLVIAALSEISIPHFLTATIFSAESGKISVFRRNVQLLMFLCITSGICSGVRGYCFGVANMILVKRTRETLYSALLLQDISFFDNETVGDLTSRLGADCQQVSRVIGNDLNLILRNILQGGGALIYLLLLSKPLGLCTLMICSTLGAIMLVYGRYQKKAAKIVQDVTASSNDVAQETLSLIRTVRVYGTEKEELGRYGMWLERLADVSLRQSAGYGLWNFSFNFLYHTTQVIAVLLGGTFILSGHITAEQLTKFILYSEWLIYSTWWVGDNLSSLMQSVGASEKVFQLMDLLPSDQFVSQGTKLQKLSGHIEFLDVSFSYSSRPTVSVLQRVSLSVHPNEVVAIVGLSGSGKSTLVNLLLRLYEPTNGQILIDGYPLKELDIVWWREKIGYVGQEPKLFRMDVSSNIKYGCSRDVGQEDVEWAAKQAFAHDFIQSLPNGYQTLVDDDLLSGGQKQRIAIARAILRDPTLLILDEATSALDAESEHNVKGVLRAVRNDSKMKRTVLIIAHRLSTIQAADRIVVMDGGQIVEMGTHRELLLKDGLYARLTRKQADAVA >KGN50935 pep chromosome:ASM407v2:5:13434304:13435118:-1 gene:Csa_5G349590 transcript:KGN50935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLWAVIYRSLSILEDGHWEVIDTKEALCALLFVLDDRGKREAFLIESLEKRVVFLCEAMSNKSTRNLVSRSFTQSEQSDMDRIREISYSPVSDVDNSLYQAETTGDTLPLSSTIVLEVKRKGEEEKQSWNGLQTFDLWI >KGN49627 pep chromosome:ASM407v2:5:1074437:1079167:1 gene:Csa_5G031960 transcript:KGN49627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKHVALESPPTIEDHDAALTSHPHVDHGWQKVTYAKRQRKTNKPSNDLLSTKIASNGTVPGADNVFRSLEQKSEERRRRIAEAKAAAIDADEALPVRSKIRSDDEEGEDSDGEGVENGKPNEEAKKVKQKKPKKPKVSVAEAAAKIDVNDLLAFLTDVSGSYETQQDIQLMRFADYFGRAFSGVSASQFPWVKMLRESPVAKIVDIPLSHISEDVYKASVDWLNKRSLEALSSYVLWSLDSILADFASQQASTKGSKKGVQHASSKSQVAIFVVLAMVLRRKPDILIHVLPTIRENSKYQGQDKLPVLVWMIVQACQADLAIGLYAWAHNLLPIVSGKSCNPQSRDLILQLVERILSFSKARTILINGAVRRGERLIPPSSFETLLRVTFPASSARVKATERFEVIYPTLKEVALAGSPGSKAMKQVSQQIFSFAAKAAGESVSELSGEATNIFIWCLTNNADCYKQWDKIYQDNLEASVSVLKKISDDWKTYSLKLAPFDGLRETLKSFRIKNEKALASEEEDGHQSIYKEADKYAKAILNRVSRGHGCLKSMAFIVIALGIGAAVMSPNIESLDWEKLTAFIPQHSF >KGN50439 pep chromosome:ASM407v2:5:7272119:7273864:1 gene:Csa_5G174650 transcript:KGN50439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVLSISPFFLLILLFSFFLTHLPNPNATAVAAPADFLKLPLLHKPPFSSPSQSLSSDTHRLSLLFSRPNPTLKSPLISGASTGSGQYFVDIRLGTPPQSLLLVADTGSDLVWVKCSACRNCSHHPPSSAFLPRHSSSFSPFHCFDPHCRLLPHAPHHLCNHTRLHSPCRFLYSYADGSLSSGFFSKETTTLKSLSGSEIHLKGLSFGCGFRISGPSVSGAQFNGARGVMGLGRGSISFSSQLGRRFGNKFSYCLMDYTLSPPPTSFLMIGGGLHSLPLTNATKISYTPLQINPLSPTFYYITIHSITIDGVKLPINPAVWEIDEQGNGGTVVDSGTTLTYLTKTAYEEVLKSVRRRVKLPNAAELTPGFDLCVNASGESRRPSLPRLRFRLGGGAVFAPPPRNYFLETEEGVMCLAIRAVESGNGFSVIGNLMQQGFLLEFDKEESRLGFTRRGCGLP >KGN51981 pep chromosome:ASM407v2:5:22999403:23000233:1 gene:Csa_5G606710 transcript:KGN51981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARGSRERTSQTTGQLGFGSASSIDFLEISDLAQVGANVNRPEADTICLANPGRGIYQLQFKDDNSDVSSVCSSKSEKSCGSQGPTSVSQVSGFTHESGGNVLSPTQSPSLQTMDRAGGYGESYDPFRIPSAVFQRSSSVTPLEWSIASNESLFSIQVGNNSFSRDQVLMLNELGKSGELTKSGKLKKAEDSFVFSSPPAVIMSREAEMKSAEYEADPKMADTIEYNIKDKSGSITDDDLSDRNLPPPAVSWNSSTKSRHSDRSLRSSDSFAFPM >KGN51044 pep chromosome:ASM407v2:5:15128062:15128433:1 gene:Csa_5G419280 transcript:KGN51044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTSLSPLLLSLARLLQRLSSPLSPSSSPKSLPLSQLCPSSLASQIQLRPSLFRLSPSTSQIQPEMCLFVTSRGRSHISIPPPSPISDSSLSLRPVRSSPLQADLLSPISSAGTLAFKFFDSF >KGN52555 pep chromosome:ASM407v2:5:26919363:26924579:1 gene:Csa_5G643340 transcript:KGN52555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATFHAVGSLASSGTSNVGDNKQLPGRFSLKRTPRHLRFSNGYRTKAASNPNLKSVEVPRQWYNLVADLPVKPPPPLHSKTLEPLKPEDLSHLYADELIKQETSTDRYINIPDEVVDIYELWRPTPLIRAKRLEKLLDTPARIYYKYEGVSPSGSHKPNSAVPQVWYIVQEGVKNVVTETGAGQWGCSLAFACSIFGIGCEVWQVRASYDQKPYRRIMMETWGAKVHPSPSNITDVGRKFLQVDPSSSGSLGIAISEATEIATLNVDTRYCLGSVLNHVLLHQTVIGEECLKQMEALGESPDVVIGCTGGGSNFAGLAFPFLREKLAGKMNPVIRAAEPAACPSLTKGVYAYDYGDTAGMTPLMKMHTLGHNFIPDPIHAGGLRYHGIAPLISHVYNLGLLEAISLPQTECFRGAVQFARSEGLIPAPEPTHAIAATIREALRCRDTGESKVILTAMSGHGHFDLPVYEKYLQGGIRDLSFAKEKIQESLTSIPQVA >KGN49656 pep chromosome:ASM407v2:5:1595842:1601673:-1 gene:Csa_5G049530 transcript:KGN49656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVVLRYSSLFFVLLVCVLHLVRAGDAEALLALKESLHTGNSLPWRGRSFCHWQGVKECANGRVTKLVLEHLNLSGVLNHKILNRLDQLRVLSFKGNSLSGPIPDLSGLVNLKSLYLSDNNFSGEFPSSISNLHRLKVVVLSGNKISGPIPETLLKLRRLYVLHLQDNQLTGSIPPFNQTSLRFFNVSNNHLSGDIPVTPTLARFNVSSFSGNLELCGEQVQNPCGNISIAPSLSPSFPLIPSSSSSSRRHKLVKIIAGSVGGFVGLLLIILLLCMICKCRERKSLSEVRNKGIGEEGVEETPGTAGGGGGGGGGGNNGGKQGGFSWESEGLGSLVFCGAGDQKMTYSLEDLLKASAETLGRGTIGSTYKAVMESGYIVTVKRLKDSRYPRAEEFGRQMEVLGRLRHPNLVPLRAYFQAKEERLLVYDYFPNGSLFSLIHGSRTSGGGKPLHWTSCLKIAEDLANGLLYIHQNPGSTHGNLKSSNVLLGSDFESCLTDYGLNLFRDPDSLDEPSATSLFYRAPECRDIRKPTTQQADVYSFGVLLLELLTGKTPFQDLVQEHGSDIPKWVSSVREEETESGDDPTSGNEASEEKLQALLNIAMACVSLMPQNRPTMREVLKMIRDTRAEAQISSNSSDHSPGRWSDIVQSLPREEHLSI >KGN52365 pep chromosome:ASM407v2:5:25467307:25468009:-1 gene:Csa_5G628700 transcript:KGN52365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLEDFGIKERVKVEKAANLRDLMLFDSDHRSAAPSSTSSAAHQWTSDHLLVPISSVNSPFKLVLFTPNLLLPPPSVSPDLERLRFCPMWIRI >KGN52494 pep chromosome:ASM407v2:5:26532534:26535457:-1 gene:Csa_5G638330 transcript:KGN52494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATLFFTSPSATPSPLLSKPTSLFPSNHRSLPLSSPALHGVLNLRSVQASVASVGPSPKARVDISENLTLEAIRRSLISQEDSIIFSLLGRAQYCYNGDTYDPSAFSMDGFNGSLVEYLVMETEKLHAQVGRYKSPDEHPFFPNDLPAPLLPPLQYPQVLHHAADSININSKVWRMYFRDLIPRLVKEGDDSNYGSTAVCDTICLQALSRRIHYGKYVAEAKFRDSPKAYEAAIRKQDKEQLMDMLTFPSVEEAIKRRVETKAKTFGQEVPMNIEEKHAAPVYKIQPSLVAELYGEWIMPLTKEVQVQYLLRRLD >KGN52659 pep chromosome:ASM407v2:5:27587954:27590220:1 gene:Csa_5G649290 transcript:KGN52659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERNFTVSKRLLFDRRYGWVIDEWKDPSEEALAGGRGMFCIVPLVKSLVNSVTQMVNIATVSTVKAVENPELLSPQVLQAGLHQSLEKFTSSIQNSPFHLGFQKVTAPSVSTCSLHLQDEKIDHS >KGN52726 pep chromosome:ASM407v2:5:28001286:28001653:-1 gene:Csa_5G652310 transcript:KGN52726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLRINGSECCVLGINSEEEKIKRWADWIGCGVGSLPSSYLGLVSLFRILWWIKFTEGEVCKCSERLMCDFRWEGVGEGTKALVI >KGN49615 pep chromosome:ASM407v2:5:894694:894846:1 gene:Csa_5G025940 transcript:KGN49615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLRSEIGLTEEMELVWRRRRRRDRGNVGDIAVREEEPKVKCRVRTKKR >KGN49831 pep chromosome:ASM407v2:5:3400404:3400700:1 gene:Csa_5G139105 transcript:KGN49831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDINDRNEEPLLLLANKNGQTSLGSLHLVPMVVKMREMNVEMEIEMQEVLMMMLVATETHRKKVKVLKRKGPRMVRGDSEGEESERGMSEGGRDIGH >KGN52666 pep chromosome:ASM407v2:5:27611231:27622182:-1 gene:Csa_5G649350 transcript:KGN52666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGGNGASSTEVDPSKPPSLTWKRKLDFTGKSPESFSFTLTDAWHMGMTGYRLWRNGKEEIAKGRIPIYEFFSDVPITCYHGVSLGGIGAGSIGRSYRGEFQRFQMFYGPCEDEPVLANQFSVFVSRPNGNKFSSVLCSAKPQKSKDGKQTGIESWDWNLSGENSTYHALFPRSWTVYDGEPDPDLKIVCRQMSPIIPHNYKESSFPVSVFTFKLSNEGQTSAQVTLLFTWANSVGGKSGFTGHHFNSKMGAEDGAQGVLLHHKSANGRPTVTYGIAAEATDDVHVSLCPCFVISGDSEGISAKDMWQEIKNHGSFDNLGSVGANEGSKPGCSIGAAVAATLTIPPTSARTVTFSLAWDCPEVKFDGKTYHRQYSKFYGTLGDAAEIIARDAISKHGKWEAEIEAWQRPIIEDKRLPDWYPVTLFNELYFLNSGGTIWTDGLPPLQNLSTISHKKYFLERSKSELNGGAPNGDHRKDVAVDILERMSQILDQTHGGAGPSNAALGTRLLHPGEENVGNLLLVEGSQYLMWNTYDVHFYSSFALIMLFPKLELSIQRDFAAAVLMHDPRKAKTMCDGNWVPRKVLGAVPHDIGFNDPWLEVNAYNLLNVSRWKDLGSKFVLQVYRDVVATGDKNFAKSVWPSVYVALAFMEQFDKDKDGMIENEGFPDQTYDTWTVKGVSAYCGGLWVAALQAASALASEVDDEAAAHYFWIKYQKARSVYETLWNGSYFNYDNSKGPWSSSIQADQLAGQWYARACGLCPIADEEKIRVALEKIYNFNVMKVKGGTRGAVNGMFPDGSVDKSILQPKEIWAGVTYSVAATMIQEGMVETGFQTAMGIYQAAWAQDGLGYSFQTPEAWDVDDRFRSIGYMRPLAIWAMQWAMMSDSKPTKVPTKAFSEMQESAFATQHAAFLKVASLLKLPSNDDTARRSLVEAAYDFICKRSA >KGN49939 pep chromosome:ASM407v2:5:3929887:3931510:1 gene:Csa_5G141130 transcript:KGN49939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLAMYLKNRQEHSSVDAAQTPASARHALPPSNSAVATSSCTAEGYLEQIKVGSFYEIDHSKLSPSTPEQLRAIRVVMVSEKDEVNVSLRYPSVYSLRTHFRNCNNPNEKGLPGLNEKYIMSSNIAGDALYRRIETAEIANGRNSWSFWIGPSENTERDRSSGSGGEVNNAVSKKGICWSELKFTGMVQWGSRRQVQYIGRHEDKKIVVLSKSIDQLDEAKNESLGEVDKKTDQEDEEEIFKVVNDTYGKRNNLKRKRYSPRNVQKNLKNAPPQKKNGVKLRNTGRKKELKKSIDRWSVERYKLAEENMLKIMKTKGAVFGNPILRPALRAEARKLIGDTGLLDHLLKHMAGKVAPGGADRFRRRHNADGAMEYWLESADLVNIRREAGVQDPYWTPPPGWKLGDNPTQDPICARDIKELHVEIAKIKK >KGN50553 pep chromosome:ASM407v2:5:8131954:8136411:1 gene:Csa_5G182090 transcript:KGN50553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKRFWYNTRLGAVDRSQSANLRAKSYNLFFISLCVRCRRRLPKLRKSNFVLIYWKPMAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNLNVEEVFFSIARDIKQRLADTDSKAEPQTIKINKPDAAAGDGQAAQKSACCGS >KGN51546 pep chromosome:ASM407v2:5:20329462:20330309:1 gene:Csa_5G577370 transcript:KGN51546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKSTAERAAPVPRVAVVVYILKGKSVLMGRRLSSAGDSSHFTFALPGGHLEFGEEFEECAVREVKEETGLDIEKTEFLTVTNVPFLNDPKPSHYVTISMRAVLSDPSQVPENLEPNKCSGWDWYDWDHLPNPLFWPMKKMIRSGFNPFPV >KGN51422 pep chromosome:ASM407v2:5:19048566:19053237:1 gene:Csa_5G535000 transcript:KGN51422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKILWSVGLVLVLWSPITLPLLPKLVDSWTSRTPSKMVNLACGFGLYIALTILVMMWGKRIRGYENPAKEYGLDLTSWLKFYDFIMAFFGGVAVLLGIQFVNGFLGYTTFSWPAIPTSENLVSWLKVFGGSLLLVIIGTISSIFVTAVEELHFRSWLTEEIALDLGYYPAIIISGLAFAILQRSLQAIPVLWVLSLGLAGARQRREGCLSIPIGLRAGIMASSFIFQKGGFISYKPIPTHHPVWIMRIDIHQPLSGVAGFAFALLVACIFFPRNPMEKKNLRRTIRE >KGN52408 pep chromosome:ASM407v2:5:25855284:25856204:1 gene:Csa_5G632070 transcript:KGN52408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKLCLQLFLLLAFVTPHLTSARPLHNHKSSRLLFPEHLIGSSKNDSIVEGIHKVKAYLQRYGYFSNENENNLSTDAFDDDLESAIKSYQKFSNLKVSGVLDRETLQQMSRPRCGVADNFQSVAQQDGENNNTTVQIGGSHFMFFPGKGKWPYRKWHLTYGFVHNYPMKHAAAVVRAFDKWAANSKFTFSLAWRIQTADILLSFERGDHGDGKPFDGEGGILGHAFGPIDGRVHFDADEQWAEIGSLTNENFDFESVALHEIGHALGLGHSIFPSAVMWANMETGVNKTELTIDDIEGVHALNDP >KGN52510 pep chromosome:ASM407v2:5:26607907:26614607:-1 gene:Csa_5G638470 transcript:KGN52510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKNCNITLKDSVEGYLRNNSTVSLGRNYAPLASRPGFCSDTLDGQPLNTNGYMFGGQNFQTEHSQQAFLGENTGYDPHFLMLRGLSVLKSHQEYAPVDSPTLTTNSERSEITEVSTDFNFLGGSQQLVRGQQQFDTSQFHSMQQSTYNDMQLLQQQMMFKQVQDIHRQQQLQQFDDARQQGSQSQISAFTRQSTGAQYPSYINGTSIPDSSEMFMNRAHLGASSAAQGVYNQLMFSHEKGQSFHSSVLVPQQLDESNYRAPISSGRGSMGQYSQLQGIDRDRFLKPTMQPVFSSSSVGNVNTGSAGHFALPQMGRSRQGFQAKSLFDQIPNQGLDAGMRSDIIPQRTSLQANGSFAEFQGGQGGAGWLGSTQQKVTQLDASQYFVPLDPIEQKILYNMDHNMWDTSLGKCTNVSNGGFENNLVHSDFSDAFPSIQSGSWSALMQSAVAEASSSDTGIQEEWSGLTFQNTELSTENQHSNIVDSKKEQSAWYENSLHSASSLSSRPYANFNDSGMSSSFPGFQQSGIQPSLEQTEHLCPEDSHELNQNSSEKVVGWLDNKSAQKRIGGQSQHVQPHEHLNKSLTSQLYEQPEYDRPPQQIATSHDNVDQSHGKPQGRANEVSHNQRDYSDFRHLENMKHVNTSMNSEENDIMRKNNSQISDDPTVLQNTFDKAGDSFIDKLQQKGNYRDQYMSKQLSSQGQGHFQQSYLYDASSNDVNSGKRNLKPSDGTPRGNLDASTNFFRSTGSNGRTPYNQTSENVNGHLQNVDQSKENSAISHYSPIGSSPLSMMAEAEFPNPSVSQHPNQSPSQGFPMRLLHPSQQLPYLNKVSSSQGLLQLSSTLDTRPVNSGFVEKNQTLLASSSPIQSMPPSQNVHWDEKSHCLGEAEAATSLFQPPHFVSDENQGQFASGAPAVRLSPQASLPSAASRYPQYGLSSSQDTSRHTNSNISGKQYPVFEALPISQPLSTSRLGQQGGLLARQQNVWLNNTFQQNNAYTEGNKIGSLNNTLEATSLVPLGINDQTSEKCGLQLLESDTIPTNSQDYDHKDEIPGQRTKSDVYNTLLADGVARKIASTNAFPSGLLLANPHQQDFNSVQIEGKNLAACEGDLAYDNFSKLPHVGQQYAPQKVKLMKNVEAEPKGVQDAQHVTIMSKENSAREDAKQGFASEMNSLPSENRKMLNLLAGGAREDYNVKFLSENPLNACSTGFTSDGQSEAVSEFNRKNMEGNNEENSQTSSLSASSWFKFRNEQLHAKHPGGHFSLLKPLDNFCKQSSLGGIDSSDVSLSGKVWSTAAKTTVATDLTVPYGLPSTVTVETGAILRPKKRKLDSSELQPWHLEIQGSQRIVNISVAEQDWAENTSRLTEKMVNEVEMIEDVMLRSKRRLIVTTQLLQQLVCPAPSSILSADASSVYDSVIYLILRASLGDTCSLMCGQTDFHVSTLDSRNVMSEDTVKCTDDKYIEKTMERFYGRAGKLESDLQRYGKYDSFSQILDRTASIVDLMVECQDLERFSVINRFAKFHIRQAELSGNASSNGLVTLAPKSCPQRYVTVHPIPNHLPEGVQCVSL >KGN50084 pep chromosome:ASM407v2:5:4955978:4964904:1 gene:Csa_5G152990 transcript:KGN50084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLVLPCKSVGLARNNLLGLISSAPVRAAHRCVNSFGISVKRSTRQRPLFTSQVKSGLNYRFPYHLPFGTKQASRKLICSVATEPLQEKAEENKMDAPKEIFLRDYKMTDYYFETVDLKFLLGEEKTIVNSRITVFPRVEESNAPLVLNGEDMKLISIKINSEDLKEGDYYLDSRQLKIHSPPAGTFTLEIANEIQPQKNTSLEGLYKSSGNFCTQCEAEGFRKITYYQDRPDIMAKYTCRIEADKSLYPVLLSNGNLIEQGDLEGGKHYALWEDPFKKPCYLFALVAGKLVSRDDTFITRSGRKVSLKIWTPAEDLLKTGHAMYSLKAAMKWDEDVFGLEYDLDLFNIVAVPDFNMGAMENKSLNIFNSKLVLASPETASDADYAAILGVIGHEYFHNWTGNRVTCRDWFQLSLKEGLTVFRDQEFSSDMGSRAVKRIADVSRLRNYQFPQDAGPMAHPVRPHSYIKMDNFYTGKCYSLWVYEKGAEVVRMYKTLLGSQGFRKGMDLYFKRHDGQAVTCEDFYEAMRDANDVDFANFLLWYSQAGTPQVNVTSSYNPDGHTYTLKFSQYVPPTPGQPIKEPMFIPVALGLLNSSGCNMPLSSVYHDGVLQSICGANQQPVFSTVLRLTKKEEEFVFSEVPERPVPSLFRGYSAPVRVETDLSDDDLFFLLANDSDEFNRWEAGQVLARKLMLQLVADHQQHKPLVLTSKFVQGLKSILRDTSLDKEFIAKAITLPGEGEIMDMMEVADPDAVHAVRTFIRKELAYALKEDLLTTVHNNRSSENYEFNHPEMARRALKNTALVYLALIEDTEIADLVLHEYKIASNMTEQFAALAAIAQKPGETRDKILADFYSKWQHDYLVVNKWFALQAMSDIPGNVENVRNLLNHKAFDLRNPNKVYSLIGGFCGSIVNFHSKDGSGYKFLGEIVMQLDKINPQVASRMVSAFSRWKRYDETRQELAKGQLEIIMSANGLSENVFEIASKSLAA >KGN51889 pep chromosome:ASM407v2:5:22517578:22519559:1 gene:Csa_5G604340 transcript:KGN51889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTLSLLLTLSLLATTAFADIGDFDDYWKARAAAAEKAAADAYDPDPETVANSVNAEIAHASSGRNSTRRNLKKYAGPCLATNPIDRCWRCDPNWAQNRKKLADCVLGFGRKTTGGKLGPYYVVNDSSDSDLMNPKPGTLRHAVIQKGPLWIIFSTNMAIRLSQELIMTSDKTIDARGANVQIAYGAGITLQYIRNVIIHGLRIHHIVVGSGGMIRDAVDHVGLRTMSDGDGISIFGSSNVWIDHVSMSNCHDGLIDAIMGSTAITISNCHFTHHNEVMLFGASDGYSQDQIMQITVAFNHFGQGLVQRMPRCRWGFFHVVNNDYTHWLMYAIGGSQHPTIVSQGNRFIAPPNVYAKEVTKREYSPEQVWKSWTWRSEGDLMMNGAFFVTSGDQSKRRPFSRMDMISYKPGTYVKRMTRFAGSLACFVGRPC >KGN51341 pep chromosome:ASM407v2:5:18294204:18297907:1 gene:Csa_5G517890 transcript:KGN51341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNEESSEVNLKTDCSPESRKKRAKSRKNKRKQLLIKGVDVMEMKDVGSVQLGESNMNTDSDKVKKKKKKKKRKKSTQQRVEESFIKDEAGEYENRAETFMKEASPVSNSGSEIFFEKRKIALEDAENERTDSILRENSDGKILESDNGKNQRKIKRKKQLLKEAANADMRGICYLSRVPPHMDPLKLRQILSQHGEIQRIYLAPEDAASQVQRKRAGGFRGQFFSEGWVEFTDKRVAKRVANMLNGEPIGGRKRSSFYYDLWNIKYLSKFKWDDLTEETAYKHAIREQKLALEISAAKRERDFYLAKVDKSRALNSIEERLKKKQKMREDSEMNSTLDDSQKLPKLIRSFPQTQPVADFAVQNKPRLSTNVLAGVFGSS >KGN52120 pep chromosome:ASM407v2:5:23820197:23820919:1 gene:Csa_5G611020 transcript:KGN52120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFPILLLPLTLPLPSPSPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPLPPLSLCNFIPLLHFPKP >KGN52605 pep chromosome:ASM407v2:5:27294228:27298289:1 gene:Csa_5G647280 transcript:KGN52605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRDHEDICVDWRGRACNPNRHGGMGAAAFVLGLQAFEMMAIAAVGNNLITYVFSEMHFPLSKSANVVTNFVGTVFFLSLLGGFLSDSYLGSFRTMLIFGFIELAGFTVLTIQAHYPGLRPSPCEMGRKLDEEDDQCIEAKGYEALMFFSALYLVALGSGCLKPNIISHGADQFRKEDPKQFKKLSTFFNCAYFAFCTGELIALTLLVWVQTHSGMDLGFGVSTAAMLLALISLLSGTSFYRNNPPRGSIFTPIAQVLVAAYRKRNLQMCPSNSEMVLNGTQNGIVKLIHTDKFRFLDKACIKSEEERLGSIREESPWKLCTVKQVEQVKIILSVIPIFACTIIFNTILAQLQTFSVQQGASMNTWLTNSFQIPPASLQAIPYIILIFLVPLYETVFVPLTRRLTSIDSGISPLQRVGTGLFVATFSMVSAALVEQKRRNSSSSLSILWIAPQFVIFGVSELFTAVGLIEFFYKQSVEGMQSFLTAMTYCSYSFGFYLSSLLVSLVNKISGGWLSHNDLNNDRLDLFYWLLAGLSFVNFFNYLFWANRFSQQPPLPLHLLQTQTSTKQPSNSKLVVP >KGN51863 pep chromosome:ASM407v2:5:22371745:22374842:1 gene:Csa_5G604080 transcript:KGN51863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRGKFDVMVSINRIRLLQILMGLVFLYLLFMSFEIPLVYRTGYGSVSGDGTFGFTSDALPRPFLLESEEEMTDKGAPRRPSDDPFRISHGSPHRTPERRMREFRKVSGLVFDESTFDRNATKGEFSELQKAAKHAWVVGKKLWEELESGKIELKPKAKMENQSESCPHSITLSGSEFQAQGRIMELPCGLTLWSHITVVGTPHWAHSEEDPKISILKEGDDSVLVSQFMMELQGLKTVDGEDPPRILHFNPRLKGDWSGKPVIEQNTCYRMQWGTALRCEGWKSRADEETVDGQVKCEKWIRDDDSRSEESKVIWWLNRLIGRTKKVMIDWPYPFVEGRLFVLTVSAGLEGYHINVDGRHVTSFPYRTGFVLEDATGLSVNGDIDVHSLFAASLPTAHPSFAPQKHMEMLTQWKAPPIPKSNVELFIGILSAGNHFAERMAVRKSWMQHRLIRSSLAVARFFVAMHGRKEVNTELKKEAEYFGDIVIVPYMDNYDLVVLKTIAICEYGARTVAAKYIMKCDDDTFVRVDAVLSEAHKVQAGRSLYVGNMNYHHKPLRHGKWAVTYEEWPEEDYPAYANGPGYILSSDIAEYIVSEFEKHKLRLFKMEDVSMGMWVEQFNSSKPVKFLHSLRFCQFGCIEDYLTAHYQSPRQMMCLWDKLMQQKKPQCCNMR >KGN49856 pep chromosome:ASM407v2:5:3503604:3505109:1 gene:Csa_5G139340 transcript:KGN49856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFLLLSSPSYSHCICKRASITCSSVCLQKCNIKLYAVPVGAFSCLSASTPSASSRAAFGAERKHVSSAFTPIGYQRSDHMHYRTDCNTVAEFEVQLEELLNEVRTLTMSGRKSDAVELLQANYEAVKEQMESGAVGIEQAAVLDIVALG >KGN50510 pep chromosome:ASM407v2:5:7795850:7797280:1 gene:Csa_5G179750 transcript:KGN50510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTSLHFFFFFLLVSFYGLRSAIAARILMDDDDADAESQPQTAAVTPPLATISSPATTFPATQGGTTTLPSITGSSIPATTPSPTATNDDEEDDSVIPQTNQPVAATNNLGQTQDDQEDDSATTTPAAVSPAAAVPTLPSPPTEPLPAAVKGPEPISFYMHDILGGSHPSARVVTGIVANSDSSGIAFSKPNDNFFPIQGTLPLLNNDNLKNIINNNNNLPFLAGFNGVAQGNNLLLQNSANNGVLNGDEDNNQPFVTAGQLPSRVTLQQLMFGSVTVVDDELTEGHELGSAVVGRAQGFYMASSLDGTSQTVALTALFHSGGHEHVVEDSISFFGVHRTAMAGSQIAVVGGTGKYENARGYATVEMLHHQEDQHTTDGMDTIIHFSVYLTEE >KGN51773 pep chromosome:ASM407v2:5:21856544:21859803:-1 gene:Csa_5G599300 transcript:KGN51773 gene_biotype:protein_coding transcript_biotype:protein_coding description:Catalytic MIGSWAAVVFLFWELFLSSFVHLAYALYIFTSAVAGDVSDSLNLTFRKFKLVNFDSKTTLPSSLRNSDQHLPPIVLVHGIFGFGKGRLGSLSYFAGAENKDERVLVPDLGSLTSIYDRACELFYYLKGGKVDYGEEHSRVFGHSQFGRLYEQGEYPEWDEDHPIHIVGHSAGAQVARLLQQMLADKAFKGHENTSENWVLSITAISGAFNGTTRTYLDGMQPEDGETMKPISLLQLCRVGVIAYEWLDIGWLKKYYNFGFDHFPMSWKKMGILGLLKCLLGNTGPFASGDWILPDLTIQGSMRLNSRLQTFKSTYYFSYVTKPPRKIFGLTVPYSIIGIHPLLSIRALQMSRWRFPSELSPPYKGYRDEDWQENDGALNTISMTHPRFPIEHPSHFVHNESESQSWEPGIWYYKIVEADHISFIINRDRAGVQFDLIYDRIFERCRKHIFRRKQQLILPNPNTEVQS >KGN50519 pep chromosome:ASM407v2:5:7869515:7871316:1 gene:Csa_5G180320 transcript:KGN50519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPGPLSNLYCSMDSLNTINYFEPKRRLQNLLNPKTYYLCNNNYRKQSSCHSVSSTHGTKCPNCGGYMTINLAYVYVDEEEKLIEGGYVTGMGKYMVMDDLTVKPMAYSSMSTISVLNELNVDDISQIEDKLIRLDIKEGSNLLKASFHTSTVLTEVFLLQTRDSIPTNKQLEEEEEEEEEEGGGGGVVYGFSNKTSI >KGN49652 pep chromosome:ASM407v2:5:1537653:1538220:-1 gene:Csa_5G047770 transcript:KGN49652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLIGKLVSELEINAPAEKFYKIFKHQCFHVPNITPKFIQQVEIHDANWDDHDHGSIKTWYYTVDGKAEVFKEQVEFHDDKLLIILVGLEGDVFNHYKSFKPSYQVVPKGPNHCQAILTIEYEKLNDGSSYPYKYIDLMNGITKDIESHMN >KGN51284 pep chromosome:ASM407v2:5:17885011:17891214:1 gene:Csa_5G512910 transcript:KGN51284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARINTSIQTSLWLLNPFHSSLNLKPTHFRTRLHFCQNLLSFPSHSSSKRAIFCTSTSNFNSHDSGAATDPFVITTPLYYVNAAPHMGSAYTTIAADAVARFQRLLGKKVIFITGTDEHGEKIATAAATQGSTPSEHCDIISEAYKTLWKDLDVAYDKFIRTTDPKHEAIVKEFYSKVLANGDIYRADYEGLYCVNCEEYKDEKELLADNCCPIHLKPCASRKEDNYFFALSKYQRLLEETLTQNPGFVQPAFRLNEVQSWIRSGLKDFSISRASVEWGIPVPNDEKQTIYVWFDALLGYISALSENGEQPNLQEVVASGWPASLHLIGKDILRFHAVYWPAMLMSAGLKLPKMVFGHGFLTKDGMKMGKSLGNTLEPNELVEKFGSDAVRYFFLREVEFGSDGDYAEDRFINIVNAHLANTIGNLLNRTLGLLKKNCQSTLVVDSTAAADGNAFKDKVEELVVKARNNYEELALSSACEAVLEIGNAGNSYMDERAPWSLFKQGGASSNAAAKDLVLILEAMRIIAIALSPVTPRLSWRIYAQLGYSKDQFDAATWNETKWGGLKAGQVMAPPNPVFARIEQAIVNGEEEAPKKPVKSKKKIAQAVAES >KGN50593 pep chromosome:ASM407v2:5:8495785:8496732:1 gene:Csa_5G188890 transcript:KGN50593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWAHGAEESSICIAFLGLINGIELFSGLMCLKSSRGSAPSLSVSVCILSVCLSDWKILSSSLFCFLFLFMYMVVGR >KGN52479 pep chromosome:ASM407v2:5:26447886:26448560:-1 gene:Csa_5G637690 transcript:KGN52479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYCSINCQSSNPYLHAAEQSYGLFIPMPKLIMWVLLFSLIDSTHFLLCFHSFPSSLLYPPTIPKTQLLDFFLHFEQTHLHKLTHHENLSPFLPQIEHPRSFSAHHFDKVKR >KGN51672 pep chromosome:ASM407v2:5:21177885:21179856:1 gene:Csa_5G589890 transcript:KGN51672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTRTGLSYPPLQPTAFASGNRKRKHHVAADRPFCRKRNKLSYHIKTPTSDLFDSLPDDLVITILSNLSSAASSPSDFINILLTCKRLNNLGLNPMVLSRASQKTFAIRAKNWTESAHRFLKQCSDAGNVEACYTLGMIRFYCLQNRGSGASLMAKAAICSHAPALYSLAVIQFNGSGGSKNDKDLRAGVALCARAAFLGHIDALRELGHCLQDGYGVRQNITEGRRFLVQANARELAAVLSSEAASSVAASRSCLTWNTQPPHHRHVTGSGCPLLSDFGCNIPAPEAHPASQFLAEWFEARGGSPGNGLRLCSHVGCGRPETRRHEFRRCSVCGAVNYCSRACQALDWKLRHKIDCAPVERWLDDNGDGMDDVADDIMDES >KGN51430 pep chromosome:ASM407v2:5:19178525:19180052:-1 gene:Csa_5G538040 transcript:KGN51430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFLIIEWYLKRGVNEKGRVANDVETEQIVFEDVPEGVSMQLSSIVQNRGSIPLFWSQETSRLNLRPDIILSKKDQNYEATRLHFENLAKRYGNPIIILNLIKTREKKPRESILRAEFANAIDFINNDLSEENRLRFLHWDLHKHARSKATNVLLLLGKVASFALTLTGFFYCQVTPALRSERIQLWVIIGWAGLVLDKNRCRPPTTQCLLVYYDGLWRC >KGN51035 pep chromosome:ASM407v2:5:14891137:14901110:1 gene:Csa_5G412250 transcript:KGN51035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLKFVFVFVNSIKQRTTILQRGLADRSQAVSKECLKLMTDEWLHNCCHGNPVELLEYLDVETYERVGESVMGALLGASLLKLHDDASIQHYIQTSSSATEGDSPHCSPTIQLMEPEVSLYWRMICKHILTEAHAKGSDAAASMGAEAAVYAAEASEKNDLLEKILPATISDYVGLVKAHINAGSSYRFASRQLLLLGTMLDYSDNANRKIAGAFLQEVLHISPDHELDDDGNLVVHGDGINLGGDRDWAISVSGLVRKVHAAAGEFEEIVLEVIEELARPCRERTANCVQWMHCLAVTSLLLENAKSLNFINGKISGPAELLDSILLPGAKHVHLDVQRISIRCLGLYGLLNKRPNEKILKQLRHSFIKGLPPINIMACKALFDLVLWHGPQEVDKALGQDHILQSSFDKTSFSSINLSEADEDWTTGSLDLLYAGFDNDEKYTSSATNEIGSVQTIVTEGFAKILLLSENYPSIPASLHPPLLRKLVNVYFSSEKDLERLKQCLSVFFEHYPSLTVSHKRWISESFVPVMRSMWPGMNNNVGGSAAEVANMRKRAVQASRFMLQMMQAPLYGNDTERKEEDGCMGNQEVAGSIGEPPLECTEDGLAIQIAVEVASFRGKKTPAQKSYVSALCRVLVLLHFRPSEQGAIRVMRRLLCYLVDTASWDKDLVKELKRMGEHLTAIDKQPDLEVTQDQADLILDQLKLEFNFDAETPPQTPVPCSTKPTRSRRRVKPDSSSSDEAMSPTSVPNIVGTIGTRSQRASKTVALTRIMNSALKTNNVVDEEDECEDSDDDGDEDDEDSDSDVTEN >KGN50498 pep chromosome:ASM407v2:5:7700557:7712962:1 gene:Csa_5G177660 transcript:KGN50498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWIKLAVSKAVEVGNNNNLTRVVKNYADTVVHHAGQAVAEGAKILQDRIGARNLRSIKQTIQRLEEAAVSCRGPERAQLLKRWLVVLKEVKKLSDASSEEKAKTLEQHLGFEDAKESPRKPAIVLYYDPDVGGEPMNFCDVFLQSQALEGITLSMILEAPNEEEVSLLLDMFGLCLVGGKEVHNAIVSSIQDLAKSFSSYEDEVLVKREELLQFAQSAISGLKISADLGRVDTELSNLKTKLEGMSGSPMSSNADSGQMSEETTIETIEALKAALSHIRICSRVEGLLLKKKLLNNGDSPEIHAQKIDKLKVLSESLSNSSVKAERRITDHRTQKEEALNVRFTKASESGEKEKELAAEIAGLERQRDDIEDQLRKVNISLAAAHARLRNMVEERDQFEEANNKIVAHIKTREDELFKSIASCKAESNVLNIWINFLEDTWNIQCLYRENKEKEVNDALEKHEGYFVNLAIDLLSAYKKELEPSISRIEKFVENLMNLRQRSEKSTLENDESKVLSPTSNLEKEYLGYEAKIITTFSVVDNMKEQFLAQQAQVSRKDDSRVKELFNDIEKLREKFESIERPNLEIETPEKESREEVESSSVPQPPMEDSKNSKIETGKDPKLPAVEVEQTLDAAAELAKLESEFGKVSHDYSAEDIGEWEFDELEKELRSGDSKN >KGN52056 pep chromosome:ASM407v2:5:23464241:23466629:1 gene:Csa_5G608440 transcript:KGN52056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIAIRGKLALSPNHVFNPKPGDRSSVCKGLCFNFGASVVKSAATAGRGGGLLERPVIEKATPGRESEFDLRRSRKMTPPYRVILHNDDFNKREYVVQVLMKVIPGMTLDNAVNIMQEAHYNGLSLVIICAQADAEGHCMQLRGNGLLSSIEPASGGCC >KGN51141 pep chromosome:ASM407v2:5:16376399:16377889:1 gene:Csa_5G466870 transcript:KGN51141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLQNDIDLLNPPAELEKRKHKLKRLVQSPNSFFMDVKCQGCFNITTVFSHSQTVVVCGNCQTVLCQPTGGRARLTEGCSFRRKGD >KGN51759 pep chromosome:ASM407v2:5:21766137:21773150:-1 gene:Csa_5G598670 transcript:KGN51759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSYHQTHHFPRAPPPPPPPPSSSSAPADPYHHQPSLRPPVPPQGPWFPNQFQYHPSHSASPTPPPPPSQWGPPAPHSDLAPPPPPPPGAYPPHPYASQPMHHNPFPPPRPLMFQHPPLHSQVPQPYSQEWNNPNWAPHQGWEYRAQSNEEDWAARARAWADAKTAMESQQSQFAPTGRPEEQNYYHDQYSQPMNSNHPDMSHQPLPPSIYDQFSASATSVGRPPAAHHLESTPVTVSSEHSSYPSDGRPTYTVGDVSYGGNMNSSLHHQGKLSSSPSVHQQEVPSSNYSVTGKEDTADQIVQSFKSLPLQNSSIHDGLQHFQPPNPPPYAYGNDPGPVGPVTNLADQPLDFAPRFGHDHGLRVHAGFARNDSGGSTRGVDSGVPMPSWSSISPGLVYPPIPPPLASGTQLDPSVAVPSSVPGHTPPPFGRFAGSGITPAIPPAATPFPGAALPPTVISGDVYGMSSMSERPKKASVPNWLREEIKKAVITSSSSDHPKEDAELMEDRGVDKSFAKNDQTDSKSIDSSRSTEEEDDEDFVEGARTAEINQEIKRVLTEVLLKKSVENIYWTFYVYMYACLSDFYTAKPNQNISSSTLPVSTPKGSAKILIPVKVQESDNDDTSEKSNSSSPGDVLGLGNYASDDEKNYDRDGESQSSNVQGSNIKVSMEPSSPKRNLRDTQDAVKNPSSQENVIEHSGNHSTNDINYGSTSSANEMSKNTGSNKLNGNRVDEEMGQEHSLKPSSKGKGKDNEKRLGDGTASGTKDNLGMVSEQHGKNFNGKKGSKDSPDRETKIKSHKSGKQESASGSSLKDGVKEEGEVKTRTSEKADEVRRKQDHRHRRKEERDDQHLQKESLKDQGVKSGEKGKVDSRHRSTHHNSKEEKREDKLLRVSTKDDTDRKRDYAKDEEGRTRQKIPSDSSRHKSSRDRNKAKVVDHNSSDDSDVSKRKVNSRKRDKSPSPIRSKRRQVSRSPHSKHSQRRHSPFSSLDITRARRSRSRSPARRRR >KGN50105 pep chromosome:ASM407v2:5:5115663:5120926:1 gene:Csa_5G153690 transcript:KGN50105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGREGMALSGGSASYYIHRGGGVGGSGSGLPTAGSHASPVFRPMANQGVLSHSNLRGNSVGSTYTVEPSHSNYLRGMGINVSAGVNSSEPVKKKRGRPRKYAPDGQVSLGLSPMSAGSKLTPGSNSSTPRRRRGRPPGSGRKQQLALLGDWMNNSAGLAFAPHVIHVGAGEDIVAKVLSFAQQRPRAVCVLSGNGTVSSVTLRQPASTGVSVTYEGHFQILCLSGSYLVAEDGGPRSRTGGISVSLASPDGHVIGGGVAVLTAAGPVQVVVCSFVYGPKIKNKQVAGPKSNDGSGHEHHDNLVSPTSAPSTQTYNPSSMGVWPGSRSVDVRNPRTGIDLTRG >KGN52626 pep chromosome:ASM407v2:5:27399287:27401546:1 gene:Csa_5G647480 transcript:KGN52626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDAVHDINSLFSSDGTDFLIRNNGDQVKISSLIGKIVGLYFSASWCPPCHRFTPIFAGVYEELASKGDFEVVFVSSDNDEESFKDYFSKMPWLAIPFSDSDTNQRLNELFKVRGIPHLVVLDANGKVLTNDGVRLVSEYGVNAYPFTSEQIKLLKEKELEAKRNQTISSILVSNSRNYVISNDGTQIPVSELEGKVVGLYFSVYGHEPCDDFTSILVDAYKKLKEKGNNFEIVLLSLDDEADDFNEALETLPCLALPFQDEKCKKLIRYFELSDIPTLIIIGQDGKTLHPNAVELIEEHGPDAYPFTPEKIEKLVEIQKAKLESQTLESLLISGNKDYVIGKNGKKIPVSELVGKNILLYFSAHWCPPCRAFLPKLIQAYDEIKQKDKEFEVIFISSDSDQDSFEEFFSGMPWLALPFGDERKKFLNRRFKIQGIPTLVALNRSGCTVSTDARKLIQSHGADAYPFTEERLKQLEAQLEEEAKGWPEKLNHELHEEHELVRTHQAEYSCDGCDEMGYGWSFYCEECDFSLHPNCAMKNDDGAEEQKEGWICEGDVCRRV >KGN49589 pep chromosome:ASM407v2:5:560704:580894:-1 gene:Csa_5G013280 transcript:KGN49589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHFVGLNCWPSEVCVEEKVGGASYLVPRVRRHTISVFVGDESGMINRIAGVFARRGYNIESLAVGLNKDKALLTIVVSGTEKVLEQVIEQLHKLVNVLKVEDLSSVQQVERELMLIKINAEPTYRAEIKRLVDVFRAKIVDISDDSVTIEVTGDPGKMVAFQRNLSKFGIREIARTGKIALRREKLGASAPFWRYSAASYPDLEEKRPANASMGIENKVYNSELSESNSSGDVYPVEQSDDFTIKPVLDAHWGVLNDEDVDGLRSHTLSMLVNDSPGVLYIITGLFARRGYNIQSLAVGHAEAEGISRITTVVPGTDESIYKLVQHLYRLADVHEVRDLTHIPFSERELMLIKIAANPSARRDVLDIAQIFRAKAVDVSDHTITLELTGDLHKMVALQRLLEPYGICEVARTGRVALVRESGVDSKYLRGYSFPL >KGN52533 pep chromosome:ASM407v2:5:26781007:26785232:-1 gene:Csa_5G642150 transcript:KGN52533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQRADLVIIGISVGLALGILIALLVFYVIRWYRKNVYLRRCTNDRSLGTIQIQTNGLDASTELSASLSGSIDFRGSEKLHKDSWSLWRNHHHKDIVASASGVLKYPYKDIQKATENFTTLLGQGSYGPVYKAKMPNGAVLAVKVLASDSKQGEKEFQTEVSLLGRLHHRNLVNLLGYCIDKGSHMLIYEFMSNGSLDNLLYNSENRVLSWDERIQIALDISHGVEYLHEGAVPPVIHRDLKSANILLDHTLGAKVADFGLSKEEVFDGRNSGLKGTYGYIDPVYMATNKFTMKSDIYSFGIIIFELITAIHPHQNLVDYINLAGMSVDGIDEIIDKQLAGEYSLEEARKLADIGHRCLHNVPRKRPLISEVSQAILKIKQRRLGKASNMSLASMDLSSAVSRIEEQQVELSRIASVV >KGN52017 pep chromosome:ASM407v2:5:23204823:23208738:-1 gene:Csa_5G608050 transcript:KGN52017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQMGIRIKVSIFLLLLWNFSGNGLLTEKGVNYEVQALMAIKAALKDPHSVLNWDENAVDPCSWSMITCSSEKFVISLGAPSQNLSGSLSPSIGNLTNLQSVLLQDNNISGTIPMELGNIPSLDTLDLSSNGFHGEIPTSLSHLKSLQYLRLNNNSLSGAIPSSLANMTQLALLDLSFNNLSGPLPRLLAKTYNLAGNSLICSPGSEHSCNGTAPPLLFAVNTSQNSQPSGRSKGHKLALAFGSSLGCVFLLTIGFGFFIWWRQRHNQQIFFDVNNDQRFEEVCLGNLRIFQFRELQAATNNFSSKNLVGKGGFGNVYKGYLQDGTIIAVKRLKDGNAMRGEIQFQTEVEMISLAVHRNLLRLYGFCMTTTERLLVYPYMSNGSVASRLKAKPALDWSTRKRIALGAARGLLYLHEQCDPKIIHRDVKAANILLDDYCEAVVGDFGLAKLLDHRDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGYGILLLELITGQRALEFGKAVNQKGAMLDWVKKIHQEKKLEILVDKDLRSNYDRIELEEMVQVALLCTQYLPTTRPKMSEVVRMLEGDGLAEKWEASQRADANRYRVNEFSSSERYSDLTDDSSLLAQAMELSGPR >KGN50188 pep chromosome:ASM407v2:5:5665260:5669171:-1 gene:Csa_5G157950 transcript:KGN50188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTSIPCLQPQIKVSQPSLSVATKVYTGLKPQSASPFGGAKPNITAEFYGKVHKSLQTRTNNKKAARAQFQMMPIGTPRVPYKTPGEGFWQWVDLWNALYRERVIFIGDYVDEEFSNQILATMLYLDSVEASKKLYIYINGPGGDLTPTLALYDTMQSLKSPIATHCMGQAYNMAAFLLAAGEKGNRSAMPLSRIALQSPAGSARGRADDIQNEANQLLKIRDYLFDELSKKTGQPVEKIHKDLSGTKRFNAQQALEYGLIDRIARPARIKADAPRKDDGTGLG >KGN51504 pep chromosome:ASM407v2:5:19943436:19945463:-1 gene:Csa_5G571470 transcript:KGN51504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDYFSVVVDRNEVGSSTGDLLTAIVPLMKLLSLTAIGLLLSHPKIQMIPRATLRLISKLVFALFLPCLIFTHLGENITLENIAKWWFVPVNVLISTGIGCLLGFLVVIICRPPPQLVRFTIISTAFGNTGNLPLAIVSSVCHTDDNPFGKNCHSKGVSYVSFCQWVSVIIAYTLVYHMMEPPLEFYEIVEEGTEIEELVESDDNDVSKPLLMEAEWPGIEEKETEHCKVPFIARVFNSISNVSQSTFPDLDHRDSSTSAYPESITCLAEPRVVRKIRIVAEQTPIQHILQPPTIASLLAIIVGLVPQIKAVLFGADAPLLFISNSFEIFAGAMVPFVMLILGGMLAEGPNESSTLGLRTTIGICVARLLVLPVLGIGIVVAADKLNFLVHGDPMYKFVLLLQYTTPTAILLGALASLRGYAVKEASALLFWEHIFALLSLSLYVFVYFKAVI >KGN51606 pep chromosome:ASM407v2:5:20759488:20763396:-1 gene:Csa_5G583360 transcript:KGN51606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKDTSISFSPLSSALLLLLLLFFSGIIVLAGNASAHFCADQDDPLLMASTLGDVRDSQGASNSADVDELARFAVEEHNKKENSLLEFVRVVKAKEQVVAGTLHHLTVEVVEAGKKKLYEAKVWVKSWMNFKELQEFKHAGDVPSITPSDLGAKKGDHPQGWREVAPHDPHVQDAAQHALRTIQQRSNSLVPYELLEIIHAKAEVIEDAAKFDLLLKLKRGSKEEKFKVEVHKNNEGNFLLNQMVQDHS >KGN52164 pep chromosome:ASM407v2:5:24080028:24081614:1 gene:Csa_5G612930 transcript:KGN52164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKLKKMAIKVIAESLSEEEIAGLKEMFKMIDTDNSGQITFEELKAGLKKFGANLKESEIYDLMQAADIDNNGTIDYGEFVAATLHLNKIEKEDHLLAAFSYFDKDGSGFITHDELQQACKEFGIEDLQLEEMMHEVDQNNDGTIDYNEFVAMMQKGNVVNAGKKGLQSTFSIGFREALKL >KGN51629 pep chromosome:ASM407v2:5:20923890:20928713:1 gene:Csa_5G586020 transcript:KGN51629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTENQKHGLELSIDSSSQRPLKIGKTTDELFHGDEEEAETMNPKPGIQRYLVAVEYIGTRFYGSQQQPNCRTVVGALEEAFQKFIGQPVSVFCSSRTDAGVHALSNVCHVDVERISKRKPGEVLPPHEPGVVHKAVNHFLQKHEGDVTVVDVRSVPNDFHARYKAQERTYFYRLVSGPEALSSFEKDRAWHVPETLNIGAMQEACKVLVGHHDFSSFRAAGCQANSPIRTLDELNVCEVASTPYFPTSMERKQRQGKEESEIEVPCSETCEVGFGIRRRHRCFVVTARARSFLYHQVRLMVGVLKAVGSGDLTVGDVGRILEAKNVSSARPMAPACGLYLGHVKYDLPPAPH >KGN50758 pep chromosome:ASM407v2:5:10347676:10348575:1 gene:Csa_5G229130 transcript:KGN50758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHRLGLPLNYWTSNLYSPSLIFPFPPRSFPLCSPLLLHHCSHCITQVPFFFFHQCTSIIPHFTQLCASKMHLKKLRFRFCFLFVGFAILFRIYFSA >KGN50614 pep chromosome:ASM407v2:5:8662030:8663817:1 gene:Csa_5G196570 transcript:KGN50614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEPQSTSPHVLLFPAPAQGHLNVMLKLAELLSLSSIRVTFLTTEHSYRQLTLHSDVLPRFSLFPSFQFRTISDGLPLSHPRTFSHHLPEMLHSFVSVTKPLFRDMLLSPHFSSDLTCLILDGFFSYLLDIDDDFVKVPVFCFRTFGACSTWTILSIPNLIKQEQLTIKGEDDMDRILDNVPGMENLLRRRDLPGFCRATDPNNDPILQFIMSTFIRSTKFSALIMNTFEDLEGPILSNIRTLCPNLYSIGPLHALLKTKLNHETESLNNLWEVDRSCLTWLDNQAAGSVIYVSFGSITVMGNRELLEFWHGLFNSGRRFLWVIRPDLVKGKNGEIEIPAELEEGTKQRGYMVGWTPQEKVLCHEAVGGFLTHSGWNSTLESIVAGKPMICWPYGFDQQVNSRFVSNVWNLGLDMKDLCDRETVAKMVNDVMVNRKEEFVRSATEIANLARQSVNPGGSSYANFDRLVEDIRNLSGQKTSVIVNK >KGN52042 pep chromosome:ASM407v2:5:23359098:23362553:1 gene:Csa_5G608300 transcript:KGN52042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLAAARADNFYYPPEWTPKQGSLNKFHGQHALRERARKLDQGILIIRFEMPYNIWCGGCNSMIAKGVRFNAEKKQVGNYYSTKIWSFTMKAACCRQEIVIQTDPKNCEYVIISGAQRKTEEYDIEDAEVFELTADEEKGKLADPFYRLEHQEKDMQKKKEAEPVLVRLQRLSDSRHADDYSRNRTLRAQLRSQKKRVAEEENVSRKMGLGIRLLPATQEDSAAAACMKFPSKFEKNRRDKRALIHASSIFNGSSTSSIPDKKRLDLESKRRKICASAASNILTGNFKPSSWSRSAANSIRREGTSVTARRL >KGN52703 pep chromosome:ASM407v2:5:27846736:27853443:1 gene:Csa_5G650630 transcript:KGN52703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDPLERTKVVIRHLPPSLSHSDLFHHIHDRFAGRFNWSYYRPGKTSQKDQRYARAYIDFTRPEDVFEFAEFFDGHVFVNEKGAQYKAVVEYAPSQRVPRSSTKKDGREGTIYKDPDYLEFLKLIAKPAEHLPSAEIQLERKEAEQSGAAKETPIVTPLMEFVRQKRAVESGTQGSSVPRKVKRGGAASSRKPESNSMKRGMEKKKYILKDSVKNTNRRDKSNFILVPRREDQSATSSAIGISDVGTADFGKKKILLLKGKERDISHVSDDMLQLQSATSSGNSPASASKHNHRREAGGGVIRSILLNNEARHGQSSSVAQSHQKIQILNSDNGKRPPRPTNARSGSNDISSNEPNPSGSEGDGKRASDNKFSKKELHGLGSASEKQEKRIRNKDRPDRGVWAPRSRSDASVSQLEESSVPQSSHLLSDSVEAFRGEMKEDIHGSRTGDVTTIVSGRNSSVENGSVRHVGRRGAGHVMKDDGSLNPNEGKPSKRGVAGGHEKQVWVQKSSSGS >KGN50251 pep chromosome:ASM407v2:5:6099920:6106093:1 gene:Csa_5G162000 transcript:KGN50251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSLVPRSLFGILHLVTTTPFTLAPQSFNPFKFSAARTMSSESTQRLFQLRFDPLTGNSEWVVIEEEAEGVPENSQKPLLATTSYLDMLNDSTRNRAFCEAIDKTISKPCHVLDIGAGTGLLSMMAARAMDSVIGDCKGMVTACESYLPMVKLMRKVLRLNGMERKVKVINKRSDEFQFGADFTSRADALVSEILDSELLGEGLIPTLQHAHDKLLVENPLTVPYRATIYGQLVESTYLWKLHDLRNNEAKACDGIHLVPVGDPIICVKPQQYAMHCDAIANEVKLLSEPFRVFEFDFWKRPESHAENEVHVKATDSGRVHAVVSWWTLQLDREGTIFYSTAPKWISPPYNAGAGDWCDHWKQCVWFIPGNGVSISKEEKVHLCASHNDTTFSYHLKAQIPGGEILKHGVNAENFKLILPPERIAVYGDREWRLAMLTAIKTALQGRAPSACMIADDSVFLTLMVACLSKKTLVLSLFPGIREKGTKYLQAVSRVNGICMDSIKVIEKRKSCLTIHDTFEKKVDLLISEPFYYGNDNALPWHNLRFWKERTMLDPVLSDDVLIMPSKGILRACFMSLPDLWSSRRRLGTIEGFDHSVTNDTLGACGKSPEGQEGPFLAFYIWQCGEHEELSEIFTLMEFDFSKPISPCSGKSQVKVTKAGICHGIVLWIDWLIDSKNSIVISTGPDKRYWKQGVKLLADPVAVGPRDSGEGIECCSAAIEASFDPSTGELELRHSFL >KGN50207 pep chromosome:ASM407v2:5:5781701:5783979:-1 gene:Csa_5G159610 transcript:KGN50207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFLQTLNSYNPNNQTGFLLALFAGFLLIFLYVKLSQPRLQLPPGPRGVPLLGNLPFLDPNLHTYFMELGQKYGSIVKLQLGGKVGIIVNSPSVAREILKDHDITFANRDVPQAGRVATYGGFDITWTPYGPEWRMLRKVCTIKLLGNASLDMVYELRRSEVRKTVAQLYQRAESTVKIGEQVFFTVFNVITSMLWGGTMEGEEKAAVAVVFREMVSAMTELAGKPNISDFFPSLACLDVQGIEKKMLKLLPKLDTIFEKLIDERLRMTNNEEGSRNKNDFLQFLLKVKDESDSQTPLTVVQLKALLMDMVFGGTDTSSNTIEFAMAEMMKNPKVAEKAKEELRAVVGEQSIVEESHIQSLPYLKAIMKETLRLHPILPLLVPHCPSDTTVVSNYTIPKGSRVFVNVWAIQRDPNEWENPLEFDPERFMNGKLDFSGSDFRYFPFGSGRRKCPGIAMGERMVMYLLATLLHSFDWKLEEGEEIEIEEKFGIVLTMKKPLVLIPTPRLSDPTLYQ >KGN50592 pep chromosome:ASM407v2:5:8491054:8495345:1 gene:Csa_5G188880 transcript:KGN50592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGVVSVFPSEKKQLHTTRSWDFMGFFQDAPTTRLESDIIIGMLDTGIWPESQSFSDEGFGPPPSKWKGECKPTLNFTCNNKIIGARFFRSEPFVGGDLPSPRDVEGHGTHTSSTAGGNFVSNANLFGLAAGTSRGGVPSARIAVYKICWSDGCPDADILAAFDHAIADGVDIISLSVGGFGASDYLDDPIAIGAFHAMKNGILTSNSGGNDGPNLGSISNVSPWSLSVAASTIDRKFVTNVALGNGESIQGISVNTFDLGDKLFPLIHAGDAPNTTAGFNGSTSRLCFPGSLDEDKVQGKIVICDLISDGEVTQSSGAVGTIMQNPNFQDVAFLFPQPVSLISFNTGEKLFQYLRSNSNPEAAIEKSTTIEDLSAPAVVSFSSRGPNLITLDILKPDLAAPGVDILASWSEGTSITGLVGDKRIAPFNIISGTSMACPHATGAAAYVKSFHPTWSPAAIKSALMTSAFPMSPKLNTDAELGYGAGHLNPSNAINPGLVYDAEELDYIKFLCGQGYSTKDLRLVSGDHSNCSDVTKTAASDLNYPSFGLVINSTSQRLISRVYHRTVTNVGLPVSTYKAVIKAPPGLKVTVRPATLSFRSLGQKISFTVTVRAKANVVGKVVSGSLTWDDGVHLVRSPIVSFVLP >KGN51735 pep chromosome:ASM407v2:5:21582676:21583023:-1 gene:Csa_5G594470 transcript:KGN51735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRTIDAIKGFSISDFFPSFGWIVDRISGVHGKLEKSFGEMDAFFQKVVDDRINMDKATSGNEENIVDVLLRMKRDGFQSDALILTQDCIKAIIKVIS >KGN51817 pep chromosome:ASM407v2:5:22085205:22088747:-1 gene:Csa_5G602160 transcript:KGN51817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIYWAQIARKPNSLGHLTVTFCRLPSIGALRLRTDGVVFIPYHLLISSYSLSIPRDLCLDLFVVVAAFASWGWAVLRSFVTMTRVYIGNLDPRVTERDLEDEFRMFGVLRSVWVARRPPGYAFIEFDDRRDALDAIQALDGKNGWRVELSHNSKGGGGGGGRRGRGGGGGGVGGGLRRSPSPRRRRSPSYERYGRRSNSPRGKRSPRRRSITPPKRGRSYSRSPPYRHARRASPYANGAVERGYLEGTDNEIDAGIKAGCCAGRT >KGN52609 pep chromosome:ASM407v2:5:27319315:27322524:-1 gene:Csa_5G647320 transcript:KGN52609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLPFKFRILLYFFSFASFISRRSNVTVNRFLMSLFDPKYSASSKPRHGVSTYDVVFDPSHNLWFRLFLPSSSSSTDNNNVTDLPVIVYYHGGGFVFFSANSMAYDDLCRRLARELRVAVVSVNYRLSPEHRCPIPYEDGFDALKYLDGMDLDGGGFPVKLDVSRCFLAGDSAGGNLAHHVAVRAGGHNFKKLKIKGIIAIQPFFGGEERVESEIKFSKSPMLNLEQADWYWKAFLPKGCDRNHPAVHVFGPSGGDEISKVKFPTTLLILGGKDQLGDWGKKYYEWLKDECGKEVDLVEYPNAIHGFYVVPELKDSSLLIKDMNDFIHKIIGKLKIEG >KGN52632 pep chromosome:ASM407v2:5:27427655:27429635:1 gene:Csa_5G647540 transcript:KGN52632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVEFCGARLVYQQNVEGLIHTIMNCIEESGDELYEYYNQQIVESHLNLINAHWYTISFRRNNSVKNQPSTAASTCTASSLSVEHLLYGSFPHPFFHKSLQERFRSKFDLLLHGDKIPKFFSNQSKGNMTEIKLPQYLEKFRESIGVAVCALVVVDKKRRKLNEIIPERERYTKVVDLICKFKVDSYQIMPEHCHFTSQQKLLSEYASQFLWLSYIPLHGFNINWHYCTQFEIALETSCDELFGVKNCGLHLIHKHERMMIDKMVMESTVPSSTSHKGKEPQIH >KGN51390 pep chromosome:ASM407v2:5:18651428:18656947:-1 gene:Csa_5G524830 transcript:KGN51390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAFSLLPSSLSRPPKRPPTSFFLSKSISFHNFSNPTRHFRYPIFSLLHHNKKHSQFSPSILPKLPVPSNPFASICESLLVFSTSVLLSFALFVTDVDPAVAFVVTTPRKLQTDELATVRLFQENTPSVVYITNLAVRQDAFTLDVLEVPQGSGSGFVWDKDGHIVTNYHVIRGASDLRVTLADQTTFDAKVVGFDQDKDVAVLSIDAPKDKLRPIPVGISADLLVGQKVFAIGNPFGLDHTLTTGVISGLRREISSAATGRPIQDVIQTDAAINPGNSGGPLLDSSGNLIGINTAIYSPSGASSGVGFSIPVDTVSGIVDQLVRFGKVTRPILGIKFAPDQSVEQLGVSGVLVLDAPANGPAGKAGLLPTKRDAYGRLILGDIITSVNGKKVTNGSDLYRILDQCKVGDKVTVEVLRGDHMEKIPVILEPKPDES >KGN50915 pep chromosome:ASM407v2:5:13106182:13106382:-1 gene:Csa_5G324010 transcript:KGN50915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACGLSWCTSMGSRLGVHAQGPKPYPNLALVSCAGMVDRAVGASSALWSRLSCVLLVACMAYPLAP >KGN51913 pep chromosome:ASM407v2:5:22684506:22686795:-1 gene:Csa_5G605070 transcript:KGN51913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPVLLPFRIFLHKFLFFAFRLVQQYVFRFQKDDQRNEFLDKNRVLSKPSGFQEKGSSEMEMDCENSGKTRNSVLCSVSVETISSFTCGRENFEMCNADSAISRIQPTALAIVSKGRNSGGLKCSGEVLDVGSENNGSDVFDSLPEPEVQVFWEDCPVPSDSESVGDSTNGSPKINHDQVDDSSCKEINAEENKQLESLNNLTQKEEETVNFSKKSTKMNFLEKGFISKFDHMSELNYLPDHQDIVRQLEMELKNSRTGGLPTIFEEETESAETIYEKLKYEEVMGEIQKVYKTYAEKMWNLDVLNNQCMHAIGLLQLQYPLQPVSSQNSQNPSLWFGKARRLGADTRLEFIGDLLRDIELVYVGQVCLSWEILQWQLRKSIDLQRYDSQSIHRYNQVASEFQLFQVMLKRFMEEERFQGNRVENYVQNRCIFRSLLLVPPIKDDGFAEAEGREWEDEDGYSSNFVTETIEKSMCVFYEFLLSDKDDVKSILKLNRKHQIEFQNTDQLLLASIQAQFQKAERKLKNLMRCRHRCSAEKLRKLQEAGLRYSLILLILQKDPLGTLTSAFSLLTVYF >KGN51025 pep chromosome:ASM407v2:5:14769160:14777585:-1 gene:Csa_5G409670 transcript:KGN51025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRIAKWKLEKTKVKVVFRLQFHATHIPQFGWDKLFISFIPADSGKATAKTTKANVRNGACKWADPIYETARLLQDTRTKKYDDKLYKLVVAMGSSRSSTLGEAYINLADYADALKPLAVALPLNGCEPGTILHVTVQLLTSKTGFREFEQQRELRERGLQTFSDQNSHGESPSGKMSPSKDLVNIHSNKVNARIRSKEVYNELPLLEDEGGRKEEYADSAAGFDVSSNTSESLYAEKNDVHEIDSIKSTVSGDLGGLSIGQSPGSEKGDQGDHQYLVQRSNNWTHNWGSDFAADGELTTAYKENNRLRESLEVAESSIVELRLEVSSLQNHVDEMGIETQKIAWQLATETTSGKELTEEVSVLKSECLNLKDELERLKNLQSSLSESRKQIIETDQDNICQKLEPQCLKGLLTMEEKIRDLLNKAHFGCQDRDVRFLLADLEALLCYVQDFRERMEQEISCAKVNQNEIRKLNSPTSQILTSGTGFDSDIYHTDSMLHCLIPGLVSYEPNSIDAISSMKGKIFELLRELDESKAKQESLAQKMDQMECYYEAFIHELEENQRQMIGELQNLRNEHATCIYTITASKDEIEALHHEMNKRLMNFAEEKKSLDSINKELERRASSAETALKRARLNYSIAVNQLQKDLDLLSVQVTSVFETNENLIKNALTGSSHPSRQESCEIGWKPEVELEEFSNGKLLQRQNHDAGVKKYHFSGGIFSEDLKRSLYLQEGLYQKVEDEVFEVHLVNIYLDVFSKTLHETLIEANTGFKLMKERVDEISQQLELSTKSKQLLFLELQASLEEIRSLNEYKTAIVSKYNEMGLKTEILEEDLLNVTRENSFLSKKISECEALVTEYRSFEEKYQTCLLKKLELENSMIEEGIESKKLRNDNASLHEEMKALRAEFDNLVSVKGDLHKTVGFAYDKLSNLLASHNKSSSLSESVYDDLEPNSLAALVLKFENLHLDACQTVLQLMNENKHLMKERDTAQKSLSRVASDNLIMKESFERTKQDMVNRLDKASELVQTFHVAIETVSKNINSSEAEDKFTQQHKELLSVLDHVEDELQQLTSKNNGLENEMVALRLVDEELGNCKFTIQVLTKEKKTLLESLHEKVEESMKLKLDLDRSKDKCQSFSDELVIEKSSKDSLEKRIKDLDSQINEKSCKLLEFEKMKAEVGRLKQLVLELESEKSRVDKDLLQSAELLKHLDQENSSLVCLESQLCEMHEFSIAADISLVFTRSQYDNQLEILVQQFMLSQRDLIAVQEKYVNLETALNHCMVSEARQAEESTRLLMNLNSLKVELEAFASENKMLLDANEKLTNQSEELQNRTKLLEVAADADRSHHAQEIEKLGNMLKTCETEIDDLLLCKEELEVSLLVVRSKLDEQHAHVILLQGISDEMVILQNKCNDLTQRLSEQILKTEEFKNLSIHLKDLKDKAEAECLQLREKKENEGPSNAMQESLRIAFIKEQYETKLQELKHQLSVSKKHSEEMLWKLQDAINEVENRKKSEVTHIKRNEDLGMKIVELEGNLNAALAEKREIMKAYDLVKAEKECSSISLECCKEEKQELEALLKKCNDDKLKFSMELNLMKDFLESYKFQTSMQKEGGDGKCTEDHVSKSSDKDSVPPCEEVECTISVSTDATNNSHAFLNGQGQPEQDVLMSRSLNGLQDISPGNQEDLLHDETKHLALVNDNFRAQSLKFSMDHLNEEVSKRC >KGN51600 pep chromosome:ASM407v2:5:20722641:20726124:1 gene:Csa_5G583300 transcript:KGN51600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVSRSISMWSLPAIGCWYPCAFARFRVFCASSQLPLPPKDETLRTIHNSGVIACLRASSAELAMSAACAALNGGISVLEIVMSTPGVLEVLQQLLQDYPTKTLGVGTVLNIKDAKNAVKAGAKFLMSPTMVKGIIMGDIEGEFLYIPGVMTPTEVLTAYESGSEIVKVYPVSALGGIKYISALKKPFPHISMVASQGITIESTGDYIRQGASSVVLSDAIFNKEFMDKKNFDGIFQLSKLAASQAMEALEW >KGN49869 pep chromosome:ASM407v2:5:3584497:3585061:1 gene:Csa_5G139470 transcript:KGN49869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARENFVEEIRQDLREGRKRNTPDINNHNGQETRKKVEDLSAWVSTQCRGLTVSYKKRFEIKMILISFQVNAEVCNKCFRTVLLANKDNEPDTEQLRRYPSMTLDGKHQKHPPNCVVTKTKENRKIMGFEKHKYFVYVYGHEAMINLSEQTSVLNQTFQ >KGN50804 pep chromosome:ASM407v2:5:11164033:11168421:-1 gene:Csa_5G266820 transcript:KGN50804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMDVILAAPCAEVSYLVECKPYNTGLYDCKVDGWRNKFDRIGKEPYKVFPGDVFILADVKPELPSDLQRMGKSWSLAIVHKMPEDDLSSTSFKVKVQNSEMIEKSMFVVFLFNILPSKRIWNALHMNVNSEIIRKILCPNSLDAEDFDTSSHLYQNLNASFLSSLNASQERAVLSSLYKTNFEHESNVDLVWGPPGTGKTKTVSVLLLNLMQNRCKTIIVAPTNVAIVEVATRVLNLVKELHEIEYGPDYLYYSFGDILLFGNKERLKLGSNVEEMYLDYRVQKLLECFDPITGWRHCFGSMTDLLGDCVSQYNIFLENELKQKCLDDKETDEKGCISKDKDDKVASKSFLEFARERFMSVASQLRMCLAIFSTHLPRKCILKLGLKDLVSLSKSLDCFEDLLFQQSVVSNVLEDLFKCSVVSEGFPTTCTDFACLFDMARSGCLSGLKSLHCSLTALKLPRAINRLSIEHFCFQNASLVFSTASSSYRLHYKYRLDSKSMPSFKVLVIDEAAQLKECESIIAFQIPDFKHAVLIGDECQLPAMVKSKLADNAGFGRSLFARYCSLGHPRHLLNVQYRMHPSISFFPNSKFYFSQILDGPNVQSSNYQKNYLLGSMFGPYSFINIKYGKEEKDDIGHSRKNMIEVAVALKIVQSLYKAWKNSRRKLSIGIISPYSAQVATIRDKIGHRYDKLDGFWVKVKSVDGFQGGEEDIIIISTVRSNRGSSVGFLSCDQRTNVALTRARYCLWILGNDKTLSNSESSWAHLVCDAKDRGCFFNADDDENLAKAIVDVKKEFNQLDDLLKGDSILFRNARWKVLFSDRFLKSFKKLSAVEMKKKVLNLLLKLSSGWRPKTRDLNLVCGSSTRILKKIKVERIYVICSIDIVKESAYMQVLRIWDVLPLEDISKLVKHLDSIFSSYTDEYVNLCQEICYDGDFLEVPKTWAFMSELVRYKSHVDNSNEDNLQGAAYDGRSYVENSKVKDSLLLMKFYSLSFGVVSHLLSDRDGIELDLPFEVTEEELDIILYPRSTFILGRSGTGKTTVLTMKLYQKEKLHYLVTGSYGTEDGVSSEAGQKSEISEIPAAENGAVLRQLFLTVSPKLCYAVRQHVSHLKR >KGN50808 pep chromosome:ASM407v2:5:11211100:11214152:-1 gene:Csa_5G266860 transcript:KGN50808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMHSMAFFFQIAKFQSNSNSGNKNGLYGKGAKKKLHVDSLVIMEVQHIPDSFESVHQYLGTYLFPLLEETRAELSLSLKAIHKAPFARLVSIEEPKSGGKLLLDVSVDSWRNTTKNGGKESYRTLPGDIFLILDEKPNAETVMSLQCSTRTWAFAWAKQNPENGYSAHLKLNFSKNISGEHDMQKEFFIVFLMSITTNLRIWNSLHSSEDAKIIEHGDEICDRCSLYNNAVCAEKLGTSLSSVLNDSQSAAVLCSICKTLCDHMPSVELIWGPPGTGKTKTISFLLCKILEMNQRVLACAPTNVAITELAARVVQLLRESSKAKGVLCSLGDMLLFGNKDRLKVGSELEEIYLDYRVDRLVECFGQAGWKYHTTCFINLFESSNSEYLILLKSNVQTSPSFLGFIREKFKSTSSALRGCLKTLITHIPKQFILEHNIQNIEILLNLIDSFGMLLSQDNVTSEQMKMLLSSPEVFIDFPNSSVAETILYFRSQCLSSLRTLQASLNQLQFPSTANRESVKKFCFQRASLILCTASSSFQLNFMKMDPVNLLVIDEAAQLKECESIVPLQLPGIKHAILIGDECQLPAIVSSQVSSMDYLL >KGN52133 pep chromosome:ASM407v2:5:23909871:23910425:-1 gene:Csa_5G611640 transcript:KGN52133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAPLPSFHYFHTPSSLRRRPLSDHRSAPRCHGRAGDEENEKDIVEANLTVLRTRMEDLRKKERGIPIQIRQRGMEELDDGGRYLWKLDGGCFDGKKMKNCGLISGCLEVVSRVGGAVGLVFVGGSLGICFVSFIVRHFW >KGN50028 pep chromosome:ASM407v2:5:4576788:4578369:-1 gene:Csa_5G150460 transcript:KGN50028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITHLCSLRHPPTSPFISTFLSSSPSSFPSVGANPYRISVEPHPLRNRSFISKRNGIICGAILPVDPWAPNIDSQSIASQIFAFSLFPYIGFLYFITKSKTAPKLTLFGFYFLLAFVGATIPAGIYAKVHYGTSLSNVDWLHGGAESLLTLTNLFIVLGLRGALRKYGEAENSTSSSVLELEKEEAVLDK >KGN52712 pep chromosome:ASM407v2:5:27921595:27932065:-1 gene:Csa_5G651700 transcript:KGN52712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNHSNISNSLNNTTTSTSPQDLGLHFLEMARLGLPRGLCDMDEDEDSPTELNTINSSGGFLLVTPDKLSVKYTNVNLHGHDVGVVQANKPAPVKRLVYYFEIHVKDAGAKGQIAIGFTTESFKMRRQPGWEPNSCGYHGDDGLLYRGLGKKEPFGPTYTSGDTVGAGINYASQEFFFTKNGIVVGAVEKDMKGPLFPTIAVHSQNEEVQVNFGQKPFAFDLKLFEAQERMKQQTTIEKLSLPSNVSYRIVRSYLQHYGYEDTLSAFDMACKSTVPPIYIAQENGFDEQDIMYALNQRKTLRQLIRKGEIDAALGKLSEWYPQIVQDEKSATCFLLHCQKFIELVRVGALEEAVKYGRNQLGKFYGLPGFQDLVQDSVALLAYEQPQESPVGYLLEDTQREIVADTVNAMVLSTNPNVKNLQGCLHPYLEQLLRQLTACCLVRRSLNGDQGEAFQLHRVLNSIKKSKC >KGN51841 pep chromosome:ASM407v2:5:22236982:22237868:-1 gene:Csa_5G603380 transcript:KGN51841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGKDSFCCNFDPEKEGGLFGGGCCMEKKVKLFGIELNPSNNFCNNFHDQGDHESVNSSTTTATTVCFDQRSSTNQQEQEEDDQEEAADIVVISNNNNNKKATKFECQYCLKEFTNSQALGGHQNAHKKERLKKKKMQLQARKATLTYYLQSNSNNNNHFLYDYDPNSSSPNSSFFISDDYYYNSSQISFNQNDAGLIHFDSSLPFLPQQQRQPFFTFTPPDMSSRRPSTNPVVFHSSSSSSSPASCKSLDLQLGLN >KGN49866 pep chromosome:ASM407v2:5:3555900:3559839:1 gene:Csa_5G139440 transcript:KGN49866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIISDYEEKEEIPKPKKPSSSSSSSPSSSSSPKPSQFNASFDPSNPLGFLEKVFDFLANETDFLSKDRVEKDIETVVRRAVEKRKKHDESLELKGKAEKRIKEEVKSVKEEAAPVKAAASPPEEKVGEKLEENTEEKKEENGARVPNKGNGLDMDNYSWTQSLQEVNVNVPVPKGTKSRFVVCEIKKNRLKVGLKGQPPVIDAELCHTVKPDDCYWSIEDESIVSILLTKHNQMEWWKYLVKGDPEIDTQKVEPENSKLSDLDPETRQTVEKMMFDQRQKSMGLPTSDEMQKQEILKKFMSEHPEMDFSRAKIS >KGN52219 pep chromosome:ASM407v2:5:24416271:24422065:-1 gene:Csa_5G616390 transcript:KGN52219 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferase, transferring glycosyl groups MGSSPIGAGASGAASNCVMSGGAAVTGGGGVGGGGGVNGSTSSYGCGWKWQQRHIRLVSSGFVFFFGCFVLFGSIATLYAWLAFTPQYVRTIGGVSSLGCQEDNEGSWSIGVFYGDSPFSLKPIEDANVWRNESAAWPVANPVINCASVSNAGFPSNFVADPFLFVQGDTIYLFYETKNSVSLQGDIGVAKSVDNGATWQQLGVALNEKWHLSFPFVFEHLGEIYMMPESSKKGEVRLYRAVNFPLKWELDRIILKKPLVDSVIINHNGMYWLFGSDHRGLGTKRNGHLAIWYSSSPLGPWKAHKRNPIYNVDKSFGARNGGRPFLHEGSLYRIGQDCGETYGKKVRVFKIEILTTDSYKEVEVPSGLVEPVKGRNAWNGVRYHHLDAQQLSSGKWIGVMDGDRVPSGDSIHRFFLGCASFAVVAVLVVLLGVLLGAVNCIVPLNWCVYTSGKRSDAILTWEKSNLFSSKVRRFCSRVNRAPSVLRSWVKSNTCTGRLVLAILFVFGVALMCTAVKYIYGGNGAQEAYPFKDHYSQFTLLTMTYDARLWNLKMYVKHYSRCSSVREIVVVWNKGTPPKISDLDSIVPVRIRSEKKNSLNNRFNLDPSIKTRAVLELDDDIMMTCDDVERGFRVWRQHPDRIVGFYPRLVNGNPLQYRAEKYARSHKGYNMILTGAAFIDSQLAFQRYWSAAAKPGRDLVDKIFNCEDVLLNFLYANASSTQTVEYVRPAWAIDTSKFSGAAISKNTQVHYQLRSECLNKFSELYAKLGDRKWGFDGRKDGWDL >KGN52463 pep chromosome:ASM407v2:5:26311794:26314133:1 gene:Csa_5G636550 transcript:KGN52463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFHHQTAMKIHPNCSTPMLILFLLFQLLGITISCQNIASYNSSQLFSEGNGEYEFFYLVQQWQVSLCNLRPCQKPAIPTFSINGFRPSSYGIPNCKIGTSFDSSKMLDLKTELDREWPSLEVEENEEIWRKEWENHGICSQPLLTQHAFFETALKLKQTFDIFTILANRGIFPFGEVYDLENISDAIRDATGHTPQVECKSYKQIPLLSNIFLCFKYNDNAIHIVDCPLIRRCNFQAILFPYAQFGPS >KGN52041 pep chromosome:ASM407v2:5:23355999:23358662:-1 gene:Csa_5G608290 transcript:KGN52041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLLFSPSFFGNPIPISVRTRTPSCTTRIIILQASKQTTDVSSQNPTRLLTFLGKGGSGKTTSAVFAAQHFALSGLRTCLVLQNQDPTPEYLLDCKIGNSPVECSHNLAAVRLETTQMLLEPLKRLKQADSRLNMTQGVLEGVVGEELAVLPGMDSIFSILQLERFVGLYLKYLRSIAEKTDLGRLATPSILRLVDEAMSISRPGSHLGGRTSTDIWETLEHVLEKGSSAFAEPRKFSCFIVMDPTSPASVQSALRYWGCTIQAGAQICGALAFISSHFNAEASASLKEKFSPLSLAFIPQFSTGSSVDWNTVLRDASSEGPRDLLSSSKTLTSSLIPPVKFDPGNKSVTLLMPGFGKSEIKLYQYRGGSELLVEAGDQRRVISLPKEIQGKVGGAKFMDRSLVITMR >KGN51244 pep chromosome:ASM407v2:5:17522967:17523693:-1 gene:Csa_5G503570 transcript:KGN51244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLSRHIQVLFKFQLLVTDQLFKYWNVFYQFNLIPYQVRSRARSPNQWFSSKRSDRFCERSDMTRRRSPNYRMERMRPPDQRPIRGHMPPSRRQGFHFLSPADELRDVGPAPDHCHMRSIMPDRNQPERLPLRNRSYDAIDPEGKIENDEHFYGPVRLGQLTGYNDGEPDDDERRFNERHEPYSYKHPFGDSDGERFRSNREDCSRSFRFCADNDPRISWKRR >KGN50626 pep chromosome:ASM407v2:5:8719229:8721076:-1 gene:Csa_5G198160 transcript:KGN50626 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proliferating cell nuclear antigen MLELRLVQGSLLKKVLEALKDLVNDANFDCSSSGFSLQAMDSSHVALVSLLLRSESFEHYRCDRTMSMGMNLNNMAKMLRCAGNDDIVTLKADDGSDSVTFMFENPSQDKIADFEMKLMDIDSEHLGIPDAEYQSIVKMPAVEFARICKDLSSIGDTVLISVSKEGVQFSTRGDIGTANIVCRRNTTVDKPEEAVMIEMEEPVSLTFALRYLNSFTKATPLANQVTISMSSDLPVVVEYRIEGMGYIRYYLAPKIEEEDEAATISKPETEPKHTAKAKPKADLKPNFEPNPESEMKSEEDEGSVIEIKDKDKSVFVINDEVQNSPVKSKAREETNTPKEPKIETMELE >KGN51067 pep chromosome:ASM407v2:5:15469094:15480651:-1 gene:Csa_5G426440 transcript:KGN51067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKQTIKSLDAFPRAEEHLLQKTQTGAFVSVVGLVIMATLFLHELRYYLSTYTVHQMSVDLKRGETLPIHINMTFPSLPCDVLSVDAIDMSGKHEVDLDTNIWKLRLNSHGQIIGTEYLSDLVEKEHVDHKHDHDHDKEKDHPHIHGFDQAAENLVKKVKQALEEAQGCRVYGVLDVQRVAGNFHISVHGLNIFVAQMIFGGSKHVNVSHMIHDLSFGPKYPGIHNPLDGTVRILRDTSGTFKYYIKIVPTEYKYISKAVLPTNQFSVTEYFSPMTDSDRSWPAVYFLYDLSPITVTIKEERRSFLHFITRLCAVLGGTFAVTGMLDRWMFRFLEALTKPKRRTR >KGN51411 pep chromosome:ASM407v2:5:18862214:18866571:1 gene:Csa_5G529450 transcript:KGN51411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSGISSSSVEALRNKGEGIASSLSSYDHSRFLLATRPPRQVVSIWTCSKLCAVCFVTGVVVGYSLKRCVNRWISKLLRRLKD >KGN50667 pep chromosome:ASM407v2:5:9235259:9237875:-1 gene:Csa_5G207960 transcript:KGN50667 gene_biotype:protein_coding transcript_biotype:protein_coding description:Omega-3 fatty acid desaturase MARWVLSECGVKPLPRIYPLPSRNGFASKTFMVSEIRQPLLASKAHVLRSSLGLSTKGKSWALNVSTPVAVASVDEDNERERVNEVNGVEDEGSFDPAAPPPFRLADIRAAIPKHCWVRDPWRSMSYVVRDVVVVFGLAAVAAYFNNWVVWPLYWFAQGTMFWALFVLGHDCGHGSFSNDPNLNSVVGHILHSSILVPYHGWRISHRTHHQNHGHVENDESWHPLSEKIYRSLDKATRTLRFALPFPMLAYPVYLWSRSPGKKGSHFDPNSDLFVPSERNDIITSTACWTAMLALLGCLSVVMGPLQIIKLYGIPYMMFVMWLDAVTYLHHHGHDDKLPWYRGEEWSYLRGGLTTLDRDYGWINNIHHDIGTHVVHHLFPQIPHYHLIEATEATKPIFGKYYREPEKSWPLPFHLLGVLVRSLRKDHFVSDSGDIVYYQTDPDLS >KGN50273 pep chromosome:ASM407v2:5:6223305:6228154:-1 gene:Csa_5G165180 transcript:KGN50273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPFFSTPIQPYVYQSPQDAMIPFQILGGEAQVLQIMLKPQEKVIARPGSMCFMSGSIEMENVFLPENEVGVWHWLFGKSVTSIVLQNSGTSDGYVGIAAPSLARILPIDMAMFGGELLCQPDAFLCSVNDVKVNNTIDHRVRNVVPGVEGFLRQKLSGQGLAFILGGGSVVQKNLEVGEVIAVDISCIVAMTTSIDVQIKYNGPVRRAVFGGENLVTATLTGPGIVFIQSLPFQRLSQRIARAVTSPNMRENPKFFVQLALFFFLAYVVIVSSIILTDV >KGN50855 pep chromosome:ASM407v2:5:12116542:12147804:-1 gene:Csa_5G289900 transcript:KGN50855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGQWIDGDESASEMLGRVLKERTSLVVPPLHRVPLRVGNVVELVGPSGSAKTQILIQAAVNCILPKEWSGIHYGGLGCPAVFIDLDCRLDITRLLQVLKLRIVEATSNGSLSTGDGENFDALYATCLRRFSYIRCYDSFEFLATLKTMHHKLQKERDSLGVGLHLLVIDSIGAYHWVDRISSSLPLWGHNRKGFSLANVLEAAVEEVRKLLLVHPSIVIASKATIFGDRSSDAVKRDKTLSESELKHGKPDGGLGLYREYMPSAWQSFVTHRVFVRNSDEHLKLSSCEHQPTYSSEWLLPSLGFLDKFIVTNAGVFSVP >KGN52449 pep chromosome:ASM407v2:5:26184461:26185753:1 gene:Csa_5G635440 transcript:KGN52449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCATSKPKRRCPHCRNSLTQRTNSLHAHRSPPRHSDGYHLVAFSSSNLGSLKLENIHFDNKIYTVDDVDESQSKNEASMALIEAKTWSNLINDRIPKIAPKTPIMTPPGEPETINVWEVMEGLDDISPFRPTCRPRSFSFDVSAIPVCDSSEQGNSNLKEVNHSSTSSKPFWLQPSELDPEVISSFSKSFENPFDLRPLEDESPVEKLAGNTTEGKNRDKIVVYFTSLRGVRKTYEDCCEVRMILKSMGARVDERDVSMDSGFKQELKELLGEGMNRGGLPRVFAGKKYIGGAEEIKRLHEDGELEKILEGCERAAEEGVGGDGGGSCECCGDVRFVPCERCSGSCKIYYEEEEEREEEDEEEEEEEGGFQRCPDCNENGLIRCPICCC >KGN50201 pep chromosome:ASM407v2:5:5756418:5760310:1 gene:Csa_5G158570 transcript:KGN50201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKLSSLCFLIVSIVTVLLVSRLWFPIFVNLPPEDMNDEKQSFEKHISLMSKAPHHPLDPLTVQEINKVRDILSSYEPFSNSFPTIHSLALEEPDKSLVLSWEFGNPLPPRRAAVIGILYEQVHVLSVDLELHRVIRHTANPTSGYPMITMEELLSALDVALANSNVQKSIHARGVKLKDVRFLSPSPGWFGKEEEGRRIVKLQFYSIQGTSNYYMRPIEGLTVTVDLNKQEVVKVADTGKGIPIPRSTNTEYQYNGETEPPEIKKINPISIEQPKGPSFTVENGYIVKWGNWEFHIKPDQRAGMVISRAMLYVPYMDFDEHWYFKTYMDAGEYGLGLLSTSLVPLNDCPRNSYYMDAGRGEARQKVTLVARMVSTVGNYDYIIDWEFQTDGLIRVEVGLSGMLMIKATPNEYAVNKDNEGFEPLVSENAIGVVHDHYITFYLDMDVDGVNNSFVNIDLVKEEQVDNKSPKSTPRKSIYKPYKKVAKMEDEAKIILSLVDPSEFHVVNPSKLSRLGNPSGYKIVPTATAASLLDLDDPPQIRSAFTNNQIWVTPYKKNEQWAGGFLTYQGRGDDTLATWSQRNRPIENRDIVLWYTLGFHHVPCQEDFPVMPTVSSSFDLKPVNFFDRNPILRAAPASVDDLPVCNARSSLNF >KGN52275 pep chromosome:ASM407v2:5:24773390:24773916:-1 gene:Csa_5G623390 transcript:KGN52275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHTLDPLPQVMASLQENKSLYKKAFIRRCQNLRKAENEVLFSTSNNTDAFHSLYSQVDILGDQVDILLSLIEKIYSILNQQSPALQQYFDVSEILRSIAEEVPVIACTPPVKLDTSSDV >KGN50979 pep chromosome:ASM407v2:5:14140784:14141185:-1 gene:Csa_5G381840 transcript:KGN50979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNSTRVLLVVVMLSLLVVDSLALVQPSTVDDNGISSIWSDWLDEDRAPTLAPCLHMMRSEKCQVELYNYYFNISKKELDLSCCVYVNYMGKKCAAAFEFWFSFPSLEALKPNPMKVYNNCFKRLTFPAPTPL >KGN51597 pep chromosome:ASM407v2:5:20714467:20715402:1 gene:Csa_5G583280 transcript:KGN51597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETGKNKQTSPSEASSISATSDGGGSDRPLSTMKMEMVVAEEISSEDRQSQESNSRLLLDLKLSNNENSGNNGAAETVTPVPAMTAGNNSSSSQGYTTNELSSNSKGRVFSCNFCKREFSTSQALGGHQNAHKQERAMAKRRQGMELGSGANVVAGPSYLASYYSPYSSLSPHPLYGSSLGKSLGIRVDSMIHKPSSYRWLGSPTLQFHAGVDGGWASRPVMVNSSSSNNNNNNNNSKLLAFERLKMEGIQAHNGGFRLSTAINANESTRRFEEDGTTSIPSLNRSEPPVLKMDHHVETESHELDLTLRL >KGN51960 pep chromosome:ASM407v2:5:22907527:22907920:-1 gene:Csa_5G606510 transcript:KGN51960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNQWLPIPSSLSSFSPPPSPLGASFKFQVGGLKGWGVLWPLIGLHNKAGFGDVSEQPRVQKTPGSRASSPTLETWSSNSGDRELFTSSALLMDIVRKGIG >KGN49717 pep chromosome:ASM407v2:5:2511517:2515328:-1 gene:Csa_5G083300 transcript:KGN49717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCCVALLFDYFLAVVNIWQILWGITSIALPGTQAGLELASDTSDESFLVAMLFSLSKLASKSIFISSEQVQFLCSFLSHKKSVHVREKSLRCLCFIFMKGAFQFVNMESVVKILIDALDEHMLPTSSHCDALRLLQKILFYVRPNTSFLDANKYSNLVKAVENAAQSPVKLKRLLAFQVLVHLSLQLSGKMEVESGVCSFSLLPARVISLIMDQIASLAKMFVDLPQSNYEVFLEIKELLNLLLLIVREQSDLWILLLEKICLTAALIMKMHEDAFDGQQRDVDFEVNEKNDISLRFAFILYGFVAISIAHLGQDVSITSEIFGKVKLLVNSVCKSCLFSSHTCIIYSLLLNCKFVLSCRIAEVFRICNNDGFPHFTFCEDLTENEIFMLECAKKLLVVGDEWPAYKAGRHAACHGSWFSATLIFGHLISKVRSDVFHYWLKSLFQFALAERKIQFLLLPNYGFRLAIWLEKEASLNMFHIEEQINHHHTGSITEGIYCDKLLETYQCLCSSVEVLKAAAVPPVQSFCFQRWFLSLRAKILGTVGSILKQLLNVSCSISTDYGKLGTNDTGIFLESVNEFGKLSLKLERLSREFDLIGTTFIGMDTKSSNVISALALNCSLLAFCTGFAFHVPDLATTLMTKNVDDFRTKLHAILIQNLISRLQLVDDETSKMLAQLFEVTGPPNNCSHLVSRGKILDMGYEVRGILTLCRYAISEFIHMQSKSSGVDKGTFLQVIEHGMQFLSNIVMQWIRIPFRVPKCFFCVRPCIGCELFATTDVRKLDEISIPYGFHLSLNLCLQLKNITQNMSVQIPKMYCILYCGLSFQELKHNGQNHQVCEAWENDDVVEMHNKLLHYVTESTKNEAYIGKCRTSSVRKTDRDVEVFVQFEPDEKGQGFSNCLFDVSHFPVGCYRIKWYSCCVDSEGCFWNLLPLNSGPLLTIHQLSSAG >KGN51692 pep chromosome:ASM407v2:5:21325923:21326315:1 gene:Csa_5G590090 transcript:KGN51692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKLIPHEDDRDYENFIANPQLTFLSSLPTKLQATKVMAVQDTLSTHSPDEEYLGQVNQLHRHWIDDRRVLELFNKFSSKLEEIEEIIKCRNKDDRLKNRSGAGVPPYELLLPTSGPGVTGRGIPNSISI >KGN49684 pep chromosome:ASM407v2:5:2056321:2058333:1 gene:Csa_5G066660 transcript:KGN49684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISIENQRQRVSELEIRPGGMLVQKRDFNSNPSFPTIKVKVKFGSSYHHIQINSHASFGELKKLMAEPTGLHPAEQKLIYKNKERNSNAYLDVARVKNGSKIVLVEDILSKERRCVEMLTNHKFQISSNLLKEIDLEVNKLSQEVGSVHVKACKEGRVSEKEVDDLIELLMRKLIQLDEIEVVGDLRLQRRQQVREVQKQIESLDMMKLQYCTTLNSKNEIGISKNGGFISTTKAKQNLKPRQQCLRILKETPRNSEPVVVTTKWETFD >KGN52480 pep chromosome:ASM407v2:5:26455360:26461051:-1 gene:Csa_5G637700 transcript:KGN52480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFGKEFLSQMIPEWQEAYLNYDQLKSLLKEVSQARQVETTSENQRSKFKRRGSLYRAFSGLTGGRIGSQKLQEDHATTTIHTNIIQKDCEECYQSMLLVSSLEKSAENEVDFFKKLDDELNEVVGFYRREVGVLTEEAEELSKQMDILIALRIKVEKPPVSCFQDSNDHVSLTSNSTPTSTIPRTSLDPVFEGQSRLEVTQEVEMAEETSLEDAKSYGRKAGKGIVQPTTQKLKPVSLEILHQVRINVPPETPISTLKCMVMSSNPQLSYNKTELRKAEELMMRALIEFYQKLRLLKDYSFLNKLAVLKIMKKYDKITSRKASKAYLEMVERSPLGTIPEVTKLIERVETVFIKHFAKGNRRRGMDLLKRKVRRERQGITFLSGFLFGCSIALLVAIILVIHLRNIFQNPGRFQYMDNIFPLYSLFGFIILHMLMYSANIYFWRRYRINYAFMFGFKQGTELGCWEVFFLSSVLAVITLVCVLSNLDMEADPRTRNFAAITESIPLALLIALLCIIFCPFNIVYRSSRFFLVRSAFHLVCAPFYKVSLQDFFLADQLTSQVQAFRSLQFYICYYVWGDFIRRTNRCFQSKIFEAFFFIVAIIPYWIRTLQCARRLVEDKNVEHVFNGLKYFSTIVAIAMRTGHDLNMGIVWRIMAAISSAVATILGTYWDIVQDWGLLQRNSKNPWLRDKLLIPNKGVYFVAIALNILLRLAWMQSVLGFREAPFIHRQALIAIVAVLEIIRRGIWNFFRMENEHLNNVGKFRAFNSVPLPFEYNDKEMRTQF >KGN51558 pep chromosome:ASM407v2:5:20430722:20435193:1 gene:Csa_5G577970 transcript:KGN51558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAAGVRVSHHLRDFDSHFAFGLTSGLASSAFLLFPPIWPRNPTSNFPSISHRSQPRRVSFFCSIVPSTSSGEIPVLSDCFGSREDDREVSVAGGIVALGKFDALHVGHRELAIQASMVGSPFLLSFVGIAEVLGWEPRAPIVAQCDRQRVLSSWAPYCQNSAPSEYRIQFSSVRYLTPREFVEKLSKELRVCGVVAGESYRFGYKAAGDAAELVKLCEEYGISAYIIKSVMDRNQKVVNSANSKERGQVSSTRVRHALSKGDMKYVSELLGRRHRLILMAEGLEGFSNSNNRVSAPRSCLLNLAPKEGLYNNCFVCTTDENLIPCRVAIDSTHVHIEMDDIGTSHLVGTQDRVNVEFGDEVV >KGN52033 pep chromosome:ASM407v2:5:23312518:23313171:1 gene:Csa_5G608210 transcript:KGN52033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSAAQQNNSPKLVKANEDDDHHMASPSPQVAVAICLLKDKRILMGRRLVSIGNSKYSLPSGHLEFGENFEECAIREIKEETGLDIEKIEFLKVTNNLFMDQPKPAHYVVVFVRAVLTDPTQTPINLEPEKCDGWDWYEWDKLPHPLFGPIKKMVTDGFNPFPTIS >KGN49711 pep chromosome:ASM407v2:5:2396001:2396618:-1 gene:Csa_5G078280 transcript:KGN49711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGKEGRKRSTGSGKKKRRKSLFEWSKGEELNLLKKLVEFNTKNISREEFYPFLRNGSLAEVSRTQIFEKIQELKREYLKQKLELRKMRKRNVKMEDDDDFNNKRDEGFELSNEVWGKVDETERASISKVLEDAAKKDIDRLFNLEHQAFLFKSKLVSKLVKLKNDIIQAPITDPTHQ >KGN52254 pep chromosome:ASM407v2:5:24666560:24667780:-1 gene:Csa_5G622690 transcript:KGN52254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLNTAASKILQKGRQKSPFAFIQKFGYVDVYMKWKKDSYYDSIEHITKSIELKSIISLKNCIAQDPNGCIPISAVSKRGLEMGVSMKVARFLRLYPSIFEEFTGPEYNHPWFRLTPKAVEIDAEEKKTYQNCREDLICRLKKFILMSKNNVLPLKIIRGMQWYLGIPDDLLQKPDVNLDGSFKLVKMEDGLEGLSVECEEKLMSVIQKNAIKRGVYSGRTMESLEFPLFPSKGLRLRRKIEDWLKEFQKLPYVSPYEEFSHLDPNSDIAEKRLVGFIHEMLSLFVEHSTERKKLLCLKKYMGLPQKFHKAFERHPHMFYLSLKNKTCTAILKEAYCDKSSIERHPILRIRRKYIHLMKESAVILKNRRFSNHLVHGENSVLDFDLDTADGREIPKC >KGN49566 pep chromosome:ASM407v2:5:197583:217191:1 gene:Csa_5G002610 transcript:KGN49566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKTRSQLMATSNDLEKSDTKNVKAVKPKQKRNRLENGEQVVGVRKMPKRAASCSDFKVKTIQLPEKSSVIECKREVTVEDEIAAVGLTTLGQDDSRPNRRLTEFTFHDEDGKPQAVEMLEVNDLFISGVILPFEDISDKEKNKGVRCEGFGRIESWTISGYEDGSPTIWISTDVADYDCVRPAAGYKKLYNIFYEKANACVEVYKKLARSSGGYPDLTLEELLGGVVRSLNSSRNFPAGMSVKDFIILQGEFIYNQLIGLDDTSKKNDQVFTDLPVLCALRDESRKQGNLLPNAGIFDGFTNLGLKIKDGEQLNPPNILGSGDEEDEDLKLAKLLQEEEYWRSAKQRKTQRSTTSSNKFYIKINEDEIANDYPLPAFYKTTKDEMDEYVIFDGDMDVCDPDDLPRSMLHNWSLYNSDSRLISLELLPMKPCDDIDVTIYGSGIMTADDGSGFCLDADTSQSCSSQMQNTDGIPIYLSAIKEWMIEFGSSMVFISIRTDMAWYRLGKPSKQYAPWYQTVLKTARLAICIIMLLKEQSRASKLSFAVIIKKISEFDKNNPAYISSIPSVVERYVVVHGQIILQTFSEYPDDMIRKCAFITGLSDKMEERHHTKWLVRKKAVLKQEANMNPRASMKPVTSRKAMPATTTRLINRIWGEFYSNYSPEDLKAADNNETKEDEPEEEEEVEDEESEEVEEEDGQVDLKTKESKPVVKPAKAKLSEGNNKWDGKMVGKTSEGYPLYKQAIVHGDLVAVGGFVSVETDNVHDLPAIYLVEYMYEKSNGRKMVHGRLLVRGLETVLGNAAKEREVFLTNDCLEFELNEIREAVVVESCMRPWGYQHRKANAKKDKAEEERAEERKHRGLPMEFYCKSLYWPEKGAFFCLPKETMGLGTGDCHSCKLKETQREDTMKLHSSLTSFTYRGTDYSVNDCVYLAPHHFGTDERGIETFKGGRNVVLNAYVVCQLLGIESPKGSKQPCPVSTMVQVRRFFRPEDISVEKAYCSDIRELYYSDETTMKPVSAIEGKCEVRKKQDIPVANCPAIFDHIFFCEHLYDPEKGAIKKLPASVKLSSPSERQISDAAQRKKKGKCKEGEIIPDENENKKDLPLENRLATLDIFAGCGGLSEGLQQAGVSVTKWAIEYEEPAGEAFSLNHPEALTFVNNCNVILRAVMKACGDADDCISTSEAIELAEKLDDKEINNLPRPGQVEFINGGPPCQGFSGMNRFNQSTWSKVQCEMILAFLSFAEYFRPKYFLLENVRNFVSFNKGQTFRLTLASLLEMGYQVKFGILEAGAYGISQSRKRAFIWAASPEEILPEWPEPMHVFGSPELKISLSDNTRYAAVPSTAGGAPFRPITVRDTIGDLPAVGNGASVITMEYKSEPASWFQKKIRGDVLVLNDHISKEMNELNLIRCQRIPKRPGADWRDLPDEKVRLSNGQMHDLIPWCLPNTAKRHNQWKGLFGRLEWEGNFPTSITDPQPMGKVGMCFHPEQDRILTVRECARSQGFPDSYKFAGNIQHKHRQIGNAVPPPLAYALGRKLREAIEMKSSKSVLS >KGN51360 pep chromosome:ASM407v2:5:18435815:18440461:1 gene:Csa_5G523060 transcript:KGN51360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETEKLKPKARPIVRLGIFLISHSIFFSVVCFSAGVLALLLLPMFAMNTYISENALMPGSANSMLSGREVSEANNLVKDLKGLNSKPGSSIFGSQQILAQYISKLGADVNYHRFHPQSSQFHPLHFFSSPDSGILKDNVSCMTQGINTVGIIRAPQADGKEAIVLVTPYNPVETSLHDTLSLGIAYSIFSLLTQVTWLAKDIVWLVADSRYGEYAAVSAWLRDYHTPVFGQSSVIDTDACSETNVLDEFEANQVTEKRILDDFKRAGTMAAALVIKVSNRSEHFEDSLSVYAEASNGQMPNLDLINIVNYLAVHRQGFRIKIEKFWPLLNCKWLKVLGEVFESIGKVIRSLNSEWKFGMSASDYVDGTATLASSLYYQAVGIPTGSHGAFRDYQIDAITVEMSPKFSSGIKVRRDDFILRGGRLIEGVVRSVNNLLEKFHQSFFLYLMVSTGKFVSVGVYMIAFALLVAPLPAVAAALYSYANNLNLTSEKVEPPALANPDDELIVSLRSWKWLNAAKRVFVVHLWGAIVSLLPYFICQIPGYSPTENSIIWGLLSLLSLLVLSVILGSPFSSTKSYEQRIQEWAFLKAMTTSAAFIGLCLMSVINFSTAELGAFLVVSMCLLAHPLKLDLGAGNFKALSRAACNLVLGFIAFPPVTFFLFKGALQGFDNLHIGDFWNWMETLWAWNSATFLYLGMVHLPCWLLCTQILLHPC >KGN50293 pep chromosome:ASM407v2:5:6324594:6325449:-1 gene:Csa_5G165880 transcript:KGN50293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANAASGMAVRDECKLKFLELKAKRNYRFIIFKIEQQEVVVEKLGQPDETYEDFTGSLPADECRYAVFDFDFITDENCQKSKIFFIAWSPDISKVRSKMVYASSKDRFKRELDGIQFELQATDPSEMSFDIVKARAF >KGN51216 pep chromosome:ASM407v2:5:17305072:17306051:-1 gene:Csa_5G492870 transcript:KGN51216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDGKSIDRSTSRKHQTNNNSIVVGTDFLETNKADRAMWLLKCPQLVTRALSNSPDAPSRPVAKVIVSVDPLQSNDDDDSSSTESPFSRLKPALSL >KGN52026 pep chromosome:ASM407v2:5:23256503:23260770:1 gene:Csa_5G608140 transcript:KGN52026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVSPAPFSSPSPASPSTPSLSPPAASNSPPSTPSPSPPQQSPPSNQSDNATPSSSPPPPSHQPSATSPPPTSDTPPPSTPALSPPPPPTVPPATPSPTPPNAPPPTTPDPPPPVSSTPPTPSDPPPNSPPPPAAEPPQSPPPQSSKPPENPPPPPPPPSQANPPDNPSPPPQPINPPESSPPPPPSAPPETSPPSPASIPPRNSPPPPRPSPPPSDPSPSSPPPNSSLPPSPPPPPSRLSPPLPSKPPTLPSGNRTGDGSGPNDGGANSNSNSNGGISSGGVIAIGVAAGIVVLFIIGFVVWYIRKPRKNDSGRGGYIMPSSLGSSPKSESSLMKVHSSVHQDIHATGSGSGGIYTPREPGGVGSSRPLFTYEELFKATNAFSTQNLLGEGGFGSVYKGYLPDGRVVAVKELKIGGGQGELEFKAEVEIIGRVHHRHLVSLVGYCISEHQRLLVYDYVSNNSLYYHLHLKGNGELVLEWAKRIKIAAGAARGIAYLHEDCHPRIIHRDIKSSNILLDENFEARVSDFGLAKLALDEQTHITTRVVGTFGYVAPEYASSGKLTERSDVFSFGVVLLELITGRKAVDASQPMGNESLVEWARPLLNHALDNQDFETLVDPRLERNYDESEMLRMIGIAAACVRHSSAKRPQMGQVVRAFDSLATADLSNGMRFGESQGFDSGQQSAEIRFFRMLAFGNQDYSSDFYSQGSSNA >KGN51662 pep chromosome:ASM407v2:5:21114086:21115593:1 gene:Csa_5G589300 transcript:KGN51662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYISRYYTTMNERSLLREKPIPMEYFEDPICRDVPNFVSAFVDSFVDFSVSGGLFLPPASPPPASQNVPADPSSSQLHTWLPSPDRLIAVGDLHGDLSKSKEALRLAGLIDGSGRWIGGSATVVQIGDVLDRGGDELKILYFLEKLKREAAKDGGMIITMNGNHEIMNVEGDFRYVTKEGLEEFRAWGDWFSVGNKMKALCVGLETPKDPFQGLPTAFRGVKEEFHPGFRARIAALHPNGPISGRFLSQNTTVLVVGESVFVHGGLLPGHVSYGLQRINEEVRDWIKGLSGKFAPDYCRRSNAVVWLRKFSDESATNCDCSLLKHVLDTIPGAKRMIMGHTIQMAGINGVCNNQAIRIDVGMSKGCADGFPEVLEFVGNSPPRILTSNPYMKQYTNSLNVDTKDGLGLLLHEHAQKQVEVKA >KGN52407 pep chromosome:ASM407v2:5:25849546:25850457:1 gene:Csa_5G632060 transcript:KGN52407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKLCLQLCLLLAFITPHLTSARHLHNRKPSPFLFPKHLIGSSKNDSIVEGIHKVKAYLQRYGYLSNENEKKLSTDAFDDDLESAIKSYQKFSNLKVSGVLDRETLQQMSRPRCGVRDTYVSVGQQEHENKNTNIEIGGSHYTFYYNHVTWPAERRHLSYGFIHDFPPQHVDTVRRAFQTWEDNTKFSFFLSPRVQTADILVSFERGEHGDHEPFDGEGGILAHSLGAVDGRVHFDADDRWEGDPMERYDLETLALHEIGHALGLGHSSSSLAIMWAYMYKGFPKTRLTIDDIEGIRALYGS >KGN49845 pep chromosome:ASM407v2:5:3461086:3461691:1 gene:Csa_5G139230 transcript:KGN49845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPWTAQSMPMIEHRFSTSLTISIFLLKHNLPQYWSVEHITFERLNSDVGCTAAVFMNKEELKFAYCRCQKLQCSSLANEDGVEDDPKHWLLCYGTPFSAFKQS >KGN51566 pep chromosome:ASM407v2:5:20465890:20469270:-1 gene:Csa_5G579040 transcript:KGN51566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVDKESKSSIWSREQDKAFENALATYPEDASDRWEKIAVDVPGKTLEEVKDHYELLVDDVNQIESGFIPLPSYSFSSDGSPSHASEEGSGNKGDYHGLDNSESRFGSKAPRSDQERRKGTAWTEDEHRLFLLGLDKYGKGDWRSISRNFVVSRTPTQVASHAQKYFIRLNSMNKDRRRSSIHDITSVDNGDVSAPQGPITGEANSYGGGGGGSTNKSTKQPPQPPIGSFGVGIYGAPTVGQPVGGPFVSAVGTPIAVNTPPPAHMAYSVSSLATRPPVNMSPITY >KGN50628 pep chromosome:ASM407v2:5:8725310:8729132:1 gene:Csa_5G198180 transcript:KGN50628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSLQLLHELCIEFSEPIIKSLSNICDKPSEGSNVSVKPILESLLPRKTSLRISPSEDDIYSSIKDFTLACALILSSRSSTFDLLSWITEDLALTAESAFRMLSKAYASASCDGFSKNIEELGLDFSLIPEEKRLVVEIIPKVLPLLKDSIKESSIDKSDEVDEVSAASARVPVGFAIVAAHQLRWFITQIDYPHLGKLCNLVIPCGLTALDHWSPEVKGQGMLSFIHLAKNVNAAELGWYEDVILDACCSNVPSSDEIWPCVVEMSVLLATSIHNMNPRSSWIERMVNEMLGHLERQPRNKERCIAWLQHIEPLFNCMGLVLLAHTRRIFPLFFKWMNAEDDETTLLVLQRIQTVVRLTWIRNTPYVERLVDELAMLYEKAATRRSGDAIRKHVVDALMLLQESKGQQFKAAWSKHKDLQNLVPLSTSLTRLNITDCVDC >KGN50853 pep chromosome:ASM407v2:5:12085983:12097385:-1 gene:Csa_5G289640 transcript:KGN50853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDGAESRQRRRLILENFLSREECRELEFIHKSCSTVGYRPNVFSTTLLHLVATNSAHLIIPFVPIREKLKEKAEEFFGCHYELFVEFTGLISLHSKAHLQPSSSNLGWTRGASIGWHSDDNRPYLKQREFSAVCYLNSYGVEFGGGLFHFQDGEPETISPFYGDCVMYTADNDNVHSVDEITNGERLTLTLWFTRDSSHDEDAKLLSLLSQSPLHDRFPDSCLPQPPSCNMYWFSPEDDPNFKFGFDICWARLRALGYDLYFPGDHDFSEYPDLFFQDVQLVWGDKIFFQKFENILHLLQVVQFLCWKGKELDSTNLSEDSSYAEYLSPKRNVGVSYFKSEFSKNDGLAESVFSSAASDGKENQQWLGWDKLVAAAAAWEHYASILRRELLGSFSHWRNCQSIYSVSLDS >KGN50968 pep chromosome:ASM407v2:5:13960741:13962450:-1 gene:Csa_5G375760 transcript:KGN50968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSAMAKPKTQRKLSRHTHENQKPNPHKAEKPPSWAVVRGILSCKYLQPQQQQHQLPRKEKQEQATEENVKNCKKMRCSGSLCSNTKVTHRLEAAASPEVHKKRALTSMGSRNNESSSSNRSTKALLLNEQTTGVLSATSSSLSASSSSSNSSNGASFRGMPFRRFYGCYECKMVIDPVIGMTRDPSLRATICPCPQCGEIFMKPETLELHQTVRHAVSELGPEDTSKNIVEIIFQSSWLKKQTPICKIERILKVQNTPKTISKFEEYRDSIKAKATKLPKKYPRCIADGNELLRFHCTTLNCSLGFNGSSNLCSSIPQCNVCSIIKNGFKMAAEATGGDAGKGILTTATSGKAHDSVGVSSDGGNNKRAMLVCRVIAGRVKKSSEGSMEDYDSLAGAAGMYSNLDELYVFSPKAILPCFVVIYGGF >KGN51683 pep chromosome:ASM407v2:5:21270352:21274549:-1 gene:Csa_5G590000 transcript:KGN51683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMVMVEKSLLFLLLFFNFHFTFRVLALSPDGLSLLSLKSAVDQSPDSSVFSDWNENDSTPCQWSGISCMNVSGDSRVVGIALSGKNLRGYIPSELGSLVYLRRLNLHNNNLYGSIPEQLFNATSLHSLFLYSNNLSGPFPPSICNIPRLQNLDLSNNSLAGPVPDELRNCKQLQRLILARNQFDGEIPSGVWSGMDNLVQLDLSSNDFSGSIPEDLGELKTLSGTLNLSFNHLSGKIPKTLGDLPVTVSFDLRSNNLSGSIPQTGSFANQGPTAFLNNPELCGFPLQKSCENSERGSPGNPDSKPSYITPRKGLSAGLIILISAADAAGVAFIGLVIVYVYWRRKDSSNGCSCTSKRKFGGNQKDGLCNFPCMNGNDKNEESEMEEPENSDRSREEGGLVAVDKGFTFELDELLRASAYVLGKSGLGIVYKVVLGNGIPVAVRRLGEGGEQRYKEFAAEVQAIGRVKHPNIVKLRAYYWAPDEKLLISDFISNGNLASALRGKNGQPSSSLSWSTRLRITKGTARGLAYLHECSPRKFVHGDIKPSNILLDNDFHPHISDFGLNRLISITGNNPSSSGGLIGGAFSYLKSVQTDRTNNYCAPEARAPGGRPTQKWDVYSFGVMVLELLTGKSPELSPNTSTSLEIPDLVRWVRKGFEEAKPLSDLVDPALLQEVHAKKEVLAVFHVALACTESDPEVRPRMKTVSESFDRIGS >KGN51389 pep chromosome:ASM407v2:5:18648137:18649140:1 gene:Csa_5G524820 transcript:KGN51389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEEKEEDPPPQLRWEPSSTPTMQEVGGGSGALDLCPSFSSYSFDGPAETAARVAMEFEGMSGEGDGSEENDFEFVLFQKTEDEMILDSPITPVFPVFNHDLFKKSGETEVNELKNGNAPIIRISLQKLIIDDRERERDLDRDLLSASSSETDELEGIPPGTYCVWTPKSVQATERGKCKKSKSTGSSSSKRWRLRNLLPRSGSEGGKNLFVFLTPSSKSTRNKEEKSEKLGEDAKGKKSCSEANKAGIRKSKIKGGSVEKASSAHELFYMRNRMLKEGDKRRSYLPYRQDLVGFWANLNTVSKALPPF >KGN50710 pep chromosome:ASM407v2:5:9783139:9786151:-1 gene:Csa_5G218760 transcript:KGN50710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSLPSPARFQQFAKLVFSSKNPQSQPKKSRIRASPSETPISGSVRVISEPNKNKYMEEEEKNRTPLADVVSDCVKRWFQDTLKEAKAGDTSMQVLVGQMFCSGYGVPKNTKKGLAWIYRASKYQASVWKASDRHPGYLATDSESSDRRVKRDNVR >KGN51051 pep chromosome:ASM407v2:5:15203385:15204157:-1 gene:Csa_5G420340 transcript:KGN51051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGSSSTLNTELSKKTRFLGLSLWVLILCVGALIVLILGILSNCYSIRPPQSSYISINDKSSEKNSEKMIGYLGMSQSSDPDNISQCSSNYHHERVFSSHSGEEGSSGTVRKQSSMSYGGYGGLVTASPLVGLPEISHLGWGHWFTLRELEFATNRFAADNVLGKGGYGVVYKGRLINGTEVAVKKLLNNLGQVEK >KGN51220 pep chromosome:ASM407v2:5:17355082:17360149:-1 gene:Csa_5G494390 transcript:KGN51220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSLVGLAQSSSSCSSNLKWSYDVFLSFRGEDTRNNFTSHLDRALREKGVNFFIDDKLERGGQISESLLKSIDGSKISIIIFSKNYASSTWCLDELVKIVQCMKSMGHIVFPVFYKVDPSEVRKQTGGFGEALAKHEANELMTNKVQPWKEALTTAASLSGWDLATRKNEADLIHDLVKEVLSILNQTQLLHVAKHPVGIDSQLRAVEELASHDVPDGVNMVGIHGMGGIGKTTLAKALYNKIAYQFEACCFLSNVRETLEQFKDLVQLQEKLLSEILKDNAWKVGNVHKGKNIIRDRLCSKKVLIILDDVDKDEQLDALVGERDWFGRGSKIIATTRDRHLLENHSFDIVYPIQLLDPKKSLELFSLHAFKQNHPSSNYVDLSKFAVSYCKGLPLALVILGSLLHKRERKIWKSKLHELENSLEPSVEAVFQIGFKELHERVKEIFLDISCFFVGEDINYSKDVLKACDLNPDYGIIILMDLSLVTVEDGKIQMHDLIQQMGQTIVRHESFEPAKRSRLWEAEGAIKILKEKSGTKAVKAIKLDLHYKPWLKIVEAEAFRNMKNLRLLILQRVAYFPKNIFEYLPNSLKWIEWSTFYVNQSSSISFSVKGRLVGLVMKGVVNKQPRIAFENCKTMKHVDLSYCGTLKETPNFSATLNLEKLYLRGCTSLKVIHESVASLSKLVTLDLEGCDNLEKFPSSYLMLKSLEVLNLSRCRKIEEIPDLSASSNLKELYLRECDRLRIIHDSIGRSLDKLIILDLEGCKNLERLPIYTNKLESLELLNLASCLKLETFFDSSFRKFPSHLKFKSLKVLNLRDCLNLEEITDFSMASNLEILDLNTCFSLRIIHESIGSLDKLITLQLDLCHNLEKLPSSLKLKSLDSLSFTNCYKLEQLPEFDENMKSLRVMNLNGTAIRVLPSSIGYLIGLENLNLNDCANLTALPNEIHWLKSLEELHLRGCSKLDMFPPRSSLNFSQESSYFKLTVLDLKNCNISNSDFLETLSNVCTSLEKLNLSGNTFSCLPSLQNFKSLRFLELRNCKFLQNIIKLPHHLARVNASGSELLAIRPDCIADMMFGKQDAEFSDSTKVLFITNNEIPKYCNKQTTRSSMSVRFRHNLDKNIPALVLCVIFKADGDSCDEAEGFIHFEVSIDGEIIMASTGLEEQDNR >KGN50002 pep chromosome:ASM407v2:5:4383369:4385715:1 gene:Csa_5G148730 transcript:KGN50002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSYSSNKLLLSLFCFISTVGFNLKAVIANSNTANPSATCNSKRRAILPPPYSDLSSLSCSLIWNQKFSFSFYQDGQNVTTIVLSGKHNHRWIGVGFSRNGSMVGSSAVVAWVEPNGVSGIRQYYLEDKIMSKVIPNKGNLKFTTARPVVVVHGDLLYMAFQLQFTASLAFQPILLATGSGNPYQNGSLPKHTNSTTTFIEFSTAHHLTAQPMSPDDLRKYHGVTAIIGWGVVTPAGLLVARYFRHLEPSWYYIHSSVQFVGFFVGIISISLGRNLYQKVGAIFIAHKFLGYTVFFLAGLEVCQFVGRPSSDSKRRQYWNFAHYWVGRIAMVLGVLNIFFGFYGVVAHDRAMRIGFGISFVTLLTATILLEARRRREDSIPEAMIDQPPVFQVIDKTSTTGHR >KGN50480 pep chromosome:ASM407v2:5:7573347:7577676:-1 gene:Csa_5G176020 transcript:KGN50480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRMTSNLLDEAIGFDDPTPLIGRLLLVEDCVETSGAFVLHHLLKRAFSSPHSSNVVIFLAFSQSFIHYDRILRKLGCNLAAQRDSGRFVFFDMLTLGCSDRSGKETGEGVLVGLYCKIQRAVHALIQENKKHVTIVIDDISLLEVAANGSSNHVLDFLHYCHTLLSEVGCSIIALDHEDVYMDIERPLSLQLEYLADVLIKVGPLATGIAKDVHGQLTVLNKPVEGLQDKLRNRVQNFQFYIKENGTEFFYSGSRA >KGN51861 pep chromosome:ASM407v2:5:22359647:22362855:1 gene:Csa_5G604060 transcript:KGN51861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGACVSTPQGCVGGKFKKSSKRKNRRRRRKGSKTIAFSALSEGSHRSDPIDHCSFSNPTFQGSYDEAWFDTVGKFESDCDEDYQSLPDDNQSINSLEAASTSSISSSGDANHGDHNVNRHSATSDQIHRPGNSARVHSVSSSESQVARDSHLQAINPDDAEPQLKGCGHSSEANEPVFIDEISSTAGESSAKGDGILDNCGILPSNCLPCLASTINSVEKRKSLSSSPPSGLKKAALKLSFKWKEGNPNAALFSSKALLQRPIAGSQVPFCPAEKKMLDCWSHIEPDSFKVRGVNYAKDKKKEFAPNHTAYYPFGVDVFLSHRKVDHIARFVEMPAATSSGTLPPILVVNVQIPLYSAAIFQGETDGEGMSIVLYFKLSDAYAEKLTSHFQENIKKLIDDEVERVKGFPVDNVVPFRERLKILGRVANVEDLPMSAAERKLMQAYNEKPVLSRPQHEFYLGENYLEIDLDMHRFSYISRKGFEAFLDRLKCCILDVGLTIQGNRPEELPEEILCCIRLNGIDYVNYQQLGMGLEIL >KGN51219 pep chromosome:ASM407v2:5:17349016:17349321:1 gene:Csa_5G494380 transcript:KGN51219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METRLTNVEKSVGEIQGELDEICSMPWEILQNLNINSETNREHAELAPNKKIQIQERQDLGDDRAVNFNSSLNEAKNLARNPAKQKIVKYPYKKLKMKTVQ >KGN52291 pep chromosome:ASM407v2:5:24879056:24885665:1 gene:Csa_5G623550 transcript:KGN52291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRQRSPAVFCPENEDLAEFMWQKRLEMAATPKGISDKVDMTLYKAYLNVCNSKVSITSMKDLSQIKGVGKWILKQVQDFFKSGSDGSEPEELAGKGKKTKGTRRYVPQKNSVAYALLITLYRGTTNGNEYMRKQELIDAAEASGLSRVAIAPEKGKGKPSQFGSSSRDWYSGWSCMKTLISRGLVVKSNCPAKYMLTEEGQQVARECLMRSGLLSSSKNFDNTKGSSILESCDISNHSANYLDSDVEVTSPSVSQSTKHKSIDVPLESLERFMRMGYSKHQVINSFKEVSKDNPNKDISSLWPAVLCRLREDLVYGQVEAIGRENRSMGSSLEGSEPKNVVNVNGERSFSSRACSSSNPSIIESRRDSVKGNTNILSMPPLSFGEKFEDCYNVVLILDDREQFAKHSSRSRRMIENICSQFKIQIEIRRLPVGDGIWVARHKHLDNEYVLDFIVERKDVEDLRCSIRDNRYRDQKLKLLRCGLKRMIYLVEGDPNSSEAAESIKTACFTTEILEGFDVQRTSGLSDTLKKYGYLTHAITQYYILQASDDRVSHSDVCPRLDQFIKKCQELDKMTVSDVFALQLMQVPQVTEEVAVAVLDLYPTLLSLVRAYSLLDGNVTEQEEMLRRRSNNLINAGASRNIFHLVWGCGK >KGN51277 pep chromosome:ASM407v2:5:17801784:17802072:-1 gene:Csa_5G511850 transcript:KGN51277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFGKDFLSQMGTRKNIQIALRIKIEKPPEFFFKIQLQSPPFQELHLLSSWFGQENEPTNEEDEPAENGEW >KGN49931 pep chromosome:ASM407v2:5:3888837:3892051:1 gene:Csa_5G141050 transcript:KGN49931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPLLNGVAEADYPPLRTFSDLKRVFFAESTKLWKIAAPIVFGIICQYGINSLTSIFVGHIGDVELSAVSISVSVIGTFAFGFMVLDLVKFLLIMRILSLDMRLVHLYLPLGMGSALETLCGQAYGAGQVYLLGVYMQRSWIILTVSSFFILPIYWYAEPVLKLLGQADEIAEVAGWFTRLLIPELFSMAIVFPTQKFLQAQSKVNVLAYIGLMALLLHAAMLWLFIFVFNSNLTGAAIASNISSWVTAIAQVIYVVGWCKDGWTGLSRAAFNDIWAFVGLSFSSAVMICLELWYMMSIIILTGHLDNAALLENGQQVHGIIMRLQGDIRLGQGNKTFMNINGFEAMLFIGINAAISVRVSNELGQGHPLATKYSVYVTVFQSLLLGLLSMVIILITKDHFAVIYTSSKEMQAAVSKLAYLLGVTMVLNSVQPVISGVAIGAGWQTLVACINLGSYYVFGLPLGYLLGYTKHFGVQGLWGGMICGLSLQTILLLITLYKTNWTHEVNLSIERMKRWGGQEAKIDVTAADYI >KGN49952 pep chromosome:ASM407v2:5:4042053:4042806:-1 gene:Csa_5G146260 transcript:KGN49952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPTPLDADDTVRFQPPPSPPCHDLRACTWRPYSSSRDFEANAAVVVIILLCGLICALALNTAIRCFLRRRGTSDDDSSDSRQEELPEETKPTLMDKLKMAPALVFSAEMKPKLAGAEAECTICLTEFSEGEEIRVLSICKHGFHVQCIQTWLISHSSCPTCRRSYLPPSPSSSASGGDGNP >KGN49826 pep chromosome:ASM407v2:5:3380325:3387215:1 gene:Csa_5G139060 transcript:KGN49826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGRWRRSKRLMAQADAHAHIPPLLPLTTSRKGLSNSISCWYCDYKITSFNELIFQFGRRHARVLRTWFSIGIGFSLAALAVVATVLFRELTIVMHIFGKSNVIRGLPVSCSSLFGLPSLISSCSLFPAGAGYIIISTLISVAFHEFGHAAAAASEGVKLEYIAVFIALLFPGALVAFNYDALQDSSCFNALRIYCAGIWHNTALSAASGLILFFLPLILFPLYIHGQSPTVLDVPYTSPLSSYLSHGHVILSLDGMHVHSVDDWINLSAQISDLTFQNETHSRLVENNQMANGRRGYCFPNFMLKESNKVQFTHDQSTCFGDFTSFTSIPCVSSAGLIDGYTEDNYSNRKEGIYCLNVNDVMKLNKCSSWDKAAINDNTSSCMCSQDETCLSPVQMPGLVWVEITYLNPYSSDCSYSREYPLPSSNCSGTFIFVGDVVSMARSIQLTMYRPRLDFHFAIYLPDVLEKILSCLFHTSLALALLNSLPVYCLDGESILEIIIFQLTSLSPRNKEKVLRSFLMGGTLISIFLLLRIFFHLLVS >KGN50868 pep chromosome:ASM407v2:5:12316841:12321221:-1 gene:Csa_5G292250 transcript:KGN50868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEGGLSNKGSNHKDSNGLIDALFSWDFNNVFNQNIYKPKVRKIPKSFETEEQYKGSYIFPLLEETRAELCSNLKTIQKAPFSQVISIETSNTKKDKILFNVNVSSWRNTYGGKGQQPYKSLPGDFFVILDVDPQTITSDYLEKSSKLNWAFAWLGQVNDNNTPTHLKLHISNSMDQLKSTPLFIVFLMNLTTNLRIWKTLQCSSSGGIVKHVLGTMSMDNKTCKQCNNQTDGEDSTEDMATLRLASLSLNESQRVAIESCIRKVKCQHKPSIELIWGPPGTGKTKTTSILLWKILAINHQIRTLACAPTNVAITNLASQVLKLLKHDSLSRNAIFCPLGELLLFGNKDRLKFDYSHQLEDIYLDRRVEKLFKCLGQYGLKFQISSMIGIFQENKLSKLKRMFKSNVSSLLECVHIFTTHIPQQVIMEHNWKKLEILVGFICDIGTLLSKNNYNYDDDDTMGEALIDLKCHFLLVLRTLLVSLDEIEVPSKLSKNSIEKFCFQKASLIFSTTSNSFKLNSVKKNSLNLVVVDEAAQLKECESLIPLQLPHISHAILVGDEFQLPATVKSKVCERAKFGRSLYERLSLIGYSKHLLDTQYRMHPLVSYFPNSKFYGNKIMDASIVMNKEYEKEYLPSPLFGPYSFINVCGGEEESNGDGQSKKNMVEVTVVTQIIQMLYKAWCKNKKDISIGIISPYNAQVSSIQEKLGRKYEKKNNEGFGVKVKSIDGFQGGEEDVIIISTVRSNNGHNIGFLSNKQRTNVALTRARFCLWIVGDAKTLGKSNSEWRDVIDDAKTRRCFFNVEENKELANEMRMMKTWQMSDIKQEILKLDNIYNSNHVYGRV >KGN49582 pep chromosome:ASM407v2:5:451219:451727:-1 gene:Csa_5G011720 transcript:KGN49582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWYLYENNREIELLDSDLSTFNEDEVTRVIRVGLMCTQTTPARRPLMSRVVAMLCGDIEVAAITSKPGYLSEWTFDDLVTSTNDATTEGSSTTHQGSSSFSIHANDATSSN >KGN49884 pep chromosome:ASM407v2:5:3663927:3669018:-1 gene:Csa_5G139620 transcript:KGN49884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSLGGTMLKSACGNDSWIGSDEEARRIERVGRDSSMLVVVSDEVRFSASQSQPSNDTATNEKLGLGERAFSAAGAAFLSAVIVNPLDVAKTRLQAQAAGVPYSHPLSDWTSRMAFFGPNTMFADLRCSPSCARAGVHGTVAICPPDCFQYKGTLDVFYKIIRQEGFARLWRGTNAGLALAVPTVGIYLPCYDIFRNWLEATTSKNLPGATPYVPLVAGALARSLACATCYPIELARTRMQAFKEMRIGKKPPGVLQTLLGVVSNVKSTTNGEISSAKSYRALWTGMGSQLARDVPFSAICWSTLEPVRRKLLGLVGDNANAASVFGANFSAGFVAGSLAAAATCPLDVAKTRRQIEKDPVRAMTMTTRQTLMEVWRDGGMKGLFAGVGPRVGRAGPSVGIVVSFYEVVKYVLNRQYPTSAS >KGN51665 pep chromosome:ASM407v2:5:21123579:21127484:-1 gene:Csa_5G589330 transcript:KGN51665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQVDSRNSSAAKRARTDGSRREDDWTCPSCGNVNFSFRTTCNMRNCTQPRPADHNSKSAAKPVQAPQGYSYSAPYMGSGAPSSMYPGVPPYGSSIFNGSSIPPYDVPFSGGSAYHYNYGSRFSAGSPYRPLHLSGPAPYSSGSMMGNSAVYGIPPIMDRYGMALPMGPGAMGPRPGFFPDEMSQKKGADTTRDNDWACPKCGNINFSFRTVCNMRKCNTPKPGSQASKNDKSSKQKTPEGSWKCEKCNNINYPFRTKCNRQNCGADKPAESEKSPSPAQEENDQ >KGN50244 pep chromosome:ASM407v2:5:6069086:6070087:-1 gene:Csa_5G161930 transcript:KGN50244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLKAYIVGEHGDSSVALWSSISVGGVPILSFLKNQQIGYEKETLEKIHKEVIGGAYEVINLKGYTSWAIGYSVASLARSILRDQRRIHPVSVLAKGFYGIDGGDLFLSLPAQLGRGGVLGITNVHLTAEESKRLRDSANTILQVQTELGI >KGN52522 pep chromosome:ASM407v2:5:26677682:26678300:1 gene:Csa_5G640560 transcript:KGN52522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLGKTNMAVSAVVKGTYGYIDPEYFNNKTVTEKSDVYSFGVILLEVICGRKPLERLAGGEWFGLVVWVLECLENGNVYEIMDPNLKGKITYDCFKQYLELAITCINQHSKHRPRMKEVEEKLRLILKLQEEAEAEAEAEGDISNGD >KGN51473 pep chromosome:ASM407v2:5:19605700:19606039:-1 gene:Csa_5G563790 transcript:KGN51473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTKLLSFDFATEEESELLSEQENKNKRGINVMVLDDVLEERMVCLKKWKIGSGEVYCLMTHWNSMVEKRGLKSGEEIQVWSFRKDDEDEAHRLCLALVKLATC >KGN49620 pep chromosome:ASM407v2:5:985962:988347:-1 gene:Csa_5G027940 transcript:KGN49620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDDGFLNNSGNESPWSSGLCDCFSDMGSCCCTAWCPCVPFGQASEIIDEGSTSCFGNGLIFCLIATFTPCICLYTCSYRSRLRKKYNLKETPCNDCCVHCWCWSCAMCQEYRELQNRGFNMHIGWQENMQRGNKGIEIPPTVPGQMKR >KGN52421 pep chromosome:ASM407v2:5:25959186:25959963:-1 gene:Csa_5G633190 transcript:KGN52421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSNPDLVLYSCIARGTIILAEFTREPNLDDLPFRCIEKTPPHHSIFSHTIRNRAYTFLIEGSFVYFGIFHEDLNKSEVSGFLNRLKRDFEVFLESESLKGFENFTSHCFQSHFDSSFRHLLGLNLNKTSSNGLKGSQRSSLDSSLRKQIPLVSKFSNPGSLMKKKKKVTGDGNWDGGKYGMDDSVDLYDDNNGLCSRDITLLMQKHGSHNRDFSALKAENA >KGN51725 pep chromosome:ASM407v2:5:21499895:21503909:1 gene:Csa_5G593380 transcript:KGN51725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYLKSVLRQEASFFDINQAASSTFLIVSSITSDCHTIQDTIAEKIPNFLAHISGFIFCIPTAFVLSWQLALAALPFSFMFIIPGVGFGKVYKNLGVKAKVSYVVAGSIAEQAISSIRTVYSYVGEHQTLEQFSHALQKSMNFGIKQGLGRGLMMGSMAMMYAAWAYQAWVGSILVTERGETGGAILISGICIIFGGICVMNALPNLSFISESTIAASRIFEMVDRIPVIDAEDGKGKTLDCLRGKIEFRDVEFSYPSRPATSILQGLNLKVNAGETVGLVGGSGSGKSTVFHLLERFYDPVKGDILLDGHRIRKLQLKWLRSQMGLVNQEPILFATSIKENILFGKEGASMPLVKRAAKAANAHDFIATLPDGYETQVGQFGVQLSGGQKQRIAIARALIRDPKILLLDEATSALDVESERIVQEALDQASRGRTTIVIAHRLSTIQKADQILVLESGRVVESGSHNKLLQRNNEGIYSKMVKMQQSRMENNPSSSLYDSTGETYLQKTVGGARTPLTPLNQISVRRSSPIWYNSPIYSISMSCPYSVEIDSSNYSYCEGLKYTSSSSQSPSQWRIWRLNAPEWKQALLGCMGAAGTGITQPIYSYCLGTVASVYFLKDNAALKSDIRFYCFIFLGITCLSFISNLVQHYSFAIMGENLTKRVREKMLEKIMTFEIGWFDKDENTSAAICARLALEGNLVRSLVAERTSLLVQVSVTATLAFVLGLLVTWRVAIVAIAMQPLIIGSFYSRKVLMRNISEKARKAQGEGSQLASEAITNHRTIAAFSSQDRILSLFEASMEFPKQDNVKQSWISGLGLFSSLFLTTTTTALTLWYGGRLINQGLVTPKQLFQAFFILMSTGKNIADVGSMSSDIAKGANAIVSIFAILDRNTEIDPQQLEGVKVKETIRGEVELKNVFFAYPTRPDQLIFNGLSLKIEAGTTVALVGQSGSGKSTVIGLIERFYDPKKGVVRIDGIDIKSYNLRSLRSHIALVSQEPALFAGTIRNNILFGQDDRSENEIRKAAKLANAHEFISSMKDGYESQCGERGVQLSGGQKQRIALARAILKNPKILLLDEATSALDSMSETLVQEALEKMMVGRTSLVVAHRLSTIQKADSIAVIKQGKIVEQGSHSTLLDHGQSGAYYSLINQLKS >KGN52358 pep chromosome:ASM407v2:5:25401140:25404431:1 gene:Csa_5G628140 transcript:KGN52358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLFFPLSLFLLLFTSTLPLPSTAAPTGQTSFVYGGCSQSKYTPGSTIESNLNSLLTSLLNSASFTLYTNFTVAGASDGDTVYGLYQCRGNLENIACAKCVTHAVAQLGSLCLDACGGALQLEGCFVKYDNVSFLGVEDKTVVVKKCGAVMGYDSGGLARRDAVLAYLASADGAGGYRPFRVGSSGDVQGVAQCVGDLDGMECQDCVAAAVGRLRTDCASAGWGDVFLGKCYARFSHGSVRPHDGGGGGGNDGRNDDVEIEKTLAVIIGLIAGIAFIVVFLAFLSHYCDKRKGGK >KGN52038 pep chromosome:ASM407v2:5:23340897:23342934:1 gene:Csa_5G608260 transcript:KGN52038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALPSLTPLLIFARIFGLLVAVLVFVWAFVFSSSFGHPPSRDDHLFDVLHPLFMIIGLVLISGEAISVHSWLPGSRNLRKSVHLSLQGLALASGISGIWTKFHWDRGFLANFHSLHSWMGLVVITLFGAQWMMGFLSFWHWREVRATRERVLPWHVFFGLYSYALAVVTAETGLLEKLTLLQTKRNVPRKGPEAMVVNSLGLSLALLTGTVMLIAISPKYPPSLPTTKQQQQPFFSNSKPLSS >KGN49749 pep chromosome:ASM407v2:5:2867110:2868788:-1 gene:Csa_5G100000 transcript:KGN49749 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate decarboxylase MVFSKTFSESDVSIHSTFASRYVRDSAPRFTMPDNSMPKEAAFQIINDELMLDGNPRLNLASFVTTWMEPECDKLIMDSVNKNYVDMDEYPVTTELQNRCVNMIAHLFNAPLGDSDAAVGVGTVGSSEAIMLAGLAFKRKWQNKRKAAGKPYDKPNIVTGANVQVCWEKFARYFEVELKEVKVREGYYVMDPVQAVEMVDENTICVAAILGSTYNGEFEDVKLLNDLLVEKNKESGWDTPIHVDAASGGFIAPFLYPELEWDFRLPLVKSINNCHDNAMVLKEGLENTGRFTIVSKDMGVPVVAFSLKDRSRHDEFEVSEMLRRFGWIVPAYPMPEGAKHVSVLRVVIREDFSRTLAERLVLDIVKVLAELDTLPPKKGEKMESLENGKKETSGKKSAEETEREIATYWRNITNARKIKLAATMAGPSVTVVAK >KGN49933 pep chromosome:ASM407v2:5:3902515:3903966:1 gene:Csa_5G141070 transcript:KGN49933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGVGPICGDISLPKEQESIHKEAWDPKQGGGSVGRRKAAAFLSLRQLNALAVVIIFSASGMVCAEDLVFVMFSIMYMYFLSRVAFPRIGGAGDAAVFGPENRVLRLYVLFAAMVGLFLPVAYILEGFFEEDKEGIKAASPHVFLLASQVFMEGVAANDSFSTPIRVFVPVFYNSRRIFTLTEWLRNEFAKEDKEYSGSVRRLMVGRALAVANMALWSFNLFGFLLPVYLPRAFKRYYSLYKSKD >KGN52340 pep chromosome:ASM407v2:5:25228424:25229127:-1 gene:Csa_5G626000 transcript:KGN52340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNLSFYGSVVHEGIKAHCGLEEVKGKEVSHNEVGRGNNSKMGCVESDSIGTIEHPLGLEVETCDFLNGDAEIREAFLFSAIAKDSNWSLNAKELRSEDGLENTISLMDSLKPTYSNLEKVEAGSKEISPMNKNSLNG >KGN50334 pep chromosome:ASM407v2:5:6572749:6576100:1 gene:Csa_5G168240 transcript:KGN50334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKLSEKSSVVLFCVLCMMLLLPFCFSITAAACIQKEGEALLQFKNSFYKDPSYPLASWNNGTDCCSWKGVGCNQITGHVTIINLRHDYEVNFYSSRLYSNNSIDSSLLELKYLNYLDLSGNYFNNIQIPNFLGSMVELTYLNLSQASFSGKVPPQLGNLTKLNALDLSYNWVEANGDVEWISHLSSLQFLGLTYVDFSKSLNLMQVLSSLPMLSSLRLSNCSLQNIHFSLSFLNYSTFLSRVQLLDLSDNQLSGPIPKAFQNMSSLNLLNLSGNKFTAIEGGLYNSFIGNNCGLKEIDFSANFDLDVDLFGTYENESMDCINGYDLQVLKLRGIPMKTRIPIDWLGKFKNLKCIDLSYCKIHGSIPASLGNLSNIEYLDLSNNVLTGEIPASLGSLLLNLKVLDLSSNSLKGVLIEAHFVNLSKLHTLYLSYNELISLDMKPNWIPPFQLKKLDIGSCIGSYESEFPPWLQTQKALDELWLSNTSLSISCLPTWFTPQVLTTLDLSYNQIVGPVFISIANQVPNLEALYLNNNLINDSLQPTICKLKSLSILDLSNNRLFGIVQGCLLTPNLNILDLSSNNFSGTFPYSHGNLPWINELFLRNNNFEGSMPIVLKSAKYLKILELEGNKFSGNIPSWVGDNLQSLQVLRLRSNLFNGTIPASLCNLPDLQILDLAHNQLDGSIPPNLNNLKGMITRKSMQGYTRVCWRRLCLDNEKDVPILEKIDDQNEDENYEKWMLYVMIILGFVVGFWTVIGSLILKTRWRHAYFKFVDEAVLTMFIQQFEKLKGIGIFKWFRYNATQKYEQEH >KGN51388 pep chromosome:ASM407v2:5:18638462:18638797:1 gene:Csa_5G524810 transcript:KGN51388 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L2 MVRLSFDGIHLRIPLNGIHRDHVFIYRLPSTWINQKFDSYWNCPYPVHPLEPYHIPDIYGRVVTVEYDPNRNAYICLIHYGDGEKRYILHPIETIIGDTIISSTEVPINTF >KGN50869 pep chromosome:ASM407v2:5:12322771:12323064:-1 gene:Csa_5G292260 transcript:KGN50869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVAVNRVTSGVISMSFLSLSKAITTGGAFFLFAAIAIVAWFFFYTALPETQGKTLEEMETLFGHIRCKSAAASAGTEKDNGSGGVQLAPTSNGQTS >KGN49569 pep chromosome:ASM407v2:5:264331:267630:1 gene:Csa_5G003615 transcript:KGN49569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGERKVLNKYYPPDFDPSKLPRVRRPKNQQMKVRMMLPMSIRCNTCGNYIYKGTKFNSRKEDVIGETYLGIQIFRFYFKCTRCSAELTIKTDPQNSDYVVESGATRNFEPWREEDEASEKEKHKRNAEEMGDAMKSLENRTLDSKREMDILAALDEMKSMKSRHATVSIDSMLVALQRTAAEKEKKLEEEDEALIKSIVFNNSNSYVRRISDDEFDDGSIVQHLTNNDIASDFKAKKQRVSEGSPHDPTSTSSKAGFLHSRMGEVDRKALSIQKRGNGKIIVIKEPFNNCERMESVLIVLLGGNSLYLSKEPFNNCERMESVLIVWNFKLVALSLREGETKTYGETLERHKKDSPFIFSFCFVCCGDGKETVFGRISGLGIALFTALFFHMFVVGVCSIDGFLCTSSLLDGPSPCEFWNSSQVGLGFEQNAQFVCWFLRSLARFREKGCFVVASHVVYSFVEPFG >KGN51290 pep chromosome:ASM407v2:5:17944068:17947924:-1 gene:Csa_5G514460 transcript:KGN51290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDSTLLEDSSTPSPSSSSSGFDRDRHVMFLEMMYQLLPFQYQTQEINHLTLAYFVISGLDLLGAMDRVDKDKIANWVLSFQALPTNKAEKTTGELYGFCGSRSSQFPPDENGDLIHNGSHLASTYCALVILKVIGYDFSNINSESIAISMRNLQQSDGSFVPIHIGAEADLRFVYCAAAICYMLENWSGMDRQKTKTYILNCQSYDGGFGLTPGSESHGGGTYCAIASLRLMGFIEDDPLSRDNPSSIINVPLLLEWCLQKQAADGGFQGRPNKPADTCYAFWIGSTLRILGGLDLIDKKALKAFLLTCQSKYGGFSKFPMDFPDLYHSYYGFTAFSLLEEPDINSLFVELGITDVSAWRI >KGN51895 pep chromosome:ASM407v2:5:22547072:22550374:1 gene:Csa_5G604400 transcript:KGN51895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAHGSGFRRVLVLAFCVAGIWSAYIYQGVLQETLSTKRFGSDGKRFEHLSFLNLAQNVICLIWSYIMIKLWSSRSTGGAPWWAYWSAGITNTIGPAMGIEALKYISYPAQVLAKSSKMIPVMLMGTLVYGIKYTFPEYLCTFLVAGGVSTFALLKTSSKTISKLAHPNAPLGYGLCFLNLAFDGFTNATQDSISARYPKTSAWDIMLGMNLWGTIYNMIYMFGWPHGTGYHAIEFCRQHPEAAWDILLYCLCGAVGQNFIFLTISRFGSLANTTITTTRKFVSIVVSSVLSGNPLSSKQWGCVVMVFSGLSYQIYLKWRKLQKLQRKRKTT >KGN51951 pep chromosome:ASM407v2:5:22846868:22853705:1 gene:Csa_5G606420 transcript:KGN51951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVSALLTSVGINSAICFSFLVLYSILRKQPAYYSIYIPRLVAEGKTKRRSDFNLERLIPSANWLKKAWMLSEEELLSSSGLDAVVFMRIVTFSLKVLLFAGIIGIFVLLPVNCSGDQLADVDIANISNNSLDVFTISNVKDGSHWLWIHFSAVYLITAYICCLLYYEYDYISSKRIEYFCSSKPLFHQFTILVRAIPASPGRNISDTVENFFTEHHPSTYLSHTVVRRTSKLRGLIHDATTHYRKLVRLQSNPAQVNSNRGSCFGLFRRKADLVDRYGKRLGDIEQHLRLEQSEVSSAGKEVPAAFVSFKSRYGAAIAMHMQQSNNPIQWVTEQAPEPHDVYWPFFSSTFMQRWLSKLGVAVACFLLIVLFFIPVVLVQGLTNLNQLQIWFPFLKGILTITFISQVITGYLPSLILQMFMKMVPPIMECLSSIQGYISLSDIKKSACFKVLWFTIWNVFFATVFSGTALFQLSLVFEPKNIPTKLAVAVPGQASFFIAYVVTSGWTSSLSELINLFPLITSLVTRPFSGNSDHELEVPSIPYHKDIPNILFFVLLGITYFFLAPLILPFLLVYFSLEYIVYRNQFVNVYAPKYETAGKFWPIAHSCMIFSLLLMHAIAVGIFTLKGLPLASTLLLPLPILTLLFNEYCRKRFLPNFSAYSAEALIKKDREDENDPTMAEFLDKLVTAYGDPALMPVSFTTNADSLNRPLMY >KGN52144 pep chromosome:ASM407v2:5:23980290:23981567:1 gene:Csa_5G611750 transcript:KGN52144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIFDSVSDLISDYVATSRQRKKKPLQTVEIKVKMDCDGCERRVKNAVTKMKGAKTVEVNRKQSKVTVTGFVEANRVLKKVRRTGKRAELWPYVPYNVVAYPYVTQAYDKRAPAGFVKNAVQAIPSPNAVDEKLTTMFSDDNPNGCSVM >KGN50434 pep chromosome:ASM407v2:5:7245413:7247193:1 gene:Csa_5G174600 transcript:KGN50434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPTQQQHTKPTPHPIPPNSSKVIHFVPQREFFFENVEEKINLYQSALEGNWETAEYILMKKRSLLSASITRDKERALHIAAGAKHTDFVKNLVKQMNKEEIALKNRHGNTALCFAAASGVVKIAELMVNKNKDLPLIRGFGDVTPLFMAVSYKCKPMALYLLSVTQLIHLTSQEQIELLIATIYSDFFGSGEDSSIIPIGGQM >KGN51387 pep chromosome:ASM407v2:5:18620642:18629898:-1 gene:Csa_5G524800 transcript:KGN51387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENPSEKKYPVNAKDYNLHEEVGEGVSATVYKALCIPLNEIVAIKVLDLEKCNNDLDGIRREVQTMTLIDHPNLLRAHCSFTAGHHLWVVMPYMSGGSCLHIMKSAYSEGFDEPVIATLLREVLKALVYLHAHGHIHRDVKAGNILLDSNGTVKLADFGVSACMFDTGDRQRSRNTFVGTPCWMAPEVMQQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKRFSKSFKEMVATCLVKDPKKRPSSEKLLKHPFFKHARSVDYLTRTILDGLDPLGDRFKKLKAKEADLLGQNKALYGDKEHLSQQEYIRGISAWNFNLEDLKNQAALIQDDDMSNIEDLDVHTTQQRNKNNEFTFPVEGSSLERLSHPTAAASQEDGFNDLHDLESSLASFPMKPLEALKDCFDIYEDISTDSVRPVDRENGRTEGESSGQSGSLPRHYMSENKRSFSGSLISDNAVSPKKITVDGDRDYLQSKLPSERNYSGPLLYRQKRDTNNVSSVEDSSDGTVVQRKGRFKVTSAELSPKGPMTGSFSPVCGGTISPTSLNLTPALLLPSMQCILQQNIVQREEILKLIKCLEQMTGKNPDASESVTNDLLQVPPTSLRERELQSQVVHLQQRIDHLVEELEKQKLKNVEFERHVISMANKEKT >KGN51971 pep chromosome:ASM407v2:5:22955748:22961035:1 gene:Csa_5G606620 transcript:KGN51971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYALFRDLNQRRFPFRVVLHWIVKGTSEILDMRSSWVNKISVILGSKPPFSWLILCLISVLALIAVLGTSTSNAFDSVTTTPVSDIYASYRRQKERAAIDLFDLKSLSLATTRLKEFGLCGKERENHVPCYNVTANLLAGYKEGEEYDRHCEVSRTAQRCLVRPPKDYKIPLSWPVGRDIIWSGNVKVTRDQLLSSGSPTKRLMLLEENQIAFHSEDGDGVKEYSFQIAEMIGLGSDSEFFQAGVRSILDIGCGFGSLGAHLISLNVMVMCIATYEATGSQVQMALERGLPAMLGNFVTKQLPYPSLSFDMVHCAQCDISWNDKGGIFLIEADRLLRPGGYFVLTSPTGKTIGGSLSSKKTNILTPLEEMTKKLCWILLAQQYETYIWQKTTDPHCYFSRKQEVVPLCKEAHDTPSYYQPLVPCISSTTSKRWIPIYNRSSGSHLSSAELEVHGKYSSVDSVQSEDYSDELQIWQSALKNYWSLLTPLIFSDHPKRPGDEDPLPPFNMIRNVMDMNAHYGGLNAAFVEQKKTVWVMNVVPVGSPNTLPLILDQGFAGVLHDWCEPFPTYPRTYDLLHANGLLSQLLSSRCSMIGLLVEMDRILRPEGWVVFKDKVGPIEKVRMLATQIRWEARVIDFQNGSDQRLLVCQKPFVKK >KGN50436 pep chromosome:ASM407v2:5:7255346:7258924:1 gene:Csa_5G174620 transcript:KGN50436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPTPRVVGHILKQRERKENLEERINLYRTALDGDWDNALYILDHNPSLLSASITRDKETALHIAAGAKHTNFVEELVKKMSKEEVGKKNRHGNTALCFAAASGVVRIAELMVEKNQDLPLIRGFGDVTPLFMAVSYKCRPMALYLLSVTELTELTSQEKIELLIATIHSDFFDISVEILEHDTTLATKNDTKNNNETALHVMARKPSAIDRGNQLNFWKNCINSVKRVSNNEEEEMKTLARELVESLWKHVVYELPQKEMLSFIRHPSRLLHDAASVGNVEFLVLLIRRYPDIVWEEDDDGKSIFHVAVENRLEDVFNLIYEIGGLKDFSAKYRTTLKGKYNILHLAAKLAAPNHLNRVSGAALQMQRELLWYKEVEKIVLSSQLEAKCDDPLKLTPRELFTIEHKDLRKDGEAWMRNTANSCMLVSTLIATVIFAAAFTVPGGDDIEGTPIFRRKFWFTIFVISDAVGLISSSSSILVFLSILTSRYAEHDFLHSLPSRLLIGFTSLFVSIVCMVVAFSATFFIHYHNNANIWVPTIVATTTIVPVCCFCMLQFKLWVDIYYNTYLSKFLFRPRQRKLSSSSPITVFFSSTTITGSSTLPLVEAIYNESSERTYIEHPHSTPR >KGN51986 pep chromosome:ASM407v2:5:23023765:23026040:1 gene:Csa_5G606760 transcript:KGN51986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLPPPHQSTDYANTMLRNKIAHTLLRRSPIAAVSSPLSSLGAPSSTVFASEPTPSQTTCSSFSCRHHAFPFSLRLFNTNSSKHFRDEVKEQNPPQYGREDEGETTDGWEEDDDDLEPELGDGGDGGGVVLQGVPWGEHVLLLAQEVLLQFGDDIKLYSFKVTPRGYIYVRLDKLSHEFGCPNLEELDSYSKEYKKRLDETGALGNIPDDLALEVSSPGAERLLKIPDDLLRFKATPMRVSYIEDVDSRGSENDGVFMLDHLELESESCIWKLANVRENRDPLSKGRPLTRKQKEWRLKLPYANHKKVFLYLKC >KGN49918 pep chromosome:ASM407v2:5:3820323:3825161:-1 gene:Csa_5G140440 transcript:KGN49918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFQIEPSSEPHEQNPLTFITHLFHSSTSNFSTLFANPQSIPPFPFQSSSSKLCIPVAFPPSPKPPFLSHSGFHSIHYDLDSPKSAAVKGLSSSPNFDSGFPSTLRISGLNSDGKTGGPAFVGQVFSMCDLSGAGLMAVTSNMNIPFVSKRTEEWLKKMFSTITKSKRNAPIFRFFTDLGDAVTYVKRLNIPSAVVGVCRLDLAYEHFKEKPHLFQFIPNEKQVKAANKLLKGLPQNGGSKKIDGVPVFSAQNLDIAIATTNGIKWYTPYFFDKNMLDNILEESVDQHFHALIQTRRLQRRREIVDDNAAAEVLEEMGDSLLEPPEVQEVMDEMGNPGIPLSVISKVAEMQLLYTVDKVILGNRWLRKAVGIQPKFPYMVDSFERRSAASLLRIQESASGLTNSESVEETKELQCYSSSPLNTEDNREANQEPKQHSFNPFRNWFGHLWSKQRQRDDFSQERTKQNVQISPFLPKITMVGISTGDSGHTSKANLKKTMEDLTRELEHIDQGNAASHNEYEFNNEERDPLFVANVSHFSSGLSKAGSARWVRGND >KGN50362 pep chromosome:ASM407v2:5:6702373:6706504:-1 gene:Csa_5G168980 transcript:KGN50362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAIVAASSSSSCSAAYTRSSIRSSPHFPSPKPSVSLTTQKSVFLGLSVAEAKKGVSHWFTAENTEAEGGKRSKGLQIRAAKTAGASKTIEVEVDKPLGLTLGQKSGGGVVITAVDGGGNAAKAGLKSGDQVLYTSSFFGDELWPADKLGFTKTAIQAKPDSVYFVVSRGAEVDVKRLPKRPAPPRFGRKLTDAQKAKATHICLDCGYIYTLSKPFDEQPDGYACPQCIAPKKRFAKYDVSTGKAVGGGLPPIGVIAGLVAGIGAVGALLVYGLQ >KGN50719 pep chromosome:ASM407v2:5:9861266:9861678:-1 gene:Csa_5G218850 transcript:KGN50719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLNLNQISLFRRSIIVNSKDLIQLTSVRTFTAGGKSKKGSKGGAAVDAPKASILIGANILNEGVDPKVMADSEYSIWLWHLLDKHLPLSELRRRNVKTLPYADFKCFVKLDTRARIKENTSTEAKN >KGN50552 pep chromosome:ASM407v2:5:8129120:8129715:1 gene:Csa_5G182080 transcript:KGN50552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGPADSYVEIHPRLCQITFLFNHTSRRKQLRHPGNPSRLLICLPSFAPKSLEMEVFLYFHQLYVVYNVFGRTCK >KGN50903 pep chromosome:ASM407v2:5:13007088:13007914:1 gene:Csa_5G320420 transcript:KGN50903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTHQPQLAQTSRRDQSTTQFADQILPATTNLPPKSPHHPQTQPNQPLFYTIQTQTQTPIFSLLHCGSSVVRYKREAKWEFLPCRSIA >KGN52058 pep chromosome:ASM407v2:5:23472594:23475449:1 gene:Csa_5G608460 transcript:KGN52058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSGSVSSSSFDSVSVATADRAPNIVQGRMSGDGGQALSDESSRSWRDIFWCFVFMLHLISVGFVLVVLGLNRFKKSNRLQIDKYTNTIMENRVGLTEDYWPLYALAGGVGSLLGWTWLFLLGSFANHAMKISVHILTTYLAVISVLCFWGQLFFWGVTFSIGAGLQFLYVISVIDRLPFTLLVLQKAVKMVSGLPEVIRVAYVFMIVMLLCMGIWSFGVSGIVASSMGDGGRWWLLVVFSISLFWAGAVLCNTLHVIVSGMVFLVLIHGGRESSSMPSKSLIKASRYAVTTSFGSICYGSLFTAAIRTLRWEIRGIRSKIGKNECLLCCVDFLFHLVETLVRFFNKYAYVQIAVYGKSFNRSARDAWELFQSTGVETLVAYDCSGAVLLMSTVMGGLTAGTCSGIWTWIKWKDKVSMVACTATLMGMVLVGLAIVVVESAVTSIYICYAENPLLIQKWDAEFFNQISEMLHQRLQHRSARAREVLSDYRFDSRREDLVQA >KGN52323 pep chromosome:ASM407v2:5:25078254:25079722:-1 gene:Csa_5G623860 transcript:KGN52323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVGVTGGEPVVKAVALCGSLRKASFNRGLIRAAMKICKEESGIEIEYLEVDPLPMLNTDLETPNGGFPPVVEAFRRKILHSDCILFASPEYNYSIAAPLKNAIDWASRPPNVFAGKAAAIMSAGGGFGGGLAQFHLRQVGVFLDLHFVNKPGVHVNAFQPPPKFNEDGDLIDQQTYQNLKLLLLSLTNLSLRLQSNSK >KGN52149 pep chromosome:ASM407v2:5:24003729:24012993:-1 gene:Csa_5G612290 transcript:KGN52149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNIKRLPNFLLVLSKNISCDLSSNHGLAVRPLKLLLQQSRSYSVSRVWRCSYHYAAEQFSDDEYECDGDNNTASSSVANIDEWKWKLSLLSRNERDQEIVSRDNRDRRDFEQISNLAKKMGLYCSMYGKVVVASKVPLPNYRPDLDDKRPQREVVIPLSLQRRVEGLLQEHCDRIRLSSGKGSDIPNDVKSIEEVKDVNMDECEDPYLDGSVMEKVLQRRSLRMRNMQRAWQESPEGRKILDFRRSLPAFKEKEKLLQAIAENQVVVISGETGCGKTTQLPQYLLESEIETGRGAFCSIICTQPRRISAMAVSERVSIERGESLGETVGYKVRLEGMKGKNTHLLFCTSGILLRRLLSDRNLDGVTHVFVDEIHERGMNEDFLLIVLKDLLPRRRDLRLILMSATLNAELFSSYFGGAPTIHIPGFTFPVRSYFLEDVLETTGYKLTSFNQIDDYGQEKVWKTQKQLAPRKRKNQITSLVEDALDKSTFGNYSSNTRDSLSSWMPDCIGFNLIEAVLCHICRKERPGAVLVFLTGWEDISSLRDQLRAHPLLGDPNRVLLLTCHGSMATSEQRLIFEKPAQNVRKVVLATNMAEASITINDVVFVIDCGKAKETTYDALNNTPCLLPSWISQASARQRRGRAGRVQPGKCYHLYPKCVFTAFSEYQLPELLRTPLNSLCLQIKSLQVSSVGEFLSSALQPPKPLAVQNAIDFLKMIGAFDEKENLTNLGKFLSMLPVDPKLGKMLIMGAIFQCFDPILTIVSGLSVRDPFLLPQDKKNLAGIAKGRFSAKDYSDHMALVRAYEGWKDAEREGSSYEYCWRNFLSMQTLQAIDSLRRQFTFILKDAGIVDLDGSTSNKLSHNQSLVRAIICSGLFPGVASVVHRETSMSFKTMDDGQVLLYANSVNARYNTIPFPWLVFGEKVKVNTVFLRDSTGISDSMLILFGGAVNRGVQAGHLKMLNGYVEFFMDSSLADCYLQLKEELDKLIENKLENPGLDILKEGKYLVLSVQELVSGDQCEGRFVFGRNSKKQALSSKDRFTKDGTNPKSLLQTLLMRAGHSPPKYKTKHLKTNEFRALVEFKGMQFVGKPKKNKQLAERDAAIESLAWLTQTSDNNDANSDDDSPVDVTDNMLKLLGKRRRSKRR >KGN51175 pep chromosome:ASM407v2:5:16722184:16722754:-1 gene:Csa_5G477610 transcript:KGN51175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNVRTRTLFHCQYCLKEFTNSQALGGHQNAHKKERLKQKRLQIEAQNSSLRRYLQTYFNSHFSHEFDGSHISFTFRTNNDFSVASPDIPASAPEFRLFPFF >KGN51751 pep chromosome:ASM407v2:5:21700215:21703834:1 gene:Csa_5G598100 transcript:KGN51751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFMKLGSRPDTFYTAEAVRSVTSEVSSDLIIQVKGSRYLLHKFPLLSKCLRLQRLCAESSDSPQHQIVQLPDFPGGLEAFELCAKFCYGITITLSANNIVSARCAAEYLQMTEDVEKGNLIYKLEVFFSSCILHGWRDTIVTLQSTKAFPSWSEELGITSKCIEVIASKVLIHPSKVNLSHSHSRRLKDDISCNGADSQRHKQATRGWWAEDVAELNIDLYWRTMIAIKSGGKMPSNLIGDALKLYASRWLPNIKNESVKQLASDSDSDKANELSAKHRLLLESLISLLPAEKGAVSCSFLLKLLKAANILNASPSSKMELARRVGGQLEEAMVTDLLIPSMSYTSQMVYDVDIVMTIIEHFMLQWQSPPTSPPRSRIGFERRRSRSAENIDFELQESRRSSSASHSSKFKNVYVSRAYVLTLLFMTLYLHFIAHPEMGKGERKRLCRILDCKKLSVEACMHAAQNELLPLRVVVQVLFFEQARATMGGSKVAELPSNIKALLAAHNIDPSKPPASLSTTTSVQAEDQLSISGLKSPKSKISTLQMKLAEDEDLNENSIHSNGISRSSKFKALCSLPSRPKRIFSKLWSANRSIMEKN >KGN52154 pep chromosome:ASM407v2:5:24039550:24041583:-1 gene:Csa_5G612830 transcript:KGN52154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARPRITGLGAVRRQSVRAYNDTFTPNVEEIDENEAHILRLQLPGFSHVNVNVEKEARTVVVTGDRNVSTTRLQILDKTFPVPQNSKIDEIKHELQDGVLTITIPKQTTEPVTAPPLQAAESTAPPDTKAETKEPDVAALTKSDSTSDKAKEEISSANVSPPETKAETKEPEEGPPEGDSTPEKGLIDLSLGNVAPPKTKAEVEEPEVAALPKEGISEELQKQGSAKATKEEAPTPAPLVAPQPPVATDYVKEETTMDQNISSQEQKKEIGNENPENGKESKTEEVRKNEETTENGTGTPSPRATKVGKLVGCFKIRRLPLRTTVSLSATVAVAVAAYFAYAYYGVSFAME >KGN50792 pep chromosome:ASM407v2:5:11042154:11043382:1 gene:Csa_5G264270 transcript:KGN50792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKADMTKKADPKAQALKTAKAVKSGPTFKKAKKIRTSVTFHRPKTLKKDRNPKYPRISVTPRNKLDQYQILKYPLTTESAMKKIEDNNTLVFIVDIRADKKKIKDAVKKMYDIQTKKVNTLIRPDGTKKAYVRLTPDYDALDVANKIGII >KGN52095 pep chromosome:ASM407v2:5:23683435:23683919:1 gene:Csa_5G609810 transcript:KGN52095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLFEILNSPLPPLLCFGSITEAAVVASVATMSVRCCFHVDVLLVVLEVKKRKEMEIMAWRKLFRSRWIGVMMMIRLIGGLRFSFRSSMRI >KGN50271 pep chromosome:ASM407v2:5:6211816:6217211:1 gene:Csa_5G165160 transcript:KGN50271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNEQLFFGLSQALRKFPKETGKFAATAMVKCKKSQKKVTNEVLHPSDAKKSQNLISSIILLKTALEALPLLSKVLKEAKSFLLANIYKSICENEKYTNIRKRIGEVIDEDVLHARVPFIARTQQCFAVKAGIDGLLDIARRTFCDTSEAIHNLANKYREEYKLSNLKLPFNNRQGFYLSIPHKDVQGKLPNKFIQVLKHGNNIRCSTLELASVSTSVTLNVRNKSAAGECYIRTEICLQGLVDAIREDVSMLTLLAEVLCLLDMIVNSFAHTISTKPVDRYTRPNFTENGPMAIEAARHPILESIHNDFVANSIFLSEASNMIIVMGPNMSGKSTYLQQMCLLVILAQIGCYVPAHFSTLRVVDRIFTRMGTDDSLESNSSTFMTEMKETAFVMQNVSQRSLVVVDELGRATSSSDGFAIAWSCCEYLLTLKAYTIFATHMEGLSELATIYPNVKILHFHVDIRNHRLDFKFQLKDGIRHVPHYGLLLAEVAGLPSSVIETARDITSRIKEKEERRMEINHLQYHPIRMTYNVAQRLICLKYSSHDEDSVREALRNLKEGYISGRL >KGN51777 pep chromosome:ASM407v2:5:21884658:21896731:-1 gene:Csa_5G599830 transcript:KGN51777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSISQNILHQTLLRRSVFDNQSKFNASGTHKSTLFQAALTNQVPGQHWKSPISTKFLGNGLNVKKPRMATGTGCRSFPVNTRAVLATDPASELAAKFKLDENIELQVDVSAPTSGSIRRVNILVTNIGGSLLLHWGAIRDRKDTWALPSHCPDGTQVYKNRALRTPFLNSGSNSTLTIEVDDPAIEAIEFLLLDEARNKWYKNNDKNFHVKLPVKEKFISDVSVPEELVQIQAYLRWERKGKQTYTPQQEQEEYEAARAELLQELTRGATLQDLRARLTKENDGTETMELSTPKDMTIPDELAQIQAYLRWEKAGKPNFSPEQQLREFEEAKKELLSELNKGASIDEIRKKITKGEIKTKVAKQLQDKKYFRVDKIQRKTRDLVQLVNQYKSQPIEETYTAKPKALTEFEKFAKIKEEQDGDDVINKIIYKLGDKDLLVLVTKTSSKTKVYLATDLQQPITLHWGLSRTNAGEWLTPPPDVLPPGSVSLSQAAETQFIFNDDGSTLKVQYLEILIEEDGFLGMSFVLQSSGNWIKNKGSDFYVAFAIQPKKVRKVTEGGKGTAKSLLDNIAELESEAEKSFMHRFNIAADLVDQAKDAGELGLAGILVWMRFMATRQLIWNKNYNVKPREISKAQDRLTDLLENIYANHPQYREILRMIMSTVGRGGEGDVGQRIRDEILVIQRNNDCKGGMMEEWHQKLHNNTSPDDVVICQALIDYINSDFDIGVYWKTLNENGITKERLLSYDRAIHSEPNFRGDQKDGLLRDLGNYMRTLKAVHSGADLESAIQNCFGYRSEGQGFMVGVQINPISGLPSELPGLLQFVLEHIEIKNVEPLLEGLLEARQELRPLLLKPRDRLRDLLFLDIALDSAVRTAVERGYEELNTAGPEKIMYFITLVLENLALSSDDNEDLIYCLKGWDLALNLTRSKNDHWALYAKSVLDRTRLALANKGEEYHRILQPSAEYLGSLLGVDQWAVDIFTEEIIRSGSASSLSSLLNRLDPVLRTTANLGSWQIISPVEAVGYVVVVDELLAVQNKSYEKPTILVANRVKGEEEIPDGTVAVLTPDMPDVLSHVSVRARNGKVCFATCFDSSILSDLQVKEGKLIRLKPTSADIVYSEVKEDEVQDASSIHENDAAPSPVTLVRKHFSGKYAIVSEEFTSDLVGAKSRNISYLKGKVPSWVGIPTSVALPFGVFEEVLSDESNKAVAEKVHDLKIKLGSGESSALKEIRKTVLQLAAPPQLVLELKSKMKSSGMPWPGDEGEKRWEQAWMAIKKVWASKWNERAYFSTRKVKLDHDYLCMAVLVQEIINADYAFVIHTTNPSSGDSSEIYAEVVKGLGETLVGAYPGRALSFICKKNDLDTPKVLGYPSKPIGLFIRRSIIFRSDSNGEDLEGYAGAGLYDSVPMDEEEKVVLDYTTDPLIVDDNFRKSILSSIARAGNAIEELYGSPQDIEGVIRDGEVYVVQTRPQM >KGN52226 pep chromosome:ASM407v2:5:24489897:24496533:1 gene:Csa_5G621940 transcript:KGN52226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTESSYVSSPEAPAKRSPPPPKSPNSDNMEKATYIRFLVSNAAAGSVIGKGGSTINDFQSQSGARIQLSRNHEFFPGTTDRIIMVSGSINEILKAMELVLAKLLSELHAEEGDDVEPRTKVRLIVPHSSCGAIIGKGGSTIKSFIEDSQAGIKISPQDNNYMASTDRLVTLSGTIEEQMRATDLIVSKLSEDPHYTQSMNYPFSYPTSFNAMNYGSNGGGTGGRFQNNKEERNNSLTIGVSDGHIGLVVGRGGRNILEISQASGARIKISDRGDFMSGTTDRKVTITGSQRAIRAAESMILQKVAYASERVLAQ >KGN49665 pep chromosome:ASM407v2:5:1761575:1761826:1 gene:Csa_5G054560 transcript:KGN49665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDSATDRRTNENSSYFSNKKSSLNKMSNSTTNRSTNEIEANFSMLKGVVSDIVVETQSSNNTLMLEICAIFYKISKFKSLLN >KGN51091 pep chromosome:ASM407v2:5:15800909:15806399:1 gene:Csa_5G435060 transcript:KGN51091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFTSASASLCFPRLSSSSSSSSSSKSFKFNSFSSHSTPPSFRVFCSGGFLQHPNSSNHFNFLLHHALDSSGIDSTFAKEARKGFLSQIHYLSKIERDTSISINRRVDLAKAALYIAAEDDSLVSHSSVPLPVDAFIHRLSDLSMGYCTHYKSSFNSSPEIFLESIERYMYVMKGFRRTGSKAQSEPRALYLHTVLTHRTGSAALLSLIYSEILKMLRLWSLLDFDVEIYHPHDDYSLPMGYHKLKSKESDQPHIMTTQTLLVEILTNLKESFWPFQQNQSRSLFLRAADAANCSDSSDAFEESGFQLASAKAAQHRLERGVWTSVRYGDMRRALSACERLILLDVDSKELRDYSILLYHCGFYEQSLEYLKLYQETKGSSSPTSKLSSQEEEAVDNLMKRLALIMMEDGWSRPSFSRKFIGKNSEPW >KGN52378 pep chromosome:ASM407v2:5:25677967:25681261:-1 gene:Csa_5G630810 transcript:KGN52378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASASASNSEAEMKKEELPKSMEEPPEQEHPHHNQSDEGEDDNESHLHLSACSSPPHSLSTNKDPSPPLHSPSISSDFSDHTCHSPGNSSIDHALSITPLQDLHLPPSANSPSPRPVAANRAQPSEPIVVTKVDTEIQGIRKVEEVIDSDGDVESGDGDDGDGGKVGRGRKLMETLSIKKIKREELRKKILLGFRICGFAFCLVSVSVMASDKDQGWALDSFYRYKEFRYCMAVNVIGFVYSALQSYDLVYFLSTGKHVIRNHFKQYFDFFIDQIIAYLLLSASSSAATRIDDWQSNWGKDKFPDMATGSLGFSIVAFVAFALSCIISGYTLCKSA >KGN50330 pep chromosome:ASM407v2:5:6539343:6541299:1 gene:Csa_5G167220 transcript:KGN50330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSKALPYILLITMLFTVRPTTAQLCPSFYDTTCPNLPSIVEEVVRQALQTDARAGAKLIRFHFHDCFVNGCDGSVLLEDSVADGIDSEQNAPGNLGIQGQNIVADIKTAVENACPNVVSCADILAIASNSAVVLAGGRGWEVQLGRRDSRIANRSGAVSNLPSPFEPLANLTVKFANVGLNSTDLVSLSGAHTFGQSRCRFFQGRLSNFSGTGMADPSLDPIYRDMLLEACPQGGDNNRVNLDPTTPNEFDNNYFTNLQDNRGLLTSDQVLFSPPGAATTVDVDRFAASQEVFFDAFGASMIKMGNIMPLTTIDGEIRLTCSRINPLPTLADM >KGN50337 pep chromosome:ASM407v2:5:6596601:6601745:-1 gene:Csa_5G168760 transcript:KGN50337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIASTPLPPWQPPLQAPFRLRRSRPLIIPYRTPIGFVQAYRRGGGGGNNDAFGDAWNKVWRGANDGFEKFVFEARKTAERLDRRYSVSRRVGSAAQSVADRAREIDREFAIGMRWRNFTLDFSRNWPRYRRQLNEFIDTPLGKSVVTIFFLWFALSGWLFRFLIFGTWILPFAGPILIGTFANSLVIKGNCPACNREFAGYKNQIISCAGCGNVVWQPKDHGEYNSRKGSSGSKSQPNVIDVEFEEK >KGN50752 pep chromosome:ASM407v2:5:10218770:10219251:-1 gene:Csa_5G223100 transcript:KGN50752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLSASLISPPPPPLLHRPSTAFFPNLRPTPSLSSPWLCHRPAEAGAEPRCVSQGGWGSSVGVGEVDIEEWLKLGRLEEKCGGGGKGIVELLECLEKEAIMGEDEGRDPTDYNRRAKIFSTSSEVFQALKQHSDAVAPPPSPPHHS >KGN52728 pep chromosome:ASM407v2:5:28012324:28012586:-1 gene:Csa_5G652820 transcript:KGN52728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQHFTTNEIRHLLIGHHAFGSLLVDESSEVKKDDEELGETPMLVKEVWKIETDQNKTKESKEKSVKT >KGN52326 pep chromosome:ASM407v2:5:25100918:25101458:1 gene:Csa_5G623890 transcript:KGN52326 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate receptor 2 plant MLPTDTSEGEKARRRSAAMIPTGKKRSTSGGGGRGGRTNGRTTMETATAARRRTDTKLKQRTIATFQQAEI >KGN51058 pep chromosome:ASM407v2:5:15291759:15294875:1 gene:Csa_5G423880 transcript:KGN51058 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucan endo-1,3-beta-glucosidase MDYQMHFSFLLFIFSLLFLLLQATPEDVFVGVNIGTELSVMPHPTQVAALLKAQQIRHVRLYDADGGMLMALANTGIQVMVTIPNEQILGIGQSNSTAANWVNRNVLAHYPATNITAISVGSDVLTTLPNAAKILVNALKYIHSALVASNLDHQIKVSTPLSSSIILDSFPPSQAFFNASLDPVIVPLLGFLQSTNSFLMLNVYPYYDYMQSDGFILLDYALLKPLPSNKEAVDSNTLLRYTNVFDAMIDAAYFAMASLNFTNIPIVVSETGWPSKGGSNEPDATLENANTYNSNLIRHVLNKTGTPKHPGIAISTYIYELYNEDLKPGPISEKNWGLFDANGKPVYILRLTGSGLVLANDTTNQTYCAAKEGVDPRMLQAALDWACGPGRVDCSALLQGQPCYEPDNVMAHATYAFNTYYLQMGKGSGSCDFNGVAAVTTTNPSHGSCSFSGSVGRNGTMANITAPSMNSTNTDSSATAFEYNILSCAVMMVGALLGSSIWL >KGN52074 pep chromosome:ASM407v2:5:23561131:23561957:-1 gene:Csa_5G609110 transcript:KGN52074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFELFPASRSTERGKRKRGDDAGVGNQKDVGAEEGREAETATDEEVEEFFSILKRLHAATKYIEKIDGASHLLMGKRPKPMKSNEEEGDEVGIKAERIPEQKLDRNLDLDLNLEPVCVESEGKSRERQNRKPSCVDSAADSCRK >KGN51436 pep chromosome:ASM407v2:5:19257141:19265487:-1 gene:Csa_5G545560 transcript:KGN51436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDVKDKVKGFMKRFNNTLSSSSSTNFKGQGRVLGSSSSGSTSSMPTRPSENPISKPKLVSPPSNSSANSKPPVANSIATGQNRSDPSNTSNPDRIPADGFDPFDSFITSGKRSGNGYSLNVFECPICGRSYRSEEEVSVHVDSCVNDSTAKGAEDPPTSDAEPRSELEACIGTFLSGKPTQGSLDIVLKLLRNIVREPENSKFRKIRLSNPKIKEAIGEAVGGVELLEFVGFKLQEEDGEMWATMEVPTEEQINLLCKVIDLIDQPKLEEQQKKASTAPDLIEPKKVDRQIRVFFAVPENIAAKIELPDSFYRLSVEEVKREAIMRKQKIAESQLLVPKSYKEKQAKAARRKYTRTVIRIQFPDGVVLQGVFSPWEPTSALYEFVSTALKEPCLEFELIHPVGVKRRTIAHFPAEGEKSKTLDDEELVPSALVKFRPIETDSVVFTGLRNELLEIIEPLVSGSAVAPPTQV >KGN50441 pep chromosome:ASM407v2:5:7284635:7286766:-1 gene:Csa_5G174670 transcript:KGN50441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNLLKMYFRCGFMCEGFKVFEEMPQRNVVSWSLITSSLSKNGEFEFCLESFLEMMRDGLMPTEFAFGSVMKACADVEAYGFGSGVHCLSWKIGMEQNVFVGGSTLSMYARLGDITSAELVFEWMEKVDVGCWNAMIGGYTHCGLGLEALSAVSLLNSEGIKMDNFTIVSAVKACSLIQDLDSGKELHGFILRRGLISTAAMNALMDMYLISDRKNSVLKIFNSMQTRDIISWNTVFGGSSNEKEIVDLFGKFVIEGMKPNHITFSVLFRQCGVLLDSRLGFQFFSLAVHLGCLDETRVLSSIISMFSQFGLMEMVHSVFDSLVFKPVSAWNQFILAYKTACKFENPWMCRQLHCASMKAGFGSHKYVSCSLIKCYILIGSLESSFEIFNQLEIVDMATYGAVISTLVHQNYMYEAIMFLNFLMESGKKPDEFTFGSILNGCSSRAAYHQTKAIHSLVEKMGFGFHVHVASAIIDAYAKCGDIGSAQGAFEQSCQSNDVIVYNSMMMAYAHHGLACEAIQTFEKMRIAKVQPSQASFVSVISACRHMGLVEQGRSLFQTMKSDYNMTPSRDNYGCLVDMLSRNGFLYDARYIIESMPFSPWPAILRSLLSGCRIYGNVELGQWTAEKLLSLAPQNDATHVLLSKVYSEGNSWEDAANIRKEMTDRGVLKDPGYSRVEI >KGN49935 pep chromosome:ASM407v2:5:3909271:3911605:-1 gene:Csa_5G141090 transcript:KGN49935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQLRLPPETLTTVTEKNKKFKKLIVIKRKKKKNLKVQNIYRGLSETLASLPSFFPSNLSFAVQHFHYQGFLAICQSLVASPFCVVPLAL >KGN50555 pep chromosome:ASM407v2:5:8146970:8154263:1 gene:Csa_5G182110 transcript:KGN50555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTQFGSTSDTLSKASTMVFRIGTDAHLYDDPEDVNIAPLLDSKFDSEKCEALKRLLALIAQGFDVSNFFPQVVKNVASQTLEVKKLVYLYLLHYAEKRPNEALLSINCFQKDLGDTNPLVRAWALRTMAGIRLHAIAPLALVAVRKGARDPSVYVRKCAANALPKLHDLRLEEISSDIKEIVLILLGDSSPGVVGAAAAAFASICPNDLTLIGKNYRRLCEVLPDVEEWGQIILIGILLRYAVASIGLVRESIMYSLQSVEDSSSEKNDVANNFTSANEDSEMNGFNETALTNMISRCYNEGPDEYLSRLSCSNEVFPKMDDGHFVSIKENDDIRILLQCTSPLLWSNNSAVVLAAAGVHWIMAPRENIKRIVKPLVFLLRSCDAAKYVVLCNIQVFAKAMPSLFAPHYENFFICSSDSYQVKALKLEILSSIATDSSILSIFNEFQDYIRNPNRRFAADTVAAIGLCAGRLPKIAKMCLNGLLSLIRQDTSTCDNGAMDEEAAVLTQAITSIKFIVKEDPASYEKVIIQLIRSLDSVKVPAARAMIIWMVGEYSTLGDIIPRMLVIVAKYLARSFISEALETKLQILNTMIKVLLRSKEEDMPTFKVILGYMLEVGKCDLNYDLRDRAAFIQKLLSSHLDMEAPEESLSKPRDQSWELAERIFGGQLKPIQPEPINYRFYLPGSLSQIVFHAAPGYEPLPKPCTLDEAASTSGDGDSYETDNTESSSGSSDEEDSASDYSSQHSLSGSSGRDESYGANRQHENAGADPLIELSDHGSTHKIQNGASASGSAELDELMSKNALESWLNEQPNLASLSTSEKAEVRRSSARISIGNLGKHVIRKNYQLLDPATGNGLKVEYSFSSQISSISPLHVCIEASFKNCSAEPMTEIMLTHEESDKAIDSKDEILVRSERSSTSNNTVTTPVSMENITSLGPDQTVNRILEVQFNHHLLPMKLNLYCNGRKHPIKLHPDIGYFVKPLPMDIEAFTAKESQLPGMFEYMRRCTFTDHLGKVNDEKNESPIEEDKFLLICKSLALKMLGNANIFLVSMELPVANFLDDATGLCLRFSSEILSNSIPCLVSLTVEGKCLEPLHVTVKVNCEETVFGLNFLNRIVNFLGNPSVSNQ >KGN50675 pep chromosome:ASM407v2:5:9340606:9341112:-1 gene:Csa_5G210015 transcript:KGN50675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSQVPPSVPWHFTELDDRLFQIRGRTFFFVAVLFAVILLVTFIFLYARWVCRFHQLTTFSAPLPVHRLPSSPPQQGLGATTIISLPITLYKPPAAKEDAPGAAANDAGECSICLGVFEDGEKVKILPPCRHCYHSECVDRWLRSHSSCPLCRVSLCIDPSNNLEMV >KGN52322 pep chromosome:ASM407v2:5:25067003:25071931:-1 gene:Csa_5G623850 transcript:KGN52322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKEYAGIVKAWEATVRKTQHSAKKRANSIFAAMSVAPADDEPGPGELHHAEKAIPNGDFYTGQWMDNMPHGHGKYLWTDGCMYVGEWYKGKTLGKGKFSWPSGATYEGDFKSGYMDGKGTYTGSSGDTYRGCWVMNLKHGQGTQNYANGDYYEGEWRRGFQDGQGRYQWKNENHYIGQWKNGKINGNGTMIWNNGNRYDGCWEDGLPKGNGTFRWADGSCYVGVWSKDPTEQTGTFYPSDSSETEMSSDPQEIFAEYLNDFVLCSGERILIYPSQKMISWSGLDLDSPQKQTISKKGNDGNRRLRRTSVDGKVSNSSFESLNDGSNEASSRNGIGTHQLQDLDSKGKRQSNLRFQPAKRQGITISKGHKNYELMLNLQLGIRHSVGRPAPATSLDLKSSAFDPKEKVWTKFPPEGSKYTPPHQSSDFRWKDYCPVVFRTLRKLFKVDPADYMLSICGNDALRELSSPGKSGSFFYLTHDDRYMIKTMRKAEVKVLLRMLPAYYKHVRSFENTLVTKFYGLHCVRLTGTSQKKVRFVIMGNLFCSEYTIHRRFDLKGSSHGRITDKPEAEIDGTTTLKDLDLNYIFRLQKIWFQEFCRQVDKDCDFLEQERIMDYSLLVGLHFQETSYREVSTPGSHHSGSNPDYEADGQLRADKEQDSARWASISLGINLPARAERTVRQGEPEAQLVGEPTGELYDVIIFFGIIDILQDYDISKKLEHAYKSFQYDPSSISAVDPKQYSKRFRDFIFRIFVEDT >KGN49621 pep chromosome:ASM407v2:5:990579:990913:1 gene:Csa_5G027950 transcript:KGN49621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEKIVFPSSGKSSWPELVFVKSSVAVHWIERDRPDVKPVVLLAGSPVTEDLRPNRVRIFVNMNDRVVEVPRTG >KGN50346 pep chromosome:ASM407v2:5:6649202:6650725:-1 gene:Csa_5G168830 transcript:KGN50346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSSKIIVAAAAASPRLSLLLPHTYLFPFPNFGFRSSHWSAYEKNQEDQIWPTMVPNHLISRDLSEDYWVPHPETGVFGPPKAHHNSSTVPNDTSAGGNEGSVLNLKAWFRHNGLEDLEKPHTL >KGN50666 pep chromosome:ASM407v2:5:9231729:9234483:1 gene:Csa_5G207950 transcript:KGN50666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISGLTNGSCFWPKVVNVRGRHRFYGLNRDSSYIGRNWPCQKKKITCSISELENVYSRPEHHRKDKVLVKMCGIASARDAALAAESGADFIGMIIWPNSKRSVSLSVAKEISRIARQNGAQPVGVFVDDDVETISRAADACDLELVQLHGDSSRAALPLLVKDHRIIYVLNANEDGSLLNEISTEDCSLVDWVLIDSAKGGSGKGFNWAQFEAPIISSKQGWLLAGGINPDNVSEALTILKPQGVDVSSGICGSDGIQKDLIRIKSFLEAVHLVKY >KGN51098 pep chromosome:ASM407v2:5:15898078:15901273:-1 gene:Csa_5G440120 transcript:KGN51098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNWRERPRRNFRYQKPPWSAIRNYDHDPPLEHWRDGIPLWEKKFCAEIGCVPWGKIVDSKNFIYCHSNVVKWDDSACEEAFHNAKRRYWAEINGHQSDIHLPDPDKHIEQIDWSPDMDSKMIEELDWAYYTPNMKQRDDWLECKNKRTRNSNSVWTEGHIEGPGHEGNPWGHDNQLTDKTGQGCRRWNFSDSGNVNNDGNPWDSSINQGNKGMVDSIWKVEKNQVATSWKNKEFASNARGVVDNARKDKQHQGGTASWKTEGFASDARNNSSRRQWHLLGNSNFDHYNRPGNSSYIHNVRHLPDRTQPNIHRNNQDLKYQYGKRPKDTEFDYYGR >KGN50780 pep chromosome:ASM407v2:5:10742399:10744265:1 gene:Csa_5G258210 transcript:KGN50780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLWRKAAGAIKDRNSIWLASLSRRTSYRHPDLEKAIIRATSHDGAKIDYTNARRVFEWIRTSPVYLKPLAWGLSSRMEKTQSWVVALKGLMLIHGVFCCQIPSVQRIGRLPFDLSGFKDGHSSASKTWGYDAFVRSYYAYLDQKSAFMSSEAKNLKKALKPTLLEELIKLQSWQSMLDMLLQVRPLDENMKVDLVLEAMNNLIVEVFDVYSRICSGIAQALLKIYASPAKTEASMALRVVQKAATQVEDLSQYLEVCREMGVLNASQCPKLENIPKEDIKELEQIINGSANNYNNDDDDGKRENCEDFGEEEINEEIIMSEIRKKGSNNKRVLKTVITDKWEIFDGDCSSRTTLPNQHHFPNYYSSHLSVVSLPNHKQDLPDLITF >KGN50949 pep chromosome:ASM407v2:5:13663141:13664128:1 gene:Csa_5G365160 transcript:KGN50949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQSWKLSCISSSESACLEGDEEGEESIFLSLSPPGQRTSFSSKQQQTIYPNSSLQNPNNDNNHENGAAVTVAMHIGPPPALLSSTPAAVRPLDYWIPTPAQILVGPTQFSCSVCTKTFNRYNNMQMHMWGHGSEYRKGPESLRGSKAASSMLRVPCYCCAEGCKNNIEHPRSRPLKDFRTLQTHYKRKHGAKPFSCRKCGKSFAVRGDWRTHEKNCGKLWFCICGSDFKHKRSLKDHVRAFGDGHAPHTVQLCEVEDDNDDDDWESDHHHHQYLNGSPQTWSIYH >KGN52403 pep chromosome:ASM407v2:5:25829310:25832927:-1 gene:Csa_5G631530 transcript:KGN52403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNPRVTITLGRSGQVVKRGVSSSSAADFAQSYVDSGPEPRRKRYIERSADNAEDLFSSTNKRQRGVDFHSSLSGGERRSARGYVSSYSNKAYRVGQNDLRLKLMRKNQSKKIGIGEEHSRMDLHNRFSKNSLPSTSGDAVHRRHEFRGSNLIRQTHSRESADDLYLEHSQRKSTVSYVDRMRVRSPDGVMKSSMGRSPPKYDAEFRRGSSMREADQSRDEWFLRNGIADSYRTVDSASAKMKAPLPVSGRAAKDHTAISGSMQRSSPMGELPLSVAGLLNSLGLGKYAIHFQAEEIDMTALRQMEDKDLKELGIPMGPRKKILLALLPRSKQPLPPSLSMARA >KGN50386 pep chromosome:ASM407v2:5:6863328:6863716:-1 gene:Csa_5G171680 transcript:KGN50386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIDPATHQPLKKQQTESSSQGSSTDSSVAITTPLSEANSTTKEQPLSSHIWMEALEDESWWNFPTWGSEIYSDFGLYSSEDSLNRLLDYYKELGDEDFELSSLGDFNKHLVSIAEKN >KGN49773 pep chromosome:ASM407v2:5:3042307:3042646:1 gene:Csa_5G116170 transcript:KGN49773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTSKMTPTRRTRNNTLHRRIGDLFEVVASKNCGVSNEWRCESLKNIATTDGECKSSNSDSDIIIVEVGVANPVLPILSPR >KGN50422 pep chromosome:ASM407v2:5:7128727:7129755:1 gene:Csa_5G173490 transcript:KGN50422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTPTLYFKEMLIPSFSILLPSLLLLLPPIFIILKRIKSPSSKKLPLPPGPNPWPILGNLLQIGQNAHISITQFANIYGPLISFKLGAQRLVVASSPAAATAVLKTQDHLLSARYIFQITPDRALHDQHSLVFSSECGDVWKKLRSICKVNLFTAKAFESQAILREKKMKELVEFVESKQGSVVEIKDFVFTSAFNILSNLIFSMDLLDFVGDGFNGIKGPFKKMMDLGLTPNLADFYPLLRRFDLQGLIRKTVIYKNEVNSVWGILIKERREIRCEKGSASNDFLDVLIQSGFSDQQIDALIIVSTKSHSLTTLKYHFGHSFYQHLFQQYVSLNSLFFNFF >KGN51543 pep chromosome:ASM407v2:5:20263640:20267696:1 gene:Csa_5G577340 transcript:KGN51543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTGSKSEGPSTPALRRDPYEVLNVTRDSTDQEIKSAYRKLALKYHPDKNGSNPEASELFKEVAYSYSILSDPEKRRQYDSAGFEALDASGMDMEIDLSNLGTVNTMFAALFSKLGVPIKTTISANVLEEALNGTVTVRPLPIGTSVSGKVDKQCAHFFGVTINEEQAQTGIVIRVTSTAQSKFKLLFFEQDINGGYSLALQEDSEKSGKVTSAGLYFLHFQVYRMDSTVNALAMAKDPEAAFFKRLEGLQPCEVSELKAGTHIFAVYGDNFFKTAAYTIEALCTKNYEETTEKLKDIEAQILRKRNELRQFETEYRKALARFQEVTNRYNEEKQAVSFHKSFL >KGN52277 pep chromosome:ASM407v2:5:24777415:24777798:1 gene:Csa_5G623410 transcript:KGN52277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLLRHHPTPLMLHRRRRHPTPPTLHLHRHHPTPLTLHLHRHHPAPLTLRLHRHHPMPPTLRLHRHHPAPLTLRLHRHHPTQLTLPHPHPIQPTPHHHHLIPLLTYHLHRRLTWVHLHHRRQRLMEH >KGN50074 pep chromosome:ASM407v2:5:4874047:4876444:-1 gene:Csa_5G152890 transcript:KGN50074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPASTTTTTTTNASTNSISSSSSSSFPNHPTKLKRRKCRDITVSAKSDSFSSSSSNSSSGFQGFSHRKLDRPVVIVSSENSWCCPASKPSSTPPALPLPPPPPPPPPSDSHRRVTSPTLANGNRATDSVISPSDSPSAFRIRFSPGTLSPVMDFTTSSSGAAFNGHSGSSHDSFPSGFSKFNSALTAGLLNPMSPPPSTDKTRSSPTLFEMMASEPDIHPRTSQIPPQIASVSVHKNQVPVQDKQALMMQRISEILGSRSPGNQFNDASSSDIKLTLSSRDGISVSMSVHRQILVAHSRFFALKLSERWAKQQRSPSPYIVEIADCDDVEVYIETLKLMYCRDLRKRLMKEDVPRVLGILKVSAAIGFDAGVLSCLEYLEAAPWAEDEEEKVASLLSELHLEGVAAGEVLKRVSVEVTNGPEDGNDNEEVLLKLLHVVLEGKDEKARREMKGLVSKMLRESSSQSDLRKESLYSACDGCLQLLRNQFMRAVGSDFHGVGEIAKQADNLHWILDILIDRQIAEDFLKTWASQSELSATHSKVPAVHRFEISRVTARLFVGIGKGQLLAPKDVRYQLLQTWLVPFYDDFGWMRRASRGLDRHLIEDGLSNTILTLPLAWQQDILLAWFNRFMNSGEDCPNIQRGFEVWWRRAFWRRSGEQERPRPIRIATPSTENS >KGN50149 pep chromosome:ASM407v2:5:5364468:5369554:1 gene:Csa_5G155600 transcript:KGN50149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGTKRSALSDSIPDTYDSVFGNKRILAGSSFDIQRAEPSQRQKTKTPTLDVRRAESSQQHVRALNTQFASWVQSQLANHPDELWEDGIRDYLTHASSIMEKFSDIVEWLKANAVKGESSPSTGSPTAEKKTEIEHKNTDVKLFQGQTNFAPISATTSSATSWTSGAFFNHQTPSIFGLQSSVPANGVSVGQTAVPTSESVDDENDLEQPSSPSVKKSEEKGVVVVHEVKCKLYVKSTDPADKDAWKDKGTGQLSIKCKEGISKGTKESKPTILIRNDVGRLLLNALIYPGIKTNIQKNSIVAIFHTSSEGNTNDNSDKDKVVARTYLIRLKTEDDRNKLATAIQECTPAP >KGN49595 pep chromosome:ASM407v2:5:666617:667036:1 gene:Csa_5G017790 transcript:KGN49595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFQRLMPLLLVLLLLTSFNVSMAKKKKAKSKTEKPDLPDPNNPIVSFEKFRVEIHNDLNMYLLDSRCYSKDDNFGLHVLFPDEQQNWSFKGNWDDTTTFHCRLEWEIGYLEFDSFKSDPAFVTDFCCNKNLSQKQGHF >KGN51114 pep chromosome:ASM407v2:5:16075474:16077133:1 gene:Csa_5G453710 transcript:KGN51114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNELAVSTNLKVESPSSEPIDDPQLPSSDLDKRIRATKKKIRMAEAQLQKTPLQDMKPEQANKLSKLETWRSELMLLEEQRKKAPGLLQPKGFRLAPSKV >KGN52119 pep chromosome:ASM407v2:5:23820220:23820891:-1 gene:Csa_5G611025 transcript:KGN52119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLQRERGGRGGGGGGGEGEGRGRVRGRRRIGNGMQGGIQKVKKEGTIEEEVLEVLEFVERERGNGG >KGN50783 pep chromosome:ASM407v2:5:10855190:10857736:1 gene:Csa_5G261720 transcript:KGN50783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDPPTTSSEPPHHHHQQHLPRLPVIHSGATGGTRMNTAAATSSSAVIVREYRKGNWTLQETMILITAKKLDDERRNKANLGPSTVDPAARKGGELRWKWVENYCWSHGCQRSQNQCNDKWDNLLRDYKKVREYESRACDQQIPSYWKMEKHERKDKNLPSNMAFEVYQALNDVVQRKFSQKPSNSSNTGILLLPLPAPPPSALLPPPTATNSPQLSESSSSGTESSEKKEKVEAKRRKMEDNIGRRIERSVSALGQTLHSCEEQREIRHQQLMELRKRRLQIEETRNHIHRQGIADLVAAVANLSAGTYTLFYILFLCSLFLSHILPTLFLLSMVGKNLPSY >KGN52329 pep chromosome:ASM407v2:5:25128684:25129272:-1 gene:Csa_5G623915 transcript:KGN52329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVTGKKQAKRSKKSAGAGFKVVYISSPMKVKTSASKFRSLVQKLTGQDSDAERFMEMASGGSDGNGEWWASASFVDHQVMGEEDVMVGGKVEAGVGGVSPLDELAFLQNLDGNYAEMLNGFWHDSSTAESEMPGLSALL >KGN49562 pep chromosome:ASM407v2:5:142169:145711:1 gene:Csa_5G002570 transcript:KGN49562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIVYVQRERESESMAVAEPSSCCRKVLITGVSKGLGRALALELANRGHTIIGCSRDQVKLDSLQQQLSTTSLNQHFFFKLDVKSDNNVQEFAQFVAKNNLVPHILVNNAGLAHKSAKIWELDAEEFDNVIDTNVKGIANILRHFIPLMIQNNNGIIVNMSSGAGRSAHEDFAPYCSSKWAVEGLSKCIAKGLPDGMAIVALNPGSIHTDMLHLCLGDSAAQFQSPHKWAIKAATMILDLTPKDNGESLTVNNPRELSTA >KGN50858 pep chromosome:ASM407v2:5:12223610:12225380:-1 gene:Csa_5G290670 transcript:KGN50858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVPMVTLSNGQLMPVIGMGTMSMVGPEATKPAVVEAVKAGYRHFDTAYSYKSEKALGEGIREALELGLIESRDEVFITTKLACGFADPSLVLDGIKASLRWVYEQGVSIVAKSFDKERMRENIDIFDWSLSEDESDKIGQLPQHKAVVFANVYGQHDVVLHLDAEL >KGN52676 pep chromosome:ASM407v2:5:27718240:27723216:1 gene:Csa_5G650410 transcript:KGN52676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEDMKVSLDKLPVKRLEAIEENGLERFPSDVGYEEKRLSLIRRIDFAWAIEKDDDKKKQKKSSKESSTPWQWQSMIENLQLAHQELSVIIDLINTVEANDAVTVAGMTRPKPLPNEVLADLGVSVATKLQCFRHLGKYFKQSAKGLERQVAREARFYGALIRLQQNWKVKRQRVAAPAPANEGFTIDLFDNSSCDPSSVFRPSSSTIRVDHDSSGMLAINLPPNSCHSLRFGFLSGCNVENPLERDKNESTNPSNQSSVNREKEFTNDDEYIKETNSLLRQVHHAIFSEQVFDLVNQEAFNPSVGINVTGIRENYLQLSIDQGTSVFISLVPSGQSSQTVEGANSEILENASLPFDSLDGIELPDRSDPLEKKLRNPNHITFEIYLQQIFHELVFVKSNDRPISSLSRQSGQVSNDGSGLLGHFCLSLAHRMFANNVLMELENVVWKVPFLQLISHPTWNSRKSSWTFFMEVPWSILHPNSIKARTSDGYQMNNVTKTQFLTKVVVNDDCITIEGEGAPNVVGLFNGNSKDIYSTNRYSCDLADLPVIILLQVASQIILWLHEEALIWGIKANRDFLSLSFELEQGETLRLVAHVDPEDPQGCLSWWLVMDDGLMEDRKLNFETSDVVPEYRKFLGHLSLEVLHSTLMDLVSLCSGVGGSL >KGN49985 pep chromosome:ASM407v2:5:4291893:4295284:-1 gene:Csa_5G148560 transcript:KGN49985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEIAKESFDVNQTVVKDSKESDNNPQWKRLQPWTKQITVRGVIASAIIGSLYSIITMKLNLTIGLVPNLNVSAALLAFVFVRVWTKILQKVGFVTKPFTRQENTMIQTCAVACYSITVGGGFGSYLLGMSRKIYELSGVNTEANSSYSVKEPELGWMTGFLFVVCFVGLFVLIPLRKVMIVDLQLTFPSGMATAVMINGFHNQGDEMAKKQVKGFMKYFSFSFFWALFKWFFSGKQMCGFSQFPTFGLKAWRQTFYFDFNSTFVGAGMICSHLVNLSLLLGAILSYGLMWPLLDLLKGDWYPDNLDENDMKSLYGYKVFLSVALILGDGLYNFVKTIAITIINIYKVKKAKKGLEHNGGKPTTDKNQDEIFLRENIPLWVGVIGYIILAILSAIVVPQMFLQLKWYFVIVAYILAPSLAFCNAYGAGLTDINMAFNYGKIALFILAAISPKHDALIAGLAGCGLITSVVSVGCTLMQDFKTAHLTSTSPRAMFVSQVIGTAVGCIMAPLSFFLFYNAFDVGNPKGEFKAPYALIYRNMAILGVEGVSALPKHCLQMCCGFFGFAIGVNLVKDFVGGRIGKLMPIPMVMAVPFLVGGFFTIDVCLGSLIVFIWGKTNKKKAELMLPAIASGLICGEGLWAIPSSFLGLIKITPPICMKFVPS >KGN50359 pep chromosome:ASM407v2:5:6692691:6693452:1 gene:Csa_5G168955 transcript:KGN50359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEITTQFGFVVLAFISFFSSLSYSLPLSTNGRWIVDSATGRRVKLVCVNWPSHTQSMLIEGLDRRPLKDLANEVVRLRFNCVRLTYATHMFTRYANRTVEENFDLLDLRAAKVGLAFHNPFVLNMTIFEAYEAVVDVLGTSGLMVIADNHISQPRWCCSLEDGNGRTRGYSV >KGN52420 pep chromosome:ASM407v2:5:25953859:25958318:1 gene:Csa_5G633180 transcript:KGN52420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLPLPSIFEQGRKIHNAATESALDQDAVRKGCEALRKCEDMIGKLGLFSANETKEDISTGNLKYILVPFYLAELTEKIVQDDRIPLLRASQAKLKEFISFCETMELVPQEELQTFTEGNSLADRRARKIARFKRQKAAESKLHEIKERKERRGRSSKASALSAPIEAGEEDVLDDDGEEEREAWLTTINLAICKAFDLLEMLKKEEEMLSSIKEKEEKDGNKNFSREILDDRMKRAEAWHRDGVVRARYTKPSPPITCATFAQDVLEGRANVSQAHEHKHQPMIFGPASLVNGPLTSERERLAAQVFQPGFRLPTMSIEEAGLREMEMMNKWQERTAKYIEEANSAWHKDNTRKPGPSVEDEDEDDDAAQEKARAWDDWKDDNPRGAGNKKLTPCG >KGN52638 pep chromosome:ASM407v2:5:27460302:27462564:1 gene:Csa_5G647610 transcript:KGN52638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKRNLKSVLRRTFEELKLNGEYYFFPLGEISKRWFTLQVKRPSVTIKVPPNLHKNKKWMGLAFFAIFASDINSNISQSFSYQLEFDEYPLGRPSIIRLHDGAFSDDSRQLWVSYEPREVYPYRLNKWRNLRVSFLPSCSQTKVILCGARLLYKEDLDEFVDTIIDSVLGCSINLHEFYDGVFLNGMLSLIRSQKYDPNIEEEEEEEEDEDEALMETKGGNYASTSSSSLVSTTKGRLDDSNDYYYKLKQCLHVFFQRSLQNRYNTAFDFIVRGHGVPQLFSHQPERNRASIQLPPTL >KGN50343 pep chromosome:ASM407v2:5:6628211:6630165:-1 gene:Csa_5G168810 transcript:KGN50343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLAPSLFSRQHLNSSSKNLYFAISSDQAKLLSLLESCNGTSKISQIHCYMVKTALDLDPFTLSKLLASAILDIKYAASIFREIRNPNLFMFNTMLRGYSNSNDSKQAFVIFNDLRNQDFLPDRFSFITTLKACARELAIHVGKGIHGIVHKSGHGLFNDVNNILLHFYGACEQIKDAHKLFDEISQRNDLVSWNTLMDGYLRASQPIVVLDTFRQMCRRGLIANTGTLLSVASAVGDVGNTMDGRSLYGHCIKLGLGSNLRVVTCLIDMYGKFGDLDEAGTIFNEVAEKDVIVWNCLIDNYAKNGLIKKAVASLHLMKLEGMKPNSSTLAGLISACATSGAVSTGKYLANYADNEGLDLDVVLGTTLIGMYAKFGFLDKAIDIFDKMKNKDVKTWTAMITGYGDHGQTRKVMETLYRMEEEGFRPNEITFLAVLNAYSHGGIVAEGMKCFKRMVYEYGITPKIEHYGCIIDLLGRAGLLEEAHKLIKSLPSTKGDITGWRTLLAACRVYGDVELGETVKRKLVDLNDEHPADSMLLSSTYAIAGRLSDYTQYQLRKEGNKVKEANGSSQVQIEKAIKEAGCSVIEMESLY >KGN52379 pep chromosome:ASM407v2:5:25694400:25696048:1 gene:Csa_5G630820 transcript:KGN52379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDMDSVHLLEISFESENKLEPERKTELAKKLGLQPRQVAVWFQNRRARWKTKQLERDYDLLKSSYDSFRSSYDFIAKENERLKAEVASLTEKLQAKEVVESSFHAKNPDPFLEDQLLVPVVQQSIKIEDHHSCRSNGSAVLDEDGPHLLDSGDSYILSNDYDGCVLPTFGVNSEEEDVSDDGQGYFSDVYTTVDQQTHEGEPLTWWDWTS >KGN49680 pep chromosome:ASM407v2:5:1999430:1999808:1 gene:Csa_5G060150 transcript:KGN49680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVGVERGRNGGKGRKGEAGAVAAIFFIWLILVVSRMRLSSAASKEVNGRMLTKSTVLHRKTHIFETEEVFKPPAGFKVHGGGENDDVTDIVYEDDKRVIHTGPNPLHN >KGN50167 pep chromosome:ASM407v2:5:5530232:5531586:-1 gene:Csa_5G157260 transcript:KGN50167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISKTRPITNLPPGPKPLPLIGNLLALGDKPHQSLANLAKSYGPIMTLKLGQITTVVISSSAMAKQVLQTHDQFLSSRTVPDSMTTHNHDLFGLPWMPVSPLWRNLRRICNTQLFAARILDANENLRRGQVAELVTEISRCALKGNETVDFGKVAFVTSMNLLWNTIFSEDFVDPNSKIGREFKVAVRGAMEEAAKPNFGDYFALLKRFDVQGIKKKQSVHFDRIFDVLEQMIDERIDEQKKSCGSNKIKHDLLHYLLNPGDENSEIKLGRIEFEHLLALVKKESSASKEYDFQI >KGN50531 pep chromosome:ASM407v2:5:7925775:7929546:1 gene:Csa_5G180900 transcript:KGN50531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPIRGFKRKKKVEKKVDQNVFASASLSSQLQPLDWWDEFSQRITGPLSQSKNTKFESVFKISRKTFSYICSLVKEVMMAKTSSFTDLNGKPLSLNDQVAVALRRLCSGESLSNIGDSFGLNQSSVSQITWRFVEAMEEKGLHHLSWPSTEEDMDKIKSKFKKIRGLPNCCGVVETTHIMMTLPTSESANGIWLDREKNCSMILQVIVDPEMRFCDIITGWPGSLSDALVLQSSGFFKLSQDGERLNGKKMKLSESSELGEYIIGDSGFPLLPWLLTPYQGKGLPDYQAEFNKRHFATRLVAQRALTRLKEMWKIIKGVMWKPDKHRLPRIILVCCLLHNIVIDMEDEVQDEMPLSHHHDPSYRQQSCEFVDNTASISREKLSMYLSGKLPP >KGN50006 pep chromosome:ASM407v2:5:4412831:4414734:1 gene:Csa_5G148770 transcript:KGN50006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCTSSKRIEATVDVYRPAPASFAVFDINAIEEPWVKVMEELPPPPEEPPVKEEKSAIVPVPILEKLSSFESEAPHSWDEVSKALEDLKPALRSVTEPPPTNPLAVTAATPAVVVAKQPPRKSSSFHTVAELDAKLSPSSKPTAESKTAGLRKTATFRPGPEKPEQRTGDPDRFKSVKENIFLVRDREEREREGQKPVRFDPLSEFPEKCPPGGAETVVLYTTSLRGVRRTFEDCNRVKSVLELQQVVVDERDVALHGEFLKELKELLGDEATVPKMFVKGRYIGGADEVVALNEMGKLRRILRRAAVETGAGRQGCEGCGGARFVPCYECGGSCKVIKGDTKERCGACNENGLAHCPACH >KGN52418 pep chromosome:ASM407v2:5:25905573:25907157:-1 gene:Csa_5G633160 transcript:KGN52418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFQGLSLFFTFTLFLIISLFPLISSSQPKPVDGLVFLKNLKGCKKGDKVEGIHQMKKYLQHFGYLNNDVHIRSESSDDEFDEILEFAVKTYQINYNLKVTGTLDNSTLMQMSKPRCGVADIINGKTSMKSGKRFVNQHRKISGHFHEVSHFAFFEGNLRWPDSKSHLTYGFLPGTPSEAISPVNRAFTTWAANTHFSFSQESKYENADIKISFEKGDHGDGFPFDSVGGVLAHAFAPTDGRLHFDAVEHWADGAVPKSYDMETVALHEIGHLLGLHHSSVEGAIMWPSIMGGATKGLHADDIEGIKVLYTTS >KGN49700 pep chromosome:ASM407v2:5:2260106:2264090:-1 gene:Csa_5G077190 transcript:KGN49700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEEEGRKEEDGKSTSLVVLITGVSRGLGRGLALELAKLGHTIVGCSRNHNTLHSLQTQLSSISTKQHLLLNLDVTSNSGVEDLLQAVLQTNLIPDILVNNAAIANEKSKFWEIPQKDFDSVIDTNIKGTANVLRHFIPPMIAREKKIIVNMSSLWGRIGAPMFSSYSASKWGIEGLTKSIAEELPKGMAIIALHPGTVNTDMLHIAFGDLASNYQNPQQWASKAARMILNLTALDNGASLTVEDPEALCSE >KGN52488 pep chromosome:ASM407v2:5:26498128:26503510:1 gene:Csa_5G637780 transcript:KGN52488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCVSTPSKNIKTRKKLHHQFGKYGRKISSSIPRAIIKRKSNAGNRVTDYAVSEFVHMDLESGATTTCRRSEVSNSTFHLTQLQWLHSQYDANTIGQDEAWFDSVSVLDSDSDDEFSSLHGDGFPSMGNTTGNISNGQVVQYERSSCFLDNKCKYEEYHESYLKIDGGKPESIMNKDEYGFGLMGSQGNEISSKKRSMLDHSYGSFKGLKEDWRNSVEKNQETIIKSALPRMVPSISFNEKILNPQIPQGHKKQSAVFRLSFKRRSCDGEETIEKCQSKKYLFRPRAGHIPCFSGEKTPPGSWSEIPPSTFKLRGESYFKDKKKYPAPNASPYVPIGVDLFMCPKKINHIAQYLELPSVKSDSKVPPLLIVNIQLPIYPAAMFLGDSDGEGMSLVLYFKVSEKFDKDISLHCQESIKKLVDDEMEKTKGFTKDSTVPFRERLKIMAGVVNPEDLHLSSTERKLVSAYNEKPVLSRPQHNFYKGQNYFEIDLDIHRFSYISRKGLESFRERLKNGILDLGLTIQAQKPEELPEQVLCCVRLNKIDFIDNGQIPTLVTLDEG >KGN49900 pep chromosome:ASM407v2:5:3723648:3725449:1 gene:Csa_5G139780 transcript:KGN49900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWILFTIVSLFISFLVKLFVFPSRHGPYKLPPGPFSLPILTYNFLWLRQSPLDLESMLRTFINKYGPILTVHVGPSPAIIISDRSIAHKALIQNGAIFADRPRTLSITRITTSAKFDNITSAPYGPIWRLLRRNLAMEMFHPSRVRAYSRARKWVLDILVARLSAQPGSEGGAVVVEHFQYSMFCLLVLMCFGDKLNETQILEIQQVQRDILVNLQRFIFLNLWPKLMKVLLRNRWKEYLQIKNRQRKVLVPFIKAREKIKEERAKKEGEENEEFVVSYVDTLLDLQLIDEKRKLNNEEIVNLCSEFLNAGTDTTATSLQWIMANLVKYPKIQEKLFQEIKGVKESASTKKDGLREEEEVKEEDLGKLPYLKAVILEGLRRHPPGHFMVPHAVKEDTTLENYFIPKNGIVSFFAVGMGLDPQVWEDPMAFKPERFMSDDGGKAEYSTTFDITGNKEIKMMPFGAGRRMCPGTSLAILHLEYFVANLVWRFEWKAVEGEEVDLSEKMEFTIVMKKPLRAHINERF >KGN49707 pep chromosome:ASM407v2:5:2342394:2345528:1 gene:Csa_5G077750 transcript:KGN49707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNFGSPRVGNRQFAEIYNKKVKDSWRVVNHRDIIPTVPRLMGYCHVAQPVYLAAGDLKDALENVELQADGYEGDVIGESTPDVLVNEFMKGERELVEKLLQTEINIFRSIRDGSALMQHMEDFYYITLLENVRSNYQNVGNSQSDQQA >KGN50099 pep chromosome:ASM407v2:5:5057389:5057981:1 gene:Csa_5G153140 transcript:KGN50099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAHVVDFNLDFIWLFILINCVGYIIFATISRKLRGKRGDRKSEKAKEQKFLSASFALQIYLKNKQISKSTPKNSTLSESRYGSRFTHWFSSPDWC >KGN50133 pep chromosome:ASM407v2:5:5267755:5268268:-1 gene:Csa_5G155440 transcript:KGN50133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFYPKCMGTPIFFFLFVVSLFSFHFGLSNAASFQVHENGPFTDSLDDNNDYWAFVMNPNTFSSSIWIGKKCKFDGSVCSKCGTGDCSNGDGNDDMFLQKKAFVDKGGGSFFRWRYYANDIAKYVLDKLNSNIQTSYSLVKLLIFVV >KGN51830 pep chromosome:ASM407v2:5:22181512:22183596:1 gene:Csa_5G603270 transcript:KGN51830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALDGSGNGDDFSVGSFFSIKTTLGDEFQGQVITFDRPSNILVLQEGSKPGPRRNIRLLKANYIKEFSFLGHGEDPLDLKNCYLDLNTLRAREELAIRQAEAEAERIGVGVTSEAQSIFDALSKTLPVRWDKTVIVVMNEVRVSSPYLPESVSGGTPAANERVKKVLEWERKRLQVRGGGQ >KGN52301 pep chromosome:ASM407v2:5:24926693:24928245:-1 gene:Csa_5G623650 transcript:KGN52301 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent RNA helicase DBP7 MKTACIFLGILLSFLLLVNFCYAAEESVTEFQSGKGAAGVTAKNGEEEDEKFKFLLHHKPYYKKPFLKPIPYKHPFLKKPIPVHPFHKKPIVFEKKPLPVHPFFKKPIDHPPVFHTHP >KGN50695 pep chromosome:ASM407v2:5:9614598:9619111:-1 gene:Csa_5G217140 transcript:KGN50695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQSTISTGSDPPPFDPTEPSTPIAFPIKTLEDLESRAYFKSFHYPFNKSTVALQPLPLPDRRRMLVCHDMAGGYKDDKWVQGGTNPDAFGIWHWYLIDVFVYFSHDLVTLPPPCWTNTAHRHGVKVLGTFILEGGGKDVRDTLLLTKESAQMYDSVTIDGKLDWQNQLNERNKPFFDICDGIYVNYGWKEDTPKNSAAAAGERKYDVPGSFLHGTMKAKQ >KGN50155 pep chromosome:ASM407v2:5:5425321:5428901:-1 gene:Csa_5G156150 transcript:KGN50155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEPGLGMMTAAGGSSGGLSGDVSVSGEGVHNSQLKAEIATHPLYEQLLSAHVACLRVATPIDQLPMIDAQLSQSHHILRSYASSQQHHQNAHSLTPHERQELDNFLAQYMIVLCSFKEQLQQHVRVHAVEAVMACREIENTLQALTGVSLGEGTGATMSDDEDDIPMDFSLDQSGADAHDMMGFGPLLPTESERSLMERVRQELKIELKQGFKSRIEDVREEILRKRRAGKLPGDTTTVLKNWWQQHSKWPYPTEDDKAKLVEETGLQLKQINNWFINQRKRNWHSNSQSVTSLKSKRKR >KGN50177 pep chromosome:ASM407v2:5:5566998:5568925:-1 gene:Csa_5G157360 transcript:KGN50177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLGSTNSLYKYQFTKMALHKWFLKMANYSHLFLLFVTFFVPRVLAGTNYTVGDKSGWNLGVDYFSWTSDKTFFVGDNLVFKYEKGKHNVLNVDVSSFSQCAAPKDQPPLVSGNDVITLTTPGTKWFICSIPHHCNSGQKLVLSVDTAPATPGEAPPAPPSAIQTPPVPPVAVPPPPPSSSVPVIVPAQPPSLPPASPPSVQSPGQNQTAPHLPIAPMPTQNIPPSVSPATPSPTPVAPPPSAAVKFAVSGHLGFLAMAVSVLAGIMI >KGN50521 pep chromosome:ASM407v2:5:7880401:7881485:-1 gene:Csa_5G180330 transcript:KGN50521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVSIGLYLAPHNCLSARIERSRMSTQPRAGRGSGIHIQTQTQIESESIAIDKKRKKILYAEADKKFVDFLFTILALPVGTMVKLVSSSSNPDNKPMAAAGSLESLYRSVGSLSLTILMHTTTKRAS >KGN51420 pep chromosome:ASM407v2:5:19012554:19012886:1 gene:Csa_5G534980 transcript:KGN51420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPLQTLKNRAGKVLLGHKEPSTEINLHIPSQFSGLVMKLVEKLQVAENTKREVEEKNMEDAERIRKKKEEERALCEERARKEEERIKEDEERRNREGLHKAQTMKKKKE >KGN49726 pep chromosome:ASM407v2:5:2601642:2601914:-1 gene:Csa_5G090870 transcript:KGN49726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFPLSANKLNEKLNFQGCQMESTALVPMKLLFLSHSFPSRWVKPSHRLNEELLTLPGECYISFFHNDSTEERAAATGINEQGSSFSPE >KGN50583 pep chromosome:ASM407v2:5:8393416:8397005:1 gene:Csa_5G187320 transcript:KGN50583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWLSRIFRSGGNRGGGGGGGGSGGSGGSGGRHPQQLLGDQENMVWRAPARSSDDPSRAQKEKEELDHAIALSLAEDVKKRNRSRWRTENDEAIARELQDKMNLSPYPSFAPPQYHPTDYSHRYCGGCNRVISYGNYLGCMGTFFHPGCFCCRSCGYPITEHEFSLSGKDPYHKSCFKELTHPKCEVCHQFIPTNRAGLIEYRCHPFWSQKYCPSHEHDSTARCCSCERLESWNARYISLGDGRSLCLECMESAIMDTGDCQPLYHSIRDYYEGMNMRIDQQIPMLLVERQALNEAIVGEKHGFHHMPETRGLCLSEEQTVTSILGRPRMGGQRRLIGMKTQLQKLTRKCEVTAILVLYGLPRLLTGAILAHELMHGWLRLKGYRNLNPEVEEGICQVLSYMWLESEVMPGFKSGPSTSTASSSSSSSSSHYSLSSKKGGRSSAENKLGEFFMHQIANDASAAYGEGFRAANAAVNKYGLRRTLDHISMTGSFPV >KGN50165 pep chromosome:ASM407v2:5:5519244:5521507:-1 gene:Csa_5G157240 transcript:KGN50165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLPSLFLLLLLNFHVYEAQVTELPFSNLHTYIVHVKKPEVVDDLESWHRSFLPTSLENSEEQPTLLYSYRNVMSGFSARLTEEHVKAMEEKDGFVSARRETIVHLHTTHSPNFLGLNRQFGFWKDSNFGKGVIIGVLDGGITPSHPSFVDAGMPQPPAKWKGRCEFNFSACNNKLIGARSLNLASQALKGKITTLDDSPIDEDGHGTHTASTAAGTFVDGAEALGNAFGTAVGMAPLAHLAIYKVCFGESCSNVDILAGLDAAVEDGVDVLSISLGGPPVPFFADITAIGAFAAIQKGIFVSCSAANSGPFNATLSNEAPWILTVAASTIDRKITATAKLGNGEEFDGESLFQPNDFPQTFLPLVFPGEKNETVALCAEGSLKNIDVKGKVVVCDRGGGIARIAKGVEVKNAGGAAMILLNAESDGFTTEADAHVLPASHVSHTAALKIKAYINSTTYPTATIVFKGTTIGDDFSPAIAAFSSRGPSLASPGILKPDITGPGVSILAAWPFPLDNNTNTKSTFNIVSGTSMSCPHLSGIAALIKSAHPDWSPAAIKSSIMTTANITNLEGNPIVDQTLQPADLFAIGAGHVNPSKAVDPGLVYDIQPDDYIPYLCGLGYTNNQVSLIAHKPIDCLTTTSIPEGELNYPSFMVKLGQVQTFSRTVTYVGSGREVYNVVIEAPEGVSVTVRPRKVIFSALNQKATYSVTFKRIGSISPSTEFAEGYLKWVSAKHLVRSPISVKFV >KGN49830 pep chromosome:ASM407v2:5:3399981:3400856:-1 gene:Csa_5G139100 transcript:KGN49830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFGGDKHHHQHLLHLNFHFHVHFPHFYHHRNKVETPKGCLAILVGQEQQRFFIPVIYVNHPLFVQLLKKAEDEYGFDQKGPISIPCPVDDFRTLQGIIYHDHHHHHLPVSCFRDSSHSHC >KGN52391 pep chromosome:ASM407v2:5:25763188:25769147:1 gene:Csa_5G630930 transcript:KGN52391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHHVFVVYSYSFAALLLLPSPFISRTSTRLPPLNFSITSKIALLGLIGSCSQIMGYTGINYSSPTLASAISNLVPAFTFILAIIFRMEKVVLKSNSSQAKVMGTIISISGAFVVTFYKGPRIIFSSSPTISLSLHHNSQQLSSSDSNWVIGSLLLTAEYILVPLWYIVQTKIMKEYPEEATVVFFYNLTVAIIAAFVGLILEKDPSAWILRQNTALASILCSGLFGSCLNNTVHTWALRLKGPVFVAMFKPLSIVVAIVMGVLFLGDSLYLGSLIGASIISIGFYTVMWGKAKEEMGVGEEKQEGHSHNNLDGNKESNEDQRVPLLGSYNRYSEDHV >KGN50539 pep chromosome:ASM407v2:5:8009414:8014021:-1 gene:Csa_5G181460 transcript:KGN50539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRYSPPYHSPPRRGYGGRGRSPPGRGYGGGGGGGGGGGGGYGRRREQPHGSLLVRNIPMDCRPEELRAPFERFGLVRDVYIPKDYYTGEPRGFAFVEFVDPYEASEAQYHMNGKKFAGREITVVLAAESRKRPEQMRQRSRRGPSGYGGRRSHYGRSRSRSVSRSRSPRHPSGSRSRYRSRSYSPAPRWRGDYSVSPGRRHTDYPESPRGAPRERDVERSRQVYSPGYDNADEHGQNGNGYHDKSPSVEHQDHLRVNWRASPGRTSRSPSGSRSRSADASPRHGR >KGN52631 pep chromosome:ASM407v2:5:27421545:27427567:1 gene:Csa_5G647530 transcript:KGN52631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQGLTINTRERAEEERKRSGYRRCCLSSKPPWACCCCCNCAISAVGKNVFCSSLFGSYNLLLIPYKMQSSSSSSLDRPKMNYDVFISFRGRDVRHTFAGYLYDALNRLGIKAFLDNKRFLIGDDLHDLFKIIDESRSAIVVLSEDYASAKWCLRELTKIMDSMGTSMERVLPVFYHIDPSIVKDQSGTFKTSFDEHEANVLKEIDNQEKEKRLKELQNWKSALKKIGNHTGVVITKNSSEVDIVNKIASQIFDAWRPKLEALNKNLVGMTSRLLHMNMHLGLGLDDVRFVAIVGMGGIGKTTIAQVVFDCILSKFEDCCFLTLPGGDSKQSLVSLQREMLSQIFHKEDFRIWHENHGVEMIKNRLSGRKVLIVLDGIEERRQLEMLAGSIEWFGPGSRIIITTRNKGLLCHPNYDEMKVYNVEELDHDSALQLFLKHAFGSNHQNNDSFMDLSNEIVEKAKRLPLALRVIGSSLYGKDITVWRETLKRLIKVDERNFFDVLKISYDGLGVESQQVFLDITCFFNGKNEDRVIEILESFGYSPNSEVQLLMQRCLIEVSHKKILVHDLILEMGREIVRKESLTQAEKQSRIWLHEDLYCRFAEKHDLMHIQGIVLSLAKEMEESIELDAESFSEMTKLRILEISNVELDEDIEYLSPLLRIINWLGYPSKSLPPTFQSRYLFELLLPHSHLLRIWDGKKRFPKLKLIDVSNSEHLRVTPDFSGVPNLERLVLCNCVRLCEIHPSINSLNKLILLDLEGCGDLKHFPANIRCKNLQTLKLSGTGLEIFPEIGHMEHLTHLHLDGSNITHFHPSIGYLTGLVFLDLSSCLGLSSLPCEIGNLKSLKTLLLKYCKKLDKIPPSLANAESLETLSISETSITHVPPSIIHCLKNLKTLDCEGLSHGIWKSLLPQFNINQTITTGLGCLKALNLMGCKLMDEDIPEDLHCFSSLETLDLSYNNFTTLPDSLSHLKKLKTLNLNCCTELKDLPKLPESLQYVGGIDCRSMSERYYNKILLIPSSSGHQLYLTFIIPSKDVDVECDMNEFQHSIFTRRSFELNIIEEKPSMIVHDAVDMFHWFGQINEGNWTNIQYEQEFSISKPLNIMYEDVDLSNVCGVFLSTNIEFPENLNHLAIGRFLVSFEIDGKCSGGTMNYEMSQFKAARFFWAAYIPIWMFKDHSVMVQRCCSMKVTISYCCDHIDASKVKIKACGVSSMLSWPNVAEYLAKLFTKRFCSKRNFYTMIRQHNDHQNECRCDELEVRKDDFSSSTFESNDSTFLLRKNLRAILGVMFEEKKRYYMKYFFPHTNIFGWFKNQNKKDKVAVKIPVNIEKDRKWMGLAMFVVFSISEKASCYCFEYEIQTKEKIISTQRHSISTDQVLEYSNQILFVAF >KGN52616 pep chromosome:ASM407v2:5:27349985:27351440:-1 gene:Csa_5G647390 transcript:KGN52616 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acetyl esterase MRSSSVCYELSTPQLPWKHKVTLRFATLLFNTSLRSDFTVNRRLLTFLDPKIPPNPNSAHSVSSSDLTIDTSRDLFLRIFTPNPTAALDESLPLLPIIFYFHGGGFAFGSADATSTDMAARGFAEKLRAVVISVNYRLAPEFRFPCQYDDGFDALKFIDEMDDDSLLERVDLSRCFILGESAGGNLGHHVAVRASEYEFKRVKIIGFIASQPFFGGKERTESENRLCKQLPLTLYMTDWFWRAFLPAGEDRDHAAANVNGPNGRDISGLENFPATVIFAGGLDLLMDRQKSYYERLKRMGKDVKLVVFSNAFHGFFGFPDLPEYSLMIEEMSDFIAKLM >KGN49750 pep chromosome:ASM407v2:5:2888639:2889007:1 gene:Csa_5G106000 transcript:KGN49750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVPMVVVSFKDRSHGHNEFERERSTCGFFVVVRENLCCTLVIDIVEVLGEVNSVPSKNVATITRLEKGENEIMVKKIVKETNIKIVNTCIDVITNATKMNCC >KGN51915 pep chromosome:ASM407v2:5:22692770:22696115:-1 gene:Csa_5G605090 transcript:KGN51915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDHLVLYVDRLARPPPLDSIPNPPEAVPLLPVDGDLDSDSPLSSPTSASSVEERGEENEGSDGEDDSLIQTAECRICQDEDVIRKLETPCACSGSLKYAHRKCIQLWCNEKGDIICEICHQPYQPDYTAPPPPPRIEETSIDIGGGWTITGTPLNLHDPRFLAIAEAEHNILEAEYDEYAASDASGAAFCRAAALILMILLFLRHALEVTDPDGDDYLSAFFSIFLLRAAGFLLPCYIMACAVNILQRRQRRQEREAAALGAAQVAFVLQSGRHRGLQFAIAPGPQMTPHQETV >KGN50843 pep chromosome:ASM407v2:5:11910175:11912510:-1 gene:Csa_5G286070 transcript:KGN50843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVFISCKFSLTNNGDMVLYTAAVTGKGGSIVSWNATTWRRVASKLITRDNITAFNVSSSGRLLACGTTQGDVLIMNSTSLQVRKIVKKAHLGFVTALSFSPDSRALVSASMDSSARVTVIEEEQKKGMNMWIIIFILLIAIAAYFFIQQS >KGN51880 pep chromosome:ASM407v2:5:22455086:22457546:1 gene:Csa_5G604240 transcript:KGN51880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSLPLTSPLFSAFSPRKTLFSLKLNRPSITRSTQSLHFSSPFVNVPHFNCFDPVSRTSRIIRTVPRSSSNGFLEDDEIIPSFEEKPVKVLLLVLFWASLSLAWFAASGDAKAAVDSIRASNFGLKIASALQNSGWPAEAVVFALATLPVIELRGAIPVGYWMQLKPVALTVLSVLGNMVPVPFIILYLKKFATFLAGRNASASQFLDMLFKRAKEKAAPVEEFQWLGLMLFVAVPFPGTGAWTGAIIASILDMPFWSGVSANFFGVVVAGLLVNLLVNLGLKEAIVTGVILFIISTFMWSILRMIKKSFEKMN >KGN51295 pep chromosome:ASM407v2:5:17988276:17989676:1 gene:Csa_5G515000 transcript:KGN51295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFSSVPVFLDPPNWPSQQPNQLQVSGCETNNAQHLPPPAPSANSGGGGGSGGGGGGGPGSVRPGSMTDRARLAKIPQPEAGLKCPRCESTNTKFCYFNNYSLTQPRHFCKTCRRYWTRGGAMRNVPVGGGCRRSSKRSSKGGSNNRSKSPGGSSTSTSTVSSNSCTTDIISPQLAHHHHPPPTTHLPFFSQNLHHLSEFGNLGLNFEALQIPNSLPSSAESSSVLSSGIISDHHPWKIPFFGNLHQQNGIYSNFIGDQDHHHQQQTADFTNYQRLSKPLLESGFNHQLENININNNNNSMKILEDTQGINNLSRNFLGIQPNEDQFWNSTTTTTTAGNIGWSSDPISDHFNTTSSTTHLL >KGN52563 pep chromosome:ASM407v2:5:26966584:26970917:1 gene:Csa_5G643910 transcript:KGN52563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEQDGSQRLKRTAAASYDYENDPRWADYWSNILIPPNMASRSDVVDHYKRKFYQRYIDPELVVEAMSSSSSTQSSRPSATSSAATSPTNDRSRPRSSGSTSRTSGTSASADANPTPLRWDRQTIQFSVNAWVFIVAVLAIFPLIPKNLSQRAYRLSFMGTTCSSLYSLYSLYGKPRAWNLQALQAYLQSIIGTKDFIYFTYCITFVTSNICLKFALIPILCRALEHVAKFLRRNFARSSLYRKYLEDPCVWVESNSTTLSILSSQAEIGLGFILIISLLSWQRNFLHTFMYWQLLKLMYHAPVTSGYHRSAWTNIGRVVSPLIYRYAPFLNTPLSMAQRWWFR >KGN49877 pep chromosome:ASM407v2:5:3628347:3628556:-1 gene:Csa_5G139550 transcript:KGN49877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQADIMKIATLADKWAIRRDSNACMSAQMELFDVKQSDEDGRETSNTTPPRNSITFYPSNDSILSDIE >KGN51369 pep chromosome:ASM407v2:5:18499464:18504091:-1 gene:Csa_5G523140 transcript:KGN51369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQKVAQRKALFKWRRKLAFVLLFVFCFGSLVMMQSRYGRVMMLASLHLHPQSAHGPKVAFLFIARNRLPLDIVWDVFFQEGENKFSIFVHSRPGFLFNKATTRSTYFLNRQVNDSIQVDWGEASMIEAERILLRHALTDSSNQRFVFLSDSCVPLYNFSYTYDYVMSTSTSFVDSFADTKEGRYNPKMDPVIPVQNWRKGSQWVVLTRKHAKVVVKDITVFPMFQQHCKRKSLPEFWRDRPFPNDPSKEHNCIPDEHYVQTLLAQEGLEEELTRRSLTYSAWDLSYSKDHERRNWHPVTYKFSDATLDLIQSIKGIDNIYYETEYRREWCTSKGKPSRCFLFARKFTRPAALRLLNMSLLGVKPH >KGN49943 pep chromosome:ASM407v2:5:3945692:3948008:-1 gene:Csa_5G141170 transcript:KGN49943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRGKSMGGGPAGNIIRTAGRAVARAANTPTNRPSSPTSTSRATRRPGGSANFHGLSSSTSLSQYPVSTTNGVPAGWHFCNPYCDEFEWVTEDGIEIENGARVYEDSMEWSVPTLDEVHGAVSAIHEVFGQEENDEAGQARKYTGLVNRISPVGSDVDWIEPCLEMRLGGFGVERVYDAFHLLQTDPSVQKMVMSVSSDKAVWEAIMNNEAVQHLRNSFHEAKDEVRQNLEETSPDKHSENESTNIVRWIFDNTKTRVMEVIERITELMNHLFHSGNENDDKKRSGEGMNVLEEKLRTSFLISIVVLLVVMVTRAHKTSSS >KGN51221 pep chromosome:ASM407v2:5:17369902:17370182:-1 gene:Csa_5G494400 transcript:KGN51221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERNSRRGLQCYGVEGSGPSAVLILYVRRTPTLKTTDNELKGARRGRRQLAENGVLGWE >KGN51674 pep chromosome:ASM407v2:5:21185853:21187857:-1 gene:Csa_5G589910 transcript:KGN51674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESESQNLELKHLGFVRVALIQTIVCVTNLYDYAKQNSGPLRSAVESVESAVNTVVTPAYEKLRIAPDDVLVFLDGKVDKATHEFDKRAPPLAKQAAQITQHFIQKAARTGQQLVNEFQTGGPRAAFHYAANEYKQLVLDQGVKIWAGLNRLPSFHKFADMAVPTTAQWLESYNSKVKELRQKGYHVFDYCPEVPVSEIAKAFKQDESKKKEETSPNTPEQAPSKHEAGSDSDSDSDSASVAAGPN >KGN52062 pep chromosome:ASM407v2:5:23483825:23484880:1 gene:Csa_5G608500 transcript:KGN52062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTKRMPTINLDQIPSFEEHVNGFDQHDVLVEEIEGLIRVYGNGYVERPQIVPCVSNALPPELGVTSWDVVVDKLNNIWARFYIPTQCQEKLPLIVYFHGGGFCVGSAAWSCYHEFLAKLSAKANCIIMSVNYRLAPENPLPAPYEDGLKTLQWLKQVAFVGGKQNWWSRYCDFTKIYLSGDSAGGNIAFNVAARLGGKTTASGAVILKPLVIKGSILIQPFFGGESRTKSEKFLVQPPRSPLTLGVSDTYWRLALPSGTNRDHPWCNPSTKGLFTVEDLRVLPSLICISEMDILKDRNLEFCSALHRAGKLINYVVYEGVGHAFQVLNKSQLSQTRTLEMIDHIKAFLCV >KGN50913 pep chromosome:ASM407v2:5:13059411:13065007:1 gene:Csa_5G322500 transcript:KGN50913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLVVNHHNGESIGDGIVEALKQNHNYMKRCFGKFVEKGNRSLKKKELMEEMELVIDDKIERNRVMEGVLGHMLTSTQVAIVIPPYVAFAIRPEPGCWEYVKVSSLDLSLQSLTSTEFLKLKEMIYDEEWANDENALEVDFGAIEFTTPRLSLPSSIGDGLSYTTKFLTSKLSGKSENLQPLVDYLLSLDYQGEKLMINETLSTASKLQMTLILADIFLSVLPPDTPYDDFHLKFKQWGFERGWGDCAGRVKETIRCLSEIFQAYDPIQMEKFFSRLPTIFNVVILSPHGYFGQAGVLGLPDTGGQITRLIPDAKGTKCNQEIEPVIGTTYSKIVRVPFKTENGTLHRWVSRFDIYPYLEKFAQDASDKILELMEAKPDLIIGNYTDGNLVASLMASRLGVTQGTIAHALEKTKYEDSDLKWKELDSKYHFSCQFTADILAMNATDFVIASTFQEIAGSKEKPGQYESHEAFTLPGLCRFVSGINVFDPKFNIAAPGADQSVYFPYTTKELRFASFQPAIEELLFSKVENDEHIGYLADRKKPIIFSMARLDVVKNITGLVEWFGKNEKLRNLVNLVVVGGFFDPSKSKDREEMAEIRKMHELIDKYQLKGQIRWIAAQTDRRRNGELYRCIADTKGAFVQPALYEAFGLTVIEAMNCGLPTFATNQGGPAEIIVDGVSGFQIDPNNGTESSQKIANFFEKCKNDPTYWNEISNHGLQRINECYTWKIYAKKVLNMGSTYSFWKQVNKNQKQAKDRYIQMFYNLLFKNLVKNVPIVVHEDSHPENPRLPQVSKRTQSRIQK >KGN50782 pep chromosome:ASM407v2:5:10814819:10816304:1 gene:Csa_5G259720 transcript:KGN50782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYLPNESGSSIGFRNLQNEVKHHDVWQSGEGAASTSGNSRDNLASLYRPPYHLMFTGSFEKIWKLPWSTLWSSLNSDAHGLTNLSLGIAHQKANDYATLIVHGLSITMPSLIAFALNVTFGSSLQNYKGSLTLMARLHFSCDQRFGKKGMLESSKILLNHTKN >KGN51124 pep chromosome:ASM407v2:5:16186170:16188578:1 gene:Csa_5G457750 transcript:KGN51124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVDYASSDEDVPAAVEEEEKDNRNQSENHIITEPHLPKHEPRPQSPPLNQPIVKPNQQFETNEESSSVPWVGLPDASLLLNSPTSSSLFSGSDHSSRVAAAMAANASRKRETNVLGSSLPRSKFPRSSLPHSKNVPDTSGALLVPPQLTGRSNIVTEDISKLFVKKSAKPPL >KGN50797 pep chromosome:ASM407v2:5:11089372:11090180:-1 gene:Csa_5G264300 transcript:KGN50797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTLEKDVAEHKDESDNNKSDRHWKRPLKKAKTPAAKPVKVSLRPSALLEEIRRGKMKVGGKDIENLPSRGGAYPIVSSMKATSMHAPLKISEPPLGTSMKQAAEHPKPSLRLEISTILSGIAKIHADDLTPLEEYLNS >KGN52360 pep chromosome:ASM407v2:5:25425307:25429296:-1 gene:Csa_5G628650 transcript:KGN52360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMDFLGLSSKEPLAVVKQEIDNDGAQDSGYPKSSGVPWSSNKASALPHLKPFKISADDKTSKLGSLPVGATSDQRRAAEIQKTFNHDRQGGPHFSLAAYPMQPDLYSIHRPHEAKLFSVQNQGISVSLGNPSLKNPFALPGQMAGSILKQPLGGVPVSTASNSFFPPFGSVVGITEPWNSMKPTGGSPNQLTIFYGGTVNVYNDITPEKAQAIMFLAGAGAAISNLTHSKAQAHAMGAKMAAASDAAPMNQPVSALPCPALSSPLSVSSHSGTQSASGSSCTDELRGGKTNGGPTTPISKVEPQRIVNPVVSVTASAMMPSAVPQARKASLARFLEKRKERVMSSAPYNLSKKYPECAATESNGANFSSPITGSSANVAS >KGN51708 pep chromosome:ASM407v2:5:21403035:21404731:-1 gene:Csa_5G591730 transcript:KGN51708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPREYGGFCIQMRLHYSPFAPFLLHWIEWMDFNCTDPVPSFLGLFHILLYKVYVDGKPLVSPRERKTTLKEFYAVIYPSLRQLQSGRVESKEETSSRKITEDEQKLSNEDLQRDEECGICMENCRDVVLPNCGHSMCLSCFKDWNARSRSCPFCRNCLNRLSTRDLWILTSDTEIIDSETLAKENLLHFYLYTESLPLFQPDMNIFIPDYML >KGN49842 pep chromosome:ASM407v2:5:3452002:3453239:1 gene:Csa_5G139200 transcript:KGN49842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVVVVVETLVEKREKVKGRKDPDEKRVEDELGGGGHTNKSDGYEWGDPNPMVIEKKLSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSLVGRLKNGI >KGN50924 pep chromosome:ASM407v2:5:13233859:13236734:-1 gene:Csa_5G341040 transcript:KGN50924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAVNMELQNTGGGLCSDNISSAVYEPTVTPDQYSSANVQLKEFCPKVRKPYTITKQRERWKEEEHEKFIEALKLYGRDWRQIEEHVGTKTAVQIRSHAQKFFSKVTRNSNGCSTTSIGCIEIPPPRPKRKPAHPYPRKEVPQSHKASPISEQTRSLSPQLSEKECQSPTSIVVAGSGSDTLMFTDSRIHHDSGSPDSSIPSTEPNSSSLDNESPTAALGIENSIPHEKIPTNLELFTKDNVIEKDDSTKEVSIQSLKLFGRTVLITDPHKHTPILENSMPEADRKPGENQKQVSPWNSIVMDSSTGNTDCTWNHGAFYFIQLNNGDSKQGDSAVPVSWWSSYGSYPFSFVHCFKQADSNPNQVNDKETHKDQSWCGSNTGSVNSGENGDKVSENDVESCRLFHNNRDGDSVSKEELIGKALSCELISSHEKNTKGFVPYKRCMAERATKPHTITEAEREEKRIRLCL >KGN49882 pep chromosome:ASM407v2:5:3654079:3656586:-1 gene:Csa_5G139600 transcript:KGN49882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSLYTIRMAVGIIGNGASLLLYTVPILTFWRVIKKKSTEEFSCVPYIVALMNCLLYTWYGLPIVSKGWENFPVVTINGLGILLELSFISIYFCFASSQAKKKVVLKMVGVVTVFLCVGMISSFVLKTHHLRKFFVGCIGLVASIAMYASPLVAMKQVIKTKSVEFMPFYLSFFSFSASSLWLAYGLLSHDLFLASPNLVGSPLGLLQLVLYCIYRNKEHEQGVLKKEKGGVIMEIQPNWDLEKNNNENHIPHQNNSKI >KGN52514 pep chromosome:ASM407v2:5:26632303:26636399:-1 gene:Csa_5G639500 transcript:KGN52514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSARITYSLSDENQSLHKQIGCMNGIFQIFDRRYFLGGRSVPGRNQKKLLPSPGNDEGISMEPNSASQRTQGKNQKKTRKEKQRVSTESSRTSFSSTTSCSSSFSSLDANNRAAHLETTLLSHVDFPVNTTREILKNKHNATVKQLGCQSFEFRDIVKENMNREACAISVRTVAGEEAVSRKLKHVDSPRPTRQVEYTGSKTAGSNESFRVLARLREAHRYANEENDIPTHSAPKFNRRLSYDGRDSYDTLKSTIKIRELPRLSLDSKESWARRSVSGTRSNDLVKDFQKGNRDFEEPVSSRQSSTIVAKLMGLDSLPDSTSTYNSPSRLINACPTYEQNSFSRSSRKNDESTQQSRFSGSPRISHGDSYSPSLRNNHLGLKPNACAKLKVETTQASQVNRKGDVNEQATESHELSIDVPNNYSVYGEIEKRLSTLEFTKSGKDLRALKQILEAMQKSRAIFENKEQASDCASQVSMDGTVDQNRSSGAASPRNSRLNNTASSARDKDSNSLKSYKSSIIIMKPAKHLKISNSSPSVPLKHDTLCSGNEQVKMQSTKDIGLQHTHLRSLPSHSQSQPCTDKNTNTRILKPTKPTKDQHCLRTETSTASGNSPRVTSSRLHKKFGLEKQSCPTTPSSDSSRSERNTRKVGSSSTETKLRQKTSTSNQKSIKKSSKSSRCPGDTSQQQGGLYPLKPKSNGATSNITLQNTINTQFDNTKSNYILQDDDECEQRNAEMRLSNSIPKVKPTLTISEQQSPVSVLDSTFYQDDSPSPIKKISYAFEDDETVNSEAESSQEVPVQSQKSTETLSTEIKNLKSEIDKLRKHIRQVNFSNEEEELLNDSKNHFCQEMNSQHKYIWQVLSESGLLKDLDHGMSAIQLYSPGHLINPNLFLELEQSTTVKWPFDGDSYSKLNSTSGDRNKVQRKLVFDTVNEILLDKLVAERSSKHWLSKSNIAGTDSRGQQILKELCTQIDQLQDSNQSGSLHDYDDASRNMIWKDLMNPSCYWGNYQNDIPGIVLDIERQIFKDLITEIVMNEASFYDNNCREFPSN >KGN51482 pep chromosome:ASM407v2:5:19749326:19759054:-1 gene:Csa_5G568310 transcript:KGN51482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFSVTKKLTSPIDGQKPGTSGLRKKVKVFIQPNYLENFVQSTFNALTAENVRGATLVVSGDGRYYSKDAIQIITKMAAANGVRRIWVGQNGLLSTPAVSAVIRERVGVDGSRASGAFILTASHNPGGPHEDFGIKYNMENGGPAPEGITDKIYENTKTIKEYLIAEDLPNVDISTIGVSSFGGPEGQFDVEVFDSASDYVKLMKSIFDFESIRKLLTSPKFSFCFDALHGVGGAYAKRIFVEELGAQESSLLNCVPKEDFGGGHPDPNLTYAKELVARMGLGKSSSGEPPEFGAAADGDADRNMVLGKRFFVTPSDSVAIIAANAVEAIPYFSAGLKGVARSMPTSAALDVVAKNLKLKFFEVPTGWKFFGNLMDAGMCSVCGEESFGTGSDHIREKDGIWAVLAWLSILAYKNKGNLDGGKLVSVEDIVRQHWATYGRHYYTRYDYENVDAGAAKELMENLVKLQSSLAEVNGIIKGIRSDVSKVVHGDEFEYKDPVDGSISKHQGIRYLFEDGSRLVFRLSGTGSEGATIRLYIEQYEKDPSKIGRDSQEALAPLVEVALKLSKMQEFTGRSSPTVIT >KGN52603 pep chromosome:ASM407v2:5:27272815:27273306:-1 gene:Csa_5G647260 transcript:KGN52603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQAQPRHLGASGSITKPFRGVRKRSWGRYVSEIRLPGKKTRVWLGSFASPEMAARAYDSAAAFLRGTSAILNFPDSVSSLPQPESCSREHIQLAAAKAAAQVRTMETMEGDGDQQGTRSGWSSTMFEQVKEVPLLSPLRLGLLGFGPALNEEDPLLLLPTYF >KGN51485 pep chromosome:ASM407v2:5:19808531:19814781:-1 gene:Csa_5G569320 transcript:KGN51485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSCPKCSPLQFQGTLSITPTKLFPVVGPMGIPKPTHPSLFLCRLRFSSDSLSKRLVFRRVSSDGGGDSSQHQSATPDIKDVQNDSSSVGHSYVALFVRMLGLANDPLDREQAIIALWKYSLGGKKHIDAIMQFPGCINLAVNLLRSESIPTCEAAAGLLRSISQVNLYRESVAESGAIEEITGLLCQPSLTPEVKEQSICVLWNLSVDEKLRIKIANTDILPLLSKNLDDENMKVKEAAGGVLANLALSPCNHGVIVESGLITKLAYQLKAEADSSKIMRKEARNALLELSKDGYYRILVIEEGLVPVPILGAAAYKSFRPGLHSWPRLPDGIEIEQSTKPSRYGASQLLLGLNVDKNANIEERKINAIVGRTQQQFLARIGAIEIEDLKDSQSESSSRNHLTLLPWIDGVARLVLILELEDDNAITRAAVSIADASINEHMRISFKEAGAIKYLVKFLDYTNDSVKWAAVQALERLSISNVVCQAIENEGALGPLLSILKFSGIPENVMEKTLNILSRILDPSKEMKSKFYSGPVNGSQGGQHSEGNFEASIRKDVLDAGVVSRFVEILNTSSPNLKQKAASILEFVSIMDPSMELIDPVEIELGLSAVFQLGVSIDSDGEVWQPERYALEVEEAGLAISAASRLLTKLLDSEKFSNKINSTHFTKLLRRVLKSDIPINHKDWIAACLIKLSSVLTLNTDFGDPINMEVTLYETIPRLIEQMQSSFSLEVQESAVVELNRIVSEGIVNATRAVASKGGIFPLVKLIDEGSERAVEAALAILYNLSMDSENHPAIVAAGAVPALRRIALSQRVQWQQALYLLRTLPT >KGN50447 pep chromosome:ASM407v2:5:7327362:7329584:1 gene:Csa_5G175710 transcript:KGN50447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASWLPLYTPTAQYPIQRKLGSYNPSTSRKLHGNTLSCKAASSITDFDLYDLLGIDNTSHPSRIKAAYRALQKHCHPDIAGPAGHDMAIILNEAYSVLSDPSSRLAYDKEQAKMAELRGYTGKPVYSVWLGSESEQRAVFVDEVKCIGCLKCALFAGKTFAVESVYGRARVVAQWADPEYKVMEAIEACPVDCISMVERTDLAALEFLMSKQPRGNVRVGMGNTAGERVSNIFTDVKKFQIKFNEAMEKAMKEQSKGATFESEGQLAAIQAIRSISNWLFWQTATPVGPGSKQSQSLARSASKFTPEINKLQAAATARKQIREKAEDRNRTTTKYLYRDDYWVPTTFALPASTQSPNNPISKPSVETKPTKQSRGLGSDVSRGGHVSPMRLVLPVSISIIATAIIQQMVRNDGASELKEHAAGSMALELVNSHWMQVILTGVTWYIIGMAVMGMLEMIARKFRP >KGN49792 pep chromosome:ASM407v2:5:3171528:3174320:1 gene:Csa_5G129280 transcript:KGN49792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASKAIVFLALFIAATTIPHSHASDPDSLQDLCVAATSKGTKVNGFPCKDDTNITASDFFFAGLANPAAINNSMGSAVTPANVEKIPGLNTLGVSLARIDYLPNDGLNPPHIHPRATEIIFILEGELEVGFIITTGNKLISKTIKKGEVFVFPKGLLHFQQNKKDKPASVLSAFNSQLPGTVSIVAALFSSSPAVDNGILAKTFQIGTDEVEEIKSKIAPKKK >KGN50164 pep chromosome:ASM407v2:5:5513390:5514390:-1 gene:Csa_5G157230 transcript:KGN50164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDCIQSPFPFDQVDELFPLPSLSPIHLSVADHPPLIASINNTNRNISEKPKKGRRRKSPNTSADIEDENPNPNEHKKKKIIHRDVERQRRQEMSTLYAALRSLLPVEYLKGKRSICDHMHETVKYIQHMQTKIQMLRNKRDELKKNIEDGEDSRNITTIETLNSSKRDSVLVMPRSCGGVQILLDTATHHRLPLSNLIKFLITQNLQIISCHSTRKNDRFLHTIESEAAVDVETIDMSELQNKLTNLEYFPLD >KGN52345 pep chromosome:ASM407v2:5:25252597:25264208:-1 gene:Csa_5G626540 transcript:KGN52345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLNFGSGSQPKPYVFLFVLFCSTSLYSAPLRVHLSFNLFLNRTQSSPSSRFSLFASLLLSPPRSLSFSQPLRCSPPSSPLPHSLEEKHWFLSQAEEMDSDNLLDPMEMKVKELLKEFQLDYSPALHKLVEGTVSAIKKAIKLIPDDLKVTAAATPGFIRDIGADKVEFKFRKPKSIKIGGSYAFQGIAKPDVNVDLLVGLPKECFHEKDYLNYRYHAKRFLYLCTIKKYLNSSSMFSKVEYSTLQNEARKPVLIIHPALEELKVAPGFFVRIIPTIEASYFSISKLNLKRNNIHALNQGSLLPATPKYNSSILEDMYFEDTAEMVKKPFLESKSLVETLILLKVWARQRAPIYVHDCLSGFLIAVILSYLITHNIINHSMTAIQMFRVAVKFIASSDLWKRGLYFKLGPQSTVSKEEKKQYKDLFPVVICNPSSNFNIAFRISQSGLAELQDEAAMALVCLEKCSNGGFEEVFMTKIDFAVKYDHCIRLNLRGQDKLYASGFCMDDECWRLYEQKIHGVLSQGLTDRAKFIRVSWRNTEPGCNIENGLSAFDMQPLLVGISISSVEKAFRVVDIGPNADDKEDALKFRRFWGEKAELRRFKDGRIAESTVWETDQWTRHLILKRIVEYLFVRHLSPMSTNRIMHAVDQIDFSLLHGSRDPITFSGTLLESYEVLSKRLRSIEDIPLKVSAVQPLDSAFRYTSVYPPEPHPLAEEKASDRRTLKTFAPSCIKPLEVMIQLEGSGNWPTDEVAIEKTKTAFLLKIGESLQNDWGMTCIASEDSVNVLVSGYAFRLKIWHERGLSLLSKESGNDLSNRTSLTDKQLFIQSQHSSMISGLQARHSIYGPVVRLAKRWIASHFFSACLVEEAVELLVASIFLKPLPFHAPLSRITGFLRFLRLLSEYDWTFSPLVIDINNDLGANEEKEIADKFNMTRKDLQENSQSGIPAMFLATAYDKASEAWTKLSPKVSELKRLAAYARSSADLLTRLMLQHQVDSCLWEKEFSNTFNLWYDSLGGDAIGVTWGQRSSKKRERDDEDVAEEKEPAEVLKSAGETGKGLMRSIYLLKAPRLTT >KGN51553 pep chromosome:ASM407v2:5:20381790:20382285:1 gene:Csa_5G577440 transcript:KGN51553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLKISHFLFTMLWISLLLLLLHELNIFKAKMNHRPTPTITFSYYSSSSNHPLITRKVLTSKVDFTPFFRHRHPNQPRKHSHPTDTNVVDEPAPSDIDPRYGVEKRRVPTGPNPLHH >KGN51714 pep chromosome:ASM407v2:5:21436718:21438665:1 gene:Csa_5G591790 transcript:KGN51714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTMKETFFLLLSTSPIWLLILSFLGFFTILWHSATVARWVYRAFLRPSKDLRYYGSWGIVTGATDGIGKSFAYQLARAGLNLVLVSRSSMKLKAVSKDIQSEFPDTKVKIIELDFTEDDISGGIAEIEEVIEDLDVGILINNVGITYPNASFFHEVDEKVWMNVFKVNVKGTTWVTKAVLPKMIKKNRGAIVNIGSGAAVIVPSHPLYAIYAATKAYVDQLSRSLHVEYKDWGIDVQCQVPLYVATEMASRVASVSQASLFIPSADDYVKAAIRQIGYEPRCTPYWAHSLQWCFASLLPEAMLDAWRLSIGLERRRKESVTMKRIEGCKQE >KGN52606 pep chromosome:ASM407v2:5:27302539:27308225:1 gene:Csa_5G647290 transcript:KGN52606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKLTRLFSRNQLFEQIVWKKAGYVGHPLGSHPFSSNAGISDSTQNFTRTVTKNAGGRVFASYHVYKGKAALSMEPCMPTFTKVESGNFIMDRRGSIMLTFAPAVGERKYDWTRKQLFALSATEIGSLISLGPRDSCEFFHDPGMLSSTAGQVRKSLAIKAHTDGNGYFFSLNVVNKPQNTNDYLSVPFTTGEFSVMKTACSFALPSLLGWDRVTNPNLGVGSVFQPKKIDREALSLEWER >KGN51652 pep chromosome:ASM407v2:5:21052458:21052805:1 gene:Csa_5G587230 transcript:KGN51652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRKRDSRKKDRKRREQRFEVDEVNEEVKLTGEKTTGGGSTPERYGKDGPVKSGDGREVNELNIRDLGYRK >KGN50235 pep chromosome:ASM407v2:5:6024675:6025007:1 gene:Csa_5G161350 transcript:KGN50235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKATVSLAFLLALNLVFSSFVYAEADKKCPINALQLGVCAKLLGGVVDVEIGKTSCCPLISGLVDLDAAVCLCTAVKAKVLGLNLNIPVDLSLILNGCNKKLVEGFTC >KGN50494 pep chromosome:ASM407v2:5:7677658:7680648:-1 gene:Csa_5G177140 transcript:KGN50494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRASNANFLIWILCALCLSSSLLSEFTPDDNFLIDCGSSSNNTVGDRLFLSDDNPNGKSLSSTSKVSTSSELDMFSSLFQTAKVFETTFQYNFKIKKQGRHWIRLYFDPFVSGNFNLSLAKFSVSAQNITLLKEFQIESGSIIKEYSLNLTSSNLVLTFTPIVNSFAFINALEVVSVPNELIPLTVTSVEKVENSLGNRALETVARVNMGNRTMLPDDDTLSRLWVADGPYLMHNNQVVVGKFVSNLTKVNMTGGLEIKAPRFVYGTATQLGVDGDTFVNVNVSWSFDVDPGYEYLIRYHFCDIIDHSFGPMVFNVYVNSWKVVHLDLDNVTSNIRGAPYVLDTIASPIDSSKFKISVGPTNFHDFSTAILNGLEIMKISDSRRSLDEPPFGLDSKKGSNVKVGLIAGLVAGLVVLAILATLVIVLCRRRRRSALVRHLKEEENLGVNGRESNYTIGSVAFSNSKFGYRYSLAAIQEATDNFSESLAIGVGGFGKVYKGMLRDNTEVAVKRGTSKSMQGLAEFQTEIEMLSQFRHRHLVSLIGYCDEQNEMIIIYEYMEKGTLKDHLYGSELPSLSWKQRLDICIGSARGLHYLHTGSTKAIIHRDVKTANILLDQNYMAKVADFGLSKIGPEFDKTHVSTAVKGSFGYLDPEYLTMQQLTEKSDVYSFGVVMFEVLCGRPVIDPSLPREKVNLIEWVMRRKDKDQLEAIVDARIVEQIKLESLKKYVETAEKCLAECGMDRPTMGNVLWNLECALQLQGDEKSRHGKESSSQADLSNHWEASVSTTQFSTGSAVDIASMSMSKVFAQMVREDMR >KGN51613 pep chromosome:ASM407v2:5:20841270:20850021:1 gene:Csa_5G585400 transcript:KGN51613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLQLRLPQFSEDLAWLPCWLQHSQTTPSSEQGIECNYESAIKEVGYGIINKLEDANMYPQDSGCNRFHLFLSGQDSIPENVAPSSNNALHFHLHLSSYGGSECTSSQHLDESHQLLEYSKVQLISMFEAPVDPREHIPSQKSINAGDTDLAPHSSYKDVLHNVGCQSLTNTEDRENRQGEKLDVGCLKNAEVSDAIELSVVASEALVIHELLKDELDSAAVSVEAVLEASIQVKKARIELLESALESIDEEVDLSDSLSDLDNSTMRDAFDDVGLPSSILNSDHSGTACFDVQDTPVNKNEFTHGSQCNSIDMTSQPDILGNGLTLKQLEENLVVTRPVGLPMEDLSCNIQHQLSNDDVLGSTSTNYCKYDSMLQHPTQNESDEFVVKQKIVSSIVNTNLCTIHAKENSSLHESSKVSAKNDELVAFFTPERFKSRWLGGWSGKEVDVSEQLRQDVDGKTIPLMFVNETSFLSESADIAPDENSCVQRCESKFQVASQSSIHFGHLDEKGDDGLLVAEEIVKCSLSLVDPLCSFVPCSISLDTDSAGQNLNEGKDCTEELLGTFVDVGGSRPSIRRQVTSLKNYSTISPTHATMEGGLDNSYAHQLPGNMRLLSSDSQLDCTRFSSKINFMETLPSQSTKSRDMDTVEDSQTDARHNLVEEITELKSKSDEVAGDVSEFLADTVKKSVTCDILNGSLQLSKSTMKKSSIKKDHLQSSKTISNPQKVDNVVKMQHESKNPLEPCMLVQKRVRFLEANDQPQENLDFQKVHPPINYSTLRTSKRRKFSNQCLLSRHPDGKGHLKSRYCSSRKKLIFQGIQFLVTGFSSRKEKDINGIVCNNGGIILPDIPCPSSRGQKMSKSDCKGPPVILSSKKLQTKKFLYGCAVNSLIVNVSWLTDSIAAGSIVPPWKYMIISNQADCTQIGRSVRHSSRRYIFENVGVMLHGKQGFCTKLTNVLKHGGGQVFKTLQWLVKSLNQEKISVGVIVVEDEHKSSRHLKQCALEQGIPLMSTKWVIKSLHLGELLPLTENNRSSLVQTTKMVKIPALKETSMEL >KGN50318 pep chromosome:ASM407v2:5:6478720:6483444:1 gene:Csa_5G167100 transcript:KGN50318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFTETYKQTGPCCFSPNSRFIAVAVDYRLVVRDTLSFKVVHLFSCLDKISYIEWALDSEYILCGLYKKPMIQAWSLTQPEWTCKIDDGPAGIAYARWSPDSRHILTTSDFQLRLTVWSLVNTACVHVQWPKHTSKGVSFTKDGKFAAVCTRKDCKDYINLISCHTWEIMGVFAVDTLDLADLEWSPDDSSIVVWDSPLDYKVLIYSPDGRCLFKYQAYESGLGVKSVSWSPCGQFLAVGSYDQMLRVLNHLTWKTFAEFIHVSTVRGPSCTAIFKEVDEPLQLNMSELCLNDDSDSTEGHFRVRYEVTEVPITLPFQKPLADKPNPKQGIGLMLWSKDSQYICTRNDSMPTALWIWDIHHLELAAILVQKDPIRAAAWDPTCTRLILCTGSPHLYMWTPAGAYCVNVPLSEFAITDLKWNSDGSCVLLKDKEAFCCAAVPVLPESSDYSSEE >KGN52465 pep chromosome:ASM407v2:5:26323173:26324171:1 gene:Csa_5G636570 transcript:KGN52465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSDSSPSPSPPPPPRTLSMLLPFLLGLARTTTGSNLNQIVVLDHAARTVVVFEGHVLDSLLRDLSEKSGPLPASKASIDAMPRVGVTELGMDCAICLDGFEVDEEAREMPCKHMYHSDCIEKWLNVRGTCPVCRFAMPVDDRRKDGGGGGGGDEEEEEDMEGGEGGEGGEGRVVVVNLWVNDGEPMDVESGLEHGSEDSDSESQDEIMVEVDGSSTQEQEQDPSSEDSSSFSFSSFFN >KGN50296 pep chromosome:ASM407v2:5:6338928:6356898:-1 gene:Csa_5G166400 transcript:KGN50296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNAPLLLQFPILPSLLFPFSSLSLPSPTAPSIPFLTSLVHTSVLRKRFCFQAMPSAGGGDGLVNGGGAFSGFSLTESSFLASLMPKKEIAADRFIEANPEFDGRGVLIAIFDSGVDPAAAGLQVTSDGKPKILDILDCTGSGDVDISKVVKADEDGCIIGASGASLVVNSSWKNPSGEWHVGYKFVYELFTDTLTSRLKKERKKDWDEKNQEEIAKAVKVLDDFDQKHTKVEDPNLKRVREDLQHRIDILKKQADCYDDKGPVIDAVVWHDGEVWRVALDTQSLEDKPTSGKLANFVPLTNYKIERKFGVFSKLDACTFVVNVYDEGNILSIVTDCSPHGTHVAGIATAFHPKEPLLNGVAPGAQLISCKIGDTRLGSMETGTGLTRALIAAVEHKCDLINMSYGEPTLLPDYGRFVDLVNEAVNKYRLIFVSSAGNSGPALNTVGAPGGTSSSIIGVGAYVSPSMAAGAHCVVEAPSEGLEYTWSSRGPTADGDLGVCISAPGAAVAPVPTWTLQRRMLMNGTSMASPSACGGIALLISAMKAENITVSPYLVRKALENTTIPVGCLPEDKLSTGQGLMQVDKAYEYIRQSQNVPCVWYKVKINQSGKLSPTTRGIYLREASACRQLSEWTVQIEPQFHEDANNLEELVPFEECIALHSSEKTVVTVPDYLLLTHNGRSFNVVVDPSNLSDGLHYYELYGIDCKAPWRGPLFRIPVTITKPVVVVDRPPIVSFTRMSFLPGHIERRFIEIPHGSSWVEATIQTIGFDTTRKFFIDTVQILPLKRPLKWESVVTFSSPASKSFCFPVVGGQTMELAIAQFWSSGIGSRESSLVDFELTFHGVSTNKDEIVFDGSEAPVRIDAEALLASEKLTPAAILNKIKVPYRPCEAKLCTLPTDRDRLPCGKQILSLTLTYKFKLEDGAEVKPTIPLFNDRIYDNKFESQFYMISDTNKRIFAMGDAYPKFKKLPKGEYNLQLHIRHEDVQCLEKMKQLVVFIERKLEDKDTIKLNFFSQPDGPMIGNSAYKSSVLVPGKKEAFFIGPPSKDKFPKNSPQGSVLSGAISYAKLGIVNSSKESSRKMPAYYQISFIVPPTKPEEDKGKGSSPALTKTISERLIEEVRDAKIKFLSSLKPESDEEFSEWKKLCSSLKSEYPNYTPLLSKVLEGLISQRNIEDRSCHDEEVIDAANEVVDSIDRDELARYFALKNDPEDEDVEKIKKKMEATRDQLAGALYQKGLALAEIESLKSEVESTSTLVREDAKDAGKSEDSFEENFKELRKWVDVKSSKFGTLAVLREKRCGRLGTALKVVTDVIENNGETPKKKLYELKLSLLEEIGWSHLVSYEKQWMHVRFPSGLPLF >KGN50603 pep chromosome:ASM407v2:5:8562213:8564386:1 gene:Csa_5G190470 transcript:KGN50603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKEDLKLNEVIFTTVLSACSYSGMVEEGWRYFKSMIQDYNFVPSMKHYACMVDLLARSGKLDEALDFIKKMPVQPDVSLYGAFLHGCGLYSRFDLGEVVLREMLQLHRNEACYYGLLYNLYASNGKWGEVNKRRPSFHALSLLNVSRLPYPPTSRLTRLPSIQPTTLPSLSLVLRLSAPPLFTAIRNLELRIRALLIENGKFLLSPKPTRRTTSTEYIISMGAENILRSSSSYIGKLRWVIEVNGAPGTLYANETYQLQVDFPEHYPMETPQVIYFCIQLLCIIISIAMVTFV >KGN49907 pep chromosome:ASM407v2:5:3752661:3752852:1 gene:Csa_5G139845 transcript:KGN49907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWMFDGPPLCRWLLSGNSSWVLHDTTRTLSLSSFVPPLLSTYENLLLSKSVEKVDRARRFFLM >KGN50215 pep chromosome:ASM407v2:5:5851417:5853295:1 gene:Csa_5G160180 transcript:KGN50215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCIPHVPPGFRFHPTDEELVDYYLRKKVASKRIDLDIIKDVDLYRIEPWDLQELCKLAGSEDQNEWYFFSHKDKKYPTGTRTNRATKAGFWKATGRDKAIYARHSLVGMRKTLVFYKGRAPNGQKSDWIMHEYRLETNENATPQEEGWVVCRVFKKRMPTVRKAGDYGSPCWYDDQVSFMPELDSPTTQIFHHPAYPCKQELELHFHAPPHDQVFLQLPHLESPKIPTSSATNSLLPYAYDRDTGVTPLYGVEHTVDHVTDWRVMEKFVASQLSNDQDLKQSNYSHGAIFQVPPNSGGAATVSECDRKDMAPEFALASPSSCQVDMWK >KGN50529 pep chromosome:ASM407v2:5:7918663:7919017:-1 gene:Csa_5G180880 transcript:KGN50529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAKCGVIHMTSHNIECEGRWCGTPCYGRARRIKEVWDFIENMPIGLGITVYGATLGACNIHKNVESGESR >KGN49920 pep chromosome:ASM407v2:5:3833550:3838438:1 gene:Csa_5G140460 transcript:KGN49920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSNNPNPSEGFYLDPSGMALPGLGPFATSMAASEDLSKKIRKPYTITKSRESWTEPEHDKFLEALQLFDRDWKKIEAFVGSKTVIQIRSHAQKYFLKVQKTGGGEHLPPPRPKRKASHPYPQKASKNVAMPSQVPGSLQSTSPPVESGYTIRPDSSSILTCPGPAGAVPSWTVNSVQPLNSAQVPTTANNCCSSTESPSKARPLVETIDQGSNNHSLRVLPDFSQVYRFIGSVFDPNASGHLQKLKRMDPIDVETVLLLMRNLSINLISPDFEDHKKLLSSYEIDSGPIRHGDMDKPIYIDDHKSNLVSN >KGN51009 pep chromosome:ASM407v2:5:14569192:14569368:-1 gene:Csa_5G406540 transcript:KGN51009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAIDGSMRLASGGHKKNGGQMVDDGSMRLAGGGHEKIEVKDSSTWQLLENSQQSSS >KGN50223 pep chromosome:ASM407v2:5:5915915:5918067:1 gene:Csa_5G160750 transcript:KGN50223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVREVENNSVPEWVEILLGEKFFTPCSLHISCKKNDKTFFCLFCRSAICFSCFSSHRTHALLQIRRYVYHEVVLLGDAEKLMNCSLVQPYTTNRAKVVFLKERRRGKRRGLRSSSSSSSSGGGGWRSNNNNGNLCITCFRNLQYPYLFCSLSCKINQKVNEKIEIINKQKRKYENLPPRTTTENQTPTSVLDRDFSSAAAVKLAKKNNRSCVKSLAAVLCRPRCFPISGFATAVNRRKGVPQRSPLT >KGN49797 pep chromosome:ASM407v2:5:3203711:3206214:1 gene:Csa_5G129340 transcript:KGN49797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTSGFIHLPSSITSLINMYSRCNQMEEAVLVFRDPYHERNVFAYNAIIAGFVANGLAADGFQFYKRMRSVGVMPDKFTFPCVVRACCEFMEVRKIHGCLFKMGLELNVFVGSALVNTYLKVDGTEDAEKVFEELPERDVVLWNAMINGYTKIGHLNKAVVVFKRMGEEGISLSRFTTTSILSILTSMGDINNGRAIHGIVTKMGYSSCVAVSNALIDMYGKCKHTEDALMIFEMINEKDLFSWNSIISAHEQCDDHDGTLRLFGKMLGSRVLPDVITITAVLPACSHLAALMHGREIHGYMIVNGLGKNENGDDVLLNNAIMDMYAKCGCMKNADIIFDLMRNKDVASWNIMIMGYAMHGYGTEALDMFHRMCEAQIKPDVVTFVGVLSACSHAGFVHQGRSFLTRMELEFGVIPTIEHYTCIIDMLGRAGHLGEAYDLAQRIPLEDNLILWMALLGACRLHGNAELGNVVGEKITQLEPKHCGSGSYILMSSLYGVVGRYEEALEVRRTMKEQNVKKTPGCSWIELKDGLYVFSMGDRTHHELNALINCLCGFGYFHDEVMHSF >KGN51060 pep chromosome:ASM407v2:5:15339705:15348444:-1 gene:Csa_5G424890 transcript:KGN51060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFFDSILNWLRSLFFKQEMELSLVGLQNAGKTSLVNSIATGGYSEDMIPTVGFNMRKVTKGNVTIKLWDLGGQRRFRTMWERYCRGVTAIVYVVDSADRDSVPISKSELHELLTKPSLSGIPLLVLGNKIDKSEALSKQSLVDLLELETIRDREVCCYMISCKDSINIDVVIDWLIKHSKTAG >KGN50328 pep chromosome:ASM407v2:5:6525799:6529392:-1 gene:Csa_5G167200 transcript:KGN50328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEDDKKLRKCRKKRLFGADRRKRLLRLKCCVQNYDWGVIGCNSQVARLFFLNSGRCNVDPGECYAEFWIGTHKSGPSFVVYGRDNNAVAAFGSKPLSLKDCISVDPVAVLGDKVARKWGGDLPFLFKVLSIEKALSIQAHPDKDLARSLNEAQPSIYKDDNHKPEMALALTRFEALCGFISSKELKAVLSSVPEIVELVQCADAEKFSHDSEQDGKEKVKQLFESIFSQIMSSNKGIVREAVCKLKRRLSLEKKKRQLSAKEQLILRLESQYPADVGILAAYFLNYVELKPGEALYVGPNEPHAYISGECIECMATSDNVVRAGLTSKKRDVQALLSMLNYKQGFPEILRGVSLNEYTRKYAPPFDEFEVDRCILPQAASVSFPSVPGPSLFLVMSGKGTIITGYSEETTLQEGEVLFVPAYMEVSITATAIELHMYRAGINNRFFRDL >KGN52078 pep chromosome:ASM407v2:5:23580766:23589729:1 gene:Csa_5G609640 transcript:KGN52078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRAQLKEHADASLEIISIGSLYTGSWDKKYWSSSRGKDRYPYPVGYQAVRAYNGIKYKMEVLEGPKGPLFMILSMDGTSFSGQTPDIAWEMFQRKGCLHTKIWHGKRSSCKVDGAEFFGFKNPFIQRLLRELVANVSGTAELGVLPSNICNKASGSAQTAVEHHTIHECENVALVACHEKPKTARKRRSCHGTEMEKSLNGTNLKKVRNHGLRIRSTTTKHLSSAFANEVNQGFCEKAMCVQEKVAVSESTQAAHNVSIDEKHHDRLSMEKLEGISLEMETDGNSADGSIQMLYCPDTEDSNHHASHTSVTVESAPVSTEKKILNQHEFIIPEELVMDSHSEEIFSLDTNLGSNKNDFDSVGQDMVKSMMTFLLPQAIPLLKENSGRKEMATSNMERFICDGNTKNVLPIEIDGEKQEYMHIQCGSYEFAVPSLKFSKHGLDNHEGEHHDDHANINCNFSSIADNGQGKEDMQPIDSCERMNDELVNDHEATGNKKSSDSESGGNLRGTCQDDNLYVSECPPSTSSGRVLSDETMHNNKKTDGCPLYLEKKTPKVHVESHVDEQPCSSGSSSQLLHAKNANDSSVKTSTCSEALNKEDTVGQEAAGMDTLPSSQTPNIVYRRRKAQNVSHLGKEYKRQSNEGYDTSCLGKYFGAETSSLKSPHSYDINLFSIPENQQTEELRSEHPLREQSPIDCSYKTTMKAEAGLEKRCHHSPTFDVDEASIRANKSHDSGLLEKPVLKEDLEGCIDEGMIQHNNVLSINKYELSQEMGATLRDDSKNSYPSCNVELYREAEGMSKIVGSYLHPLPVLSVFLSNIENVIHICVLCGLLVEKNRTVITYTVEVKEPKVGYPSLVGHTTVLMPTLEDYLGKEIAVERTGFQLTPGGNYLVLIGGIRTPFCRTGSINCPCSTCTSGEFEENVVKIVQVKHGYVSTITSLRSTDILHCILVCEPDQLVAVGRGGRLHLWVMDPTWGKQMESHIIPSVNHISPNLVELKGIPEFSNLVVGHNGCGEFSLWDIRKRALMSRFYMPSASVNKFFPISLFSWKRMENLARKCNSSDYVKELLCATSISSRNTEEHSSFQPNDTAIWLFASTMSDYHVSDEYLSMDGQINHAEFWKLMLLANSTVTFGAELDLRASAIGASAGRGIIGTQDGLVYVWELSTGNKLATLLRFEGANVVCIATDNKETGVVAVAAENRLLVYLLSSDTKR >KGN50680 pep chromosome:ASM407v2:5:9370781:9374913:-1 gene:Csa_5G211530 transcript:KGN50680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVQNLSFHRFRLRIGVYGFVVISLLFQSFHLSWSLNEEGLTLLKFRERVVNDPFGVLSNWNDHKEDINPCFWFGVECSDGKVVSLNLKDLCLEGTLTPELKNLVHIKSINLRNNSFTGTIPQGLGGLEELEVLDLGYNNFCGPLPSDLGSNLSLGILLLDNNKDLRSLSPEIYQLQLLSEFQVDENQLSNTAEGSLCNKESMSCDAVQVKDSRGRRELRASASQAQLTIQGRVAEVVVPLTPPSPSGGNSDRPPSNSPPPSPPAGAQGSQPPPPGTGISTSNNATSPPPSFKAPSEKTPPAAPEGLPSPQPSSKQQGGKNKSSVGVVVGVSVGAAVFVIALAVGIYLWTNNKATVKPWATGLSGQLQKAFVTGVPKLKRSELEVSCEDFSNVIGYSPIGPVYKGTLSSGVEIAVNIISVKSSKDWSMALEAQFRKKIDTLSKINHKNFVNLIGYCEEEEPFSRMMVFEYAPNGTVFEHLHDEEFEHLNWRMRMRIVMGMAYSLEYLHEQSAPLIHLNLTSSAVNLTEDYAAKIAECSLQNKIVANERNCTSGHLLNTSSGGPESQIYSFGLVLLELMTGRIPHSAQNGTLEGWAIQYLKLDKPLKELIDPTLTSFQEEQLEQIGQLLRSCLHSNPEQRPTMKLITSRLRLITGITPDEAIPRLSPLWWAELEIASEGR >KGN50128 pep chromosome:ASM407v2:5:5247954:5255278:1 gene:Csa_5G154900 transcript:KGN50128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAKGSPDWLPSGWTVQYKVQKTGRKIRFYTNLENGKSFYYKDDVIGYIKSTQSQKSQPTSRGVKTQSGNSPLQLTVKSNEHPEWLPAGWKVESRTRMSGSNVGAVYKCYIDPVTDSRFYSKPEVFRHLRTVKNKLCTLKERRTSNGKKSRSRVVIEHYKDEDLPPGWIKEIKIKEKADGIRKDPFYIDPKSGYVFRSKKEVFRYLETGEISRHAFKPKEGGDEDQELISDKKSRSTVRGQKLEQSAATPQSLAGEEMATGRRSERPGVHIQQLKQRQNVSSALKDALVLPVETVEEIILPQEAVIKESTEIEEKSHKDLSSPKADHTEGNENERVSSDNVAVSICASESDQEIKALPKVQKLESNKIEIVVTPDNSPLINTASKLEHEKIAISNTMESGVNGRKTKTRKSKKKKDVNLPRRSSKRLAGLEPELVPKEDAKEVPQVSNRNIFTEVSPDAGLAVKADADVHNTDKASQQLDVRSEKDNKDHTPNHKDTPLRENHKDMSLCENPSNKRKTPLACGVDAPEEKIQRVETEKKDDGKMEAQLNVPIADFWSDPCLEFAIKTLTGALPVENATTTNEPVSNPTVNFLQGQSSVKNGPGSRMNKRTQGNKRIRNKKELTTSSQSPSINGLKPELASNIISFDQANPNHHSNEAVLAFNLSDGRIHGQPSKNEQYKTSCEILTPSELHHSLPQVDSERFNGISACHESNNMMLDDPHQILQTNDHMTSEVPLSFPFGDSWADPCLDFAFKTLTGAIPIDDSLEIQSFFEERLESSRSQKDSSPALPDFGSPNLFQNDISSHFDGPEKSVSGQHLSLDPQLSLGNVSLPSCSGFTSQQQSSVDRNRSFRGR >KGN49950 pep chromosome:ASM407v2:5:4013028:4016958:-1 gene:Csa_5G146240 transcript:KGN49950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEYSGSPKHHHIESRRKRVTWILAVSGLCILSYMFGAWQSTTTPINQSEAYSKVGCPDQTFPSTNTQSKAQSSTPTRSLDFDSHHGVEINNTIEAVTKTIFPCDMSFSEYTPCQDPTRARKFDRTMLKYRERHCPAKEELLHCMIPAPPKYKTPFKWPQSRDYAWYDNIPHRELSIEKAVQNWIQVEGDRFRFPGGGTMFPRGADAYIDDINELIPLTTGKIRTAIDTGCGVASWGAYLLKRDIVAMSFAPRDTHEAQVQFALERGVPAMIGIMASQRLPYPARAFDMAHCSRCLIPWGKNDGLYLIELDRVLRPGGYWILSGPPIRWKKYWRGWERTQEDLKEEQDTIEEVARRLCWKKVIEKNDLAIWQKPLNHIQCIKNKKVYKTPHICKSDNPDAGWYRNMETCITPLPEVNDSEEVAGGAVENWPERALAVPPRISRGTIPGITAENFEEDNKLWKERITYYKKMIPLAQGRYRNIMDMNANLGGFAAALVKFPVWVMNVVPANSDRDTLGVIYERGLIGTYQDWCEAFSTYPRTYDLIHANGIFSIYQDRCDITQILLEMDRILRPEGTVIFRDTVEVLVKIQTISDGMKWKSQIMDHETGPFNPEKILVAVKTYWTGETNQQQEKQA >KGN51810 pep chromosome:ASM407v2:5:22053743:22060113:1 gene:Csa_5G601600 transcript:KGN51810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSSSSPATLDSNPQPAEPENPNSQPIKPESAASSFPPPQSLPPTSLSVGINPTLHPPLVSTLQPPLSYAPSPLSGTMAVPPTAPSFRPVPLSAHHFSPMPGTGMMNPSYSNPGVQPPGVSSVAAPAPGMVSGGTGAVPVPVPQPMMAYQVPPGQVPNQVMRPYATMPNGFAAVPTATPQGAFPLPGVPRFPSPYPGMIRTPFPPRPPGAMGMVPGMPRPPIPGIPGVRPIIPPVVRPAILPSITPAEKPQTTIYIGRIAQSVDNDFMLSLLQLCGPVKSWKRAQDPSDGTPRTFGFCEFESAEGVLRALRLLTKLNIDGQELGLKGTQATRDYLKRYVEKKAENSKKLKETQASETKEEETDTTNVAKKETSKPSAEDSKEDHDSGEKDFDIACSAVLSDEDRDADREAKEKLTNALEERMKSRPLPPPPLLTTTDVSDNSSVEPSAKPKDGEADGDTSKPDAADDRNDEDTTSDHKQASEHDKPETSSPERSRRYDRRSRERDRERDLKRDKEREIERYERETERERARKEREQRRKFEEAERQYEECLKEWEYREREREKQRQYEKEREKEKERKRKKEILYDEDDEDDDSRRKWRRGALEEKRKKRSREKEDDSFDRQREEEEIAEAKRKAEEEQLQKLRDPPKLLPSQTISVSEKTVTAEESTIEIKVVASERESEIDSSCDNHIGDGILQNGSGDELNTIPSETRQSGGLPGKRLGFGLVGSGKRTAVPSVFHEEEDDEAHKEKKMRPLVPIDYSAEELQAVQPPSTGALPPNLAAAAEFAKRISNVNSKEEKPDSERERGRRPSEKSGHRDRNDEDTHRSKDENKATDRDRERDHVLDKVKTPDNKKLLDAKQLIDMIPKTKEELFSYDINWAIYEKHALHERMRPWISKKITEFLGEEETTLVDYIVSSTQEHVKASQMLELLQSILDEEAEMFVLKMWRMLIFEIKKVETGLAFRTKA >KGN50559 pep chromosome:ASM407v2:5:8193472:8194687:-1 gene:Csa_5G182640 transcript:KGN50559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVERFRCPEILFHPNWIGVDQTGLDEMTGVSLRRLPSYSDDIAERLTNSILITGGSCLFPGIRERLEAGIRMIRPCGSPIRVVRALDPILDAWRGASVYAAASQFTTQTFSRLDYYEKGEDWLRRYQLRKVSNVPTIPFYIICGSFF >KGN51241 pep chromosome:ASM407v2:5:17496160:17501155:1 gene:Csa_5G497050 transcript:KGN51241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMQFQFGSFQSHLLSTTNSRIQCRLLQPRPSFGIAFDIDGVLLRGQHPIGGSAKALRRLYVDSTFSGTLKVPFLFLTNGGGTPESRRAIELSELLGVNVLPSQVVQGHSSFKSLLNSFENELIIATGKGQPDLVMSEYGFKKVFSIGEYASFFENIDPVSHYKSWTSKQAFNSNCNPHELMRRQSVLSERVKAAFVVSDPVDWGRDIQVLCDVLRSGGLPGYQNGNLNQVPLYFAADDLEYQGAFPLKRLGIGAFKIALESIFNRIHHIPLEYVCYGKPNPLVFKNVEAVFKQILLSHCDDHFVNKGDIEVNGFKKLYMIGDNPVVDIKGAREAGRPWFSILTRTGVFKDKENHIEFPADLVVNTVEEAVDYIFASEHIS >KGN51102 pep chromosome:ASM407v2:5:15948809:15953509:-1 gene:Csa_5G441650 transcript:KGN51102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKLLFLVISLFFPFYSSSSSSLHPLVVDSEKFHLNSTAISDFRLLNRRSLISCPINLNPYIKLEVISKSKDGLLDEEFLDVVVSGVSIPSIDHWVALITPSNANVDGCPESKALYLQTGDLIYLRSDPDYLQCKKRECKKRVGNNCVIQTCNATVSFHVINFRTDVEVALFGGGFTSPCLYLRSQPLPFLNPSAPLYGQLSSLDSTATSMRLSWVSGDQNPQQVQYGKDGTRKTSIVSTFSQNDMCNTSRIQSPAKDFGWHDPGFIHSAVMTQLQPSTTYSYTYGSDSVGWSNQTTFRTPPAGGGGNDFHFIAFGDMGKAPLDSSSAEHYIQPGSISVVEAMKEEVERGEIDGVFHIGDISYATGFLVEWDFFLHLINPIASRLPYMTAIGNHERDYLKSGSVYSLTDSGGECGVPYETYFQMPNYGKDKPWYSIEMASIHFTIISTEHNFSINSPQYEWMKSDMASVNRSRTPWLIFMGHRPMYSSIRSIPPSVDPYFVDEVEPLLLQYQVDLALFGHVHNYERTCSVFEDNCKAMPFKDSNGIDTYDHNNYTAPVHAIIGMAGFELDEFFPINVERWSLVRVKKFGYLRGHATMEELSLEMVNADTREVEDSFKIIKSSNSTPKT >KGN52617 pep chromosome:ASM407v2:5:27351449:27353574:-1 gene:Csa_5G647400 transcript:KGN52617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETSKPSPISTLFFLLLLTAAAVSAQFPFPETTSTAVPDPGKFFSPNLLSSPLPTNSFFQNFVLNNGDLPEYIHPYLIRTANSSLSVSYPSRISNSSITQLQFFPDLVISSPKKTFNTTHFISSFSDLGVDLDIGVFRFHLVRGSPYLTFSVLKTSSVLISTSNGVRSVDSYEDYTKHIIRLNNGRSWVLYSSSAIYLVKSKSNQIVTSGGFIGVIRVAVLPDSAVESEKILDRYSGCYPVSGFVKLLGGFGFEYKWQKKGSGGLLMLAHTLHREILPRDQTVLQNIRYSSIDGDLLGVVGDSWDLKFNPIPITWHSINGIDSKFFPEIVAALKRDVATLNATELSSMPASYFYGKLLARAARLALIAEEVNGGAGVIPAVVKFLKNGIQPWLIGKFPKNGFLYERKWAGLVTKNGATSTTEDFGFGIYNDHHFHLGYFVYSIAVLAKLDPNWGKQYKPQAYALLYDYMNFRPKKSQFSIPFRNFDFWKLHSWAAGLTEFPDGRNQESTTEAVNAYYAAALMGLAYDDESLTAAGSTLTAAEITATQTWWHVKRENNGIYDKGFTEENRMVGILWSAARESRLWFAPAEWRECRVGIQVLPVLPVTERVFTDVGFVKEVVEWVQPALEREDAGEGWKGFAYALEGIYDKKSAVEKVKKLKKHDDGNSLSNLLWWIYSRPEGRR >KGN52448 pep chromosome:ASM407v2:5:26166094:26169624:-1 gene:Csa_5G635430 transcript:KGN52448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPHHHSHSFMFQSRPAPDHHEYIPSASFNTIPSCPPHLYFHDGVVPVMMKRSMSFSEVENGCEDVNGDEGLSDDGLALGEKKKRLNLEQVKALEKSFEVGNKLEPERKMQLAKALGLQPRQIAIWFQNRRARWKTKQLERDYEVLKKQFEALKADNDVLQAQNTKLHAELLALKTKDSGETAGGGGATMNLKKENERCWSSDNSCDINLDISNTQTPIGGSGGRGCSQPGMIKDLFPSAAFRSAAITQLLQHGSSRSTVDQHPQVIQEESFSQMFNGIEEQQQTAAAAGFWPWSTSDQNSHFH >KGN50906 pep chromosome:ASM407v2:5:13033699:13033956:1 gene:Csa_5G321440 transcript:KGN50906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQRKVQGPESGVRSPQDLSYVRSPQSAGFILRPESGGFLSVGLSIRLHLALLVVSVLENPKTKARRQAQRSWPTPWYNKISPPF >KGN51227 pep chromosome:ASM407v2:5:17401715:17404234:-1 gene:Csa_5G495940 transcript:KGN51227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEKTHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIESQSRFFSILMDATTPKYSKSRYDEIVKEVSSYLKKVGYNPEKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDLIQEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGIIKPGMVVTFAPSGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKEAANFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAEILTKIDRRSGKELEKEPKFLKNGDAGFVKMIPTKPMVVETFSAYPPLGRFAVRDMRQTVAVGVIKAVEKKDASGAKVTKSAAKKSGK >KGN51281 pep chromosome:ASM407v2:5:17855022:17862000:-1 gene:Csa_5G512880 transcript:KGN51281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNLKLYSESSLKLELQTNGEVIQFSAFDIERNRLFFLSSANFIYTTQLTSFHNERMKSVAMLPAEVHPIDVETGDYVTSFDYLMEKEALIVGTRNGALLLFSVDGNGTEIVGMVEGGVKRISPSPDGDLLCIISGLRQILVMTHDWDLMYENTLEDFPEGEPNFSEQNDFEGSISWRGDGKYFVTLSDVETSNTALKKLKIWERDGGSMHASSEVKTFVGGVLEWMPSGAKIAAVYDKKSESECQTVVFFERNGLERSSFCINERIGAKVELLKWNCSSDLLAGIVRCESYDSLKIWFFSNNHWYLKHEIRYSKKDVVRFVWDPTRPLQLFCWTVNGQITMHNFMWTSSIMENSTALVIDDAKILVTPLSLSLMPPPLYLFSLKFSSAVRDVAFFSKNFKNCLAAFLSDGLLCIVEFPAVDVWDELEGKEFNVEASTSESTFGSFQHIVWLDLHKLLVVSHYGSDDYNYVSQGSPNEEPFGFCLLEIDLKSPKDHVLGSPTCSGWGARISNRKFIEGPVVCVASNPAENCSAFIQLNGGKVLKYASRLGFPGEFLKQEDKSFSSSCPWMSVALVDNNGLLKPLLFGLDDVGRLHLNGMVVCNNCSGFSFYSNLGDQITTHLILGTKQDLLCILDISDLLHEKIEEKYNFFQASSKCKEEENRNFIYIWEKSAKIVGVLHGDAAAVILQTARGNLECIYPRKLVLASITNALIQGRFRDALLMVRRHRIDFNVIIDYCGLQAFIQSAVEFVKQVNNFNYITEFVCAIKNKDVTKTLYKNFISSSCTDDNKVGAPRESKDSCVKTKVSLVLLAIRRAVEEHMMESPARELCILTTLARSDPPALEEALERIKVICEIELLNSDVPRRTSYPSSEEALKHLLWLSDPDAVFETALGLYDLKLAAIVAINSERDPKEFIPYLQELEKMPFLLMCYNVDLRLSRFEKALKHIVSAGEDNFSDCINLMKKKPQLFSLGLQLITDNAKRKLVLEAWGDYLSDEKCFEDAAETYLCCSNLEKALKSYRASGNWSQVFIVAGFLKMSEDEILQLAHELCEELQALGKPGEAAKIALEYCGDINRGMALLINARDWEEGLRIAFRYQREDLVTELKNASAECASLLIGEYEEGLEKVGKYLTRYLAVRQRRLLLAAKIKAEESSMNNLDDDTASEASSNLSGMSAYSAGSRRSSAVTMSTTSGRKSREARRQKSRGKIRPGSPGEEMALVEHLKGMTLTAGPRSELKSLLISLVMLGKEETAKKLQRTAESFQLSQMAAVNLADDTISSDIINEQADTLENYVQVLKSEVQKLEAFSWRYKVFLSP >KGN50360 pep chromosome:ASM407v2:5:6693648:6695501:1 gene:Csa_5G168960 transcript:KGN50360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRNELRGASSKSKDWNKYITQGATTIHNINPKILVIISGLNFDNDLRCQRQYPLQLNNLHNKLVFEVHLYSFSGESQSKFIHNPLNKICSKVINGFVERAEFVMEGAEAVPLFVSEFGLDQRGVNEADDRFLSCFSAHLVEKDLDWALWGWQGSYYYRQGKVGPEEVFGVLNYNWSDVRNPHFSQMFQLLQTMLQDPNSNSSNTYVMYHPQSGQCVLVQDMKHMQIYLNDCSNASHWSYEGDGTPIMLASTNFCLKASGDGLPPSLSRDCFGEQSVWTAISDSKLHLATLTKQGNNGMCLEKESSNSSRILMRSCVCVGNDSNCLQDTQAQWFQLVVTNTL >KGN52093 pep chromosome:ASM407v2:5:23671061:23671983:-1 gene:Csa_5G609790 transcript:KGN52093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPILQFSPTKTKFPSSSSSSSSSPFFFTKTRTSVSQKVSISQGYKMDGYQDFELKVRDYELDQFGVVNNAVYADYCYHGRHELLEKMGVSRDAITRRGESLALSDLSMKFLSSLRSGERFVLKVRVFGISNVRIYIHHLIFKLPNMEAILDAKATAVWINKNNRPVRIPQEFFSKFADYVGKQQHHFKTEQN >KGN49685 pep chromosome:ASM407v2:5:2064610:2065870:1 gene:Csa_5G066910 transcript:KGN49685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLYDANMDLKNYELIPVLRICRDICVLDFVCSTLLARNCDFLSPVEDVIVYFGGCTTYDLPVAVAKTFRRCHCAPTGVDAT >KGN51465 pep chromosome:ASM407v2:5:19544259:19544628:1 gene:Csa_5G561250 transcript:KGN51465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYSAAALDSDSEVSHLPIHSQVEKIKKEIEKIKHPSLQQSDMNTHLLLRGISISKPRSRSPLGLPSDTSISVGN >KGN51893 pep chromosome:ASM407v2:5:22532175:22536380:1 gene:Csa_5G604380 transcript:KGN51893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAAKPLAKAASHLGYLLRTFFSPLIVFLDTGGDLFSTTTSHSTCNQRNPDSFHFSIHRDQLHFSARRARLRPVRMSTPARKRLMRDFKRLQQDPPAGISGAPQDNNIMLWNAVIFGPDDTPWDGGTFKLTLQFTEDYPNKPPTVRFVSRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARMFSENKREYNRRVREIVEQSWTAD >KGN51819 pep chromosome:ASM407v2:5:22113062:22118719:1 gene:Csa_5G602180 transcript:KGN51819 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trehalose-6-phosphate synthase MASRSTANFLDLASGDLLDVPRTPRSLPRVMTAPGIISELDDYGSNDGDSESSSVYRERKIIVANMLPVHAKKDGQSGKWCFSLDEDSILLQLKNGFSPEMEVIYIGSLKVEIDASEQEEVAQKLFDNFNCVPTFLPHDLQKNFYIGFCKQQLWPLFHYMLPMCPEHGDRFDRQLWQAYVSANKLFADKVMEIINPEEDSVWVHDYHLMVLPTFLRKRYNRVKLGFFLHSPFPSSEIYRTLPVRDEILRGLLNCDLLGFHTFDYARHFLSCCSRMLGLDYESKRGHIGLDYYGRTVYIKILPVGIHMGRLESVMNLPSTFAKVKEIRDQFMGKKLILGIDDMDIFKGISLKLLAVEQLLRHHPALRGKIVLVQIVNPARGSGKDVHEAQKEAYLAAERINEAYGSSNYKPVILIDRHVPRFEKTAYYALAECCIVSAVRDGMNLVPYKYIVCRQGTPLMDEAMGLQIGSPRTSMLVVSEFIGCSPSLSGAIRVNPWDIDAVADALELAITMQESEKQLRHEKHYRYVSSHDIGYWSRSFMQDLDRACQDHYSKRCWGIGLGLRFRVVSLSPGFRKLTIDHIVSAYKRTHRRAIFLDYDGTIISQSSIIKTPSPEVISVLTTLCNDPCNTVFIVSGRGRSSLGQWFVSCEMLGIAAEHGYFIRWGGTSEWETSPLSSDLDWIKIVEPVMRLYTEATDGSCIEQKESALVWHHQDADSDFGSCQAKELLDHLENVLANEPAVVKRGQHIVEVKPQGVSKGLVAEKVLSRMVDSGRPPDFVMCIGDDRSDEDMFESILSTVSSPSLPSAPEIFACTVGRKPSKAKFYLDDTSDVVKLLQCLASSSRPRPRQLPQMRVSFESVF >KGN51306 pep chromosome:ASM407v2:5:18061934:18063209:1 gene:Csa_5G516570 transcript:KGN51306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMVLPALRYVGPTIGLAMGIDGPLLAPHALPLDFLVEIELHVFNFVIPVQVSTARVEIQLDFDFLAVVHKAIDRLCAGVAKLFAVVAAGPWWGFKELEGGLWEEFMKNW >KGN52256 pep chromosome:ASM407v2:5:24675562:24680057:-1 gene:Csa_5G622710 transcript:KGN52256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFCLPSTFSSCIRSSAATNSMAMAAFLSHHPKILPQPFLSTTFRFISLSTSTKPPRKLFQVRSVATPAEGIAGFESMISGTERKYYLLGGKGGVGKTSSAAALAVKFANSGHPTLVVSTDPAHSLSDSFAQDLTGGTLVPVEGPDSPLFALEINPEKAREEFRTTAQKNGGTGVKDFMDGMGLGMLVDQLGELKLGELLDTPPPGLDEAIAIAKVIQFLESPEYNMFTRIVFDTAPTGHTLRLLSLPDFLDASIGKILKLRQKIASATSAIKSVFGQEEKRLDAADKLERLRERMVKVRELFRDKESTEFVIVTIPTVMAVNESSRLHASLKKESVPVKRLIVNQILPPSASDCKFCAMKRKDQLRALDLIRNDPELSSLLVIEAPLVDVEIRGVPALKFLGDIIWK >KGN50948 pep chromosome:ASM407v2:5:13623523:13625949:-1 gene:Csa_5G359160 transcript:KGN50948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIFVFKQLPTFTPLNFKPFFFNFSTQILSKRGNFDSATRQKSSKFLVYCNSQITKLGRNGCIKEAESIFNRMPNKNTVSWTALLTAYAENSEINKAREVFNKIPDPNVASYNAMITAYHRRNMVDEAFELFSSMPQRNSVSYATMITGFVHAGMFDMAEKLHREKPVIVSSNVLINGYSKVGRVEDAVRIFDGMAEKDVVSWSSMISGLCRVGKIVEARKLFDKMPDRNVVTWTLMIDGYMKMNFLKDGFILFLNMRREGVEVNATTLTVLLEACGSFDRYGEGIQIHGLVLSLGFDVDAYLANSIITMYSRCYSIDAAAKQFDLMVKKDIVTWNSLITGYVQSGNLEKAVFLFENMPQKDVVSWTTLICGFASEGRIDEFIGLFQMMPEKDAIAWTAVISGLVSIEEYEIAFHWFIKMLQSVIKPNAFTLSCVLSAGASMAILNQGLQIHAIVTKMSMENDLSIQNSLVSMYSKCGNVDDALKMFYYIKVPNVVAYNTIITGLAQNGLGKEALEIFTKMQDDYLVPNHITFLGVLSACVHVGLVEEGRRYFDLMRSLYDIQPEPDHYACMVDLLCRAGMFDEAVSLVSSMPFDPHQGVWGAVLGASWTHLRLDVAELAAQNLFELEPNSATPYVILSNLHSISGDERKHELIRLMKKSRGLKKSPGCSWIILKDEVHLFHAGHQSIKNIKEMTEIIYALAEEVGRWKTS >KGN52711 pep chromosome:ASM407v2:5:27910645:27919965:-1 gene:Csa_5G651690 transcript:KGN52711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKGRESLVRLVGKRRRFLPNRLAILESTLNLCSDDHCNPLPAEKNLDPCDDGDIESRTSREYVTCPVCSCRVNGEDSIINSHLDECLSRGTKRKLTQSTLLQLNFYSRSKVQHQAHVLKSEKKESSVGPGDGPMPNNIHKLPKDASYIENDEIVCDSLVECAMRPQKDCLFDTLNHCEGSNGASEICCSPKNKISEMVLGKDDLSGMILQTFIVGRKFSNEKELNLGERISLERDPTNVKDPNAIKVISADSECCKMLGYLPRELAQFLSPLIEKYYSDIFQGLVTTAPRSSVDVVPIEVMCDNKLFHENNFDNEEFKSLWTSIQKAIDSTKIFTPIALKYQKNFSLLIQEVLQSYSHLLSGDEKHFLDVFSSLSDDSQRLFIRLYLRKGPWFRMSCTSYKEVLDPKRAAKELSEAGYLCCFDTTEADNTDMIQILNILAVSELREIMHLLKKGASGVRCRVVNSGEICRRDVHEGLTRSGV >KGN51085 pep chromosome:ASM407v2:5:15675438:15675722:-1 gene:Csa_5G431530 transcript:KGN51085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARMSGCGRSRGGWEVAEDKTVCLFGGERVGEREGEEESWGRGFTSEGEGNGDGEEVVECGGGRDEDDDVGEGVGAAVAGTKSEEERVGSGGVP >KGN49878 pep chromosome:ASM407v2:5:3629773:3638445:-1 gene:Csa_5G139560 transcript:KGN49878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNLQASLFCNPSFSSLPPLLSSSSSTKIFHFSFSLPSRSISYNPLWPSGFRFNHSSKSSIHCTLHPDYGNFNPESISSPGGNMGSGPQDFNLGGFGDQGADFDGSRVEGSDSSEILMNIEAGAMATDEIPEPVLDTPGNVEFDSGIQSEKEGKWRKLPFVVFLMGFWAATRRRFQKVIEILMDWYSWWPFWRQEKRLERLTAEADANPKDAAKQSALLVELNKQSPESVIRRFEQRDHAVDSRGVVEYLRALVATNAIAEYLPDSESGKPSTLPSLLQELKQRASGNVDESFVNPGISEKQPLHVVMVDPKVPNKSRFMQELISTILFTVAVGLVWFMGATALQKYIGSLGGIGTSGVGSSSSYAPKELNKEVMPEKNVKTFKDVKGCDDAKQELEEVVEYLKNPSKFTRLGGKLPKGILLTGAPGTGKTLLAKAIAGEAGVPFFYKAGSEFEEMFVGVGARRVRSLFQAAKKKAPCIIFIDEIDAVGSTRKQWEGHTKKTLHQLLVEMDGFEQNEGIILMAATNLPDILDPALTRPGRFDRHIVVPNPDVRGRQEILELYLQDKPLDDDVDVKAIARGTPGFNGADLANLVNIAAIKAAVDGAEKLNSSQLEFAKDRIVMGTERKTMFLSEESKKLTAYHESGHAIVAFNTEGAHPIHKATIMPRGSALGMVTQLPSSDETSISKKQLLARLDVCMGGRVAEEIIFGEDHITTGASSDLNTATELAQYMVSSCGMSDAIGPVHIKERPSSELQSRIDAEVVKLLRDAYNRVKALLKKHEKALHALSNALLEYETLSAEEIKRILLPYREGQLPDQQDEVEQQGDLVLA >KGN51315 pep chromosome:ASM407v2:5:18096858:18099821:-1 gene:Csa_5G517130 transcript:KGN51315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCRVCVAGGDLWVKVGARVGGVGQMGGFSHESEHDLALMVSDFLENGSGGGDSWCSSDSDSGVSDLAHLAEKIVFYKNPVSQYESDLLSVVHSLTLSMNEKDLNMNKAGPCNASCIRFVLVKLLRRSGYDAAVCTTRWQGAGKVPGGDHEYIDVVNYTSGSSERLIVDIDFRSHFEIARAVESYDRILNSLPVIYVGSLPRLKHFLQIMVEAAKSSLKLNSMPLPPWRSLAYLQAKWQSPCQRMLHPEEQQQLGSRDMLMSHKQCIGHLKRLQSVLQSEIETDRFLRPVNGDNIRKIKSERRRHSLLRTI >KGN51865 pep chromosome:ASM407v2:5:22381509:22383552:-1 gene:Csa_5G604100 transcript:KGN51865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPSPLFTISAILLFSLLFHVHSFCPPFSSQPRFPFSSVSGHGHPSFQVHCSSPHSLISINGLSFSLLSFNISSTTLLLSPLPLNLTPTPKQSNHSCFSLRSSSIPTRSIDFSGSPFRISDGYCSRLSLLRPCSPPHLPNCSHCPWECNLIKKPVNLLHGCGVERQSVSEQGCQEEVLEYLDRILRLGFEVEWDKDQDPYFIKCNDCEANKGVCGFNSSDPDRKFICYYTRTRYSYPNRIAILSSVFALMCLLLVIAVMLAFFRSRWLRSFAIEVDPTAQFLSRHRSPNLLPPVFPYEELESSTNRFDPKRKLGDGGFGSVYLGQLNDGRLVAVKYLHKHHAAATASSGKAFFTKSFCNEILILSSINHPNLVRLHGYCSDPRGLILVYDYVPNGTLADHLHGPKCSYRKGSLSWQVRIDIALQIAMAMEYLHFSVVPPIVHRDITSSNIFVEKDMRIKVGDFGLSRLLVFSDTTSSSSGYVCTGPQGTPGYLDPDYHRSFRLTEKSDVYSFGVVLLELISGLKAVDQSRERREMALADLVVSKIQMGQLHQVVDSVLGVDGEVIDGVEAMAELAFRCVAADKDDRPDAKEIVEELRRIRNCTRGGVRSSISNSTEQRRDIFFDALLVNVKYPRGLSFAESAEGTRGDCKM >KGN49744 pep chromosome:ASM407v2:5:2794340:2796306:-1 gene:Csa_5G098480 transcript:KGN49744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGENNNDQTPTIPLLTPYKMGKFNLSHRIVLAPLTRHRSYNNVPQEHAILYYSQRTSKGGFLIAEATGVSESAQGYPNTPGIWTKEQVEGWKPIVDAVHSKGGVFFCQIWHVGRVSDSGSKPNGQAPISSTDKPLSPQVEANGDIEYFSPPRRLRTDEIPEIVNDFRLAARNAIEAGFDGVEIHGAHGYLIEQFMKDHVNDRTDQYGGSLENRCRFALEVVEAVVNEIGGDRVGIRLSPFADLMEAGDSNPNALGLYMVESLNKYGILYCHMVEPRMESVPEESECPRSLLPMRKVFKGTFIAAGGYDREDGIRAIAENQADLIAYGRWFLANPDLPRRFEIDAPLNKYNRKTFYLSDPVVGYTDYPFLEE >KGN49746 pep chromosome:ASM407v2:5:2839939:2840151:1 gene:Csa_5G098990 transcript:KGN49746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTAEAPRWIPKRGEVLRRVLKNLFFFCLCSPPALPPPTPSSSRVFTRIYAQLWDSGIR >KGN51693 pep chromosome:ASM407v2:5:21330525:21334107:-1 gene:Csa_5G590100 transcript:KGN51693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGRMGGYRDYDMKDRDSSFDVTAKEGYERGRGGNRESNKSRGRDVRDRIRVRQKDIKEREVGNGSLRSSSKSDSGSSGGIASHGLKQKVLVVRTMDREPGELSSESGSDDATDSGLRSKNSESAKVVENGIRSPPEKKRKFSPIVWDRDDKEETTSTRNKVAKAATASSVPSPKGQKQSPNAILDTLDDMHTADGRSKDPEYLQPPSLVESSARDLGSDEFSANGSPRMPSSASLRKPWENDLEAENFGDDDYAPTRNISSSRWAAGNNTPGDEGEILDEEMPKRRKTTPISESLEGSKVQRKSLTPEIGEVKRQGSEAGTRSSESTERGERSRSSSANHYLGDSEKDEGMDLGDEIRRMDTSSSRSDTDSEDETESPEEAEPSGHPPQRSVNMLQGCRSVDEFERLNKIDEGTYGVVYRARDKKSGEVVALKKVKMEKEREGFPMTSLREINILLSFHHPSIVDVKEVVVGSSLDSIFMVMEYMEHDLKALMETMKQPFSQSEVKCLMLQLLEGVKYLHDNWVLHRDLKTSNLLMNNQGELKICDFGLARQYGSPLKTYTHMVVTLWYRAPELLLGTRKYSTAIDMWSLGCIMAELLSKQPLFNGKTEVDQLDKIFRTLGTPNETIWPGFSKLPGVRVNFVKHQYNLLRKKFPATSFTGSPVLSDSGFDLLNKLLTYDPEKRITAEAALNHEWFSEVPLPKSKEFMPTFPAQHAQDRRLRRVMKSPDPLEEQRRKELQQGELGTSGLFG >KGN51066 pep chromosome:ASM407v2:5:15464105:15467403:1 gene:Csa_5G426430 transcript:KGN51066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHNDENIPPLQKEQPKNKWDDEDVDENDVKESWEDEDEPAPAPVVKPPEAAPKKPAAKATEKKGKIVDVEPERPLDPLAEKLRQQRLVEEADYKSTTELFKKKGDEKTLDNFIPKSESDFVEYAELISHKLRPYEKSFHYINLLKDAIRLSMVSLKAADAKDVASSITALANEKLKAEKDANTGKKKTSVKKKQLHVEKADDDLIVNTYDDVDDYDFM >KGN49992 pep chromosome:ASM407v2:5:4322946:4324080:-1 gene:Csa_5G148630 transcript:KGN49992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVILHRVLEESAPVLVSARLDAEERRNNMRLREEQDAAYRAALEADQARERQRREEQERLEREAAEAEKKRKEEEEARERAAREAAEREAALARLRQEKAMSLGAEPEKGPNVTQVLVRFPTGERKERRFHSTASIQTLYDYVDSLGCLEVDSYNLVSNFPRVVYGADKLSMSLKEAGLHPQASLFVDLTS >KGN52436 pep chromosome:ASM407v2:5:26053646:26056011:-1 gene:Csa_5G633830 transcript:KGN52436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSKLSPYEITALIFISWLVTGWLLTMTIKGGTSQACAACKYQRRRCSKDCALAPYFPADQPKMFQNAHRLFGVCNIMKILKQVHPSQKDETMTSIIYESNMRSRFPVHGCCGVIWQLHYQIQQVAEELRLVRSRVDMVKEQFNQMNNIGISETAGVVGNNDVAYPIYTQQPQQQQYNSQQQQYNSASLVNQGTDASDLLFGNLNMNNGGIYVDNDDNNGLMLKELRIQQHYNDNYGINMSNVDTMVMQSSLIPGVQAYPLQQEMEISHGYDDQIAFDTIADDRQSYIESKEACDSSAESTLKDVSETAEYVSTSRTTDLKNAAACFSLTSHVK >KGN49738 pep chromosome:ASM407v2:5:2765905:2766171:-1 gene:Csa_5G096450 transcript:KGN49738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLGLYYVMDGHGIDPLASFFLDLGYTQKEELSFLAKKLKAFWFSPPSISNAANDGDEVNGPLSRVFISQLLVDKSVHITTSCGSNE >KGN49921 pep chromosome:ASM407v2:5:3839357:3840071:1 gene:Csa_5G140470 transcript:KGN49921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRETSARKSLTIRKQIIPVSAKFRVNVAHKFLTMLMDFLFKDVLRCGWSHIPSPCGAASLQLPLWTGHETNILIQSFTRYGANALCSYITGVNVTKKLLSMLMAPVWNLHRLLVLEMGTIASHSDSIDLPYDCGSSV >KGN49647 pep chromosome:ASM407v2:5:1447677:1453309:-1 gene:Csa_5G044010 transcript:KGN49647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQTFQIRHLSLKTRRIPPNLFGLSSFRCSFTRNAEKLEYDDAPDPPYVDLPKPRVCESSRKPYPTPMKVLIQRAKEERVARKAQPCRMVEYPPDNGLLVPDLVHVAQSVYLAWKMLHFGISRLLKAVPIQRCRFCFEVHIGHVGHEIRTCTGEKSGFRSATHIWRKGRVQDVVFFPKCYHLYDRVVKPRVGHDERHDIPRIPAILELCIQAGVDLEKYPSKRRTKPVYNIEGRIVDFESVKEMNEVKTGVSTKISDNFVKSDFGIELEGTSKSSLEKFSNTLDQQYEVDTEVRQLSIQTLDSWLEMVSGAKKIMEKYMVQTCGYCPEVQVGPKGHKVRMCRASKHQSRNGLHAWQEATIDDLVGPNYVWHGRDLKAPPLDNKLKRYYGKVPAVVELCVQAGAPIPDQYRSMMRLDVVCPDSDEVDLVA >KGN52458 pep chromosome:ASM407v2:5:26292312:26293572:-1 gene:Csa_5G636510 transcript:KGN52458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLAPAPITTSSNNTKSPDPDSDTPTKIPPSFTNGVLKRHHHNHHHHHRPPSSTVVVSYKECLKNHAATLGAHALDGCGEFMPSPSATPSDPTSLRCAACGCHRNFHRRDPEDPISTLAINTAPTHVIEYQPHHRHHPPPPPLAGNRSPNSASPPPISSSYYPSAPHMLLALSAGLSGRPPENHTQGNNSVPTVSPNTRKRFRTKFSNEQKEKMLDFAERVGWKMQKRDEDLVRDFCNQVGVERGVLKVWMHNNKNTMGKKPDSNGDATATAIATVAAAIATVNNHEDEPDGGLHMHIGSNGSSSSS >KGN50659 pep chromosome:ASM407v2:5:9083190:9083945:1 gene:Csa_5G204410 transcript:KGN50659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASISSSPRSVEDIFKDYNARRTGLVRALTYDVDEFYSLCDPEKENLCLYGHPNESWEVTLPAEEVPSELPEPALGINFARDGMKRRDWLSLVAVHSDCWLLSVAFYFGAQLNRNER >KGN50550 pep chromosome:ASM407v2:5:8093541:8097076:1 gene:Csa_5G182060 transcript:KGN50550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQSSNGDFYTSTKAPPPPSPLRSAKFFQANMRILVTGGAGFIGSHLVDRLMENEKNEVIVADNYFTGSKDNLRKWIGHPRFELIRHDVTEPLLVEVDQIYHLACPASPIFYKYNPVKTTKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLVHPQDESYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFLAQAIRSEPLTVQAPGTQTRSFCYVSDMVEGLIRLMEGDNTGPINIGNPGEFTMLELAETVKELINPNVEIVMVENTPDDPRQRKPDITKAQEVLGWEPKINLRDGLPLMEDDFRARLQVPR >KGN50910 pep chromosome:ASM407v2:5:13038525:13039466:-1 gene:Csa_5G321480 transcript:KGN50910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTGLKAVNGLVPIGRGQRELISGDRQTGKTAIAIDTILNQKQMNSRAESETLYCVYVAIGQKRSTVAQLVQILSEGNALEYSILVAATASDPAPLQFLAPYSGCAMGEYFRDNGMHALIIYDDLSKQAVAYRQMSLLLRRPPGREAFPGDVFYLHSRLLERAAKRSDQTGAGSLTALPVIETQAGDVSAYIPTNVIPITDGQICLETELFYRGIRPAINVGLSVSRVGSAAQLKAMKQVCGSSKLELAQYREVAAFAQFGSDLDAATQALLNRGARLTEVSKQAQYAPLNIENGIRPTINVDLSISCVGSVA >KGN50267 pep chromosome:ASM407v2:5:6192510:6192740:1 gene:Csa_5G162650 transcript:KGN50267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTKYSIIIVGDNFGCGSSREHVPVVLGVSGAVAVVAESYAHIFLTANSVATREIYPLESETRISRILKLNNNNNN >KGN51925 pep chromosome:ASM407v2:5:22721688:22722918:-1 gene:Csa_5G605190 transcript:KGN51925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLLLNTSNLKKVHGGMAFQNVSGLLLKTSMPFGLQSKPFDFRSLKTKHCDVKPLTIQARGNSKTESAKVRNRRMQKKYNGTPTRPRLSVFCSDKQLYAMLVDDQNKKCLFYGSTLQKSMRPTPSCTTIEAAQHVGEELVKVCMDLNIHEISLYDRNGFACGEKMQAFEIAISRYGFLQ >KGN52027 pep chromosome:ASM407v2:5:23262125:23263155:1 gene:Csa_5G608150 transcript:KGN52027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIQSDHQLKQLHDIFRRFDMNSDGSLTQLELGALLRSLGIKPSGDQLHSLLSNMDSNGNGSIEFDELVNAILPDMNDDILVNQEQLMEVFRSFDRDGNGYITAAELAGSMAKMGHPLTYRELSDMMRQADTDGDGVISFNEFTTVMAKSAADFLGLTFA >KGN51560 pep chromosome:ASM407v2:5:20440027:20441024:-1 gene:Csa_5G577990 transcript:KGN51560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRELGRRGYSFVNGILEHVKLSPNIAKTVKGKLWLGTKLLQFGGSENIFHKMFNLEHGDKLLNSAHCYLSTTAGPIAGLIFVSTHVVAFCSDRPIIISSPHGEIGKIFYKVMIPVNKVKRVNQRNNVNNPAKKYIQVVTVDDFDFWFMGFLNHEKAFKFIQNGVSVDSEDWPEEAQRKCCPGKFKTL >KGN50795 pep chromosome:ASM407v2:5:11067850:11068148:1 gene:Csa_5G264285 transcript:KGN50795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVKIERMRGQAHDRLTNKLAAVRHKAEEKLAAAEAKRNRQAAIAEQQADHIRQTGRIPSLFSCFYCCS >KGN52127 pep chromosome:ASM407v2:5:23864751:23868732:-1 gene:Csa_5G611090 transcript:KGN52127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSSPLLEWTDGRRETTRAAFLSEAKTVGFLAAPLAAINLSQFLIQTGSLMIVGHLDELSLSSTAIAVSLAAVTGFSLLIGMSNALETLCGQAYGAGQYKKFGNHVYTAMVCLLVVCLPITLLWINMGKLLVFVGQDPLISLEAGKFMICLIPGLFAFSFLQPLMRYFQMQVLVIPMLVISWITFCVHIPLCWVLVYKTRLHNLGGALAMSISYWLNAIFLGLYMKFSPKCERTRSAISMEVFKGIGVFLRLAIPSAVMTCLSWWSFEMIILLSGLLPNPELESSVLSVCFNTLTTVFTLACGIGSAGSTRVSNELGAGKPQAARIAAGAAIFLAVVEIFIVSMVLFALRHVFGYAFSNEKEVVDYVAAMAPLVCLSIIFDAIQGAISGVIRGCGWQRVGAYINLGAFYLCGNPAAIALGFWAHLGGRGMWIGILTGAFIQMFLLSIVMSRVNWNKQAEAARERIFGGKEENKYDEQIVV >KGN50031 pep chromosome:ASM407v2:5:4591656:4594826:-1 gene:Csa_5G150980 transcript:KGN50031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFNWKPPVPFKRNHVLILKLVLPILLLGIAFRFLFAGSGDVPAILETPLTERLAAPTILENPLIDKKQVPAILETPLSKKSEDLEEPETPEDENQKPLEGKCNLFVGEWIPNPSGPVYNNESCPHIEAHQNCMKNGRPDTEYLYWRWKPRDCELSKFDPKKFLERMRDKTWALIGDSISRNHVQSLLCMLSTVELAVHIYHDEGYKNRKWRFPSYNFTISVVWSPFLAEAAIFEDYNGVSTSEVELHLDKLDKKWTSEFHQMDYVIISSGKWFVKSAIYYEHNKVLGCHTCPKKNFTELGIEFAYRRSLRSALNFILASKHKGMIFMRTSTPDHFENGTWSDGGTCDRRAPIKEGEMELKELTKILRIVELEEFKKAEVKASQNGLNLKLLDLTQLSLLRPDGHPGPYREFQPFANDKNAKVQKDCLHWCLPGPIDAWNDVIMEMMLNG >KGN51223 pep chromosome:ASM407v2:5:17377785:17378659:-1 gene:Csa_5G494420 transcript:KGN51223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVKYNPDLLDVLDVQDDEDTLSFRDFPILEPQSEAGDSCSDHDDRQDFFEFRTDLKRKTSSLLPPSEIVFCGRTLDIFRDEDSASSRNRSESSLIRNQSFRYGNGSRPFSSSAVGGLFEIQRSNSRRPMALIGLTKTPSRIELSEIRKRQARLAPTPMFHVVPKETTVSVVTADGYESGISPWRLIKPLRYRSVVVRVLAKAASTCMSLV >KGN50652 pep chromosome:ASM407v2:5:9000087:9006272:1 gene:Csa_5G202360 transcript:KGN50652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGPSRPQFVLFGSSITQFSFANGGWGAILADVYARKADILLRGYLSWNSRRAVQVLDQVFPKDTVVQPSLVIVYFGGNDSMGPHPSGLGPHVPLPEYIDNMRKIATHIQSLSDKTRLIFLTCPPVNETKVRGSQSKFLSELVRTNELCKAYAQACIKLCQEIGVKVVDLFTAIQKRDDWMNVCFTDGIHLSAEGSKVVVEEIMKVLKEAEWKPSLYWKSLPTEFAEDSPYDLVTADGTKTLNGSDWIIHRDIMWD >KGN49787 pep chromosome:ASM407v2:5:3142269:3147043:-1 gene:Csa_5G128250 transcript:KGN49787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGSTLLTDLPFRRPHPLTLLRPSNIPSFHPLHISLQNNRLRSYFRCSIAEGSTALSPSNASSQSSILDCVVVGAGISGLCIAQALATKHPDVAPNIIVTEAKDRVGGNITTVERDGYLWEEGPNSFQPSDPILTMVVDSGLKDDLVLGDPDAPRFVLWNGKLRPVPAKPNDLPFFDLMSIGGKIRAGFGALGIRPPPPGREESVEEFVRRNLGNEVFERLIEPFCSGVYAGDPSKLSMKAAFGKVWRLEQNGGSIIGGTFKALQERNKTTKPPRDPRLPKPKGQTVGSFRKGLTMLPNAISTCLGSKVKVSWKLSSISKVDDGGYSLTYETPEGLVSILSRSVIMTVPSYIAGTLLRPISGKAADALSKFYYPPVASVTISYPKGAIRKECLIDGELKGFGQLHPRSQGVTTLGTIYSSSLFPNRAPDGRVLLLNYIGGATNTGILSQTESELIEVVDRDLRKILINPNAEDPLPLSVRVWPQAIPQFLIGHLDVLDTAKAGLREAGMEGLFLGGNYVCGVALGRCVEGAYEAAAEVAGFLSKKVYK >KGN51041 pep chromosome:ASM407v2:5:15062596:15063114:-1 gene:Csa_5G418270 transcript:KGN51041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFSVRMDILHALPAATNLRMNVQPARGLLAIIVVEQLRRFLNPLNFPANNQSLDGNGSDHKKTCLYAPCLCPYFDCKFMASSKQLSLHFSNKHTDSATNFHFRSSFTICLKTDDTYHVLQEQDGFLFILSNSFKNLGNVVKICCLQPPLNETFSYDLRAETQDLPWFYNLP >KGN51203 pep chromosome:ASM407v2:5:17122428:17122953:1 gene:Csa_5G488790 transcript:KGN51203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLLNCTHFNLLFKGDKNKKFFLTIPDILNCVFEPVFWHLRNLFSVWNYTKNHINLLGFRQPPSIGILSQLWKSLIPGLVGALFQFLHQKGNSTNSLPLPSNSTRKDKGTQGHRQALSKSSSRSIAVSVS >KGN50509 pep chromosome:ASM407v2:5:7792733:7793461:1 gene:Csa_5G179740 transcript:KGN50509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLHYSRSLLIKIIANFLIFFTTIITLSSAARTFENPTQNRHYRHHRLSFSMRDVFNSTNHHYSPTKSTMTNKQLPFSKPLGFFPPNQGIPISQTYPTTGSFSSQTPDFSTIGISFPSRSTLQELEYGMVRGIDEELFEISKSKPHVIGRIEGFYVENSEENSGGHMMGMTMYFGKGEAKDGIRVFGVYRSDHVKESHVAIIGGFGKYHGANGYATLKKSFRTKFGGKYNKFIEFNVYLSK >KGN49593 pep chromosome:ASM407v2:5:650427:650744:1 gene:Csa_5G016915 transcript:KGN49593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNRSLNLLPNHFPVIIKNLFASSIIQINSILSCTPNTSLVSTIIGHKIRSTFKTIKFQIPYFPFQSTMKTGRGNPIPFKRPILFFVWKQYMQSQIVIFRVAMAV >KGN50689 pep chromosome:ASM407v2:5:9457110:9457532:1 gene:Csa_5G212600 transcript:KGN50689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGLKKHLKRLNAPKHWMLDKLGGAFAPKPSSGPHKSRECLPLILILRNRLKYALTYLQF >KGN52342 pep chromosome:ASM407v2:5:25231057:25231855:-1 gene:Csa_5G626020 transcript:KGN52342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKNTFQSGFLSILFSLGSKPLQIWDKEGECFRYRDAVFILHLIKDSLVCLVFEYTAIKFLNGLKLGIFPGWGQ >KGN50066 pep chromosome:ASM407v2:5:4828954:4833276:1 gene:Csa_5G152810 transcript:KGN50066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKESSSTTATEGKIKGVLTHGGRYVQYNVYGNLFEVSAKYVPPLRPIGRGAYGLVCAAVNSETHEEVAIKKIGNAFDNIIDAKRTLREIKLLCHMEHENIIAIRDIIRPPKREVFNDVYIVYELMDTDLHQIIRSDQPLTDDHCQYFLYQLLRGLKYVHSAKVLHRDLKPSNLLLNANCDLKIGDFGLARTTSETDFMTEYVVTRWYRAPELLLNCSEYTAAIDVWSVGCILGEIMTREPLFPGKDYVHQLRLITELLGSPDDASLGFLRSDNARRYVKQLPQYRKQQFSARFPNMSPSALDLLEKMLVFDPNKRITVEEALCHPYLQSLHDINDEPVCARPFNFDFEQPSCTEEHIKELIWKESVRFNPDESARRTTLCV >KGN50976 pep chromosome:ASM407v2:5:14116976:14117152:1 gene:Csa_5G381815 transcript:KGN50976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKTEWAGAFVFGAKVGAAHGAVKRILTRHPGAKSEEQKSMPPIIRDRDDYPLRNIA >KGN51977 pep chromosome:ASM407v2:5:22985928:22989553:1 gene:Csa_5G606680 transcript:KGN51977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLVETKEGAIACMILSLIFLGTYPVLLSLPERRGRLPQHIFLDYSIANYLAAVIFALTLGQLGKSSPDRPNFIQQLSQDNWPSVIFAMAGGLALSIGNLSAQYALAFVGLSVTEVLTCSIIVVLGSTMNYFLDGKINRAEILFPGVACFLLAVCLGSAVHSSNTADIKMKLESLSEDSNNESKPIDVSSISVESAENANCSLRNAEAGTADFIVQVENRRSIKVAGKSTLVGLCIIFFAGVSLSFFSPAFNLATNDQWHTLKEGIPHLSVYTAFFYFSTSFFILAVVLNLILLYCPVLNLPKTSFKGYLTDWDGRGLALLAGLFCGFGNGLEFMGGQAAGYAAADSVQAFPLVSTFWGVVLFGEYRRSSRKTYILLAGMLFMFTVAVVVLMASSGHRHEIGVGKPLRKSCKSSYVCTS >KGN50589 pep chromosome:ASM407v2:5:8455512:8464988:1 gene:Csa_5G187870 transcript:KGN50589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSSLIFKLIVVLCLISGLLVSCSGSDHDARKVYIVYMGDKLHDTDSDDTDSAPSHHKRILEKGTSSNFAPEFLLHSYKRSFNGFVAKLTEEEAQKISAMEEVVSLFPNEKKHLHTTRSWDFIGLTKDAPRVKQVESNLVVGVFDTGIWPENPSFSDVGYGPIPAKWKGTCQTSANFTCNKKIIGARAYRSNNDFPPEDIRSPRDSDGHGTHTASTVVGGLVNEASFYGLAGGTARGGTPSACIAVYKICWSDGCYSTDILAAFDDAIADGVDMISISLGSPQSSPYFLDPTAIGAFHAMKNGILTSTSAGNEGPNYFSVSNVAPWALSVGASTIDRKLASKVELGNRNIYQGFTINTFDLEGKQYPLIYARDAPNIAGGFTGSMSRFCSANSVNANLVKGKVLVCDSVLPPSRFVNFSDAVGVIMNDGRTKDSSGSYPLPSSYLTTADGNNVKTYMSSNGAPTATIYKSNAINDTSAPLVVSFSSRGPNPQTFDILKPDLTAPGVQILAAWSPIAPVSSGVIDSRTTLYNIISGTSMSCPHVTAAAVYVKTFHPTWSPAAIQSALMTTATPLSAVLNMQAEFAYGAGQIDPVKAIDPGLVYDAGESDYVKFLCGQGYTTSMVQRFSNDKNTVCNSTNMGRVWDLNYPSFALSSSPSRPFNQYFTRTLTNVGSKASTYTSTVRGTPQGLTITVNPTSLSFNSTGQKRNFTLTIRGTVSSSIASASLIWSDGSHNVRSPITVFKVASA >KGN51378 pep chromosome:ASM407v2:5:18563519:18566699:1 gene:Csa_5G524710 transcript:KGN51378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIASKVSQHKNLFRPS >KGN50909 pep chromosome:ASM407v2:5:13035563:13037850:1 gene:Csa_5G321470 transcript:KGN50909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKDLSKIAGWVGPSKKGMGEIKMHAKFLFSPQYNIRSFGVNALNGESIIRYPLPYERTAEWKKTHSLRSDLPVTRSMKNLSKICSLRSDSPATRSRDPTAEWVSNLATRLIGTPSFFANSLRLNIRAIFFVPFAPSSSFLRKFFAPQYSSNILCASRSFFFFMGVRRAATWAINLCLFDAIFFVNIPSNKGLGFGVPKKGPGDLSVGPSIWKEVGLSIWKSYLPLLPPKSKKRPPFNLILDVLNESETLHRTYQQDYPRLVKEAEASPISHENPRLIWIGLRARLEPKTDMRCNKDRKPFIEIVSEAPNSRSGGVHRLGFLESRLETIRKPISENSPKTPLIELRRTSGGPIGEYFLEERCKVYCVAVARIKEDFENVLRFEGERRFPEGTKSEEQRSMAHVAGYCKNIVGLPHRGGVRNCIFANEERLKARKRCHS >KGN49863 pep chromosome:ASM407v2:5:3531141:3534392:1 gene:Csa_5G139410 transcript:KGN49863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSVVPLWNRHFFQCLLSKFIETCVLNNGDYGSLLPCSNLEGMAWSPLSYCSNEKKVLNLEGQMFSPKYPMVDRFRRLLRLWRIMFHLKLNFVKVGRNPVCSV >KGN51663 pep chromosome:ASM407v2:5:21116316:21117712:-1 gene:Csa_5G589310 transcript:KGN51663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADFENGNVLIFTFEDLKSFTNNFNGNNLIGITQSGRFYRGQLRPPWLAAIITETRIVTVKIWDQKQELEKEKVNQLYFQEELKLITHQSLRNNPSLAKLIGYCCEDGVKGFVYDLNPLGLLQNLMARDDRINWFQRVDVILELARLLDFIHSQENQSLGFHFSASNILLDWDCKPKLCRFKPISDDRMNADLYKLSKFTQLPSGYFHPSIRGESEGKVNSDVYSLGEILLGLIAKRDVEPQNLEKQNHQEFVNSSVSIWAKNEYRPNVSLVHESLQKDWGYSTEEGIKLTELAMHSIEFFPRNRPSIKQILQHLEALQVTRRLSDDRPRKKERKLPSSSVGM >KGN50185 pep chromosome:ASM407v2:5:5639136:5639327:1 gene:Csa_5G157925 transcript:KGN50185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESEECFAEKCGAPLYEIRTGLKGSRLFRIWRQVRPLAASSTVKKGWRKIICSGISNNLIFI >KGN49762 pep chromosome:ASM407v2:5:2959827:2960780:-1 gene:Csa_5G114590 transcript:KGN49762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSFSNNLRFAAPLSRSSVSVHPFRVRASSVPVPVPRTHHPVVHCLRNYAKAAILIGAAATMVGKFSNLPARAESSAVVAEEASRVEETTSDHRIFEDSDQDGRQSSPLNDFLESNSEAVEALKSLLQQKLENGEDEEALKILKQLVSALPSVTEWKFLMARLLGEMGKTEHAREVFEEILAVNPLSFEALFENALLMDRCGEGEAVIRRLEEALRIAENENKAKEARDVKLIMAQIQFLQKNVEEALKSYKELVKEDPSDFRPYFCQGMIYSLLDKNIEAKQQFAKYRELSPKKFEVDGYLRTPLSRMKIFGSDEN >KGN49993 pep chromosome:ASM407v2:5:4327249:4327938:-1 gene:Csa_5G148640 transcript:KGN49993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDVADKLAYFQAITGLEDPEICTEILAAHGWDLELAVSSFTATNSESSASAAADGGGGDAHFDPPTREILDRPEHQENAAPAPSLAWKIITLPISVISGSLGLVSGAVGLGFWAAGGILSYSLGVIGFGSGSGRNAESSARLVSVSAAASEAIDFVSAFERDYGMIRPSFVGEGFMDALQRSRNAFKLLFVYLHSPDHPDTPFFCERTLCSETVAVFVNENFVS >KGN50083 pep chromosome:ASM407v2:5:4940389:4943529:-1 gene:Csa_5G152980 transcript:KGN50083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVGEESSRFGSLPATTSRNLSSSSSTFFSALQSPFFSPRSSTCRRSEASKAEVGCESMDFRVDPLSSSVVVPDSESLLKAKFAVSDLIRNSDTCIPGDCQKFDQASSSTGISGSTPYSCSIAHGNEYLGPREKYKKHSRSNLTSYMTAPISISSTRLRSYDVFIGLHGSKPSLLRFANWLRAEMEVHGMSCFVSDRAKCRNSRKHRVIERAMDASSFGVVILTKKSFQNPYTIEELRFFSGKKNLVPIFFDLSPGDCLARDIVEKRGDLWEKHGGDLWILYGGLEKEWKEAIEGLCRVDEWKFEAQNGNWRDCILKAVMLLAMRLGRRSVVEHLTKWREKVEKEEFPFPRNENFIGRKKELSELEFILFGNIAGDSERDYFELKARPRRKNLTLGWSKSSSLEEKQRELPLEVRNKKGKEPIVWKESEKEIEMQSIEFPQRHRRLKTKSGERYAKRKRTAKILYGKGIACISGDSGIGKTELLLEFAYRNHQKYKMVLWIGGESRYIRQNYLNLGSFLEVDVGFGNFSGKSKIKNFEEQEEAAISRIRTELMRNVPFLLIIDNLECEKDWWDHKLVMDLLPRFGGETHIIISTRLPRVMNLEPLKLSYLSGAEAMCLMQGSLRDYSMAEIDVLRVIEEKVGRLTLGLAIIGAILSELPITPTRLLDTTNRMPFKDQSWSGREAHVFRRNTFLMQLFEVCFSIFDHADGPRSLATRMALASGWFGPAAIPISQLTLAAHKIPEKRQRTRLWRKLLRSMACGLTSSYIKKSEAEATSMLLRFNMARSSTKQGCLHFNDLVKLYARKRGVNGFAQAMVQVVMNRPFIIHHSEHIWAACFLLFGFGRDPVVVELKVSELLYLIKEVVLPLAIRTFLTFSQCTTALELLRLCTNALEAADQAFVTPVEKWFDKSLCWRPIQTNAQLNPYLWQELALCRATLLETRARLMLRGGQFDIGDDLIRKAIFIRTSISGEDHPDTISARETLSKLNRLIANFHVHSSP >KGN51930 pep chromosome:ASM407v2:5:22738920:22741297:-1 gene:Csa_5G605730 transcript:KGN51930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMFIDEDEESFNLDLNSAAIFSSSSQESCEEINGRAFWNFPFSCESDIVNNNNNNNNGGSEFSDGLNQNAANNPTSCSNNTPSSSTGAQSRLCARGHWRPAEDTKLRELVALYGPQNWNLIAEKLEGRSGKSCRLRWFNQLDPRINRRAFSEEEEERLMQAHRIYGNKWAMIARLFPGRTDNAVKNHWHVIMARKYREQSRCYRRRKLSQSVYRKMEEDLSFLNIPKDHNHHDTITTAAAATTITTTSSSSFGNFEGCVDYGFLRQMVIGGGGETLSSNYNTTPNNPYFNSCAHLSTLNVLPDPKSRFWEGTSNGFLVPRSHGHHQYETYNTAVPPSNGGVEGSSSVTVEGRKQSPRFIDFLGVGATT >KGN52476 pep chromosome:ASM407v2:5:26428763:26431425:1 gene:Csa_5G637660 transcript:KGN52476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSPCCDKVGLKKGPWTPEEDQKLLDYIKEHGHGSWRALPSKAGLQRCGKSCRLRWINYLRPDIKRGKFSLQEEQTIIQLHALLGNRWSAIATHLPKRTDNEIKNYWNTHLKKRLTKMGIDPVTHKPKNDALLTADGHSKSAANLSHIAQWESARLEAEARLVRESRLRTSTTTASTTNPPSPHLLTKPEPPPAIPSRSLDALKPWNHGLGRGITGGDHHLESPTSTLTFSENVRTVMGTGSGGENSIAMIEFVGSSSSCHEWKEEGFGNSSSQLQEDHHQYKEGFENSMSFTSHEMTLSIEATTTTWTSESLRSSTRDHHHHHHHNHNNNNNNDIVEEGFTNLLLNNNVDERNHSDAGGGEESENGSGSDYYEDNKNYWNSILNLVNSSPSDSSIF >KGN50965 pep chromosome:ASM407v2:5:13936127:13936390:1 gene:Csa_5G374750 transcript:KGN50965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLGFETEEETQEEDAGEDRFETDKSDDEGDAVEIRQRRRWQRDQTKKEMSAVAEGEEEAKGVPQAARERETQRTTEGREGLRERDA >KGN52500 pep chromosome:ASM407v2:5:26565749:26572106:-1 gene:Csa_5G638390 transcript:KGN52500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLHFSPSMRSITVSSSNGFIDFMKIKVAARHISYRTLFHTILVLAFLLPFVFILTAVVTLEGVNDCSSLDCFGRTWGPRLLGRVDASKRLVSEFYKVFNQVSTEEIPDGLKLPDSFSQLVSEMKDNRHDAKTFAFILKAMMERFEKEIRESKYAELMNKHFAASSIPKGIHCLSLRLTDEYSSNVHARNQLPPPELLPLLSDNTYQHFILSTDNILAASVVVNSAVQSSLSPGKIVFHVITDKKTYAGMHSWFALNPVYPATVEVKGTHHFDYLTRDNVPVLEAVENQEGIRNYYHGNHNIVGTNHTNTTPRAFASKLLVRSPKYISLLNHLRMYIPQLFPKLDKVVFLDDDVVIQRDLSPLWDVDLDGKVNGAVETCKGDDEWVMSKRFKIYFNFSHPLVATHLDPNECAWAYGMNIFDLRVWRESNITETYHWWLRENLKSTLTLWRLGTLPPALIAFRGHIHPIDPSWHMLGLGYQNKTNIENVKKAAVIHYNGQSKPWLQIGFEHLRPFWTKYVNYSNDFIRNCHILES >KGN50800 pep chromosome:ASM407v2:5:11122754:11126306:1 gene:Csa_5G265800 transcript:KGN50800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGGGPQVLEQPPQSLPQSTSAAEEEAIKRNTDCVYFLASPLTCKKGSECEYRHSEYARVNPRDCWFWLNGNCLNPKCSFRHPPLDGLVGTPHSTTPSSQIPSQTAAIPSTPVNSSKQAVPCIFFQKGLCLKGDRCAFLHGPPSVQTNKVPQMGANAQAGEPPSVKKVSGGTQRSSQEQKIPQANFLKSISGSSVKIATKDETVPSKIGKLNEKSIPPAEMADGGSRYKTTNALPTINECSLSRANRLQQSHVIDDHGLQNGKDADEFLKESSPGFDVLVDDELRGSGYYGSEEQYGRSRGHEGGNMTSMNDYDINHSADYKMMGDVDHDVYNDVTDYDYESRQGGQYGWDHRRTSSDKLSLSSAQMERRIFPKSNSPEHVQNVDLRHRLNKQRRGNGLRSVINNENAASRPEERNYRSSRRDSHSSQESTVSNRLRGRIKLPRIPSPVRSSDIRPERDLNRGRPWGRSSPGRSQSLSNQGSNRDEIKGRLEEDYNNERRTFNGFNSRRERTDGTSDFAAPKSLAELKGDKHIVSKEQQTLGKRKGFDIDQSGGELSFEGPKSLSEILKRKRQVKAAVDLPINNAERDNSERSMERSTTHQLKQSVLSSTGKDETNSLDGVKSAPAETFVQEEENIDVPHRRSSQPMHSADDHGIEAYDEGLVEEDQEYEGDDQRDDGEYEYEQVDDGEYTYEEGDNIDPEEEYMDDEDGDDFAKKIGVMS >KGN52473 pep chromosome:ASM407v2:5:26397069:26398631:1 gene:Csa_5G636650 transcript:KGN52473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAVASDSSELKSSKLKPGVNRGLSIFDFILRLAAIVGALGSAIAMGTTTQTLPFATQFIQFRAEYNDLPMFTFFVVACAIVSGYLVLSLPLSIFHILRSRARATRVVLVFLDAGMMALLTSGASAAAAIVYLAHKGNGKTNWLAICQQFNSFCERTSGSLIGSFVAIVLLMIMIFLSGAALSRRH >KGN52724 pep chromosome:ASM407v2:5:27987628:27988915:-1 gene:Csa_5G652290 transcript:KGN52724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENKGVVPPPHDLSAKWDACLDLTLRRFVYSSFAGAFGGLLFFRSPVTRWASIAFGAGLGIGSAYTDCNSLFEGSTAKFTSPKITEAQPSQVVGLRVTLDHWPIILSSSIQKCAPDPSGSHM >KGN52696 pep chromosome:ASM407v2:5:27798412:27808346:1 gene:Csa_5G650610 transcript:KGN52696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWERSSAPDERSGDDFGYSGAEKSSKHRSEDHRKSSRGEEKDHRSKDRERSKRSSDDASKEKEKEAKDSERDRIRSREKRKEDRDEHEKERSRGKVKDKDYDRDIYKDKEYERERDRKDRGKDRERERERELEKDTVRGHDKERGKEKDRDRDKDRDRDRKKKDKDKDRSNEIEREKGRDKHRDQEDKESYRNIDKDRGKERILEDDRKTDQNKQKLQDKEGIGSKNDEERIGRIGDEGKDYMLESDGENNRDRDVNQGNMVQHLGVEENFDGLKVGSHASSTMLEERIRNMKEDRLKKQTEESEVLSWVKRSRKLEEKKLSEKEKALQLSKIFEEQDNIDQGVSDDDIAPEDTTNNHDLAGVKVLHGVDKVLEGGAVVLTLKDQSILADGNVNEELDVLENVEIGEQKQRDIAYKAAKKKTGIYDDKFNDENYGEKKMLPQYDDPADADEGLTLDGRGGFNNDAEKKLEELRRRLQGASSVKHFEDLNVSTKVSHDYYTQDEMLKFKKPRKKKSLRKKEKLDIDALEAEAISAGLGVGDLGSRNDSRRQAKKEEQEKSEAEMRLNAYQSAYAKADEASRSLQLVQNSSARLEDNDDALIADDDEDFYKSLERARKLALKKQDAASGPGAVALLATATTSSQATDDQSTKAGELQENKVVFTEMEEFVWGLQLDEDAHKPEEDDVFMDDDEIPKEEYHEDVKDKDGGWTEVKDTAMEESTPEENEAVAPDETIHEVPVGKGLSSALKLLKDRGTLKESIEWGGRNMDKRKSKLVGIVDEDEPKESKSKDSRLSSLVDYKKEIHIERTDEFGRIMTPKESFRQLSHKFHGKGPGKMKQEKRMKQYQEELKLKQMKNADTPSLSVERMREAQAQLKTPYLVLSGHVKPGQTSDPRSGFATVEKDLPGGLTPMLGDRKVEHFLGIKRKGEASNTGTKKAKV >KGN50327 pep chromosome:ASM407v2:5:6518694:6520484:-1 gene:Csa_5G167190 transcript:KGN50327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQKLHFSIILFSMFILSSSSLPFSTKTNNKAIELWCSRTPYPDVCKHFFNNGEFDPRNLLDIKKAALKIAMERAMKTETLTKALGQKCRNKKERAAWADCLELYQTTILHLNKTFSDKNCSNFDIQTWLSSALTNLHTCRAGFVDLGIKDYGVVFPFLENNNITKLISNSLAMNNCSESDEGNTSDEGFPKWLHGGDRRLLQAAEPKADLVVAQDGSGNYKTVQAAVDAAGKRKGSGRFVIRVKKGVYKENVVIKVKNLMLVGDGLKYTIITGSRSVGGGSTTFNSATVAVTGERFIARGITFRNTAGPQNHQAVALRSGADLSVFFRCGFEGYQDTLYVHSQRQFYRECYIYGTVDFIFGNSAVVLQNCMIYARKPMQGQQCVVTAQGRTDPNQNTGISIHNSRVMATDDLKPVIKSVRTYLGRPWKEYSRTVYLQSFMDSLVNPAGWLEWSGNFALNTLYYGEFKNSGPGSSTANRVKWKGYRVITSASEAAKFTVGSFIAGNSWLPGTGVPFTAGL >KGN51152 pep chromosome:ASM407v2:5:16463920:16465522:-1 gene:Csa_5G468450 transcript:KGN51152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGFCKSPSLSPPFALAFLVSFSLQFSFPLYGFWFLLWGSLLCPCPAFWASLILLTDLIWLEAPVLIALFLEAFLPFDRTPSNFEPLLKSQVVFEVLVSLGYFAVSLVLLYCPMYLEISVAAHDTTGGMLTKISEAAMIAKLGIEVYIVKAATVHSLRALNGEIRGKIPDDWLGTTIRFSG >KGN52367 pep chromosome:ASM407v2:5:25491068:25507901:-1 gene:Csa_5G630700 transcript:KGN52367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLKDNTISGFLPTAQNFAVHYPSYPSSKHQAIESLGGTQSILKVRGLQSNKLELRFRPADPYSHPTYGELRPCSGFLLKICHSKSDTNEGIMKVEEVPGEDEVNLDFEMVARVPEAYHFEGMVDYQHVVAVHADATQRKKGNWAEMHEPRLGKSNAIDVDKEDTMILVPPLFSIKDVPENLVLKTPAIYIPRKKSETVQNPCEVICEVDIEPVLAIDFNIKDILVNLEHNLFLLLDLTFLHIPKTVIWEKYVPQGSDEWDYQVAVSKLFEERPIWPKDSLVQRMLDMGLAFSHGVLRRLLSRIAYYFSSGPFQRFWIKKGYDPRKDRNSKIYQRIDFRVPVSLRSYCNSNASNELCYGHAGISAFQVFPRKFQTSLQLFELQDEYIQEEIRKPSEEALCSYESGWFSLRILNCIRQRIMMRFLSVFPTAGAEALLTAASESFEKLKRGDRKDCSKVDQEEEHEANAVANHDDKLDASYAEEDEEDGIGVESGNEALDAYDDFNMVGDDDEFSLHSHSYLGMEDVSRTHLQELFGSFPSLDEDGEKMMDDGDGSDEEYQIYEQDTDMDSDEDDE >KGN52190 pep chromosome:ASM407v2:5:24214460:24216754:1 gene:Csa_5G614660 transcript:KGN52190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERGVQRWAVDISQWNPSPREFSSALTLLPRHEHSSILRFFKMEDRKRALVSRLLQYALVHYILKIPFDEIIIKRTLEGKPYLECDKLASEFPNFNFNVSHHGDFVVIASEPLCLVGLDVVSYTTPQNEDTIEFVENFSSYFSSLEWKNIMDAGSSFSVLVEFYRYWSLKEAYVKAIGSGMATGLEQVEFLHIEWNDIQVKVGGEILKEWRCWISELGNGHCVAVAKGHPRFATESYRVTLRRSEFDGNEYHAGLLLPNVSFVAKTVEQLIFMSIE >KGN50195 pep chromosome:ASM407v2:5:5724438:5724746:1 gene:Csa_5G158510 transcript:KGN50195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNLDSELWSAKRLKTKRSEFLFLKKKFLRGEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEGKLMSNETRSYGNLI >KGN51272 pep chromosome:ASM407v2:5:17715844:17718690:-1 gene:Csa_5G505820 transcript:KGN51272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQPAGLLSQAKPYIAVILQQFITAGMVIISKFALNQGLNQHVLVVYRYTIATIVVAPFAFVFERKVRPKMTWSIFGKVVLLGLLEPALDQNLYYTGMKYTTATFASAMTNMAPGLVFLMAWVARLEKVDVRQLSSQAKILGTVVAVGGAMIMTAVRGPILNLPWTNHNLHDHSTTAANQQDLLKGSLMILIGCIFWSVFNVLQAITIKVYPAQLSLTAWICFTGAVQASVIAFAMEGHKPAAWSLHLDSTLLAPLYSGIMSSGVSYTIQAAVMKTKGPVFSSTFSPLSMVIVAIISSFALSEILYFGRVIGAAVIITGLYLVLWGKIKDQALYKLDSEKMAPSDQKLTAITEKPKTSDKELGVDLARIKTVDDSV >KGN50366 pep chromosome:ASM407v2:5:6715798:6716430:-1 gene:Csa_5G169020 transcript:KGN50366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQALLKLVADCSAVALNPSRKDAANESPLKIALFSLAKMCAHTPCRQFLLSSKLFPVIGQLRQSPESIIAKYASVIVRKVAET >KGN50670 pep chromosome:ASM407v2:5:9269679:9300470:-1 gene:Csa_5G208480 transcript:KGN50670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPGVRQLTVLGNFKPFGLIAEALDGKPAHTVPHHYDYFLFDPEIARERDETDGTIASCLSTSNQSDHELFIRGNRIIWSTGARVFKRFTLPSPIIKVCWCRLGDLTEALLCVLQTASLTIYNTSGEVVTIPISRTITSIWSFPFGLLMEQAVETNSPVHVPFLSSSPLLGIRDIARARRETGHSPQSNASFSSTFDHVFKGDASSISTHLILKDPLESPQPAYIEERGKLNIMKEFDERTIWTSDQIPLMASYNRGKMQHSVWVAHYMNSNHIMENTSLPDAVPDGVLPKYLSFQRIWQGKGAQTAACKVFLATDDDASPIICFLHKEQKKLFCIRLQSAEINNEILFDVKPDMSWSISAVAAASVRVTRPRVMVGLLPYSDIIALAPDSTLFLYSGKQCLCRYTLPSLCKGLLTHMSELPDTASISHESKIIGLTDAVEERINVITNNGQIFRCSLRRSPLSLLVSDCITALAEGLTTSLYNHFFSLLWEDGESYSSAGGSSILTTEWDSFSSVIMQICNKYNGLQKDLSNLKPRTSWEFLVSSKFHKNFRERNLIDGTWHETLSDTHKLEPCYKTLDTTQSSEKSFYSQLLADSLDCLHAVYENLKLEKLRKRDLELLSTLLCDISWFLGQQSYLDHYIRDFPCLAKQVGGCIFTNSQKKPPSLFRWLENCLLHGHGSAKLIDLPPLILNEESSVVRWARKIVVFYSLLAGSKQTGKKLSTGVYCNIARGSHSTNEELVVLAMVGEAFGQQQLDLLPSGVSLPLRHALDKCRESPPNDWPASAYALLGREDLAMSSLASSCKHKEFETQTNMNLISMSTPYMLHLHPVTIPSAVCDTTGLDAGKIEDADSIEGSTTDGMEHIFNSSTQLQYGRDLRLNEVRRLLCSARPVAIQTSVNPSASDQDLQQAQLWQLAQRTTSLPFGRGAFTLATIYTLLTEAFVVPKLVLAGRLPAQQNATVNLDPNVRNVAEIRMWPEFHNAVAAGLRLAPLQGKMSRTWIIYNRPEEPNAVHAGLLLALGLHGYLCVLTITDIYQYYAPQHEATTVGLMLGLAASYRGTMQPSISKSLYVHIPSRHPYSYSELELPTLLQSAALMSLGLLYEGSAHPQTMQILLGEIGRRSGGDNVLEREGYAVSAGFSLGLVALGRGKDSVGFTDSIVDRLFNYIGGKEVCNPEISLFSTEEHNRIITQMVDGTVVNVDVTAPGATIALALMFLKTESVAIMSKLSIPQTNFDLQYVRPDFIMIRVIARNLIMWSRVHPSRNWVESQIPEIVQSVVKCLKGDENDTDELDAEAFVQAYVNIIIGACISLGLRFAGTKNGDAQELLYNYAVYFLNEIKPVSIEKENPFPKGLSRYIDRGTLETCVHLIALSLSVVMAGSGNLQTFRLLRFLRSRNSTDGHANYGIQMAVSLAIGFLFLGGGTRTFSTSNSAVAALLITLYPRLPTGPNDNRCHLQAFRHLYVLATEARWIQTVDVDTGLPVYAPLEITVTETEHYAETTFCEITPCLLPERATLKNLRICSPRYWPQVMELSPEDKPWWKVGDKNNPFSSGVLYIKQKVGACSYIDDPIGCQSLLSRVMHKVFGSRGLSSRNLCNGGPSRPSYASVDQLIGTFSSDPSLIAFAQLCCDPSWDGRLDVDFQEFCLQVLFECVSKDRPALLQVYLSLYTTVSMMIDQAKGGEVIVGDSLCIFDLKLAIAYNEALLSGKLTTSRGSIVQSNFLGSLRKRVEEILSYCQGLKYDFRNYLDSGRWPSGDIQGVRNSVFLSWYLQWYSIPDSSLIKAAIGKIKPKFQSSSVVPLLHLLFPRTDINAILEMDKALFSA >KGN52247 pep chromosome:ASM407v2:5:24630417:24633433:-1 gene:Csa_5G622620 transcript:KGN52247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPSEEDMVVNQLPESVREISGLPECNGICKKMYGDLIRRVKLLSPLFEELRDGEEEVELDVLKGLELLKIALDSAIELLKSVSQGSKLFQASQSEKIGLEFHHMTEDIEAALSKLPIDKLGISDEVREQTELVHAQFKRAKERVNLADTQLDKDLAILQEEKDPDPAVLKRLSEKLHLRTINELKKESLAIHELVISSDGDPEDVFGKMSSILKKLKDFVQSENPEVEISQDEKTTTIKHRSPVIPDDFRCPISLELMRDPVIVSTGQTYERSCIQKWLDAGHKTCPKSQQALLHTALTPNYVLKSLIALWCENNGVELPKKQGSCRNKKAGNNVSDCDRSAIDALLVKLVNGSPEQKRSAAGELRLLAKRNSDNRICIAEAGAIPFLVELLSSNDTRTQEHAVTALLNLSINDGNKRTIVDLRAIPAVVEVLKNGSMEARENAAATLFSLSVIDENKVAIGAAGAIPALITLLREGTPRGKKDAATAIFNLSIYQGNKARAIRAGIVNPLMGFLKDAGGGMVDEALAILAILATHHEGKTAIGEAEPMAILLEFIRTGSPRNRENAAAVLWSLCSTDFEQLKLAREHGAEEALKEVSENGTERAKRKAGSILELFQRFDKPSPNL >KGN50790 pep chromosome:ASM407v2:5:11009862:11011051:-1 gene:Csa_5G262770 transcript:KGN50790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATVTTKLVILITAMALLLLGTTEAQLSPTFYDQTCPTALTTIRTVIRQAVSQERRMAASLIRLHFHDCFVQGCDASILLDDTPSMIGEQNAAPNINSARGYGVIHKAKTEVEKRCPGTVSCADILAVAARDASFSVGGPSWTVKLGRRDSTSASKTLAESELPHFQESLDRLISIFANKGLSTRDMVALSGSHTIGQSQCFLFRNRIYNQSNIDAGFARTRQRNCPSSGGNGNLAPLDLVTPNSFDNNYFKNLIQMKGLLETDQVLFSGGSTDNIVTEYSRNPSTFKSDFAAAMIKMGDIQPLTGLEGEIRNICGAVN >KGN50184 pep chromosome:ASM407v2:5:5629501:5631250:1 gene:Csa_5G157920 transcript:KGN50184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVTVSSSTLESGSSPRITKWVSKDFINSIISELKLQRGIALPLVAMNLTWFVKIAITTAFLGRLGDLPLAAGTLGFTFANVTGFSVLNGLCCAMEPICGQAFGAKNFQLLHKTLFMSIFLLLLATLPISFLWLNVDTILIHFGQQKDLSIAAKTYLFYLLPDLLITSFLCPLKSYLSSQTETLPIMLSSALALALHVPINLFLAKSKGLIGVSIAIWVTDFVAMISLAIYVWLKQSMSNNEEGGGWFDQTVQDWVRLLKLSGPCCLTTCLEWWCYEILILLTGRLPNAKQAVGTIAIVLNFDYLLYSVMLSLATCASARVSNELGRNSELAARWSAGVSVVGSVVVGLMGAAAMVAGRGEWGRIFTRDEGVVRMVKKMLVLMAAIEVVNFPVAVCGGVVRGVGKPLMGLGASLGGFYGVALPLGMVLGFKVGVGLGGLLIGFLVGVFVCLILLMVFVWRIDWGKEAQRAQLMAKDGEIVVVDNVKT >KGN51056 pep chromosome:ASM407v2:5:15239341:15241462:-1 gene:Csa_5G421370 transcript:KGN51056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRELEDDRSTTPGDAPRIVKGDSSSMSSGKLKMWQPHEEDEGKDAKDGAAGGMDELLAVLGYKVRSSDMADVALKLEQLEMVMGTAYEHGISHLASDTVHYNPSDLSSWVQNMLSEFNNSTNHFNPPPQSSPYSNSPHPIQSTKSCFYDDDSEYDLSAIPGVAVLPPKDETQTNSRKRLKIETQSSSVNLLPSPSSSSPPFASTPRIASESSRPVIVVEEDSQETGIQLVHALMACAEAVQQENMKLADALVKHIGFLATSQAGAMRKVATYFAQALARRIYRIYSPQDGLYSSYSDPLQMHFYETCPYLKFAHFTANQAILEAFATAARVHVIDFSLNQGMQWPALMQALALRPGGPPAFRLTGIGPPQPENAAGSLQQVGWKLAQMAEAIGVDFEFNHIVCSNLADLDPAALEIRPSAVEAVAVNSVFDLHRLLARPGAIEKVLGSIKTTKPKIVTIVEQEANHNGPIFLDRFTEALHYYSNLFDSLEGSSSGFEPGSEDVLLSEVYLGKQICNVVACEGTNRVERHESLSQWRSRMESSGFDPVHLGSNAFKQASMLLALFAGGEGYRVEENNGCLMLGWHTRPLIATSAWQLAPDESK >KGN51544 pep chromosome:ASM407v2:5:20294004:20294659:1 gene:Csa_5G577350 transcript:KGN51544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALENHYQTKQNNNNPATTRLKLFGFDVQEDLDQDDSTPTSSDSGAAVPSSGDRKYECQYCYREFANSQALGGHQNAHKKERQQLKRAQLQASRNAAVFRNPIVAAFAPPPHLLPSAAVTASSSWPVYIPRAPPQFQVSHGCVFTPSSSYGGGGDAPPPPSPPDFFTMGSRSSHGMVDAPMSLSRFSKVDGGTAFDDGPGLDLHLSLAPAAP >KGN50649 pep chromosome:ASM407v2:5:8965080:8970834:1 gene:Csa_5G202330 transcript:KGN50649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFFFSFFFFFFLSFLLASSLFLLLRPARFRRMRLPPGTLGLPLIGETLQIISAYKTENPEPFIDERVRKYGPVFTTHLFGEPTVFSADWETNRFILQNEEKLFECSYPGSISNLLGKHSLLLMKGSLHKRMHSLTMSFGNSSILRDHLLADVDRLIRLNLDSWTGRIVLMEEAKKITFELAVKQLMSFDRCEWTQSLMKQYLLVIEGFFTVPLPLFSSTYRRAIQARRKVAEQLGTVVRERRKESEEGVRKKDMLGALLEGEDALSDEQIVDFLLALLVAGYETTSTTMTLAVKFLTETPLALAQLQEEHQQIKARMKESNQHLQWNDYKSMPFTQCVVNETLRVANIISGVFRRVMTDVNIKGYTIPKGWKVFASFRAVHMDHEHFKDARSFNPWRWQKQNSSGSMTLNAFTPFGGGSRLCPGYELARVELSVFLHHLVTQFSWVPAENDKLVFFPTTRTQKRYPIYVTRKNETTQSKDSHPDT >KGN49896 pep chromosome:ASM407v2:5:3711563:3712459:-1 gene:Csa_5G139740 transcript:KGN49896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAHKITTTLSIFFLLSSIFHSSDAAGIAIYWGQNGNEGSLASTCATGNYEFVNIAFLSSFGGGQTPVLNLAGHCNPDNNGCTILSNEINSCQSQNVKVLLSIGGGTGSYSLYSADDAKEVANFIWNSYLGGQSDSRPLGDAVLDGVDFDIEFGLDQFWDVLAQELKSFGQVILSAAPQCPIPDAQLDAAIRTGLFDSVWVQFYNNPSCMYADNTDDLLSSWNQWAAYPILKLYMGLPAAPEAAPSGGFIPVDELISEVLPTIKAYSNYGGVMLWSKAFDNGYSDAIKDSIYQLKGSS >KGN51188 pep chromosome:ASM407v2:5:16952214:16954185:1 gene:Csa_5G485180 transcript:KGN51188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSKRCLLLLHKCINMNQLKQAHAQVLKSGLHNSNFVLSRLLNFCAESRNGSLSHAFKLFQHIQHPTICIFNTMIKALLLRGEFLNAIAVFSAIFRNGIHPDTYTLPYVLKASARMTNIHLGESIHACTIKLGSAVNEFVGNSLLVMYRSFDNMRSARQVFDEMPELSAVSWTVMIYGYANMGDVDTARELFDMATVKDTGIWGAMISGYVQNNCFKEGLHMFRLMQLTEVEPDEAIIVTILSACAHMGALDTGIWIHRYLGRLGLPLTLRVSTGLIDMYAKCGHLDLAKYLFNEMSQRDNVCWNAMISGMAMDGDGEGAIKLFMEMEKAGIKPDNITFIAVLAACSNSGMVDEGIRIWNRMSTVHKIEPKSEHYGCVIDLLSRVGRFEEAEGVIQRLPKTASPSEEAVAWRAFLSACCKHGQTQQAEVAAERLFQLERHSGAYVLLSNMYAALGKHEDAKRVRNMMKLKGVEKVPGCSSIKVNGVVNEFIAGEKTHRHIDNIHLVLEELNKQIVEADLEGIISTAHIGY >KGN52600 pep chromosome:ASM407v2:5:27255167:27255904:1 gene:Csa_5G646740 transcript:KGN52600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESKAIQLIFSTIFIFAFLLTSSHPSLLPFIDPFGILEQTPFGLLENENKDVLQQQPLPPARVDWKETAESHEIMVDVPGMNKEELKIELDEENRVLKVIGERKREEEKQSDHWHRLERSYGKFWRQLRLPVNADLESVKAKLENGVLKISLLKLSQEKIKGPRVVGILDEQPPAGEMNKSGAAKQEL >KGN50266 pep chromosome:ASM407v2:5:6189967:6190356:-1 gene:Csa_5G162640 transcript:KGN50266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPYNIHQIKESNSDHDLANFKCPSPKNNIAVLPIKPNTIVVLSPRRAARVEVLKAKFGSTILKAQQKLHNSNNDSNKHEYIVGATPNICSIQEQRQALREALDKINPAFDFNENLDSMREFAKLLESA >KGN51618 pep chromosome:ASM407v2:5:20879988:20882893:-1 gene:Csa_5G585450 transcript:KGN51618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFFTSFYFFLSLLFFSLKVFSQSYTPPDKYFVNCGSATNAVDDTGRIFIGDLNATDTFRFTSENTKELSHLNDSVRVFNEPAFYEFDIEEDAFYIVRLHFTPSNFTADLSSALFDVSASGFVLLKDVNATEAASVKEFFLSLKTGKFRIVFVPKSSSIAFVNAIEVFPTPPNFLMSESKTIISESDGRNEGAINLPFMITKTIYRINVGGPGIPPKGDKLWRKWEQDDDVYLLNPRSAMNSSRRTSRPNYKNETDDYFAPDLVYQTAKELNTDSSFNFVNITWSFPLRKKTLHLVRVHFYDIVAIASNGFLIFALYIGNNFSYRIDSPAFGNGAPYPIHHDFPVDSGENGSIHVSVGRLNSSESGQLTAFLNGIEIMEVMNEGSKDPFIREFFGDKKKKSGVGLLVGLSVGGFCLLCILGCGIWFGLKCRKRRSDEPSHTHTHTQWTPLSRFGGGSTQSRFHERTTSSSPIPDLNLGLKFSLAEIKTATNNFNKKFLVGEGGFGKVYKGVMKNGMKVAVKRSQPGAGQGISEFEREITILSRIRHRHLVSFIGYCDEGLEMILVYEFLEKGTLREHLYSSNLAPLPWKKRLDICIGAARGLHYLHKGSAGGIIHRDVKSTNILLDENLVAKVSDFGLSRAGPLDETHVSTDIKGTFGYLDPEYFRTQQLTEKSDVYSFGVLLLEILCARPALNPTLPREQINLAEWGLRCKKMDLLEEIIDPKLEGQIDPNSLRKYSDTIEKCLQDDATHRPTMADVLWDLEYALQLQQSTHPRMPHEDSETNVNDASSTVIRRFPSIGSSILRDDPDMSQDVDTHLTANEIFSQIRADHGR >KGN50253 pep chromosome:ASM407v2:5:6116910:6121254:-1 gene:Csa_5G162020 transcript:KGN50253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLAGQPTHHQPTTVEEVRTLWIGDLQYWVDESYLNSCFAHTGEVISIKIIRNKITGQPEGYGFVEFVSHAAAERILQTYNGTQMPGTEQTFRLNWASFGIGERRPDAGPEHSIFVGDLAPDVTDYLLQETFRAQYPSVRGAKVVTDPNTGRSKGYGFVKFADENERNRAMSEMNGVYCSTRPMRISAATPKKTIGVQQQYSLGKAMYPVPAYTTSVPVLPADYDANNTTLVNNNKVQGVGGKPSYGIDVKFLCMASAEEAIQKMQGKIIGQQVVRTSWGRNPAAKQDLTTWGQQVDPNQWSAYYGYGGTYDAYGYGVVQDPSLYGYGAYSGYASYPQQVDGVQDLATVAGAVPSVEQGEEWNDTLDTPDVDWLAAREGNWRKTTERGCQGYVLRCSMYYDGALYYLEMEFSLSGEHLL >KGN50852 pep chromosome:ASM407v2:5:12078655:12079080:1 gene:Csa_5G289630 transcript:KGN50852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLEKQKSGGSHSTSWHECSICKKSFKSAKALGGHMRVHNTGEIDAKLKQSSLGPISTDLRWVVPSAIAEGSSRDRNRGKGKKVQELQLFSQKPSKLKKKQSDEKAGGQSSQHDNVDNELDLELRLGSNPKKSTPTIFKFF >KGN50878 pep chromosome:ASM407v2:5:12594609:12600260:1 gene:Csa_5G310310 transcript:KGN50878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVGSVNGFFPYSPTTASELLLQQQQNEMRRAVAGLSMGEESNNSGRFRLERSDFSGGGMGNPAARQIYLTFPAESCFKEEDVSKYFSIYGPVQDVRIPYQQKRMFGFVTFVYAETVKLILAKGNPHFVCDSRVLVKPYKEKGKVPDKFRKQQQMERGDLSPCGTPTGLDSRDLHDLHHGARMYYNSQDMLWRRKLEEQADLQQALELQALRVMSLQLADVRKPLHHHTPLSTCSPIPSPNPFNQTLFHSIPTNSQLLQENGSNHLPEIRVEPQVMNNFDLTADSDSSNGKETDLQETSLEHNLPDSPFASASYTPSVVEADESDASTDNHSAASSFVPTSNLTAPPFKSFNCQYSSGHGAIGLYANTGGPTCRVVI >KGN50616 pep chromosome:ASM407v2:5:8683076:8683397:1 gene:Csa_5G196590 transcript:KGN50616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLGLSTPEDLVVTYPSSKQLAVELTCGTVRSWLIDGQLGSSSSFTDIPVSTTGLMLLASMVYLRYFKSFLCLSTTVSMPPS >KGN51791 pep chromosome:ASM407v2:5:21959302:21960437:1 gene:Csa_5G600925 transcript:KGN51791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLTSPNKKASILLPQTLVIPNRPCGNRSLSTMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGAKKRKKKTYTKPKKIKHKHKKVKLAVLQFYKVDDSGKVQRLRKECPNAECGAGTFMANHFDRHYCGKCGLTYVYNKAGGD >KGN51801 pep chromosome:ASM407v2:5:22001891:22005508:1 gene:Csa_5G601510 transcript:KGN51801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLGINGNGVNSDVQSDSQISHLALDIGGTLAKLVYFCGNKKLLKNDEVQSSVKAGGRSRTIIQGKLHFTKFETSKIDECVAFINRKQLGSHHPKDLDNDRIVVKATGGGAFKFADLFKEKLDFCLDKEDEMDCLVAGANFLLKAVNQEAFTYIGGQKEFVQINQDDLYPYLLVNIGSGVSMIKVDGNGKFERVSGTSLGGGTFWGLGKLLTKCNSFDELLELSHQGNNRVVDMLVGDIYGGTDYSKMGLSSTAIASSFGKTISTNKVLENYRTEDLARSLLRMISNNIGQLAYLTALRFGLKRIFFGGFFIRGQSYTMDTISVAVHYWSKGDAQAMFLRHEGFLGALGAFVNYNKQGHDNLILMQQVQKHKPEPDGTTTEEEDSEYASIDCSVYID >KGN50741 pep chromosome:ASM407v2:5:10133271:10133582:1 gene:Csa_5G223000 transcript:KGN50741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEIPKFYRTAKARKEAHQNKNPPEVTKIVEEKRQSAVKRGRSVVGTMGVDAVAVAVAGVVCFEK >KGN49628 pep chromosome:ASM407v2:5:1084467:1086467:1 gene:Csa_5G033460 transcript:KGN49628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLFSISFTKNVAFASLNPDPHSHSHSPPLKTNLAAHTIPINNPRLRRKLLNPPRPSLIEIERAIGGGRFRDADPRELEEDKKAAFDMFLMSFTGKYEAPLMKKLRETGEWVTNQTETKFQASGKWFLLFTFQWVLPIWALSLLVASGVIKLPFSTPFLNELLM >KGN51253 pep chromosome:ASM407v2:5:17573427:17573726:-1 gene:Csa_5G505140 transcript:KGN51253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLWSAYRGIEREELELELVGIKSRALRSSAQTVSYEVFIGLILIVRLVSAFGSTKAITEVFS >KGN50964 pep chromosome:ASM407v2:5:13916499:13918531:1 gene:Csa_5G374740 transcript:KGN50964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFNILASSYQKEGNLKEEEKSLPPISLFFFVLIIFSSGGRISESARVFTIINNCKETIWPGIFPGENFNGGGFALKRGQSIVFNAPVGWSGRIWGRTGCTFDENGTGECQTGACGNSLKCSGSGKTPASLAEFTLAALDFYDVSLVDGFNLPMAVRPINGTGKCGVAGCDKDLRPECPKELAVKSKGKVVACRSACDVFDKDEYCCRGVYGNPMTCRPTFYSKKFKDACPTAYSYAYDDPTSIFTCSAADYVITFCSNRNQPVCTYHNHKLVCSGSNTLKSFVPERWALPMAAFVFLINSWLIFQI >KGN49614 pep chromosome:ASM407v2:5:878362:885694:-1 gene:Csa_5G025930 transcript:KGN49614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSTATTAVSEAIQLCVFDSRRGQQEGQELDKILFFYPADLPFTKQLYIIGLSEGLITFTRTFSPEAACEVIEAEKHSHVFFEAEQDIWMVLVVEKNKELEAIWRIDALQKLLKEIHSLFLMFHGSIRLLLEKEPTGEVSRSHLYSFIMDYLNDFLVGKKLHLPSFTDCLKERGTVQMLTIGRDAALDVQALVRTLDSCIGNTSCHSLILFQDLLVSTTLSPDDTTNLFSYAVLRLIPRVLSSGASSWSYLIRGNVASHVGQHGGNVGNRVIRPLQHGKWSKGKDGFLETDIWGMEASGWVGSTPKIWLFQTEEQMCLYVHQHKTLTLILLVPVSSIPNGEQGVSIVRQYILENASLKIVKVEEKLSKGWGGENAYHVGGYRYLLVDGDRQISRASPPGKVTTLAKESLLAMSKLRENVDLEKSRAKQDSDGEEKELEVTIRAKNNAWVISRITRGKELYMALEKANETLLYASDMVEKFSNRYCNGAFSLD >KGN52487 pep chromosome:ASM407v2:5:26487561:26489925:-1 gene:Csa_5G637770 transcript:KGN52487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSMLKLEVMIIKVGYCRIIFLLEQGRLMMSGCIFFVFSPERNDDFSPDLQAPVKDFKTLQLDPNSDIAPLVLHRLFPPLLFLRFFFHFLFQVADSLEI >KGN52674 pep chromosome:ASM407v2:5:27694010:27695188:-1 gene:Csa_5G650160 transcript:KGN52674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METVIAILGTVCEYAVAPIGRQVGYVSSYKKNINDLKDQLQNLVDTKTRLQHMVNEARSSAYNIQSDVSSWLNQVDKIIEQSNDILYKNENESNSKYCSNKLNFIHQYQMSKKAKKMVKVISQIIEKRKLMFHQVGYPTPLSRIHGSSTSSSHGYDQILESRTSIAKQIRDALVDCNVNKVGVYGMGGVEKTTLLKQVTPLVMEEKLFDHVIIVNVGQTLGVEGIQAQIGDKLRLELNKKVESKEGRASLLQNKLEMESNVLLVLDDLWKGLDLEEVGIPCRSESCEKGCKILITSRDRDVLTNEMDTQVYFEVKPLSEKESWEFFKNMIGEFDNKCIELIGKEMVKKCGGLPIALATIVKTLKGKEVPIWKDALKQLKNPIAVDVKGVTEL >KGN50981 pep chromosome:ASM407v2:5:14169179:14174014:1 gene:Csa_5G383350 transcript:KGN50981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAVNHKTLDLEPVSSTGNFPVQRCNSFRSNSFKFKRQAEKPLLIDDDDSSFDRDEENIDYFRDLIRKGKEEIEPSILDPRDQGTADSGIMRNPCMVRLTGKHPFNSEPPLDHLMRHGFITPAPLHYVRNHGPVPKTDWSSWTIDVTGLVENPTSFTLDQLVNNFRSLEFPVTIMCSGNRRKEQNMVKQTIGFHWGAAVTSTSLWRGVPLREVLKRCGIFSRQRGALYVWMEGADNLPGGGGCSYGTSIRREMAMDPSRDIIIAYKQNGDPLPPDHGFPVRMIVPGFTGGRMVKWLKRIIVTNRESDNYYHFMDNRVLPSHVDSSELAHAEGWWYKPEYVIYEMNINSVITTPSHNETLLINSETTLSTYTLRGYAYSGGGKKVTRVQVTLDGGETWKICNLEYHEKPNKYGKYWCWIFWSVDVEILDLFGSKQIAVRAWDETTNTQPENLNWNLMGMMNNSWYRIKINICKPQKGEIGIVFRHPTVAGNNAGGWQEKDKPNPTDESPSQQKDNKSTTTFSMSEVKTHNSSESAWIVVQNHVYDCTPFLKDHPGGADSILINAGTDCTEEFEAIHSDKAIKMLEDYKIGDLLILNDNKDATSSPKAVTQHVALIPREKIPVKLISKTTISHNTRIFRFGLSSEDQRLGLPVGKHIFLCANVDGKLCMRAYTPSSAVDQTGYFDLVVKIYFKNVHPDFPNGGLMSQYLDSLPLGSMVEVKGPLGHIEYMGRGNFRVNGKPRLAKKLAMVAGGTGITPIYQVVQAILKDPEDETEMFVVYANRSEEDILLREELETWAKENERLKIWYVVKDGVQEGWPYSVGYIREDILREHIPMGSPQTLALVCGPPPMIELTVKPILKNLEYDLEGSLLVF >KGN49782 pep chromosome:ASM407v2:5:3122630:3123927:1 gene:Csa_5G126710 transcript:KGN49782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSFLFQVLEILELYRRIYEEYLVIPVIKGKKSEMEKFAGGLYTTSVEAFIPNTGRGIQGATSHCLGQNFAKLFEINFENEKGEKAMVWQNSWAYSTRTIGVMVMVHGDDKGLVMPLQVASIQVIIVPVPYKDADTQGIFYACSATSDMLSKAGIRAEVDIGENYSPGWKYSHWEMKGVPLRIEIGPKDLANNQVRYFVY >KGN51080 pep chromosome:ASM407v2:5:15642325:15642999:1 gene:Csa_5G430500 transcript:KGN51080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSSIVFPSCFLTLQGSSISSSLLLSTFLSDNKSVGVTLVNIVMNRPHLFSLSVIVRSRALSNILLLNWKWVIALNFRRVDWFLPHKFDHILRGNGVYWCTRGCNTAQIQVQWC >KGN50485 pep chromosome:ASM407v2:5:7618818:7625182:-1 gene:Csa_5G177050 transcript:KGN50485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMATSTLGSTIGFSYSSSSSDISAYCILSSTTRFSFSSIIPSPLKLQKQPPGRRARALIAVKMAAQAFQEVLPPALTSVSEPPPIFDGTTRLYISYTCPYAQRVWITRNCKGLQNRIQLVPINLQDRPSWYKEKVYPPNKVPALEHNNEVKGESLDLIKYIDSNFEGPSLFPDEPEKREFAEELINYVNSFTGSVVSSFKGDGNEADATFDYIESALSKYGDGPFFLGQFSLVDIAYAPFIERFRPFLLEVKTYDITAGRPKLAAWIEETNMIEGYRQTRRDPQEHVDSYKKRFLSRL >KGN50322 pep chromosome:ASM407v2:5:6497213:6497907:-1 gene:Csa_5G167140 transcript:KGN50322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGNNNNIWVCVLVVIFGFAFIRCNATTYIVGDTSGWDISTDLDTWSQGKRFFVGDVLVFQYSSLASLNEVTRENFNSCNTTNVLKAYSSGNTTVTLSEPGHRFFVSGNRLLCLGGMKLQVNVENNQSFSPAAAPQPPSSQSDALPRPSSKTDNNSVPSAAAGVVIGGNQGLAFLFVCYVISTLSFIVI >KGN51414 pep chromosome:ASM407v2:5:18942311:18942608:1 gene:Csa_5G532460 transcript:KGN51414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKHVDKGSLDIENPVDKNIEIYLTSPPHVEDNNVRMSGKGIPNIMERRSGETPYGDVCYVLG >KGN51907 pep chromosome:ASM407v2:5:22658733:22662800:-1 gene:Csa_5G605010 transcript:KGN51907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSADFSTERALFGGAIVSTFPLRFQDVSNVRQVPDHQEVFVDPSRDESLIFELLDFKHDVGDNGSAVWFLQDLATEQDAEGSVVIEQSGVIEAPELCYGNIPSVATTAVGQMAISKGRQGREAQNIVRVYLANLRLKGVGTDVLISAYEPIVINPLSESASSVGSGLATPASHSGYMPMPEVFKLAVSSFKVCDWSLFGAPA >KGN50212 pep chromosome:ASM407v2:5:5821983:5823344:1 gene:Csa_5G160150 transcript:KGN50212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKYLHIQIQINNNGESPPHTPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPHNLRSNSNPLRRRLNTPPQIKTNPKTLALAALHVNLAAARSSAASMRRLAKTRGLRRRDASAISDCVEEVGDSVFELQRAIRELGRPRGYDFMGLISDIETWVSSALTDEETCMEGFGGRRVNGVSVKAKVRRHIVRVAHLTSNSLALINSYASSAAVEEGVLP >KGN51645 pep chromosome:ASM407v2:5:21023166:21026376:-1 gene:Csa_5G587160 transcript:KGN51645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQCGRRNSSVETCEVNAMENSKVLSNMRNMISSGKHALLPPKSPFPSGSSTYSDYLPNPIIGSRAVQNPRVGNVNHHRTSSESLLMEEQPSWLDDLLNEPETPVQRGGHRRSSSDSFAYLDAGNVSNENYTQDDSQCKNMYLPSWASQDFDSHQAPLYMKPSWNKQKNRTRELPRTTLTTNPGGLPSAKNSVLLESLRTLSTPHEANEFSLTTTEKLDSAETVVPDRKLSERMDSSHVKPGPTDTDNKRAKQQFAQRSRVRKLQYIAELERNVQALQANGSEVSAELEFLSQQNLILGMENKALKQRLESLSQEQLIKYLEHEVLEKEIGRLRMLYQQQQQPQPPPSTLKRTKSRDLETQFAKLSLRQKDARSSSESVAGPVQI >KGN50440 pep chromosome:ASM407v2:5:7281883:7284505:-1 gene:Csa_5G174660 transcript:KGN50440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLRGNRGKNLFPRVAMIRDNPAWTQASNIRYWFGLRRRMQRLLLMLIDKWRRE >KGN51448 pep chromosome:ASM407v2:5:19385454:19387078:1 gene:Csa_5G548140 transcript:KGN51448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPMERANFRHTASTITVFAHIFGLTACILTLVWLLHYRGGLAYDSPNSNLVFNCHPFFMLLGFVFMSGEAMMAYRTVRAEKRTKKIVHMALHLVAICMGIIGINAAFKFHDQVNLEDMYSLHSWIGIVTFCLYGLQWVVGLFTFLFPGADRETKTRLLPWHITGGRALLLMIICTALTGLSEKATSLRLTSSHESRLLNFTAFFVLLFGISIDISIGFARFMHVDHTI >KGN51438 pep chromosome:ASM407v2:5:19273701:19274077:-1 gene:Csa_5G546570 transcript:KGN51438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVFILKNSLNQSLIFQELIKEKPLKKDKIRAPRSSTSPTGDNGPKQGPLVGSSQGQQIETGTSGQVQPSSLGNESHSLFEGAQAKKLTFFRRGLKLDHS >KGN51721 pep chromosome:ASM407v2:5:21476425:21483099:1 gene:Csa_5G593340 transcript:KGN51721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPLCCIAPVSIDRDRTNSVVAKSPTHCHLGLDAPIKTLSYGSKPTFSAQVSSVGTESDKLSVSVNHDGEDLVTEPRDCKGLVGNGGVSGTVAGILFKWVNYGRGWRSRWFVLEDGVLSYYKIHGPDKILMSPAREKDVRVIGEDSLRYMRKANWGSTRLGLLKKQCKPFGEVHLKVSSVRASKSDDRRLSIFTGTKTLHLRCITKENRAAWIDALLSAKDLFPRMLCSNDFLPSQEVVVSTEKLRSRLSQEGIDETIINDCETIMLSELSEMQNQLKALQYKHVTLLDTLRRLETEKIELETTVVDETKERESCCGQGNRRFSDFYSVMSEGSASDFDGENESQDGGDVETDEDDGMYFDTNDFLSAEALRSASYRSRESSGIACAYDSGSYFSDHLHEAENQIRMVKYPYVKRRDSLPDPKEKEKPVGLWSIIKDNIGKDLSGVCLPVYFNEPLSSLQKCFEDLEYSYLVDQAFEWGKQGNDLMRILKIAAFAVSGYASTEGRQCKPFNPLLGETYEADYPDKGLRFFSEKVSHHPMIVACHCEGRGWKFWADSNLKGKFWGRSIQLDPVGVLTLQFEDGETFQWSKVTTSIYNIILGKIYCDHYGTMRIKGSGKYSCKLKFKEQSIIDRNPHQVHGFVQDNRTGEKVALLVGKWDEAMYYVLGDPATKPKGYDPMTEAALLWERNRSVAKTRYNLSPFAISLNELTPGLMEILPPTDSRLRPDQRYLEDGEYELANAEKLRLEQLQRQARKLQERGWQPRWFQKDEDGCYRYTGGYWEARDKHNWEGIPDIFGQPTTDHLLLPCSGEE >KGN51978 pep chromosome:ASM407v2:5:22991305:22993926:1 gene:Csa_5G606685 transcript:KGN51978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVLESKGGAIACMLLALFFLGTWPALLTLLERRGRLPQHTYLDYSITNFLAAVIIALTLGEIGKSSDDSPNFIQQLYQDNWSSAMFAMGGGIVLSLGNLSTQYAFALVGLSVTEVITASITVVIGTTVNYFLDNKINKAEILFPGVACFLIAVCLGSAVHSSNTADNKAKLDTLSTDTEKGLNSLACLLWILTGIVESTDLARADYFSLKAKAGTADFLVELENRRSIKVFGKSAFVGLFLTFFAGVCFSLFSPAFNLATNDQWHTLKEGVPHLNVYTAFFYFSVSCFFLGVILNIAFLYRPILNLPKTTFKAYVNDWNGRGWALLAGFLCGFGNGLQFMGGQAAGYAAADAVQALPLVSTFWGILLFGEYRKSSKKTYVLLISMLFMFIVAVGVLMASSGHRKQ >KGN50574 pep chromosome:ASM407v2:5:8293412:8299331:-1 gene:Csa_5G183270 transcript:KGN50574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLKLQTGINAIEEEPEECDVTYTNKTALACMINSEIGAVLAVMRRNVRWGGRYMSGDDQLEHSLIQSLKSLRKQIYSWQHPWHTINPAVYLQPFLDVVRSDETGAPITGVALSSVYKILTLDMIDQNTVNAGDSVHLIVDAVTCCRFELTDPASEEMVLMKILQVLLACMKSKASIMLSNQHVCTIVNTCFRIVHQAATKGELLQRIARHTVHELVRCIFSHLSEINTTERALVNGNSSSKQEAGRGANDDYVLGNRLLENGNVGHEFDGQSSSNNFDSNPSSGLMATGMEENLLEDGSAKDTVPFDFHLMNEPYGVPCMVEIFRFLCSLLNLVEHMELGARSNTMAFDEDVPLFALRLINSAIELGGPSFRHHPRLLSLIQDELFRNLMQFGLSTSSLILSMVCSIVLNLYHHLRTELKLQLEAFFSCVILRLAQSRYGASYQQQEVAMEALVDFCRQKTFMVEMYANLDCDITCSNVFEDLANLLSKSAFPVNCPLSSMHILALDGLIAVIQGMAERIGNGAGLENTPVNLEEYTPFWMVKCENYSDPTQWVPFVRRKKYIKRRLMIGADHFNRDPKKGLEFLQGTHLLPDKLDPKSVACFFRYTAGLDKNLVGDFLGNHDEFCVQVLHEFAWTFDFQDMNLDTALRLFLETFRLPGESQKIQRVLEAFSERYYEQSPQILVNKDAALLLSYSLIMLNTDQHNVQVKKKMTEEDFIRNSRHINGGNDLPRDFLSELYHSICKNEIRTTPEQGNGFPEMTPSRWIDLMHKSKKSSPFILDARVADAYCEQITQEVSRLVKANASHIRSPSGWRTITSLLSITARHPEASEAGFDALLFIVSDGAHLLPANYTLCIDASRQFAESRVGQAERSLRALDLMAGFFYCLGRWAKEGKEAAREEEAIKMSQDIGDMWLRLVQGLRKICLDQREEVRNQALLSLQKCLTGVDEINLPHDLWLQCFDLVIFTMLDDLLEIAQGHSQKDYRNMEGTLILAMKLLSKVFLLLLQDLSQLTTFCKLWLGVLSRMEKYAKAKVRGKRSEKLQELVPELLKNNLLVMKTKGVLVQRSALGGDSLWELTWLHVNNISPSLQSEVFPDQDSNRVLGQGEKGGLTSSEANSVSSTEKVASDNAGTGG >KGN51642 pep chromosome:ASM407v2:5:21007235:21011570:1 gene:Csa_5G587130 transcript:KGN51642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METHFNHNLFDRRPIVKNKSPPVKWIKEWVTQDVVATGGKCFLLKWVTEDTLKALKEKAKQSEAPEPEPEPTTEVLFLCSYDGCGKTFIDAGALRKHSHIHGERQYVCHYEGCGKKFLDSSKLKRHFLIHTGERDFVCPHEGCGKAFSLDFNLRSHMKTHSQENYHICPYADCGKRFAHEYKLKNHITSHHEKNVTAEVVKYTPPSEKQTKVSKPSGGAYASASSDRPYACPYEGCEKAYIHEYKLKLHLRREHPGHLVEDNGNAQHNGENEVEASDQDVYGGKRANVKNQKQNKPKPNLKLPPSKISQRKGTTPSPAPMSVVKKSWPVKEENYEEEDSEETEEEDRDNVEEGWRYAENEEDDEETEYED >KGN49755 pep chromosome:ASM407v2:5:2913356:2913611:-1 gene:Csa_5G107040 transcript:KGN49755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGITMQGGMNGKGLGLKIRTEKRPYWWKERGRRGGGGESRVYRHMQRHRQAEPPLVEEEEEEKKKIWK >KGN49991 pep chromosome:ASM407v2:5:4317250:4320696:-1 gene:Csa_5G148620 transcript:KGN49991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLLRPFLNTFLLWSSLSDSLLFPVTGFSSLFNFFLFLFRLSFSVTLSCGSDLIMSGNSEADDRGIVEKDPSGRYIRYDEILGKGAFKTVYKAFDEVGGIEVAWSQVDIEDVLQSPEQLQRLYSEVHLLKSLKHENIIKFYSYWVDDKHKTINMITELFTSGSLRQYRKKHRKVDLKAFKNWARQILRGLTYLHGHNPPIIHRDLKCDNIFVNGNTGEVKIGDLGLAIVMQQPTARSVIGTPEFMAPELYDEDYNELVDIYSFGMCMLEIVTCEYPYNECKNSAQIFKKVTSGIKPASLEKVLDPQVKQFIEKCLVPASTRLPASELLKDPFLAAESPKDNSSELSRSLNEHFKSVNPPLLGSHPMETDHNCTKLSGSVASSVKSNNGISHFSTQELQRLTENNELTLKGDMTDHNTMSFHLRIAELYGKSRNIHFAFYLDSDTSLAIALEMVEQLELSNEDATIIAKLIDELIAKFVPSWKPCPNYCEEQQQNTPHSPEAQEDKTFISPFFSELVLSSPMVAAARNNLTGLAKVEDQENQQSIISCASVEYIYSTVSDYSIGKGSECGEFGHPDCEKAYISSGTIDLDAEAVGSLSTTIDFAKPSLISSCSEMSKELSLSSFSTLSMEERDHQDELKMEIDAIDLQYHQCLCELSRMREEAIESAKKRWMSKKKATGI >KGN50151 pep chromosome:ASM407v2:5:5392986:5401152:1 gene:Csa_5G156110 transcript:KGN50151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAFGKKLKERQIEEWQGYYINYKLMKKKVKQYAQQMEVGTQDRRHVLKDFSRMLDNQIEKIVLFLLEQQGLLASRIAKLDERLDVLQEEPEISQITELREAYREAGQDLLKLLYFVEINAIGLRKILKKFDKRFGYKFTDYYVKTRANHPYSQLQQVFKHVGIGAVVGAISRNLHELQDRQGRSYLSIYDQPVLPLQDPVIDSIRAAVDRLSNSTNFLNFLAQHALIMQEELPAPIGEQVDDSSYHSMSLFLNLANTFLYMVNTYIVVPTADDYSMSLGAAATVCGIVIGAMAVAQVFSSVYFSAWSNRSYFRPLIFSSIALFLGNLLYALAYDLQSLWVLLIGRLCCGLGSARAVNRRYISDCVPLKIRMQASAGFVSASALGMACGPALAGLLQTKFKIYKLTFNQNTLPGWVMAVAWLMYLIWLCISFREPSRESEENTPQESHQVQNDTLEKGLHQPLLITSLEKPSDEDGDPEVDDSEEAPEESRLPVTSIGSAYRLLTPSVKVQLLIYFMLKYAMEVLLSESSVVTTYYFGWSTSSVAIFLACLGLTVLPVNIFVGSYISNMFEDRQILLVSEVLVLIGILLSFNVIVPYSVVQYVGSGLIMFVSAEVLEGVNLALLSRVMSSRLSRGTYNGGLLSTEAGTIARVIADGTITLAGYLGRSRLLNVTLIPSLLICVVSILSTCYTYNSLY >KGN49941 pep chromosome:ASM407v2:5:3934721:3941927:-1 gene:Csa_5G141150 transcript:KGN49941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVWDCCVEEALAKLGLLQLLRSLRPITPSLEKLNPEASIEGKKDDELKVFDEMQPWDRASVEVEIAESTVQKWLLDIPSSGDEIVTEGGAIKCLVDHPQQFKKLVLFSGNDYLGLSSHPTIGRAAAQAALEHRMGPRGSALICGYTFHHRLLESCLAKLKKKEDCLLCPTGFAANMALMVAIGNIGSLLTEGKASSDDQKIAIFSDSLNHASIIDGIRLAERQRNVKLFIYRHCDMAHLNDLLSSCTLTKKVVVTDSLFSMDGDFAPMKELAMLRKKHGFLLVIDDAHGTFVCGKNGGGVAEMFNCERDVDICVGTLSKAAGCFGGFIACSKRWKLLIQSRGRSFIFSTAAPIPLIAAGHAAVLVAKREMWRRREIWNRVQDFRDLTGIPIQSPIISLIVGSEGKALKASRHLLKSGFHVTAIRPPTVPANSCRLRVTLSATHTIEDVKKLTSALLQCIRFQDIAINGNSNRFARL >KGN50660 pep chromosome:ASM407v2:5:9092512:9093533:1 gene:Csa_5G204420 transcript:KGN50660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINDLPTLFEVASGRKAVKDKPSMDSGSKSRNSTKRTLDGSTRNSNPKLLEESYGEDEDEHGDTLCGSCGGNYNADEFWIGCDICEKWFHGKCVRITPAKAENIKQYKCPSCSTKRGRL >KGN50692 pep chromosome:ASM407v2:5:9510526:9512982:1 gene:Csa_5G215120 transcript:KGN50692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGLKKHLKRLNAPKHWMLDKLGGAFAPKPSSGPHKSRECLPLILILRNRLKYALTYREVIAILMQRHVLVDAKVRTDKTYPAGFMDVVSIPKTSENFRLLYDTKGRFRLHSIRDEEAKFKLCKVRSVQFGQKGIPYLNTYDGRTIRYPDPLIKANDTIKLDLESNKIADFIKFDVGNVVMVTGGRNRGRVGVIKNREKHKGSFETIHIQDATGHEFATRLGNVFTIGKGTKPWVSLPKGKGIKLTIIEEARKRLASQSAVTA >KGN49760 pep chromosome:ASM407v2:5:2951793:2952908:1 gene:Csa_5G114570 transcript:KGN49760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFFSAAIFLLLLLLGTGMGPMVTEARTCESPSHRFKGLCFSKNNCGHVCKTEGFHGGHCRGFRRRCFCTKHCV >KGN50805 pep chromosome:ASM407v2:5:11177940:11183452:1 gene:Csa_5G266830 transcript:KGN50805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRKGLMEQDLKKLDVTKLHPLSPEVISRQATINIGTIGHVAHGKSTVVKAISGVQTVRFKNELERNITIKLGYANAKIYKCEDDKCPRPACYKAYGSGKEDSPLCDVLGFENCRMKLLRHVSFVDCPGHDILMATMLNGAAIMDGALLLIAANESCPQPQTSEHLAAVEIMRLQHIIILQNKVDLIQENVAINQHEAIQKFIQGTVADGAPVVPISAQLKYNIDVVCEYIVKKIPIPERNFVSPPNMIVIRSFDVNKPGFEVDEIKGGVAGGSILRGVLKVNQFIEVRPGIVVKDESGNIKCTPIYSRIVSLYAEQNELQFAVPGGLIGVGTTMDPTLTRADRLVGQVLGEVGSLPDVYVELEVNFFLLRRLLGVRTKGSERQGKVSKLAKGEILMLNIGSMSTGARVVAVKNDLAKLQLTSPVCTSKGEKIALSRRVEKHWRLIGWGQIQAGSTLDVPPCPI >KGN49721 pep chromosome:ASM407v2:5:2554880:2557744:1 gene:Csa_5G083830 transcript:KGN49721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGTIPKEVLSSLDINFVTYAYLKNVAEIYIQVPIFDGSAGKWVDAIEEIGLKLAIDQCGNFCEKMAPHVNQPVHVWRNDCFLIAFPATEVRITYGIDFPQVPEIGCQWFFTAPLDNKFYAEQIAPSRTFCIYEEVEQMRNMGLIKGGSMENALVCSLIQYYKS >KGN50378 pep chromosome:ASM407v2:5:6811182:6814094:-1 gene:Csa_5G171120 transcript:KGN50378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNANCLFCACVQQSNVGVVEKWGRFLMLAQPGFRFLNPLAGECLAGILSTRIRSLDVRVETKTKDNVFVQLLCSIQYRVVKENADDAFYELQNPEEQIQAYVFDVVRALVPRMNLDELFEQKGDVAKAVLEELEKVMKTYGYSIEHILMVDIIPDPAVRKAMNEINAAQRLQLASVYKGEAEKVLQIKKAEAEAEAKYLGGVGVARQRQAITDGLRQNILEFSHKVEGTSAKEVMDLIMITQYFDTIKDLGNSSKNTTVFIPHGPGHVRDISNQIRDGLMEAASAELKAE >KGN50892 pep chromosome:ASM407v2:5:12784117:12806542:-1 gene:Csa_5G315370 transcript:KGN50892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSTSGFGQQDHEGGEKKCLNSELWHACAGPLVSLPTAGTRVVYFPQGHSEQVAATTNKEVDGHIPNYPNLPPQLICQLHNVTMHADVETDEVYAQMTLQPLTAQEQKDTFLPMELGIPSRQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFSQQPPAQELIAKDLHDIEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLLLGIRRATRPQTVMPSSVLSSDSMHIGLLAAAAHAAATNSCFTVFYNPRASPSEFVIPLTKYVKAVFHTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDPVRWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSLFPLRLKRPWHPGVSSVHDNREDASNGLMWLRGGVGEQGLHSLNLQSVSSLPWLQQRLDSSMFGNDHNQQYQAMLAAGMPNLGGVDMLRQQIMHLQQPFQYIPQAGFHNSLLQMQQQQQQQQQQQLVQHSMPQNILQAPSQVMAENLPQHILQQTLQNQPEDLPNQQQHTYHDTIQVQSNQFHQGGHSNVPSPTFPRTDLMDSNTSYSESITSRRNILASSCAEGTGNLSTIYRSGQSILTEHLPQQSPVSKNAHSQVDAHPNSMSFPPFSGRDSILELRNCNSDSPSPTLFGVYKTGSVGRSLDISRFSSYQELREELAQMFGIEGQLVEDPRRSGWQLVFVDRENDVLLLGDDPWEAFVNNVGFIKILSPEDFQKLGEQAIESFNPIVGQRLTSGGNEAGNVSGLPSVGSLEY >KGN50413 pep chromosome:ASM407v2:5:7091901:7096498:1 gene:Csa_5G172920 transcript:KGN50413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGKESKSGPRRHVKWDESNIGQIEANKPVRQKITEPKTPYHPMIDDDDSLSPVRDNFDLCVGDAMHAEAIRTALNDVASSSGKSSQRSSGWTSSEDESDAMDQDEEDKGNLSFKEHRRAHYDEFRKIRELRRNGSLLVDEDDEDDVEMDMMGKGVRDIDIEKKNKGHLPQPSPSTNGVGG >KGN49668 pep chromosome:ASM407v2:5:1813482:1814010:-1 gene:Csa_5G056070 transcript:KGN49668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVVDVIFTSLLNFLGRLGGLYINLRGQGYLQIHSPRAFWNVDSFREMLREVLSDQHRVPQENDAIVWHRTNLQALFNHFPRERRIIGTLLTRNFML >KGN50827 pep chromosome:ASM407v2:5:11671840:11675974:1 gene:Csa_5G276470 transcript:KGN50827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIKFQFSIGFDLKCNIANPTTGCQKKLEIDDDKKLRAFFDKKISQEAVEIPSGTYEFKGYIFKIMGDCDKQGFPMKQGVLTPGRVRLLLPRDSKPNSQLPFDHFDSNRWLPALKRAGIFGIPGVTYSLTQVL >KGN52025 pep chromosome:ASM407v2:5:23238378:23251671:1 gene:Csa_5G608130 transcript:KGN52025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANVIDQDQQWLINCLSATLDPNHEVRSFAEASLNQASLQPGFGVALSKVAANRELPVGLRQLAAVLLKQFIKKHWQEGDELFEHPAVSIDEKAVIRKLLLFTLDDSHRKICTAISVAVASIATYDWPEEWPELLPCLLDLMNNRINMNGVHGGLRCLALLSGELDCEMIPRLVPALFPHLLSIVSSPEMYDKYLRTKALSVVYSCISMLGVMSGVYKEETSALVMPMLKPWMEQFSIILGHPVQSEDPDDWSIRMEVLKCMNQFFQNFPSFAESDVTIILQSVWQTFVSSLEVYVRSSIEGVEDPYEGSYDSDGADKSLDSFVIQLFEFLLTIVGSSKLVKVVKNNLGELVYYTIAFLQITEQQIHLWSMDSNQFVADEDDGTFSCRVSGALLLEEIVSNCGLDGINAIIDAAKSRFSESKREKASGSSAWWRIREAILFALASLAEQLIEVEPSGVTRVGLGSFLEEALTEDMSIGPHDCPFLYARIFTSVAKFSSMIRSDLINQFLHEAVKALGMDVPPPVKVGACRALSELLPEANKEIIGSEMMFLFSSLGNLLNGASDETLHLVLDTLQAAVKAGGELSSSIEPILSPVILKMWASHVSDPFISIDLIEVLEAIKNSPGCIHSLASRILPYLVPILDKPQHQPDGLVSGSLDLLTMLLKNAPIDVMKAAYDACFDGVVRIILQTDDHSELQNATESLAVFVAGGKQEILTWGSGFTMKSLLAAASRLLDPKMESSGSFFVGSFILQLILHLPLQMAQHLPDLVAALVRRMQSVQIAGLRCSLILIFARLIHMSAPNIQQLIDLLVSIPAEGNDNSFVYLMSEWTKLQVEIQGAYQIKVTTTALALLLSTRNPHLAQISVQGQITKPSAGITTRSKGKLAPDKWTVIPLPVKILSLLADALIEIQEQVSVDGQDSEWEDAEEDDISNDENLLHSIDATSVGRHTHEYLQVMAKVYDGEGDEYEDDLLTVSDPLNQINLAKYLVDFFMNLYQNDRHNFDNLFKSLSQSQQNAIQMVLSR >KGN52297 pep chromosome:ASM407v2:5:24910937:24913004:1 gene:Csa_5G623610 transcript:KGN52297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEIRTSVDSSSSVNLPLRTICHVCHKQFSQYSCPRCNSRYCSLQCYKSHSNRCTESFMRENVVEELRQLRTDDSAKRKTLDILKRFHAEEEMEDLDEEDDSTLSEETTEKVLAGDQLSFDDLSEEEKKRFLRAMASGELSKMIEPWEAWWMKPSARTISLSKEGTPLVQLHAAERMTTSLTSETEVMQSSGIPQAPDTPLPPLSKLSTAEPSPLLAVHLIDIIYSYCFTLRLYNGDWQSDATGSALVVLSISSVLGQNGKPETVLEALSSCLEQTCSPAYRHVGGLQLGLSLIDDVSTLLSLGCPALVCLLCDLQRLIQAGERDLKSEKRRRKSKWSDIGTKLKHADRKIFFIMCWVHEQPSEVWSTLENIVKMEKSSIMEFENHKMSTKMDSKVKSGDKVLIQEI >KGN52678 pep chromosome:ASM407v2:5:27734651:27737008:1 gene:Csa_5G650430 transcript:KGN52678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIRKSTQTEEDRISLLPDCLLHEILSFLNTKSVVQTCVLSKRWKTLWTEIPTLNFDYNSSYSFSIFKTEEDDISKQTSFKNFIIRVLSKLRTKSIRKLAYTSSKNRYDLTILADFLISYARSHSVQQLFLNTFNVIESHFWDFCINVNTCSSLVHLKLVCVFADNLDVLALPSLKILEIESLWLERPDKRANHKEITMFPGCPNLESLVFFVHLFQTATISAPKLKNLKLCASPDNDPTFSEVQLLTPTLENISFVNVLPTVKSDYEFSRIDKVDIQLVSRFERDDRNSRKSKFRELLSVFHMARSFTLPLKLTMQVMSLDNFDALCFHNMKHLRLRTKETGLLLEQLLKAPNLENFRFVKEANVNQEDGRQNQPSLPGFLLHDILSTLDAKIIVQTCVLSKRWKTLWTHIPTLNFHYNSYSLLAWEVDIEDLETKQRSFKSFKSFIILVLSKHCCKNIQKLTYTSSGDKDELVLVELLICYAGCHNVQ >KGN52253 pep chromosome:ASM407v2:5:24659167:24665079:1 gene:Csa_5G622680 transcript:KGN52253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGSNTDMLSIPNEGHQVPAGNFEDNLRTELELLLRENSNHSVAGRGGDLNIYRSGSAPPTVEGSINAVGSLFTSSYYNEFNTKSGSNDGVLSEDEIRSHPDYLSYYYSNDHINPRLPPPLVSKEDWRVAQRFQGIGSSLGRQGDWNWKKLVDGNTSSSLFSMQPGSSVQRTDKNNGNVMEFGDANGKNLPRKTLSEWHDRGREGFVGSGGNGLGARRKSFADIVQEGLGESASMSGQLSRPASHNSFGDVDNMGMNDIKPPGLCNGVGPIEDMHTPGPPGFVGVQSHNKAASHSFLNPNCSTLSRSTTPEPQLVGRSLSFGLPPVGSRVFAVEKKNITSSKVQNGYSAGFTELPDISGLHLSSIRHEDGVNGAQSRLQLDLGEQSDFLINMPNGILPRTLPDLSDKKLSKPSDNIDLTRKSGIVMNPRASTMSSHDHVNFPKRTSSSTNLYSKPNSSGFVSKDGPTRHLQNANLQSVDLAGYPSGDFSMNMNHSSAMNSYGTSDHIKLPSGTSDRPNHAGSSLQPHNYYGISQGDLQGLRSAYLEALLAQQKQHYELSLSGNSSVYNHRLYTNTPYGSGIPYLADQALDSGLSSVGHGGTMLQNERILRFNSMMRTSIGAHGSWQPEIGNTADRSFPSTLLDEFKSNKTRSFELSDIVDHVIEFSMDQYGSRFIQQKLETANVEEKTKIFPEIIPHARTLMTDVFGNYVIQKFFEHGTASQRKELAEQLSGHVLPLSLQMYGCRVIQKALEVVDSEQQTQMVAELDGSIMKCVRDQNGNHVIQKCIECIPQERIQFIISAFYGQVLALSTHPYGCRVIQRVLEHCDDSNTQQIIMDEIMQSVCLLAQDQYGNYVIQHVLEFGKPHERSVIISKLAGQIVKMSQQKFASNVVEKCLTFGSPEERQLLVNEILGSTDENEPLQAMMKDPFGNYVVQKVLESCDDHSLELILSRIRVHLNSLKRYTYGKHIVSRVEKLITTGERRIGQSSTSSSFPS >KGN51142 pep chromosome:ASM407v2:5:16386445:16389687:-1 gene:Csa_5G466880 transcript:KGN51142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRGHAGVAKPNFSLMLFEMSTLECHNFKFTATRTFQMPYNHEKRKGLITQLQYSHGDRRTVFKSLHSTISSKSYSSKVDRKEGSIGSSLYSRPSLVEMKNVRLENRSKVYEFLRGIGVVPDELDGLELPVTVDVMKERVDFLLKLGLTIEDINNYPLILGCSVKKNMIPVLDYLGKLGVRKSTFTEFLKRYPQVLHSSVVVDLAPVIKYLQGMDIKPNDIPRVLERYPEVLGFKLEGTMSTSVAYLVGIGVTRREIGGVLTKYPEILGMRVARVIKPFVEYLEGLGIPRLAVARLIEKRPHILGFGLEKKVKPNIQLLLEFKVREAYLPSIVAQYAEIIGIDLEANLQTQRNLLKSLIELDNDNFGTIIEKMPQVVSLSRSAVINHVDFLKTCGFSLLQVKNMVIGCPQLLALNIDIMKHSFEFFQMEMGRPLEDLATFPAFFTYGLESTIKPRHRKVVQKGISCSLSWLLNCADEKFMERMSYDTIDMEEMETTPTFDMNSLIQPRNDESDSEYEEDSEEES >KGN51302 pep chromosome:ASM407v2:5:18018999:18020537:1 gene:Csa_5G515060 transcript:KGN51302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGFEERRGNNHGRFSKNNTNNGQNDLEDFPILFPTFSMPVLLRLNFFKIHLVKSQSFEVVFLNIAIDLVDSLIFVVPYFQKHFSDTSDMNMVPVTLRDIRPSITTATFFDALLIAPQAFLFHILVKNELQFFFSFSFSFDCVFSLQISTTWVKI >KGN51696 pep chromosome:ASM407v2:5:21349515:21350370:1 gene:Csa_5G590130 transcript:KGN51696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLQLPLFFAMFLFVYTFAYSVLLRNWGPKHRLEASSCFISLAHGTPVVILAAIAILQSPNSTDFAAPNTKFQALVLEYSIAYFSLDLLHCLLFSPNDFVFIFHHLAVLYVFVTCNYIVGCGAVPILGLLVVAELSSGCQNAWTLAGYRRTEMVAAAKFEEFVAPYFLTFYSVLRVGLAPVVVCKMGEFYVGSLGMSGNLIPTWAWISWMCVIGLAIFGSVLWVGNHWLLWFHRITNTKS >KGN52334 pep chromosome:ASM407v2:5:25149050:25160096:-1 gene:Csa_5G624450 transcript:KGN52334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVGGTANGGVEWHVRPPNPKNPIVFFDVTIGTIPAGRIKMELFADIAPKTAENFRQFCTGEYRKAGLPVGYKGCQFHRVIKDFMIQAGDFVKGDGSGCVSIYGHKFEDENFVAKHTGPGLLSMANSGPGTNGCQFFITCAKCDWLDNKHVVFGRVLGDGLLVVRKIENVATGPNNRPKLACVIAECGEM >KGN52472 pep chromosome:ASM407v2:5:26387128:26394361:1 gene:Csa_5G636640 transcript:KGN52472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRSNIKSKWRGEGQNPRLRHRRINHSFLKLSHFPLFALRFSDSHPPSPTDITNQFHKFMAGQRNSYGKRNHSQSDYSENGGNKRRSHGEDRDQFVIDSEDTVYRYLCPVKKIGSVIGRGGEIVKQLRIDTKSKIRIGETVPGSDERVITIYSASNETNSLEESSDYVSPAQEALFKIHDRVVADDYMDEDSEGGGHQVTARLLVPSDQIGCIIGKGGQIVQNIRTETGAQVRILKDDHLPRCALSSDELVQISGEPLIVKKALYQIASRLHDNPSRSQHLLASAIPGVYSSGGSLMAPTHGAPIMGLAPLVSPYGGYKGDSGNWSRSLYSAPREDLSSKEFSLRLICPTENIGGVIGKGGAIINQIRQETKAAIKVDSSATEGDDCLINISSKEFFEDSYSPTLEAALRLQPRCSEKVERDSGIISFTTRLLVPTSRIGCLIGKGGAIITELRRLTKANIRILSKENLPKVALEDDEMVQISGDLDVAKEALVHIVTRLRANLFDREGALSAVLPVLPYLPLSADGSDSLSYDGREGKRHGRGHSYSSGYGGFNDLAGGDGYGSYSGSQIGGGGSGGGGGGGGGAYGAYGNFSVGRSGSSGVSGHGSVSRRRNYS >KGN50277 pep chromosome:ASM407v2:5:6247066:6250275:-1 gene:Csa_5G165220 transcript:KGN50277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAFGSTRSSINRWNSKKPNLQLPRISLSVCALLFCFLFLLYLSSSFSSSSFMSSTAFSTSNSRQCNTQILALGEKFLFYAPHSGFSNQLSEFKNAILMAGILNRTLVVPPILDHHAVALGSCPKFRVPDPGEIRFSVWEHMLQLLRNGRYVSMADIVDISSLTSYSSVKAIDFRTFAYLWCGVRLESVCANEYNNLKQCGRLLAGLDGNVDKCLHAVDEDCKTTVWTYQNNEVDGALDLFQPNEQLKKKKKVSYVRRRRDVYRTLGRDSKAGSATVLAFGSLFTAPYRGSELYIDIHGVSKDQRISSLMKNIEYLPFVPEILSAGKEYIDKIIKAPFLCAQLRLLDGQFKNHWKATFLALQQKLDSILENANEPIHVFVMTDLPKSNWTGSYLGDLDSDSNHFKLFFLEESDELVLRASKKVMAVGHGLRWTSNAFGPGSIRDMKKKCASEKLPDVLLYIEETVCSCASLGFVGTAGSTIAESIELMRKYGVC >KGN49601 pep chromosome:ASM407v2:5:756749:758060:1 gene:Csa_5G021340 transcript:KGN49601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTMQTCRTLPPLRFPHLFPDSISLRSSFPARARLRLLATIVCYPFARSLKFGKSFIFNKFGFTAKPSLNERAHMK >KGN51512 pep chromosome:ASM407v2:5:19989469:19991316:1 gene:Csa_5G571550 transcript:KGN51512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNPAEKKLQARSSIKWMINNDVRSMSSVDDGDESQHRPRNRDYLTSLDSVNSCFDECSSVVHSDMEDVVASRSQDEVKKHLETEIPENFLCPISYELMLDPVIVSTGQEQLQALILTPNFIMRKLIYEWCEEHNVKLEEGLTNKKLKKCRSFEDDCRRTPLPINTLVRHLSFGSVQEQKTAVTEIRQLSKSSSDHRVEIAEAGAIPQLVNLLTSKDVITQENAISCILNLSLHEQNKRLIMLSGAVSYISQVLKVGSMEGRECAAATIYSLSLADENKAVIGASDVIPDLIEILDIGSPRGQKDAAGALLNLCMYQGNKGRALKAGIVKPLLKMLSDSNGSLVDDALYIMSILCGHPDAKATMGNANSLLVLTDVLKTGSPRSKENAAAVLLAFCKGDREKLEWLTRLGAIAPLMKLGENGTGRARRKAATLLDQLGKS >KGN51855 pep chromosome:ASM407v2:5:22316803:22319219:1 gene:Csa_5G604000 transcript:KGN51855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVSRTCSLCGNNGHNSRTCPEADGTTGFMLFGVRLTTTSDGSNSFRKSFSMNNLSQYADHPPSQDSNHADAGYASDDVVHPSDRSGGRKRGIPWTEEEHRLFLLGLQKVGKGDWRGISRNFVKTRTPTQVASHAQKYFLRRNNFNRRRRRSSLFDITTHTFTSSSKEDDLIFSGHEATQPPLPPSTPQKDNTPGNFSVKIHQAKPPPAAVASGVVDSKAVESTLTLNSSNYPAKPCNSKIIRPIPMLPLSPYPKFAELNINERIPEDPLPLTLKLSTSQSEGQSPAAASQSSGSFQTMSGGGDSIDIVSVA >KGN51508 pep chromosome:ASM407v2:5:19970639:19971679:1 gene:Csa_5G571510 transcript:KGN51508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIVGFVSDYVTDNLGSRKKKRKPNQTVEIKVKMDCDGCERRIKNAVSSVKGVKSVKVDRKQSKVTVNGYAEATKVLKKVESTGKKAELWPYVPYNSVAYPYVPQAYDKKAPPGYVKKAPQALPVDEALDQRLTMMFSDENPNACSIM >KGN51240 pep chromosome:ASM407v2:5:17484985:17485571:1 gene:Csa_5G497040 transcript:KGN51240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILFNKFSTIPAALLIHFILCSIFSTMFAATNEQLLNVANAKNGITASAAEYQAFDIGKPLYRIKEQYHVPDLVVENIDSISSAPSSAPSPSPSPSRSPLG >KGN51939 pep chromosome:ASM407v2:5:22787265:22788704:-1 gene:Csa_5G606310 transcript:KGN51939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKTCTELPPGFRFHPTDEELIMFYLRNQATSKPCPVSIIPEVDIYKFDPWDLPEKADSGENEWYFFSPRDRKYPNGVRPNRAAVSGYWKATGTDKAIYSASKYVGVKKALVFYKGKPPKGVKTDWIMHEYRLIESNKQSMKQSGSMRLDDWVLCRIYKKRHSNKGLLEEKTEELAAQNDMVEGNGQGQQMMKFPRTFSITHLLDLDYLGPISQLFNDSSSMNPTLGFQNNTAAAAFTSVGKIEEGELMPLDYSSSSTDFQVNHSNNFFNQPIFVNNPTNDWNAHGFSR >KGN49579 pep chromosome:ASM407v2:5:410127:419862:1 gene:Csa_5G011690 transcript:KGN49579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRRPGIIALFDVDGTLTAPRKVATPELLKFMSELRKVVTVGVVGGSDLSKISEQLGNSVIHDYDYVFSENGLVAHKDGKLMGTQSLKLHLGEENLKSIINFTLHYIADLDIPIKRGTFIEFRNGMLNVSPIGRNCSQEERDEFEKYDKVHNIRPKMVSILREKFAHLNLTFSIGGQISFDVFPQGWDKTYCLRYLEDFQEIHFFGDKTYEGGNDHEIYESERTVGHKVISPDDTVEQCQAIFF >KGN49849 pep chromosome:ASM407v2:5:3473293:3475123:-1 gene:Csa_5G139270 transcript:KGN49849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNSHLFPLHMLSFSFFNHWVGGWRLEVGGWCSSLQTEHSFLLFLFFIMGFLTTALGSSLFFAFVLVLGFRFITKTSLVYMIVKGFQAITDYFHVYQFYRIPQFDENLQHNQLYLRVHTYLHSLPSLEDSNFANIFCGAKPGDIFLRLDTNQTVHDSFLGAKLRWKIEMHTDHHRQNNLFSLLLKLRKDDKRRIFRQYFQHILSITDEIEQQKREIKMHINVDGGARRWKAVPFTHPATFGTVVMDADLKNKVKSDLEQFLKSKQYYHKLGRVWKRSFLLYGQPGTGKSSFVAAMAKFLQYDIYSIDMSKISSDSDMTTLLLQTTPKSLILVEDLDRHLMKRSTATSVSGVLNFMDGIASYCGEERVVVFTMSDKSGIDEAALRPGRVDVHLQFPACDFSTFKTLAMSHLGVKDHKLFSQVEEIFQNGGSMSPAEIGEIMIANRSSPSRALKSIITALQMDGSGNGFKWTSGGREIHGEDGIGSRRFVFKDNLSMKLYGLLKLGLRKNDESSDSISHSFGTNTL >KGN51601 pep chromosome:ASM407v2:5:20733412:20735754:1 gene:Csa_5G583310 transcript:KGN51601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVSKSKSASKDKSSARAAAKKQPKASKTSASKNSNRTSAATTAQNPVGELDHTVEISSTLTTSSLLSDNGHFQNMEDMDDHSSSPRGTVSDFDLSSNNGSCSGESEDPKEKTVDSSNQQDRTTGCDHEKREKIRLKNEKKHQRQKEKRAQELHERCKGYLMSRKLEALSQQLVAMGFSPERATMALILNEGKLEESVAWLFEVNTEEPRNKDTVNVTSGSNLKIDINSELAHISALEAQFKCSKQEVERAIVASGGDLDKAEGILREQKQKESVSQSNHEVVGETHRMARAQETAGSASVFTMQSSNREFSSNSAQMTVPAWLEAGNRSTQQSLKTTDQPRLLRGGEKRWPAVGSGLSLSTSSSPLPVVTSHPYAKAEAQIGVSKSEAIHLRREIPEQPVEPVVFIQQQPQSINGLQNAVSSPMLPGTTPWYSNNAPTNKNIISSDKLLHNHSRMILGAENRRLEQIYHQVVHNQPQFMSGPVEMLSSGLDIPWAKENVSSSHFPSEAQSLWKPGGGTLSSFTVPSSYGSSSNLSQGSPAQTTGLLSQMDWNLGGLMPYCDYNRIDWTLHSPSSRSSELSPGFSSSLRNGSGMRLCELGMQGGGGVKDVSGSGGLRDWTSPFAGNDLFSAPRQLVTSPFP >KGN52001 pep chromosome:ASM407v2:5:23099830:23101767:1 gene:Csa_5G606910 transcript:KGN52001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRKLDSPVQTQMAVAALKSPLSRDYHSKNGRDEKLPVGRRQVFVQTDTGCVLGMELDRNDNAHTVKRRLQLALNIPTEESSLTFGDVILKNDLSAIRNDSPLLLTRNLLHRSSSTPCLSPTGKDLRLRDQSGPIEILGHSERFSGTKRLVKEIVKAIKLGVDPIPVHSGLGGAYYFRNGKGESVAIVKPTDEEPFAPNNPKGFVGKALGQPGFKRSVRVGETGFREVAAYLLDYEHFANVPPTALVKITHSIFNVNDWVNGNKSQAHKKKSVSKIASFQQFIPHDFDASDHGTSSFPVAAVHRIGILDIRIFNTDRHAGNLLVRKLDGIGTFGQVELIPIDHGLCLPETLEDPYFEWIHWPQASIPFSDDELKYIENLDPIKDCEMLRMELPMIREACLRVLVLCTIFLKEAAASGLCLAEIGEMMSREFRSGEEEPSELELVCLEARQIIAEREFSSPTSEWSNEEFQFDLDCEDGELDYSSGMAADQCLGWSHYQFGGLGSLHGRNPLSKLEESIEEEGEDSGGEEQGETASFLPSEPVSVVSKLSMSLKNTILGDKNQKNQKYQVTKPEYGYITNTSAGHRSANEQFPPSVSFVKLGDMNEEEWSIFLDKFPELLRPAFAKRKSAVLGQRQRQRLGTSCQF >KGN51239 pep chromosome:ASM407v2:5:17481935:17482425:-1 gene:Csa_5G497030 transcript:KGN51239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFFPFRELSLAHAAQCAVRKLFNRASLSQLPIVRRSPAPLPSACVRRCCLSVSRLRRPLLLLRKSPLGFWDVGHAWISILVLGWFDCVISEVVYAWKVGFEILLFHRTYHRNLIAYRSGTHLNKLAPSCRSKFKL >KGN50255 pep chromosome:ASM407v2:5:6131154:6133668:-1 gene:Csa_5G162040 transcript:KGN50255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMMMMMRGGIEDAAVIGGLMTVQLIYAGNSVLLSYLMSLGLNPLTVVVCFAAATSLFLSPLAFYFERRKWPKKLSFKLMLQLVLISFGGVTLFQSLLLEGIKLTSPAMATAMPNLAPGLIFIIAWCFRLEKVEFSCVYSKVKILGTILCVVGAITMSIIQSSIIIPSKHQQLMTTSPPPLTTIVLFNKEKIVGCFYLMLSVFILSSNVVLQATALGELPAPMSLSAITSFIGVFTTAAIMLLQNHNLLTDLSILNIKDLLSYSLLGGIVSGISLSFNGWAMKKRGPVLVSIFSPIGTVFSVLLSLFTLGDTISVGSVGGMLLMFSGLYFVLWAKRKEGYGDGSGYLTDDDFDLQKPLLS >KGN49570 pep chromosome:ASM407v2:5:288884:291603:-1 gene:Csa_5G003620 transcript:KGN49570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSFLKADLVAVVVDFKDQAGEQQTMQQNLQNISLKTGAPMESHAATILTPFAFSKLQEQLVLAAHYASFQMDDGFLVRHHTKTDGGRKVYWVPREGIISCSCHQFEFSGILCRHALRVLSTGNCFQIPDSYLPIRWRRISMPSAKLLPSTTNDHAERIQLLQSMVTNLVTESAKSRERLDIATEQVSLLLSRVREHPTSLPGSRDVSTIHRNL >KGN49829 pep chromosome:ASM407v2:5:3396122:3399416:1 gene:Csa_5G139090 transcript:KGN49829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHYCSKGVSDVSNHPTISDVPQHTTSSPFSSPLPPGIPPSPATTPGRKFRWPLPPPSPAKPIMAILRRKSRKPSIPEDDKIGEQELGEVQLDKSFGYSNNFAAKFELGMEVGRGHFGHTFWAKGKKGNLKGIPVAVKIISKSKMTSAVGIEDVRKEVKILKALSGHDNLVHFHDAFEDANNIYMVMELCEGGELLERIVSRGGKYPEQESKTIIVQILSVVAFCHLQGVVHRDLKPENFLFLKKEENTGLKVIDFGLSDFVKPDERLNDVVGSAYYVAPEVLYRSYSFEADMWSIGVIAYILLCGGRPFWARTESGIFRSVLRADPNFDDSPWPTISAEAKDFVKRLLNKDHRKRMTAAQALTHPWLRDENIAVPLDNLIYKSVKAYVRATPFKRAALKALAKALTEDELFYLRTQFKLLEPQNRFVTLDNFKAALVRNATDAMKESHVADILKMMEPLAREKMDFEEFCAAAISVYQLEAVAGWESIATRAFEYFEQEGNRVISVHELVQEMNLGPAAYSFLQNWTRSSDGKLSFFGFTRFLHGVTVRNSNTRH >KGN50940 pep chromosome:ASM407v2:5:13527705:13532518:1 gene:Csa_5G352600 transcript:KGN50940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRKGGSSGGEGVRSLELELEKMSVEQLRAFKEQTDMEVNLLHDSLNNIRTATSRLDIASAALHDLSLRPQGKRMLVPLTASLYVPGTLDEADKVLVDVGTGYFIEKTMADGKDYCERKIKLLRSNFDQLIEIATKKKKVADEAGLILQAKLRQMGATA >KGN50788 pep chromosome:ASM407v2:5:10965725:10970286:1 gene:Csa_5G262260 transcript:KGN50788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLLFFLSTMPISSLFLLFSFLSLFLSPLSAAADRNFLLLHHHRHHRHLLHQPFFPWTSLPPSQAPSSLSPLSQPQHLQPKLPFSSNSFSSPPKPFFPSYPSSPPPPPSPPSTALPTFPANISALLFPQPTSSSQHLHRHVFAIVISVSLVFSVLVFFVALFYYFRNRNRQVSATDKASRTDNLRLYPPDIDTSDGVHKNRTSSTTTSKFLYLGTLATSREIDEQAAGAVEEGGGGIVESVSPVKMGSPELNPLPPLPRRNFADDYRRNADGNDDDDDDYDRDDEEFFSPRGSSVGGKENVGSNRRLSPVKLFHNVETENFLRKSYNSSLNSGSPSVSLPNSPSPPLMLSPTSLRSKSPDSIIRFPVPLRPLPTLPVPPSPSFSSASSPLGGSGNTKNSPSRDSDFPELPRQFSDGSRMDYQQPLPVKLPTAPPLRPPPPPPPPPMFWEIPQSSSLLNKEPNLGPPVLTVPTRPILSQNIAHMSAGEQSNTIVDAERAEETLKPKLKALHWDKVRMSSDRAMVWDQIKSSSFQLNEEMIESLFMVNNHNSNIMSKENGSVHQNMPLGSQENRVLDPKKSQNIAILLRALNVTIEEVLEALLEGNSDALCTELLESLLKMAPTEEEERSLKEYKDDSPFKLGPAEKFLKVVLDIPFAFKRVDAMLYMANFDSEVEYLIRSFTTLEAACTELKNSRMFLKLLEAVLKTGNRMNVGTDRGDAHAFKLDTLLKLVDIKGTDGKTTLLHFVVQEIIRAEGYRHSTSDNNLTADNTQQSSLTNDVEFRKLGLQVVSGLSRELSNVKKAALMDADVLIHDIGKLAGGITKITEVIRLNEDMLKGGSRSNFSDAMNKFLGKAAEEVSRIQVQEGIVLTMVKEITEYFHGNLAKEEARPLRIFMVVKDFLAILDQVCKEVGRINERTIVGSARQFTGPMNPCLPSVFPGLCESQRYGSSDDDSSSSSS >KGN50575 pep chromosome:ASM407v2:5:8312794:8313227:1 gene:Csa_5G183770 transcript:KGN50575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQTHQLWRQILKTTSYWDQEKAFADDVAAVWPPRSYSCTFCMRQFRSAQALGGHMNVHRRDRAKLQNHPNRPFFIKPTTPTFDLLTHHFSPKNNDSPPFSLKKPKLASSSSSSSSSSSNSELHLDLELKL >KGN52347 pep chromosome:ASM407v2:5:25271458:25271802:1 gene:Csa_5G626560 transcript:KGN52347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAINVGMLKKKLGMTHSKLSLVKRVSANSFSKKNASSKVKIPKLLPFKGLRDKGNQKFPMGHQRILQDPRDRHGGTGLHCHHVPHQTPNHGGILEHKLELIYAIIFGFVWFNLF >KGN49720 pep chromosome:ASM407v2:5:2548965:2550156:1 gene:Csa_5G083820 transcript:KGN49720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWTVDVPTVCVTHLEICCSCLGWTWVWVLKCFDGGMSGLVDLELGRFTWRKMKSDGKERIEKDYAGKGRNNNVGNSPSYHVNTFLRPSSYFPFLFDRYHRHRHRPMLVPTAFNALKSSRSISWSPTGRLQQTLAGCLELSGISLHSGKVAKVKLCPEFAGRGRYFDFKSNFIPASIDYAEDSPLCTTLSKDGFKIRTVEHLLSAMEAMGVDNCRIVITNEDAKDSEVENQLSPRKAPSPL >KGN50303 pep chromosome:ASM407v2:5:6387011:6392297:-1 gene:Csa_5G166470 transcript:KGN50303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSSRALKGFKEGRKVRVIAKIKSLPGQEIDGESTASWISVNKPNGDASESVAISFGDQPVSRKETYEVDYCYEQNEDTENIFTREVKSLIPGVFDGHHATVIAYGARGTGKTSTIQGTIEKQGLASLTINELLLMAKEKGKSVSISYYEVYMDYVYDLLDPKRATVLVLDNGQGKIQLKGLSRIPVKSLSDFHGLYFVGSSSHKQGQKNTNEPPPRSHRGLIVHISSTNETAPDTRFVSKMNFVDMAGYEDARRRSTDGTSLVENSKINKSIYALLNVASALNSNDNHVPYRESKLTRILQDSLGGAQSKILMISCLNSSFCQDSIYMANLAARSCQVIKRVASSAIRKMKSSTNSAVHSSLKSQIPKSVSATAKKQTISRFSFSEKKASVSTTSSAMKGRKLFDDATSYLGKLDKETKLSSASSRRERLKNGGPISVIDQVKPLSCSIKPEEESTSALEKELSVAEISNSVETTIVPEVVSNSKQEPERIDNDMSVLSANGGQNINEEDNYSMINIDDILVESTPEVTSSTSLFAVQNSCLDKENSSYMINEESSPPISARLQALSNSLKLLCSSTPTCKIPVSDDPYALVSTDAVTEQQTPKMERSLQVYDEEDVANPTTTPWEKLSKRSTGLQKLLVEDLLRFINTASKEELKQLNGIGEKRAAAIIELRKESPEPFNSIDDLMKIGLSAKQVKGLMKKEAGELLFN >KGN51381 pep chromosome:ASM407v2:5:18583253:18586864:1 gene:Csa_5G524740 transcript:KGN51381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAFVYVILGGGVAAGYAALEFTKRGVSRGELCIISEETVAPYERPALSKGYLLPEAPARLPAFHTCVGIELVLGTRVISADVRRRTLLTAAGETISYKILIVATGARALKLEEFGVNGSDAENVCYLRDLNDANRAVDVMHSCSGGNAVVIGGGYIGMECAASLVINKINVTMVFPEAQCMPRLFTPKIASFYEDYYKSKGVEFVKGTVLSSFDMDSNGKVVAVNLRDGKRLPVDMVVVGIGIRPNTSLFEGQLTLEKGGIKVNGKMQSSNSSVYAVGDVAAFPVKIFSETRRLEHVDSARKCARHAVAAIMEPEKTGDFDYVPFFYSRIFALSWQFYGDNIGEVVYFGDFSGNTFGAYWVNKGHLVGSFLEGGTKEEYAAIAMVTSLKPAIEDLAELESRGLSYAMAISREPPPPQVTNVDVSGPSLVIEKPMYRWHATAGVILAGSIAAFAYWYGRRRRRW >KGN52260 pep chromosome:ASM407v2:5:24690090:24691091:-1 gene:Csa_5G622750 transcript:KGN52260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAPPPPPTPTPTPPSTVTADSSPIGNPLFTRIRLAAPSDVPHIHKLIYQMAVFERLTHLFSATEASLSAHLFSSTPFQSFTVFILEVSTKPFPENSPHNYNPNYTPVARIINSDLPVDDPEREIFKSEDENVVVAGFVLFFPNFSTFLGKPGLYVEDIFVRECYRRKGFGKLLLSAVAKQAVKMNYGRVEWVVLDWNANAIRFYEEMGAQILPEWRICRLTGKTLEVYGDDI >KGN52035 pep chromosome:ASM407v2:5:23319969:23320485:-1 gene:Csa_5G608230 transcript:KGN52035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSSSQTSIPIFILLLLLLLLSGLSSATRPGKTMEFTEMNPDMSNSYKTAFRYGGQTFSFLPKGVPIPPSGPSDRHNSVVDSLPPN >KGN50693 pep chromosome:ASM407v2:5:9514704:9517060:-1 gene:Csa_5G215130 transcript:KGN50693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRAPSSALSLANSLPDNLTPLFSSSSSSSSSILKLSPPRNAGASLVVSASKGANTRPLTGVVFEPFEEVKKELSLIPSAPQVSLARQKYTDACEAAVNEQINVEYNVSYVYHSMYAYFDRDNVALKGLAKFFKESSEEERDHAEKLMEYQNKRGGRVTLESLIKPLCEYDNEEKGDALYAMELALSLEKLTNEKLLHLHKVAEDNQDVQMTEFIESEFLGEQIEAIKKISEYVAQLRRLGKGHGVWHFDQMLLHEEA >KGN52318 pep chromosome:ASM407v2:5:25016834:25019923:-1 gene:Csa_5G623810 transcript:KGN52318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQKSPNNVPIINLPNKFPDHPTASNSPTVRPGGGWKAAIFIIFVEVAEQFASIGLSSNLIMYFTTVFHEPLGVAAKQVNNWVGVSAVFPLLGAFVADSLLGRFKTIIIASLIFFIGMMVLTVSATVVGDNQRKAVFFLGLYILSVGQGGHRPCVQTFAADQFDEESPEERKKKSSFFNWWYVGLVGGSTFAVFVVIYVQDNIGWGLSFGILAGVLAAAIILFLAGVKKYRRQVPVGSPLTRIAQVVVAAARKWRVDETRNGWRICYEEDNRAKNDAEGEHNLMTLARTNQFRILDKATLIDKEDEARKKRDPWRLSTVEEVEEVKLVVRLIPVWVSCLMFAVVQAQIHTFFTKQGSTMLRSVGPHFQLPPASLQGVVGLTILLTVLFYDRVFVPAARNFTGHHSGLPNPIN >KGN51584 pep chromosome:ASM407v2:5:20611773:20612055:1 gene:Csa_5G581680 transcript:KGN51584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWHCHDVKLPSRLVKVLGRDKPDKGSMRYGNGTTSSSIELTSKIDSWCWIAQICLCH >KGN52242 pep chromosome:ASM407v2:5:24602162:24606397:-1 gene:Csa_5G622570 transcript:KGN52242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEKNTGRPRGFGFITFSDRRGMDDAIREMHGQEFGERIISVNKAEPKMGEDMDHGYRGGGYSTGGRGSYGGGGGGDRSARQDECFKCGRLGHWARDCPSVGGGRGRGGDSFLARSRLGDSDRGDRFAGDANRYVDDRYDGGGRFGDRDRFDSSSRDNKYGSRDRYVSDRYPPGGDRFGSSRYTNDSDRFPVNGYGKDRGYYRDGGPRGVDKYGSGGPARDEGRSYRNRPAPYDRPNRGGRPSSFDRY >KGN50247 pep chromosome:ASM407v2:5:6080687:6086504:1 gene:Csa_5G161960 transcript:KGN50247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRTNFYKNPSISYKKDLHLSSALQNLRAYNIATGNAPPTDVQVQSPPVPVVKKNENRKRQREPELCGTQMYDVGNSDGPMSHQDYIERRRKEANKSQPYETLTEDVLVASSSGLNLVDYESDESVSSDGAEKPDVQNSSLLNDYKEVESKTEQRFAVAGEPVCVVCGRYGEYICDETNDDICSMECKFKLLEILKRGEEFPNCEVKDVALPESKYILPSPEFGEDTWDYKNHRWSKKKSNLCTYECWKCQKPGHLAEDCLVKTSNQAMQQMTSNPVPGDLLGLYKRCYQMGKNLSNALCNECSCSYSLATCLDCNTVYCDSAGHLNEHIHTHPTHGLYYSHKLKRLVKCCKSTCRVTQIKDLLVCHYCFDKAFDKFYDMYTASWNRAGLSIISGSICCEDHFAWHRMNCFNADVEDTAYIINRKPKKDKFVSISDFIF >KGN50929 pep chromosome:ASM407v2:5:13375351:13383497:1 gene:Csa_5G348050 transcript:KGN50929 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate decarboxylase MVLSKTASQSDVSVHSTFASRYVRTSLPRFKMPENSIPKEAAFQIINDELMLDGNPRLNLASFVTTWMEPECDKLIMASINKNYVDMDEYPVTTELQNRCVNMIAHLFNAPLGDSETAVGVGTVGSSEAIMLAGLAFKRKWQNRRKAEGKPYDKPNIVTGANVQVCWEKFARYFEVELKEVKLREGYYVMDPEKAVEMVDENTICVAAILGSTLNGEFEDVKLLNDLLVEKNKETGWDTPIHVDAASGGFIAPFIYPELEWDFRLPLVKSINVSGHKYGLVYAGIGWVIWRNKEDLPEELIFHINYLGADQPTFTLNFSKGSSQVIAQYYQLIRLGYEGYQNVMENCRENMIVLKEGLEKTGRFNIVSKDNGVPLVAFSLKDNTRHTEFEVSEMLRRFGWIVPAYTMPPDAQHITVLRVVIREDFSRTLAERLVNDIEKTLHELDSLPSKADIKTTVAGEETQKNDVVVAKKSALETQREITTAWRKFVMEKKKTNGVC >KGN51733 pep chromosome:ASM407v2:5:21574954:21576067:-1 gene:Csa_5G594450 transcript:KGN51733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSLSICVLSLLFFLCSLILLKTKKNVELKHNNNHKFLPPPSPPKLPLLGHLHLLGSHPHRSLWNLSRTHSPIMLLKFGSVPTVIISSAKIAKELFKCHDLASCSRPRLAATAKYSYNFLDLIFSSYDDHWRELRKIYIAELFSPKRVQSFQHIREEEVNQLVNSISQSSSSSTLFDFTTKSYSLTANILTRIVFGKSIRESKSELDDSDVEGVIQRASAAIGCFSASDFFPSFGWIIDRLTGVHGRLEKNFEELDAFLEHVIEDRINFRTVCQKEENILDVLLRMERDCYEFGSIKFTRDCIKAVVMIL >KGN52537 pep chromosome:ASM407v2:5:26817819:26821177:1 gene:Csa_5G642190 transcript:KGN52537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGVLGNSSPTLVLLNEFNYQHDSHYPFRREDKRLRQCINVNPMLKSWMRCTIMYDGNAVSVLPRSTPRLNLVVQSTRGVNCGEDEAIELVIDEGVEESSREWKLPPWGDIAHQDEATFQSEDVNQPKILEGKVLENESKLHFLEETDKVMLSKRILILSRKNKVRSALELLRSMQLAGLLPSLHALNSLLACLLRNELFADGLRIFEFMKLNELSTGHTYSLVLKAVANAHGFLSALEMFKAWEHQCVLAQFDAIVYNTMISICGKDNNWVEAERTWRLMEKNGCSATRITYSLLVSTFVRCNQNELAIDTYVKMVQNSFKPGNDTMQAIIGASSKEGKWDFALRVFQDMLKCGLQPNSVSFNALINALGKAKEVTLAFSVYNVMKSMGHSPDVYTWNALLGALYKANRYSDAIHLFEFVKREKVQLNIHIYNTILMSCSKLGLWERAVQILWEMEVSGLSISTSSYNIVMTACEMARKPEIALQVYERMVHQKHTPDTFTHLSLIRCCIWGSLWDEVELLLNKSAPDVSVYNVVIQGMCLRGKSDLAKKLYTKMRENGIQPDGKTRALMLQNLPKDPARRKNRWASGFKKRQRHYHHR >KGN51300 pep chromosome:ASM407v2:5:18015035:18016035:-1 gene:Csa_5G515050 transcript:KGN51300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQVALKLVLAEMALILALLFKNPLRNLIVKGLDRLKQGRGPLVVKSVAATMLVVFASALYNAAAINRRVAEAGILNQTDEILVAYRLLETYTIGFSLFLALIIDRIHNYIRELHRLRATLEERFKVLT >KGN49694 pep chromosome:ASM407v2:5:2197384:2201169:1 gene:Csa_5G072680 transcript:KGN49694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECEKEVENGRYIIVVSGVSKGIGRALALEFAKLGHIIVGCSRNQNQLDSLQHHLSTISSLTHLLIKVDVSSNDEVKVMAETVLQKVGSPHIIVNNAGVINENVKMWEGCVKEFDNVIDTNIKGTANVIRNFIPHMISNNKEGIIVNMSSIYGRTGAPQVSAYVCSKWGIEGLSKSLAKEVPNRITVVALDPGIINTDMLATHIGPHLASHYQTPQQWATKAASMIMNLSSSDNGTSLTVEDPGTLVFE >KGN51856 pep chromosome:ASM407v2:5:22320324:22323992:1 gene:Csa_5G604010 transcript:KGN51856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSKFSFLPFLLLLFTFSASLHAQSQNTDRVGGDEEIEIGFGRRALLSFKETPQGSNVTFECSRSGPCVACLYSEKNDEKYRCSETGYRIPLKCVEIKDTSKVSNGKKSHNGRSMLDISYEHKVVPDDASGNASSIAHRNLRDGSVSSTDGSQSYITYRSCIPSVNEEKLSVLGFEGIVLCLLLISGSVVYFRRKRSVSTAGFASGRVQSNSRF >KGN49784 pep chromosome:ASM407v2:5:3128802:3129608:-1 gene:Csa_5G126730 transcript:KGN49784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENFYLYFEYAILLTANCNPRWLTNHVPTLAMSRKVPLILVKDKEGSLRLGELVSLKTAIAIGIKANGSPINQLIDEILQRTT >KGN51793 pep chromosome:ASM407v2:5:21965218:21966260:-1 gene:Csa_5G600940 transcript:KGN51793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIPVRCFTCGKVIGNKWDTYLDLLQADYPEGEALDMLGLVRYCCRRMLMTHVDLIEKLLNYNTMDKSEII >KGN51621 pep chromosome:ASM407v2:5:20900316:20901629:-1 gene:Csa_5G585965 transcript:KGN51621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVKRCKPSLVKPCGETPSTILHLSPIDSLPVLRCNARTLHVFRDSPSAEVSPANIVREALSKALVPYYPLAGRFKPQTYPLQLDCSNQENGVLFVEASSDSTLDSLMYLDDLSSIPFHLLLPEEHESESEAEAEALVKMQITEFACGGFVIGLIFCHSICDGLGAAQFLKAVGEFARGIDQAPTIKPTWDRDFFPNPPQNALINHQIAIPIPPPPLPEYSLQHTNIDIPIDRINALKKQFQESTGITCSTFEIVAACFWRSRTRAVYEASGDDNQEIKLVFFANCRQMVEPPLPKGFYGNCFFPVRISSWSKGIGEMPIYEVVKLIQEAKSSVGREFGEYIAQKTRESELVSDPFAPPLEYSTLFISEWGRLGFNDVDYGFGPPVHVVPIQGSAVIPVGIVGSLPLPRKGIRLMTWCVQEPHRLSFLHQISQLLFL >KGN52321 pep chromosome:ASM407v2:5:25062878:25064891:1 gene:Csa_5G623840 transcript:KGN52321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLRDVLFIAPTPSSLHQQTQIISSDHHPSLPLPSSTALGVSVGIFPLLAATPCLPPSQPPPNNHTPDDVTDRTRTFDNLKRTQDFGFGKGESLIAGPGNNGSDEHVKGDAGDGSMAVCRDCGNRAKKECEYRRCRTCCKGRGNHCSTHVKSTWVPAARRRERQMLVVMDGVATASGDGGSSGSSSAGAKRPRVLIPSQSAAAAAAASTSNATTPRSFETTSSHQDASFKKSLPGHVRAPAVFRCHRVTAISSGEGELAYQATVNIGGHVFKGFLYDQGADDKNAFPSISHLHLDSGNHHRE >KGN51280 pep chromosome:ASM407v2:5:17850534:17853533:1 gene:Csa_5G512870 transcript:KGN51280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANAASGMAVHDDCKLRFLDLKAKRTYRFIVFKIEEKQKQVVVEKLGKPSESYEDFTASLPADECRYAVYDFDFVTEENCQKSRIIFIAWSPDTSKVRSKMIYASSKDRFKRELDGIQVELQATDPTEMGLDVIRSRSG >KGN50331 pep chromosome:ASM407v2:5:6549179:6561202:-1 gene:Csa_5G167720 transcript:KGN50331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSAATLVEAPLQSTVENIETNFQPFYVLHKASSRKNSRKSNLCGKSRKRTKLSPSGPNGIENLDNEEPDGSQLEHLRMECLELVWSKLETTIKDVLRDTNVQVFDDISRWVYESFAAIRSSGTPSSSSATRPFPTFTRAECKVLFTGLVLTKNMEVVDDLLTFEELGFHLKSHGCHVASLSSQELSAKSSIGGCIRSLLRQLLKVTVDAADMFILASWYREQGYYEKPVVVIVEDIERCCGSVLSDFIIMLSEWVVKIPIILIMGVATTIDAPANVLRSNALQQLCASKFILGSPAERMEAVVEAVLLRHCCMFSIGHKVAVFLRKYFLNQDGTLTSFIRAMKIACVQHFSMEPLSFMLARLLVEEENMDGNCASFLEVLPKHASDLLSDSRYSLVEGTDNNLGNILSELKRWRKKWSIVVLCLYQVGKFGKVQLLDLLCEALDPQFFKPLTSENSSRLQQEQGTSFSSSYELPYQFSSRKDGYIYQVVRKVRDLPAEFLHQLLMSWEKITGCVPEIHQNVKDLLLAFKLGNGKSSEKDIADLSKRHASRNGLFVKNSKPIMEKTESFLNSLVREHMRPVECIPFHELICFKDVRKLQLALIGDPRRRIQVDLLEFQKIIKCTCCSENMNSLLPCAHDSTIMYSLAQEHGDLINLHDWFQSFKRVISHPQAKGNHRTKQCSTPKKRKDKPTVERKSNASIQARFCTAVTELQIAGLLRMPSKRRPDYVQRVAFGL >KGN50887 pep chromosome:ASM407v2:5:12731888:12735093:1 gene:Csa_5G314340 transcript:KGN50887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQAFKTMMSQMNSQNSPMSNPTLSSGSPFPIPPTFATGTTISPSVSEPAVSIDVTATKVEEEPVTNVKSRTENMEAKKFAFVDVSPEETDQKSPFKEDATDADVSKSAQPTQELPQNGAASKQAYNGSDGSQFSRKPGSVLSVEAVEKMMEDPTVQKMIYPYAAYTVYLLHNLVFPLTHPKLYLLYNGVPPRTGSRGVHNLLEI >KGN51442 pep chromosome:ASM407v2:5:19301815:19308425:-1 gene:Csa_5G547590 transcript:KGN51442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDEAAKVVVPRNFRLLEELERGEKGIGDGTVSYGMDDADDIYMASWTGTIIGPPNTVHEGRIYQLKLFCGQDYPDNPPNVRFQTRINMTCVNSETGVVEPSLFPMLANWQREHTMEDILMQLKKEMTSPQNRRLSQPSEGNEDGRIDQKGLVLKCCIL >KGN52211 pep chromosome:ASM407v2:5:24376580:24381303:1 gene:Csa_5G615820 transcript:KGN52211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKAAIISGDTMAKENGNAHVQLTVDQLDAGALFVLKSRGSWWHCGYHLTTSIVAPSLLSLPFAFRLLGWVGGIICLLFCGVVTFYAYHLLSLVLEHHALRGSRLLRFRDMATNILGPKWAIFYVGPIQFGVCYGSVVAGILIGGQNLKYIYVLCNPEGGMQLYQFIIIFGTLMLILAQIPSFHSLRHINLISLTLSLAYSACVTAASLKLGFSKNAPPRDYSVKGSPVSQLFNAFNGISVIATAYACGMLPEIQATLVAPLKGKMFKGLCLCYTVIATTFLSVGISAYWTFGNEAMGTVLTNFMSQNSLPSWLIIITNAFCLTQVSAVAGTYLQPTNEAFEKTFADPNKDQFSMRNIVPRLISRSLSVVIATIVGAMLPFFGDLMALIGALGFIPLDFIMPMVFYNATFKPSKRSFIYWINTLIVAISSVLAIIGGVASIRQIVLDAKEYRLFANV >KGN50885 pep chromosome:ASM407v2:5:12671111:12676861:1 gene:Csa_5G312840 transcript:KGN50885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLPVQGSASSLWNRLQEQISKHSFDTPLYRFNIPNLRVGTLDSLLSLSDDLLKSNTFVEGVSQKIRRQIEELEKVSGVESNVLTVDGVPVDSYLTRFVWDEAKYPTMSPLRDIVDSIHSQVAKIEDDLKIRVAEYNNVRSQLNAINRKQSGSLAVRDISNLVKPEDIITSEHLATLLVIIPKYSQKEWLSSYETLTSYVVPRSSKKLYEDNEYALYTVTLFNRVADNFKTSAREKGFQIREFEYSPEAQESRKQELEKLVQDQESFRSSLLQWSYASYAEVFISWMHFCAVRVFAESILRYGLPPSFLASVLAPSVKSEKKVRSILEGLCDSANSTYWKTEDEVGGGMAGLGGDSDAHPYVSFTINLA >KGN52031 pep chromosome:ASM407v2:5:23288351:23293179:-1 gene:Csa_5G608190 transcript:KGN52031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPSSFQHSQQSLLHHHHHHLHSLSHTLLQTESNNMVKFSKQFEGQLIPEWKHAFVDYWQLKKDLKKLYLLKNDNNPAAATTAATAKAATTLLSSIKKLSIFCHQQRDHGPIHVHKKLASSASKGDMYETELLDQFADTTAAKEFFSCLDFQLNKVNQFYKTKESEFMERGDSLKKQLEILIDLKSAIQHRRQTGDIAPDSKEDSSISYTISCEESVKDKTEQEQSPENINDELEKTELAFSDSPRSEEMENSTRSKSLDKKWRSVSGRVISFQGKNIKVNIPLTTPSRTFSAISHLFREDLANSKKCNEGTKLHIKKTRLHHAEKMIKGAFVELYKGLGFLKTYRHLNMLAFIKILKKFDKVTDKQVLPIYLKVVESSYFNSSDKVIKLADEVEELFIKNFAEEDKRKAMKYLKPKQRKESHGITFFVGLFTGCFIALLIGYVIMAHIMGMYKRQPFSLYMETVYPILSMFSLMFLHFFLYGCNIFAWRKTRINYSFIFELSATKELKYRDVFLICTTSMTAVIGVMFVHLALLSKGYSYTQVQVIPGLLLLFFLLLLVCPFNIYYRSSRYRFIRVMRNIAFSPLYKVVMLDFFMADQLCSQVPMLRNLEYMACYYITGSYKTQNYNYCMNAKHYRDLAYAVSFLPYYWRAMQCARRWFDEGQTSHLVNLGKYVSAMLAAGAKVAYEKDKAKGVGWLCLVVIMSSGATVYQVYWDFVKDWGLLQMNSKNPWLRNDLMLRRKTVYYFSMGLNFILRLAWLQTVLHSTFGHVDSRVTGLFLAALEVIRRGLWNFFRLENEHLNNAGKFRAVNPVPLPFDEIDEVD >KGN52525 pep chromosome:ASM407v2:5:26692238:26694245:1 gene:Csa_5G640590 transcript:KGN52525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKPCFHINKLLSISLFLIFSLLLEAAVAAATCSNGPCKVGDQCSSSEDCGDGLYCFSCIPTFFGGSKCVRSTYTNQFKLLNNSLPFNKYAYLATHNSFAIEGEPSQTGVPRVTLNNQEDTVSQQLNNGVRAFMLDTYDFLGDVWLCHSFGGKCYNYTAFEPALNTLKEIEGFLEANTEEIVTLILEDYVQSPNGLTKVFTNAGLKKFWFPITNMPKNGEDWPRVSDMVANNQRLLVFTSNNTKEAAEGIAYQWNYMVENQYGNDGMKKGSCSNRGQSSRLDDKGKSLILMNYFPTVPLKIEACVDNSKNLLGMLQTCHGAAGNRWPNFVAVDFYKRSDGGGAFQALDTLNGELLCASQDIHSCVG >KGN50363 pep chromosome:ASM407v2:5:6709570:6710595:-1 gene:Csa_5G168990 transcript:KGN50363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSSVQAEEDDTIINKSTEEFPENVSDDLVDVKIQWKMEEETLPKDIIVEAIDSQPEIPNAIVQCEEALVEITITSSNNNAVQSLANQFPRPTIEAKSPVEEQTISLLMDNPDAEEEEAEKEQNPKFVQFVVKNDTSLRQLKKLFKEKLQLNNKKMDNNNINTKVVGGGVKVRTALQPVPENRMTVDELEKRH >KGN49727 pep chromosome:ASM407v2:5:2602244:2602646:1 gene:Csa_5G090880 transcript:KGN49727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSKSFFSVSEARPDLDLSRVGEARKEERILRKRIKERNEAQRILRPTEGPVPIGVNIETLNFYVTFQSHPLTLIASLTPLLSGLTNRHQSDLPVQKIDVKFT >KGN51935 pep chromosome:ASM407v2:5:22773216:22776253:-1 gene:Csa_5G606270 transcript:KGN51935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLYTSAPTSAIFPKFNASQHVRSRSSSFYKCAPQSNLESPKRSDLKIEPPFPRLLCQNHSCMCGRRQFIEAAAATSLLPLFPSMASSNPSSDYTDMLNRVHSPKPEWYEDFYASFLANSMKSYEEEIADYKSQMFANLSGKAQKVLEIGIGAGPNLKYYAGDEGVQVYGVDPNQKMEKYAREAAQNAGLPPENFVFKQAVGEAIPLPDASVDAVVGTLVLCSVTNVDMTLKEVKRVLRPGGLYIFVEHVAAKEGTMLRFMQNVLDPLQQIAFDGCHLIRTTGSNIMGAGFSNVDLNMTSISSFAFINPQVYGIAYR >KGN49612 pep chromosome:ASM407v2:5:866027:869848:1 gene:Csa_5G025910 transcript:KGN49612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKSAAERQGSSVAPFLKKLYDMVDDDSTNSVISWTSSNDSFTILDITHFSLHLLPKYFKHSNFSSFMRQLNIYGFRKIDTDCWEFATDGFIKGQKHLLKNIYRRKNIHGTDQRKASQPQDNSEAQVELPDYSGLWKEVENLKIDKNAVMQELVKLKQHQETSENKLLLLRERLQGMEKNQQQMLSFLVMAVQSPGFLVQFLQPKEKSWRMADPGNMLEQISDDNQVPSNGMIVRYQRPLDELSTTLLPPVTGPGKQQESEPFPDGMKDFFLNSDFMKVLMDEKVCLDNHSQFVLPDVQDVAWEQLLLANPFSGNSDNGRKVDHERRYTDSEDDELDMETIDTQTHEENSEDFELLIRQMEKCEDFGIQPRLDESYIENSNDVHLLTQQMDYLASD >KGN51209 pep chromosome:ASM407v2:5:17221777:17226619:1 gene:Csa_5G491820 transcript:KGN51209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPSVPGGSGSFTGFTKLCKGIAVVLIGGHILVQLFPVVVNYLALIPARTIPFAWNLLTAGYIEQSIYGVVVSTVGLLFVGKLLEPIWGSREFLKFIFVVNFLTSLCVFITAIALYYITQEESYLYLPVSGFYGILAGFLVGIKQMIPDQELPVLKLKAKWLPSLAVLLSIAVSFWTTGAATYLPTIIFGTYISWIYLRYWQRKPEAKLKGDPSDDFAFSTFFPELLRPVIDPIASIFHRMLCGRSESPDNAEDYTAAGAPLPGSDPVEASRRRERGARALEERLAAERLAAARSAQESGKDATENV >KGN51812 pep chromosome:ASM407v2:5:22063568:22065681:-1 gene:Csa_5G601620 transcript:KGN51812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPRSKDFQRRIMSSPAIRPCECTPPATLLTSLINLTRTICSYRYKFFGSNKKNAIKSIRQIGILLSFFEELQDRSSDEFSDLIVLVMSELHLIFQKILYLLEDCALEGARLFMLMKSELIANRFRLLVRSVALALEIFPLDSMGVSVDVVEYVELVIKQTRRAKFGIEGEDEEILNEVKSILTLFDNRIVPNSSKIKCVLDYIGVKSWSLCNKEVKFLDSEIEFEWSNQDETEVSFLSNLMGLMNYCRCMLFDVVDSEADGHVDECRIENMECLNPDDFRCPISLDFMFDPVTLVTGQTYERSSIQKWFRTANLTCPNTGERLKNREVVPNLALRRIIRQYCSKNSIPFPESSKQKPDLTRTIAPGSPIVKNIIIFLADFLANFLESGTLEEKNRAAFEIKLLSKASLFYRCCLVKIGLIPNLLQLLRSEDNLTQKNAIAAVLNLSKHSKSKKIIAENRGLEAIVHVLMTGYKVESRQFAAGTLFYMASIEEYRKLIAEIPNTLPGLLNLLKDNADRSKKNAMVAIYGLLMHSDNHRKVLSSGAVPLLVNLIETCESEILISDSMEILASLAGKPEGTAAILRSGALNSIMKFLNSCSSITGREYSVSLLVALCLNGGSEVIGVIAKNQTVISSVYSVVSEGTSRGKKKANSLIRVLHEFTELESSNSEATHRLQDRIVQAW >KGN51531 pep chromosome:ASM407v2:5:20177903:20178325:-1 gene:Csa_5G576730 transcript:KGN51531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIIATSSAQNLRRLWRRRAYQRLGSGNNMSTVTTRSSRSFRVGRMMIMRRRVSPKIRLKVSSPLKVVAKIHDAYVEMMMRLANSVGNMYAIGGFGNRKRIPKPQNQVPLGGEQIDAKLVLEIYNKLAASKNSSNANINL >KGN52037 pep chromosome:ASM407v2:5:23334703:23340299:1 gene:Csa_5G608250 transcript:KGN52037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASESASRSSSAADSYIGSLISLTSKSEIRYEGVLYNINTEESSIGLRNVRSFGTEGRKKDGPQVPPSDKVFEYILFRGSDIKDLQVKSSPPVQTTSLINNDPAIIQSHYPRPASTSSSLPPPVSGPLPDINSQAIPMGMPGSNFQGGLPLYQPGANVGSWGASPTPPPPNPSGGGLALPMYWQGYYGPGNGLPHMHQQSLLRPPPGLSLPSSLQQPLQYPNLNASLPTGAPNLLEVPSSLFSANPTTPSLSSTALPQVTVSSTLPSVLSAPQTSEISSSSVANKTVLPEAPLSTNLPSLSPLAASSDVNPVMPPITNKTTTVSGPALSYQTISQSTSSVAGTSNSVLTGVPAPTLVTPGQLLQTTVASSSLQTVQKDVEVVQTSSTLAAEHTVPAADTQPPLLPLPVPSRAMHKPNGSTSQTRYIYRGRGRGRRSGNSHQTERFTEDFDFMAMNEKFNKDEVWGHLGKNTKSHQKYTDGDEKFSDEEDVYEEDDGESSNLEIKSVYNKDDFFDTLSCNNADNDAQNGRRTRYFEQVKLDTETFGEFARFRGGRGGYASGRGGRRGGYYGRGYGHSGRSRGRGMHNYYP >KGN50175 pep chromosome:ASM407v2:5:5563696:5564881:-1 gene:Csa_5G157340 transcript:KGN50175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVMYAPSALATNYTVGDDAGWSINVNYTLWAQGKMFNVGDMLIFNYPPGDHNVFKVNGSDFQNCTLPKDGQNALTSGSDVIVLAKPGKKWYICGKEGHCGQGQKLVINVMDMGPANSPLPGGTAPPPPSAATKAVVSAQFGFVALVVAVLGMMML >KGN51000 pep chromosome:ASM407v2:5:14420812:14420970:-1 gene:Csa_5G388000 transcript:KGN51000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPVTTVRTATDVQITEEGVGEIKKMNDNLPGRWSAADGGQGIDVRSSGLRV >KGN49696 pep chromosome:ASM407v2:5:2225553:2231021:-1 gene:Csa_5G074680 transcript:KGN49696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRQGVTLMCYWNGTTMSGPHGISYEGAAPKPIRVGYGITHNELIDRIYGVTGFDKQSFKLKIICRYPACREYIPVPIDDDESIDIMFDVARQPGTNCLELYVEKEPLCNHNPINVPEPTIVDNQTTDEMATDDAQVDSFLDPGPVDNSILVLQNQHRSEAIWMGEIWAWERLDISRPERLVYKGRKSIISDTKAEKERSSIAGDAIVDNEQLPADPLGCRYVIGWKAAVIRRDNPHRALIFYRDLLDQQTESQMVWQPYISERLASLPDSLLRDQHVWRTVAPLICFDIVEWHHPERVLRQFGLHQEIPQPCDTETKLHTIDRRGKHHYDWKAYHGQYIKLWEGREKSIATGKLEELPMQYHDPYMVWYRNVTRRLITPLTQRSHMRFQPSSGTSHLLVQSLTTIHNQCACALESFTSDGAMKSLECIQTTCIRVLQMIGETRHLKGKQSVISTKPITTIPSSTNDANNTENHQHGECASPSVHTVRVLTKASLVRGQGRSRGRGRPRGSIKSKGGGYCKAQSVVVETSSPSFLSLHSTSESLQSNNGWDRQLHIEDTEVGTMIEPVDSVSAESSPESEKTCVREKSPEQSCLMYKESKRDVVVSPSIRPMHQMKGDKMEQSVPLLEQEEKSINLLGKEDIGRTVALPNVGSISGLCDLSPAEQSGHPTFPKKRKHI >KGN52104 pep chromosome:ASM407v2:5:23737152:23739716:1 gene:Csa_5G610380 transcript:KGN52104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLITHKSLFGSLFLTPSLFIGIGPVEVARMDSSCRKDEEDGHCGETRIESSGDGVAAIPPPSSAHAKFFTSPIVDFSDCILIQGSPLIFFLAGELLTSHFVHPQILRKMSKSKRRNQRQRKKRKLENGRGNSTVDWAALPRDVLVMIFEQLSLVDCISVDNVCKLWSNILSELPNWKMGGFPWLMMSGKKDREMRTCASILENRIWEIELHEAYGAYIWGSFQDWVIMVKDLGCYSLEVSLLNPFSVRKINLPRLWNFYHKMVLSGSPAEENTICAAIHSQNREIAFWVQGSEVWHKYKLEGTPFEDAVFCNGSLFLLCNDSNIFQIEATSIISYFREDECVISISEIKVQFHEVRSLKINSQHVLKYLVESSGDVLLVCRYFSEKPDAVLETINFEIYSLDLSQMSWEKIVCLDDRILFLGKCCSRSLSSTDLGILISNRIYFSNDDMAPWWNEWDSNHLKVVSSRFGLNNSSGKDWGTFHIIRDSSGKFCFHGNRDNWGPIWFTAPLWWYSKNIARKSNRYR >KGN50753 pep chromosome:ASM407v2:5:10222492:10231071:-1 gene:Csa_5G223110 transcript:KGN50753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSGQTPFVSLPVTLQLKTSSLPAFKTSINTGPRGPIRLAQGIQAFIGVGREWLADVTQSANSSAGLPTELQLGLLSPTYLRRLFERMGATYIKLGQFIASAPTLFPQEYVEEFQKCFDQAPPIPFEEIKKIIQDDLGRPIDSVYEYIDPNPVASASIAQVHGARLRGSRDDVVIKVLKPGIEDILVADLNFVYIVARILEFLNPELSRASLVSIVKDIRESMLEEVDFYKEANNIESFRRYLEDMGLTRQATAPKVYHHCSTRRVLTMQRLYGVPLTDLESISSLVPNPEASLITALNVWFGSLLACDTFHADVHAGNLWLLRDGRIGFLDFGIVGRISPETWAAMDVFLASIATEDYESMASALIQMGATNNDVNAVAFARDLENIFSTIKDLDTEIVIATAREGRSGSTAVAANILVDERQMNKLFLDVLQVSESYGLRFPREFALLMKQLLYFDRYTRLLAPDMNMLQDQRISIVSNRRNKYKDSFR >KGN52076 pep chromosome:ASM407v2:5:23574081:23576123:1 gene:Csa_5G609620 transcript:KGN52076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCSPNQRSVSSSRKSNLLPNKFSADPRMRRKLRIICYDPDATDSSSSEDEGETYARKFNRIVHEIHLPPLKKSLESESSQNSNNENKNLKFKQSKALFKNPSSRRPSSSKYRGVRQRSWGKWAAEIRDPFKRSRVWLGTYDTAEEASQAYESRRLQFETMAAEMAVEEEGKGSGSSSTPVFSESTAETTVSHTSPSSVLEWADSTVQSHDLKEGTESIKEETDSNMNYLQEGDPSNPFMEEISMGIDFDSILADGIGMFLEDFASFDNTQILGLADDEPSGLPSWDFEDFGNDDISCWLDDSINITCS >KGN52047 pep chromosome:ASM407v2:5:23389352:23393449:1 gene:Csa_5G608350 transcript:KGN52047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPSWLVDSNRIATKIKSASGTNNPRSVEWKSNPSKSCPNCQHTIDNSDVVQEWPGLPRGVKFDPSDQEIIWHLLAKVGIGGSRPHPFIDEFIPTVFEDDGICYTHPQKLPGVKQDGSVSHFFHRAIKAYNTGTRKRRKITDDDFGDVRWHKTGRTKPVVIDGVQKGCKKIMVLYMSFAKGGRAEKTNWVMHQYHLGTEEDERDGEYVISKIFHQQQNVKQGEIIEQEAPEIIDTIKVDPHTPKSATPEPPRVEIRCVNIDPEQDSVITSTSVLVEVPPEFEKSENDMIKPDGYSDEVIDDNDNHIEEEPKWWEGESQNILDSQQLVEALSLCDDLLQSQSPPRDGNFNHGASGSKSCFSEYAKLGPEDLKKDLEECQNLVLDPANIELDTPPEFRLSQLDFGSQESFLAWGGKTAE >KGN50301 pep chromosome:ASM407v2:5:6379395:6383058:1 gene:Csa_5G166450 transcript:KGN50301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSILCGVPILECVCCLGCARWVWKRCLHTAGHDSENWGFATPDEFEPIPRICRYILSVYEDDIRKPLWEPVGGYGINPDWLLMKKTYKDTRGRAPPYILYLDHVHADIVLAIRGLNMAKESDYAVLLDNRLGKKKFDGGYVHNGLLKAAGWVLDTENETLKDLVKKYPDYTLTFAGHSLGSGVAAMLTLLVVQNREKLENIDRKRIRCFAIAPARCMSLNLAVRYADVINSVVLQDDFLPRTATPLEDIFKSLFCLPCLLCLRCVRDTCVSEEKMLKDPRRLYAPGRLYHIVERKPFRCGRFPPVVKTAVPVDGRFEHIVLSCNATSDHAIIWIEKEAKWALELMRKDDKIMEIPPQQKMERQQTLAREHSEEYKAALQRAVTLAVPHAYAISPYGTFSQTDEGEEEKSPASSGGSSRRRKETWDELIERLYDKDDSRHTVLKKSLSCI >KGN50723 pep chromosome:ASM407v2:5:9896188:9898219:1 gene:Csa_5G219380 transcript:KGN50723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKERERFDEIAKKIKRETDVSSNIPPQQIMGRRHSLQGPPGALNTITPCAACKLLRRRCAQECPFSPYFSPHEPHKFASVHKVFGASNVSKMLMEVSDNQRADAANSLVYEANVRLRDPVYGCMGAISALQHQIQSLQAELNAVRAEILRHKFREANNIIHSSPQQQHVPFLSSSSTVVVSVALPPPPPPPPLPPPHHHDASSSSSSLYNRRTVAAAADYSTISSNENVTYFVGTSSTGHQLNS >KGN49586 pep chromosome:ASM407v2:5:486351:486713:1 gene:Csa_5G011760 transcript:KGN49586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGRNGNEYGKPPSNNNWTDFVISAKLRKLKAAKKNGKYKVNRKEMRGRRIFLVQLERLEGFDGGGGREAGVGQKPMLD >KGN49603 pep chromosome:ASM407v2:5:761038:762690:1 gene:Csa_5G021360 transcript:KGN49603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLKSDANVKVTATCIRVPVMRAHAGSINRQFENPHDENTAREILKNAPGVVIIDDRKANQFPTPLKVSNKDDIAVGRIRQDVSLDGNKGLDIFICGDQIRKGAALNAVQIAELLL >KGN49838 pep chromosome:ASM407v2:5:3424011:3425966:-1 gene:Csa_5G139170 transcript:KGN49838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHPNSLSSSKSIFITSIHPMASSPFTLSHHLLLPYFSTLPTFLLLILPTLFQIQGVNGGSIGVNYGTVADNLPPPSKVAAFLLDNTIIDRVRLFDADPDILRAFAHTGISVSITIPNDQIPRLVKPNFAEEWIKFNIQPYIPATNIIRVLVGNEVLSTANKLLIANLVPAMQSLHTALIEASLDRRIQISTPHSLGILSNSTPPSTARFRQGYDTHVIKPMLSFLRETNSPLMVNPYPFFACTADNLDYALFRPNPGVFDSDLGILYTNMLDAQLDAVYSAMKSLGFEDLDIVIAETGWPSKGDPTQVGVGPKEAAYYNGNLMRHVVSGKGTPLMPNRTFETYIFALFNENLKPGPIGERNFGLFEPDLSPVYEIGILRPTAQSATPRAHQGQVEGPSPSTVSESKRWCLPKSEASEEGLQRNIDYVCGLGLDCGPIKENGACFAPNTVRAHAAYVMNAYFQATEGNDFDCDFDQTGTLTTVDPSYGKCKYW >KGN52719 pep chromosome:ASM407v2:5:27964738:27967534:-1 gene:Csa_5G652250 transcript:KGN52719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPTPLLSQTVEGAVDFKGRPVLRFCSGGWRSASFMIGVEVAERFAYYGIGSNLITYLTGPLGQSVATAAETVNIWSGISMLLTLLGAFLADSFFGRYRTILFSSAIYVLGLSLLSFSAMLPTTSSQNSQFQLLLFFVSLYLIGIGQGGHKPCVQAFGADQFDALHPQEAKSKSSFFNWWFFGICAGTFVAILLVTYTEENLSWSLGFGIPCIMMIIASFLFLFGTNTYRYSIKIYAQTPFLRIGRVFVSAIRNCRASSTVIFDEEGDGPDLSQQNAGQFSLAELHVMHEVKEKTMVHLDRTMGILIRARNG >KGN50834 pep chromosome:ASM407v2:5:11769916:11771545:1 gene:Csa_5G284500 transcript:KGN50834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKIFNKSADLRIFQSLVRRWLQDLKDDMKEPLLACFDFKENLIYSTNQITCNLYMTVTQMIDGFSSCQTCRCHGTPLEVYRSKEIKESTCAISGDFLDTSGIMKNSIKVGEKTILFLPSSQCLTKSQQNASQIKFDVVQRTNLGTLCESKLVLKLIFCQLPSLPSLLICLQYWNFP >KGN51870 pep chromosome:ASM407v2:5:22404222:22405245:-1 gene:Csa_5G604150 transcript:KGN51870 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin h MGASFTVPRSKSSNAMIQQKPPTIIECHDKAQWTARFEATKETNKLMVIDFTAAWCGPCRHMEPTIKELAARFKDVEFVKIDVDELMDVAKEYGVEAMPTFILIKNGKVIDKVVGARRDDLQKKIEKHSKY >KGN52713 pep chromosome:ASM407v2:5:27933447:27943749:1 gene:Csa_5G652200 transcript:KGN52713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDIEDLLIGGGGGAPPGYRLPITAVGVKPKKKNMFKSNASADDSSNEPSPIQNPLVPKIPGTQTIYVKTFGCSHNQSDSEYMAGQLSAFGYLLSDNPEDADLWLINTCTVKSPSQSAMDTLITKCKNAKKPLVVAGCVPQGSRDLKELEGVSIVGVQQIDRVVEVVEETLKGHEVRLLNRKTLPALDLPKVRKNKFIEILPINVGCLGACTYCKTKHARGHLGSYTVDSLVRRVRSVINEGVREIWLSSEDTGAYGRDIGVNLPILLNAIVSELPSDASTMLRIGMTNPPFILEHLKEIAKVLSHPCVYSFLHVPVQSGSDAILSAMNREYTVSEFRTVVDTLTELVPGMQIATDIICGFPGETDEDFCETINLIKEYNLPQVHISQFYPRPGTPAARMKKVPSAIVKKRSRELTSVFEAFTPYNGMEGRVERIWITEIAADGIHLVGHTKGYIQVLVIAPETMLGTSATVKITSIGRWSVFGEVIEIISTKHHKTTTLEDTLTQDKVSPCSNTHETCACSTEPESCACGLESCKGAVAVGDEVNSSRNVPSPEEPKRKNLIEWVLRRRKSHVLPKREETENPIVTERKQTLAGGRLDEWGVVDKILVGGILISTFTIFGLLFHLGSTTFSSSW >KGN50794 pep chromosome:ASM407v2:5:11064504:11066515:1 gene:Csa_5G264282 transcript:KGN50794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYERILKPQPPGGGGFSPGKLRNMLLGLEKKRKEEEEELGSIYDLRSQALQIDEAGCSASDICKDVDVVSVLPECSTSKKADLLVSEMVSEHRLKDNAYNSRLRMQDEPSFDYDSGQDGSTLLTSAFEFQKSERSARVPLGPFSKPAPSKWDDAQKWIASPTSNRPKTGQSQTQGGHLFGSRKLGIGLGSRQPSLKVVVEVPDQKVTAFEEPDTKQIDSHEANIGNVAQKFVSWDANPCAVADSNGKPVLMIESSVGESAISLSQHDSSLAIQTSTTFIPPPTTARSVSMRDMGTEMTPIASQEPSRTGTPVRATTPMRSPTSSVPSTPGRATSSPTAAPNDRVDTNKELSEKEIQLKTRREIVVLGTQLGKLNIAAWASKEEEEKDASTSLKTVATEQPTKSVIETRAAAWEEAEKAKYMAR >KGN50375 pep chromosome:ASM407v2:5:6780469:6782654:-1 gene:Csa_5G169110 transcript:KGN50375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSIKDASFLNEDTVEDGDFSSEDSEFESESESGSGSEEEEEEDVELSEPSKNAIYNADGLLDKLGDISWPENVEWIHKLTFDIEQEKEVDVNDDLTRELAFYTQALQGTRMALEKFQSLGLPFLRPSDYYAEMVKTDTHMQKVKGRLLSEQRKMEEAEERRKAREAKKLAKEIQAQKQKERAKQKKEEIESVKKWRKQRQKNNFAGGDKGGDMDFSFEDGKTFERSGGKKRPGVSPGDRSGGKARHGGGGGGGGGGGKGKIPKKKRDFRDSKFGFGGRKSLKKQNTADTTNDIRGFNNGSLSSNKRRKKSD >KGN51031 pep chromosome:ASM407v2:5:14844915:14846482:-1 gene:Csa_5G410720 transcript:KGN51031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFPSLSLNPFPDRKNPTPTEPTAAHDDLAAVKAAAWAWYQHGSGSESKPMREFGLTRPITVPKPSRYRLEATNRSDPTILIQDKLQTPTPHTNSLLDSYEIASISRRLSDLLDPNDRNNFSLRSFESEIMDLGRQIEGKTTKPNKFSGLWRWRRRKPSLMCGKMEDVVLATQKHHRRPMPRWT >KGN50374 pep chromosome:ASM407v2:5:6772404:6778167:1 gene:Csa_5G169100 transcript:KGN50374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKEGGVDFGFAVKVSQAPESFGMMDTRPENSSTDGETPPQQPPASVPTAGAADGKKKRGRPRKYGPDGTVAPTLSPMPISSSIPLAGEFAGWKRGRGRSVESIKKSRKFEYEIPGNKVAFFAGADFTPHVITVNIGEDVNLKVMSFSQQGSRAICILSANGMVSNVTLRQSTSSGGTLTYEGRFEILSLSGSYMPSEIGGTKSRSGGMSVSLAGPDGRVMGGGLAGMLIAAGPVQVVVGSFLPPGHQQENKPRKSRMEPTLNASSPPANILSGEGTNEVFGGVKPIVASTLNGDRTASLDPAFKTPQVNDKSHFPQESRGVLNHSNHEVSC >KGN51432 pep chromosome:ASM407v2:5:19202806:19203258:1 gene:Csa_5G538550 transcript:KGN51432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPHLLVLLIFLFLIVLFDMSMARFSFAPQKSSIIQLHHYQIEIHNDLEMYLLDSHCFSKDNDLGLHILFPGELQDWSFQDNDFETTKFRCILEWENGLLEFDSFKTNHNFLNNFCGNLTCSWSARQDGVYLTNVKGEYVFQDYWDMLIH >KGN51796 pep chromosome:ASM407v2:5:21973991:21974536:1 gene:Csa_5G601460 transcript:KGN51796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRHKLKGSDSDSNLSRYEEEPQRQLPLSAKSPKLEGGIKIEMVGIRHFKKLRIKIELGQFSGMEQIIEDASVTNLPHLQWVIPISSYASCSKFTCLLP >KGN50463 pep chromosome:ASM407v2:5:7437626:7443295:1 gene:Csa_5G175860 transcript:KGN50463 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase MSDSKVLLFGSFTEDETNSWMPPKLNVSPGRLVNNVNVQFGSLNFTDGKSLGSSADSELKGPSGSSTGSITFGSTDLARDDTELEIVQRSESHSPLESEVPKENGSNYNSNKSFSCSNGVSTTKIDDIDASSLCVSNGHHNNSLNQFSRLIAEDIKENGSIDHLPENVLKENFRRASNVSVTSSTTLLPRGLINSGNLCFLNSTLQALLSCPPFVDLLRNLQNREIPKVGYSTLTAFVEFISALEVPSSTVLNRDVAASDVGKPFIPLMFDGVLKNFSPDLPSGILGRPRQEDAQEFLSFVMDRMHAELLKLDGKSSSTNGGKSFVVASAEDDEWETVGRKNRTAVMRTQSFVPSELSEIFGGQLTSMVKAKGNKPSATLQPFLSLHLDICPDAVRTIEDALRLFSAPETLEGYRPSSAGKAGVVAASKSVKIQKQSKIMILHLKRFGYGSHGSTKLNKPVHFPLELVLNRDLLVSSSTEGRKYELVATITHHGRESSKGHYTADVRYHNNQWLRFDDASVTAIGKNNVLHDRAYVLFYKQV >KGN49986 pep chromosome:ASM407v2:5:4298023:4298982:1 gene:Csa_5G148570 transcript:KGN49986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRETNKICWFVHVSEGTRVLPTPWLNFNPQNQRKFPYNFLQFFTINSTQLITINLIISMCRSTDYRIFAADRLKIKAFFVRFSHLQLLDPPPESLTLFYLPRIDETDLEIDGLKIRPDSPAFVTLHRVVSPSSRMKKGVEFGSRERVRASEGVQFQVYLREEKVVQGIFRKNDDGDWRLECRCALESEIDEAAAAAAAEVCVDVEGQGAMCEKVVLEVRRKKKRGFCALMEIPEGREVDGYCEGCDCCCGDDGSSSEDDGGDDDSEDGGGTEVEMEVEGVRWAVDLGIWAVCLGVGYLVSRVAHSKTLRRKRIF >KGN49910 pep chromosome:ASM407v2:5:3777269:3784910:1 gene:Csa_5G139870 transcript:KGN49910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKHIFLSALGVGVGVGVGLGLSSGQAVGKWVGGNGSSDEITGQNIEQELIRQLLDGKNSNVTFAEFPYYLSERTRVLLMSAAYVHLKHCDISKHTRNLSPASRAILLSGPTELYQQMLAKALAHHFESKLLLLDVSDFSLKMQSKYGCPKKDSSFRRSISEVTLERMSSVWGSFSILPTSGNTRGNLRRQSSTTDIQSRCSDSSSNLPKLRRNASAASDISSISSNYGSTNSASAKRTNTWCFDEKLFLQSLYKVLVSVSETTSIILYLRDVERLLLKSQRIYNLFHRFLNKLSGSILVLGSRMVDVENDCGDVDDRLTNLFRYSVEIRPPEDENHLVSWKAQLEEDMKMIQFQDNKNHIAEVLAANDLECDDLGSICHADTMVLSNYIEEIVVSAISYHLMNNRDPEYRNGKLLISSKSLSHGLGIFQEGNSEGKDTLKLETNAESSKEAQRDEAVGAKTESKSENPATEAEKSVPIVKKYVENVPPQKAPEIPPDNEFEKRIRPEVIPANEIGVTFADIGAMDEIKESLQELVMLPLRRPDLFKGGLLKPCRGILLFGPPGTGKTMLAKAIANEAGASFINVSMSTITSKWFGEDEKNVRALFTLAAKVSPTIIFVDEVDSMLGQRTRVGEHEAMRKIKNEFMSHWDGLLTRNDERILVLAATNRPFDLDEAIIRRFERRIMVGLPSVESRELILRTLLSKEKAEDLDFKELATMTEGYSGSDLKNLCVTAAYRPVRELLQQERLKDLEKKQREKKEKEKQKEKEKEEEMEKEKQKEEKETETKNETGNENGKKENENNSEEVTGTKETEQDKQAIILRHLNMDDMRQAKNQVAASFASEGSVMNELKQWNDLYGEGGSRKKQQLTYFL >KGN51567 pep chromosome:ASM407v2:5:20472025:20475097:1 gene:Csa_5G579050 transcript:KGN51567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKFSVLIYISIFSLLLILISQTPTTRPRHRRLRLRSNFTFNPPLLRHQHDHYISFDPLISDIELRREDDEWHKQSLKNLAAHDSHPEWEEFINDEDRFNVTERLFWIFPKIDVDPSDGFVSAEELTRWNLQQAMNEALYRTEREFQSHDDNRDGFVSFAEYEPPSWVLSAGNGSFGYDIGWWNEEHFNASDADGDGVLDLNEFNDFLHPADSKSTKLLLWLCADVVRERDNDKDGKLNFSEFFPKVLDLVRRVDEDYNSSNWEDEEPEALAKKMFLELDKDSDGYLSTTEMLPIIGKIHPSEAYYAKQQAEYIISQADSDDDGLLTLNDMIENPSVFYSSVFTEDEMDYYPYHDEFR >KGN50200 pep chromosome:ASM407v2:5:5745997:5750201:-1 gene:Csa_5G158560 transcript:KGN50200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIKGVSSAPGDFIYFKSQVPLHRIPIGTKQWRYYDFGPKVVPPLICLPGIAGTADVYYKQIMFLSMKGYRVISVDIPRVWNHQEWIQTFEKFLDAIDVHRIHLYGTSLGGFLAQLFAQHRPRRVKSLILSNSYLETKSFSAAMPWSPIVSWAPSFLLKRYVLTGIRDGPHEPFIADSVDFVVSQVETLSRDDLASRLTLTVDDASIGPLLLPDSSITIMDTNDYCAVPLQLKDQLNERYPGARRAYLKTGGDFPFLSRPDEVNLHLQLHLRRVGVEARPDLVQIASQGGGSDSGPSEKKDERDGDDTHEDDNEHTENSPSESQISPAPESSESHSLDNQLLNNAKACYLGDETPLSSHRETKVLLIINEILLRYVQMICVSLMLGMMDAGGSTHLLLIPER >KGN49770 pep chromosome:ASM407v2:5:3010416:3015771:-1 gene:Csa_5G115160 transcript:KGN49770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAESNTGFHRDETLSSALNRHAISFQSTATTSSSEMMTMGNYFGVNNASAIMFSGNSSVVNNNNNHPVISQATNSSGSLLLDTVPGLKHDAGLAVEWSVEEQIKLEEGLVMFADEPSILRYIKIAATLRDKTVRDVALRCRWMTRKRRKPEEHIGKKVNNRKDKLVEPSLKMNTPSAPGPSMGVYSHMMQHVNRKERMPSEVSEISSAAAHLLEQNAQAFSQITSNLSMYKDSKNFMNAKCQHCTPEGMHFSVTF >KGN50285 pep chromosome:ASM407v2:5:6294255:6295254:-1 gene:Csa_5G165310 transcript:KGN50285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSLLQNSETQPTAPAETRTRISEWVTQTVDGSTVHGVENELLVLQKMLDIPPISGGGNGFRAIGIIGVRGIGKSTISRAFLQNPEVKSKFLPRIWISMSENFTEDADPKIALLKRILISLGVDTKFPGGETLGSLLYALRLQLRGKRYLIVLDDVQEFKTEEEQNDWYWDLNSCEKNGEKLRDGFPKGNGGAVILTSRSENAAKAMVGEGNLRCLVPHKDPESFWEIFRQEVVKDGISIPDEILNFKELKVKLLKKCGGLPLIAKMMGEIQFKKELEKKKNTEQQRREEEDR >KGN52111 pep chromosome:ASM407v2:5:23772988:23775666:-1 gene:Csa_5G610450 transcript:KGN52111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEPPPLPITQPITPAVSPHLNYPESLDSSPRSRNTDTWDEPLAPGPLASRLRLMCSYGGHIVPRPHDKTLCYVCGETRIVVVDRHTSLSDLTARLSKTLLNGRPFTLKYQLPNEDLDSLISVTTDEDLENMIDEYDRTASNSSNPAAKPSRLRLFLFPIKPDVSQSIGPILESSTSSDDWFLNALNGAGLLNRGFSDSASVNCLLGLDDDVGVNNLDSGPREADGSQPGSFGNGKGGKQDVHSVPDSPMIETTSSFGSTSSSPSLANLPPIRVHVEDSGNNGGVGAGVVRVQDQKVGIEEQFSQMSVGQKQHDEAFAALSSPPPLPTTIVASAAGSAIPVSSAAGVVVGEYTNRVISDDERSDHGAPVGYRKPLPPQPQSLPPQLQQKSSGLVDIPSPDSVSSDSSLNNPMSRSKPVMYQEQVVHQIPSSAVRLPGSPADPKVNFPDQNARVHIQQQVQEPGYVLHSQYDQHQHQQLQQQQPQQQQPQQHPPQPQQFIHAGAHYIHQHPSGAVQIPAFFPVYSPQHHHHPHQIEQQYPVYYLPARQGQAYGNLPVQQSTISESATAIPPGRPQTPPNPTLVTTAAYNHMRNPPIAKTEMAANAFKQTTTTPQSLVQVPTTQHQQQYVGYNQGYSHIQHPSQSVTPTSATANYAYEFSDPAHSQIYYTQPLPPSQYQAMPAAAVMLPENSAQLPTDNIKQQMRTSQPL >KGN50738 pep chromosome:ASM407v2:5:10121294:10124130:-1 gene:Csa_5G222970 transcript:KGN50738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYDPRLYGIVPTTNKQFLRPAEAPRHLGFNTLPDIGGTVNDINANVDLNENGGSVFDVTKHGAKADGKTDDAQAFMTTWIAACRNTVGPAKFLIPQGTFLVGPVTFAGPCKSYPITLENQGTVKATTDISAYSSPEWFSLEDITGFILTGSGVFDGQGLSVWPYNDCKKNNLCQLLPISIKFTRLNHTIVDGLTSINSMGFHTSVFYCYNFTATNMKIIAPHNSPNTDGMHLSTSKLVTIANSIIGTGDDCVSIGHSTENITVTNVTCGPGHGLSLGKYSKEKGVYDVLVKNCTIFNATNGARIKTWASPVSGLASRIIFEDIVMYNVKNPIIIDQTYGTKKKKESNWKVSNVQFKNIRGTSTTNVAVLLECSKLFPCEGVELRDINLSYGGTNLRNTTIVSSCSNAKIATFGVQKPPPCVV >KGN52235 pep chromosome:ASM407v2:5:24555531:24560083:1 gene:Csa_5G622520 transcript:KGN52235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPRVLTTLFIWMVASSISCVSSSSFNLTLPHQHPDPDSVADELQRTVNASVWRRQMLSIESKDQTFSCLTGNPIDDCWRCDPNWAANRQRLADCGIGFGRDAMGGKGGKIYIVTDSSDLDPTNPTPGTLRHAVIQFEPLWIIFSADMTIRLKYELIINSFKTIDGRGANVHITGGGCITIQYVSNVIIHNVHVHHCKPSGNANIRSTPTHVGHRGLSDGDGISIFSSRKIWIDHCSLSYCTDGLIDAIMGSTGITISNSYFSHHDEVMLLGHDDRYVQDSGMQVTIAFNHFGEALVQRMPRCRHGYIHVVNNDFTAWQMYAIGGSAQPTINSQGNRYTAPVDPNAKEVTRRLDAAETEWAGWNWRTDGDILVNGAFFVPSGAGLSTQYGKASSVEPKSVALINQLTMNAGVLGAPRYNGGEGIVYPGFSGGSGGNGDEGYGGNTVINNSPIYENGGGSGGYGNGNGNDDYFGMIFKANGHRTFPIFSSLNYLCTLLIIFLLYIVTNYSSTLLSL >KGN52428 pep chromosome:ASM407v2:5:26002823:26004667:-1 gene:Csa_5G633260 transcript:KGN52428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSHSQSPQHIVIFPYMSKGHTIPLLHLLSHLRRRFPLLSLTLFTTTACRPFVSQFLSDSATHSVSVIDLYFPQNALDGLPTCVNPDTLPTELWAATELMQPEFEKRLHSLPVPATFLISDMFLSWTNESASKFGIPRIIFNGMSSYTRALTSAVVKSRVFAGGQSEDELVTVPDFPWVKITRRELNSVFWPEADPSSHQFQFIMKLLLPPIKSYGLIVNSFDELEPTFADYIRNSEKIWNIGPLCLHQYSFDVTTNCQPTQKLQMRQVTTDRPKWLEWLEEKHKQGEGILYIAFGSEAEISSEQTKEIEIGLEESGVNFLWAKKEEMEDKGFEERTKERGIIVREWVNQWEILKHGAVKGFFSHCGWNSVTESLSCGVPMLTYPLMAEQGLNARMVVDELRAGMSAVGETTLSMKGLVKGEDLKRCVRELMEGEKGKKVREKAMEISEMAKKTMTENGSSWRNLELLMQEMCNKSSLIMQTDLLPLNGRGVNYAQ >KGN51327 pep chromosome:ASM407v2:5:18200989:18204922:1 gene:Csa_5G517740 transcript:KGN51327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSTCLMHHPFSYTSGFPNESMEGNLPIHALSQSVSFGRFMSDSLSWEKWSTFSHNRYVEEAEKFSRPGSVAQKKAFFEAHYKKIAAQRAAALLEQENAASSSKSLQQTQTEHNASASPHTSISTSNGLQQHEVQVVTGQHFLAIASGDGRSDGSFLKEEKVDSREVEGGDSGLAHQVIEEIPQKVVGVDLNDGLTGNESNRTPQMERSLPKSSRQNWEQPSTISKKKAATSSSKLLLFDRSSKILPSTPVKPISPASYLNNATPKQVANKYSMESADKRKSNIATPKRVANKYVMESADKRKSNIATPKQAANKYVIESADKRKSNIATPKQVVNKCVMESADKRKSTPKSLRMAVNFTPIRELNKLTSTVMRKIERSRAGASTSKPAKDCSTPLRTPNTAMKTESQKHPSATPWSEKKRNKLYSPFSFTPFSLRTDERAARRKEKLEEKFNTNESQKKVQLQTKLKEKAETEITKLRQSFCFKARPLPNFYKERKTQKNEEVFKCHPPSPKLGRKGSPKIGEATAPHSGHMAPVKSTRGTNKNAHGKTRSLSLQTLMSAHENTSPNIQQ >KGN50401 pep chromosome:ASM407v2:5:6994542:6996666:-1 gene:Csa_5G172810 transcript:KGN50401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPCLTEISAACGGGSGGGSSCCPTPLLNFPSSQPSTTATPRTSAASRRDFAAKASAGVFPNTTFTNPECLPSPPQALSLFLAAFPQYSQTQEIDAIRNRQYYHLNLSNHICLDYIGIGLFSYHQFQKHSNPFPSSNLNFPFFGVSYRTGNLKSRLLENGLDSDLESAIKRRIFRFLNVSESDYAMIFTANRTSAFKLLAESYPFQTSNKVLTVYDYESEAVEAMVSSSQNRGATTMSAEFSWPRLRINSRKLKEMIVSKNKKKKTKKGLFVFPLHSRITGARYPYLWMSIAQENRWHVLVDACALGPKDMDCFGLSLFRPDFLVSSFYKVFGENPSGFGCLLVKKSVISILETNSSSSNVGIVNLVPADKLLQLNEDSSGTDIDLEFQQQQMVPSTSSFSGPISHQISKTTTTNFPEMDEEGKSRSNVSEIEIVSNRYETKCKGLDQVDSLGLVLISTRARCLINWLVSSLLKLKHPNSQGVCLVKIYGPKVKFDRGPALAFNVFDWKGEKVEPVLVQKLADRSNISLSYGFLHNICFSDKYGEEKGKVLERKEFGKDEKNMKKSKGNLGISVVTAALGFLTNFEDVYKLWSFVAQFLDADFVEKERWRYTALNQRTIIEVL >KGN51111 pep chromosome:ASM407v2:5:16058279:16058620:1 gene:Csa_5G453680 transcript:KGN51111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPTKIKPSSLTSALKLTSLSPVGLQWIHIFTARPPHQDTREGLSPLFSKKGGTSNAATEPPLNSCFLCPSITRKSQVETKNLDFEAMTRVESTPSPLKLSHKSPQPDLCKRP >KGN51318 pep chromosome:ASM407v2:5:18123063:18126011:1 gene:Csa_5G517160 transcript:KGN51318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCLSARIKAESPLHNGLSSRYARDGNDKGNSTSKSSVSTPRTPRTEGEILQSSNLKNFSYNELKAATRNFRPDSVLGEGGFGSVFKGWIDEQSFAVTKPGTGLVIAVKRLNQEGFQGHREWLTEIDYLGQLHHPNLVRLIGFCLEDEHRLLVYEFMPRGSLENHLFRRSSHFQPLSWSLRLKVALGAAKGLAFLHSDEAKVIYRDFKSSNILLDSDYNAKLSDFGLAKDGPTGDRSYVSTRVMGTFGYAAPEYMITGHLTAKSDVYSFGVVLLEILSGRRAIDKNRPSGEHNLVEWAKPYLTSKRRVLQMLDARIEGQYSTGGALKAAKLAIQCISTEPKLRPNMNAVVKALEQLQDSSETSGSRGTLSEPLNTSSQGSGSTNNKPVSYPRPSASVLNL >KGN52197 pep chromosome:ASM407v2:5:24238395:24245253:-1 gene:Csa_5G615200 transcript:KGN52197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAISRLQLQLLHFTPSLKSPSIFSRFPHFSRSSPRKFFPPRLLCSVSDSTPQNSSSEAGSSSSIVGDLLDYLNESWTQFHATAEAKRQLVAAGFHLLDEDEEWDLKPGGCYFFTRNMSCFVAFSIGEKYVPGNGFHVIAAHTDSPCLKLKPKSSSNKCNCLMVNVQTYGGGLWHTWFDRDLSVAGRVIVRGSDGSYLHKLVKVRRPLLRIPTLAIHLDRTVNQDGFKPNLETQLIPLLATKTEDNSVELKDKSNDSFLKDSIHPLLKQVISEELCCAADDIVSFELNVCDTQPSCLGGGNEEFIFSGRLDNLASSYCALRALIDSCESTSDLKSEQAVRMVALFDNEEVGSGSIQGAGAPTMFQAMRRIASGLAQGYVGEGAFERAFRQSFLVSADMAHGVHPNFTDKHEEHHRPEMQKGIVIKHNANQRYATSGVTAFLFREVGRIHNLPTQDFVVRNDMGCGSTIGPILASGAGIRTVDCGIPQLSMHSIREICGKEDIDTAYKYFKAFYKTFSSIDRKLKVDG >KGN49960 pep chromosome:ASM407v2:5:4100376:4102059:1 gene:Csa_5G146830 transcript:KGN49960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVLHRTTLGSIIYSYFLRCFGNSHNHNNNLDLHHHHHHHKSFNHIFFNNMPKPKPLSLQTVELKVRMCCTGCERVVKDAIYKLRGVDSVEVELELEKVTVIGYVDRNKVLKVVRRAGKRAEFWPYPEPPLYFTSATDYFKDTTREFKESYNYYRHGYNVGEKHGTIPMSHRGDDKVSNMFNDDNVNACHVM >KGN50813 pep chromosome:ASM407v2:5:11322580:11322902:1 gene:Csa_5G270390 transcript:KGN50813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSSLFFYVFFSLLLLTKRAINNFFNSTQVSIRRKTEDPRTENGRGTENGELAMEEDEQMAN >KGN51493 pep chromosome:ASM407v2:5:19883256:19887318:1 gene:Csa_5G570380 transcript:KGN51493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQLITETLMGIQNKSNSSRALEGLHGVTIVAESQFTVEKTTQDGEFSQSSCGNSVISVNQSLLKQWVWEQRPSCLRPVGGCIQGDRNLAERVANVLTSLPFIALGVQAPRRNFSMKLYANSLIGVGVASSLYHSSRGKVRQYLRWADYTMIAAATVCLTGALKNDNPKLLMAASALLLPLRPFTVSALHTGMMEVIFAKRALKDPDLRMAHNVHKMSTLLGGVLFVADDVLPQTPFIHAAWHLAAAVGVGTCNKLLE >KGN52160 pep chromosome:ASM407v2:5:24065352:24067311:-1 gene:Csa_5G612890 transcript:KGN52160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFTAAMNTLSAFFFPLPPSLLVNVMTVVSSVVVAVIGFSEARGTHLQYSKFWNTSNSATKSSNSEQLRISSRLGMLLLYTPAVLAGAASFWFLPNDDLRVLLLKSALTFHFFKRDFEVLFVHKYSGKMVIDSALIISLSYFSSTALMIYAQQQSKALPEPTIDLKNIGIAVFVIGIIGNMYHHILLSQTRKKGETGYKIPKGGLFGIIICPHYMFEITVYFGFALISQTFYAFFFAIATAIYLGGRSYATRKWYVSKFEDFPQHIKALIPFVF >KGN49767 pep chromosome:ASM407v2:5:2991300:2993975:-1 gene:Csa_5G114640 transcript:KGN49767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGITILFFFRFLCCCFFFYAQVFISIDAKCHPDDLKALKSFVDRLHTSVQGWDYGSSSDCCSWKGVTCSNPPALKFNDSNVFSRVVGLELPGERLRGNVSPSLGDLVKLKTLNLSDNFLTNSFPPNLFSLQNLEVVDISSNEFYGYAPLNITSPSITFLDISKNKLIGEVDPGFCHIPKQIQTLQLSSNRLHGKVLPGFGNCSFLEELSLASNFLSGDLPQDLFAMSKLKVLDLSDNAFSGELSFQLGNLSNLLYLDISFNQFSRLLPDVFFNLRTLEQFAASSNNFTGVLPVSLGNSPSITTLSLDNNSFSGSIDVINCSAMVRLASLNLGSNHFIGQIGSLSSCSQLRVVNLGKNRLDGDFPESFKNLRSLSHISISRNGIRNLSAALTALQHCKNLTVLILTFNFHGEMMPTNLNFRFENTRLFVIANCRLTGSMPQWLSSSTKLQILDVSWNSLSGEIPSSIADLQYLFYLDLSNNSFSGSIPRSFTQFHSLVNLSNSLKGEIFEGFSFFSRRSQSAGRQYKQLLGFPPLVDLSYNELSGTIWPEFGNLKDLHVLDLSNNKLTGEIPSTVAKLMVLEFLDLSYNNLRGRIPSSLANLNFLSTFNVSYNHLEGPIPSAGQFHTFPNSCFVGNDGLCGFQTVACKEEFGPTNEEKAIGEDEDVDESLGSLMKVPLGVGAAVGFVSTAAVCFFSGMVFPRERKWEVQ >KGN52515 pep chromosome:ASM407v2:5:26652402:26656855:1 gene:Csa_5G640000 transcript:KGN52515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNFCACLAPKSIKKKPNHRLPNPTLPTNSSKRWTRVRSSRKDKPDAALTRDQVLAAAILFQQHQHHNARDPFDRTTSLRYPKSGSKNSNALPRSSSSRARSLTDPLLQPHQLVSENVKPEDIETNHFVLVHGGGFGAWCWYKTIALLEEGGYKATAIDLTGSGVHSFDPNCITSLSQYVQPLTDFLEKLPEGEKVILVGHDFGGACIAYAMELFPFRIAKAIFIAAAMLSNGQNTSDMFSQQAGADDLMQQAQTFSYANGNNHPPTAINLEKSLLRDLFFNQSPAKDVALASVSMRPIPFAPILEKLCLSDLKYGSVRRFYIETPEDNAIPITLQDSMINKSPPQQVFRLKGADHSPFFSKPQALNKLLIEISKIKTPS >KGN49811 pep chromosome:ASM407v2:5:3286206:3290494:1 gene:Csa_5G137440 transcript:KGN49811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLIGSFKSIDWELESFPSYEDFTFLPFFALLFPTVRFFLDRFVFEKVGRRLIFGKGYQLKDVNTDEKRKKIRKFKESAWKCIYFLSAELLALSVTYNEPWFTSTKHFWVGPGEQIWPDQRAKLKLKGLYMYAAGFYTYSIFALIFWETRRSDFGVSMSHHVATLILIVLSYIFRFARVGSVVLALHDANDVFLEIGKMSKYSGAEMLASIAFVVFVLSWLLLRLIYYPFWILRSTSYEVLLVLDKNKHPVDGPIYYYVFNTLLFCLLVLHIYWWVLIYRMLVKQIQARGQISEDVRSDSEDEEEHED >KGN52466 pep chromosome:ASM407v2:5:26325097:26328432:-1 gene:Csa_5G636580 transcript:KGN52466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMELPLSRYQNYVYDRLQCNSTSFFSLRYSDSDLFTKTSFLSNPRKYRNSFCWIKCSSFEQGLRPRPRPQPKPSKLDVGDRKETPLKETHVKKSSVGICSQIEKLVLCKKYRDALEMFEIFELEDGFHVGYSTYDALINACIGLKSIRGVKRLCNYMVDNGFEPDQYMRNRVLLMHVKCGMMIDACRLFDEMPARNAVSWGTIISGYVDSGNYVEAFRLFILMREEFYDCGPRTFATMIRASAGLEIIFPGRQLHSCAIKAGLGQDIFVSCALIDMYSKCGSLEDAHCVFDEMPDKTIVGWNSIIAGYALHGYSEEALDLYHEMRDSGVKMDHFTFSIIIRICSRLASVARAKQVHASLVRNGFGLDVVANTALVDFYSKWGKVDDARHVFDRMSCRNIISWNALIAGYGNHGHGEEAIDMFEKMLREGMMPNHVTFLAVLSACSISGLFERGWEIFQSMTRDHKVKPRAMHFACMIELLGREGLLDEAYALIRKAPFQPTANMWAALLRACRVHGNLELGKFAAEKLYGMEPEKLSNYIVLLNIYNSSGKLKEAADVFQTLKRKGLRMLPACSWIEVNNQPHAFLSGDKHHVQIEKVVGKVDELMLNISKLGYVPEEQNFMLPDVDENEEKIRMYHSEKLAIAYGLLNTLEKTPLQIVQSHRICSDCHSVIKLIAMITKREIVIRDASRFHHFRDGSCSCGDYW >KGN52170 pep chromosome:ASM407v2:5:24106775:24113289:1 gene:Csa_5G613480 transcript:KGN52170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTRQTHLPPRPRCPIQKKTISGSIAPTPPVNELSHDNSSSVSSVLEDEPVWLGELLSDCESKSFGQPLRRSASDSVTLLDGLADSLRSMCIAKDVENSVGNETCEQWDPSCTYGPNSPRRKCSSDFSNHSMVSALSEFVHLHHAAPVHTDACSFAENSSSNLNGNINESVRDFNTNENAAKRHNGQRSRVRKLQYIAELERKVNVLQTVESQLAIRVASLLQERVALSMENSKLKQQVARVRREKLTSEGRHQVLKKEVEKLKLVLAKLPERQQVKKSF >KGN51399 pep chromosome:ASM407v2:5:18726266:18729107:-1 gene:Csa_5G527380 transcript:KGN51399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTKNILFICGGAFVGLEKCISDRQHDSSIGFGAPVRASMRTGKLTEDLVTSSMLENVESGDLITYGLIPEFVGRCPILVSLSALDEDQLVQVLTKPKNALGKQYKKMLRMNDVELHFTENALRMIARKAMKKNTGARGLRSILENILTEAMFEVPESNSIKAVLVDEEAVGSVDASGCGAKILCDVDELTQCSKSEIMRNLKVNDMVAGNEGRLSNGVEFPSVAIRL >KGN51789 pep chromosome:ASM407v2:5:21951664:21954280:1 gene:Csa_5G600910 transcript:KGN51789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGTEQWKVQVEQLKVQMEQWLEQGLEFVHQIPPIQLYVGVGVLLFTTLLLLFTRLFKRRKSNTIVLSGLSGSGKTILFYQLRDGSSHQGTVTSMEPNEGTFVLHSEIAKKDKLKPVHLVDVPGHSRLRAKLDDFLPQAAGVVFVVDALDFLPNCRAASEYLYDILTNASVVKKKIPVLILCNKTDKVTAHTKEFINRQMEKEIDKLRVSRSAISAADIANDFTLGIPGKAFSFTQCHNKVAVAEASGLTGEVSEVEQFIRENVKF >KGN51423 pep chromosome:ASM407v2:5:19082831:19091909:-1 gene:Csa_5G537000 transcript:KGN51423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRTPLSKIQRTPSTTPGGCPRAREENILVTVRMRPLNRKEQAMYDLIAWDCLDQHTLVFKNPNHERPLNPYCFDKVFYPTCSTQRVYDEGAKDVALSALTGMNATIFAYGQTSSGKTFTMRGITEYAVNDIFEHIKNTPERNFLLRFSALEIYNETVVDLLSCKSGSLRLLDDPEKGTIVEKLVEEVVKDSEHLRQLIGICEAQRQVGETALNDKSSRSHQIIRLTIESSLREVTNCVKSFVASLNLVDLAGSERVSQTSADGTRLKEGSHINRSLLTLTTVIRKLSGGKRGGHIPYRDSKLTRILQSSLGGNARTAIICTMSPALSHVEQTRNTLSFATSAKEVTNNAQVNMVVSDNRLLKQLQSEVARLEAELKSPEVSSSCLQSLLLEKDQKIQQMEREIKELRCQLQQEKKIYKEMKGMDECGPSHVVRCLSFQGDSDRTPTTILPQSKLRSVVGGQQGAVRRSATSIDPSIIVHEIRKLEHSQRQLGEEANRALEVLHREVAAHKLGSQEASETIAKMLSEIKDMHVLSSIPQETTAGDKTNLMEEIIRFKSEGTVIESLEKKLENVQKSIDKLVSSYPSPDDEDTPVLKNQYKRKKVLPFTLSNATNMHQIIRSPCSPMSSSHSVMKHETENRVPDKVMMAIDEYSGIGKVTPKCDVNCRNVSRDSTPLSKQSNSVNVKKMQRMFKTAAEENIRSIRAYVIELKERVAKLQYQKQLLVCQVLDLEKNGNESGALMDTIEHTPASWHTIFEDQRKQIIVLWHLCHVSLIHRTQFYLLFKGDPSDQIYMDVEWRRLTWLEQHLAELGNASPALLGDDPASSVYASVKALKQEREYLAKRVSSKLTVEEREMLYVKWEVPQVGKQRRLQLVNKLWTDPLNMKHIQESAEIVAKLVGFCESGEHVSKEMFELNFVCPSDRKTWMGWNLISNLLNL >KGN49893 pep chromosome:ASM407v2:5:3695998:3700373:-1 gene:Csa_5G139710 transcript:KGN49893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSKGSNARLSGMPIRSRIPTLLLSMFATFASIYVAGRLWQDAENRVYLIKELDRLTGLGQSAISVDDTLKIIACREQQKKLLALEMDLAAARQEGFTVKHSRETNETKVPLVVIGVVTRFGRKNNRDAIRKAWMGTGVSLRKMESQKGIIARFVIGRSPNRGDSLDRAIDDENGQYNDFIIHNDHVEAPEELSKKAKLFFAYAIDKWNAEFYAKVNDDVYINIDALGSTLASYLDKPRVYVGCMKSGEVFSEPSHKWYEPDWWKFGDKKTYFRHASGEMYVISKALAKFISINRSLLRSYAHDDVSTGSWFIGLDVTYIDEGKFCCSSWSAGAICAGV >KGN52261 pep chromosome:ASM407v2:5:24693804:24694592:-1 gene:Csa_5G622760 transcript:KGN52261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERQIYPFKPFKSKSDIGLQTSLTDSFAPSSFPSPIMAAAAAHHPIGHPLFSRIRLAGPSDIPHIHKLIYEIAVYERHTHLFSATESSLSAHLFSYPPFQSFTIFILEVSHEPFPENSPHNSNANYSPVVRIVYSEVPVDDPEREIFKSEDENVVVAGFVLFFPNFSALLGKPGFFVESVAVRKCYRRKGLGKMLLSAVVNQAVEMDYGQVELVVLEGNETAIKFYEEMGAQILTEWRVCDLTGDSLRVLHTNDCIDHYGEL >KGN51511 pep chromosome:ASM407v2:5:19987385:19987867:1 gene:Csa_5G571540 transcript:KGN51511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEVMGDGRRRALALQLLDLVRDFVLMSGRSIAGAGDTMKKDCTDLIRRIALLVHLAEEITNFCSGSWDNFEKSNDDGSSSSSLSSWLDCLSEVVGAIQAAKRLLYTALTFSPNDEEGCVTSTVSSSCLSVIAYNCLCLDWKINRPRSVTSIDVISLHF >KGN51611 pep chromosome:ASM407v2:5:20821312:20825353:1 gene:Csa_5G585380 transcript:KGN51611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRVMDLVSGASLNCFHFKNPKGCVKSRGFSIRCSVPLSSSQGAATIDLGERPWKVSDARLVLEDGSIWKAKSFGASGTQVGEVVFNTSLTGYQEILTDPSYAGQFVLMTNPHIGNTGVNFDDEEARQCFLTGLVIRSLSVSTSNWRCKQTLGDYLIERNIMGIYDVDTRAITRRLREDGSLIGVLSTEKSKSDDELLEMSRSWNIVGVDLISGVSCKSPFEWVDRTDLEWEFKSGGHSSETFHVVAYDFGIKSNILRRLASYGCKITVVPSTWAASETLKLNPDGVLFSNGPGDPSAVPYAVETVKQLLGKVPVFGICMGHQLLGQALGGKTFKMKFGHHGGNHPVRNFRNDRVEISAQNHNYAVDPASLPEGVEVTHINLNDGSCAGLSFPKLKIMSLQYHPEASPGPHDSDSVFGDFIAMMKQEKRNA >KGN51549 pep chromosome:ASM407v2:5:20346798:20351886:-1 gene:Csa_5G577400 transcript:KGN51549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQKEKDLEEMKKKIDLSSSVQKGKEDNVNRRLADVEAKEKEADFSRSLLEKKQEELRQMEENLHGREMMEIQQLLDEQRVILQKKKEQFELQLEEKRQSLDNEGSTVLGALKRKDLEINHEKEKLVKQEQALDKKLLRAKEKEGDLEQKIKTLKSKDRILKADEKKLEVERLQMLADRESLRSLINEIEEIRTENSQKEQQFHEERAKLQVMKEERSEHVRLECQLMQEIESYRLQNKIVMKEHEDLKQERVKFERDWEALDEKRTEIHDELSDLVEERKKLEILQGAEEGRLRNEKNEMLIYMQRELENVKQEKELFASTTRQEQQALSEQAQTKHSQLLQDIEFQRKDLESHLQNSQMELEKERQERELAFEEERERERNKLFCLRDIAQKETDDLLSERHQLEKEKEVVSLNRKQMIADHLEIHQDIDKLNILSKELKIQREQLIRDRVCFLTFVDKHKSCGKCGVSIEEFVVPDLQIPEEIRKSHPLPKLDANSLQTLQREFAASEFDSSDSGGRMSWLRRCSRKILKLSPIKKIGHVVPSVPMKLAADCTDLEVKEPRVNVGDVKRSGIADEPQQSSFIESEPSGVQRFSFSDNIRLAENRHEHTLDDFNNLDSKFEEASEASKQPDMKKERPKHAKGLKSGHRTRSVKATVQDAKAFLGETGGQSDLNVPVQSDSNSLYKETSNIRKRPLPEDEQDDDSEGCSDSITTVRQRKRQQKILPVQTQGESRYHLRRHKNPGKASAVQVSPNLTTVMEKENEETLAVGGENGEKMDSVKITTVRTIYHSEDRVVRFESQRTAEDNAPTEKLVTTVNDLCDEVNGSSEYEDEDQSILDDEDEYDEEQPDVGSIGKKIWTFFTT >KGN50598 pep chromosome:ASM407v2:5:8551263:8552693:1 gene:Csa_5G189930 transcript:KGN50598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAWRLSIQQLGMLQRFPRAFFRLASPLLQMGHRMSYSTYASHPPLSDLHQTMPSVQFISLPLCCYLMGLFRNIDTLIKFHGLLIVHGLIGNLLCDTKLVGVYGALGDVRSARMVFDQMPNPDFYAWKVMIRWYFLNDLFVDVIPFYNRMRMSFRECDNIIFSIILKACSELREIVEGRKVHCQIVKVGGPDSFVMTGLIDMYGKCGQVECSSAVFEEIMDKNVVSWTSMIAGYVQNNCAEEGLVLFNRMRDALVESNPFTLGSIINACTKLRALHQGKWVHGYAIKNIAELSSFLATTFLDMYVKCGQTRDARMIYDELPTIDLVSWTAMIVGYTQARQPNDGLRLFADEIRSDLLPNSVTAASVLSACSVSGNLNLGMSVHGLGIKIGLEECVVKNALIDMYAKCHKIGDAYAIFHGVLEKDVITWNSMISGYAQNGSAYDALRLFNQMRLYFLAPDVITLVSTLSACATLGAV >KGN51178 pep chromosome:ASM407v2:5:16786231:16787965:-1 gene:Csa_5G478610 transcript:KGN51178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALIHSQQARRDPTPLHRAAKLLINSQLEDGDYPQQGPINEVGYLLDRFLGSRQFGVSLRGIYKPLKPDLHSPFGHQILRPGDEMTAAKETIKQAQVAADR >KGN50578 pep chromosome:ASM407v2:5:8333964:8338459:1 gene:Csa_5G184290 transcript:KGN50578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGLTLDRVIKDILRVVEPLQDDWTARFQVINELRNVVQSIESLRGATIEPFGSFVSNLFSRWGDLDLSVQLNNGSYTSTAGKKRKQTLLRDIQNASRKNGRWYKLQLIPHARVPILKIEHIQHNISCDISIDNLVGQIKSKILLWVNEIDGRFHDMVLLVKEWAKAHDINNSKQGTFNSYSLSLLVIFHFQTCSPAIFPPLRDIYPGNVVDNLKGVRAEVENEIARTCATNIARFKSRTANRSSLSELFVSFLAKFSDISSKASELGICPYTGQWLKIESNMRWLPKTYAIFVEDPFEQPENTARAINARQLMRISEAFRMTHLRLTSVYQNRSSILNDLARPQISQLIINSSGSASAPAFNVENYTPIRPQVHQARVMQPRPWIQHQFQNNIPRFNMGNFPAINSQAPHAGTSQSHPLVQHKTPKTKRIVSSPNVLNVGEPSKTYSGQGQQKWRPRSQRQVL >KGN52229 pep chromosome:ASM407v2:5:24506815:24511648:1 gene:Csa_5G622460 transcript:KGN52229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAELSSSLKTELNFSSNSLFHSNRFFADHRRCSFRPFHRSRTSRVTCSINQVEAAPVAAKTEDPKSKSECYGVFCLTYDLKAEEETTSWKKLINISVSGAAGMISNHLLFKLASGEVFGPDQPIALKLLGSERSFQALEGVAMELEDSLFPLLREVVISIDPYEVFQDAEWALLIGAKPRGPGMERAALLDINGQIFAEQGKALNAVASPNVKVIIVGNPCNTNALICLKNAPKIPAKNFHALTRLDENRAKCQLALKAGVFYDQVSNMTIWGNHSTTQVPDFLNAKINGLPVKEVIKDHRWLEEEFTEKVQKRGGVLIEKWGRSSAASTAVSIVDAIRSLITPTPEGDWFSSGVYTTGNPYGIANDIVFSMPCRSKGDGDYELVNDVIFDDYLRKRIAKTEAELLAEKRCVAHLTGEGIAVCDLPGDTMLPGEM >KGN49567 pep chromosome:ASM407v2:5:228319:233923:-1 gene:Csa_5G003110 transcript:KGN49567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKAFASNSILSDAKNRLRIYTFFAALLLGAGVYFIANEFITKGSFRWEVFYSAQNVKSSTCKNQCRPPGSESLPEGIISKTSNFEFQPLWGSSLQNKKPKVSKNLLAIAVGIKQRHVVSKIIEKFPQDDFDVILFHYDGVVDEWREFAWYSRALHVSALNQTKWWFAKRFLHPDIVAEYNYIFLWDEDLGVDYFDPKRYISILKEEGLEISQPALDPVKSKVHQPLTARKTGSKVHRRFYNFKGAGRCYANSTGPPCTGWVEMMAPVFSRAGWRCTWYMIQNDLIHAWGLDRQLGYCAQGDRTKKVGVVDAEYIVHLGLPTLGASHDNALNSDAALKKDSSNLDRSEPQVNNRVKVRMQSSLEMQIFKDRWTDAAKNDRCWIDPYP >KGN51006 pep chromosome:ASM407v2:5:14503468:14510303:-1 gene:Csa_5G396040 transcript:KGN51006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLPGFRHFIAHFYRTTPPMAFLFTHFSTPISRPSSIYSISKTSNHVLSKSLIKSHSTGKENTFVLAPDERLGDSHAGTEREQIDNSSTIAAIVTSIGGPPAAVGIVRLSGPRAVNIVGTLFFPAAKKKGKNLSLHPWRPTSHVVEYGVVLDQQGDVIDEVLTVPMLAPRSYTREDVIELQCHGSEVCLRRVLKACLEAGARLAEPGEFTLRAFLNGRLDLSQAENVGKLISAKSTAAADAALAGIQGGFSSLVKSLRTQCIELLTEIEARLDFDDEMPPLDLNIVMEKVHAMSQEVETALETANYDKLLQSGIQIAIVGRPNVGKSSLLNAWSKSERAIVTEIAGTTRDVIEANVTVSGIPVTLLDTAGIRETDDIVEKIGVERSEAAALGADVIIMAISALDGWTAEDTILLNRILSKKKSDESCTPILLVINKIDCAPSPKMDAMSINRDSFSKQVFTCAVTGQGIQNLEMAISELVGLNKTLASGRRWTVNQRQCVQLLRTKEAFTRLKSSIEDELPPDFWTVDLRDAVLALGEICGEDISEEILSNIFGKFCIGK >KGN51654 pep chromosome:ASM407v2:5:21069205:21071746:1 gene:Csa_5G588730 transcript:KGN51654 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:RPL5 description:hypothetical protein MAFAKAQKTKAYFKRYQVKFKRRREGKTDYRARIRLINQDKNKYNTPKYRFVVRTSNKDITAQIISASIAGDLVLASAYSHELPQYGLEVGLTNYAAAYCTGLLLARRVLKMLEMDAEYEGNVEATGEDYSVEPADTRRPFRALLDVGLIRTTTGNRVFGALKGALDGGLDIPHSDKRFAGYAKNGQQLDVEVHRKYIFGGHVAAYMRTLMEDEPEKYQSHFSEYIKKGIEADELEGLYKKVHAAIRANPIAKKSDKPQPKAHKRYNLKKLTYDERKARLVERLNALNSAADGDDDDDEDDE >KGN52121 pep chromosome:ASM407v2:5:23820938:23833269:1 gene:Csa_5G611030 transcript:KGN52121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDRSPSSNAAVYVPPHIRLRSVVTPNNSSPASAVDCKLKTAPPSLLDSGTTASPCLHARSQELLPTGNSRLQCDTAYSDGAPTDSWSFNFECSHQSGIAPSVNIDLWKRKLALLLRDKEKQELISREKKDRHDFEEIAALASRVGLYSHLYAKVAVFSKVPLPNYRFDLDDRRPQREVSLPPGLLRRVDEHLGEFLSQKSRCKGFQDISVSRTSSSGSIATDEGLFEQPEPQGSSKAVMEKILWRRSSHLRDQQQAWQSSLEGREILEFRRNLPAYKEKDALLDTISQNQVIIISGETGCGKTTQVPQFILESEIESLRGAVCSIICTQPRRISAMSVSERVAFERGEKLGESVGYKVRLEGMKGRDTHLLFCTTGILLRRLLVDRNLKGITHVIVDEIHERGMNEDFLLIVLKDLLPRRPELRLILMSATLDAELFSSYFGGAQIIHIPGFTHPVRTHFLEDILEMTGYRLTPYNQIDDYGQEKTWKMSKQAPRKRKTQIASTIEDALTAADFKEYSLQTQESLSCWNPDCLGFNLIEYLLVRICESETPGAILVFMTGWDDISSLKEKLQSHPLLGDPTRVMLLACHGSMASSEQRLIFTEPDKGVRKVVLATNIAETSITINDVVYVLDCGKAKETSYDALNNTPCLLPSWISKVSAQQRRGRAGRVQPGECYHLYPRCVFGSFSEYQLPEILRTPLQSLCLQIKSLKLGSISEFLSRALQSPELLAVQNAIEYLKIIGAFDESENLTVLGRYLTMLPMEPKLGKMLIVGAIFNCLDPIMTVVAGLSVRDPFLTPLEKKDAAEAAKSQFSQDHSDHLAIIRAYGAWKEAERNYGGYDFCWKNFLSIQSMKAIDSLRKEFFSLLRDTGLVDGYSDTYNAWSLDEQLIRAVICNGLYPGVCSVVQNEKSFSLKTMEDGQVLLYSNSVNARESRIPYPWIVFNEKIKVNSIFLRDSTAISDSMLLLFGGSISKGDHEGHLKMLGGFLEFFMKPDLAETYQKLRVELEELIRIKLLNPKMDLHSHHELLSAVRLLISEDQCEGRFVFGRQILQQPSKTSAPAAAAAPPPTAVSRIESGPGGDNSKSQLQTLLTRAGYAAPIYKTKQLKNNQFRATVEFNGLQIMGQPCTNKKNAEKDAAAEALEWLMGGNQMGHDYVNQMSMMLKRSKKDHN >KGN52156 pep chromosome:ASM407v2:5:24054467:24056948:-1 gene:Csa_5G612850 transcript:KGN52156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRLDSSPKLMIVSDLDQTMVWVDHNDKHNISLLKFNALWEAYYRRDSLLVFSTGRSLTSYRKLRKERPLLTPDLTITSLGTVIEYGDSMVENEEWEQFLNQNWNRDIVVEETLKFPELKQLQSQTEQGAHKVGFLIEKDKAPRVINLLSQCLEKRGLDVKIVYSSGMYLDVLPKHAGKAGALQYLLNKLKSNGKAPTNVLVCGDSGNDTELFSTPDVYGVMVSNAEEDLLEWYMNNGKDNPKVIHGTERCAGGIIEAIGSFQLGPNLSPRDINCCKVETINPCFEVVKFYVLYERWRRGEVEKSELYLEHLKSIFPAKGPISVDPNGKERSREELIDGIRESYGDMKGTPFRTWLDGLSSSQVGSDSWLLRFNKWDSSSSSSSSKKKFRGCFTTVLMSSQEKDPKGGLMWMHVQHTWLDGSPSEPQDYNCFI >KGN49906 pep chromosome:ASM407v2:5:3747288:3751308:1 gene:Csa_5G139840 transcript:KGN49906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTATNPCCHSLSFRPAPPNSSFSIRVHLKPLLPRFPLNSSIHCATNLSRRRRNKWEILCFRREETSPENFESKSVEDKLAEDLVTTPEINQPSDPRKEWVSSLYKAVESIFVTKPWVVPWTAKTIVQVMLLWVASFWVIGSWIIPIIAHTAGYRKEFMTHRGQALYSLLTDVAEGLTGMAILHRCLSRFHPLPSSWFKFSIEGKWQFDVGLGCLMFPLVNRLSQVNLNLLPVLPSTPITVSSVEQSIVARDPVAMALYAVVVSVCAPIWEEIVFRGFLLPSLTKYMPVWCSILVSSIAFALAHFNMQRMLPLILLGMVMGTVYARTRNLLPSMLLHSLWNAFVFLDLMK >KGN51710 pep chromosome:ASM407v2:5:21415691:21417630:1 gene:Csa_5G591750 transcript:KGN51710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYYQLEKFSHQDSLEVLEADIQYANSLAAAIPMAKGGVYLQMKLVYNHLAPIVLFLLQWMDCSCTCLLPRYLNLFHILVYKVHPEGKQKQNISRHGRKATIRDFYAIILPSLQRIHGSLDKLDDCKEEHHWIEMSSKKRVDKDGRLKNIEMKREDECGICLEPCTKMVLPNCCHSMCIKCYRNWNTRSESCPFCRGSLKRVNSEDLWVLTCSDDVVDTETVSKEDMLQFHRYINSLPKDYPDALFIVYSEYLI >KGN51867 pep chromosome:ASM407v2:5:22392153:22394024:-1 gene:Csa_5G604120 transcript:KGN51867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLAIPTNSQSLPIEIKGENSKTHQSRFLHLLTDCTDLSKLKQIHAQAIRNFSTHNSSLFLYSRILHVSSLIDFDYACRVFNQIDNPNSFMWNTLIGACARSLDRKEQAIEIFYRMLEEGSVEPDKHTFPFLLKACAYVFALSEGRQAHAQIFKLGLDLDVYVGNSLIHLYASCGCLSMALKVFEKMPLRSLVSWNVMIDAYVQSGLFENALKLFVEMQNSFEPDGYTMQSIVSACAGIGALSLGMWAHAYVLRKASGAMAGDVLINSSLVDMYSKCGSLRMAQQVFETMPKHDLNSWNSMILALAMHGRGQAALQCFSRLVEMEKFLPNSVTFVGVLSACNHGGMVADGRKYFDMMVNDYKIEPRLEHYGCLVDLLSRSGFIDEALELVANMHIKPDAVIWRSLLDACYKQNAGVELSEEVAFKILQSEKTISSGVYVMLSRVYASARQWNDVGIIRKVMTDMGVTKEPGCSSIEIDGISHEFFAGDTSHPRIKEIYGVIDLIEEKLERRGYSPDCSQATMVDEPDNIKQQSLKLHSERLAIAFGLLNLKPGTPVRIFKNLRVCNDCHQVTKLISEIFNVEIIMRDRNRFHHFKHGMCSCMDFW >KGN50183 pep chromosome:ASM407v2:5:5609896:5610957:-1 gene:Csa_5G157420 transcript:KGN50183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSHSLPPPFHTRDFHLQQHPFHTNTNNNNSEEEHSTTTTRLKRDRDDDTNNSNPNSAGDPTPDGEITRRPRGRPAGSKNKPKPPIIITRDSANALRTHVIEVTDGCDIVDSVATFARRRQRGVCIMSGTGTVTNVTLRQPASPGAIVNLHGRFEILSLAGSFLPPPAPPAATTLTIYLAGGQGQVVGGSVVGTLIASGPVVIMAASFSNAAYERLPLEEDDQPQLPSLQGGGGIGSPDEVGQSQITAQTAHHQQQQQNQQQQQQLLNDGNAPLFHGLPPNLLNSIQMPPSESPYWATARPPY >KGN49643 pep chromosome:ASM407v2:5:1381601:1382232:1 gene:Csa_5G038312 transcript:KGN49643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVGKLQSEVEISIPPHIFYKLFKEQIANITNISPKLIQKVEHHDGDWSKHGHGSIKVWNYTIDGKAEVLKERVEFDDKNLVVRMVGLEGDVFKHYKTFIATYQVVPKGLGRSVIIFTLEYEKLNDGSPYPDKYHEAMDNLAKDIEAHLLKDTVQLVSARLP >KGN50234 pep chromosome:ASM407v2:5:6021013:6021357:1 gene:Csa_5G161340 transcript:KGN50234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKATVSLAFLLALNLVFSSFVYAEADKKCPINALQLGVCAKLLGGVVDVEIGKTSCCPLISGLVDLDAAVCLCTAVKAKVLGLNLNIPVDLSLILNGCNKKLVEGFTC >KGN50497 pep chromosome:ASM407v2:5:7697113:7697340:1 gene:Csa_5G177655 transcript:KGN50497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLARPTTCSCHLKSGRTLAAYSGRRNCRKEIPILPGIVDFVGKSEICSNFVELKGNIGVCPKFRVVDFDLFEFFD >KGN52578 pep chromosome:ASM407v2:5:27070353:27076752:-1 gene:Csa_5G644550 transcript:KGN52578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRVLNPNAEVLNKSAALHMNINAAKGLQDVLKSNLGPKGTIKMLVGGAGDIKLTKDGNTLLKEMQIQNPTAIMIARTAVAQDDTSGDGTTSTVIFIGELMKQSERYIDEGMHPRVLVDGFEIAKRATLQFLDKFKTPIVVGDEPDREILKMVARTTLRTKLYEALADQLTDIVVNAVLCIRKPEEAIDLFMVEIMHMRHKFDVDTRLVEGLVLDHGSRHPDMKRRAENCYILTSNVSLEYDKSEINAGFFYSNAEQREAMVAAERRQVDERVQKIIELKNKVCAGTDKNFVVINQKGIDPPSLDLLAREGIIALRRAKRRNMERLVLACGGEAVNSVENLTPDCLGWAGLVYEHVLGEEKYTFVENVKNPHSCTILIKGPNDHTIAQIKDAVRDGLRAVKNTIEDESVVMGAGSFEVAARQYLVNEVKKTVQGRAQLGVEAFADALLVVPKTLAENSGLDTQDVLIALKGAHDRGNVVGLSQHTGEPIDPQMEGIFDNYSVKRQIINSGPVIASQLLLVDEVIRAGRNMRKPT >KGN51515 pep chromosome:ASM407v2:5:20000611:20001468:1 gene:Csa_5G571580 transcript:KGN51515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLKFLLFLNFLLLISPSSALTSVTVRRLDDTTTPTDPNVKCAPCEQNPPSPPPPVVYPSPPPPSPPPPDVVPYYSPPPPKKKEPKSPNCPPPPSPSFMYITGPPGDLYPIDQGYNAAGRRSAATVVGVVLAGLLLVV >KGN50087 pep chromosome:ASM407v2:5:4973319:4975683:-1 gene:Csa_5G153020 transcript:KGN50087 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aquaporin 1 MEGKEEDVKLGANKFSERQPIGTSAQTDKDYKEPPPAPLYEPGELKSWSFYRAGIAEFMATFLFLYITILTVMGVNRSPSKCNSVGIQGIAWAFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRAVFYIIMQCLGAICGAGVVKGFEGSSYVQKLGGANFVSSGYTKGSGLGAEIIGTFVLVYTVFSATDAKRNARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIYNRQHAWDDHWIFWVGPFVGATLAAIYHQIIIRAIPFKARA >KGN51170 pep chromosome:ASM407v2:5:16637792:16659741:-1 gene:Csa_5G471090 transcript:KGN51170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVPAFYRWLAEKYPLVVVDVIEEEPVVIDGIAIPVDTSKPNPNKLEFDNLYLDMNGIIHPCFHPEDRPSPTTFSEVFQCMFDYIDRLFVMVRPRKLLYMAIDGVAPRAKMNQQRSRRFRAAKDAIDAAAEETRLREEFEKEGRKLPPKEESQVFDSNVITPGTDFMAVLSIALQYYVHIRLNNDPGWKNIKVILSDANVPGEGEHKIMSYIRLQRNLPGFDPNTRHCLYGLDADLIMLALATHEVHFSILREIVFTPGQQEKCFLCGQMGHFAADCEGKAKRKSGEFDEKVEEVTIKKPYQFLHIWTLREYLEYEMRIPNPPFAIDIERIVDDFVFMCFFVGNDFLPHMPTLEIREGAINLLLAVYKKEFRALGGYLTDGSKPNLQRVEHFIQAVGSYEDKIFQKRARLHQKQADRIKREKGQTRRGDDAEPQVQPSLVAVARFHESRLASGPCPSPYERSGVGKATSRFSGMNIKNKQSLESHGSGTSVRQNKVARLSSGASIGAAIVEAENSLEIDIDDNKKELKSKLKEVLREKSDVFNSNKSEEDKIKLGVPGWRERYYNEKFSANTPEELDDIRNDVVLRYTEGLCWVMHYYYEGVCSWQWFYPYHYAPFASDLKGLGELNISFNLGTPFKPFNQLLGVFPAASAHALPEQYRKLMTDQNSPIIDFYPTDFEVDMNGKRYSWQGIAKLPFIDETRLLAEVAKVEHTLTEEEARRNSIMFDMLFVTSSHPLSVSIYSLDNRCKQLAERDRTEVKEKINPEHRVNCSEGMNGYLSPCLGELCPPIFRSPVEGLEDIIDNQVICAIYRLPDVHKHITQPPAGVNFPPKIVSLGDMKPEPVLWHEDSGRRHHHHQDNGRYNENGRPNPPGAISGRQLGDAAHRLVVNSLQVRGGDRTGHNNWQAPPLSHTAQPYIPGQPPSHSHRDYRSRDQAVDYRMPPGGRPNYSQGHHNTARGHQDHGYHQPPAGHHHRDMRHHSQHYNNRAHNQVSSQHYNENPEAYYPSSASASWQGHSDVPPYHHNGPTSHHPPTYQSGYNYNQLPAGPGSSQQQQHHGGAWQAPPPANHGAPHHQYGNKYSVLDRRGNGGPPSSAPHSYGRRQKPY >KGN51779 pep chromosome:ASM407v2:5:21898208:21899281:1 gene:Csa_5G599840 transcript:KGN51779 gene_biotype:protein_coding transcript_biotype:protein_coding description:Biotin synthesis protein BioH MQAIPSQMLQRSIGLLNVFSHFHYAETADFRHSIGARNVGRQRSGKHHSKTRQVLTLQILQTFLQVVKGEIVVLVASANSLLIAEYHTRCFSAFCFEQEMTNGGFNRGRGIGSAFHHGSAERYVFGVYADVDSLSLAGE >KGN49691 pep chromosome:ASM407v2:5:2121712:2121946:1 gene:Csa_5G068180 transcript:KGN49691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRGMRPREVSFSYVSSLGEKSFVKDALYWQGEWNDKRLSMLLDILSMKNGGSCKMVVPRFMQDGGA >KGN50140 pep chromosome:ASM407v2:5:5290991:5294557:-1 gene:Csa_5G155510 transcript:KGN50140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKAFKWFRGLLRLKKPHPFLNPSPTNSKLNNLKCHFKDNEKTHHHDPPVTFPPIVKITTTRRTTPTADPNSAAIKIQAAFRGFLARKALRALRGLVRLQALVRGHIERKRTAEWIKRMQALLRAQARARAGRSQSSFDFLHSDIKFSSFSSIDPVTPEKFEHSPHTKSTRFKQMQRSGSRFTTIDAENIDRILEIENEKAHFKLKPKSLFSSIKNALSSSDVPSKEPPSSFSCETQCFSPFKFSHEVEENSFFSVSSRGGSTKKSPFTPAKSDSTRSYFSGDSEYPSYMACTESSRAKMRSHSAPRQRPQYERSSSAKRGSAFIVGESRLTAQQVSTLRSNFIGKAYPGSGRLDKLGMPVGYRY >KGN50872 pep chromosome:ASM407v2:5:12464114:12466344:1 gene:Csa_5G308770 transcript:KGN50872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADHAAPDSTTSLSAAAAATTADSSSAPPIVITPVPKRAWGDEEDDDVVESGDSSSAPSEYLESLKIQDDTNLEEPIDSNITAVTTGDTPYSSASTFEDLNLSKELLKGLYVEMKFHKPSKIQAISLPMILTPPYKDLIAQAHNGSGKTTCFVLGMLSRVDVNLKAPQAFCICPTRELAMQNIEVLKKMGKYTGITSECAVPADSANYIPMSKRPPITAQVVIGTPGTIKKWMSSRKLGVSCVKILVFDEADHMLGEDGFQDDSLRIMRDIERSSPHCQVLLFSATFDENVKNFVSRVVKDYNQLFVKKEELSLESVKQYKLICPDELTKIRVIKDRIFELADKLGQTIIFVRTRNSAGMLHKALVDLGYEVTTIQGALTTEIRDKIIKEFKDGLTKVLISTDLLARGFDQQQVNLVINYDLPLKYEPSPQATKYRSSSLSEPNYEVYLHRIGRAGRFGRKGKFLCLLYLGTCFSRLL >KGN52594 pep chromosome:ASM407v2:5:27230108:27232989:1 gene:Csa_5G646680 transcript:KGN52594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANANMLWSSAKILGFPSPIASNVRWARFDYTFRLRHRPFEGSFFFFNSLRFNCSSSNANSVCNGKQYLVLSDEELMKQCEMGTFKASGPGGQHRNKRESAVRLKHIPTGIIAQAVEDRSQHKNRSSALARLRALLALKVRNPVDLEDYSPPPELLQILPLKSTVRPPECGPQIGPNNSKFLPGMQALLDLISTLDGSVSDTAKLLGLTTGALSRLILSDDALRMAVNDIRMSKGLKPLK >KGN52532 pep chromosome:ASM407v2:5:26759386:26779473:1 gene:Csa_5G642140 transcript:KGN52532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQSFVKLLDTIFLDDSTTTANTKKPFSSSDLLHLLRSDDSSIKLGLPQFYSILQLGLRDLGHRNFAFQSWTDPQIQAVCSIAYAIASASRSLTVDQAEAIVVAVIKKSLEFVFCYLEKSEFKCDDFSIQSLPVNTGCGNVCVTPLDKIWRRCSHTAFDWMHYKRMVRYKNNMLMILETILVDGMDKVSDCAQHCAKKDLIDLLKSFGGDFDATIEFNNTVECGFTGVCCSREEKQVGRLLMTIAAECEQADNLTSEPGFSEPTFLENMNKLIFLCQHWAVTHLACIQRLILICKDLVVLPDALDEKTGSTIFRKRLSCSLRILKLLADLSKKFPYIEYDAKLMQAFALLANSLPCLFGLCFEFANSHATGESSFENTILLLLEEFLELVQIVFRNIYVCVNIQTCIVASILDNLSSSVWRYDASTANLKPPLVYFPRGVMVIIKLIQDLKGHKYHAFSFKDLEMHHTSTLTDLSVDLPKCHARLEAVPLHKNYTVEEILRMIFPPSRQWMDDLMHLLFFLYSEGMRLRPKIERSLSSMKSSSTVEQEAAVCHEDEALFGDLFSESGRSVGSVDGYDLQHLAVNSTSSFCNLLLQAAKELLSFIKLCIFSPEWNASVFDDGCNKLNQNHIDILLSLLNCEGCCSDDKSSASCLPAHDERKSGHIHEICYRLLHGLLTRHALPDSLEEYLVKKILNAENGNSVYNDQTLSLLAHTLFRRTGVAGTQLRTQIYRQFVEFIIEKSKTISLQYSSLQEFMGTLPSVFHIEILLVAFHLSSEGEKREISSLIFSSIRAIDAPSTFSNCTELSMWGLLVSRLIVVLRHIIFHPHTCSSSLLFDFRSKLRDAPAFSSHLPYTVNDHLSSWGASVAKNIIGSSMESKPFLNSLINQLIDISSFPASLRQHDLTIECPWFNPSDIFSTFSWILGFWNGKQALTVEDLIIERYIFVLCWDFPSANALSRGGPLWSDPDALDISKTTCFFYFSYLLLDHGSVIGEHMKFSRVVIGLLQRLHGGSVLEDFKALGWNFLRNGTWLSLILSFLSVGISRYCSKNTIPTVGSFLTDTTVTDSEQANFAESLISSVITESQVPILIRELSSVLSMYLRVYQKAYVATLSSSNDHATEFSPLLLFKHSEFDKCVQNKTLENYGTTSCSLESVLNLMSRLDEIVDKRTLGFSSRVCWESMFHGFPSHLETSSGILLSCVLNIGRIISVLAGLLRLVDVKRSVILETEVTRGILDAVMTVKFDKTFESVHGLCDGIYKSLNVELDGCSYGVLFLLKQLEEYLRHINMRGVSDSTIHELVIVKVIDIMDSLRKDVSKSSVFQFYLGSADVPEQVRELYAFQHGNLLVLLDSLDNCFSELVNLKVLGFFVDLLSGEPCRKLKQEVQNKFLQMDLPSLSKWLEKRIFGLVAEDSSGVNVKGSSISLRESSMNFVFCLISSPTEPLALQLQSHIFEAALVSLDMAFMRFDISVSKSYFHFVVQLLKGDKSMKLLLERILILMEKLANDERLLPGMKFLFNFLEMILIESGSGKNVFERTAGKPLSRYAPEVGPLSSKSVGPRKNSETLVLSSNQEEGPASFDCDATSAEEDEDDGTSDGEVASLDKDEEEDTNSERALASKVCTFTSSGSNFMEQHWYFCYTCDLTVSKGCCSVCAKVCHRGHRVVYSRSSRFFCDCGAGGVRGSSCQCLKPRKFTGHGSAPVRGASNFQCFLPFSEEGDQLPESESDLEDDVSVTDTDKCLKPSVPMELLDGVSVLLEELNVEERMLELCSCLLPTITNQRDPDLSKDKKIILGKDKVLSYGLDLLQLKKAYKGGSLDLKIKAEYANAKELKSHLASGSLVKSLLSVSIRGRLAVGEGDKVSIFDVRQLIEQATVAPMTADKTNVKPLSKNVVRFEIVHLAFNPTVENYLAVAGYEDCQVLTLNHRGEVVDRLAIELALQGAYIKRMEWVPGSQVQLMVVTNRFVKIYDLSLDNISPMHYFTLPDDMVVDATLFTASQGKMFLIVLSENGRIFRLELSVLGNIGATPLKEIIHIQGREMSAKGLSLYFSSCYKLLFLAYADGTTLVGQLSPDATKLTEISFIYEEEQDKKLRPAGLHRWKELFAGSGLFVCFSSVKSNSALAVSMGAHEIYAQNLRHAGGSSLPLVGITAYKPLSKDKIHCLVLHDDGSLQIYTHTAVGVDASANATAEKIKKLGSGILNNKVYASTNPEFALDFFEKTVCITADVRLGGDTIRNGDFEGAKQSLASEDGFLESPSSSGFKITVSNSNPDIVMVGFRIHVGNTSANHIPSEITIFQRVIKLDEGMRSWYDIPFTVAESLLADEEFSVTVGPAFNGTALPRIDSLEVYGRGKDEFGWKEKLDAVLDMEARALGSNSLLARSGKKRRSIQCAPIQQQVLADGLKVLSSYYLLCRPQGCPKLDDVNQELTKLKCKQLLETIYESDREPLLQSAACRVLQAIFPKKEIYYQVKDTMRLAGVVKSTSVLSTRLGVGGAAGGWIIEEFTSQMRAVSKIALHRRSNLACFLERNGSQVVDGLMQILWGILDLEQPNTQTLNNIVISSVELIYCYAECLALHGPDTGRRSVAPAVLLFKKLLFSSSEAVQASSSLAISSRLLQVPFPKQTMLATDDGADIPLSAPVSTETPGTNPQVVIEEDAIASSVQYCCDGCSKVPILRRRWHCTICPDFDLCESCYEVLDADRLPSPHSRDHLMTAIPIEVESLGDGNEYHFATEDINDSSLTSVKSDIGVKNPASSIHVLEPADSGDFSASVTDPVSISASKQTVNSLLLSELLEQLKGWMETTSGVQAVPVMQLFYRLSSTMGGPFMNSLKSENLNLERLIKWFLDEINLNKPFEAKTRTSFGEVAILVFMFFTLMLRNWHQPGSDGTGAKSSTTADMHDKNSTQVAPSTSLTAQSSVDDQGKNDFTSQLLRACSSIRQQSFVNYLMDVLQQLVHVFKSSTIDYDSGHGFNNGSGCGALLTVRKDLPAGNFSPFFSDSYAKAHRTDLFIDYHRLLLENAFRLVYTLVRPEKYDKTLEKEKVYKIYSSKDLKLDAYQDVLCSYINNPNTSFVRRYARRLFLHICGSKSHYYSIRDSWQFSTEVKKLFKYVNKVGGFQNPMSYERSVKIVKCLTTMAEVAAARPRNWQKYCLRHGDVLPFLLNGIFYFGEESVIQTLKLLNLAFYTGKDIGHSAQKSEAGDTGTSTNKSGTQTVDVRKKKKGEDGSDSALEKSYLDMETMVNIFVDKGSNVLSHFIDCFLLEWNSSSVRAEAKGVVCGIWHHGKQTFKETLLMALLQKVKTLPMYGLNIAEYTELVTWLLGKVPDVGSKQQSSELLDRCLTSDVIRSIYQTLHSQNELLANHPNSRIYNTLSGLVEFDGYYLESEPCAACSSPEVPYSRMKLESLKSETKFTDNRIIVKCTGSYTIQTVIMNVHDARKSKSVKVLNLYYNNRPVADLSELKNNWSLWKRAKSCHLAFNQTELKVEFPIPITACNFMIELDSFYENLQALSLEPLQCPRCSRPVTDKHGICSNCHENAYQCRQCRNINYENLDSFLCNECGYSKYGRFEFNFMAKPSFTFDNMENDEDMKRGLTAIESESENAHRRYQQLLGYKKPLLKIVSSIGENEMDSQQKDSVQQMMVSLPGPSCKINRKIALLGVLYGEKCKAAFDSVSKSVQTLQGLRRVLMTYLHQKHTDDGFPASRFVISRSPNNCYGCATTFVTQCLEILQVLSKHQSSKKQLVSLGILSELFENNIHQGPKTARIQARAVLCSFSEGDVNAVSGLNNLIQKKVMYCLEHHRSMDIALATREELSLLSEVCSLADEFWEARLRVVFQLLFSSIKSGAKHPAIAEHIIHPCLRIISQACTPPKSETVDKEQRTGKLTSVSQNKDENATNISGSFSGPVIGNKSAPESLEHNWDSSHKTQDIQLLSYAEWEKGASYLDFVRRQYKVSQVFKGTVQRSRTQKGDYLSLKYALKWKRFVCRSAISDLSAFELGSWVTELVLCACSQSIRSEMCMLISLLCSQSSSRRFRLLDLLVSLLPATLSAGESAAEYFELLFKMVDSEDARLFLTVRGCLRTICQLISQEVSNVESLERSLHIDISQGFILHKLIELLGKFLEIPNIRSRFMRDNLLSEVLEALIVIRGLVVQKTKLISDCNRLLKDLLDSLLLESNENKRQFIRACICGLQNHGEERKGRTCLFILEQLCNLISPSKPEPVYLLVLNKAHTQEEFIRGSMTKNPYSSAEIGPLMRDVKNKICHQLDLLSFLEDDYGMELLVAGNIISLDLSIALVYEQVWKKSNQSSNAISNTAIISTTAARDSPPMTVTYRLQGLDGEATEPMIKELEEDREESQDPELEFAIAGAVREYGGLEILLGMIQRIWDNFKSNQEQLVAVLNLLMHCCKIRENRRALLRLGALGLLLETARRAFSVDAMESAEGILLIVESLTIEANESESISIGQSALTVTSEQTGTGEQAKKIVLMFLERLSHPFGFKKSNKQQRNTEMVARILPYLTYGEPAAMDALIQHFTPYLNDWDEFDRLQKQHEDNPDDKSLSEQAAKQRFTVENFVRVSESLKTSSCGERLKDIILEKGITGLAIKHLRDTFAVAGQTGFRSSVEWGFALKRPSIPLILSMLRGLSMGHLATQRCIDEGRILPVLHALERVPGENEIGARAENLLDTLSNKEGNGDGFLEDKVRMLRHATRDEMRRLALKNREDMLQRLGMRQVASDGGERIIVSRPALEGLEDVEEEEDGLACMVCREGYSLRPTDLLGVYSYSKRVNLGVGTSGSSRGECVYTTVSYFNIIHYQCHQEAKRTDAGLKIPKKEWEGATLRNNESLCNSLFPVRGPSVPLAQYIRYVDQHWDNLNALGRADGNRLRLLTYDIVLLLSAFQ >KGN52112 pep chromosome:ASM407v2:5:23775831:23776058:-1 gene:Csa_5G610460 transcript:KGN52112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIQQTATAHTPSHAAQLEPISVLSCQPPSSPGSEPGPALVLSSVFHGYFHNWSLHLHGNLIPWPKLEFILLLRP >KGN49955 pep chromosome:ASM407v2:5:4055760:4056078:-1 gene:Csa_5G146290 transcript:KGN49955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLICLFATAQSGFLALIFATSPDKWKLQMGCKAFNRPIQGGVFPTRLVHQLQWTSFYFYVFSEQLHLER >KGN50405 pep chromosome:ASM407v2:5:7026058:7033141:1 gene:Csa_5G172850 transcript:KGN50405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAPTSIHLFRQNHTAVTVAFHQFVQTINGVNQPSGAQRRIRVVKSKKNVKKPNVLEVSSPSTAPKISVSTSGSLASETKARPKRRELEEKKKKDREVNVQGIYQNGDPLGRRELGKSVVRWIGLAMRAMASDFAAAEVQGDFPELQQRMGQGLTFVIQAQPYLNAVPMPLGLEAVCLKASTHYPTLFDHFQRELRDVLQDLQRQSLFLDWRETQSWKLLKKLAHSVQHKAIARKISEPKVVQGALGMDLKKAKAIQNRIDEFANRMSELLRIERDSELEFTQEELNAVPTPDESSDNSKPIEFLVSHGQAQQELCDTICNLNAVSTSTGLGGMHLVLFRVEGSHRLPPTTLSPGDMVCVRVCDSRGAGATSCMQGFVNNLGDDGCSITVALESRHGDPTFSKLFGKTVRIDRIPGLADTLTYERNCEALMLLQKNGLHKKNPSIAVVATLFGDKEDIKWMEDNNLIGLADTNLDGIVFNGDFDDSQKSAISRALNKKRPILIIQGPPGTGKTGLLKELIALAVQQGERVLVTAPTNAAVDNMVEKLSNIGINIVRVGNPARISSSVASKSLAEIVNSELSSFRTDIERKKADLRKDLRQCLKDDSLAAGIRQLLKQLGKSLKKKEKETVKEVLSNAQVVLATNTGAADPLIRKLEKFDLVVIDEAGQAIEPACWIPILQGRRCILAGDQCQLAPVILSRKALEGGLGVSLLERAATLHEGALTTMLTIQYRMNDAIASWASKEMYDGILESSPTVSSHLLVNSPFVKPTWITQCPLLLLDTRMPYGSLSVGCEEHLDPAGTGSLYNEGEADIVVQHVCSLIYSGVSPRAIAVQSPYVAQVQLLRNRLDEIPESAGIEVATIDSFQGREADAVIISMVRSNNLGAVGFLGDSRRMNVAITRARKHVALVCDSSTICQNTFLARLLRHIRYFGRVKHAEPGSFGGSGLGMNPMLPSIN >KGN52292 pep chromosome:ASM407v2:5:24889335:24891834:1 gene:Csa_5G623560 transcript:KGN52292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGHVWLEGTSMAGMFNGEGSSSVTSSPLQFFPWSLSPGIGSPYPFLRELKSEERGLCLIHLLLGCANQVAIGNIENANVGLEQISHLASPDGDTMQRIAAYFTEALADRILKSWPGLHRALNSTKILSVPEEILAQRLFFELCPFLKLAYVMTNQAIIEAMEGERMIHIIDFKSCEPAQWINLLQTLKDRPDGPPHLRITGIHEQKEVLEQMALRLTEEAEKWDIPFQFTPVVSKLENLDLESLRVKTGEALAVSSVLELHSVLATDDDKKTSPPASKNLQKLLRMKQRTLGEWLETDSLQVFSSPDSASVSSPSGLNPSQKMNSFLTALWGLSPKVMVITEQESNLNGSAFMERVLEALNFYAALFDCLESTVSRSSIERQRVEKMLLGEEIKNIIACEGAERTERHEKLEKWMLRLESVGFGKVPLSYHSMLLGSRLLQSYGYDGYKIKEENGFLFICWQDRPLFSVSAWGFQRQSS >KGN52188 pep chromosome:ASM407v2:5:24207650:24209858:1 gene:Csa_5G614640 transcript:KGN52188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSNPAVFVNGELLSMHCGRKIRAVVQVIQSDGGIVTGKSTDEQQLTIHGLPTVPHMNFVEVVGIAESNKTIKVEDWTDFGTTFDTASYNQLCQLANGEFRSLFI >KGN50049 pep chromosome:ASM407v2:5:4722482:4723262:-1 gene:Csa_5G152140 transcript:KGN50049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKEQVKPLASASAELRSDDHIFLPPPDPKLHLHRNKYIMCCGCFAALLLILAVIGIVLGFTVFHIKTPDIKIDSLSFPNDTLSSNSGIIVVASVSVRNPNVASFKYSKASIEIYYHDKVIGEGETPPGEVKAKDTLRMNVTVEIEPWKMDDASSLIKDWNSGSLSISSYTEIPGRVKILGSIKKNYLVKISCSLTYNSKSKTIQGQDCDQRVRISV >KGN50488 pep chromosome:ASM407v2:5:7634073:7638858:1 gene:Csa_5G177080 transcript:KGN50488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSPWLSPKQNLIRFTTPTASPRYNLPLIRSNFANALAGGSADMAVNSVRVAVAQMTSVNNLSSNFATCSRLVKEAVSAGAKLICFPEDFSFMPASEGESQKIAEPLDGPIMNQYCSLARESRIWLSLGGFQEKGPDDQHFYNTHVIVDDTGTITSSYRKIHLFDVDVPGGRVYKESSYTKAGEHIVAVDSPIGRLGPTVCYDLRFPELYLQLRFQHNAQVLLVPSAFTKETGEAHWEILLRSRAIENQCYVIAAAQAGKANEKRESYGDSLIIDPWGKIVGRLSDRLATGIAVADIDFDLIEAVRTRLPIAQQRKPFDFWKPAST >KGN50923 pep chromosome:ASM407v2:5:13171106:13171724:-1 gene:Csa_5G333040 transcript:KGN50923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSLPLHFLFTVAALLTSPVSSHFSSTTSSPSPDSSPSLSDWRSARATYYAASDPRDAVGGACGYGDLVKAGYGMATVGLSESLFERGQICGACFQLRCVEDLRWCIPGTSIIVTVTNFCAPNYGFTAEGGGHCNPPNKHFVLPIEAFEKIAIWKAGNMPVQYRRYILHSFFLPAAFIFFCLV >KGN50181 pep chromosome:ASM407v2:5:5586914:5588283:1 gene:Csa_5G157400 transcript:KGN50181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEQNDGTSYQPSEPKLCVNNCGFFGSAGTENLCSKCYRDSRIKEEQAASAKAAMEKSLQSKILKPAEGNSPVVFSDSSVDSASSSSSTGNSLGSSHKSPSPDVPNRCRSCNKKVGLMGFKCKCDLTFCGIHRYPEKHNCCYDFKSAGREEIAIANPVVRADKVERF >KGN50973 pep chromosome:ASM407v2:5:14076841:14077519:-1 gene:Csa_5G381790 transcript:KGN50973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSVLRKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKA >KGN51233 pep chromosome:ASM407v2:5:17442196:17445579:1 gene:Csa_5G496490 transcript:KGN51233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELNTLTSSDHIFYWEIEKLVGDEGRWFSTMKIEESFTTDPEEDKESGFELPPVSLHILLSNLGVS >KGN51418 pep chromosome:ASM407v2:5:18990562:18991098:-1 gene:Csa_5G534470 transcript:KGN51418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIFKGSKPSFLSFLTIFSSREKSREKHGESLVFFEVWKPQQRVVWPSINWVFKQEASKRLLSLASYTHRGKVKSLRFRSNYEKLGRSSYKQVMVVETPIEFKSKKK >KGN52604 pep chromosome:ASM407v2:5:27278431:27281887:-1 gene:Csa_5G647270 transcript:KGN52604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPSNLASQFGDTTYTKVFVGGLAWETHKDTMKKYFEQFGDILEAVVITDKATGRSKGYGFVTFREPDAAMRACVDASPVIDGRRANCNLASLGVQRSKPSTPKHEKKKWELTGGGGGRNNFRVLSSNFQSGFGGNVGSAFHSPTTTFPHYAIQQGIPYNLYGYSSYSPDYTYPTSYYNVYGGATAQYPMYGTGPGGILSGAAAAAAAFYPYFQFGEGSGGGGSGQQGYGVQYPHHLFQYSAAINSTAATTFPQHYAAPPMSLPPTPPTLPSVFFAIPQA >KGN51179 pep chromosome:ASM407v2:5:16789083:16790527:-1 gene:Csa_5G478620 transcript:KGN51179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPEIVGDPMEPQCLFDAVNLILSLQAKNGGMAAWEPTGTVPAWLEKLNPVEFLEYTVLEKEYAILRYDKIKLADH >KGN50882 pep chromosome:ASM407v2:5:12648206:12650887:1 gene:Csa_5G312320 transcript:KGN50882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPILDQQPLLFPAVDDLSSAVPAFASTPLLRKKRMPRNRRPSSSSSSSSSSNLPTFSFPSSSSSSTPHLPSPRVIDPRKLKFLFQKELKNSDVSSLRRMILPKKAAETHLPALESKEGMMITMDDLDGVHVWNFKYRFWPNNNSRMYVLENTGDFVNAHGLHLGDFIMIYQDCEEHNYVIQAKKASEQEVYTDITTNDIVINNDDIVFEDFDASKAASSIYVPSPSMEHPVSSFIYDTSCAFDNDSPFDFMTGSMTNYSRMGALEGFGSVENLSLDDFY >KGN51825 pep chromosome:ASM407v2:5:22165381:22168180:1 gene:Csa_5G602730 transcript:KGN51825 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin MtN21 family protein MEVKGGGILEMVVPFLAMVTMEGCTIALTILAKTAITYGMSTFVFVVYTNAVASIILLPYSFIFHYNQRLEFQQSLFSFPLLLRVFLLGLTGICISQNLAFLGLSYSSPIVVCAMGLMLPAISFLLSILLGKTKMEWKNPNFITKVVGTVISVVGATCEEVYLGPTVRQHPSSSTHLQFKQKLLVFTSTTDRWIFGGLLLAAATLCVSIWNIIQLGVVKQYSQVMKVGSFYSIVGTFMSAIVAYFVVNDSSAWTVKSSFDLYLIIATGTFSGLIRNRVQIWCMQKKGPYYVPMFKPFGILFATFFGATFFGDTFHYGSVMAAFIAGMGYLTVMWGQINEDRGVGKDKDINKNNDDSLSSAKVPLLDDEESNV >KGN51068 pep chromosome:ASM407v2:5:15490823:15495637:1 gene:Csa_5G427940 transcript:KGN51068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAHDSDDRNTTVPVEEEDASSHQSKGVCTEDQTVYEPLRRLIAEIFFPDEIKGSLFHRVKVSVTDNGPAVAQACRNFGRDVLSWTRRGSPLRALLVISVGTIVLLAMTGSLIFLFFFLAATLNAIIISLLVSLAAVGGFLALFFACVTAIYVGALGVALFVISTATISAIVAVVIAAGWIGFFCMVWLAIRKSFGLAKRSVSASNSAISAFSYARRAHKD >KGN50684 pep chromosome:ASM407v2:5:9404353:9407904:-1 gene:Csa_5G211570 transcript:KGN50684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISLPTFFQERKFPFLFTLSLLLVCFLFLFFTDSLTLNPLVRTYSSLRSPSSSSSPTTHAVHLNPSSSDFSSSFAHTLTWKLCDGSVAVDFIPCLDNSKAIKALQSRKHMEHRERHCPRPSPRCLIPLPLAYKVPVPWPKSRDMIWYDNVPHPKLVEYKKDQHWVVKVGEYLNFPGGGTQFKDGVDRYINFIQETLSDIKWGENIRVILDVGCGVASFGGYLLQKNVLAMSFAPKDEHEAQIQFALERGIPATLSVIGTQRLTFPDNAYDLIHCARCRVHWDADGGKPLLELNRILRPGGYFIWSATPVYRDDERDKNVWNAMVLLTKSMCWKVVKKTSDSSGTYAPLTRCISQLPVDNKGQYFNWPSPWPQRLTSKPPRLSVEPSAEEKFLEDTKQWSTVVSDVYLDKIGVNWSTVRNVLDMNAGYGGFAAALIDLPLWVMNVVPIDEPDTLSIIFDRGLIGLYHDWCESFNTYPRTYDLLHSSFLFTSLKKRCDVVATVVEMDRILRPGGYVLIRDNMEAIKVLGSIFHSLQWSVSVYQDQLLVGKKGFWRP >KGN52432 pep chromosome:ASM407v2:5:26023637:26024433:-1 gene:Csa_5G633790 transcript:KGN52432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLAKMNKIVGRTWNIGPLCLYQCSFEATTNGQTQQPTNQAIGPLWLEWLEGKLRQGDNVLYMAFGTQSEISSEQIKEIEIGLEESGVNFLWVRKKVEEEKETMEDKGFEERTKERGIIVIESLSCGVPILTYPLMADQSLNARMVVEELRAGMKAVEGRSLMKGFVKGKDLKRHVKELMEGEEGKEARKKAMEI >KGN50865 pep chromosome:ASM407v2:5:12282845:12296296:-1 gene:Csa_5G292220 transcript:KGN50865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEREPVRIEVPEIRRIRSLSSSFRRQASSFRSNSTASLEEEHERDTIDASLWATVERLPTFERLRSSLFEDKREVEVDENGGRRVVDVTKLGDVERHLFIQRLIKHIENDNLKLLTKIKERIHKVGVKFPTVEVKYKNVHIEAEYEIVRGKALPTLWNSFQSNLFDIMKLCGSKSHEAKTNIVEDVSGVIKPGRLTLLLGPPGCGKTTLLKALSGNLNKSLKMRGQICYNGQKLEEFVPQKTSAYISQYDLHIPEMTVRETLDFSARCQGIGSRADMMKEVCKREKEEGIIPDPDVDTYMKAISVEGLRQSLQTDYILKILGLDICADTLVGDVMRRGISGGQKKRLTTGEMIVGPNRALFMDEITNGLDSSTAFQIVSCLQHFVHLSDATILISLLQPAPETFELFDDLILMAQNKIIYHGPCNQVLEFFEDCGFKCPKRKGVADFLQEVISKKDQPQFWYPNHIPYAHISIDTFRKNFKSSSFGRKLEEELSKASSFDNDKGDKSGSFHFDHNVSKWEVFKACASRELLLMKRNSFIYVFKTTQLIVIGSITMTVFLRTRMGVDLEHSNYYMGALFFALLLLLVDGFPELAMTIQRLEVFYKQKEFYFYPAWAYVIPAAILKIPLSLLGSLVWTSLTYYVIGYTPEASRFFRQLITLFAVHLTSLSMFRLVAGVFQTNVASMAVGSFAILTVLIFGGFIIAHRKFINFTIKTLSHTYFKIKEKTVENIYSIE >KGN50026 pep chromosome:ASM407v2:5:4570257:4572900:1 gene:Csa_5G150440 transcript:KGN50026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPPSKRRRKSLTIDDAFETLLATSSSVNRLSPVVVFAHGAGAPSSSEWMIRWKDMLGKALHAVEVVTFDYPYISGGRKSPPKAEKLVPHHVEIVKRATAKYPGHPLVLAGKSMGSRVSCMVACEEDIHPSAIICLGYPLKGLKGDVRDQTLLQVTVPIMFVQGSRDALCPLEKLEDIRKRMKSISGLHVIDGGDHSFQISKKYLQGKGSSKDEAESLAAQALATFVSGFLGWL >KGN51171 pep chromosome:ASM407v2:5:16659763:16661486:-1 gene:Csa_5G471100 transcript:KGN51171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAEGFKILVEDTKHELIVDHHENLSIHSLALERKEEFAKKKNLNPIVRPSFFPNPTSSDFLPQSHANAPHRRALSISLSAAATRQHPSTILTLPLAVVHSNLHLLPPSLTRSPLRFFRFSQLRVLHKFF >KGN49716 pep chromosome:ASM407v2:5:2506481:2506717:-1 gene:Csa_5G082800 transcript:KGN49716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDPIVASLCVVVANSTVPFLHVAFDNPTATSFRVIVTTLPIHHVVSFLLLSTLSSSSMCLPKSNHHVVSSSNLADVV >KGN51750 pep chromosome:ASM407v2:5:21676110:21676292:1 gene:Csa_5G598090 transcript:KGN51750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERNSGGGGGGGGGEGRVKPESEKRRSENSADSVESEETEMAKYAERDP >KGN51767 pep chromosome:ASM407v2:5:21820846:21826513:-1 gene:Csa_5G598750 transcript:KGN51767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQKALDYESINENVKKAQYAVRGELYLRASELQKEGKKIIFTNVGNPHALGQKPLTFPRQVVALCQAPFLLEDPNVGLIFPADAIERAKSYLSLIPGGLGAYSDSRGIPAIRKEVADFIGRRDGSPSDPELIYLTDGASKGVMQILNTIIRGEGDGILVPVPQYPLYSATIALFGGSLVPYYLEETANWGLDINDLRQSVVQARSKGINVRAMVIINPGNPTGQCLSEANLREILNFCFQENLVLLGDEVYQQNVYQDERPFISSRKVLLDMGPPISNELQLISFHTVSKGYWGECGQRGGYFEMTNIPPRTVDEIYKVASISLSPNVPAQIFMGLMVNPPKPGDISYDQYISESKGILESLRRRARIMTDGFNSCKNVVCNFTEGAMYSFPQIQLPPRAIEAAKQLGKVPDVLYCLKLLEATGISTVPGSGFGQKEGVFHLRTTILPAEEDMPEIMTSFKKFNDAFMEEYGA >KGN49568 pep chromosome:ASM407v2:5:249310:251903:1 gene:Csa_5G003610 transcript:KGN49568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNGLVKHLKGDLLFLDSSPFSKLLNQCARSRSARDTSRVHACIIKSPFASETFIQNRLIDVYGKCGCVDVARKLFDRMLERNIFSWNSIICAFTKSGFLDDAVHIFEKMPQVDQCSWNSMISGFEQHGRFDEALVYFAQMHGHGFLVNEYSFGSALSACAGLQDLKLGSQIHSLVYRSNYLSDVYMGSALVDMYSKCGRVEYAQSVFDEMTVRSRVSWNSLITCYEQNGPVDEALKIFVEMIKCGVEPDEVTLASVVSACATISAIKEGQQIHARVVKCDEFRNDLILGNALLDMYAKCNRINEARIIFDMMPIRSVVSETSMVSGYAKASKVKVARYMFSNMMVKDVITWNALIAGCTQNGENEEALILFRLLKRESVWPTHYTFGNLLNACANLADLQLGRQAHSHVLKHGFRFQYGEDSDVFVGNSLIDMYMKCGSVENGCRVFQHMLEKDCVSWNAMIVGYAQNGFGNKALEVFCKMLESGEAPDHVTMIGVLCACSHAGLLDEGRYYFRSMTAQHGLMPLKDHYTCMVDLLGRAGYLEEAKNLIEEMSMQPDAIVWGSLLAACKVHRNIQLGEYVVKKLLEVDPENSGPYVLLSNMYAENRDWKNVVRVRKLMRQRGVVKQPGCSWIEIQGELNVFMVKDKRHARKKEIYMVLRTILQQMKQAGYVPYVGSNEFDEDEEQ >KGN52446 pep chromosome:ASM407v2:5:26146505:26151100:1 gene:Csa_5G635410 transcript:KGN52446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLAPNDSKVETWIEGKSRTASEKKKKKENELEEETGCWVKLKFIGSCIPSRSKVDSSLSGSKVDSFISGTSTHCDSKSKLDEKRDILATALGSSATTDNAESSSSTPKLSAELKVASRLRKFTFNELKLATRNFRPESLLGEGGFGCVFKGWIEENGTAPAKPGTGLTVAVKTLNHDGLQGHKEWMAEVNFLGDLNHSNLVRLIGCCIEDDQRLLVYEFMPRGSLENHLFRRPLPLPWSIRLKIALGAAKGLAFLHEEAERPVIYRDFKTSNILLDADYNAKLSDFGLAKDGPEGDKTHVSTRVMGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMLTGRRSMDKNRPNGEHNLVEWARPLLGDKRKFYRLIDPRLECHFSIKGAQKAAELAAHCLSRDPKARPPMSEVVEILKPLPNLKDMASSSYYFQTMQADRARSTPNAKSVVRTQSMFVARNGQHIRSLSSPNGPHASPYNHPNQSPKPDRR >KGN51495 pep chromosome:ASM407v2:5:19905779:19906786:-1 gene:Csa_5G570400 transcript:KGN51495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRLIPSLNRVLIEKIVPPSKTSAGILLPESSSKLNSGKVIAVGPGARDVSGNLVPVCVKEGDTVLLPEYGGTSVKLGEKEYASTFLALFVETMVFDSNFPALFIPTISILLEIAVYLCQIHTSLSSICLEMKTFWELYMMIDYQSNSTVDCLCFLLELHSAFLFLMVWRSGNYCSLRVLGLSEDFKS >KGN50461 pep chromosome:ASM407v2:5:7428407:7428789:1 gene:Csa_5G175840 transcript:KGN50461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLVVVISLPLIFFCLLLGFGCYFLGRAKGRQDIRTNAQIFGVPTPPPGSGAAHSPSSLQPVFKPENTTNV >KGN50297 pep chromosome:ASM407v2:5:6357833:6359813:-1 gene:Csa_5G166410 transcript:KGN50297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKQPNTGLFVGLNKGHIVTKKELAPRPSDRKGKSSKRVLFVRSLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKKKREEMSSVLRKMRAGGGAEKKK >KGN50926 pep chromosome:ASM407v2:5:13290567:13292305:1 gene:Csa_5G346540 transcript:KGN50926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLKKLRVCIVSLEPTETKESLVANLANFSYDPYNYSFLRQLNVLELFLDCMTEPNEKLIEFGIGGICNSCVDPANASIITQCGGIPLIIECLSSPVKNTVNYALGAIYYLCNASNKEEIMKPEVVDVINKYAVAESVSFSNLAKAILDKHLSNRN >KGN49790 pep chromosome:ASM407v2:5:3158706:3160449:1 gene:Csa_5G128280 transcript:KGN49790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQALGYEFDNEEFHGYVHGALPYDSLKPDPVLRNLLLSLPQRKIIFTNAEKGHAAQVLERLDLEDCFEGVICFEILNNNNNNPSLESKFNGGNDIIICKPSIEAIQAAVKIADTQPNKTIFFDDSVRNMENGKAAGLHTVILVPGADHALGSIHNIKEALPELWEDEDENDQPEQAMQAPVVETMVLV >KGN49733 pep chromosome:ASM407v2:5:2693816:2695298:-1 gene:Csa_5G092910 transcript:KGN49733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDDALNMRNWGYYEPSFKGHLGLQLMSTISERDMKHFLPGRDPSVMVNANGSFHPRDCVVSEAPVHMNYVRDNWGGNRDRFLNMLPTNHSYPVMPETSGAHSLQILQPPSSSRDEIAASRVEEPPVKKEGGKAKKRQSSEAGPKAPKAKKPRKPKDTSTAVQRVKPPKKNIDLVINGIDMDISCIPIPVCSCTGAPHQCYRWGCGGWQSACCTTNISTYPLPMSDKRRGARIAGRKMSQGAFKKVLEKLAADGYNFANPIDLRTHWARHGTNKFVTIR >KGN49681 pep chromosome:ASM407v2:5:2018009:2018507:1 gene:Csa_5G065150 transcript:KGN49681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGLTFSPNFGINTFIPTSNMNDSNCDSSSIDLSDSNYPTIRYEVSKYTHSNATVSIPINNKSNGETKSDLNRAGEAGQPTENLQVLQSHGLKLLSPFNASALS >KGN51052 pep chromosome:ASM407v2:5:15204229:15206564:-1 gene:Csa_5G420350 transcript:KGN51052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLFFCSYSGPLRRTQLQLHIFQIHLRTYVDGTINWVRDRGLDHAVEREKNLLPVMNIKDFIKSEPSKSVPLSIITQKREILRIPTRPIDLIRKYPSIFEEFLPGGIGIQPHVKLTSKVLELDAEEQLTYQTSTCRQQAADRLVKLLMLSRVHKVPVSIIDQLKWDLGLPKDYVESIVPDFPDYFKVVGHQNFASGSGDMRVLELVCWNNELATSVIEKMAVKVKPDKSKGMYITFPMKYSNGFEMDKKFKKWVDEWQKLPYISPYENASHLSPNSDESDKWTVAILHELLHMLVTKKTEKENILCIGEYFGLRSRFKRALLHHPGIFYISSKAGTYTVVLKEGYKRGSVVESNPLMNIRNKYLHLMNTVEEDSKTTTKHIDTRQQKQEQKEESNHAPGEQNEAELLNSSDDEDEDENTSSHRNVCADQRDNIRGDRRGNVRCDRRGNVRGDRRDNVRGERRDNVRGERRDHVRADRRDHVKMS >KGN52091 pep chromosome:ASM407v2:5:23666889:23668701:1 gene:Csa_5G609770 transcript:KGN52091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKCRFLIVAFLSLVFIFPVSFGWGIDGHFTVCKIAQSRLSKAAADAVQELLPESAQGDLASVCIWADRVKFRYRWSPPLHFIDTPDSLCTYQYDRDCKDEAGEKGRCVAGAINNYTSQLLTYNAQPSNSEYNLTEALLFLSHFMGDIHQPLHVGFTGDRGGNTIDVHWYTRKQNLHHIWDSNIIETAEGKFYDFSVDGLVDAIQTNIKNEWADQVEEWEKCGSDEVPCTEMYVAQETVC >KGN52012 pep chromosome:ASM407v2:5:23174139:23176397:-1 gene:Csa_5G608000 transcript:KGN52012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLDLGVLLRTLGGVVCLNNHLDNIGAYDIKSNFSVPFGVSRLYYFNPPARIPCLTISNLLDKPSEATTIKRIPNMLPGEISGIQFFPSENSFQFPSNIDMMQNSFQTLHHFNGFLGNLPMSHVPHPSHEFLKQSSSFSYNSTSDDAEEQQKSIIDERKQRRMISNRESARRSRMRKQKHLDELWSQVLRLRTENHKLIDKLNHVSDNHEKVLLENARLKEEASDLRQMLTDLQIGSPYTPCLSILEDIPCNTAHLRAESSSCQSIANSIDNLLH >KGN50803 pep chromosome:ASM407v2:5:11155762:11162372:-1 gene:Csa_5G266320 transcript:KGN50803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDLEAQFTDVPDSLANITTKSYPLVITFYKFLMMLDRTLCNSYFQRFCDARQLLYGQNYGSRSIALQSFIRKNEVTYDRFSSSYWPHFNTQLTKKLDCSRVFTEILSHIKGDPRAIDASDGKLSKEDYLLLSQGRTSSLTRQERETIYEIFQSYEKLKMENREFDLGDFVIDLHHRLRTQGYEGDEMDFIYIDEVQDLSMSQLALFSYVCRNVEEGFVFSGDTAQTIARGIDFRFQDIRSLFYKKFVLPKIRSGGREREGKGHISEIFHLSQNFRTHAGVLNLSQSVIDLLYHFFPQSIDILKPETSRISGESPVLLECGNNENAIKMIFGNRSKVGSMEGFGAEQVILVRDESAQKEILNIVGKKALVLTILECKGLEFQDVLLYNFFGSSPLKNKWRVIYNYMEELGMLDSNLDQSIPQFSMSKHNILCSELKQLYVAVTRTRQRLWFCEDTREHSEPLFDYWKGKCVVQVQQLNDSLAQSMLASSSREDWRSQGFKLYHEGNYKMATMCFERAEDDYWEKRSKASGLRAFAEHIHKANPVEANSILREAAVIYEAIGKADSAAQCLFDIGEFERAGVIFEDNCRKLERAGECFHLAKCYDRAADVYARGNFFSACLNVCSEGKLFDIGLRYILSWKQDAGCDHHGFKSKKIENLEQEFLEKCALHFHYCKDSRSMMKSVKSFRTVDLMRGFLKSLNCLDELLLLEEELGNFLEAVKIAKSKGDLLHVVDLLGKAGNFSEASKLLVQYVLANSLWSPGCKGWPLKQFKQKEELLKKAKFLAENDSKKLYDYTCTEADVISNENVSLEALAGYLTATKNQKSFRGEMICLRKMLDVHLNTSKYTLEDELVSDLTKHSKEVVLKNQVSLETLVYFWHCWKDRILSLLESLTFHGGNAVDIYPYNEFCLDFFGVWRLNNSHILLNSNADWAKNVDERFFHRNGKLVSIDAAQFYLFSKNYWTTELRTSGLKVLEKLDCLYKFSNKCQLTTFHLCRLLSRMFEVAKFLLETTHLNHGYHDKQMLLRFYKLATGEIQSHFFPPDCQVSLKESLICLRLTDVCQNMMTETIMENVQLTIRPTYGKIGRVAMLILGSRKLDKKLCKSIFNWLRENYPWSSFIQELCNSKSVENEPRGNLAKEMALVWRFHEALRDMYNANWVLERDYISPFSFMYLVERLLIMVSSMKGYFITTKFSFIEWLICHEENSNLTYILGAQTQHSFQATVKFLANILQHLLFDVKTTKDWTRKTHPNLKEYYPILVRRLVAVTCLLNLNFGICFDVLRNLLGRNYITDCLPSEFCDALGRKNFFCVETDKMNKFAGFFKAIGNPMVIVSSGGDCKQFKCRDATHVNLKISRCINDIMKVLFPKEAKSMQIRADTPKFQDVTTTTSEMQSSKGCDPGEVTQLPSSSLALDKCKETQEMKSDCENEGNLPKAAGYWEMFEALTSVDEKSKMWNASKVKMDVDKWVQHLTAAKSKAAEKEVPLEKVDGLLNELCLLSTALSMSKPEENATEVISISKSLYGRRTELGSIFSNLLSDDPEMEVGQMSGIKNAEGDENVNPDCNDESPEECREVEAVKALKVEPVLPQKGKGKGKNKPKKKKKSGRK >KGN51563 pep chromosome:ASM407v2:5:20457095:20457578:1 gene:Csa_5G579010 transcript:KGN51563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHSCIKHLGNRFELLEDIPYLSAAVNLEYLYLRGCVSLKMIHESVASLNKFIILDLEGCVNLEKLPSYIRLKYLDSFCLSGCRKLQRVPEFDENMKSLTRMILDYTAHRGVTCID >KGN51967 pep chromosome:ASM407v2:5:22935306:22935653:1 gene:Csa_5G606580 transcript:KGN51967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKASPSLSSSSSSFSAIGHLFIMIILIVLLIFVTSSSSTAMPDASPTTSLPELQLHPCDALTHETSRSLCIHLHTVYQHRLPVHVLPPLLTRNEIDPRYGVEKRLVPSGPNPLHN >KGN52000 pep chromosome:ASM407v2:5:23098164:23099581:1 gene:Csa_5G606900 transcript:KGN52000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPGVPPFDAEPSATSFTNLLSPPHPSLFHPTLLISIYFSSSNGSPFPSRVKRETQKPKGLKQIGRRGFLSDFAFLLRRFFPAIFLDFIFIHSRHLILFCRIGYVTDSDEFWCLPLQNVVLSLVSFGSSLLPRGEGEGGGRCASFTDFVLLLGFVRMRISFRSFVCLHDEVIICCCESGEMDL >KGN50290 pep chromosome:ASM407v2:5:6317563:6318615:1 gene:Csa_5G165850 transcript:KGN50290 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing transcription factor MYHTTKSESDFCFLDPIRRHLLGESDISAAAAAPLGRPTPVFTRSGSFSSLIPCLEDNWGDLPLKVDDSEDMMLAAVLRDAVGVGWVPSLGSCDFGFSEVKSEPEVIPLSFPAVLPNVTMKPTVVPEKGKHYRGVRQRPWGKFAAEIRDPAKNGARVWLGTYETAEDAALAYDRAAYRMRGSRALLNFPLRVNSGEPEPVRVTSKRSSPEVSSSPKRRKKVGSAVESVGVQVEQQVASFTHGGQLFVSQC >KGN50499 pep chromosome:ASM407v2:5:7716028:7717303:1 gene:Csa_5G177670 transcript:KGN50499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDIPSCDPSDDDYIDIEIGSYTNFRCCSKSSPRQSREFEFQMSLNSRGREPTTSPADELFYNGKLLPLHHPPRLKMIEKLLQKSSSDYDYRKDTFEEFYTTPLTTSVNTPTSTPFDSCNISPSESFRISRELNPDEYLLEYSADVSYLNGESSKKSWTKKLKYSTLCLKLKASRTYIKSFFTKSGCSDESCAAAAKIAHEGSASKPNECSKKGAKVEKRKPFGPISSSIANSNKDKGNTENSSRNRRSFSMVIKRQPIIKSLSSAPLHPTSSSCPNISSGNYLKRCGSVNSEIENSIQGAIEHCKQSQSQQPLRSRKTVSEVGIYLLSSSTLTVSDDQEIPELGRG >KGN50115 pep chromosome:ASM407v2:5:5169040:5173322:-1 gene:Csa_5G154770 transcript:KGN50115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESFTSLVVFLSLILGVLFEACDSISSNEVMVLGAFRSEVFEDPYQVFSNWNSLQPDPCLWSGISCSPTRDHVIKINISYSAIKGFLSGDLGQLSFLEELIVHGNKLHGPVPKELGYLKNLRILDLGMNQLTGPIPSEFGNLTKLVKINLQSNEFTGKLPPELGNLRCLEELRLDRNKLGGTVGHSDHTELYATKTNLTGFCGSSQLRVADFSYNFFVGSIPKCLEHLPGSSFQGNCLHKINSKQRPSAQCEPAVTKSHPGTNEQNHHQTTSKPIWLLALEIVTGTLVGSLFLVAVLTAVQKFNRKSSMILPWKKAGSRKYYAPVYVDPEILKNVTRFSRQELELACEDFSNIIGSSRDSLVYKGTMKTGPEIAVISMSMKEEQWTGYLELYFQTEVADLSRINHENTGKLLGYCRESTPFTRMLVFEYASNGTLYEHLHYGEACQLSWTRRMKIILGIARGLNYLHTELQPPFTISELNSNAVYLTDDFFPKLIDFESWKTILSRSEKNSGSIASQGAICVLPNSLEARHLDVQGNIYAFGVLLLEIISGRPLYCKDKGNLVDWAKDYIEMPEVMSYLVDPQLKHFRYEDLEVICEVANLCIRQQPTKPVSMKELCAMLETRIDTSVAIEFKASSLAWAELALS >KGN52687 pep chromosome:ASM407v2:5:27768771:27771936:1 gene:Csa_5G650520 transcript:KGN52687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKKQLASSAPWRGEEEVDEFAGAKVKVTKNPGETSVMHVPRKKSVKSKSTKEEDDSLEIDPELRYSFQRNYQFLQRVFSIDTIVKPLPPAMAYNASRNLNFFTRIFTQFFDPEGIANAQKSLGLGQEEKDRRVR >KGN51459 pep chromosome:ASM407v2:5:19498199:19500774:-1 gene:Csa_5G550230 transcript:KGN51459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLAVAFSALFLLFFPSPAAAQSPKPTNFSAFSISQSPWRPSHNLLLLSPNSLFAAGFRPLPNNSNLFIFSVWYFNISTDNIVWSANRLHPVTRSAALVITATGQLRLNDASGRNLWPSNNVSANSNSTRLILRDDGDLIYGTWESFQFPTNTILPNQTLNGTTIISNNGKYSFVNSVNLTFGTERYWWTDNPFKNFENTGQINRDNQNPIYPTDFNSTRLRKLVVDDDGNLKILSFNPNSPRWDMVWQAHVELCQIFRTCGPNSVCMSSGSYNSTYCVCAPGFSPDPRGGARQGCNRKLNVSNKSKFLQLDFVNFRGGANQIFMETPNISVCQANCLKNSSCVGYTFSFEGNDQCVLQLDILSNGFWSPGMKTAAFVKVDNSETDQSNFTGMMYKLQTTCPVHISLRPPPDNKDNTTRNIWIIVTIFIAELISGAVFFCAFLKRFIKYRDMARTLGFESLPAGGPKRFSYDELKIATNDFSNPVGKGGFGEVFKGELPDKRVIAVKCLKNVSGGDGDFWAEVTVIARMHHLNLLRLWGFCAEKGQRMLVYEYIPNGSLDKFLFVKSSFSDSIEIDGENPLLDWGIRYRIAIGVARAIAYLHEECLEWVLHRDIKPENILLDNDFCPKLADFGLSKLKENDGTAVSMSRIRGTPGYVAPELVKLGSNSITPKADVYSFGMVLLEIISGTRNFDTKEGSTVESAFWYFPSWAFEKAFVEEKIEEVLDSRIRNEYDSGGHFAIVNRMVQTAMWCLQSQPEMRPSMGKVVKMLEGKLEIPNPEKPSIYFLSEGQEGPKHQIAMVVDSVDSMDSDFPPAEYSSTSLSFG >KGN52147 pep chromosome:ASM407v2:5:23996740:23999247:-1 gene:Csa_5G612270 transcript:KGN52147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDFEKKLSLDEQMEEDRKTDSSNTLSLLRQFLEIQQRRAEAYSKLKRGFDEYMTSGREISYQQLCSEITTEFSNCSKQVIDIESNFRSSDHNRLELANQLRSVQEQEKQKLHLTAQIQLLKKAGRPSERLVSHENCRFNAPREHECVHVHEITEASGTEEAEADAEYDNALKEAIKGVQDAVTTINEHMEEVRYEIEALEDK >KGN52163 pep chromosome:ASM407v2:5:24077087:24079934:1 gene:Csa_5G612910 transcript:KGN52163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTCVGPSISKNGFFQSVSAAMWRSRSPENSVSHHTNGESVNEVAASEPESPLPVQNQPPEKVTMPESQAKPEPPSEPKVRPNPVMKRVGSAGLRGGSVLQTKTGNFKEYYSLGKKLGQGQFGTTYMCVEKATGKEYACKSIAKRKLVTEDDVEDVRREIQIMHHLAGHPNVISIKGAYEDAVAVQVVMELCAGGELFDRIIQRGHYTERKAAELTRTIVGVVEACHALGVMHRDLKPENFLFVSKEEESLLKTIDFGLSVFFKPGEKFNDVVGSPYYVAPEVLRKRYGHEADVWSAGVIVYILLSGVPPFWAESEQGIFEEVLHGDLDFSSDPWPSISDSAKDLVRRMLVRDPKKRLTAYEVLCHPWVQVDGVAPDKPLDSAGFFFF >KGN49927 pep chromosome:ASM407v2:5:3856001:3856260:1 gene:Csa_5G140520 transcript:KGN49927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQVTEQCISKMESTDESIKPFLITGEEKDKDRIQPRETLDLSVTLLDIEGSKLI >KGN50287 pep chromosome:ASM407v2:5:6303464:6307841:1 gene:Csa_5G165820 transcript:KGN50287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSEAGQLRAPTLTQLSHLVADDVRSNPKFQGTAEKIIQNCGDNPTLNRIIAEALNHIAKHNGDNWRVERALEEMDSRCSTGKTSKSKQISLMRYGFDMLPRSDLAMINCCWHSRQFFRNNGGVHYNVLITNWILEECFGLVDNFDKAYHQGHEVLMNLIEHDLLKTEKDNDNVVIIEELVRDIPDTRYTGFVWKPTLGLSGVYEDKKWLGLDSVGPADGMTRTSEKDWKDVSVLLVDGYHLCQEVIETYFTTLKNLQVLAIFRPRIKALPVSLSMLGNLHFLVLKDCDLLEKIDDLVNLKALTVLEISNAKNVKHIPENLFECLSKLRSLNLSKTGIEKLPSSLSKLDELRSINFRGCHCLKVLPILKGLVKLQLLDVSGATSLERLGDKSINTLQDLQQLDLSQTQIVHVPFLKKMKQLSRLSYRDCKELIRLPNLRGLSGLQVLDLSGALKLKEIQDDTFSEDNDLKMLDLSKTAVSCLPCTIRYLSNLELLNLSEMSKLVELEDDTFNNMACLRHLNLSKSLVEKLPSLNGLINIQELKLQECSKLQELPSLTALKKLEVLDLSGCVSFKEFKEGESFIHMTYLQRLDLSETKIKNLPDLSGLHNLSHLLLRNCVNLTKLPCISSFKLKELNVCGAENLRDWEAELPDNMNQLEILNLSETQLRSVPLNNYTNLRELSLRGCELQTTVLLDKLTNLEVLDLSRTLINSLQIQTITNLTNLRQLLLTDCSELQEIPTLEPLVKLEALHLKGTKVKKFPCQMAKVTRLMHLDLPASADTLELNWTGIKSLPGELNWDLIGMPSELKNITTKPSMIVRNMNSFETMKAIPDVWNSCFNKFFISVCPLKTGEEDEEICVHEDGTSFQDIYFHFMSYRHEYSPFLEIRRFESFPTGLEDALMKVEYVSLVENGFIRSLSELGNANNLKGCWIWSCTNLESLMKKDKDNDNLTLLNNLKILWISNLPILKNVHSTGLQFESITNLTQLYIDSCPQLETLFKSSHLSKSLEILHVKFCDRLKFICESKEECILEKLHSLNLVELPELTDIGLKLPSLRTANIRNCPKLERDLICEQWDEVR >KGN52357 pep chromosome:ASM407v2:5:25386955:25391242:1 gene:Csa_5G628130 transcript:KGN52357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVSKSVSPPSSPGGERVDERNVLQKHVAFFDRDKDGVVYPWETFKGFRAIGANLFLSTLSAVFINIGLSSKTRPGKFPNFLFPIEIKNIQLAKHGSDSGVYDSEGRFVSKKFEEIFKKHALTYPDALTEKELKALLKSNREPKDYSGWVAAWTEWTTLYNLCKDDNGLLKKETVKAVYDGSLFEHMEKQRASKKKK >KGN51568 pep chromosome:ASM407v2:5:20477592:20480685:-1 gene:Csa_5G579060 transcript:KGN51568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFKQLFSSLLFLLVVIVGAAAAARLSSSTKFQYLNVKATKLDFNDGQILHALNFSDGHRQVSGYKSDNNTFKLNLLHRDKLSHVHGHRRGFNDRMKRDAIRVATLVRRLSHGAPAAVKDSRYKVANFATDVISGMEAGSGEYFVRIGVGSPPRNQYMVIDSGSDIVWVQCKPCSRCYQQSDPVFDPADSSSFAGVSCGSDVCDRLENTGCNAGRCRYEVSYGDGSYTKGTLALETLTVGQVMIRDVAIGCGHTNQGMFIGAAGLLGLGGGSMSFIGQLGGQTGGAFSYCLVSRGTGSTGALEFGRGALPVGATWISLIRNPRAPSFYYIGLAGIGVGGVRVSVPEETFQLTEYGTNGVVMDTGTAVTRFPTAAYVAFRDSFTAQTSNLPRAPGVSIFDTCYDLNGFESVRVPTVSFYFSDGPVLTLPARNFLIPVDGGGTFCLAFAPSPSGLSIIGNIQQEGIQISFDGANGFVGFGPNIC >KGN51785 pep chromosome:ASM407v2:5:21924398:21925175:1 gene:Csa_5G600380 transcript:KGN51785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQPIHLQTKNQVWNLYGEGRLYAAVDPILAGDYPRDEASRLLQIGLVCVQAFADLRPSMSMVVKMLTANYEIPQPKQPPYLHPSSGSMKPQNSSDSSNPNLYYNSRTSQNSMTQSIIDPR >KGN51486 pep chromosome:ASM407v2:5:19818914:19821901:-1 gene:Csa_5G569330 transcript:KGN51486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRRPTKRRQILGTRPLTFSPFARTSCMARNHILKTKIMKSHSKHEGNGFIRKSPEPQSESSGSSSSGEEFEEVQADFAFFDPKPDDFHGVRTLLQNYLDKIRWDDIGFSELILGQTTVGSVVKIEGDEDSGVFGFITALNLERYKDSKSIMDLKQYLLKVCKDNERENDLKRLLEEQTSSVGLIVSQRLVNLPPQLLPPLYDALFDEISWATEDEPTKELQDSFRFKLYILISKIYKLKTTNSKKTNRKKKPIQESFIYVKAEDEIFHKLCLWSFCFPLHTQTASKVEDYELMGIVMAVEASKIPTFRNELKGLISES >KGN49555 pep chromosome:ASM407v2:5:63801:68304:-1 gene:Csa_5G001020 transcript:KGN49555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLALIDDWEDFIRYDWGELVWTKTFACLKRALHGKVVLHKKKFVNNKSPKSYSLNGLPFAFQIVLSISGWVAYRETSSAIPCIMQLSCTHLPGLKARIHELNLQMMSVLTLIRLSSSTLQNSPPPPHVNHPSPPPLTQHPWVTCDCSQHSEPNSTQQSLKPTTNHIKGRLDRMEDSLQRMESSMLFVLVKLSIIRELLMVLVKVVDSQPYYGGGEGLSFNTLESQTLVGDAFVDVFTSTIDDKLVDEETRTSVSDNPKDGIIPIDVPSKGEDMPPLPPQPLPPQPLLESKDEPLVFSDVVPKKGITKKTVCNILVKSNMQFLVYLVEPQRATELWKTVRSSRFDLQTDSQVQSQTVNQLKAITDGGEGTSDVKQKGVVGRPQQQGKMYALGQRKVEETPNTLLVERKKIKKEWLKVKEVKLKKWKSWLSPLATYFRLGGWPKEDKITSEVI >KGN50505 pep chromosome:ASM407v2:5:7770919:7772498:-1 gene:Csa_5G178720 transcript:KGN50505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIKVHELRQKSKGDLLAQLKDLKAELALLRVAKVTGGAPNKLSKIKVVRLSIAQVLTVISQKQKAALREAYKKKKLLPLDLRPKKTRAIRRRLTKHQASLKTERQKKKEMYYPLRKYAIKV >KGN50042 pep chromosome:ASM407v2:5:4674523:4681717:1 gene:Csa_5G151580 transcript:KGN50042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPATTLSHFAFHSPPNFLPTCFISPNSPCRNFSLHDSIPLLFRFSSCCRTATTKPWYWRKTEVVSKYYDHQEPCHHDYSSREVSTRSITILDNCRAPEKPLAKYSSAEGIHENTDNQKSSSIFSSSFLKFMLLSGFFILQDSQHALAGSDVATGLQSVPLLGDLGDISTGFASAFLLIFFSELGDKTFFIAALLAARNSAATVFTGTFGALGAMTIISVVLGRTFHYVDEILPFRLGDSDLPVDDIAAVCLLVYFGVTTLLDASSSDGLKAEDEQKEAELAVSKFSGNGAGILAAASTVVSTFALVFVAEWGDKSFFSTIALAAASSPLGVIGGALAGHGVATLLAVLGGSLLGTFLSEKIIAYVGGVLFLVFAAVTLVEIVN >KGN51859 pep chromosome:ASM407v2:5:22333595:22346326:-1 gene:Csa_5G604040 transcript:KGN51859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGWDRVRPSSSRFGRGNYSAMNERTASTTTVRLGRVQPQAPGHRTIFCNDRDANLLVKFKGNSVSTTKYNFFTFFPKGLFEQFRRVANLYFLTISILSTTPISPVHPITNVVPLSLVLLVSLIKEAFEDWKRFQNDMAINNNLVDVLQDQKWESVPWKRLQVGDIVRVRQDGFFPADLLFLASTNPDGVCYIETANLDGETNLKIRKALEKTWDYLTPEKASEFKGEVQCEQPNNSLYTFTGNVIIQKQTLPLSPNQLLLRGCSLRNTEYIVGAVIFTGHETKVMMNAMNVPSKRSTLEKKLDKLILTLFATLFVMCLIGAIGSGVFVNEEYYYLALDKGGENQFNPRNRFLVIILTMFTLITLYSTIIPISLYVSIEMIKFIQSTQYINKDLNMFHADSNTPALARTSNLNEELGQVEYIFSDKTGTLTRNLMEFFKCSIGGEVYGTGITEIERGIAEQNGLKVEEAHKSANAVQEKGFNFDDPRLMRGAWRNEPNSDLCKEFFRCLAICHTVLPEGDESPEKITYQAASPDEAALVAAAKNFGFFFYRRTPTTIYVRESHVEKMGKIQDVSYEILNVLEFNSVRKRQSVVCRYSDGRLILYCKGADTVVYERLAGGNDDLKNITREHLEKFGSSGLRTLCLAYRDLHPDVYESWNEKFIQAKSSLRDREKKLDEVAELIEKDLILIGCTAIEDKLQEGVPNCIQTLSRAGIKIWVLTGDKMETAINIAYACNLINNEMKQFIISSETDEIREVENRGDQVELARFIREEVKKELKRCLEEAQLCLHSIPPPKLALVIDGKCLMYALDPSLRVTLLKLSLNCSSVVCCRVSPLQKAQVTSLVKKGAQKITLSIGDGANDVSMIQAAHVGIGISGQEGMQAVMASDFAIAQFRFLTDLLLVHGRWSYLRICKVVTYFFYKNLTFTLTQFWFTFQTGFSGQRFYDDWFQSLYNVIFTALPVIIVGLFDKDVSAALSKKYPELYREGIRNVFFKWRVVTTWAFFSVYQSLVFYYFVTASSSSSQSSSGKVFGLWDISTMTFTCIVVTVNLRLLMICNSITRWHYITVGGSILAWFLFIFLYSGIMTPHDRQVSFVAFFTPQKENVYFVIYVLMSTLYFYVAVILVPVVALLCDFAYQGLQRWFFPYDYQIVQEIHRHEPEGRGTAGLLEIQNHLTPEEARSYAMSQLPRELSKHTGFAFDSPGYESFFAAQLGIYAPQKAWDVARRASVKSRPKIREKK >KGN52354 pep chromosome:ASM407v2:5:25356515:25357843:1 gene:Csa_5G627110 transcript:KGN52354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSTEDNTLGLRLSSASETGESSSHCVASSFLWKLSQSLFVFYASWAPKDPGWLPLCFTRILNAWKPYFQC >KGN52007 pep chromosome:ASM407v2:5:23128830:23130542:-1 gene:Csa_5G607460 transcript:KGN52007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAKTGFINDANRIFEEIPEKNIVVYNTMITGLLRCRFIVEAEQLFDNMPEKDSISWTTIITGLTQNGLFKEAVDKFKEMGIEGFCMDQFTFGSVLTACGGFLALDEGKQIHAYIIRTDYQDNIFVGSALLDMYCKCRNVKYAEAVFRKMRHKNVISWTAMLVGYGQNGYSEEAVRIFCDMQRNEIHPDDFTLGSVISSCANLASLEEGAQFHGQALASGLICFVTVSNALITLYGKCGSLEHAHQLFHEMKIRDEVSWTALVSGYAQFGKANETISLFETMLAHGIVPDGVTFVGVLSACSRAGLVEKGYHYFECMVKEHRITPIPDHYTCMIDLLSRAGRLEEAKNFINQMPFSPDAIGWATLLSSCRLNGNLEIGKWAAESLHKLEPQNPASYILLSSIYAAKGKWDDVAKLRKGMREMGVKKEPGHSWIKYKNKVHIFSADDRSSPFSDQIYAKLESLYLKMIEEGYVPDMSFVLHDVEKSEKIKMLNHHSEKLAIAFGLLFIPDGLQIRVVKNLRVCGDCHNATKYISRITQREILVRDAVRFHLFKDGVCSCGDFW >KGN51505 pep chromosome:ASM407v2:5:19955796:19956496:-1 gene:Csa_5G571480 transcript:KGN51505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESINSLSFSTPSLFSPAAHPHVRRKPLPAVTVRASREQAADSNNNNKNNNNNYYAGGKVVDESMIVLRKRIHEIKMAEQRQEPPADWLDWEKRWYSDYDSHICEALGYLQSHLMNTRPSVALGMLLLIIISVPLSSALLLHRFLHIAVALLAGFSPAS >KGN50787 pep chromosome:ASM407v2:5:10930765:10947048:1 gene:Csa_5G262250 transcript:KGN50787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDDNGEHPDGWQTMKRGKEATKTRRKENAKKKGVGGGRRPRHGDSAVLLFCEGVGKQLCDVSGGDVNKWDKIAEVGYGLLNVLNSNELMEAKSETFFFQEAWRSVKTRLSIGVISFYAAQVTAIQGRLGQKYEKRDGFTVKVKSVDGFQGGEEDVIILSTVRSNRRKKIGFISNSQRINVALTRARHCLWIVGDATTLGNSNSEWEAVVSDAKDRQCYFNAEEDKDLADAIIEVKKVLLELDDLLNKDSVLFKMVQWKVLLSDSFRASFQKVVSVNQKKSIIVLLLRLSCGWRPETKNFPNPKCSDIIKCVKVEGLYIIYSLDIEKGSKYKQVLKIWDIKPLTDVKGVVDCLSNIHELYTDEFLNLCMASSHKGDLELPITWSASHDIVVYKDHIKAELDAILSQDDSDDTKNVTLKKNLLQMKFQSLSYQKAKLLLSSHDSKELDLPCQVEDEQLDIILFPTSAFVMGRPGSEKTAALTIKLFMREKQQLIHPKGCNEVMRQNAEVCYINEGGEECKKIDRTVLRQLFITVTLKQCLAVKEHLLYLSRISDGGNILEENQSFNRVDVLDMDDAQDLLNVPNSFDGIPFNSYPLVMTFRKFLMMLDRTVGDSYFFRFQKQWKLSCGKPRDPLSTAGYNFIVSKEVSVKSFASSYWSYFNGHLTKKLDAVVVFNEIISQIKGGLGAKEALDGRVSKLDYTRPAKGRSTLSRKQRERIYDIFLGYEKMKKEKGEYDLADLVSDLHHRLKGFQYTGDQMDFVYVDEAQALTMMEITLLKYLCGNVGSGFVFSSNTAQTITKSIDFRFQDIRFLFYKEFISRVKTDEKDFDVGLLKIPDILHMNQNCRTQPKILQLANSVTDLLFRFFPQCVDILCPETSEMSSGNFETPVLFENGKGQNMMTLLFEGGRNMHADTCEVGAKQVILVRDEHARNEISNLVGNQAIVLTIMECQSLEFQDVLLYNFFNSSPLGHQWRVIYQYMTEQDMLEISHNSPNFNQPVCMGLCWELKLLHIAITRSRQRLWIYEDNQDFPNPMADYWKKLCYIQVKTLDYSIIQAMKAQSTKEEWSSLGLELFSEGVYGAASLCFERAEDRLRKEWTRAASLRATAATLNASNPQMACNVLREAAEIYISMDHAEAAAKCFLELKEYKTAAYIYLSKCGEAKLEDAGDCYMLAECYKLAAEAYSRGRCFFKFLNVCTVAHLFEMALQVISDWRKCDDDDLIEKCEDIKKVWQVFLEKGALHYHELEDVHSMMKFVKSFDSMVDKCSFLRTLGLSEKILLLEEDVEESIDMMMKKGGILFEINCLEKAGNFRDASSLILQHVLFSSLWGCAKKGWPLKLFKRKEKLLIRAKILAMKESDSFYDYVVAEANILSNQTMKLFEMEQSWSSSHRHGNLRGEILSAWRILDAHLSSSAPKYIWEIKIVTNLREHVEETISLNQVSVQTLVYFWNFWKENVMSILEYLQLPGSQINGDYASYEQFCLDYLGVRKQLIYGNSIYHLVNPEAEWAATVSCEGNENFVTINSREFVTAAQSYWFSELSSVGLKVLSKLKDLHMLSVRNSLSFYFQAFTAVHMFQMAKFLTEDDYIKSSINSKNQRIIFDSGHLSIQFLRLHQTPNVDLANEIQAVHDNSQSYLMSCALHFHKIQDSSTMLKFVRDFHSMDSKRSFLKSFNYFNELLSLEMEAQNVSEALAIAVSQGNLLLEVDLLEKTGNYKDASLLLMNYIHSNSLWSSGSKGWPLKEFKHKQKLLQKMISIAKHDSESFYEMISVEVNILSCKVSGLDEMEQSLTASEGSKNFRGIILSTWKILDAHLKLNVSNYMWEDVIESELERHSKDTISKNQVSFQTLVYFWNLWKDSLFGVLNYLCSIDIDDVDDYCESQQDFCLSHFGVRRQYNNKKAHYFLLNPGADWVREVVNGSLHNNGGLVSIAACQFTSAGWRYWSSEVLSVGMKVLEKLKALFSFSGTASSVSEMCQSMIAINFCEVENFLKNSQFLKCATGTFLQNFTSVRLQFVLCCKQHLGKGSSAGNVQELEYLKSTFLRKCALHYHRLQDKRTMLKYVKAFHSMDSKRVFLKSLACFDELLSLEEISGNFTEAALIARLKGDLLLEVDLLEKSGQLEEAVELILFYVLASSLWKTQSKGWPLKQFKQKEELLSKAKSIASLNCDVFYRNVSLETDILSDGIYSLLDMKHHLSSSRENKNICCEILSTRRVLDAHLCSNLSSYDWEDDIVSDPLRHAENKISQSQISIETLSHFWNLWKDKITGIIKYLESLGTKNVDDFIIYEGFCLKYLGMRKHFDHQNTYQLSFTDADWIIHSNLQSVQTNGEMMSMDVQQFALAARSYWSTELISVGMKVLEFLSNIHRFSVMHSFSKFRQSSAAIAIVDIANFLLSSNLARLPDDDKQLHDYLESYTDHFFDNMFGACWTDPMTKSMITLRESGLSRSVTEAFILKTINSKGQLSYEKIGKVVIALLGSGKLISGLYDKIAGRCNAKLHWKAVIDALKRHVIASQTSESSVARKVIEASGESELINQLHEALMLTFVNWKKEFEFMTPNCFLYIVERQFVLVSMSQRCFYTTRSSFIEWLICEEWSSRQVQRMVNTEISSEHLFDSIVNMVHELLFNNCGAREWIKRSNINSKEYYPIFLLRLVIILCLLSANLGKYYSMLYDFVRKPDMHSQLPEAFSKIFRQRRKQNHHFLNYMAEAVWKIRNPLVKVCFKDVCEKPVPPAIILIRMNKIGKKDDIRKLLFAKNLTYNHNCGSSSPSASQKAESINGSTSLNSKTLQVLDCANEDEDENIDAVSITIKQNSSEVSDSMNSEKQTRMVNPKGCKRNALKKMKLKKKVHCINASVPKSKQTSSFEKETKLFRVKNVLDELKKSPAVNMSDPEVVTTIEELSRKLECRVQEKNTSNMVANTSQSTKLSSAYRRKRRTIKRKSKENETTSVDNKIPKAKGSSQVFYFQQKFKSETASHTNIKDKKKIVANATSQGLQFQPNLDSVHKGKTCQNATKTKDKMKVADNMSTAKWSSQGLKFQPNIELVQKVPTSQNDTETKATVPQNVTNAKEKMKVGNNMSTAKRSSQGLQVQPKYEPMCREKASQNGLKMVDKMKVPHVHVVSTAKESSNKSHCTPKLVSAKKETAAKYVVKTEKSTTNIVNKEGESAQKLQSRQNLKHVQKETSSSSNTKVKKDKTKVFSEAKEPSQQLQLEQRS >KGN50416 pep chromosome:ASM407v2:5:7114241:7115946:1 gene:Csa_5G173440 transcript:KGN50416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLMKLTLIPQKPISSHSFLILAASYCTNLHPSSKSTTEIERIAKIINDHPFPDQPLHPTLLHLIPSPLPSNTFLNDVLGQLFAAHSNGLKALEFFKFCLHHSQAPPTSDAFEKTLHILSRMRYFDQSWELMREIRQTHPFLLTLKSMSILLSRIAKFLSFEETIEAFQRMENEVFVGRKFGTEEFNVLLRAFCTQRQMKEARSVFHKMYSRFPPNIKTINLLLLGFKESSDITSVELFYHEMIKRGFKPNAVTYSIRIDAYCKKGCFVDGLRVFKEMERAKCEPTLETITTLIHGAGIVKDKTKARQLFDEIPLRNLCPDIGAYNALISSLIRSGDVKSAASVMEDMEAKHIEHDSVTYHMMFSGLIRLEDVGGFYELYIKMVGRNFVPKTRTAVMIMKFFCENRRVDLGLGFWAYLVEKGYCPHSHVLDLLVTGLCARGMVLQAFECSKQMLERGRQMSEAAFLIMERCLLKAHATDKYEELERLRKKLKTVLPPTKSALI >KGN50716 pep chromosome:ASM407v2:5:9839033:9846057:1 gene:Csa_5G218820 transcript:KGN50716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIISKSRLDAIVSAFVTVHPHEISALLHSAFCFFFILSAYFVVLPLRDEGAISLGLSNLPSLFVGSLVLTLIAAPVSSHIFSLPNLSKGKALVLIHRFFSVSLVAFFILWQSSSTGHSSKGFLAMFFAAKEDPKDYGDQSSSISSIGWDKHGWFYVAVRIGFFLWVALLNLITISSTWARVIDVMDSESGARLFGFIGAGATLGQLFGSLFATVMAWLGPFLLLFSAILMEFAARLSEGINQDMPHPGEELSLIRDADPSHENDSEGLGTSAFKGHSPKANSTMKPHPWAIFDGMMLIFSSSYLMCVALFLWLSAVISSFFYLQKVGIIAITVTTSLGRRKLFALINSFIAVFILAGQLTVTGHILTIAGVTVAICASPSVAFLNLVAIAVWPTWVAIAVCETVRKVTTYVVTRPGRELLFTVVSQDEKYKAKICIDVFVQRLGDATAAGMYKLLLSTLHGKTSTISLYALPICLSWIVTALYLGRRQSHLAHLQSVSTS >KGN50932 pep chromosome:ASM407v2:5:13411989:13418155:-1 gene:Csa_5G349070 transcript:KGN50932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSIEIYPIGNWKYFESESITDFMIPPKHKIIIRKTETQNHVHCPPSVGIGIIKALRSALPKSSLLTARFPFFVVFPFSLRLHIIFNFRLGLLSSRSLHFSLLNLIPSLCVCCPLFVVFPFPLRLHIIFNFTRIGHLRRGSESPHQGFWRGSSLANFALRPKCRKKAKAEGGLTPSLKVDVWLITHKWR >KGN51215 pep chromosome:ASM407v2:5:17300519:17300938:1 gene:Csa_5G492860 transcript:KGN51215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTEKSTTKSFISPNENSSLNKVDLQDLKLISGVSLKMKAQKESGPIPSNQISSSSESLSPNQLALPSVALSETNKDCAIKGTSNSFSSHSNSSSAISSSARIKGRSEVKRSSKKQKVVHRILSFLSQSILLEEGEGWR >KGN51849 pep chromosome:ASM407v2:5:22278869:22279237:1 gene:Csa_5G603945 transcript:KGN51849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMNTKTVPATSNLLLCKDHTASIDDQEGKVCYHPILPMASPFHSNGVNLLDPDAVFYSYLSHCHRRVRAGSTGGRLAPHFRQRQGGTVGGNPDRKSVCVFVIPSSFLSSSCSSLVSIWINP >KGN49861 pep chromosome:ASM407v2:5:3522849:3524889:-1 gene:Csa_5G139390 transcript:KGN49861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKGGGSSSWFFAVRKAFKPSPPQHTQKCEEEGPEVVSFKHFPAVKSSCESTNSTPLTNTDRSNHAIVVAAATAAAAEAAVVAAEAAAKVVQLAGYSRLYSKEERAATIIQSWYRGHLARCALRALKGLVRLQALVRGYNVRKQAQMTMRCMQALVRVQTRVRARRLQLTHDKFQRKIEEVEEEEKLKKKYEKLMASHRRSEMVTQNREKNRKQLSSKKHEPGQFYEGGNRRTTQWGWSSLDRWMPSQPSHAHDDMSEKTVEMNLDSGQGQGHVPSYMAPTKSAKAKARNTSGVKQLSPLLSPSTRKSWAPESSSSTVNQAQYGPINKSNGRNTQLHGSCITWHDPDYYGGEEWTFPLGAHGWS >KGN51218 pep chromosome:ASM407v2:5:17340753:17345079:-1 gene:Csa_5G494370 transcript:KGN51218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVADGRNFVVGDTVHTEVDGFRHQVDEIFLKVDRLEQNVNEIEQFYLTLKKKQPNGNKGSSIVKDKDKERHVPSIKKQQQEAARREAAATKRMQELMRQFGTILRQISQHKWAWPFMQPVDVEGLGLHDYYEVIDKPMDFSTIKNQMEAKDGTGYKNVREICSDVRLVFKNAMKYNDERSDVHVMAKTLLAKFEEKWLQLLPKVTEEEKRREDEEAEALLDMQLAQEAAQAKMARDISNEIYEVDMQLEELRELVVQNCRKISTEEKRKLGAALTKLSPEDISKALEIVAENNPSFQATAEEVDLDIDAQSESTLWRLKFFVKDALEVHAKSSASTGGGNNQNHTNSNNINSNNKRKKEICDAIARTAKKKSKKAST >KGN50590 pep chromosome:ASM407v2:5:8468083:8472232:1 gene:Csa_5G187880 transcript:KGN50590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNKLEDSASTPSHHMRMLEEVVGSSFAPEALLHSYKRSFNGFVVKLTEEEAQKISAKENVVSVFPNEKKHLHTTRSWDFMGFTQKAPRVKQVESNIVVGVLDSGIWPESPSFSDVGYGPPPPKWKGACQTSANFHCNRKIIGARAYRSDKFFPPEDIKSPRDSDGHGTHTASTVAGGLVNQASLYGLALGTARGGVPSARIAVYKICWSDGCYDADILAAFDDAIADGVDIISLSVGGSKPKYYFNDSIAIGAFHSMKHGILTSNSAGNDGPDYFTIRNFSPWSLSVAASSIDRKLVSRVQLGNKNTFQGYTINTFDLKGKQHPLIYAGSAPNISAGFTGSSSRFCSRNSVDRNLVKGKIVLCDSVLSPATFVSLNGAVGVVMNDLGVKDNARSYPLPSSYLDPVDGDNIKTYMDRTRFPTATILKSNAVNDTSAPWIVSFSSRGPNPETYDILKPDLTAPGVEILAAWSPIATVSSGVRDSRTTLYNIISGTSMSCPHATAAAVYVKTFHPTWSPAAIKSALMTTATPLNAKLNTQVEFAYGAGHINPLRAVHPGLLYDAYESDYVRFLCGQGYTTAMVRRLSGDNSVCTRANSGRVWDLNYPSFALSSTSSQSFNQFFRRTVTNVGSKVSTYRAKVVGVPRGLSITVNPPVLSFNAIGQKKSFTLTIRGSISQSIVSASLVWSDGHHNVRSPITVFVVGTA >KGN52101 pep chromosome:ASM407v2:5:23728354:23731275:-1 gene:Csa_5G610360 transcript:KGN52101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFCFVLSFVLSHLVALSLAWNDTRYVHPICTPFECGNLGLIGFPFNNMSLTDCGFYTVKNCSGQPKIQLNRGKELWFDVTTISQANVIHINDQELRKRINARNCTILDDLALPISSLSSLSTDNNLTMYYCTEKPKDALPLFISSFNCPDYYTYLNTSASPNCLTSKSKFVVPVRPVGPNNSIVVFTSDFQLQVTISIPCQQCFHRGGQCSDTPGYFVCEGENTKSRKDQLKKLKIALGAGFSGVILLLLLFALWYRRRRRPAPNILTRNISCEPYSKFDVDDGGGVCFEVPVFSYTELETATNKFDRDKELGDGGFGTVYHGKLHDGREVAVKRLYQHNYRRVEQFMNEVKILSRFRHRNLVSLYGCTSKRSRELLLVYEFIPNGTVADHLHGEQASSSLLTWPIRMNIAIETASALVYLHASDIIHRDVKTTNILLDNNFSVKVADFGLSRWFPNDVSHVSTAPQGTPGYVDPEYYQCYQLTTKSDVYSFGVVLIELISSMPAVDITRHRHEINLSNLAVNKILRQEIDELVDPCLGYQSDENVRRMIMGVAWLAFLCLQQDKERRPTMEEALETLKRIENGEESENLLDNSALLKSYNPTPSPEYDEIQLLKNKTQQLLSPTSVADKWISSTSFVSASTSISSKS >KGN50357 pep chromosome:ASM407v2:5:6686290:6686910:-1 gene:Csa_5G168940 transcript:KGN50357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNQVKHPIPLPVVVVLLLFLTILCKTRASITTETEALLKWKASLPKQSILDTWVVLPSNSSSSSSKASNPCQWKGITCNNESTHVIEINLAHTGLNGTIESLDFSSFPNLLRLDLKLNNLNGSIPPSIGLLSKLQFFDLSTNSFNSTLPSSLANFTEVYELDVSRNHITGGLHPSFFPTEDSKFGWKSMQHLVMQDTMVRKMSEI >KGN50292 pep chromosome:ASM407v2:5:6322071:6322834:-1 gene:Csa_5G165870 transcript:KGN50292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNSQNMSYQIGEAKGQAQEKASNMMEKASDAAQSAKESIQEGGQQMKAKAQGAADAVKDATGMNK >KGN52491 pep chromosome:ASM407v2:5:26524277:26527342:-1 gene:Csa_5G638300 transcript:KGN52491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASICHSISLPSTITKLRPIHPFLPHSTSTLSLRSCKIQASTSKSSTAVGDAREPNEGSMSIDNLYRFFEINSGKWNGSFFQYDVRGNLLHEISTKLAVSSYGEDELISLIQTLYIKQKSSVSLPRNDDEPEWAEYKIKETNMFTVDKYQQIGFFPNEKAFSLRYQTAGMLDTVLREGVLGEDDTGEEFPKNLKLPSRRPSIVCENCLYIPDRDLRARAFHIMDPQGIIEMLLVFLEERTDGKLFHRPLNSNSVSDEENRLLPFLGDWKGHSRTKRSGVYGATIAEADSFSSLQMDDNGQIIQDITTTSMNEDVTTNVHWTGTKLDNLVTFDGGYQITLLPGGMYMGCPCDVAKSVADNKSFHLEFCWLEAPGKRQRLVRTYDVEGLAVSSTYFSEIKL >KGN52613 pep chromosome:ASM407v2:5:27332792:27338822:1 gene:Csa_5G647360 transcript:KGN52613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSEGVLSFDFEGGLDAGPTNPAATSSLPIINSDSSAPPAASAVSNPLSGALGPAVSAEPTGAPHGNVGNRRSFRQTVCRHWLRSLCMKGDACGFLHQYDKSRMPICRFFRLYGECREQDCVYKHTNEDIKECNMYKFGFCPNGPDCRYRHAKLPGPPPPLEEILQKIQHLGSYNYGPSNKFFTQRGVGLSQQNEKSQFPQVPALVTQGVTGKPSAAESVNVQQQQGQQSAPQASQTPVQSLSNGQPNQLNRNATSLPQGISRYFIVKSCNRENLELSVQQGVWATQRSNEAKLNEAFDSADNVILIFSVNRTRHFQGCAKMMSRIGGSVSGGNWKYAHGTPHYGQNFSLKWLKLCELSFQKTRHLRNPYNENLPVKISRDCQELEPSVGEQLASLLYLEPDGELMAVSVAAESKREEEKAKGVNPDIGSENPDIVPFEDNEEEEEEESEEEEEESFGQSAGLPPQGRGRGRGMMWPPHMPMGRGARPFHGMQGFPPGMMGPDGLSYGPVTPDGFPMPDIFGMTPRGFGPYGPTPRFSGDFMGPPTAMMFRGRPSQPAAMFPPSGFGMMMGQGRGPFMGGMGVAGANPARPGRPVGVSPLYPPPAVPSSQNMNRAIKRDQRGLTNDRYIVGMDQNKGVEIQSSGRDEEMQYKQGSKAYSDEQYGTGTTFRNEESESEDEAPRRSRHGEGKKKRRGSEGDATAISNQ >KGN50034 pep chromosome:ASM407v2:5:4612340:4612955:1 gene:Csa_5G151500 transcript:KGN50034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGIMSCADAARCSMIGSEHQQRSSKAFDQFQMPLHYPRYRRSDYEGMPEWKLDCLLKEYGLPVVGDVAQKRKFAMGAFLWPCEMY >KGN50040 pep chromosome:ASM407v2:5:4668502:4672620:-1 gene:Csa_5G151560 transcript:KGN50040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGEVKSGEGGAEKEENLGKRLRREVLIGKRCGPCTPVPSWRIWAPPPQETIISQTHPFYHNSCFSSSTPSISARKLAAALWEFHQYLPLSKMHRASNNGVSNGDPADSRLIRRRYFHHHHHSHKDKTLDLSNFLGDPCPSSPEQPTSASSLRRHVAASLLQHHQSLERSNQALQPVSPSYGSSMEVAPYNPAMTPTSSLDFKGRMGESHYSLKTSTELLKVLNRIWSLEEQHASNIALIKALKTELDHAHVKMKEMLRQRQADRREMDDLIKEIAEDKLVRKNKEEDRIKAAIQSVRDELENERRLRKRSESLHRKFARDLSETKSSLVNSLNEIERERKSRMLLEDLCDEFARGIKHYENLVHCLKPKSDRITAGRADLDGLILHISEAWLDERMQMQQEHNETNIGKSVVEKLQLEIESFLEAKRNDTKNDQLLKDRRSSLESVPLHEAASAPRAGDDEDSQDSDSHCFELNKPNNNNTIAHENENAEDHIDETGKSNDVQRKLGSHERSKSRTPSSLQVRFEEQMAWARSCIGNKKAQLVNIEQDKAEALHAEPNKPSKAENCQDADIGSNERRNSHHPIHSSNSSHILDSLIRNQLSLKDGDNAHPEDTYGEASCSNSGWRNQASPVRQWTVAAPEINTTQSSSLKLPPGLKENTLHAKLLEARSKGTRSRLKLFK >KGN51579 pep chromosome:ASM407v2:5:20570351:20575275:1 gene:Csa_5G580640 transcript:KGN51579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVFNGIGLGLAFTNPNSTCIFHSNTRFFPQSLTSIPEFRPISLRSRALLSENGDDSKVLEDACKNDAELRAVLGDSIGNPEEMRKKVEERVRRKGRDFQKSKTGSILAFKVSFRDFNPLDSYIWFELIGSPTDRDVDLIGSVIQSWYVMGRLGAFNSSNLQLANSSMEYNPVYDADKGFKVMQSSFHDISDVEFQDNWGRVW >KGN49827 pep chromosome:ASM407v2:5:3388552:3389200:1 gene:Csa_5G139070 transcript:KGN49827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLKPGGVESVSLKRRNEELEKELEASHERELIMRKELRRVCERLKVAEEAEERLSLQLGELEAEALTQARDYHQQITSLMNQLSHAHKLLQAASIASFT >KGN51850 pep chromosome:ASM407v2:5:22285672:22288806:-1 gene:Csa_5G603950 transcript:KGN51850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHSRISSSSILKPLSSSFRFLSSASHLTIQTSVPFTAHKCEPPSRSVDTSPNELLAFFREMALMRRMEIAADSLYKAKLIRGFCHLYDGQEAVAVGMEAAITKKDAIITAYRDHCIFLGRGGTLLQSFAELMGRQAGCSKGKGGSMHFYKKDAGFYGGHGIVGAQVPLGCGLAFAQKYSKEGTVTFALYGDGAANQGQLFEALNISALWDLPVILVCENNHYGMGTAEWRAAKSAAYYKRGDYVPGLKVDGMDALAVKQACKFAKEHALKNGPIILEMDTYRYHGHSMSDPGSTYRTRDEISGVRQERDPIERIRKLLLSHDLATEKDLKDIEKEMRKEVDEAIAQAKASPMPDLSELFSNVYVKGFEAEVFGADRKEVRGVLP >KGN52369 pep chromosome:ASM407v2:5:25527550:25559410:-1 gene:Csa_5G630720 transcript:KGN52369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKAAPLGVPKSGAISKGYNFASTWEQNAPLTEQQQAAIATLGHAVSERPFPVDLAQDRIGGKENALSISVKNTTNDDSDAVEAVLVNTNQFYKWFSDLESAMKSETEEKYHHYLNSLTDRIRTCDVILRQVMEKQRLIEFAEALRTKLNYFDELENITTIFYSPNMSVGNENFLPMLKRLDDCILFSESNPQYAESSVYLLKFRQLQSRALGMIRFHVVSVLKSASSQVQAAMRSSSGSNSAVSEGVEASFIYVRFEAAADELKPVLEEIESRSTRKEYTEILAECHRLYCEQRLSLIKNIVHQRISEFSKKEALPSLTRSGCGYLMQVCQLEHQLFHHFFPSSSDNVSSLASLIDPLSTYLYDTLRPRLVHETSLDFLCELVDILKVEVLGERLIQQRESLVGLRPTLERILADVHERLTFRARTHIRDEIGNYFPSNEDLEYPEKLEKTAVESAENTSAIKAS >KGN51526 pep chromosome:ASM407v2:5:20140801:20141401:-1 gene:Csa_5G576680 transcript:KGN51526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVREAEAVARERDALVLKERRAAERAVEVEMVKPAVEAVFMEEVAAGETADEVTGAERGETDDAIGGGVGVVGVGVGVGEKAIEMEMVGKRGEIRTVVGGGEEGGAEEREEIGKEREEEERERMVGEVIELCV >KGN50687 pep chromosome:ASM407v2:5:9432123:9435956:-1 gene:Csa_5G212090 transcript:KGN50687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFVFGQARHGTRAPTTKRIKELNNLENELKKLLGSNVGNDDHLFSLPSWLKDWKSPWAAKINGGELIPEGEKELYDLGIRTRKLFSDLFSDPYNSDIYTIKATQVARASASAVAFGMGLFSGNGNLGSDRHRAFSVVTESRANDTMLRFFDRCHKYEDYKKRKKPKVKKLIKDPVLDDITKSLTARYGLNFTQNHTSTLWFLCKQEASLFDITDQACGLFTPSEVALLEWMDDTEVFKLKGYGSSLNYRMGVHLLTDIVNSMDNATKARERKQVPGTYEKASFRFSHAETLIPFTCLLGLFLEDEEDFKHIQNQECLELPPRPPATRNWKVSDVAPFAGNNMLVLYSCHVANSSDEYFVRVLHNEEPIAMPGCDGSDFCSFEKFKNKIVAPHVKYDFHTLCNVNKEESLVTPKPSN >KGN50586 pep chromosome:ASM407v2:5:8420481:8423454:1 gene:Csa_5G187840 transcript:KGN50586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVSIRKIIGARTYRSEKLPPGNIQSPRDSEGHGTHTASTVAGGLVSEASLYGLGFGTARGGVPSARIAVYKICWSDGCYDADILAAFDDAIADGVDIISLSVGGSEVKSYFTDSIAIGAFHAIKHGILTSNSAGNEGPEYFTTSNVSPWSLSVAASTIDRKFVSRVQLANGTVYQGPAIHTFDLMGKQYPLIHGGDAPNKSGGFNSSISRYCNENSLDLSLVKGKILVCDSILRASTVESVNKNGAVGIIMQGSRFKDYASSYPLPASYLHSTNINTLSSTATIFKSNEILNASAPSVVSFSSRGPNLATLDILKPDLTAPGVEILAAWSPIAPVSGIAGDSRSVLYNIISGTSMSCPHATAIAVYVKTFNPTWSPAAIKSALMTTAFSMNAKVNPEAEFAYGAGHINPLKALNPGLVYNATETDYINFLCGQEGYTTEMVRHITGDKTACTPANSGRVWDLNYPSFAFSTTPSQLTINQFFTRTLTNVEFNTSLYTAKVFAPPSLRITVDPPSLLFNGIGDTKSFKLTVQGTVNQNIVSGSLVWTDGVHQVRSPITVYVVKKN >KGN52303 pep chromosome:ASM407v2:5:24937944:24940929:1 gene:Csa_5G623670 transcript:KGN52303 gene_biotype:protein_coding transcript_biotype:protein_coding description:Annexin 11 MADSAVEVLTRALSGHGINENAMIETLGKWDHEEKKLFRKKSSHFFSEDERSFERWEEHGMRLLKHEFMRFKNAVVLWTTHPWERDARLVKEALSKGHHGQNINILIEVACTRTSDELLGARKAYHSLFDHSIEEDVASHLNGPERKLLVALMSAYRYEGPKYKEEIAKSEAKKFAHSIKEANSKKSSLIEDEEIVRILSTRSKHFLHALHKHYNEISAGRSIDEDLHGDLRLQEAVLCLTNPVKYFTQLLNVSLKADADKKIKKVLTRIVVTRADNDMKEIKVEFKKQFGISLAEKIGSVCNGSYKDFLITLLARSD >KGN50332 pep chromosome:ASM407v2:5:6561646:6564199:1 gene:Csa_5G167730 transcript:KGN50332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALRASYGGSSSDEEVDTVSPPSASTSAPQFLDETVTFLPLPPPPLSLLNFPNSTCVLDDLPIDQATRVRSFPHVQGNYALHVYIPVYVPTNARKEVALFMKKISSLVPALHLVDIDIPLDVLCKDDQKLEQAWAREFHISLSRTVPIRVHQIDSIVTMLRQKLQSPRRYWIDFSKWETFVNDDLSRTFLSMEIITGGLMEIRKQIQVVNEVYKLHNLPEFYKEARPHISVAWALGDVSQLSSQAVHNELKRSAVKEPFKRCIFTTKFNGIECKIGKKMYKICKFPDE >KGN51259 pep chromosome:ASM407v2:5:17600085:17601674:-1 gene:Csa_5G505200 transcript:KGN51259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIKVHELRQKSKADLLLQLKDLKAELSLLRVAKVTGGAPNKLSKIKVVRLSIAQVLTVISQKQKAALREAYKKKKLLPLDLRPKKTRAIRRRLTKHQASLKTERQKKKEMYFPLRKYAIKV >KGN50914 pep chromosome:ASM407v2:5:13087797:13092276:-1 gene:Csa_5G324000 transcript:KGN50914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVSLPPGFRFHPTDEELVAYYLKRKINGREIELEIIPEVDLYKCEPWDLPGKSLLPSKDLEWYFFSPRDRKYPNGSRTNRATKAGYWKATGKDRKVNSQSRAVGMKKTLVYYRGRAPHGARTDWVMHEYRLDDRECEVASSGLQDAYALCRVFKKSATIAPKIEDNHPYSNMTSSSNHFMNNNSDQSSEPESSNYSMPFDAFQCQSQSQSHMVNKDCNSFDMFGGARETKWSQFLSQEAFNSAPSFPSYANIPYPPSKVDIALECARLQHRFTMPPLEVEDYPHTGYSNHFKTQQPTNPTMLGGSSNASTDILQEILSVAQASQQLANQSCSVDATTWNGGLASNNNNNNNVYACSSNDQDFAFMAAKDNGFQDWNSAVTFDVESSWEDPYSKCIDVGNVGDDIAVENLRWVGMSSKDLDKNCMEDSKLVPIENISNFQIEDHQIQLQDQSVAINDEMNDFSLAFINEDDPNPNNYDMEGSDNTMANYSSSPSFEVVEEIKVNHGLFISTRQVAETFFHQTIPSETVKVESLNSNFMAPNFISLHEITPQKTPSSFFLSGSFLKKPWNNFRRKIISILELLLIFIVYSAEEGEGEGKVNSNSGDEGEKLLSEDEECRSKGKKRGALNMIFKKTGIFFSIASLAICTIWANYI >KGN51676 pep chromosome:ASM407v2:5:21199417:21213967:-1 gene:Csa_5G589930 transcript:KGN51676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVNTHRSSAPIRSLSPSSSSISRCSHTFTSSSDYVTCGTPTWIGKGITCICFKRKGSLERICINLTPVQEDRLRRLKHRMKVYFDGSRINHQEALRALWYAAYPGQELQALVSDQWKEMGWQGRDPSTDFRGAGFISLENLLFFAKTFSTSFQLLLRKQGGKPAAWEYPFAVAGVNITFMIMQMLDLDASKPRTFIRSVFLQMLSENEWAFDLLYCVAFVVMDKQWLERNATYMEFNDVLKSTRAQLEKELLMEDVLRIEDMPSYNLLLTLDYSRFCESEACTFYWGRIGWLIMWFGFLAETGAFCAVQRCESNLVSVGSMNTKRGPVIAHFRYKNHYGSRRPFMSGNYTPIHAHKDRATLKSDGVFRSSPRGWFTFGHASFALLFFFGHIWHGARTLFGDVFVGIDPDLDTQVEFGAFQKLGDPTTKRV >KGN50451 pep chromosome:ASM407v2:5:7346082:7346407:-1 gene:Csa_5G175750 transcript:KGN50451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESIAEVQSPNWESLDHYWKRENLQAFCNSMQQADNAATLVLRSSAKMASRHCLNTTSDMCNSSWKMLCRCLLFINVFP >KGN49705 pep chromosome:ASM407v2:5:2315469:2315835:1 gene:Csa_5G077240 transcript:KGN49705 gene_biotype:protein_coding transcript_biotype:protein_coding description:Na/K-ATPase alpha 2 subunit MEKSSVQAEEDDTFINKSMEEFPENVFDDSTIVEAIDSQPEIPNAIVQCEEALVEITTTSSNNNAVQSLAIQFPMPTIEAKSPVEEQTISLLMDNPDAEEEEAEK >KGN51619 pep chromosome:ASM407v2:5:20881649:20882008:1 gene:Csa_5G585700 transcript:KGN51619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNWIRGSISESRRVNPVTEVIANIQSEDEKTIRCYSNDIIEMNSNKMESLFSQRKRPCYIDEIERGVCIQLLGCLIYQIWREIIVRLILVIRSRCSSTAVHCRSWIQQINIIILFPFPP >KGN49758 pep chromosome:ASM407v2:5:2937806:2942219:-1 gene:Csa_5G114060 transcript:KGN49758 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-phosphatidylinositol-4-phosphate 5-kinase MAPLIMELPVINAFPPLLPSSLCSCPCPCSSISIPSLFFHRRKMFLRFPEYRNSYPFLHTTPNASLSNATGRSNNERDIWDDHEFVEVIGIGSRKEAVLDFCLESALMTSSLRFWQIVKHDSSKVQLLQRFVEKDYSPRMIDVPLSLQSCSKAVILVVSAGYGFDYSAAVDMLRTIRSANGFTVTIVLKPFSFEGKRRQDEVKRLVGRLKEHTSFLIGKL >KGN50960 pep chromosome:ASM407v2:5:13870339:13872713:-1 gene:Csa_5G373710 transcript:KGN50960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYGSSNAEARQGIPLNRARSLGSSFRRNSFNSSGSGYQPPQTGHDVETESVSEAGDIGDRALCSNRISDSERFSLSLDHALENGAIAPIPENIFLQSYGFRGRESATIDDTTVSSVPPLEEQNVSSPSTCRPIVHSGDTKQESNMKLSKLLEYVTCLVHLAVFGILGVLTRYGLQKLFGPENANVTSNDTILYPDLPSNMVGSFLMGWWGVVFKGDISEISDYLAIGLTTGYLGSLTTFSGWNQKMLDLSLDGHWLFAILGFLIGLFLVAYSIIFGIETAKGFRWILRRKKISYNWCCKVDSYKRHIAAMLGFSLILILLWSVSGSLLDKDFSRGKGAELWVGCLVGPVGVWVRWFLARLNGRGVGQWKWVPIGTLIANVSAACVMAALATVKKAVKTERVETVASGLQLGLLGCLSTVSTFVVEFNAMRLSEEPWRAYVYATLTMGISFGFGILIYSVPVWVKGLNE >KGN51903 pep chromosome:ASM407v2:5:22637272:22640338:-1 gene:Csa_5G604970 transcript:KGN51903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGAVGAEFQDWELLLHDLNSETALTAAEFSGEKSTHFGGIEGESGSDSIIKSDYFSLDNQGGRGKTEPERDLSEEEGSVEADDPSSDNRYDRVNSDESWSDSSIDRSDERKANELNSKTESRIAEFLQGDKELNGSIESHEGNTSGSDPNIELAFEELDEIQPQSKDLNNFWCESSGDFDLSGSKVVKLEEGKEQLEESKNVQVEETKVNTESGSEVGDKRKVLWWKVPFEVFKYCLFKASPVWSFSVAAALMGFIILGRKLYKMKRKSQSLHLKVILDEKKGSSPFMSRAARLNEAFSVVRRVSIVRPALPAAGINPWPAMSLS >KGN50496 pep chromosome:ASM407v2:5:7694953:7697134:-1 gene:Csa_5G177650 transcript:KGN50496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSVEPASATDIIMEELVYTSERSGFMNLCLAQRKDGGVPFISSIQAVPTGDDLYSKMESNETFRLVARISYGVDEDGILSTDDDYERIWTSGKTPPNCNNVGVTPDFESPENDPPPSVLEEAIESVNVSSPIILTVDFPKSSSSSQSAYFVLYFTEVEDLFDQKNRTINIFIDSVLMSTITTTVLKCTVVTLFPVDVRGSTAKVTLAAANSSANLPPLISAMEVFTKVIATGGVAGSNGPSQFTFLSSILMICVSVLVNLL >KGN51062 pep chromosome:ASM407v2:5:15373136:15374810:1 gene:Csa_5G425400 transcript:KGN51062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRQPCCDKIGLKKGPWTAEEDKKLISFILTNGQCCWRAVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLSEYEEKMVIDLHSQLGNRWSKIASHLPGRTDNEIKNHWNTHIKKKLKKMGIDPQTHKSISQTSDDTHLTDKDKRQSSQPPSPPKELKKEVTAAKTDIIETNITDLIMDGFCTEEVPLIEPHEILVPCASSSSTTSTSYSSSSSYSYSSFSSNPESAANLLQDLVNEFPEFEWPNICEENGIVEEGLLEDDFGSSWDFMVNNYEGGNANNFGNDPFVGLELENSQSWVYGL >KGN51431 pep chromosome:ASM407v2:5:19187595:19195036:-1 gene:Csa_5G538050 transcript:KGN51431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSETDPFSSSNPTASSENNSFSTFPLPSSENDVYSNSAASSDDLRSASPVCMHKFRLYETRSKFYMVGRDKSRTYWRVLKIDRMDLSKLNIREDSAVYTENECSDLLRRIHEGNKSTGGLKFVSTCYGIVGFIKFLGPYYMLLITKRRQIGTICGHPIYGVSRSEMIPLPNAAVWSSIAIPKNENRYKKLLCSVDLTKDFFFSYSYQVMRSLQKNICDNETGHALYETMFVWNEFLTRGIRNHLHNTIWTVALVYGFFKQGTLSLDGRDFKLTLIARRSRHYAGTRYVRSASNLKSLHFSFDF >KGN52279 pep chromosome:ASM407v2:5:24790747:24798753:-1 gene:Csa_5G623430 transcript:KGN52279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTGFHSLSSEFNLRDGGMLYSSLNSLTSDGFVRIYYLEQKRQCKALRKNAGMLSLSCPTTSKLMEGSFMRKEQQHKPRLKLEFVRTLLIDNYDSYTYNIYQDLSVINGLPPVVIRNDDWTWEDLCHYLYEEKAFDNIVISPGPGSPACANDIGICLRLLHECKDIPILGVCLGHQALGYVHGAKVVHANEPVHGRLSEIEHNGCSLFNGIPSGRNSGSKVVRYHSLVIDPESLPKELIPISWTCSTDTQSFLEISNSSSISDACDIVPSDSRSEVQKSLRVWPFNGHRNKENGKVLMAVMHSIRPHYGVQFHPESVGTCFGREIFKNFREITEDHWLNYGPLVAHKENVDYSGNRIIQRKPVDQLSDRPFPSKGIGLNGVCRKGVGMFDLVNLSYPSNGVKFLKLTWKKYDHLASEVGGAKNIFFQLFGHHKAENTFWLDSSSIEKGRARFSFMGGKGGSLWKQMVFQLSDESGHPFEGGGYLSIEDVQGSATKTFLKDGFFDYLNKELSSFQYKREDYDGLPFDFHGGYVGYFGYELKVECGAASNQHKSRTPDACFFFADNLLVVDHSSDDVYLLSIHEECNTSTSWLDDAEIKLMELRTSVPDKLTEESSVNVSFTPGKVGFVAEKSKEGYMSDVEKCKEYIKDGESYELCLTTQIRKKIKDTDALRLYLRLRETNPAPYAAWLNFSKEDICICCSSPERFLQLNRDGVLEAKPIKGTSKRGVTTEEDEQLKMQLQYSEKNQSENLMIVDLLRNDLGRVCEPGSVHVPLLMDIESYATVHTMVSTVRGKKQANLSAMDCIKAAFPGGSMTGAPKLRSMELLDTIESCPRGIYSGCIGYISYNQTFDLNIVIRTIVLHEGEASIGAGGAIIALSDPTEEYEEMILKTNAPSRVMMEYS >KGN51257 pep chromosome:ASM407v2:5:17589654:17590991:-1 gene:Csa_5G505180 transcript:KGN51257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQPNTVRLSNHRLVDQILVAMLKNRPFDTHVHSAASTSTTHQLWSSDSVSDVLRSVPRFFFQSARSIGTQKGFRHRTPLKQRKLKEEAYKFRNNVLVLGPGAHRDPFKAKLGLNKALEFFYWVETHFGFQHDEITCREMACVLARGNTLMGLWDFLKEMSRRENGGLVTTATITCLIKVLGEEGLVNEALTAFYRMKQFHCKPDVYAYNTVINVLCRIGNFKKARFLLEQMELPGFRCPPDIFTYTILISSYCKYSLQTGCRKAIRRRLWEANHLFRIMLFKGFSPDVVTYNSLIDGCCKTYRIQRALELFEDMSKRGCTPNRLTYNSFIRYYSAVNEIDQAIKMLRMMQKMNHGIATSSSYTPIIHALCEGGKVIEARDFLLELLEEGSVPREYTYQLVCNLLNSAGKASLLDENVHERIRHGIENRYREVKKVKLIMSRKGY >KGN51117 pep chromosome:ASM407v2:5:16094549:16099355:1 gene:Csa_5G455720 transcript:KGN51117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNNNVLESVRAAVFKESEDLEGKSIKIEGYDFNQGLNYSNLIRSMISTGFQASNLGDAIEVVNQMLDWRLADEKVTEDCSEEEKDPIFRESTRCKVFLGFTSNLISSGVRDTIRYLVEHHMVDVIVTTTGGIEEDLIKCLAPTYKGDFSLPGAFLRSKGLNRIGNLLVPNDNYCKFEDWVIPILDQMLKEQREENVLWTPSKVIRRLGREINDKSSYLYWAYKNNIPVFCPGLTDGSLGDMLYFHSFRNPGLIIDIIQDIRAVNGEAIHASPRKTGMIILGGGLPKHHICNANMMRNGADYALFINTAQEFDGSDSGARPDEAVSWGKIRGSAKTVKVHCDATIAFPLLVAETFALRREKKKEKEVSVGVPES >KGN51832 pep chromosome:ASM407v2:5:22194880:22196091:1 gene:Csa_5G603290 transcript:KGN51832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCQAVDAAALVIQHPSGRIERLYWPVVASEVMRTNPGHYVSLIIPLPQSEDDNREPKTVRFTRVKLLRPNDTLALGHAYRLVTTQEVMKVLRAKKYAKSKKPLPESEEKPQTVMEERSAGDEEEDTEKNQQAVKHERHRIRAPVANTTAARSRAWRPSLQSISEAAS >KGN52625 pep chromosome:ASM407v2:5:27395809:27398323:1 gene:Csa_5G647470 transcript:KGN52625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDAVHDLSSLVSSEGRDFLIRNNGDQVKISSLIGKNVGLYFSASWCPPCRRFTPVFAGVYEEVAPKGEFEVIFISSDRDEDSFKDYFSKMPWLSFPFSDSEIVKRLKELFEVRGIPRLVVLDPSGKVSTDQGVRLVTEHGISAYPFTAEQIQHLKEEEEEARRNQTISSLLVSNSRDYVISNDGNQIPVSELEGKVIGLYFSVYGYADCDEFTPILVDTYKKLKEKGQNFEIVLISLDDANKDFSEALKTVPWLALPFQDEKCRKLTRYFDLSTIPTLVIIGQDGKTLISNAAELVEEHGVDAYPFTQEKLDELAEIEKSKLESQTLESILVHGEKDFVIGKDGAKVPVSELVGKKILLYFSAHWCPPCRSFLPKLIESYNEIKQKYKEFEVIFISSDRDDNSFQEFFSGMPWLALPFGDERKNFLNRRFKIQGIPAVVAINESGRTVSTEARKLITEHGANAYPFTEERLEQLEKQLEEESKGWPEKLKHELHDAHELVRTRRTSYICDACDGMGSGWSFYCKECDFDLHPKCALKNEVEANGEGKEGWICEGGVCRKA >KGN51331 pep chromosome:ASM407v2:5:18215514:18216072:-1 gene:Csa_5G517780 transcript:KGN51331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSSFLTLFLFTTSLSTVVSFEFQVGGLKGWVVPPANDSKIYNDWASENRFKADDAVRFRYKKDSVMEVTKDEYKRCNSTQPSFFSNTGNTVFQFSRSGTFYFISGANGHCEKGQRMIVKVMADDESSEKSSAVRTPTSWLGFMKLVSASLALSILF >KGN50983 pep chromosome:ASM407v2:5:14240275:14241287:1 gene:Csa_5G385350 transcript:KGN50983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSREMQSHTNNYQTLPKKQEVIITVYVESPKLQNSNPHKTPIVPHNNNNNSNPKPSLRKTPNSTGYDRRAQLLAYSRHLRNVHSSNTQSPKPKSKKWKWKVRSEAPTVRRMPSRRALQRWRYERVGMMREERTEVVDQPCRPKCLGGRSNKKSTSRSGSSIFRKLKSLLGELSKGCKRSGED >KGN50056 pep chromosome:ASM407v2:5:4773115:4776665:-1 gene:Csa_5G152210 transcript:KGN50056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPEAPVCYVGVARQSAAFRLMKQMGWEEGEGLGKDKQGIKGHVRVKNKQDTAGIGTEKQNNWAFDTTQFDDILKRLKVQAVKNSEEAAEKDDTSMGTVDDATDDQDLVTKATRPQGRYKRRERGKLVNAYSSKDLEGILVKKVEELPQTCPNAETEPESSEESEIELLTEENKASVSSDWWGYKYGFISGGYLGAESKRRKGLQTKSKENVHERIAFHEDDQENLYKLVQDKSTTGKQGLGIKSRPKKIAGCYFEGKKTSFDDSDDEDSGDAAPPLKRKYEDSFSTGTVKSNGQQKVKLRKLCKTILSQVTGESLKLKQLKALIDERTTSVFANYSSKKDALAYLKQKLESSGKFLVEGKRVSLRSKSG >KGN49766 pep chromosome:ASM407v2:5:2984727:2987108:-1 gene:Csa_5G114630 transcript:KGN49766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKLSAELLLAFASLLSAMLLFFRTLFHVSRRASFRVISLSSNSSHPDSLSFNVFNPSSSLTSINAYCISRPFFWFTSFLCIFRLPFVSYSNANNSFQYLDIGSLRKIIQQDLWNDPKIVVLFDSALAPIWVSKILLGLREDPKLALKFFKWAGSQVGFRHTTESYCIIVHLVFRARMYTDAHDTVKEVIMNSRMDMGFPVCNIFDMLWSTRNICVSGSGVFDVLFSVFVELGLLEEANECFSRMRNFRTLPKARSCNFLLHRLSKSAFEYFSEMKNNGLKPNVVTYSTLIDAFCKEGMMQGAIKLFVDMRRTGLLPNEFTYTSLIDANCKAGNLTEAWKLLNDMLQAGVKLNIVTYTALLDGLCKAGRMIEAEEVFRSMLKDGISPNQQVYTALVHGYIKAERMEDAMKILKQMTECNIKPDLILYGSIIWGHCSQRKLEETKLILEEMKSRGISANPVISTTIIDAYFKAGKSSDALNFFQEMQDVGVEATIVTYCVLIDGLCKAGIVELAVDYFCRMLSLGLQPNVAVYTSLIDGLCKNNCIESAKKLFDEMQCRGMTPDITAFTALIDGNLKHGNLQEALVLISRMTELAIEFDLHVYTSLVSGFSQCGELHQARKFFNEMIEKGILPEEVLCICLLREYYKRGQLDEAIELKNEMERMGLITESATMQFPV >KGN51191 pep chromosome:ASM407v2:5:16999331:16999543:-1 gene:Csa_5G487190 transcript:KGN51191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGRAKFSPHQNLRLLERTSATRRQQNFRRRSKGRMLGWFYLQRHVDDVDNGVSPTSPPYVEQRCPDMI >KGN50766 pep chromosome:ASM407v2:5:10486324:10486503:-1 gene:Csa_5G242140 transcript:KGN50766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEGGELRLRLCCDGRREGNVTETHTFDASGRRLTEMAMVSSTTVMHDDGRKLKRHQEI >KGN51998 pep chromosome:ASM407v2:5:23088044:23093781:1 gene:Csa_5G606880 transcript:KGN51998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKTLLKPKSSITKFFLSSLSFSSFCKQQQQPIFSFPSQSPPSPKKLPFTHSVHGVKLQDPYHWMSNTHDPDFADYLRQENLYAEAFMADTQVLQRQLFSEMTSRIPAKVSTPPEPWGPWFYYQYIPDGKEYPVLCRRLQNEKSSWFRKILNFGKGNSGKEEQVLLDWNEIAKQYGYVHVGTCRISPDHNFLAYTVDITGNEHFMLQIKDLRNGLIIPKLQKEGVVSLAWAEEGRVLFYTQADENQRPYRVFCTKVGFNDTEDVSVFVENDPNYCVDITSTKDGKFITVYIIDANNSLGGLQRIHERIPGIQYFLEHHHGFFYILTNAPLEKNGGCSEEDYYVARCRVEDIKSADWQDIVLQSEDFSIQDMDIFSGHLVLFVNKNGVSMLCSINFPLDADHNHHLEIDKLDPWFFPLPSNSCSVAPGSNHDFMSSLYRVVLSSPVMPDLIVDYDMSKRIFSIIQQEEVKVQHDVELKTNLPDTLDAEEVSDTQSKRENFQNCESQNWKDFSEAYFCERIEVKSHDGIRIPLTILYSPMTFKKGQSPGILQGYGAYGEILDKSWCPYRLSLLDRGFVLAFADVRGGGGGGDSSWHRCGSGLEKPNSIHDFISCANFLIHNGYVHKDRLGSIGYSAGGLLVGAAINMHPNLFRAAILKVPFLDICNTLLDPSLPLTVLDYEEFGNPQIQKQFESILSYSPYDNISKGTCYPSMLVTASFHDARVGVWEAAKWVAKIRDTTCSRCSTSAILKTNMLGGHFGEGGLYGGCEEMAYEYAFLIKVLRTPDHD >KGN52619 pep chromosome:ASM407v2:5:27357380:27364926:1 gene:Csa_5G647410 transcript:KGN52619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTAAVENGGSDMVIDGNEQKPKNTVSDGFDANYLKIYYGKLFPYADFFKWMSYGNDGKHPGSDQSYFGRREFSFTLDNDIYLRFQSFNNLSEMEISIKEKCPFKIDIGPVYSVDPAKRHAYADDNVFTPVERELVFDIDMTDYDDVRYCCSGADVCLDCWPLMTAAIKVIHTTLRDDFGFNHILWVFSGRRGVHCWVCDGKARRLSNEQRAAIAEYFHLYQGNENSRKKISLTGPVLHPFLVRSYTEVLKDSFESQLLCSQKIFSTEERYEKILDMIPDESITSDLRGRWQDNRRSSKNDVNSLRWEQLKSLLQSGKHKAQGMRRHVEEIVFSFTYPRLDSEVTKHMNHLLKAPFCVHPKTGRICVPIDPEHCDEFDPTTVPTVAQLLEELNAADMEVDSENDWDRTSLGESIRFFRSAFLQPLLKSCKEEIESSYNAKVQQSKNSLSW >KGN51048 pep chromosome:ASM407v2:5:15191409:15194545:1 gene:Csa_5G420310 transcript:KGN51048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSLGTCSIQRETPLELDHHHCRYNELKIKTLLRKMIWELGFACILPPARFRRKNQGIQDEEHPNVEGQNKNLEHNKAWLLAESGAGGGELLNTDPQSVHSSFRFSFCSQVELETMNIGSSTTAATVLMVNLDNGMMAEALTKELKWRRIESLEKSISPVAHTLIRFRYREILSATRNFSKGRVLGRGALSCVFRGRVGFLRTAVAIKRLDKEDKESAKAFCRELMIASSLHNPNIVPLVGFCIDPEEGLFLVYKYVSGGSLERHLHEKKRGMKGRFSLPWSVRFKIALGIAEAVAYLHNGTERCVVHRDIKPSNILLTSKKMPKLCDFGLATWTAAPSVPFLCKTVKGTFGYLAPEYFQHGKVSDKTDVYAVGVVLLELITGRKPFEARAHGEENLVLWAKPLLQKGKGAITELLDPQVTCTTKNSNQIVQMIEAAAACITGEESRRPGILEIIAILKGEEKAPLPSRSKRYSFLGHGCVTDCYSQFQNTNSEMTGHLALAMSGLPEFEDDDYLFCR >KGN50618 pep chromosome:ASM407v2:5:8694766:8695432:-1 gene:Csa_5G197100 transcript:KGN50618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRVHNTTIPLSRQRQQQNLTFPPQLYSFRFNFFFPIARTYFNSQTKIMWLIPSIVGGQRSKMFDPFSLDVWDPFAGFPFSNSLANAPSSAFPNTRIDWKETPEAYIFKADLPGIKKEEVKVEVGKGRVLQISGERSKEQEEKNDKWHRIERSSGKFMRRFRLPENAKIEEVTANMENGVLTVMVPKMEENKPEVKSLDISG >KGN51122 pep chromosome:ASM407v2:5:16156522:16158869:-1 gene:Csa_5G457240 transcript:KGN51122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENVIAIVDHGSHLAEGAAQALSPEPRSARHLSSSCEPIPFAREPIPRGSAYGSQLGVKGAAQAPFARATISTPLELKLGSAYGSQLGVKGAAQAPFARATSSTPLELKPEQSKTNQVRNEKLYRLDGMAASAKTVSIPDQSNSGDWTVVLPRRGKQKKTFPKLRIREDQRTWSPTDQANDTIRESKLLQKMEICIKKLENSQFYQTLVEELETMPFLESLNRVLGSESKMGMVVYGVGSIENYENPRLQLSLAILLKRKFSWIESLEVFDPILSATECRLMESFGCSVLSFNEQGRRCAEKPTMFFMPHCEAELYNNLLQENWKVGLLNHIVLFGNSFEIYEQFVSEFKNSPVVDSSKFILASRKFIREIKIKTVSDDYFGAFHDSSWQFFSPVSPLELQFIDL >KGN50633 pep chromosome:ASM407v2:5:8756237:8756970:1 gene:Csa_5G198230 transcript:KGN50633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGLLSEIIWSRGTGMSMAYQGKSGKWQGSVGGLVDAPIDKVWPVVTQSKRLQEWMPMVERCTDVAGDEGVPGYERVVSGFMFPLKDGERSWIREKLLSMDPSAHCYSYKLEASNVGLDGSINTLKLVDYGEDSTLIEWKFEINPLEGVCEESIIDYLGFLYKSCINRIEGAIKAAAKS >KGN50489 pep chromosome:ASM407v2:5:7639603:7644369:-1 gene:Csa_5G177090 transcript:KGN50489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLFLRSFGTGHGKNNSAIPSTNESETHWENPLESRTSSSKAGSSPQSTRSGKHIDDSERFGTGPKLRRTRSLSSAAFRDQGQIDFYGSSDPSRSPGNSSSGFKRQHEPSSRCQSPSREMQFNAKQMEMPNDYYASGSIRPSSRTCYDSSGNSSTSVSSVSNRVLDRYIDGEQHQEINGSMSKCSQRSNGWRPPRAQCLPYTSTTASIKDKPRSYSSREAKGSISRLLSEEVGEYGFGNDSPRSIAKTVVDKLSQHHVVPKATSRELGENVPITVTDIHTRSSNECFDPNSDLGNQPCFPTDAPWKTVSGHMYETYKPGETNEDFDGELQKRAKEAEERVMFLSEELEQERFNQYRKFDVSDLIQIIRILTGERFTLALEISNLLQSRIADRTCAREELRQANAELESRTQKLEKEKIELQVGLEKELDRRSNDWSFKLEKYQLEEEGLRGRVRELAEQNVSLQREVSSLNKMETENRTITTNLEQNIMDLTAKIDEKNEENKYLQLNLSKLEEDYRGAIEGMDCIRKNYEEKEKECKDLHKSITRLSRTCNEQEKTIDGLRERLSEQFSNIQPVEKFDKQCERLKMEQMRLTGVELALRKELESCRVEVDSLRHENIKILTRLKDNGNESGAITFKLDNEMSARVYHLQNQGLVLLNESTQFCSKLLEFIKEKIGQFHPTEHRMEHIKNGLDGQFFLESEMKIRSLKHGIESLTMSLQKISMLLQAKSNPTSQTSDVDNALQLNCQYLEDGLRSELKAETLFSSLLREKLYSKELEVEQLQTELVTAVRGNDILKCEVQNGMDGLSCLTHKMKDLELQLRMKNEEISKLQKGVEESTRELESVKEVLEKISKERDMMLEEVNKYREKNMLLNSEVDVLKSNIETLEEDNLLKEGQITILKDTIGSQSINLLASPNSSWDFQLQ >KGN50376 pep chromosome:ASM407v2:5:6788705:6791166:-1 gene:Csa_5G169120 transcript:KGN50376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKLQWFVPTLACLTFCMRDNVSYDSNAIIINGERRIIFSGSIHYPRSTEAMWPDLIQKAKDGGLDAIETYIFWDRHEPQRRKYDFSGRLDFIKFFQLIQDAGLYVVMRIGPYVCAEWNYGGFPVWLHNMPGIQLRTNNQVYKNEMQTFTTKIVNMCKQANLFASQGGPIILAQIENEYGNVMTPAYGDAGKAYINWCAQMAESLNIGVPWIMCQQSDAPQPMINTCNGFYCDNFTPNNPKSPKMFTENWVGWFKKWGDKDPYRTAEDVAFSVARFFQSGGVFNNYYMYHGGTNFGRTSGGPFITTSYDYNAPLDEYGNLNQPKWGHLKQLHASIKGKFCFLSNTDEKNDVTIDLQADGKYFVPAWSVSILDGCNKEVYNTAKVNSQTSVFVKEQNEKENAQLSWAWVPEPMKNTLHGNGKFAPNLLLEQKRVTIDFSDYFWYMMKVDTNRTSSLQNSTLQVNTKGHVLHAFVNKRYLGSQWGSNVGLKNYDAFYDVVPTGIDGGPIYLIGDENMTTDLSSNLWPYKENDMVQRLALKHLFDPVVLDMQGMGKGQAWVNGQSIGRFWPSFIAGNDSCSATCDYRGAYNPSKCVENCGNPSQRWYHVPRSFLSSDTNTLILFEEIGGNPQQVSVQTITIGTICGNANEGSTLELSCQGGHVISEIQFASYGNPGGKCGSFKKGSWDVTNIALVVEKACIGIESCSTDVSAKPFRLGDATNLSTRLTIQVLCAHN >KGN52481 pep chromosome:ASM407v2:5:26463347:26465897:1 gene:Csa_5G637710 transcript:KGN52481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMPKWSFLVSIILGLISTVVVDSMRFDLQSGTTKCISDDIKANAMTVGKYSAVNLNEGFPIPDSHKITVRVSSPHGNTYHNADHVESGHFAFTAAETGDYTTCFWVPEQNPPGLVSVEFDWRSGVSSKDWSKVAKKGQIEVMELELKKLSDTITSIHDEMFYLREREEEMQLLNRSTTTKMATFSILSLGVCLSVAALQLWHLKTFFERKKLL >KGN52014 pep chromosome:ASM407v2:5:23187295:23190256:1 gene:Csa_5G608020 transcript:KGN52014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECAVLSEPRNAAFVVRLHFHDCFVQGCDGSVLLDDTITLQGEKKASNNIHSLKGFRIIDRIKNSIESECPGIVSCADILTIAARDAVILVGGPYWDVPLGRKDSTSASYELANTNLPSANEGLLSIISKFLYQGLSVTDMVALSGAHTIGMARCENFRQRIYGDFDATSDPNNPISGSYIEKLRSICPLVGKTGEDNITAMDNMTPELFDNSYFHILMRGEGVLNSDQELYSSLLGIETKALVKKYAADPIAFFQQFSDSMVKLGNITYSDSFVNGEVRKNCRFINT >KGN51298 pep chromosome:ASM407v2:5:18009171:18010855:1 gene:Csa_5G515030 transcript:KGN51298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKGLRIASLILRNLTFILTFISLLIVATNSKTVLIDGTVGEVKVKFNNVASYRYLVATAVIGGALSLLQIVFNIYHLITNGEGTPLFYMFSDQLLTYLLLSGASAGLGAGIDLRVNIKELLEGTYFNSFFDKANAGSAILLLAFVCAAIVSVLSSLALIR >KGN52107 pep chromosome:ASM407v2:5:23752142:23752632:1 gene:Csa_5G610410 transcript:KGN52107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRVLRDFHGGGLTIASPVLIFWAVVLSISIITTVIFSCTGGSGAKDKDTSHADTYGGAACAAGCGGGCGA >KGN49666 pep chromosome:ASM407v2:5:1763450:1764880:-1 gene:Csa_5G054570 transcript:KGN49666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKVLITGVGKGLGRALGIELAKFGHIIIGCSRSQTNLNSLKQHLLNISSHNHLLLNIDVSCDDSVKEMTRIVVEKIGIPDIIVNNAGVINKKGKIWEIPREEFDKVIDINIKGTANVLRHFIPLMIPINKGIIVNISAIVATFGVPMASPYCSSKWATEGLSKSVAKEVGDGMTIVTLDPGVVNTQMLFTLLGNTSSQFQTPQKWATKAAPMILNLTRTDNGASLTVEDPGILPL >KGN49608 pep chromosome:ASM407v2:5:815662:828728:-1 gene:Csa_5G023880 transcript:KGN49608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKAEAERKVEESVVEDDQVVNPWQVSAKDGGKIDYDKLIDKFGCQRLQPSLVDRVQRLTSRPPHVFLRRGVFFAHRDFEEILNAYERGEKFYLYTGRGPSSEALHLGHLVPFMFTKYLQDAFKVPLVIQLTDDEKCMWKNLSVEESQRLARENAKDIIACGFDVSKTFIFSDFDYIGGAFYKNMVKVAKCVTYNKVVGIFGFTGEDHIGKVSFPPVQAVPSFPSSFPHLFSGKDDLRCLIPCAIDQDPYFRMTRDVAPRLGYHKPALIESSFFPALQGETGKMSASDPNSAIYVTDSAKDIKNKINKYAFSGGQDSIEKHRLYGANLEVDIPTKYLNFFLDDDAELEHITKEYGAGRMLTGEVKQRLIQVLTEMVERHRRARAAVTDEMVDAFMAVRPLPNMFD >KGN50931 pep chromosome:ASM407v2:5:13391540:13397688:-1 gene:Csa_5G348070 transcript:KGN50931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLAVRCLRSYGSNSIIHLVLIGFLYLRYVADPKTLWNWFEPYVKDDEEFSPGSHGRMTTMGVYVRDLLLGQYYFDTLFPRIPVPVLRQVVSNLEKLKLPSKHSGVTGETHRIGSEDTARRPPSVKASLSVSFGQRAPHRASTRDSSPVRRTLPSSANDRDNNDDLRRSPSIRRSQSREHPDSRERSRDREFDRERSRDRDYDRDRDRGRDRDRDREHDRDREKYRDRERDRDREREKARDRERDRERDRERSYDYDRRTHYSDRESRRDFERSSRDGGTRHYRRSRSRSRSRSRSRSLQTHHEREPSPARGGTTEKASVISSNLAKLKDIYGDVSEQKGDSSKVNRRDGSGEEVIRLGGSWK >KGN52003 pep chromosome:ASM407v2:5:23109104:23117272:1 gene:Csa_5G607420 transcript:KGN52003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRNVFKTAASRKAKLFSEFSSSTSRKVTEDHIFLDQIRSSRSLETLNTCCQSGGSSRIIFLHPQKVGFTNSNFPHSSNPVPFYGVLYHAKGYATAAEAAIFEGDLSGSEEIQEIMEGLNKQDKVELHFKQPKGMVDGNRDTKYDMLRKRQIKIETEAWEEAAKEYQDLIADICEQKLAPNLPYMKSLFLGWFQPLRDAIVAEQESVKFKRSSPSHALYFHLLPADMMAVITMHKLMGLLMSDIEGGGSVRVTQAASGIGEAIENEVRIRNFFEKTKKQPEQLAEGHDKLRKKLTKLMKQQKLQKVNFIVKNHDDSKPWGTDAHVKVGCRLIQLLIETAYIQPPVDQIGDAPPDLRPAFVHSLKTSLKESQRLGKRYGVIECDPLVYRGMVKTAGHMIIPYMPMLVPPRKWTGYDQGAHFFLPSYVMRIRGARQQREAVKRASKKQLGPVFKALDILGSTKWRVNKRVLSVIEKIWASGGRLADLVDREDMPLPEQPMVEDEAEIRNWKWKVKAVKRENSERHSQRCDTELKLAVARKMKEEEGFYYPHNLDFRGRAYPMHPHLNHIGSDFCRGTLEFAEGRPLGESGLRWLKIHLANLYAGGVDKLSYKDRISFTENHLDEIFDSADRPLEGSRWWLGAEDPFQCLAVCIDLSEALRSPSPETTISHMPVHQDGSCNGLQHYAALGRDKLGAEAVNLAAGDKPADVYSGIASRVLDIMRSDAAKDPASNPNALHARLLINQVDRKLVKQTVMTSVYGVTYAGAKDQIRQRLKERSSIENERHLFTASCYAAKTTLTAIGEMFEAAKSIMNWLGECAKVIASENQAVRWTTPLGLPVVQPYRKLGRHLVKTSLQMLSLQRETDKVMAMRQRTAFPPNYIHSLDSSHMMMTALACKRAGLNFAGVHDSYWTHACDVDEMNIILRKKFVELYEAPILETLLKDFQKSFPNLKFPPLPVGGDFDLKEVLQSTYFFN >KGN52330 pep chromosome:ASM407v2:5:25128684:25129335:1 gene:Csa_5G623920 transcript:KGN52330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKPIQHFCIIPIQILQKRQFIQRTHTPNPRFHLSSHHYILLAHHLVVNERRRCPPFSIPITATRCHLHESLSIRVLTGEFLDKRSKLRGAGFHLHRTRNVHDFETRPRRFLASLCLFFACYNHREFSPFFHPSNGEVIRSFII >KGN51944 pep chromosome:ASM407v2:5:22800674:22803441:-1 gene:Csa_5G606350 transcript:KGN51944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRAFRRRTLYSRPIDRFRFRASADGATKSGFIRDMKLKEILTALFIGLPLVVFVVLLFRNSTVDLFLGFAEARALERVANNGGSESSGSENSSSRLSNGDKLIGGLLIPGFDEGSCLSRYQSSLYRRTSTNKPSSYLLSMLRDYEALHQRCGPHTKPYDEAVEQLRSGNSVSLTECKYVVWMSYSGLGNKILTLASAFLYALLTNRVLLVDPGKDMADLFCEPFPEKSWLLPNDFPLVDQFSNFDSKSPNCHGNMLKMNTLNTSIESVPSYLYLHLTHDYDDHDKLFFCDQEQALLGRVPWLILKTDNYFVPSLFLIPSFEQKLSNLFPQKDTIFHHLGRYLFHPSNHVWGLITRYYKAYLASADERIGIQVRVFKSEPGPFQHITDQILGCTLKEKLLPEVDKEGSSSVPLERPRVKAVLMTSLSSGYYEQLRTLYWEYPTKTGDVITVHQPSYEMYQQTEKKTHNRKAWAEMYLLSLSDVLVTSAWSTFGYVAQGLGGSKPWILYKAENMTTPNPPCRRAMSMEPCFHAPPFYDCKAKKGIDTGEVVPHVRHCEDMSWGLKLVHHEDL >KGN50751 pep chromosome:ASM407v2:5:10218372:10218687:1 gene:Csa_5G223090 transcript:KGN50751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTGEKGLLKFLHPKLRNVLHPINIEAAALWSVAGIATALWLVQPFDWLKKKVWAVEEKGESGVQTNE >KGN50850 pep chromosome:ASM407v2:5:12058152:12062896:-1 gene:Csa_5G289610 transcript:KGN50850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSASLSSKTRTLIDIFQPALSKRLKTSQTLKTLATNDDKCDSDLTLASSSADISASQISRMETNKWIARSKRNLKTCSDRVSKWENGCVKLEELLVEETWFEALPGEFQKPYALNLCKFVQTEICSSGVPIYPPPSLIFNALNSTPFDRVKVVILGQDPYHGPGQAMGLSFSVPEGVKIPSSLLNIFKELRDDLGCSIPSHGNLGKWAVQGVLLLNAVLSVRKHQANSHAKRGWEQFTDAVIKTISQKKEGIIFLLWGNSAQAKLRLIDEKKHHILKAAHPSGLSANRGFFGCRHFSRTNILLKEMGTASIDWQL >KGN52100 pep chromosome:ASM407v2:5:23721307:23725048:-1 gene:Csa_5G610350 transcript:KGN52100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASREGRRHHNHRHHNLVPLAALISKEVRSERLEKPTVRYGNAAQSRKGEDYFLMKTDCQRVPGNPSSTFSVFAIFDGHNGNAAAIFTREHLLTHVLGALPRGLGQEEWLQALPRALVAGFVKTDKEFQSRGETSGTTATFVIIDGWTVTVASVGDSRCILDTQGGAVSALTVDHRLEENVEERERVTASGGEIGRLSIVGGAEIGPLRCWPGGLCLSRSIGDMDVGEFIVPIPFVKQVKLPNAGGRLIIASDGIWDALSSDMAAKSCRGLPAELAARQVVKEALRTRGLKDDTTCIVVDIIPPDNSAQSSPLPKKQSVLKSLLFRKKSPSSNKLSKRLSAIGFVEELFEDGSAMLAERLGTVELSGSGHGTPNMFTCVVCQVDLAPSEGISVHAGSIFSTSSKPWQGPFLCADCRNKKDAMEGKRPSGVRVA >KGN52517 pep chromosome:ASM407v2:5:26665300:26666019:1 gene:Csa_5G640510 transcript:KGN52517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRSSFSGLTMVVVVMLITILFSSHSCRGSVLIKANATYDVVMTEELMIYGDINRHLMPSGSKAKTGKTHERNVVACGNGAGNTYAVCGGSISNENKCDAYKGPNAC >KGN50202 pep chromosome:ASM407v2:5:5761807:5765520:1 gene:Csa_5G158580 transcript:KGN50202 gene_biotype:protein_coding transcript_biotype:protein_coding description:Primary amine oxidase MAARKYLLSSFLSLTTAFALFFTWLHLSSPPPNVAELLDCAAYSPWCSSKSHPTNLGRDQNPTRRHDHSSDTPHHPLDPLTVTEINKARSILSSHPLFKSSPFSIHSLVLEEPNKSIVLKWKIGDPLPPRKAVVIARVNENSHVLTVDLTTANVVIRETGPHSGYPTMTVEEMNGATWVPLKSESFNQTILNRGIALSDLACLPISTGWFGAAEENRRLIKVQCYSMKDTANFYMRPIEGLTVLVDLDTQEVIEISDKGKNIPIPKAANTDYRYSAQPPNKVMKILNPISIEQPKGPSFSVEDNYLVKWGNWEFHLKPDPRAGSVIYGAKIRDPETGDLRDVIYKGYTSELFVPYMDPTDAWYFKTYMDAGEYGFGLQAMSLDPLNDCPRNAYYMDGVFAAADGKPYVRRNMICLFESYAGDIGWRHAESPITGMDITEVRPKVTLVARMAASVANYDYIVDWEFQTDGLIRIKVGLSGILMVKGTSYENTNQFPGEDLHGTLLSENVIGVIHDHYITFYLDMDIDGSDNSFVKVNLQRQRTSKGESPRKSYLKAVKKVAKTEKEAQIKLSLYDPSEFHVVNPSVKTRVGNPVGYKVVPAATAGNLLDLDDPPQRRGAFTNNQIWVTPYNRSEEWAGGQFVYQSHGEDTLQSWSDRDREIENKDIVVWYTLGFHHIPCQEDFPIMPTVSASFDLKPVNFFESNPILSFPPNTFEDLPVCKPAASA >KGN50775 pep chromosome:ASM407v2:5:10653315:10660803:1 gene:Csa_5G256680 transcript:KGN50775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMKMQQSHHSVAVRFNEQIGSKKGSTFIQAKRDWLPKFLLLWVLLVAFISMLIYKGMDADNKETFAEYTARTAFERPLLSGVAFAQRVVHFEREKFEKQHGWTIKTMEREPSPIKDEYAPVIFSQETVSYIESLDMMSGEEDRENILRSRETGKAVLTSPFRLLGSHHLGVVLTIPVYKTKLPLNPTMDERTRATAGYLGGAFDVESLVENLLGQLAGNQAILVNVYDVTNYSDPLVMYGHQYEDGDMSLSHESKLDFGDPFRKHQMICRYHQKAPTLWTALTTAFLFFVIGLLVGYILYGAATHIVKVEDDFHEMQELKVRAEAADIAKSQFLATVSHEIRTPMNGILGMLALLLDTELSSTQRDYAQTAQACGKALIALINEVLDRAKIEAGKLELEAVPFDLRLILDDVLSLFSEKSRHKGVELAVFVSDKVPEIVMGDPGRFRQVITNLVGNSVKFTEHGHIFVKVQLAEQSMVSTNIKSETHVNGNSEDGASHNKHQFETLSGFEAADNQNSWDTFKHLANEEFQPNGSSNLMATNEISDIVTVMISVEDTGIGIPLSAQGRVFMRFMQADSSTSRNYGGTGIGLDISKCLVELMGGQINFVSKPQVGSTFSFTAVFGRCEKKATVNIKKSNLEELPSAFRGLKAVVVDGKPVRAAVTKYHLKRLGILVEVASSVKMAAALWGKNGSVRSSNILQPDVILLEKDIFISNEECGSSNLLHQLDWKQNGHTLKLPKLILLATCMSTVEFDKAKEMGFSDTLIMKPLRASMIGACLQQVLGSGKKRQLGKDMANGSNFLKGLLCGKKILVVDDNKVNRRVAAGALKKFGADVECVESGKAALALLQLPHSFDACFMDIQMPEMDGFEATRRIRMMESKENEVLIRESNGKENARKDEWHVPILAMTADVIHATYDECLKCGMDGYVSKPFEEENLYQAVAKFFNTKPITDS >KGN51405 pep chromosome:ASM407v2:5:18818325:18819945:-1 gene:Csa_5G528420 transcript:KGN51405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEPISSKAETPLPTNSDQGYSVNGDEPMPDLPQNPADSSSSDSDSEDDESNQNLHLQSLQSQLSSNPSDYDAHVQYIKILRKVGDIDNLRKAREAMSEIFPLTPSMWQEWAEDEASISTGPEALAAIERLYERGVFDYLSVSFWLDYLNFIREYDPLVQDCATSGIKKVRDLFERALTAAGLHFTEAEKLWEAYRDLEKSIYQTIAETDAQV >KGN51296 pep chromosome:ASM407v2:5:17998745:17999401:1 gene:Csa_5G515010 transcript:KGN51296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSSGSRITSLILRILTFVFLFISFFILITTSQTVRPYKLHFNDYHGFRYMLATIIIGLVFNLLQIAFSLFNIVKNGDGTILFDFFGDKFLSYLLATGAAAGFGVGVDLKKVDPDDLFRIFFDKAYAASTLLLFAFFCSAAVSILSSFALSKRS >KGN51542 pep chromosome:ASM407v2:5:20257714:20258938:-1 gene:Csa_5G576840 transcript:KGN51542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSQFQSPKLIHIHLTFFHVVPYILLFTVGITAGVFLTFYLSNFFISLNLTQISSSDFFPVTGGRVGLEEFLKPPEVMHDMDDEELLWRASMMARIKKFPFQRVPKIAFMFLTKGPVYLAPLWEEFFKGNEGLYSVYVHSDPSYNHSSPEPPAFHGRRIPSKKVGWGKVNMIEAERRLISNALLDISNERFVLLSESCIPLFNFSTVYSFLINSTMKSFIMSYDEPSNVGRGRYRNKMFPPISLKQWRKGSQWFEIDRDTAVAVVSDKKYFPVFQNYCKGQCYSDEHYLPTLVNVLGWDRNGNRSLTWVDWSKGGPHPARYSRSDIHVELIQRLRNQTGECRKSKMEGKGVCFLFARKFAPNALERLVNIAPKAMYFGR >KGN50010 pep chromosome:ASM407v2:5:4443747:4446434:-1 gene:Csa_5G148810 transcript:KGN50010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTSTLTATSSTSPLSSKSPFLTPFTNQISFPFALKLSKPLFSPYGIGPALGLGLSVKAQTLEFSGSFFEGGLGSQDEPPAPPGTGFATALEEKEEPQCPPGLRKYESMVVLRPDMSEDERLAFTEKYEELLVAGGAMYVEVFNKGLIPLAYSIKKKNKAGETNTYLDGINLLFTYFTKPESMAVLEATLQADDDVVRSMSFKVRKRKF >KGN52030 pep chromosome:ASM407v2:5:23285619:23287306:-1 gene:Csa_5G608180 transcript:KGN52030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMAAAYTVIFSSPTLLQHSFNPSQTRPHPSITSFKPIVSSKANPSNGVFIRSRNFCTAPVSRERRYKVLTVSSLVDGYTGDDDEPSQRNSDTGAAIDIKLPRRSLMVTFTCNQCSERTKRLINRLAYERGLVFVQCAGCQKYHKLVDNLGLIVEYDFREEDVDLDSSSDQV >KGN51762 pep chromosome:ASM407v2:5:21784606:21787105:1 gene:Csa_5G598700 transcript:KGN51762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVLGNWALLLDVTSPRIVLADRKARPLALDVVLSLHKRDLNAYYAAIVGKSFEADGEARSQRIVARGKANSKKNGGVEFESDEEENWESNGLDEEEKLDWEQEMRKRVKEIEERRELEKKAEEIQSQVEEEGSEGIEETEEEKRMRVRKELEKVAKEQAERRATAELMFELGQKAYGRGMYSRAIEFLEGALTIIPRPTLFGGEIQIWLAMAYEANNRHGDCIALYRQLEKTHPSVSIRRQAAELRYILQAPKIKISQEEMVTIPLIGSSYDSYAATWSDKNKDKDQKGSWSTTNQLPSSKDYLGDFLVWRPPIGLGKSQAFWLGLTLWLGLVGAALLLQK >KGN49719 pep chromosome:ASM407v2:5:2540212:2540994:1 gene:Csa_5G083810 transcript:KGN49719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLTTTYVLPLKDDVEKLKHPPTEYSFIDQDHSVEFVVSRLKEDFEKKSKDGKLKRKLNKYNHRTSQKGYANLVEEMGEFLYKEKKDVANVIDDVLDNQKIRNLSGGDDVPNPSIGWKRSLRNSSRCGEVCHKEKLFPYPDGIKIETK >KGN50608 pep chromosome:ASM407v2:5:8600966:8602925:1 gene:Csa_5G190520 transcript:KGN50608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGEMEPHVLIFPFPAQGHVNSMLKLAELLTLSGLRITFLNILRIHQKLTLHTDIQSRFSRFPNFQFQTITDGLDNRLIDKFSDLIDSLKSITMPLLKQMLLSGEFGPTPTCIILDGLFNFIVDVDAHPNIPVFSFRTISACSFSAYSFVPKLIEDGQLPIKGEEDMDRIISGMGGMENVLRCRDLPSFCRLEDPFDPGLQHGVTQTIQSFKSRALIFNTFNDLEGPILSSLRSRCSNIYAIGPLHAHLKTRLSGEISPASSVSSNGLWEVDRSCLAWLDDHPPKSVIYVSFGSVVVIGDDQFREFWHGLVNSGKRFLWVMRPNSLAGKDGVPADLKEKTNERGYIVDWAPQEEVLAHKAIGAFLTHSGWNSTLESIVAGVPMICWPQFADQQTNSRYVSDVWKIGLDMKDVCNRETVTKMVNDVMENRKNELMGSVIEMAESAITSVEEGGSSYCDLERMINDIRLLCKRQRDTIG >KGN52685 pep chromosome:ASM407v2:5:27758044:27762550:1 gene:Csa_5G650500 transcript:KGN52685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCICSRDSSEPEDSSHKEPEKELNKSSVQLVAPSPSKREDMLLEIQLTRQASKANGGSVHKAKVNGGSVHRAKEDDESENKSVKAAKANNDNASVGGKQPDIFRLASLSKGAETELVMAGWPSWLASVAGEAIKGWVPRRADSFEKLDKIGQGTYSSVYKARDLETGKIVALKKVRFVNMDPESVRFMAREIYILRKLDHPNVMKLESLVTSRMSGSLYLVFEYMEHDLAGLAAVPGHKFTEAQIKCYVQQLLHGLEHCHSRGILHRDIKGSNLLVDNNGVLKIGDFGLATFFQPDQKQPLTSRVVTLWYRAPELLLGATQYGPGIDLWSCGCIVAELFAGKPIMPGRTEVEQMHKIFKLCGSPSEEFWRRTKLPHATSFKPQHRYKSCLSETFKSFPPSALALVNKLLAIEPEHRGSATLALRSEFFRTEPLPCDPSSLPKYPPSKEFDAKLRNEEERKKKAEAVKGRHPESVRRGSRDTKAVPTPEFNTQGDIPIKALPISKKTSTKFNPQEDGEPSRVVQNRYNHSTSMVHPTVAGSWGKPTGALRNHAELKTQKSQMHRSVGDLSASSLKKEDSVSNKDSGMGYVQKKNRIHYSGPLVPTGGTIEDMLKEHERQIQQAFRKARLDKAKTTKSYDD >KGN52106 pep chromosome:ASM407v2:5:23747230:23748867:1 gene:Csa_5G610400 transcript:KGN52106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSAIVLATITSLHLIAFVLAVGAERRRSTANIVPDEYDEKTYCVYGTDASTVYGLSAFGLLLISQAVVNGVTRCFCCGKGLISGKTTTVAIFFFVFSWISFIGAEIGLLAGSARNAYHTKYRATFGVEKLSCATLRKGVFAGAAAMTVLSMVGSILYYWMHSKADTGGWEKHQNNEGVGMGTAGVHLHMKQQNAQ >KGN52054 pep chromosome:ASM407v2:5:23444906:23454650:1 gene:Csa_5G608420 transcript:KGN52054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKQLIVVVEGTAAMGPFWQTVVSDYIEKIVRSFCGNELTGQKPPTSNVEFSLVTFHTHGSYCGCLVQRTGWTRDVDIFIQWLSAIPFSGGGFSDAAIAEGLAEALMMFPTQPNGGQNQQTMDMQKHCILVAASNPYPLPTPVYRPAVQNLEQHDNVEPGSSQSYAETVAKSFPQCFISLSVICPKQLPKLKAIYTAGKRNPRAADPPIDNVKSPSYLVLISENFVEARAALSRPGITSLPANQSPVKMDISSVVPVTGPPPTTTPSVNGPIINRQPVSVPNGPTATVKVEPNTVTSMTNGSGYPPHMPSVVRAASQGVPSLQTSSPLSSQEMITNNENTQDLKPLVTGVPQPVRSLGPANVSILNNISQARVMSTAALNGGTSIGLPSMGQTPIAMHVSNMISSGMGSSVPAAQNVFSSGQSGMTSINGSSTLSQVAPNSGISSLTSGNNNISGNPNIATSQAVGNLQGSVSVSQSVPGISQGNLAGTQVVQSGIGVSQNVMSNLTQPGVSSGNGTMIPTPGMPQQVQNGMHSLGMNNAAANMPLPQHSAGALQQQAQSKYVKFWEGNLSGQRQGQPVFITRMEGYRSASASDKLAANWPQTMQIVRLISQDHMNNKQYVGKADFLVFRAMNQHGFLGQLQEKKLCAVIQLPSQTLLLSVSDKACRLIGMLFPGVRYL >KGN51192 pep chromosome:ASM407v2:5:17002107:17002433:-1 gene:Csa_5G487690 transcript:KGN51192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEDISIPKVDPAVPIKDVDSEEIRGFGEFAVSEHNEATGENLEFVKVINGLEQGRRGFRIDFTLVLSAKNSIGIIWTYKVKVFLNQRAGVRLLEFEAVLNENGNQS >KGN51577 pep chromosome:ASM407v2:5:20554650:20557638:1 gene:Csa_5G580620 transcript:KGN51577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRETADALVSTGLSKLGYEYVNIDDCWAEIARDDKGNLVPKNSTFPSGMKALADYVHAKGLKIGIYSDAGYFTCSKTMPGSLGHEEQDAKTFAAWGIDYLKYDNCNNGNIKPTIRYPVMTRALMKAGRPIFLSLCEWGDLHPALWGDKLGNSWRTTNDINDSWESMISRADLNEIYADYARPGGWNDPDMLEVGNGGMTKDEYIVHFSLWAISKAPLLLGCDLRNLTKETKAIVTNTEVIAVNQDPLGVQAKKVRSEGDLEVWAGPLSGYRVAVVLLNRGPWRNAISAQWDDIGIPPNSNVEARDLWEHTTLKTTFVANLTATVDSHACKLYILKPIS >KGN49853 pep chromosome:ASM407v2:5:3495292:3498005:1 gene:Csa_5G139310 transcript:KGN49853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEVTQVLLNAQSIDATVRKQAEDSLRQFQEQNLPSFLLSLSNELGSEEKPVDSRKLAGLILKNALDAKEQHRKFELVQRWLSLDGNVKTQIKACLLNTLSSAVADARSTASQVIAKIAGIELPHKQWPELIGSLLLNVHQQSSHVKQATLETLGYLCEEVSPDVIDQDQVNRILTAVVQGMNASEGNNDVRLAATRSLYNALGFAQANFSNDMERDYIMRVVCESTLSPEVRIRQAAFECLVSIASTYYDKLARYIQDIFGITAKAVKEDEESVALQAIEFWSSICDEEIDILEEYGEDFTGDSDIPCFYFIKQALPALVPMLLETLLKQEEDQDQDEGAWNIAMAGGTCLGLVARTVGDDIVPLVMPFIEENITKSDWRQREAATYAFGSILEGPAPEKLMPIVNVALGFMLSALTQDPNNHVKDTTAWTLGRIFEFLHGSTLDTPIINQANCQQIITVLLQSMKDVPNVAEKACGALYFLAQGYEDVGPSSPLTPFFQEIVQSLLTVTHREDAGESRLRTAAYETLNEVVRCSTDETAPMVLQLVPVIMMELHNTLEGQKLSSDERERQGELQGLLCGCLQVLIQKLGSSEPNKYAFMQYADQIMGLFLRVFACRNATVHEEAMLAIGALAYSTGPDFGKYMTEFYKYIEMGLQNFEEYQVCAVTVGVVGDICRALEDKILPYCDGIMTQLLKNLSSDQLHRSVKPPIFSCFGDIALAIGENFEKYLMYAMPMLQRAAELSAHTAGIDDEMTEYTNSLRNGILEAYSGIFQGFKSSPKTQLLVPYAPHILQFLDSIYMRKDMDEVVMKTAIGVLGDLADTLGSNAGSLIQQSVSSKDFLSECLSSDDHLIKESAEWAKLAISRAISI >KGN50907 pep chromosome:ASM407v2:5:13034006:13034828:-1 gene:Csa_5G321450 transcript:KGN50907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIRVLTKSNLSLKHYQYPVLRTDGTSRPVTPSDLSYGTATFGQIKEVLRTPRLDPHFHYFLGLCLKIKASLKFFEPCLRVAEGAFLLVDRPYSFLPKKGPHSGLLPQEKKVERFFLSTYSLSLLIDLFQSPKAEEFKTAEWEVSRPRIRVIPRHKVAYWIALEFGGPSNKGLGSGVPKKGPGSGGFIRRTIH >KGN50453 pep chromosome:ASM407v2:5:7364879:7367930:1 gene:Csa_5G175770 transcript:KGN50453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVTKQPLYLSFYRKGTKHHGLAMWKQPAIVKGPLGIVSWTELTLLTMFIILLVWSFATYLHNSFITEKMWEIRLGSAGFWLGIVGNICLVFLFFPVARGSSLLPLLGLTSEGCIKYHIWLGHMTMAFFSAHGICFLIYWAATNNISQMIKWAKTDISNIAGELALVFGLIMWATTIPRIRRKFFELFLYTHYLYILFIVFFIFHVGISYACVMLPGFYLFVIDRYLRFLQSRRRVRLLSARLLPCQTLELNFSKHPGLKYNPTSTMFINIPSISKLQWHPFTITSHSDLEPEKLSVVIKCEGTWSSKLYKTLSSSSSSAINDHLQVSLEGPYGPVSTSFLQFDTLLMISGGSGITPFISIIKHIIHNSSYSHHNKTPKLLLISAFKTTADLTFLHLLQTPTTPHNLQIEAYVTREKSPQNENPQIRSVTFKSHIEESAAAGILGRNGWMWLAGVICSSFGIFLIFIGVLNRYYIYPIDGNTNDVFALGLKSFLHMLGLCFGVFTAATAAVLWNKRETAKEERQIQNVEGATPNGSPCAIGCEKEIELESDPFQVLNQSVNVHYGERPNLPRMIEECKGENIGVMASGPKKLRQEVAAICGSALPKNLHYHSISFTW >KGN51079 pep chromosome:ASM407v2:5:15635413:15635721:1 gene:Csa_5G430250 transcript:KGN51079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRVRLLNRLAEIGGYDENTDSVRFCSPENKMVAHCLIVLIHVAISLSIDSQFIFWISSMLCFSNPSFSKASSIMFLLATMYTWQHSDSYPPEIIRLAILFK >KGN50732 pep chromosome:ASM407v2:5:10063980:10066845:-1 gene:Csa_5G221940 transcript:KGN50732 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expansin S1 MAFSYSPFSSLFLLPFFFVFTFADYGGWQSGHATFYGGGDASGTMGGACGYGNLYSQGYGTNTVALSTALFNNGLSCGACFEMTCTNDPKWCLPGTIRVTATNFCPPNFALPNNNGGWCNPPLQHFDMAEPAFLQIAQYRAGIVPVSFRRVPCMKKGGVRFTINGHSYFNLVLITNVGGAGDVHSVSIKGSRTGWQSMSRNWGQNWQSNNYLNGQGLSFQVTLSDGRTLTAYNLVPSNWQFGQTYEGPQF >KGN50673 pep chromosome:ASM407v2:5:9326923:9329430:-1 gene:Csa_5G209990 transcript:KGN50673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSLNLLSAFNSTKLQVTYKNVSRSSFPNVRKSTSICGTYYTTRGIAKERRRGLSLSLAATGSNQVDTNTNEKGSEITGRTLSNDDSSALSQPPSNASAENGISQLNVNNESSSQLSEASNGQILSSEQNSDTSSSPDSQFTKKSSLTARERLRAARVLSRYNESKTSKSDMSSKVLEAIRESDRGKKRSRLPEAPTNLFDDSKRGMPKPGWTFQFPGGSDLFFIVFSFVFISSVMFATTYVVWKVGAIHFNEY >KGN52575 pep chromosome:ASM407v2:5:27044242:27045612:1 gene:Csa_5G644520 transcript:KGN52575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSSTNNLHLFPLQQYFPSSSSSSPSYHHHLVAPPPSPPPHHHHSEPVYIGPLQDPVLSGVVAPIFLQVQEEDRDQARMKNEQQEVAVLMSQNGNNNNNNNGQCFSSSTTLNNFTKKGSVKKDRHSKIYTAQGLRDRRVRLSIDISRKFFDLQDMLGYDKASKTLDWLLTKSRKAIKELTKKTQYHNNNNNIITSSSSSSKFHFDHDFEECEVISNDDDDEEAEKIIMLGKSSSNCKKNLGFHDVLAKESRAKARARARERTKEKMIMNQQFRSPPPPPPQPSMPTPVVQKAAGPDQENNNIIGIMWKPSPMVTSSSSYQKNLVISKGESCNYNNYCNNFYFPASNLTPNWDINIDSTFARPQSGFCSIANMDSLPEFQVCAKPWDSCNNNQHLH >KGN51492 pep chromosome:ASM407v2:5:19876092:19876391:-1 gene:Csa_5G570370 transcript:KGN51492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPSTGTSSRHRVRPTTALFLFFWVLLISAPTTLCLHLPPPPPPSRKLARFSTAFFHHAPPTTTTSPAELFDRHQSAAVAAAYGENKRLIHTGPNPLHN >KGN51866 pep chromosome:ASM407v2:5:22388158:22393448:1 gene:Csa_5G604110 transcript:KGN51866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFFTFFKSVKLRSHLRKYLSAADDHQTVRQTSHTSATGTIWAIEFVEGKTDSIRLRSRHGNYLSATDLTFLLGATGRKVICQTKHAAAWSSLEWEPVRDGDQVKLMSWCGSYLRGNGVMPPWRNSVTHDEPRFSSTKGWILWDVEPVVEIPKYCLFMSRRSISALSCSSKDSVSGKCSPSTPKSVRRSSTLQIKSFSDNNLRSNSDFFHNVKTVRLRSHHNKYLVADDDQDSVNQERSGSSKSAHWSVEFPFSKRSNFTIRLKSCYGKYLTASNQPFLLGMTGRKVLQTLPRRLDSSIEWEPVREGAQVKFKTCNGNFLRANGGLPSWRDSVTHDNPSQTAIQDWILWDIDVVEIQVQFLSHRAPTIENVDSLDFNPSSPPSASGKWAHYSRLEYTNSTVSLPPKSEGRTIYYHVADESGDVDKDIMEGSSFTFKGNGVEELTRKLKEEIGFEGIIVCTRNPLNGNLYPLRLQLPPNNAIMHVVAVLESSKLGKDLAKQCLS >KGN52579 pep chromosome:ASM407v2:5:27078922:27079660:1 gene:Csa_5G644560 transcript:KGN52579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKTYVRENVPLSRFGVLVAQLESIVASAAQQPPEPLLCFDLLSDLISAIDEEPKQRTTGENEGDSHREAQSTDTSMDINNRKGYKDKRYIE >KGN51237 pep chromosome:ASM407v2:5:17463814:17481465:-1 gene:Csa_5G497020 transcript:KGN51237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHHEDDGRPGGESQPKRDDEESVARQEEIKKSFEAKMALRQSNLNPERPDSGFLRTLDSSIKRNTTVIKKLKQINEEQREGLMDDLRNVNMSKFVSEAVSAICDAKLRTSDIQAAVQICSLLHQRYKDFSPCLIQGLLKVFFPGKSGDELDADRNLKAMKKRSTLKLLMELFFVGVVEDSAIFNNIIKDLTSIEHLRDRDTTLTNLTLLASFARQGRILLGLPPTAQDHEEFFKSLNITADQKKFFRKAFHTYYDAAAELLQSEHTSLRQMEQENAKILNAKGELNDENVSSYEKLRKSYDHLYRNVSSFAEALDMQPPVMPEDGHTTRVSAGEDVSSPAAGKDSSVIEAIWDDEDTRAFYECLPDLRAFVPAVLLGEAEPKANEQSAKPAENLAESEAEQGQQTSLEAIEVSTDCLLQDGKINEKGEKGKDREEKDKEKNNDTDKEKGKEKDGDRKMENEKEKLKNIEGTNLDALLQRLPGCVSRDLIDQLTVEFCYLNSKANRKKLVRALFNVPRTSLELLPYYSRMVATLSTCMKDVSVILLQMLEEEFSFLLNKKDQMNIETKIRNIRFIGELCKFKIASAGLVFSCLKACLDDFTHHNIDVACNLLETCGRFLYRSPETTVRMANMLEILMRLKNVKNLDPRHSTLVENAYYLCKPPERSARVSKVRPPLHQYIRKLLFSDLDKSAIENVLRQLRKLPWSECEQYLLKCFMKVHKGKYGQIHLIASLTSGLSRYHDEFSVAVVDEVLEEIRLGLEVNDYGMQQKRIAHMRFLGELYNYELVDSSVVFDTLYLILVFGHGTSEQDVLDPPEDTFRIRMIITLLQTCGHYFDRGSSKRKLDRFFIHFQKYILSKGALPLDIEFDLQDLFAELQPNMTRYSSIEEINAAFVELEEHERSVSNDKPNTEKHLDAEKPSRATSNITSANGRDTVNGSKENGGAHEDGADSDSDTGSGTIEAEGRDDEESDLENNHEDGCDTEDDEDDEEPGGPASDEDDEVHVRQKVPEVDPREEANFEQELRAVMQESMDQRRQELRGRPTLNMMIPMNLFEGSTRDHHGRGAGGESGDEGLDEDAGGSKEVQVKVLVKRGNKQQTKKMYIPRDCTLLQSTKQKEAAELEEKQDIKRLILEYNDREEEELNGLGSQTMNWMQTGGNRVPTRGNNWEGSGGRSGGSRHPHHRYPGSGVHYSRKK >KGN49756 pep chromosome:ASM407v2:5:2913395:2917088:1 gene:Csa_5G107050 transcript:KGN49756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIYSSFRCSPHILLLFTAISLSCLVILRELNSLRYFPLFSFSTSSGPPPLPPFLLSLPHHDHLSPEADEYGLDKVLKDAATEDKTVILTTLNEAWASPNAVIDLFLQSFRIGNRTHQLLDHLVIIALDKKAFMRCLDIHIHCVSLVTEGVDFRSEAYFMSPDYLKMMWRRIDFLRTVLEMGYNFVFTDADVMWFRDPFPFFDINADFQIACDQYLGIPDDLDNRPNGGFNYVKSNNRSIEFYKYWYSARETYPGYHDQDVLNRIKYDFFIEEIGLKIRFLDTAYFGGFCEPSKDLNRVLTMHANCCIGMDSKLHDLRILLEDWKHYMSMPPYLKTSSIQSWRVPQNCSV >KGN51748 pep chromosome:ASM407v2:5:21672491:21672913:-1 gene:Csa_5G598070 transcript:KGN51748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRNSKLKFNVDHGTHSSDDYGGYISDSVLETRKYHKNQKPHVTFSYGNNKVSDVHKTSKHHHAHFYTDDINDEAEEFIRLKQNQMSIDF >KGN49859 pep chromosome:ASM407v2:5:3513821:3518087:1 gene:Csa_5G139370 transcript:KGN49859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFIEVNTSSTAAEDVKINSAIKIFYRTYGRGSTKVLLIIGLAGTHDSWGPQIKGLTGTDVPNDDGDRNAAHVGEEPTFEDGGGIEVCAFDNRGMGRSSVPTKKSEYTTKIMAKDAIALLDHLGWEKAHIFGHSMGGMIACKLGAMAPERVKSLAMLNVTGGGFQCCPKLDRQTFDIAVRFMKAKTPEQRASVDLDTHYSKEYLEEYVGFEKRRTLLYREYVEGISATGMQSNDGFAGQVNACWTHQVTRKDIECLQSAGFLVSVIHGRHDVIAQMYYARRLAEKLYPVARMVDLHGGHLVSRERTEEVNQALLDLIKASETKMSPHDWTNLPKKSSWWMEERMAFVTMKTEGGSSISSKPFLLEKLHLFFLYFFGLILLIFGHLRNTIKSLKPTRVGATLT >KGN50562 pep chromosome:ASM407v2:5:8216735:8217600:1 gene:Csa_5G182670 transcript:KGN50562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSISSSAAAAAAAAVPSPFYMEEKWKLPKKGAPARTRSSSSSCPLMRNSSDRRCSFTRKCAKLVKEQRARFYIMRRCVTMLICWHNYNDS >KGN49860 pep chromosome:ASM407v2:5:3519544:3521423:-1 gene:Csa_5G139380 transcript:KGN49860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSEPPHSTHHPPTSTPTLPLSWLPVLEPPWMPTPPRLTLVSVPFLWEEAPGKPRRPSAASKVLWQVPVVAAGKLPPPPRLLKNEVVKQSNNLASPTRVVEGDDQRGGVVVGSKRWGSFRMCDSNGSGRFRRGRSASSSSLSLSSYATSHFLVNIYESFKQIVPWRRRRTR >KGN49932 pep chromosome:ASM407v2:5:3894220:3900141:-1 gene:Csa_5G141060 transcript:KGN49932 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S9 MLSRLLPKPSHVRLLALISTKPPAQTPSFQLLPRFFSTNNNGSNNNNNSGSKDQPTSNIWKISQENDENFDQLFTQEADNLDGIAEEDSAPRKDDSWVTSKSGDQDAEGDLFASLEKEVQGNKDGVSHDEWPMEKKFDVWSLVEEEKSDVFNIEEGEVKIGEFGDGLKEVDTESSEDARKLEKENAMKLEKEEQELTAVLKGPNRAFGDLIAASGFTDDMIDSLMALKDLEGIEGLPPLTEIEDMRYEKNTRKSSRAEIERQKQEEVAKARVRQVDNKGRAYGTGRRKCSIARVWIQPGNGKFVVNDKEFDVYFPMLDSRAILLQPFAETKTLGLWDVSCTVKGGGTTGQVGAIQLGISRALQNWEPEFRPPLRASGFLTRDARVVERKKPGKAKARKSFQWVKR >KGN52548 pep chromosome:ASM407v2:5:26888127:26891175:1 gene:Csa_5G643270 transcript:KGN52548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLSVFSINGHARSFGPHIDTNNSVLRYKSFAATSLSLPSMPFPSTYRNSQCELLCSCRFRVTVNGQWRFSSLEFSKFCKPWCGFSCNCKLGYLVNDKMSHLGGRGNNNLRRKFGLRLRPRLRLLSRRLKTVSVRSTLNNVGTFIRKNVRKVTLSASVSIVLAICYLFLKITAVPPSKNVPYSDFITSLQSGTVTKVLLEEGSRRIYFNRSFTESIQGLDGQPLAVAVDSSNGSDKGMSEDSRTVQAPRTNLLTKISRRKSRVAIPEWQFSTRKVDRDEKFLLGLMREKGTTYSSAPQSVLMSMRTTLITIISLWIPLIPLMWLLYRQLSASNTTAKKRQPNSPMVGFEDVEGVDGAKVELMEVVSCLQGAMNYQKLGAKLPRGVLLVGPPGTGKTLLARAVAGEAGVPFFSVSASEFVEMFVGRGAARIRDLFNVARKCAPSIVFIDELDAVGGKRGRSFNDERDQTLNQLLTEMDGFESDMKVIVIAATNRPEALDPALCRPGRFSRKVLVGEPDEEGRRKILAVHLREVPLEEDLNLICSLVAALTPGYVGADLANIVNESALLAARRGGEMISREDLMGAIERSKFGINSKQLRSNTISNELERLFPWMTSLMGRNDKRVDPSQGPLGYQTLS >KGN50943 pep chromosome:ASM407v2:5:13589318:13592127:1 gene:Csa_5G352630 transcript:KGN50943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLSGILQRPFVALTAVAVASFSSDFSDKLPSQKPTEASSSSSSSSSSSSDSTLDFLKESNTSFVSHTSVSKLANLSFVTKIHAPIPKFSFPSSSCNYVGNFPTSLVSSSVLSNLYQSAALTKGSKQAAPSPKLSSPPSEVMYRWHLPEPTSLDITGSSACSVAKSRTVVVLLGWLGAKQKHLKRYAEWYTSRGFHAITFTFPMAEILTYQLGGKVEQHIDLLVNHLADWLEEEHGKNLVFHTFSNTGWLTYGAILEKFQNHDSSIMGRIKGCIVDSAPVAAPDPQVWASGFSAAFLKKNSVATKGLTTWDNTGMEVSISDKENIKPKPAVTEAALLLVLEKIFGVVLNLPTVNRRLSDVLDTLSSSQPSCPQLYIYSSADQVIPAGSVESFIEEQRRAGREVRACNFVSTPHVDHFRNDPKLYTTQLSQFLADCVLTSCCRESC >KGN51246 pep chromosome:ASM407v2:5:17531788:17532966:-1 gene:Csa_5G503590 transcript:KGN51246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLNNTTFANIVVWVWGCGCENWILKSRLQQIKWLFIITVPTIILSIEWQITRNFRTSGECFDLRFRKSLLQLLIKESPKFAWSSEFNLQRKFSISRRFRKLLLRS >KGN50833 pep chromosome:ASM407v2:5:11768363:11769132:1 gene:Csa_5G284490 transcript:KGN50833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLCFLLDLTTLPPPILTALTDVTLANLYAISSSSSAPRIGLCYVLKNASFVPDDALLEVAYSPTRCFSLCEFHRAVRNLPTDAFIPEIDGYESLRYRDVKLSAVLSDQVLYSWGCEDVKRKVIVLSASSHYDLDSNLERTLEVGHLFS >KGN52430 pep chromosome:ASM407v2:5:26011060:26014839:-1 gene:Csa_5G633280 transcript:KGN52430 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prolyl 4-hydroxylase alpha subunit MDSRPFLAFSLCFLSVFTAFARLPETRTHKQSSGSVLRLKTDSSPLIFDPTRVTQLSWQPRAFLYKGFLSDAECDHLIDLAKDKLEKSMVADNDSGKSVSSEVRTSSGMFLRKAQDEVVAGVEARIAAWTLLPAENGESIQILHYENGQKYEPHFDFFHDKVNQELGGHRIATVLMYLSNVEKGGETIFPNSEFKESQAKDESWSDCSRKGYAVKAQKGDALLFFSLNLDATTDERSLHGSCPVIAGEKWSATKWIHVRSFEKITSRVSRQGCVDENENCLAWAKKGECKKNPTYMVGSGGALGYCRKSCKAC >KGN51478 pep chromosome:ASM407v2:5:19702385:19705006:-1 gene:Csa_5G567290 transcript:KGN51478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGAEMELERRSKFLNGLILKKKAGEQQEQRDRLNVRVRASDMAFPLQNRAFSCARELLDSMPGKLDSKRLALALKKEFDSSYGPAWHCIVGTSFGSYVTHSLGGFLYFSIDKVYILLFKTAVEPLSRGASLKD >KGN49850 pep chromosome:ASM407v2:5:3475668:3478760:-1 gene:Csa_5G139280 transcript:KGN49850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGSSETRFVQELILYAASAALSCLVLFAGLRHLDPNREASKKALEHKKEIAKRLGRPLIQTNPYEDVIACDVINPDHIDVEFNSIGGLESIKQALIELVILPLKRPELFSHGKLLGPQKGVLLYGPPGTGKTMLAKAIAKESGAVFINVRISNLMSKWFGDAQKLVAAVFSLAYKLQPAIIFIDEVDSFLGQRRTTDHEAMTNMKTEFMALWDGFTTDQSARVMVLAATNRPSELDEAILRRLPQAFEIGIPDRRERVEILKVILKGERVENNIDYDRVASLCEGYTGSDILELCKKAAYFPIRDLLDEEKKGKQSSEPRPLSQSDLEKVLATSTKTKVAASEYTGLSSNSSGWTGHREAGDYEVQAAISELSKLVVSQILNIQSDTRDS >KGN50704 pep chromosome:ASM407v2:5:9749166:9750321:-1 gene:Csa_5G218210 transcript:KGN50704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPPTLPISGPQSSTGAPSQPPIATPAFRAFLSRLTSSVRQGFSHRRPWSELVDRSSMARPDNLSEAYSRIRKNFSYFRVNYITLFTLVLGFSLLSHPFSLLTLLSLLAAWCFLYIFRPSDQPLVIRGRTFSDFETLVGLGVLTVIVVFLTSVGSLLISASMIGFAIVCIHGAFRVPEDLFLDDQEPVNGGFLSFLGGAASAASAAGPAIAARV >KGN51679 pep chromosome:ASM407v2:5:21234523:21236064:1 gene:Csa_5G589960 transcript:KGN51679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFCFNAWKSWLGRVDVGIHPTAEILRVNSSKSGPVNTYRSPLFFGFSKAIDKILFSSRRSFPSGLHISVSEFPKRLLLSLSQFSNSFLFHLFNSKSLFIARLGFSVSPGMDWQGQKLAEQLMQLMLVAFAVVAFLTGYVMGSFRLMILVYAGGVFLTTLITVPNWPFFNRHPLKWLDPSLAEKYPKPQPQEPVVLKKKPAKK >KGN50131 pep chromosome:ASM407v2:5:5263093:5263335:-1 gene:Csa_5G155420 transcript:KGN50131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAHRLNLHLSVFIIGLLIVVGHVSAVTTSDQNKSIFYRRFGGMMFNKKVSGGDGGGHNDANVFGGSLHLVPNSPNPLHN >KGN51704 pep chromosome:ASM407v2:5:21384523:21388089:-1 gene:Csa_5G590210 transcript:KGN51704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKSKDKPKASPSSQPPPPIEDQFTSLNRHIQRSEFSQAVKVADQILSVAPGDEDALRCKIVALIKDDKIDNALSAIQSSKTADFSFFKAYCLYRQNKLDEALSSLRDQERNSMTMLLESQILYRLGKMDACVDTYQKLAKSKIDSLEINYVAALTVAGRASEVQGAMEALRVKATSSFELAYNTACSLVDVNKYTDAEQLLLSARRIGQETLMEENLPDEDIEIELAPIAVQLAYLQQLLGHTSDASEAYKDIINRDLADESSLAVAVNNLIALKGPKDISDGLRKLDKLKEKDAPNFRLAHGLEPKISQKQRETIYANRLLLLLHANKMDQAREMVANMADMFPNSVTPVLLQAAVLVRENKAGKAEEILGQFAENFPDKSKLVLLARAQVAAAAGHPHIAFESLSKIQDIQHMPATIATLVSLKERAGDVDGAIAVLDSAVKWWSNAMSEDNKLDIVLQEAASFKLKHGREEDAAKLYEELVKTHGSIEALAGLVKTVARVDIKKAETYEKQLKPLPGLKEVDVENLERTSGAKHVENGADHGASDAYMVDKNKTKSKKKRKRKPRYPKGFDPANPGPPPDPERWLPRRERSSYRPKRKDKRAAQVRGSQGAMVREKHETSVSGGSSNNANSKSNQAMSSKGTNQNTEQSKPPSKSSKKKSRN >KGN50735 pep chromosome:ASM407v2:5:10091602:10091823:-1 gene:Csa_5G222085 transcript:KGN50735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYRASSTVYNKFSNAHRYDGVFTAPLKHEAPSFSTRVEGYRKIFRGSPVSSIMILNVPTLSYKKFQTLCVRV >KGN49686 pep chromosome:ASM407v2:5:2069331:2069906:1 gene:Csa_5G067160 transcript:KGN49686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPAPEQVPLLPAAKPSMKTASVSGAVFNVSTSIIGAGIMSIPFALKVLGIIPALVLIVFVAFLTDISVELLLRFTHSGDSTTYAGVMKESFGPIGSIATQVCIMITNLGCLIMYQIIIEAGIRKLFVMDFREMIREIENCYRFSDFLTVLVIVVLKLMDGISRGCALRQ >KGN50623 pep chromosome:ASM407v2:5:8709767:8712131:1 gene:Csa_5G198130 transcript:KGN50623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKGRQGERVRLYTRGTILGYKRSKSNQYPNTSLLQIEGVNSKDEVSWYQGKRLAYIYKAKVKKNGSHYRCIWGKVARPHGNSGIVRAKFKSNLPPKSMGDRVRVFMYPSNI >KGN50640 pep chromosome:ASM407v2:5:8850400:8851875:-1 gene:Csa_5G199280 transcript:KGN50640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKEEDVRLGANKFNERQPIGTAAQSQDDAKDYKEPPPAPLFEPEELTSWSFYRAGIAEFFATFLFLYITVLTVMGVTRSKEADGNTCNTVGIQGIAWAFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRAIFYIVMQCLGAICGAGVVKGFQPKPYERLGGGANVVSDGYTKGDGLGAEIVGTFILVYTVFSATDAKRSARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIFNKDKAWDDHWIFWVGPFIGAALAALYHQVVIRAIPFKSK >KGN50475 pep chromosome:ASM407v2:5:7520050:7523539:-1 gene:Csa_5G175970 transcript:KGN50475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLDLNDSITNRDETPTSRIVMEDSETSNSSVVNATDEVSNSRDEDSSVLIFDILKRESSGGGSGGGASSELVTQTLFPVVGGWGDSGSSPVPRTHWLNLSSTADSGGGGGPPELRIVQQKQQQVRKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVDADINFNINDYDEDMKQMKNLSKEEFVHVLRRQSTGFSRGGSKLRGLSLQKYGRWENQMSQIIGKNGIEQRSCKGDAMVDSNNGANGHNLDLSIGGIFNYHLTNSPQKLNIERPKNEINGYACGVTVGGQQQPHIPPSMWSTFYSGFLPNNEEKGREKRNNDSTTKMSTSWGWQMPTSTTMSMSMSMPTTIQGNISGKNNNSMSSNNGIIARDQEAHISKIVAASSGFSSSSNFGSLYAQNNNALHSTHCPNIS >KGN52316 pep chromosome:ASM407v2:5:25005872:25008179:-1 gene:Csa_5G623790 transcript:KGN52316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFSFHCAKNHSPFESKMALRAAYDAFEQSLAGVGINENGIVKTITDESRIVETLTNFDADEYRLMSSNFKYDQSLGYIWKEKKRQSMKLEFQRIMNVTMLWMTTPIERDARLLRSALKMGDAAGVSVLIEIVCTRPFADFLAIKYLYGKLFKSDLLFDLDQHVPGKAVRCLINLFSIERRQDIIKGEEKCLRKDITTLQNATSGEPQTRICIKHIVSILTQRSIGHLRNMYRFCQPEMRRQPKSSLWISTTFLCLVDPIEYFYQVLSNSIDSSPSLVTDIDDHQNEDCIEDDHQDEASLHCLDSISRIIMTRRGVDLDEINTKFRMFDELSLQDRIKLYCKGTYQKLLLELLLNVNILGSEAESSAPSGRDQEEEHAVAEEEEHAVGEEEEHAAEF >KGN52490 pep chromosome:ASM407v2:5:26512832:26517702:-1 gene:Csa_5G637800 transcript:KGN52490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKDDSATRPPLQRPTITLPPRPSMEAFFTGGPTGVSPGPMTLLSSYFADGAVDSPSFSQLLAGAMASPMAMGFFGTGSTPNYYAKDGPASELEFGMKQSKPVNLVVARSPLFSVPPGLSPSGLLNSPGFYPPQSPFGMSHQQALAQVTAQAALANSHMHMQQAEYQHSSVPAPTEPLVRDPSFSLDDASQLAIIPSTSDTKSLIAESTEVSHSDRKYQPPPPPHGSDKPADDGYNWRKYGQKLVKGSEFPRSYYKCTHLNCPVKKKIERSPDGQITEIIYKGQHNHEPPPANKRARDNIEPAGCTNSLIKPECGLQNQAGILNKSSENVQLGSSDSEGRADTEITDDRDEDEPNPKRQNIDAGTSGVALSHKTLTEPKIIVQTRSEVDLLDDGYRWRKYGQKVVKGNPNPRSYYKCTSAGCNVRKHVERSSTDSKAVVTTYEGKHNHDVPAARNSSHHTVNNTVHHIKPLKVVAQKHPLLKEMEFGTNDQRPAVLQLKEEQITV >KGN50831 pep chromosome:ASM407v2:5:11739779:11742128:1 gene:Csa_5G283490 transcript:KGN50831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCIQPHPFYSLLILHFFLILSINLQPIHGFISSKKLDEPIPRHDSSVKCTPCTRYSPPPPPPPKKPPPIYCPPPPLPPSSFIYMLGPPVNLYPIEHDFASADRRSVAMELPVVAFFGLIGLIALL >KGN51699 pep chromosome:ASM407v2:5:21359324:21365310:-1 gene:Csa_5G590160 transcript:KGN51699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIPSVIVNSLFVFVFSMWVLLHLCRREVESESIQSRNGVFREFKWVINITVFCNVVISFLLSGFVAFEYWNHRIVCWESVISALTWILAAAIAFYWRKVMYLEGKNWPLVLTLWWGFSCFYGLCASIIYLLTRLKSMEFPHFLPKATIVDFVSFTLSFIICCTALTVNYSKRHNDLEKSLLQKDNDCSSEDGGGFISPGLWSRITFQWLNPLFKRGRNQKLELVHIPCVPQSETAEYASSLLEESLQRKKVECSSLPNAIFLATWKSLVLTAIFAGFNTLASFMGPLLITHFVNYLLGKSDDSSNRDGLILAFFFFFAKTMESLAQRQWYFGTHRAGIQVRAALTVMIYKKSISINAAGPSNGKIINLINVDVERIGDFSWYIHKIWLLPVQIALALVILYRNLGAAPSITALLATIFIMVSNTPLANVQESLHSKIMDAKDSRIKLTSETLKNMRVLKLHSWEQTFLKKVLKLREVERSWLKRYLYTCSVIAFLFWVSPTLVSVFTFGACVMMKVPLTAGTVLSAIATFRILQEPIYNLPELISMIAQTKVSLDRIQEFIREEDQRKRIYYPPSNPSDVAIEMEVGEYSWEASDQNFKKPTIKVAEKMQIPKGYKVAVCGSVGSGKSSLLCSILGEIPQVSGTQMKVHGSKAYVPQSAWIQSGTVRENVLFGKEIDKHFYEDVLEACALNQDIKLWLDGDCSLLGERGMNLSGGQKQRIQLARAVYSDADVYFLDDPFSAVDACTGTHLFKRCLLQLLSGKTVVYATHHLEFIEAADLVLVMKNGQIVQSGKYGELMSDSNGELARHIAAHRRFLNGVKPFKEDKPHHKRPRKTHQIEVLDENSSLSLGNGSQSVRTQEEEIQTGRVKWSVYSTFITSAYKGALVPIILLCQVLFQILQMGSNYWISWATEEEGKVSREQLLGIFILMSGGSSIFILGRAVLMATIAIETAQRMFLGMVTSIFAAPISFFDAKPSSQILNRSSTDQSTLDTDIPYRLGGLAFALIQLLSIIILMSKVAWQVFPLFLVVLAISIWYQGYYISTARELARMVGIRKAPILHHFSETVVGATIIRCFNQEDRFLKKILNLVDDYSRVVFHNSTSMEWLCLRINFLFDVVFFLALIILVTLPRTAIDPSLAGLAATYGLNMNVLQAWVIWNLCNVENKMISVERILQFTNIASEAPPIIEDCRPMPEWPKEGKIELENLQVQYRPDLPLVLRGITCTFPEKKKIGVVGRTGSGKSTLIQTLFRLVEPSAGRILIDGVDICKIGLHDLRSKLGIIPQDPTLFQGTMRTNLDPLQQHSDQEIWEVLHKCRFSEIIRTDQAILEARVAEDGENWSVGQRQLVCLARVLLKKRRILVLDEATASIDTATENIIQETIKEETNGCTVITVAHRIPTIIDNDLVLVLDEGKVIEFDSPSQLLKNNSSMFSKLVAEFLRRSSSSHAQSMGNFVDVNANRI >KGN52505 pep chromosome:ASM407v2:5:26594024:26597077:1 gene:Csa_5G638450 transcript:KGN52505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMCWIRQVESSGQKCPIEFSSRFLAKSGPIGRIRGAKLKISASRNFSVSLSPIHAQTNFSVLVMGTDSANLMSSLFSKKTCWMDSSTLIKIQLKPFLPSESISFCSIKFGMLNSIANNAAAAGQKATRGIFIFAATSKAHPRFQAIWK >KGN49821 pep chromosome:ASM407v2:5:3359009:3363953:1 gene:Csa_5G139010 transcript:KGN49821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEAVVLSSEDVDVDVDYVRLHSDDMADAESMGTHRPNRDCSSSGFGIGIKWERFLPKMMLRVLLVEADDSTRQIITALLRKCCYRVAAVPDGLKAWEILKERPRNVDLILAEVELPSISGFALLTLIMGHETCKNIPVIMMSSEDSISTVYKCMMKGAADYLVKPLRRNELRNLWQHVWRRQASSNVRADIQEKVEVTSENETASNHSTGYVAGVQRNNKNIEKGSDTQSSCTKVDFEPGNKIQENSQSRQGKASPNDFKPQKDERHINLSQRLFMHENETGGLAMSCYVNTDLPITLSMGLEPINDGRSPNIASEAGHDKDLFANPSRDATASNHARIKYPDNYQKSSPSNNFAANNFGSALHLDLSLRRCQPNDFEERAAGQATLKHSSASAFTRYTFRPLQSLQAKSSSICDEQKETESNPDHVGSMGATSTSDTINPTPNLQKSSTSMPMITSQSTQSEVAKSSTSETAIPLQVSGTDLMSNNQRSGSGHGSLPSHNFCAQLGSPSSPCRTSVTHPELIFGKQTVYPLNLENHKLEQFLNQHRILSSPASRKIENSGQSPENQGHISPTTDHSANSNVWRGNTTHVGSLGYPSPCGSNSNVDRVGIARVTSESRNEEALFSQGGDSYRSSQREAALTKFRLKRKDRCYEKKVRYESRKKLAEQRPRVKGQFVRRVLTDPLPAETNDNTSNG >KGN50669 pep chromosome:ASM407v2:5:9263385:9266360:1 gene:Csa_5G208470 transcript:KGN50669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLDHNQLPNIHAGATAAPPPTPSSQTNHLSTSAAADALSKLLHRLPPNLSLPTRRSPSVIPPPTISFSESPNPDLLNRLLSAASELGFFQLTDHKISSHLALSAESESAPLFNLPAEKKESLFPKNWPLGFKGDGDEESDGSGESLCFDSRNCLSDSPEISFHSLTDFVLEMESLGLKIVEFLFRAIGFENPIGEDRTGFRSLVWISEGCRSTEPAMAGGFYPYIIGLQYQSRNQKCSLLGDSGWVAAAAAADSVMVSIGDIAQLSVEQRKTQEDERKTGANGIKRSKYKQHQLTNNLIVATHYSTC >KGN49599 pep chromosome:ASM407v2:5:734762:738705:1 gene:Csa_5G021320 transcript:KGN49599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSSANAVIQLRDSIHKSGFSTQDYSDATMMRFLIARSMEVPKAAKMFVQWKKWRDATVPKGLIAESEVEDELKAKKIFLQGISIKQLPVMIVMANRHFHSKDQVQFKKFIVHLLDKVIASGCKGKEIGNEKWIAIVDLQQISYKNVDPRGLITAFQFLQNYYPERLGKCFILNMPWFFVSIWRMISRFVDTATLKNILIVSSEEEKRIMIEEVGEEVLPIEYGGKAKFIVLQDVVLPHLHG >KGN50300 pep chromosome:ASM407v2:5:6374018:6375940:-1 gene:Csa_5G166440 transcript:KGN50300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRQRLSSFQNLHHLNFEATELRLGLPQTSCRTEQQPAEERSSHSQISAKQSKSETRSGGRTDSNSILTSTNPSSDNHADHCHEHTKTQVVGWPPVRSYRKNVIIETEEKKKKKEIVNMELGLMSGMYVKVSLDGAPYLRKIDLKLYQGYQQLLDALEDMFNFKIGRNSEREDYYGRDYVLTYEDKDGDWMMVGDVPWNMFTCCCKRMRMMKGSDARGLSCL >KGN50511 pep chromosome:ASM407v2:5:7802306:7806198:1 gene:Csa_5G179760 transcript:KGN50511 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dehydroascorbate reductase MALEAAVKAAVGAPDEIGDCPFSQRVLLTLEEKKLPYKLHLINLSDKPSWFLKVSPEGKVPVVKFDDEWVPDSDVIVETLEKKYPEPSLVTPPQFSSVGSKIFSAFTKFLKSKDPKDHSEQNLLEELKALDEHLKAHGPYVAGEKVTAVDLSLAPKLYHVDVALGHFKKWCIPKDLACLISYKELLFARESFVKTKATPEHVIAGWEPKVNPA >KGN50568 pep chromosome:ASM407v2:5:8263762:8266129:-1 gene:Csa_5G182730 transcript:KGN50568 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-form rubisco activase MAASAASVGVVNHAPLSLKGSGSTTSVPSSVFFGNSLKKVVNSRVVNPKPSSGSFKVMAVESTSDENLEKKTKKIDRWAGLGTDISDDQQDITRGKGMVDSVFQAPMQAGTHYAVMSSYEYLSQGRKSYDGMDNVLGGLYIAPAFMDKLVVHITKNFLSLPNIKVPLILGLWGGKGQGKSFQCELVFAKMGITPIMMSAGELESGNAGEPAKLIRQRYREAADIIKKGKMSCLFINDLDAGAGRLGGTTQYTVNNQMVNATLMNIADNPTNVQLPGMYNKEENPRVPIIVTGNDFSTLYAPLIRDGRMEKFYWAPTREDRIGVCKGIFRTDNVADDDIVKLVDTFPGQSIDFFGALRARVYDDEVRKWVTGVGVQSIGKKLVNSKEPPPKFEQPTMSLEKLLEYGGMLVQEQENVKRVQLAETYLNEAALGNANEDAITRGAF >KGN50985 pep chromosome:ASM407v2:5:14248366:14248797:1 gene:Csa_5G385370 transcript:KGN50985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKEKKEEKEKEKEKAEKESGGSRPSALAFPEGYVGLLRLASFLTIDTMNSPCGYATWALIPHSYIMDHSFMDLLGPGPILQSPSRARLPQTYCLSYIHVLQSSSFSKHF >KGN50102 pep chromosome:ASM407v2:5:5070846:5078474:-1 gene:Csa_5G153170 transcript:KGN50102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCECSKFSDCFGSEETKPVARVPDNESIGKSEFDLPAFREFTIDQLRTATSGFAVENIVSEHGEKAPNVVYKGKLDNQRRIAVKRFNRSAWPDARQFLDEARAVGQLRNQRLANLLGCCFEGEERLLVAEFMPNETLAKHLFHWENQPMKWSMRLRVALHLAQALEYCTSKGRALYHDLNAYRIVFDDEGNPRLSCFGLMKNSRDGRSYSTNLAFTPPEYLRTGGITPESVIYSFGTLLLDLLSGKHIPPSHALDLIRDRNIQMLTDSCLEGQFSNDEGTELVRLASRCLQYEPRERPNTKSLVTALIPLQKDLEVPSHVLMGIPQDAAALNLSPLGEASLRMDLTAIHEVLEMIGYKDDEGAATELSFQMWTNQMQDTLTSKKKGDVAFRQKEFRTAIECYTQFIDVGTMVSPTVFARRSLSYLISNMPEEALNDAVQAQVISPLWHVASYLQASALFTLGKENEAHIALREGSVLESKKNATT >KGN50933 pep chromosome:ASM407v2:5:13422841:13423582:-1 gene:Csa_5G349570 transcript:KGN50933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSSSFDLGNNELPMNDSMAEMVKREKARVKFAYPAVHVIPFVLLIYAIIIMWFFSISGRKLVQCLAGLRSN >KGN51028 pep chromosome:ASM407v2:5:14802131:14802359:1 gene:Csa_5G409700 transcript:KGN51028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPINELFLLPASIARRRKRRRRKKAKSIAELKVQLESSRRKWDGDDHGGLRETERRGNREED >KGN51317 pep chromosome:ASM407v2:5:18114297:18116084:1 gene:Csa_5G517150 transcript:KGN51317 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase APK1B, chloroplast MGSCVSVPAIDNRSPFHGIDSNGSTSSASVHPSPRTEDEILQSPNLKRFCFNELKKATGNFRQRSMVGEGGFGSVFKGWIDHHSLAATKPGSGIAIAVKRHNQEGFQGHNEWLAEINYLGQLHHPNLVKLIGYCLENEDQLLAYEFMSKGSLDNHLFGRGSGSQPLSWKLRMKIALDAAKGLAYLHGKKVIHRDFKSSNILLDANYDAKISDFGLAKDGPVGNESHVSTRCMGTYGYAAPEYMATGHLTPKSDVYSFGAVLLEILCGRRALDATKAGREQNLVEWAKPNISNRRIMRIMDNRIEGECGVKKAITAAKLAFKCLSDDPKHRPSMYQVVTDLEQLQEF >KGN50971 pep chromosome:ASM407v2:5:14033361:14034236:-1 gene:Csa_5G381770 transcript:KGN50971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSEKKMNEMEEEEEGGGGGGGGGGVLLLAMSSADDGDTRRLEKRGLNGETTFKYGGHRTGRTGEGRGFGGRYRRWVRGFHNVGTDEGRKRKNIFGRNDM >KGN51348 pep chromosome:ASM407v2:5:18342284:18346636:-1 gene:Csa_5G522940 transcript:KGN51348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYINILLLIHTTSLPMAKSPALFHASHFFIRSTLMPSSKSLSLFLPLSNLNHLHRFAFRNSLSPLSLRTFSTTTLFPLQYELIINRPSYPSPPHQNPRTPARVSSDNSPELNSSEDPTSELGFDSWVDRKLISEGGTVSGKEGVVMDKAMRKYYNKRRKRMYGSDSDEDNRTQAEGFVELKPEVVEFNTLHKREEELFFHDAFAYPWEKDKHYKMLYQLEKKYFPDDGLDKAFLGPGESNVEVNEQTKGRQGVRKAGRVKPEMNVEVANGMDDKRMVFFDEGKPEKENKGSVVDVSEKKVEEFFKCLKKGPAKDSNIGQGEPYLLTRHMELPAKWDSPCGTVVLLNKPKGWTSFTVCGKLRRLVKVKKVGHAGTLDPMATGLLIVCVGKATKLVDRYQGMIKSYSGVFRLGEATSTWDADSPVIQREPWEHIKDDDIQKAAASFCGEIWQVPPMFSAIKVGGERMYEKARRGESIELSPRQISIFKFDIERSLDDRQNLIFRVTCSKGTYIRSLCADLGKTLGSCAHLTALRRDSIGQYLADDAWEFKELEDAITKGYF >KGN51934 pep chromosome:ASM407v2:5:22768441:22772304:-1 gene:Csa_5G606260 transcript:KGN51934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLCCVAARPHGSNAASRDWSLGPHEPFWHTNTSFSPPPSRWDIQFQSEGLPHGWHDAVQLYGSSTSSNSKESRSWIRGNNHLYTHNSASDGAGLFLSSPSDISQGPQWTPPAIQEINIDGYETATKRDPSLRTFSFWPAAEGNSENPDSGSSTFSQSDSSETEPTVKLRSSSNWNFTSRRSFMSKPIHPLAIPMQTSSGEAFESTNLGFAEFDSSTPQRDNQRWSSASSSIDFADVSEPLESDFYFKSSCRSDSFRCGLCERFLSQRSPWSSRRIVRSTDMPVAGVLSCRHVFHAECLDQTTPKTCKSDPPCPLCLKHENDRSPEQRTNSRLRNANSLPRPRPSTSEDGPSRPWGCAQVGDCVEGALHAPPRNSMLFVNRNRSKNLSFKGNSSKEFPGKLRKSGSYSSRLVSARPFDQEFVGCSRTSAGPSMKR >KGN50035 pep chromosome:ASM407v2:5:4614060:4614911:1 gene:Csa_5G151510 transcript:KGN50035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVACKVPFGGILFVVALVLTRLSSAYAQSLSPAQPPATGDGTSIDQGIAYVLMLLALVLTYIIHSADLYVSL >KGN50094 pep chromosome:ASM407v2:5:5012446:5016299:-1 gene:Csa_5G153090 transcript:KGN50094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEAPTQAPSEDLQSLSVSDAKVQEPACSSNSSNQLSLEEKYQIVRSVGEECIQEDELRNLLDKKPEPVCYDGFEPSGRMHIAQGVMKAINVNKLTSAGCRVKIWIADWFAQLNNKMGGDLKKIETVGRYLIEIWKAVGMDLEGGKVEFLWSSKEINSRAGEYWPLVMDIARRNKLPRIIRCSQIMGRNEQDELTAAQILYPCMQCADIFFLKADICQLGMDQRKVNVLAREYCDDIKRKNKPIILSHHMLPGLQQGQEKMSKSDPSSSIFMEDDEAEVNLKIKKAYCPPLIVEGNPCLEYIKYLIFPWFKEFTVERNADHGGNKTFSTFEELVSDYAAGELHPGDLKPALSKALNKILEPVRDHFKNDSNAKDLLKRVKAYRVTR >KGN52287 pep chromosome:ASM407v2:5:24858978:24862805:1 gene:Csa_5G623510 transcript:KGN52287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKGSWFSAVKKVLTQPSEKKDKKPDKPKKKWFQKEESVEDVISFLEQTPLDVPAQPPIEDDVKQIKLENEPSELGHSEAAEPVVAEASPAVAVEYPPSPSPSSCRPEMSEETAAIMIQTAFRGYTARRALRALKALMRLKTLVQGQSVKRQVASTLKCMQTLTHLQSEIRVRRIRMSEENHALLRQLRNKREKDLEKLKFTMDGNWNHSTQSKAQIEAKLLNKHEAAVRRERAMAYAYSHQQTWKNALKTATPTVMDPNNPHWGWSWLERWMAARPWESRSTTDQLDDISVTSVATRASVVDILQIYGCSSTKLSPRTPTNQKSSQLHKHQSPSIPKALSSSSSRKKTNAANSRVGSWGGDDDIKSTTSVKSKLSRRHTISGSSFRDDESLSSLPSVSSKVTPSKAAKTRSRLTSSSRTEKMGTLENGYVSAGSAKKRLSFSTFPVKPRRQSSPPVVNTS >KGN49801 pep chromosome:ASM407v2:5:3238287:3238625:-1 gene:Csa_5G136360 transcript:KGN49801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIGPYVCAEWNYGGFPVWLHNMPGIQLRTNNQVYKNEMQTFTTKIVNMCKQANLFASQGGPIILAAVQNEYGNVMTPAYGTNFGRTLEGPFITTSYDYNTPLDEYAFPASP >KGN49836 pep chromosome:ASM407v2:5:3415488:3420623:1 gene:Csa_5G139150 transcript:KGN49836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKALRRTQTSSSSNSNPNSNSNSNSNSNPNSNSSSPSSSWVHLRSVLVVVSSSSPSSCSSSDRSRLKSPWSRRKRKHALSPRQWKTVFSPDGKLRDGGIKFLKKVRSGGVDPSIRAEVWPFLLGVYDLKSSKKERDIIKTQKRKEYEKLRKQCRRLIKRRNESSKWNEFRDMIDVGEDGFLVQDIDSPSSEDVVSARESLSSEERCSNVEFLDEAFCSLLEGEGSSRRITADSSLVLNSDSSDSDSSDDLDLSQTFPSTDGMEEPYLDMTAKENSSPTRTEVSSKLHNSEDFATWQRIIRLDALRSNSEWVPYLSSQAPVSDGRARRCAEAVGLVDYDHLEPCMIFHAARLVAILEAYALFDPEIGYCQGMSDLLSPIITVISEDHEAFWCFVGFMRKARHNFRLDEVGIRRQLNIVSKIIRCKDSHLYKHLEKLEAEDCFFVYRMVVVLFRRELTFEQTLCLWEVIWADQAAIRAGIGKSAWSRIRQRAPPTDDLLLYAIAASVLQRRKLIIEKYNSMDEILRECNSMAGHLDVWKLLDGAHDLVVTLHDKIETSF >KGN52118 pep chromosome:ASM407v2:5:23808460:23811124:1 gene:Csa_5G610520 transcript:KGN52118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERTCEFCAALRPIIYCTPDAAHLCLPCDAKVHSANALSSRHLRTLLCEFCRSFPTYLQCLDHQMFLCRGCDRTLHVSSSQHQKRIIRGYMGCPSAKDFAALWGFHVHEVDKAKFVSTSGSESSSVVKTFDAPGRSRSHIAAAENKVRYKGQEKGTSFILQQILELSRLQLVKKNIHSPLILGEGKDGATSLKTCASEKFEQSLNEHVHHSEDRSTGIQQRDNLLQELKMTSFTQLESFPMSSPILLPFHGESLWHCKSPAESSQLWSQNMQDLGVCDELVCRDDFNMPEVDLTFQNFDEIFNSDQDPTGGLFDNKDESYSYSSMDKDMSLSKSDNRDGKGVEASSATSSSCIFSYALMDKDSEPSDEVCNHPMSTKIESARPIQPSLSTLSFADSRMSLDSAATDFPDRARGEPSCSSPYHRDRKHSVSLNNVDAATKIYKEKQQFQLQEKQIRRKARSLVKKRVKGRYEKGERYDSSTVAFSRSY >KGN50224 pep chromosome:ASM407v2:5:5921602:5924706:1 gene:Csa_5G160760 transcript:KGN50224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVQGEFSSDSMQMYSDSFKEALKQTMLSQEVMFRKQVHQLHQLYSVQRILMQNFGFKELDRCRFKKAGIIPTFMPYASPTRYDPFMKETVVSSICMREKHPAKNHKLRHGPLDLQLPPDQYVSLIDLEELDLSLDLKIGNPKKENDKEILSYKKSRRMLSEEVIDLEDSVDGDAENVYSLDLNVPTIQPVEFETSLNHISSDNLRMKNEQLRPREARYLDLNEAQSDDMITTHYSTSSSSPGIKEADIKGQQANCSSRIWVRDKNNYCSAESSTLEQDANLDVTDCGSGNERNETHSTESKIKETSTGEMNNCQCDEAPMESSVTFSKESKKLEAVIEPPADVHARLQKSEVCSDCSHAVEDGCNSILTATVSGASTCNAENDSGGEKKVQNLSLPMSNQCYETQKELHSTETIFSSGQDHRSSGSIESEHGEESSKMKVLLQNAVETLIYMSLNDSAFDHDCDTKTESSEMVKDQVDQPQHSCDSFELLVLKQTENKEDDEFSMSSSQLSEVTDMENMNFGVKLRRGRRLKDFRKEILPGLSCLSRHEICEDINIMEAVLRSREYRKNQAKIRDGQKVCSPVKSKRSQSRSRLNNTRRRIIL >KGN50254 pep chromosome:ASM407v2:5:6123412:6124238:-1 gene:Csa_5G162030 transcript:KGN50254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSHKCKLCSRAFTNGRALGGHMKAHLTAPAAALPFPPPKPPPSPSSSSSSDHDESTLYELRGNSKGRNFRFSDPVFNIVLQDRESETESKNPTRKRSKRWRKPEVEPEPASSVSDASPEEDLAVCLMMLSRDRWIKNQNHNERRSSFEELGSKIRVKKGIRRKKKCEKCKEQFRSYRALFSHEKICQSEQEEEQEGSRRRIFKCPFCYKLFGSGQALGGHKRSHLLSSTNNSSVSFKLQISLIDLNLPAPLEEDDYSVVSDA >KGN50397 pep chromosome:ASM407v2:5:6937685:6958319:-1 gene:Csa_5G172280 transcript:KGN50397 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglucomutase MASLSLRLHSLLSSPLRPRSSFSLSSTGPPFPAIPSSNPLLLSSPRIVPLKASSSSPSSSSFSPSLVAESHGIKIDLIPTSPIEGQKTGTSGLRKKVKIFQQENYLANWIQALFNSLPPDDYENGLLVLGGDGRYFNKEAAQIIIKIAAGNGVGKILVGQEGILSTPAVSAVIRKRQANGGFIMSASHNQGGPEYDWGIKFNYSSGQPAPESITDKIYGNTLSISEIKFADIPDVDLSRLGEIKYGNFSVEVVDPVSDYLELMENVFDFPLIRSLLSRSDFRFVFDAMHAVTGAYAKPIFVDKLGASLDSISNGVPLEDFGHGHPDPNLTYAKDLVNILFSEDGPDFGAASDGDGDRNMILGKEFFVTPSDSVAVIAANAEEAIPYFKNGPKGLARSMPTSGALDRVADKLNLPFFEVPTGWKFFGNLMDAGKLSICGEESFGTGSDHIREKDGIWAVLAWLSIIAFRNKDKKVGEKLISVSDVVREHWAIYGRNFFSRYDYEECESEGANKMIDHLRDLISKSKSGDKYGSYVLQFADDFSYTDPVDGSVASKQGVRFVFSDGSRIIYRLSGTGSAGATVRIYIEQFEPDSSKHDVDAQISLKPLIDLALSLSKLEEFTGREKPTVIT >KGN52721 pep chromosome:ASM407v2:5:27974987:27977612:1 gene:Csa_5G652260 transcript:KGN52721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEETQKPAAAEPPPSSQPVPEEPAVVPPPAPEKELPDPAPAPANPDSVEEVAEAEKPKAADDFEKISQSVSFKEETNVVSELPESQRKALADLKLLIQEALNNHDFTAPLPPPPAKEEEKPTEEKKEDSDKPAEDPKIEQESEAVPQEQPLKEAVVEEPPKSQPEPEPETVTVTVTVEDTITPNPAPETSLAPKPEEKAEETSKVVEKVAVIDEDGAKTVEAIEETVVAVSAPEPKEPALPTEEAEAEAEAEAAEPVPPPPPEEVFIWGIPLLGDERSDVILLKFLRARDFKVKDAFTMIKNTVRWRKQFGIEALLDEDLGNQWDKVVFSHGVDREGHPVCYNVFGEFENKDLYQATFSDDEKSLKFLRWRIQFLEKSIIKLDFSPSGISTIVQVNDLKNSPGLTKWELRNATRRALQLLQDNYPEFAAKQVFINVPWWYLAVNRMISPFFTQRTKSKFVFAGPSKTAETLFKYVAPEQVPVQYGGLSREGEQEFSVEDPVTEVAIKAAAKHTVEFPISEPSLLVWELRVVGWDVSYGAEFSPSAEGGYTVIVQKTTKLGPADEPVISNSYRVGEAGKIVLTIDNLSSKKKKILLYRSKTKPVSD >KGN52088 pep chromosome:ASM407v2:5:23649645:23650697:1 gene:Csa_5G609740 transcript:KGN52088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVGSASSFNLMTLSSSSYSSSSSSSSSSSSSSSKIFILNIPFSRRKKRQQVKAIAAAATTGKDQNILVIMGATGCGKSGLSVQLASHYQSEIINCDKMQVYKGLDITTNKIPLHERHDVPHHLLGDVDSIHQDFTPFHFRLRADNVVSDIASRNKLPILVGGSNSFIHAMLVNHFNPNHDVFTPKSLISSHLRYRCCFLWLDVEFPILAEYLSIRVDEMLQIGMFEELAEFYDPHTAETMPYTGIRKAIGVPEFDKYFQKNPPSKKSGIDREAFEEAVEAIKRNTHVLAERQIGKILKLKEAGWDINVLNATEAFRAVVEPGTGRNRKEIWEKEILEPSFRIVNRFLKE >KGN50838 pep chromosome:ASM407v2:5:11811688:11812272:1 gene:Csa_5G285030 transcript:KGN50838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADICRPEKNQWPELVGIKATIAEYIIKKDNPNVENVIVLLAGTGTTKDFRSDRVWLFTNIDGRVVEMPTVG >KGN52370 pep chromosome:ASM407v2:5:25574329:25577035:-1 gene:Csa_5G630730 transcript:KGN52370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTTSIHPNLTPPSSSSYPHDLFSEFVFRGTSRSRFRFPPSKSAQQDPNPYQDSTQHSPLSTLHDLQTPEPSNHHNESLASPSSEVHEPPILTLEDLQNGKLPRQSPKQPSLARRVLSFYREFGFDKKLLQATSHSVLNSVPAQEGTRVVSRYFQNSRSTQQSKRIVSRYFQESVKERTAHYEDENDGGNLTEQPSKRSSKRRRKDVTPGSDNSKTNHHSVGKTARSVQKSGTDTQVRIVSGYFQSYEKSLEMDREVSPSLQNSKSNQQEEKVVSRFFLKSGKQQAVNNQEEATEQLNQCAKSVKRLRKPVNERKEKDKTSSTKPRTTLTAAELFLEAYRRKSPYDTWKPPTSGTRLLQHDHAYDPWRVLVICMLLNRTSGQQAKEVIPKLFSLCPNPKATLEVSREQIEDIIRPLGFYRKRSRTMHRLSEMYLKESWSHVTQLPGVGKYLAYPCTLSC >KGN50211 pep chromosome:ASM407v2:5:5814880:5815676:1 gene:Csa_5G160140 transcript:KGN50211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLKISLPLLITLVALHNAATTGSAATSFIESSCKVTRYPALCVQSLSTYANVIRQSGRQLARTALSVSLSKARLASAFVAKLGKGGGMKGLEYQAVKDCIENMGDTVDRLSQSVKELGDLRQTAGRDFLWHMNNVQTWVSAALTDETTCLDGFAGRRLDGQIKAEIRRRITLVAQITSNALALVNRFADENH >KGN51591 pep chromosome:ASM407v2:5:20654714:20660537:1 gene:Csa_5G582240 transcript:KGN51591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGDNTVHELRGKLGVGIGSTEEDKDLSISLTSLNYFPKTSPSQFSYAEMIPGSPQHQLSSTKKEGEETDFFEANLERRHLNKKRPTLCKSSSSGNVNQSKSTPKEISSGGGDSSSSPFSISDDFKISACSICHIRRPFVGWRRDFTYAELHAATNGFSEHNFLSEGGFGSVYSGEIGGIRIAVKQHKLVSSQGEKEFRSEVNVLSKVSHENLVMLLGTCREASRRLLLVYEYVCHGSLEKHLSRTARRPLSWEKRMKIARGVARGLQYLHKNNIIHRDMRPNNILITHDYESRLGDFGLARTQYEDSAETRVVGTLGYLAPEYAEFGKVSTKTDVYAFGVVLLQLITGLRTTDMIFEGKSLVGWARPLLKERNYPDLIDPRIADSHDFYQLFWMVDVVVKCLRKDPRKRITMNKVLEYFNYLMDGDPTGNIGDLSPAESCTPANE >KGN50688 pep chromosome:ASM407v2:5:9453573:9455904:1 gene:Csa_5G212590 transcript:KGN50688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGLKKHLKRLNAPKHWMLDKLGGAFAPKPSSGPHKSRECLPLILILRNRLKYALTYREVIAILMQRHVLVDAKVRTDKTYPAGFMDVVSIPKTSENFRLLYDTKGRFRLHSIRDEEAKFKLCKVRSVQFGQKGIPYLNTYDGRTIRYPDPLIKANDTIKLDLESNKIADFIKFDVGNVVMVTGGRNRGRVGVIKNREKHKGSFETIHIQDATGHEFATRLGNVFTIGKGTKPWVSLPKGKGIKLTIIEEARKRLASQSAVTA >KGN51185 pep chromosome:ASM407v2:5:16925863:16926551:-1 gene:Csa_5G484660 transcript:KGN51185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLHLRLLENRIIFKKLPSFIPSSSSSFLLLLLLLLIEFSSFFIAFPSQTLVLHKLLLIHTNSAIHLKFSSHLLLPCRSSLNQRV >KGN51402 pep chromosome:ASM407v2:5:18769712:18770778:-1 gene:Csa_5G527900 transcript:KGN51402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELTTHDPPIPIPIPIPLNSRYAGHDHDHNHDHDHIIHHHDSSSTRNHIISATVNGGNQMEVDLHHHTNNNNNNKKHIKYKECLKNHAASMGGNATDGCGEFMPSGEEGSIEALTCLACNCHRNFHRKEIEGEPYDWLHHSRLGRKLLVGGKNMIGPPEPAAFAYPTAGGATFISSRAATTQPHHMIMSYNMLGGGGGHSESEEQEEGMGAGVGGRVYSGAMMNKKRFRTKFTAEQKEKMLRFAEKVGWKIQKQEESVVQQFCQEIGVKRRVLKVWMHNNKHNLAKKDTMSPSHSPNNPLN >KGN51723 pep chromosome:ASM407v2:5:21493080:21496182:1 gene:Csa_5G593360 transcript:KGN51723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETGGVYGQFLAGSLDPTAQEFRPRYSTTLFLPQPPHRVFFPYPPISDVPLLPFCETGVTYPPFTTTESAYVPVRSPVSSVATRSLVVSSVPCDVSETMVRRELEVFGEIRGVQMERVKEGIVIIHFYDIRHAERALREIRDQHMHHQCRLRNYFNNNNNNNGFLLSNSSLPRPSPAPGLIAGHAVWAQFIVPAGKNQGTIVIFNLDSTVSTSCLREIFERFGQVKELRETPLKKQQRFVEFFDIRDAGKALKEMNGKEINGKSVLIEFSRPGGHGNKFFNANLTTPAICGSNNIYSRSSKCPPSRPPPPPSRNFSGGVGSNVPPRWYYSKPHASSRKWNLNKGSRSPRNPRKSSESDDVYEKMGSVDLNAGGECNEIEERESFGVLSKILKNSHSSSSVGADQQQVQPSRNKLRKCRQSRKFDSRFLINDNDSDCRDSRTTVMIKNIPNKYSQKLLLNMLDNHCIHCNEQVGDDHNEPLSSYDFVYLPIDFNNKCNVGYGFVNMTSPEATWRLYKAFHLQPWEVFNSRKICEVTYARVQGLESLKEHFKNSKFPCEMDHYLPVVFWPPRDGRKLTEPMPIGGQRQSITIGLSTTPSCSGNDEMEEGEEEEDDVATTTTTTLVDQQEESMKGNNNSSNSSSGSIDEDDTDGDEYDQVS >KGN51075 pep chromosome:ASM407v2:5:15591969:15594575:-1 gene:Csa_5G429970 transcript:KGN51075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGACLSKKKKTLPSISSSSVPSPPDPTSSNGCTKPIIPISQPPTTDVPLKTCNITGEENGERKEERSEYPVKKEVFVIKHRKSHDGRDKNGGSLLPSQVGNGHVFSAATPTVSSSSCEIFESGAVGENLKVGLVRTSSCTKEEVDAILIQCGRLSRSSSAKGNGRKYSGSKRSYDFDQCDRDGVNSGNFGDEDEDGRNLNSVEVYDDGTPVEKRHHQRQRHRQSPRHSSSQGRRRTPSRERDQNQRSSSRERRVSRSPGRRSAEPSATNASNNTSNLNANANNGGVLNRPAKMVSVPATVSHAETDKNNSAANVGCGGNDSATVTGVKRISVKRNVGEATAMTGSRVASSPRSQSPARNNGNVKASDENQQQPSLSRSSSRKAEQSPYRRNPLGEIDTNSQQHNRIQNRSKKETEEVIAKDSINGVNQRPKADPKSVNKVIVSQVNGSKPSSTATATRGVVNIITSTTPLSNTEVLVVEHQKPQGLARSRSARHSRELDINPETLLNQSQTPSYTKMLLQDIQNFHQKSTNTNPVSLPACVTKACSIVEAVADLNSTTSSNFSSAFSENRSNPPTYQSSRNEYSVPYSGSLKGTAELRDPFVESEVAMDDDILEPSFHKYVTVRRGGPVVAAGGGDTDDQESSGSNSYVGSVQQQHQWGISTASWEPNTADSNDSRTSRQITKEEGHPHLQSKPGLDRDDNRRRTAERRRDSDAQRTGIGRGRLGNAGKVVHTIAVAATGST >KGN52348 pep chromosome:ASM407v2:5:25276361:25281933:-1 gene:Csa_5G626570 transcript:KGN52348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSRDATRLVNGVSLVAKELLQRSQLLDISGIHNFQTLLSTPLKKLVVSLTDISGLTRGKVHQFSTADPPNRDSVSYFSHPIQSNHLHSPEITDLGVAHNQTCVSDSSPLSQNEDKENNLVNGTQPINASSTAFSGTQAGEAPSGRVEVTPPLKRRTPRERRVPSTPFSRALGFAGLGAGLAWGTLQESAKRLVFGSENLQNQPSALSPFLSEKNAERLALALCRMRGAALKIGQMLSIQDESLVPAPILAALDIVRQGADVMPRSQLNQVLNAELGQDWSSKLISFDYEPMAAASIGQVHRAVSKDGMNVAMKIQYPGVADSIESDIDNVKLLLDYTNLIPKGLYLDRAIKVFYFSLWDSLHQDLRPY >KGN50643 pep chromosome:ASM407v2:5:8860914:8863439:-1 gene:Csa_5G199310 transcript:KGN50643 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S acidic ribosomal protein PO MAIKPTKAEKKVAYDSKLCQLLDEYSQVLVVAADNVGSNQLQNIRKGLRGDSIVLMGKNTMMKRSVRIHSEQTGNKAYLNLLPLLVGNVGLIFTKGDLKEVSEEVAKYKVGAPARVGLVAPIDVIVPPGNTGLDPSQTSFFQVLNIPTKINKGTVEIITPVELIKKGDKVGSSEAALLAKLGIRPFSYGLVVLSVYDNGSVFSPQVLDLTEDDLLEKFALGVSMVTSLSLAISYPTLAAAPHMLINAYKNLLAVAVATDYSFPQAEKVKEYLADPSKFAVAVAVSSADSGSAPEAAAAVEEKKEEPAEESDDDMGFSLFD >KGN52529 pep chromosome:ASM407v2:5:26735530:26739830:-1 gene:Csa_5G641620 transcript:KGN52529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIDDIFGMTQNVSLPRKSAIYVWGYNQSGQTGRKGKDHQLRVPRQLHPDLFGCPGEINSRWLDIACGREHTAAVASDGSLFTWGANDFGQLGDGTEEKSKLPKKVNQLQGEFVKSVSCGAHCTAAIAEPRENDGTLSTCRLWIWGQNQGSNFPRLFWGAFTPQTIIRQVSCGAVHVVALSDDGQLQAWGYNEYGQLGRGVTSEGLQGARIINAFAKFLDEAPELVTITKVSCGEYHSAAISENGEVYTWGLGSMGQLGHCSLQSADKELIPRRVVALDGICVKDIACGGVHTCAITQNGSLYAWGGGQVGQLGVGPQTGFFSCIAGDSETFLRNLPVVVVSDGVQHVACGHSHTLVSTKDGRIHGWGYNSYGQAANEKSTYAWYPSPVDWCVGEVRKLAAGGGHSAVLTDACSLKELCEFRLADTVNSQNASEIEDVASRTGSDALARLCGRLRESVLDGRCEFEEDETSN >KGN50944 pep chromosome:ASM407v2:5:13593340:13594856:-1 gene:Csa_5G352640 transcript:KGN50944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVMMAQEVAGIPISSMTFRPVEKSLKRLLPAPVGCQSPDGEPRATKQCREDTVVGSRSMVGSFAQGVREHVAVRIGQKIRETVKGKLNLGAKILQVGGLRKAYKQLFIVREGEKLLKACQCHLSTTIGPLAGLLFISTHKLAFCSDKSLKLSSPTGELLRFHYKVVIPVGRIERVNQSKNVMKPSQKYLEIVTVDNFDFWFMGFQNFQKSFRSLQQAISQEWKMNC >KGN51268 pep chromosome:ASM407v2:5:17692509:17695201:-1 gene:Csa_5G505780 transcript:KGN51268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSTSCLSWVPATASNWKLKLLPFNEPSTATSSFSSSSTINCSIERISRDEGIYNRRPLLLGVGALATSLLGASPLFAEEIPKNYRAFVDSTDGYSYYYPSDWREFDFRAHDSAFKDRYLQLQNVRVKFIPTEKKDIHEEGPLDEVVNFLVKHRYAAPNQKTTIYDMKERTIDGKIYYTFEYKLSSRAFSRTSFATIAIANGRYYTLVVGANDRRWRRYRDMLKVVADSFRVLDI >KGN51901 pep chromosome:ASM407v2:5:22618091:22619991:1 gene:Csa_5G604950 transcript:KGN51901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEDRSFLASRVFSSSCHQENQWACFNLTYRLEVGMGNGKRPSDHDGEDFVKTSSSYGRNVRPRSSTDHHPNDESDSGDSSDSGSLISSIGRDNSINCLIRCSRSDYGSIASLNRSFRKLIRNGELYKLRRLNDVIEHWVYFSCHLLEWEAFDPIQRRWMHLPRMDSNECFMCSDKESLGVGTDLLVFGKDLNSHVTYRYSILTNSWCPGVSMNDPRCLFGSASKGEIAILAGGCDSNGNILNTAELYNSETKTWVTLPNMIKPRKLCSGVFMDKKFYVIGGVGGSEANVLTCGEEYDLETRKWTEIPNMSPGRSAAARDPEMRAAAEAPPLLAVVNNELYAADHTDMEVRKYDKQRREWNTIGRLPERAVSTNGWGLAFRACGDRLIVIGGPRAMGEGYIELNSWVPSEGPPRWDLLARKPSANFVYNCAVMGC >KGN51797 pep chromosome:ASM407v2:5:21979302:21982660:1 gene:Csa_5G601470 transcript:KGN51797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYMKMEGISFRGLTFMLAVAFLVWSSSLEGCHGKVRSKHYWRKNRVASPALYSKKGKAHSHGGSHKGNHHGSASKTKPRPSHKTPQPLPRPVTKPKPNIPRSPPPQKEGHSIMFNVLDFGAKGDGKTDDTKAFQDTWTASCKVAASTFIVPSKYVFLVGPISFSGPYCQPDIVFQLDGTIIAPTDFKVWGKGLLQWLQFTKLMGLTIQGNGVIDGRGSVWWQEISPYDDPIDDEFKLLIPLNSTVQEKPPPPARSELVGKMPRIKPTALRFYGSFNVTVTGITIQNSPQCHLKFDNCIGVLVHDFNVSSPGDSLNTDGIHLQNSKDVLIYSSTLSCGDDCISIQTGCSNVYIHNVNCGPGHGISIGSLGKDNTKACVSNITVRDVTMHNTMNGVRIKTWQGGLGFVQGVLFSNIQVSEVKIPIVIDQFYCDKAKCSNQTAAVALSGINYERIRGTYTVKPVHFACSDNLPCTDISLTAIELKPLQELYHLYSAYCWQTFGELRTPTVPPIDCLQIGKPSSNQIQYDFDSC >KGN50474 pep chromosome:ASM407v2:5:7513500:7516385:-1 gene:Csa_5G175960 transcript:KGN50474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPFSSSSLKLSSKEMWRTIDAHLRSVRLLPSLSSSSSSSSSSSRFSSGRSFVTRSVSTTHFSPPPKPHSITLSKTLAFPPLINYLSSVSCFSLGIQRLPGSNYGVLVLARCITSSVYSLEWNEPVSCSEVGDGGFRSVREGISDGEGDEVEEDSRPSIPVRAYFFSTSVDLRSLVDQNKRNFIPPSSRMTNYVVLKFGDLCNVNTHGASIRGSDCCFMVVFQYGSIVLFNVREHEVDGYLKIVEKHASGLLPEMRKDEYEVREKPALNTWMEGGLDYIMLQYLNIDGIRTIGSVLGQSIALDYYGRQVDGMVAEFTDINREMEATGKFKMKRKKLFQLVGKANSNLADVILKLGLFERSDIAWKDAKYAQIWEYLRDEFELTQRFASLDFKLKFVEHNIRFLQEILQNRKSDFLEWLIIALIGAEILLSLYDIIHRSAANL >KGN52645 pep chromosome:ASM407v2:5:27495310:27495817:-1 gene:Csa_5G648660 transcript:KGN52645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASPSNPPNMIYEVFINFRGIDVRSTLLGHLSQTLCNYGLIMIFVDSEELKIGNRLDERLERSIEESRTAIVILSPNYAIAKWPLRELAKIIESMGDGRTTRVFPLFFHHQTMQSKLKLIETKLKI >KGN50970 pep chromosome:ASM407v2:5:13984867:13996625:-1 gene:Csa_5G376270 transcript:KGN50970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLQRPSLRTVFTEFMEDLHSALNDRGLTVTILPEKGRSLFTTRNFRPGEEIISQEPYVCVPNNSPVESRCDRCFASINLKKCSACKVAWYCSSVCQKSEWKLHRLECDALARLDKDRHKSVTPSIRLMIKLFIRRKLQSEKVIPMAATDNYKLVEELIDHMSGIDEKQLVLYAQMGTLVNFILQWPGMNVKEIAVNFSKLACNAHTICDSELRPLGTGLYPVISIINHSCLPNAVLVFEGRTAVVRAVQHIPAGAEVSISYIETAGSTMTRQKTLKENYLFTCTCSRCVKAQEDEIKESAILEGYRCRNDQCDGFLLRNSDDTGFTCQQCGLVRSKEDIKNIASKIKSISDEASTSLSSQSYAEALFMYEKVEKLQRILCHPYSISLMQTREKLLKISMELENWTKALTYCKLTISVYQKLYPGIHPLLGLQFYTCGKLEWLLGHTEDAIKSYTKAFDILRITHGTNSSFMKELLLKLEEARAEASYKLSSTDDE >KGN51648 pep chromosome:ASM407v2:5:21034760:21037167:-1 gene:Csa_5G587190 transcript:KGN51648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPLPVAFAPVGFAADAPLDSSSFESLLSPIMALHSLRLRRTLSFLSAFHRYAAVSGQTSHSIFCPSAPSLSKSPAMISPHWPLRLSSISSYSWLSLASNREDDKIGTDIASGSEDVKIGNDNASGSEDVKIGNDNASGSEDVKIGNDNASGSEDVKIGNDNASGSEDVKIGNDNASGSEDVKIGNDNASGSEDVKIGNDNASGDIWTDTPEGCDYNHWLIIMDFRDSKPTTEEMVRTYEETCAKGLNISVEEAKKRIYACTTTTYQGFQAVMTKEESEKFRGLPGVLFVLADSYVDQVNKEYGVNQVMYPPDENFEINISMERLYQDHHLDNMLEGRCEKTVAEIWINQDMRGQLHQHRIGKLIHLLINRVLYKEMNAIPELHKTIRRKVHPKIMVP >KGN51784 pep chromosome:ASM407v2:5:21921314:21924062:1 gene:Csa_5G600370 transcript:KGN51784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKPFNFSFLIPFLLMLSFFRLPIQSSADPRATEAALICSNNTASPAERSTFVANFLDSMDAVTPLIASQRFAAVINGTGNTTVYAFGECMNDLSQADCDLCFAQMKTQVLRCLPFQLATRGGRLFYDGCFVRYDYYNFFNESLSAVDRTVCGKLDYSGNRSVFSDSAMKLARNLSVVAPKNDGFAVGSVDFRNVSVYGLGQCWKFVRGSSCSKCLVDAAKEIGSCPPKSEGRVLNAGCYFRYSTEKFYFNTTATATGGNNSRRLAIILASVSAAVAAALIISTVLFCARRRVLRKRNEKRQLGPLVAIVNKSKLNFSYETLEKATNYFDQSNKLGQGGSGSVYKGTLSDGRVVAIKRLFFNTRQWVDHFFNEVNLISGIRHKNLVGLLGCSITGPESLLVYEYVPNQSLHDYLFGNKNVQPLSWQSRFKIVLGTAEGLAYLHEESNVKIIHRDIKLSNILLDYDFTPKIADFGLARLLPEDKTHISTAIAGTLCVIQILLDRRTLYIINLIQFQYKHFFCFLMRSGRYISELSTL >KGN49688 pep chromosome:ASM407v2:5:2093823:2095964:1 gene:Csa_5G067660 transcript:KGN49688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAAVAGVGGPKFASGVVRNDTQWPADNGHQDWCSEQYEGDDQDDLKPTVKIICYRGRHDRRSIIISHHG >KGN50179 pep chromosome:ASM407v2:5:5575539:5578435:1 gene:Csa_5G157380 transcript:KGN50179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQTRFFRTRNSKSDKEAEPTTSSGGGAAMRVIVPLQGVVQGRGGVVLGSVIPCVLFYFLQLYLKRNRSDPTRDPPSSPEVDSSGDSSPSSSNQFVELSVLPRTLSRNFLSPRSSGPVCVSGRANSISRVGDSSIFVGMRRFLEDSFDELDNPTGIIQLSVAENKLSSDLVQNWLTENGRDAILGVNHNELSVAGTVCYQPSDGLKDLKLAVASFMSQVVGNSVSFNPSQLVFTTGVTSAIETLCFCIADPGNAFLVPTPYYPGLDNDVKWRTGVEIVPVPCRSADNFSLSITSLDRAFHQARNRGLKVRGIIISNPSIPVGNQLNRETLYDLLDFAREKNIHIISNEIFVGSTYGSEEFVSMAEMINLEDNDRDRVHITYGLSEDMSLPGFRVAAIYSLNENILAAARKLARFSSVSAITQNLLSSMLSDTQFIQRFIRTNRERLGEMNSKFTAGLKELDIKCAKSNGGFYCWADMSKLIRSYSEKGELELWEKLLDIGKVSVTPGSCCNCIEPGWFGFCFTALIDKEIPIVMDRIRRISEACKSYT >KGN50537 pep chromosome:ASM407v2:5:7982399:7986769:-1 gene:Csa_5G181440 transcript:KGN50537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESFIRFLRSAKPYFGVIFVQFGYAGMAILTKSALDKGMSQHVFVAYRQVAATLVIAPFAIIFERKARTKMTFSLLFKILLLGFLEPVIDQNLYYTGMKYTTATFAAAMCNVLPAFVFLMAWACRLEKVKIMKRGSQAKILGTIVTVGGAMIMTFIRGPMLNLPWTKLPNQVSASSSLSAASPDHQNQIVGFLMITTGCVCWAAFITLQAITLKEYPADLSLTTLICLVGTIGGFGVALVIERGNVSAWALHFDSQLLAVVYSGVICSGVTYYIQGVVMQTKGPVFFASFNPLAMILVAIMSFFILSEIMFLGRMIGVVIIICGLYMVLWGKSQDEPPVLNSECDNMTPCEQQMKTTTTVQSSQDFLALNVAKEEKN >KGN51959 pep chromosome:ASM407v2:5:22895062:22897315:-1 gene:Csa_5G606500 transcript:KGN51959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKALTKVGSLKVGSLWISKKAKEELANITDDLNTFSNTVEEKAKWIFNKLKGKPLKSLPDLLREYNLPPGLFPQNMTCYEFDESKSKLIVYLPSACEISFKDSSVVRYATRVKGTLQRGKLTNIEGMKTKVLVWVKVTSVSVEGYKSDKVWFTAGVKKSRSKDAYEKPHDAIRVGEF >KGN52333 pep chromosome:ASM407v2:5:25143656:25147858:-1 gene:Csa_5G624440 transcript:KGN52333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTVRGLKVPPNSASLEEARSRVFDFFRSACRSLPAIMDIYNLDDVATVSQLRSAIASEIRKNSHVTDPKVIDMLLFKGMEELGNITEHAKQRHHIIGQYVLGREGLVQDFGAKDQGRSNFLKNFYKSNYF >KGN50700 pep chromosome:ASM407v2:5:9704625:9711522:-1 gene:Csa_5G217680 transcript:KGN50700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKTKRRVYEFKHPFNDYPFEAMCCGSWQAVEKIRIRNGLITLHLVNDQFMVLERGPYSDFRVRSRQATSSDCTCFLRPGVDVCVLSFSNNMENLDMQSPQPVWIDAKISSIKRRPHQAGCSCQFYVQLYADSKPLGSEKGSLCKEIVEMGIDQISILQRVRKNICEGQFYRWDCSEDSSLLPKTKLLLGKFLSDLSWLIVTSALKHVTFDVISLDNKILYQVLEINQKSTSVTSDKILHTVNFRDDDGRLIPIIHQLDTSDNIEILPGEDAFDNQLRSITDPVDLRRSKRRNVQPVRFLGCDSIDESEIDYSGTRIYKNDQLNDDDEMILPLAYLFGTPVGSSKQKIENESNHDSNKLSVHDDLSVFKSRIKSLEMKSGMSDELEDKNQLAIVPILDEQPIASDPYPNVANSCGNYTKQITEMSSTYYYINNKSKIRKRKFSDFQDVDFENDIDSCRGKASSSKGRRPSYHSISYKENGHPKERPWQKRSLSAGAYKDLINSFLKNIDSTIKKDEPQIIDQWKEFKNKSCLDKKIEMEMPSNEKEEESSEIEMLWREMEISLASSYLIDANQGFSNGTSMEPEQKPSKWCKHEFKLNEEIGMLCHICGFVSTEIKDVSAPFMQHMGWSTEERRTEEKDPEHNSDEEEEMNIFSGLPSSDDTLSEENDNVWALIPEFRNKLHLHQKKAFEFLWKNVAGSMVPALMDQATRKIGGCVISHTPGAGKTFLIISFLVSYLKLFPGKRPLVLAPKTTLYTWYKEFIKWEVPVPIHLIHGRRTYRVFRANSKPVTFAGPRPTDDVMHILDCLEKIKKWHAHPSVLVMGYTSFLTLMREDAKFAHRKYMAKVLRQSPGILILDEGHNPRSTKSRLRKVLMKVETDLRILLSGTLFQNNFCEYFNTLCLARPKFVNEVLKKLDPKFQRKKKKAPHLQEARARKFFLDKIARKIDAGDEEDRRDGLNMLRNMTGGFIDVYEGGSKDGLPGLQIYTLLMNTTDIQQEILNKLHKIMAQFPGYPLELELLITLGSIHPWLVKTAVCANKFFTDREMMELDKYKFDLRKGSKVMFVLNLVYRVVKKEKILIFCHNIAPVRLFVELFENVFRWKRGREILALTGDLELFERGKVMDKFEDPVGPSKVLLASITACAEGISLTAASRVILLDSEWNPSKTKQAIARAFRPGQLKVVYVYQLLVTGTLEEDKYKRTTWKEWVSSMIFSEAFVEDPSKWQAEKIEDEVLREMVEEDRVKSFHMIMKNEKASTVIRELKD >KGN51675 pep chromosome:ASM407v2:5:21193808:21196528:-1 gene:Csa_5G589920 transcript:KGN51675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLVLATLTISLLFPFSLLNFLFCSLLAFSLNYWLIPGGFAWRNHHRFSVNLPGPSGWPLLGTLPQMGPLAHRNLATIAHSFKATRLMSFSMGATRAIISSHPDTAKQILCGSAFSDRPVKQSARLMMFERAIGFAPNGAYWRNLRRIAANHMFSPRKISDLEGLRREVADEMVAELSGNMASEGVVRLREVLQKHSLKNIIESVFGSGMGMGRKGELSDMVREGYELIAMFNWEDYFPVSFLDFSGVKRRCNELAGRVNVVIGQIVEERKRENTEFHNDFLTTLLTLPKEDQLSDSDMVAVLWEMIFRGTDTIAILLEWIMARMILHPDIQAKAQNEIDTCVGCNRHVCDSDIPNLPYLQAIVKEVLRLHPPGPLLSWARLAIHDVHVDKILIPAGTTAMVNMWAIAHDPSIWKDPWTFKPERFIENDMSIMGSDLRLAPFGAGRRACPGRALGLATVHLWLANFLHRFRWTPCSSTKSVNLSECLKLSLEMKKPLKCCVVGR >KGN50702 pep chromosome:ASM407v2:5:9738554:9741726:1 gene:Csa_5G218190 transcript:KGN50702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSHGDQEEEADLHSPEEMESLVLDDPPNGQSHGRNGQLSRPVTINYDPLLSSSPSYADRQSPDSPFDSFLEPPSYAEAIFTSFDSSSNGRDGSPDFSSTSNALSSEFLSISVSDPQRMDELNNSLVPGGSGYYTYLITTRTNLPEYGGPGSEFGVRRRFKDVVALSDRLLESYRGFFIPMRPDKNVVESQMMQKQEFVEQRRVALEKYLRKLALHPVIRKSEELRMFLEAKGSLPLVRSTDVASRMLDGAVKLPRQLFGEPTAAVDLQEVAKPAKGGRDLLRIFKELKQSMANDWVGTKPMVVEEDKEFLEKKGKLMDIEQQLSDVSQQAESLVKAQQDIGETMGELGLAFVKLSKFETEEAIVEAQRVRAADMKNLATAAVKASRLYRELNSQTVKHLDKLHDYLGVMLAVNGAFSDRASALLTVQTLSSDLSSLHSRIEKLEVASSKIFGGDRSRLRKIEELKDTMRVTEDAKSRAVREYDRIKENNRSELERLDREMQEDFTQMLRGFVLNQVGYAEKMENVWENLAEETRHYQKDHS >KGN51096 pep chromosome:ASM407v2:5:15887732:15889725:1 gene:Csa_5G440100 transcript:KGN51096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFLISTRPFPFSTLPLAPPRPFFRPSIFSPPVRFFANPSPSRTLRVVTTRAGASTSSYIFAFSIPLSLILITVLTALKIGDNLDKKFLEELALNQAIMEEDEDYEDGSEISFEEKPTVPRTRNRPKREAEV >KGN52081 pep chromosome:ASM407v2:5:23609292:23610609:1 gene:Csa_5G609670 transcript:KGN52081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTRKSVERTAEGGKTARACDSCISKRARWYCAADDAFLCQACDASVHSANSLARRHERVRLQTASFRPSSDNSSAASWHQGFTKKPRSPRMGKTAPTRKPFPQVPEVSAKEESEEQEQLLLHRVPVLGADSKDGNLASFVGEKESSNGYLSYDMDPAEFAADVESLLGNSLDNECFDMEELGLVASKDHSLTNDDYSLNSHEIIKIEPDEIEVLTPMLGIEADTMREPFELNFMDFGSNPTTCSEEDDKMMMEVMAVVKNGELEMEETKIVKNKKKVSLSLDSEAVIIAWGSRGTPWTSGDRPNLDLDYYWPDYMGTYESDCYYQPYGEFGSGIGRQAVTGVEGEREARVSRYREKRRTRLFAKKIRYEVRKLNAEKRPRMKGRFVKRSSCFAPPPLPLFNQ >KGN50799 pep chromosome:ASM407v2:5:11117740:11118219:-1 gene:Csa_5G265300 transcript:KGN50799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNWFLMMGLCVVMSVDDGKNVVMKGVTHGACDYLIKPVRMEALKNIWQHVVRKRKNEWKDLEQTCVDDVDRQQKTNEDADYSSSANEGSWRNSKRRKDDVEDPEERDDSSTLKKPRVVWSVELHQQFVAAVNQLGIDSMDPSLIFSCTLLFHLCLNVCL >KGN51208 pep chromosome:ASM407v2:5:17211643:17213457:-1 gene:Csa_5G490820 transcript:KGN51208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNTLMSCGIATTAFPSVLSSSKSKFAVGVPISGVAVNASSRFSMSAEWMPGQPRPPYLDGSAPGDFGFDPLGLGEVPENLERFKESELIHCRWAMLAVPGILVPEALGLGNWVKAQEWAAVPGGQATYLGQPVPWGTLPTILVIEFLAIAFVEHQRSMEKDPEKKKYPGGAFDPLGYSKDPEKLKEYKVKEIKNGRLALLAFVGFCVQQSAYPGTGPLENLATHLADPWHNNIGDIIIPRTISP >KGN51309 pep chromosome:ASM407v2:5:18069719:18071271:-1 gene:Csa_5G517080 transcript:KGN51309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQKFGGGLRPTGTPSVGWSFVVVISSLLAGASIVHNIFKPNLTLPPADSAQTTTNKENPI >KGN52165 pep chromosome:ASM407v2:5:24083329:24083885:1 gene:Csa_5G613430 transcript:KGN52165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTARQQKNLEEEDEEGLGRVRKLIDERFVKKSPPKPYDRPPDGIRTSGNNSWILKLVDPGQRLISSGSRMLFSSVIRKFPHHLTSRVSSQESSQSRKDDNKVDVTVSR >KGN51249 pep chromosome:ASM407v2:5:17557923:17558289:-1 gene:Csa_5G504110 transcript:KGN51249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKKDNFEGQDTAGKIVEGELIPIFLRALEIVLIMNLNQASGYSTPCNTKFSLVRSVISLKEQVNWKKTWTSVQGGNNGLRNA >KGN50861 pep chromosome:ASM407v2:5:12253783:12254340:1 gene:Csa_5G292180 transcript:KGN50861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNPIPPSKTLLLSCFDATVPTLSSGYKSPSPVEKSVPLLAISPVCATPPSNPAEPYLRRSFPPQRRIRCEALSIFYTCQHGTCKKKTRKEKYRKERLQKGVGEERSMTEIIEVVFQAMRLILSILC >KGN50452 pep chromosome:ASM407v2:5:7346621:7349719:-1 gene:Csa_5G175760 transcript:KGN50452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANALCLIRQIAANSSPRRILSTFPFQTTSFPQIWNNVSIHFMFFSTNNPFDHYDDTVREFSMILKRKDWQILLNNEDNVRKLNPEIVCSVLQKSEIDDSVRLQNFFYWSSSKMSTPQYLHSYSILAIRLCNSGLIHQADNMLEKLLQTRKPPLEILDSLVRCYREFGGSNLTVFDIFIDKFRVLGFLNEASSVFIASISEGFFPTLICCNNLMRDLLKANMMGLFWKVYGSMVEAKIVPDVYTYTNVIKAHCKVGDVIKGKMVLSEMEKECKPNLFTYNAFIGGLCQTGAVDEALEVKKLMMEKGLGPDGHTYTLLVDGFCKQKRSKEAKLIFESMPSSGLNPNRFTYTALIDGFIKEGNIEEALRIKDEMITRGLKLNVVTYNAMIGGIAKAGEMAKAMSLFNEMLMAGLEPDTWTYNLLIDGYLKSHDMAKACELLAEMKARKLTPSPFTYSVLISGLCHSSDLQKANEVLDQMIRNGVKPNVFMYGTLIKAYVQESRYEMAIELLKIMIANGVLPDLFCYNCLIIGLCRAKKVEEAKMLLVDMGEKGIKPNAHTYGAFINLYSKSGEIQVAERYFKDMLSSGIVPNNVIYTILIKGHCDVGNTVEALSTFKCMLEKGLIPDIRAYSAIIHSLSKNGKTKEAMGVFLKFLKTGVVPDVFLYNSLISGFCKEGDIEKASQLYDEMLHNGINPNIVVYNTLINGLCKLGEVTKARELFDEIEEKDLVPDVVTYSTIIDGYCKSGNLTEAFKLFDEMISKGISPDGYIYCILIDGCGKEGNLEKALSLFHEAQQKSVGSLSAFNSLIDSFCKHGKVIEARELFDDMVDKKLTPNIVTYTILIDAYGKAEMMEEAEQLFLDMETRNIIPNTLTYTSLLLSYNQIGNRFKMISLFKDMEARGIACDAIAYGVMASAYCKEGKSLEALKLLNKSLVEGIKLEDDVFDALIFHLCKEKQISTVLELLSEMGKEELSLSSKTCNTLLLGFYKSGNEDEASKVLGVMQRLGWVPTSLSLTDSISTGRDDMKSDISQVL >KGN50030 pep chromosome:ASM407v2:5:4585240:4590006:-1 gene:Csa_5G150970 transcript:KGN50030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLSPPDSFSKLSSDAGAAFVLESKGQWWHAGFHLTTAIVGPPILTLPFAFRGLGWGVGFLCLTVMAAVTFYSYYLLSKVLELCEKQGRRHIRFRELAADVLGSGWMLYFVVFIQAAVNTGVGVAAILLGGECLELMYSNIYPKGELKLYHFIAVVTLGMIIISQLPSFHSLRYINFLSLLLSLAYAFFIAFASILAGTSDNVPPRDYSLESTPSARVFSAFTSISIFAAIFGNGILPEIQATLAPPIGGKMVKGLIMCYIVIFITFYSSAASGYWVFGNKSNSNILKNLLPKNEPPLAPTWILALAVLFILLQLLAIGMVYAQVAYEIMERRSADAKQGVFSRRNLIPRLILRTLYMSLCGFFAAMFPFFGDINSVVGAIGFIPLDFILPMVLYNITHKPPVTSITYWVNVFIVAAFSGVGLLGCFASIRNLVLDSKKFNLFSSHVV >KGN52551 pep chromosome:ASM407v2:5:26900874:26904117:1 gene:Csa_5G643300 transcript:KGN52551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQAIVSTQSKISKRVFFYVFNRPLNTAASSPAAAFTAATATTSPPSPLHLNPPSTPTVDFTDSRALFGSIPTSDLLHATATLHAAAVGPVVDVGMWVMNSKLMDVELFRDVVLGTVKHSFYRHFCAGEDDTSVAKTVRRLHDVGLRSMLDYALEYADDEASCDRNLDGFLSTVEATKSLPSGSASFVVTKVSAICPLRLLERVSDLLRWQQKNPSFNLPWKLQTLPIFSESSPLYHTLEQPEPLTREEEKSLQMSHERLMKICQSCVDANVPLAIDAEHTKVQPAIDYFTYSAAIIHNKDRNPIVYGTIQAYLKDAKDRLLLANKEASKLKVPLGIKLVRGAYMSSESKLASSLGFESPIHDTIQDTHSCYNTCASFLLDDIAKGSTGAILATHNVESGKLAASRAYEIGIGKLKQKLEFAQLYGMSEALSFGLRNAGFQVSKYMPFGPVDMVMPYLLRRAEENRGLLSASNLDRELMRKELGRRMKEYIS >KGN50231 pep chromosome:ASM407v2:5:6007764:6008144:1 gene:Csa_5G161310 transcript:KGN50231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKATATLAFLLALNLFFFSLVFAHNDRYVSSNPNHTPIHEDGKKCLKNTLKLGVCAKLLGNLVDVTLGKSSCCPLIQGLADLDAAVCLCSALKASVLGSNLNIPLSLSLILNACNKKVPNGFHC >KGN52611 pep chromosome:ASM407v2:5:27327999:27329079:1 gene:Csa_5G647340 transcript:KGN52611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFQILLLRLSIILFSISGVYSVNFIIKNNCRIPIWPGALTGAGNPISTTGFKLLPGSTTTTTVDASSTPWSGRFWARTLCSAANGKFTCATADCGSGQIACNGAGATPPATLVEFTIAANRGQDFFDISLVDGFNLPVSITPIGGSSGCKSVACGRSVNPVCPPEMAVKSPRGLVIACKSACMAFNKPEYCCSGDHNLPETCPPTNYSRIFKRQCPQAYSYAYDDKTSTFTCTGGANYAITFCP >KGN49834 pep chromosome:ASM407v2:5:3407958:3410196:1 gene:Csa_5G139130 transcript:KGN49834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQTEKRVFDSEEDLAVSLAKYIAHLSDQFAKNKGLFTVVLSGGSLIECLRKLVEPPYVDSIDWSIWHIFWLDESAVPKTHVDSNYKLAYDGFLSKVPIPLGNVYAIDDTLSAEGAAEEYEARLKHLVNSKVIDISAKSGFPKFDLNLLGMGPDAHVASLFPGHPLLKENKKWVTFIKDSPKPPPERITLTFPVINSSDYIALVVPGDAQADAVSIALGGGNPPTADETLPVQRVAPEGLLTWFLDKDAASKL >KGN51886 pep chromosome:ASM407v2:5:22499358:22499546:-1 gene:Csa_5G604310 transcript:KGN51886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKTGQKLSVAARGNGQRPNCRSCQLTVARGAKSEWTKHLGQIESREIGHERGDDMAPQGC >KGN52637 pep chromosome:ASM407v2:5:27449731:27454967:-1 gene:Csa_5G647590 transcript:KGN52637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTGSKSAMELQEKSREREDKVLEYKVELLSRESAYSLFSKNAFGGGPSDKDELCNEIVEKVGRLPLALKTIGSYLHNKELDVWNETLKRLDGVEQDFCDTKLFKNLKEIDVSDSKHLVETPDFSEVLNLQRLILRNCETLQEVHDSINSLLHLILLDMEGCVSFRSFSFAITCESLETLVLSNCGLEFFQEFGCLMGYLTELHIDGTFINELSISITNLFSLILLNLRNCIRLPCLPTEIGSLSSLKTLILNGCKNLDKIPSSLGNVKPLEELDIGGTSISIIPFLENLRILNCERLKSNIWHSLANLSSNHFEKLSESIEQLINLKAFYLNDCPELKRVPKLPKSTKYVEGEKSLGMLRTSEGSTACTSTKEVHITKDMGKQTNHKLVLAHKTSLVGMENQVEKACNLLDLERSKNILFVGIFGSSGIGKTTIAEVVYNTIVDEFQSGYFLYLSSKQNSSVPLQHQMLSHLQSKETKIWDEDHGAQLIKHHMIHERNQIQRLVGSPNWFAPGSRVIITARNRDVLHELNYRDQVQEYKVELLSRERAYSLFCENAFGDGGPSDKKDLCSEIVEKVERLPLALRTIGSYLHNKDLDVWNETWKRLDEVEQISLIQYCREIRRKLHQH >KGN52205 pep chromosome:ASM407v2:5:24291077:24299932:-1 gene:Csa_5G615270 transcript:KGN52205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHYWVKASPSDFSGTLPKPRSGHSAVNIGNSKIVVFGGLVDKKFLSDIAVYDIENKLWFQPECTGNGSDEQVGPSPRAFHIAVAIDCHMFVFGGRLGSKRMGDFWVLDTDIWQWSELTSFGDLPSPRDFAAASSFGNRKIVMYGGWDGKKWLSDVYVLDTMSLEWTELSVSGSLPPPRCGHTATMLEKRLLVYGGRGGGGPILGDLWALKGLIEEENESPGWTQLKLPGQGPSPRCGHTITSSGHYLLLFGGHGTGGWLSRYDVYHNDCIVLDRVTAQWKRLPTGNEAPSARAYHSMNCIGSRHLLFGGFDGKSTFGDLWWLVTEEDPIVKRLFSTSSNDLPQNKDLTSLSKDSNSAHEDSHERHNAVVELGKSLGITISFSNPGLPVVDEMDDKEFLNLAYSLSEVKPSISGRATHIEAIEALRSHWRNSNPRLIPLKELGPLLRDYQRLITHNYFANDGPRSEFISTSLPGKDAYQFYHINDFRQIFVGIANGSQTSPIHWNFACGLGLELCLNSLPFSVGIKRAMKSEAVSST >KGN52499 pep chromosome:ASM407v2:5:26560920:26564183:-1 gene:Csa_5G638380 transcript:KGN52499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVGDQLEIKFRLNDGSDIGPKTFPAATSVATLKESILAQWPREKENGPRTVKDVKLISAGKILENNRTLNDCRSPLYDIPGSVTTMHVVIQPPTLEKEKKAGEQATQNKCVCVIL >KGN51196 pep chromosome:ASM407v2:5:17030988:17032315:1 gene:Csa_5G487730 transcript:KGN51196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSLPLLPQPFARIPSSTFHSSEISSNLHNNVRFTSLVHKSPTSLTAPSSSSSSTYSPLLRKSIAFAASISLLMWPTPANAGFLSGFSGIESVPGPELPQIDFLNRFNEENQKKYAEFDARFKSSPLLKELLERSKMNKEKNRQKIVEKYCIRGAEWGVGDCSAEGMSPEERDKFIAMLKQKAGVDD >KGN51468 pep chromosome:ASM407v2:5:19560261:19564220:1 gene:Csa_5G561770 transcript:KGN51468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKALRFITHQSFFSVVRSGDLEAVKQVLDKLGGDDQAEGSSITDLMAMQNDAGETPLYVAAENNLEEVFTYLLQFSTVQILKIRSKSDLHPFHVAAKRGHLGIVKVLLAIWPELCKSCDSSNTSPLYSAAVQDHLEVVNAILDADVNTLRIVRKNGKTALHNVARYGLLRIVKTLIDHDPGIVAIKDKKSQTALHMAVKGQSTAAVEELLQVNASILNERDKMGNTALHIATRKCRSEIVSLLLSFTSLDVNAINNQRETAMDLADKLQYSESSLEIKEALAEAGAKYARHVGQVDEAMELKRTVSDIKHEVHSQLIQNEKTRRRVSGIVKELKKLHREAVQNTTNSITVVAVLFASIAFLAIFNLPGQYIQNGKDVGKANIADNMGFQVFCLLNTTSLFISLAVVVVQITLVAWDTTAQKQVVSVVNKLMWAACACTSGAFISIAYVVVGHETWMALAITLVGVPILVATLASMCYLVFRQHFGIFSSDSQRRIRRASGSKSFSWPHSVNISDDDDYNSDLEKIYAL >KGN52521 pep chromosome:ASM407v2:5:26675771:26677547:1 gene:Csa_5G640550 transcript:KGN52521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKILTPFFLFFFLLLLLTPSTAYQSSYYIPVDNIAVDCGGISAFTDTGDRKWTGDVATNFSPSEPPNTVNKSMVKSSNSNTNNDPLYKTVRLSRSPFPYSFPVTPGPKFIRLYFLPENFDEFHRFDAFFTVQAAQFTLLKNFRASLVADFSNKSFIAREFCIHVPGQASKLNITFTPSLDSYAFVNGIEVVSMPENLYYSPVKQGRTTPVIGNNIALELYHRKNLGGEDVLPSQDSGMYRNWDGSNLYITTKQVIPIQNDSVSINYTTTTPNFTATDSVYQSAIILGTNRTLNSKQNFSLKLPVDFGFNYLVRLHFCQIHMDRFQEKERIFTVFINNRNIAVVDIDSVNTPFYKDYNVPMATGNTPFILLDLHPLPSESFDVILNGYEVFKQSNGTNLAVPNPVVALAPEVKRVAKNSNATAIIAGVCSSMGFAILVSIVGFVVIWKQSKKKTKKRKKKKKTREDNWLPERRCRIFTFKEICKATDNFSKEREIKVHRGLSVIYKGIFEDEGDLTVAIKRLKDLPESNVGEQEFVREIEVLSEIRHLNLVSLIGYCLENQEMFIVYEFMVNGTFRDHLYGSA >KGN50517 pep chromosome:ASM407v2:5:7860356:7864443:-1 gene:Csa_5G180310 transcript:KGN50517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQKQSVEGGGPWEEPSGRREETTNMSIHSQPKIQNKSPQTSSDTNTPQKILTQTEVINLKLMIDNKQKRILYAEADNKFVDFLFSILSLPIGTVLKLLSTAPSSPTIVTPMIGSLSSLYRSANSMKTNYFESNRKKESLLNPHLPSKLKYLLGSSSSASEPKYFTCSSCPDSFSNGYFARCTKCSEIMTTEALYVHYDLGDGYVVGAGGMVTYMVMDDLTVKPITSSMSTISMLTQLNVEDIRHIEEKVIPFHLSNEGVELLRASLDSNKVLTDMFYYKLDLSTKPGIAEEWIELFMHTQSPNLDNLRISRPIILLPLLFVTHSFDNVYPSLPSLFLTLSITLLQSYSVFPIPFSLFPYSSPNPFSHSLIRIRHTYVPNGSWIPSFKLSLVSLNADFAEEFEPLFDYSRVKPPSVVYLDDRITTLDEDSDADKSPAPFAKRAKIVNLAATSSVNGNPKEKQVEIEHKEEERLSPPPKVLVDAKNRRGKDSTLKKLRLKKQESAFVALSTKNFPREAKESAKVDVGNTSKDSLKPDLDVQTSLYSKERAKVVRIVVSVRNSDKEELRKCRMLVDYKFEQLFQMYAIKLKVDLKSLVFVFDGDKIGPDDTPGGLGMEDDDMIEVHIKSS >KGN49862 pep chromosome:ASM407v2:5:3526821:3530953:1 gene:Csa_5G139400 transcript:KGN49862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHPNNMQLAIRIPSSPAQDSPQNMQVDQSDKSLVVRNDMQNGSYPHAEYIFNYSKKLEEDLHMFGMKIKQHEDNIKFLKTQKNKLDESILDLQVILGKYHSSGTPVGENEVHSHSPNDEETREQIMQQEKSAASIICKLNAHHGIQAYNLMLTKDVLGIVARLGRVDDDNLSRLLSEYLGMETMLAIVCRTYEGVKVLETYDKEGFINKSLGLHGLGASIGRNLDGRFLVICLEHLRPYAGDFIANDPQRRLDLLKPRLPNGECPPGFLGFAVNMINIDSTHLFCLAANGYGLRETLFYSLFSRLQVYKTRTDMLQALPCISDGALSLDGGMIKATGVFCLGNQEDVQLRFPKPSMKSSLPENYIESERQIKELKWKKEKMVEDIRREQALLDNTKGNFDRKKAEFLKFLTESSSYAAQQQLSAKPERLTPR >KGN51498 pep chromosome:ASM407v2:5:19910365:19912592:1 gene:Csa_5G570430 transcript:KGN51498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISQSQLSSIHPPPDNLTSSSSKPPHSDHRHSLIAGRFRDALFSAVAAKYSINNGTAHSLPFRSDQFKSVIDCRIHENFPSFRTPTHLPYASMILRAIAEVGEEDGLSEESISGFIMNEYKDLPWAHSAYLRRHLGKLCENGEIVKLKCGRYNFKVEDKGVKRKKGRRKSGGRSRYREVKSADEIEEDFDRKKRSKKLIIIGPRVEEVVTSNGTEEQSDLCREVTVGVEKVDHVGEGQIVVHEQKEVEVDEMVDKQHGEKSKHKHGAKVFNWKKQSQNLVILGLRAPLANKEIEKQSGSLGEEVREVEGDHTKGGQIQVRGEVDEVQPDVLIHQTCEKEVKSRDGFQDFDEKKQSQNVVAGNIGAQEAPTMAWNEEKRGSPREEICGAKESGYDHDRQVIMIYELKEVNGRDGVEDFGGRKQSQDLVIVGLHSKEALMTKGTEDQCSSFRKNVDDGVEGKHAQAGQTEVLDKFKEVQVEIIDEHPEEEKQGERMEEPKELLSSSLTAGGGGVLLSLSVGSERKPEIGFHLVLVGR >KGN49911 pep chromosome:ASM407v2:5:3785214:3787279:-1 gene:Csa_5G139880 transcript:KGN49911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSTEVPVSMEVETVSSKTVTEIGTLPPKPQFDPLKPHEMNDGRVQFRKVSVPPHRYSPLKKAWMEIYTPIYDQMKIDIRMNLKARKVELKTRADTPDVSNLQKCADFVHAFMLGFDVIDAIALLRVDELYVESFEIKDVKTLRGEHLSRAIGRLSGKAGKTKFAIENATKTRIVIADTKIHILGSFANIKIARDSLCSLILGSPAGKVYSKLRAVTARLAERF >KGN50954 pep chromosome:ASM407v2:5:13719389:13719676:-1 gene:Csa_5G367180 transcript:KGN50954 gene_biotype:protein_coding transcript_biotype:protein_coding description:F1 ATPase beta subunit MPSAVGYQPTLSTEMGSLQERITSTKEGSITSIQAVYVPADDLTDPAPATTFAHLDATTVLSRGLAAKYLRLGVILEWFGQPKEGTQPLKRLACI >KGN49924 pep chromosome:ASM407v2:5:3846560:3846907:1 gene:Csa_5G140495 transcript:KGN49924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRMPSHSSNPVTLSASLKLVISGVVTRIASFAGVMAVIKPASIPAGQSIIMKSGQPSCLAFNASTSQTKAFPPKPIGNFDLGLEIQSNSKFDHRLSLTKAWSNRQSPFRTSTTV >KGN52110 pep chromosome:ASM407v2:5:23765080:23770760:1 gene:Csa_5G610440 transcript:KGN52110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSGGEVDVKGVFIGAGCNRIVNNVSWGACDLVAFGAQNAVAIFSPKSAQILTTLPGHNASVNCTHWLPSNKFSFRAKQFKSHYLLSGDSDGAIHLWELSLLDQKWRNVLQLPKSHNKGITCIAAHVISETVVIFASASSDGSVCVWEVAFPSTNEGDCTLLLLDTLVVGSKSMVALSLAELPGNVGHMVLAMGGLDNKIHLYCAKRAGECMQFVKACELKGHTDWIRSLDFSLPMGKNGEANNVMLVSSSQDRGIRIWKMALHGSSADINGGCKKEEISLTSYIQGPIFTAGPLTYQVSLESLLIGHEDWVYSVQWQPPSASETEGIPYQSESILSASMDKTMMIWKPEKTSGIWMNVVTVGELSHCALGFYGGHWSPNGDSILAHGYGGSFHLWRNVGTSSDNWKPHKVPSGHFAAVMDISWARSGDYIISVSHDQTTRIFSPWKSVNSLEGGSWHEIARPQVHGHDINCVTIIQGKGNHRFVSGAEEKVARVFEAPLSFLKTLSHATLTNVVANEDHLVDVQILGANMSALGLSQKPIYVHSADKTPDRSGNEGIDTLETIPDAVPVILTEPPIEDQLAWHTLWPESHKLYGHGNELFSLCCDNKGKLVASSCKAQTASVAEIWLWEVGSWKAVGRLQSHSLTITQMEFSNDDSMLLAVSRDRQFSVFKIHRTGSDEIHHELISRQEAHRRIIWSCSWNPHGHEFATGSRDKTVKIWAVTPESSVKQLTTLSQFKSSVTALSWVGLDSKSNGFLAIGMENGLLELWNLSIKRTDNIYSNVVASVAIRLDPFVCHVSSVNRLAWKKPEKSGEECRKLQFASCGTDHCVRVFEVNVFV >KGN49578 pep chromosome:ASM407v2:5:408034:409283:1 gene:Csa_5G011680 transcript:KGN49578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDVSTSIYFPRSVSDTRFDNLSRAIPSFIFLPFSLTRPTPALPVRHSPAQPSTVAPHLCSLYSIPSISACFSGPRTVATLQPPSPRRRCQSNPTAVAGFVIVSKVLLDISVSFY >KGN52708 pep chromosome:ASM407v2:5:27894879:27899126:1 gene:Csa_5G651660 transcript:KGN52708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRVYCLLLQLSLGEQFDLSRNQAALNELNHEFGQFGFKGSSRDLLGLADVLFKELGRRFKGVFHDLRNDSFSPSPEVAHKNIDFWDTIEEFMLLLRSCLVIMTLVDFEQDALLEKAGILLSVLRKLLHLITSGKEEESISLEKSFLHECRITESDCTTFVSEDFFASLCILEPSDPCHPFICAVLEVFVDELLMHRSLREYFMLVDSVSSTNKMVFVHNLDHGGIGTVLEVISAHFILSVSDQQAFHNFLNRLYWARYGDFKAPELSLTSALSLLLNPVMLSAPKLFQAHFISLVCEVIGNGIFLKSSNPDQRLMDWYLIGFETAIMLYNKHMSNSYMKDTPLNCNGCFSDPSVPWIQQPFESYIHQVRRGKINNLSSKYENTRLFFREKSELLALSISYVEENRHILDESLEDDSLSILHCIFLGASQDDVNDTEIYKTGYSSQYDIYLLASILKLMSSSFLPTIWCLRHHGNSGGLKTLRDIFSCKEYNFISSIISCFQEFDVFLPNQNLISEVMKSYPKRHKNSKWMFLHFIGLLALSFSIGSDILVKDCVLVIMVTLNLFVFEEGDLDALSSFIGSEKANEGVYHLKSSKTVAMEFKKIQTIHVSTNSLESCHSRKQDESGQWLGTAAIHSSEKESSIEVEEAEETWSGEIFLKCLGSSSHDDIADFVECKRGKDYTQWMKNRRKYRKWKSHKLAVLRWKKKKHIWKCIKTNK >KGN50925 pep chromosome:ASM407v2:5:13274747:13277354:1 gene:Csa_5G345540 transcript:KGN50925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSQSAAARLPVRHFPRAASHQHAVRPAISKVLGSFKIRFNFGVFKLAFEAKFRPIVSSISRFLPCAINCCEGFMVS >KGN51494 pep chromosome:ASM407v2:5:19888780:19899599:-1 gene:Csa_5G570390 transcript:KGN51494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPYNRLPSSGHSTPSPPPSPLRSPRLRHSRSKGARFTQAQQPGRTFPQRLAWLILSVLLRRQGIFLFAPLIYISGMLLYMGTVSFDVVPVIKHRPAPGSVYRSPQLLAKLRHEMDSDNTSTDAISTIWKHSYKGGQWKPCINNSSGGLPESNGYIYVEANGGLNQQRTSICNAVAVAGYLNATLVIPNFHFHSIWRDPSKFSEIYDEEYFIKTLENDVRIVNKIPEYIMERFDHNMTNVYNFRIKAWSSIQYYKDTVLPRLLEELVIRISPFANRLSFDAPPTVQRLRCLANYKALRFSNPILSLGEILVARMRERSASNGGKYISVHLRFEEDMVAFSCCIFDGGHKELEDMKAAREKGWKGKFTKPGRVIRPGAIRVNGKCPMTPLEVGLMLRGMGFDNNTFIYLASGKIYDAERNMAPLLEMFPNLLTKEMLASPEELSPFKNFSSRMAAIDYTVCLHSEVFVTTQGGNFPHFLMGHRRYLYGGHSKTIRPDKRKLALLFDNPTIGWKNFKRHMLNMRSHSDSKGFELKRPSDSIYTFPCPDCMCFTNKSNDTKSSLAP >KGN50826 pep chromosome:ASM407v2:5:11663727:11667276:1 gene:Csa_5G276460 transcript:KGN50826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNSCGRNGSVRQYIRSKVPRLRWTPDLHHSFVLAIERLGGHQKATPKLVLQLMDVKGLTISHVKSHLQMYRSMRADMGRQDRSCSSTLQRKVSNLEDDEGEDGCVEEEVVKGGRIIYRSRTIQSPASKRVKIGWEERIISRNEEYYGNGNNGERENIKRKKMCDSESDGFILNQSATANHAFKMMKQEAHERAEKIKESKCFELSLSLSLQHHYHHSSSEMSEAISSSSSKLDDNNNNDDYRDSWSWSYGREQPKIGVNLDLTMALCGSR >KGN52102 pep chromosome:ASM407v2:5:23732400:23732978:-1 gene:Csa_5G610365 transcript:KGN52102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSNLPIFIFILLLLFPDKSTPSAAVDFHFEDCRQPMTCGDNQTIQYPFYIQNRQQSFCGYPGFQLKCHQNGHPILNLAGRDFSVRSISYEEHSLRLSDHAVSSAAECSSLIRNLSVLANERFSLGDGQDRICDGEESRDEAERNGLIVEWTAGECKFCNKSGGYCGFDESTHFFKCYCPDRPHAFHCTPPG >KGN49922 pep chromosome:ASM407v2:5:3840741:3843306:-1 gene:Csa_5G140480 transcript:KGN49922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLPQDPTKTHDAAARISAVILLALLSLATVEGKRSCPTNYLQVPAISCRKHTALITDFGGVGDGVTSNTQAFRRAIEHLSSLAADGGAQLTVPPGKWLTGSFNLTSHFTLFVHKDAKILGSQVENESEWPQVALLPSYGVGRDAPGGRYSSLIFGTNLTDVVITGNNGTIDGQGAYWWDKFHKGELNLTRPYLIEIMYSDQIQISDLTLVNSPSWFVHPIYSKNVIIQGLTILAPIDSPNTDGIDPDSCSNTRIEDCFIVSGDDCIAVKSGWDQYGIKFGMPTEDLVIKRLTCISPDSAGVALGSEMSGGIRNVRIENFTGINTQSAVRIKTARGRGGFVKDIFVRGMYLSTMKYVFWMTGNYKSHPDDKFDPAALPEITNINYRDVVAENVTYSARLEGISGDPFTNICISNVKIGLTATPKKLQWNCTDVEGFSSDVVPPPCAPLAKAGKSGGCDFPEDQLPIERVQLKTCFVQNPTVV >KGN51513 pep chromosome:ASM407v2:5:19991841:19992636:1 gene:Csa_5G571560 transcript:KGN51513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKLSPYHTLLFYNIDTDSDGKVSPHDLLTRLPALTGQVLTEAEAASAVAAFDSDGDGLLGMEEFGRLVEGVVVGGDDDDREVREAFQMYEMEGLGVITPVSLRRMLSRLGESRSVGDCKRMIAKFDLDGDGVLCFDEFRGMMLL >KGN50443 pep chromosome:ASM407v2:5:7303949:7305874:-1 gene:Csa_5G175670 transcript:KGN50443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTIGAIFLPDTPNSILERGFTEKAKTMLQKVRGTDNVEEEFKDLLDASEAAKKVDHPWTNILKPQYRPQLVMCTIIPFFQQLTGINVIMFYAPVLFMTLGFGDDASLISAVISGGVNVLATLVSIFTVDKFGRRILFLEGGVQMFICQILVGTLIGVKFGLNGEGTLSKFDANLILFLVCTYVAAFAWSWGPLGWLVPSEICPLEIRSAGQAINVSVNMFFTFIIAQVFLAMLCHMKFGLFYFFAGFVLIMTVFIYFFLPETKNVPIEEMNRVWKAHWFWGKYIPDEVIIGGPVGKHDDDA >KGN52593 pep chromosome:ASM407v2:5:27226261:27227759:1 gene:Csa_5G646670 transcript:KGN52593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRARLIILNNTYECILKFSFIKNSVVSGITSKDSKNFHIQVLGCNNFTLQNVNVNAPGTSINTDGIHLGRCVGINILNSNIGTGDDCISLGDGSKQVTITNVTCGPGHGISVGSLGRYNAEEPVEGLQVKNCTITGTTSGLRIKTWPASPAAGVASDMHYEDIVMNNVSNPIMIDQEYCPYNKCNKQYLILICFNQNSCLVSCLHKFFK >KGN50252 pep chromosome:ASM407v2:5:6111880:6116492:1 gene:Csa_5G162010 transcript:KGN50252 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase MEFLFLHSFFFSTFFLLCFSAAANHHWIGPTGHRLIKVNVKGGSDVFLSVQAAVDSVPDYNTVNTIIRISPGYYVEKVVVPATKPYITFEGGGKETTVIEWHDRAGDRGPSGQQLRTYRTASVTVFANYFSARNISFKNTAPAPLPGMQGWQAAAFRISGDKAYFSGCGFYGAQDTLCDDAGRHYFKECYIEGSIDFIFGNGRSMYKDCELHSIATRFGSIAAQDRNSPIEKTGFAFLRCKVTGSGPVYVGRAMGQYSRIVYAYTYFDDVVAHGGWDDWDHVSNKNKTVFFGVYKCWGPGASKVKGVSWAKELEYKEAHPFLAKSFVNGRHWIAPSDA >KGN51805 pep chromosome:ASM407v2:5:22023991:22024912:1 gene:Csa_5G601550 transcript:KGN51805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQRPNESSPNGQSDDNEVLDDFSFVCLNPDGSPIRAEDAFLNGQIRPVFPLFDQRILSDDVNGTTSQLKNLFVEEFTEIPQTRASTTTAPAPVLGKKSYSTGFSKLWRFGDKIRRSSSEGKEEAFLFLRSASSGSGEKAEKKTKKKKKQQNETASYYHERHYARNRAENEVNKRKSYLPYRSNLMGFFTAPNGLNRNF >KGN50532 pep chromosome:ASM407v2:5:7932472:7934163:1 gene:Csa_5G180910 transcript:KGN50532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIEHSSFPYHVMLAFDERREFLVRLSRQLPFKERWRSCIIWLNKGVRTVLFVHHRRGKCAAKQPTHIKSPELRAKPSR >KGN49723 pep chromosome:ASM407v2:5:2566469:2570571:1 gene:Csa_5G083850 transcript:KGN49723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENVSKGWINPPLRFHDEPCRHKVLDLIGDLSLFAQLGSQGIPLAHLVVYKVSSLVVMLCTQIFFAAYWKGFKWTSSCFPLQKCKSVLQLRSPQYFLSSFLHQLTPKCMLIEEDILSK >KGN50218 pep chromosome:ASM407v2:5:5868999:5871487:-1 gene:Csa_5G160210 transcript:KGN50218 gene_biotype:protein_coding transcript_biotype:protein_coding description:Axial regulator YABBY1 MSSSSCSSSSPTSTTTTATTTTTTTTTTLLSQQQQLLDHHLPSSPEQLCYVHCNICDTVLAVSVPCCSLFKTVTVRCGHCTNLLPVNMRGLLLPSPNQFHQLGHSFFSPSHNILENMATPNSNYLINQFGATTNNFGMPSRGVTDELPRPPVVNRPPEKRQRVPSAYNRFIKDEIQRIKSVNPDISHREAFSAAAKNWAHFPHIHFGLMPDQTVKKTNIRQQEQGDAQNVLMKDNGFYASANVGVSHF >KGN50542 pep chromosome:ASM407v2:5:8034316:8035107:1 gene:Csa_5G181490 transcript:KGN50542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIPVNKSHNKKQKHHHQSAAVTPNPPLSDYSFKPSAAVKGLRFGGQFVVKSFTIRRVWPLEFLQLLSLPPRYDDDDCNKRPPFNSTAAFLPTNFTILAHHAWNTLTLGLGTKKSKAILFVFATEALKAAAGRVWPAEIAFGDVNRRLIRGLSGCEMARFKFRKGCLTFYIYAVREKGCFGFSAADDLKTILQAVVSLNDFLDHTAMLALPNQRNISYSGGGSSFTTAPVGVVH >KGN51670 pep chromosome:ASM407v2:5:21154867:21155428:1 gene:Csa_5G589380 transcript:KGN51670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPIVLSQLATGLSVLAGAVLVKSVMDHKPMAGSFPRCPSCNGSGRVPCLCSRWSDGDIGWRTCSGSGRMFCSSCGGSGTGRPIPSQISVRRSNYPSSSSS >KGN52113 pep chromosome:ASM407v2:5:23786416:23794491:1 gene:Csa_5G610470 transcript:KGN52113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWLKNFLSVFTFRSSSRRFRELDPAAHTIKSHGAKVAKNHLHDWLILLLLVVIEVVLVSIHPFYRYVGKDTMTDLKFPFKDNTVPVWSVPLYAVILPILIFLIVYIRRRDVYDLHHAILGLLFSVLITAIITDAIKNGVGRPRPNFFWRCFPNGIEAYDRTGDVICNGKESEIREGHKSFPSGHTSWSFAGLGFLSFYLSGKMQAFDGKGHVAKLCPVLLPLLGAALVGVSRVNDYWHHWQDVFAGAIIGLVVSAFCYLQFFPPPNNDNGWGPYAYFIAQEEFHRSRDNATQAVTIVDVPIAEPTLNGSNSNNNNNNTHFEPTPFHNQTYDQMETGERRNLRSSHLIFSATRFSIQILKGIWFLSPSLLAFTFPLSSSSSSSSSSSSSPSNWKPLITDKMPEIQLGTHTVRSHGAHLARVHMHDWLILVLLVVIEVVLNVIEPFHRFVGQEMMTDLKYPLQDNTVPLWAVPIIAVLIPFTVFIIYYFIRKDVYDLHHAILGLLFAVLISGVMTDAIKDGVGRPRPDFYWRCFPDGKGVFDRNTTGVLCTGDKNVIKEGYKSFPSGHTSWSFAGLGFLAWYLSGKIRAFDQRGHVAKLCIVFLPLLIAALVGISRVDDYWHHWQDVFAGGLLGLTIASFCYLQFFPAPYHIDGWGPHAYFHMLAESRNEVQASSPNSNNINVQQSEIESVYIQPQHEMRFNTQDSSPMLNR >KGN52680 pep chromosome:ASM407v2:5:27742366:27749048:-1 gene:Csa_5G650450 transcript:KGN52680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSIISSIAPNYQTMIQEKEGKMEEDRISLLPNDILHQILSSMDTQTLIKTTSLLSKRWSNLWTSIPTLLFDFPSFSCPHLNRQRERCFRRFIKRVLSHRRMHLTSLSKVNKITMFFATEPIGCRARGEELTETQRFAKEDQLVFKLLFYFAALNNVEDLSIDGGDLDFSNWCRHFTTCRSLKLSGYYEGIWVPHSFEFLKSLEIENFYTDFFFDEFEDGMLPDYPLLERLILRGSCFEHLDICAPKLEYLELGILSCREYRCGIEISLCTPNLRFVKLDNIVPCLDSTDDFLSLQKVEFSLDYKIPMLDMYEIENEIVEHLRSVFYLLQKANSIVINTVAVEDLSEYVIIPSTLKDESFMFEKLRELRVREGGVCESDIEKSNEILNKLLNNSPSMETWWRGNLDDQQHSEALQVDNSLDFNPIFTLTSPLSHVVIQINVKNASAVSSNGFYLVALTISSINGLYCHAYKKEARGRVCGIMTEEVLHFGMRAGFCSVALVLCQADPVLNDGQSGNDDDDGSRQSVLESRKNKLFKLVFSQSNGKSPRSFLDVSTSFNRFMLRALTQHGGEFIQKLIYSYLEFGEESFLTKALPYTPFHKAQQLYITTDYAFESMWSCLSACKLLTVLNGKCFVGSHLESMVLPSLRMFVLDICDDYSDYYDYQVANDQDVYGILLNGNRKTLLSGCPNLEY >KGN50860 pep chromosome:ASM407v2:5:12242261:12245038:1 gene:Csa_5G291680 transcript:KGN50860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAASSSSNSKSMLSLRLMELEGHILHGVFHSNGFGHLLCLNGLEMGNSLPGYLLMDFWDRLCNALKARKVSLRDISKKKGMELRLLHSIAFGQSWFGEWGYKFSHGPFKVTIPMHQKAIETLQSLPLSLLLHHFASIHPQIPFIFSKYQSLSNHPLLTLQDLLHFMLNLKSPLHTQNTMEYSHSLAGFLLETSCRWSPKRVEMAVRVIIEALKRAEFRWVSRQEVRDAARIYIGDTGLLDFVLKSLGNHVVGNYLVRRTLNPVTKVLEYCLQDVCSSVEMKPRCKVGRVELAKDISNLYKYILGDQKPLQAKGILPNLTIASEIILDSKFLVKEYMSEPQTKFELEIEGKLNLLCTIRVKRQETTTILPYECIALKNNGTIDELKKEVERNFKEIYWGLRSFVVKKIVDLEAKGNDLVFGLVEVGGKLVFEGSGEDEGSFFMNNNNNTNNNGGMNKKIMECICGAMEDDGERMVACDICEIWQHTRCVQIPNEQQVPHIFICNRCDQEIALLHSLP >KGN51740 pep chromosome:ASM407v2:5:21625370:21626953:-1 gene:Csa_5G597500 transcript:KGN51740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHRLGANRLVFRASITKCVKVGLIDEAIRIFDEMTQSDCPVTSRDYNRFIGVLISRSRFDLAESYYSRMIPQGFSLTPFTYSRFISALCEIKNFGLIGRLLEDMKQLGYLPDIWAFNIYLNLLFRENLLELALKFFDEMVAKGREPDVVTYTIIVDRLCKAKQYDKAVEFWRCMVNKGLSPDMKACTALVVGLCDGGKVDLAYELTVGEMKGEMKFNNLIFNSLMSGFCKSGRISKALAIKSFMSKHGCEPDLITYNILLNFFCDGLMLELAGKSMKKMERIGMEPDVYSYNQLLKGLCKANRLDKAYKLMNTMWEKGLCDTVSYNTVIGALCKSFATRKAYRLFEEMGQKDVAPDVGTFATLIKAFLIEGSPHIAKGLLQTMTQTVLIPDLIFYTTVVDYFCKTRKIEMAQGVFRDMIEIGISPDVVSYNALINGLCKASKVSEAMLLYEEMQIRGCYPDEVTFKLIIGGLLRKKELSLACQVWDQMMEKGYTLDKFLSETLIKAIRSRDAG >KGN52085 pep chromosome:ASM407v2:5:23627353:23628116:1 gene:Csa_5G609710 transcript:KGN52085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSILSSAAVASVNSASPAQASMVAPFTGLKSSAGFPITRKNNVDITTLASNGGKVQCMKVWPPLGLRKFETLSYLPDMSNEQLSKECDYLLRNGWVPCVEFDIGSGFVYRENHRSPGYYDGRYWTMWKLPMFGCTDSSQVIQEIEEAKKEYPDAFIRVIGFDNVRQVQCISFIAYKPPRFYSS >KGN50596 pep chromosome:ASM407v2:5:8522017:8524476:1 gene:Csa_5G189910 transcript:KGN50596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVFHGTSTGFDALMPKIDCIYYHNKFTFTPSSVICVHNQAAQPLTSFTTPERRVVKKVGKETHHLWKKRDSAGSGQKALNLVRIVSQCPNEKEAVYGELNKWIAWETEFPLIAAAKALRILRKRSQWKRVIQVAKWMLSKGQGATMGTYDTLLLAFDMDKRVDEAESLWNMILHTHTRSISKRVFSRMISLYEHHDLQDKIIEIFADMEELGVKPDEDTVRRVCCAFQKLGQEDNRKMVYKRYSCQWKYIHFKGERVRVRRDGWDEDYQ >KGN49887 pep chromosome:ASM407v2:5:3678537:3680853:1 gene:Csa_5G139650 transcript:KGN49887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRSRRRVLIDDRSPASGAVERPVVAGPDDDVRGLKFRPSDGNVTEDQEPFMGIKVRRKASLHRDYKGDYIDVPSNQYLMKILQKQGEQSVLFADKVLKFTASGKMKRRILLITEFAIYIIDPETDTLKRRIALAAVDKICLSELSDNFFTIVIPTEYDILMASTRKTEIVTVLVEAFKSSSDYELEVVFSNRFAYNAGGEVIKEIQFEEVEGGVKTRILRKQE >KGN50622 pep chromosome:ASM407v2:5:8704664:8705375:1 gene:Csa_5G198120 transcript:KGN50622 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplast small heat shock protein class I MSLIPSFFGGRRTHVFDPFSLDAWDPFQGFSFSNSLSNLPSSAFANTRIDWKETPQAHIFTADLPGINKQEVKVEVQEGRVLQISGERSKEQEEKNDKWHRIERSSGQFVRRFRLPENAKVDEVKASMENGVLTVTVPKVEEKKPEIIKSIEITDH >KGN52075 pep chromosome:ASM407v2:5:23565039:23571250:1 gene:Csa_5G609610 transcript:KGN52075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALKVSPFHVLLLFSLFLSLLSNYGLKSAVATVPPKKIGNGYRLISVGDAPGGGILGILQVNTKTQIYGPDIPFLQLFVKHETEDRLRVHITDAKKKRWEVPYNLLPRQSPPPPRRTIVFPENNTTITEYSGPELIFSYSADPFSFSVKRKSNGETLFDSGSDESDPFNSLVFKDQYLEISTKLPETAALYGLGENTQPKGMKLQPNEPYTLYTTDAAAVNLNTDLYGSHPVYMDLRNSGGGGEAKAHGVLILNSNGMDVFYRGNSLTYKIIGGVFDFYFLAGPSPLSVVDQYTALVGRPAPMPYWALGFHQCRWGYRNLSVVEDVVENYKKAKIPLDVIWNDDDHMDGHKDFTLNPISYPHPALSSFLNKIHSSGMKYIVLIDPGIAVNSTYPVYQRAIAKDIFIKHDGHPYLAQVWPGPVHFPDFLNPATVSWWVDEIRRFHDLVPVNGLWIDMNEASNFCTGKCTIPVGKQCPNATGPGWICCLDCKNMTDTRWDDPPYKINASGLQVPIGYKTIATSAVHYNGVLEYDAHSLYGFSQAIATHKALQGLEGKRPFVLSRSTFVGSGKYTAHWTGDNQGTWPDLKYSISTVLNFGIFGIPMVGSDICGFYPAPTEELCNRWIEVGAFYPFSRDHANFYSPRQELYQWESVAISARNALGMRYKILPYLYTLSFEAHIKGAPIARPIFFSFPNYVECYGVSTQFLLGKSLMISPVLEQNKTEVTALFPPGTWYDLFNMTKVIVSKKGRYVTLDAPLHVINVHLYQNTILPMQQGGMLSKEARGTPFSLIVTFPAGEDGEARGNLFLDDDELPEMKIKKGTSTYVEFYGVKTKGRVKIWSEVAAGQFALDKELIVEKVTVLGLDGSGKAKEVFVNGAPMAAGVLASTVEQNVQEEPLGEEGEMGRSLMVEVSGLSLPIGRRFDLSWKMGS >KGN52004 pep chromosome:ASM407v2:5:23119440:23121239:1 gene:Csa_5G607430 transcript:KGN52004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRAMTTKPMMSSAEVVLASISEIIDSTACTEEEHGEFIEIGSYFYRAALAVEELQALDPTKFDEILQSLNKSINLAKYLLEKFLTSIQLVSNSDPISIINPLEEVIKQMGECLNKIATATFKEQNYVKVAILSLSDEMKNISIKIDQAQAIMNMQEIQTSLEEQSEKVPEVIEKDLYPIDMDWDTSTTNTQSPVALELSNAVIIRKGGRSQMKYRNATSDVEKLPSKTHYTKPLFETFICPLTKNIMEDPVTLETGVSYERQAIVEWFQEFKEFEETFCPVTGQKLVSKAFNSNGALKSTIDKWNERNETPTIEVTRDSLSLASSDEMVLETIKDLSSISNLEQILDFDMLQLLVDFLEYRDRDVRYAVLQLLHQMVEVNEDNKVQIHSSCLIIIHKSLLCHVSAVPPSSHAPTPNITK >KGN51533 pep chromosome:ASM407v2:5:20182078:20189548:1 gene:Csa_5G576750 transcript:KGN51533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVQSDIRGAFHIASSTFLSITPNLMLRRTASLSGKLLFPSFKLRAFSTGAAVRVPDKPSICTADELHYVSVPNSDWRLALWRYHPSPQAPPRNHPLLLLSGVGTNAIGYDLAPGCSFARHMSGQGFDTWILEVRGAGLSLQEPNLKEIEHSAKVKSDKMEAASEIKINGTSKEVKESTKILSDLAKSDSCINGKESASSMVEEEDFIGITTIWDESSLVSELTETFMRLSERLSGFLSEGQSRIMSAKLFDQISKLLVDSQLSERFNEVRGRLSNLLETGQTSVIAGQIRDLSQRLVEIIDDGQRSVSPPLFNLQDRFSSTIDDFQKQLDLIVKYDWDFDHYLLEDVPAAIDYIRDVSKPRDGKLLAIGHSMGGILLYAELSRCGCEGRDPRFAAIVTLASSLDYTPSKSALKLLLPLADPAQALNVPVVPLGALLSASYPLSSRSPYVFSWLNNLISAEDMMHPEMLKKLVLNNFCTIPAKLVLQLTTAFREGGLRDRSNTFFYKDHIHKCNVPVLAIAGDQDLICPPVAVEETAKLIPQHLVTYKCFGEPEGPHYAHYDLVGGRLAVEQVYPCIIEFISQHDAI >KGN51108 pep chromosome:ASM407v2:5:16045687:16048001:1 gene:Csa_5G453160 transcript:KGN51108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPPISSLISQRLLGKVALVTGGASGIGEGIVRLFHRHGAKVFFVDVQDELGYRLQESLGGDKDSNIFYSHCDVTVEDDVRRAVDLTVTKFGTLDIMVNNAGISGTPSSDIRNVDVSEFEKVFDINVKGVFMGMKYAASVMIPRKQGSIISLGSVGSVIGGIGPHHYISSKHAVVGLTRSIAAELGQHGIRVNCVSPYAVPTNLAVAHLPEDERTEDMFTGFREFAKKNANLQGVELTVEDVANAVLFLASEDARYISGDNLLVDGGFTRVNHSFRVFR >KGN51548 pep chromosome:ASM407v2:5:20338514:20338750:-1 gene:Csa_5G577390 transcript:KGN51548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSIFFILLLILPLPNFTTARPFPATTMTEPSSHNTHLTTAFRFNHQIFSFLPKGPIPPSAPSKRHNSLINSAPLN >KGN51857 pep chromosome:ASM407v2:5:22323917:22326241:-1 gene:Csa_5G604020 transcript:KGN51857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSHADLDYQIEHLMECKPLPEAEVKILCDQARAILVEEWNVQPVKCPVTVCGDIHGQFYDLIELFRIGGRTPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYRDRITILRGNHESRQITQVYGFYDECLRKYGNANVWKHFTDLFDYLPLTALVESQVFCLHGGLSPSLDTLDNIRSLDRIQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDIAAQFNHTNGLSLISRAHQLVMEGYNWCQDKNVVTVFSAPNYCYRCGNMAAILEIGENMDQNFLQFDPAPRQIEPDTTRKTPDYFL >KGN52135 pep chromosome:ASM407v2:5:23921062:23921398:1 gene:Csa_5G611660 transcript:KGN52135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIAKMETNRFSTINRPKNLQNGADNMTHRPRRNSHPFSRACTLLASHQFTTVGVLFHCSTSGDCIFFLFLSDLSLSLSFSFQIFHRSFSLIC >KGN50091 pep chromosome:ASM407v2:5:5000138:5000703:1 gene:Csa_5G153060 transcript:KGN50091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPSPFFSFASCGFKQTQKQTETVPCLILTYCSMETCVVDYSVISPLRWPTSLVFKWRNDMKPLEKASSLVAWPMATICLGIDGKSFTHCLKYSLFAFFTFFYLLKYVKGKAISEF >KGN51128 pep chromosome:ASM407v2:5:16225422:16226241:1 gene:Csa_5G458280 transcript:KGN51128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACTIDFRRLDEGFGGKTYKRKREEAEKSLIITESENADTGMEIDDSLPPPPAKRSAIPSSENPDKPTYGNPTYDGVIGGKVSGRKWKQARKHRTSAMQVSRKGTTFEERERQKMIKAAFKERKEELKEQIRLNKVEKRKKREEREKKKKENILRSGTKLQKITNPKTLKKIAKSKQKKLLKVVPEDYIKK >KGN49765 pep chromosome:ASM407v2:5:2970586:2975346:-1 gene:Csa_5G114620 transcript:KGN49765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTRVPVQHYDLPTPNSFIGTALHDLNTSHGSPSDVEAISDVDRDAVTDDRLDDDQDSTAVDCIHESYRSSLPIHTVGVEEDRSSLENTGSSRLSYDSLTVEDISPIEAARARFLQIIVDHFIHDHILEVTETDNDYISQSGQDKLTKRKTKEVQYEADPKFVLPLMYVANMYETLVNDANIRLASLSGIRDKNIGVALEAAGGLYRKLAQKFPKKGPCTYKRRELATSLETRTRFPELVVQEEKRVRFVVVNGLDIVEKPNRMSTEDAEWFRRLTGRSEVAVSAQDYKFYSPRHKYRRVAANSVSSISSLNTFSSGDNSSTLAGGQAFRSPGEQQTPCKHHIQQLPHQPQFQSIHQNHHQSMHQSQHTSHFAHNHHCGQPSQLQDISHTHHSPTLSQHMASLQPLSGGHVGGRLHHGLPSSPAKFCDECGAPYLRETSKFCSECGVKRLGI >KGN52544 pep chromosome:ASM407v2:5:26877697:26878101:1 gene:Csa_5G643235 transcript:KGN52544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLASSLFPVLLSRYATFLFKPPLHTYPYSFITFKTSLNSPVLPTLDRDLRTAKTIESFNTLPDSENIFNALENRSRSNCSLTAIFFNRKVRQSSEGLSPTDIAKTYKFTASSIILLLQNRPNTLVNVSISIL >KGN52504 pep chromosome:ASM407v2:5:26593153:26593933:-1 gene:Csa_5G638440 transcript:KGN52504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALAETNHIGDANIHLVSITNGMEPGANRNDRGKLHDTMLDTVLMKLEELINTIHRLGGEEITSVIADESLGWALEVAVKTRIRRVGFSPASAAMLAKLFSIPKLIQEKLIELDGQININSKQQAVEVAECNTVYELEVGAFNLAPHILPIGPLLVISSKFTSDTSGQNTQLA >KGN51365 pep chromosome:ASM407v2:5:18480628:18481398:1 gene:Csa_5G523100 transcript:KGN51365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQPLTIEKLLTLMNKAALNVGGIILNALAIEHFILRHPCKTRTKYPLDEKERLLRHAYGLGYPEANVKFALCRGSRSSPALRVYTAEEVANELGSAKVEYLEPSVEMTSKKKIMVPKLLQWHMKDFADKKLKKLDKKFKVEHKIKEGDQAQQNKELN >KGN50720 pep chromosome:ASM407v2:5:9862752:9864023:-1 gene:Csa_5G218860 transcript:KGN50720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNKFFSSLILQYRCQSPKLFLYHQWRGIAKVRLKWVKNRSLDHIIDTETDLKAACLLKNAIKRSSTGFITAKSIANWQKLLGLTIPVIRFIRRYPTLFHEFPHSRYANLPCFRMTDTALLLDQEEGSIHQFHESDTVERLCRVLMMMKTRTVPLQSLRPLEWDLGLPCDFERSLVPRYPDHFRLVKASNGDWCLRLKEWREEFAVSALQRSNESSGLGDAYRQFKKGCTTLAFPQSFPRGYGAQNKVKAWMEEFQKLPYISPYESCRQVDPNSDLMEKRVVGVLHELLSLTLHKKTKRNYLRSLREEMDLPHKFTRIFTRYPGIFYLSLKCKTTTVSLKEGYQRGKLVTPHPLTCLREKFYHVMKTGLLYRGRGAAMLEKEGILLNNTVEVVEDGSNTESDEIGDDECLEDDNSRVEESDSD >KGN52442 pep chromosome:ASM407v2:5:26121803:26128416:1 gene:Csa_5G635370 transcript:KGN52442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDKDEVLEAVVKEAVDLENVPLEEVFQTLRCNKNGLTTEAAHERLAIFGHNKLEEKKESKLLKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDFVGIITLLLINSTISFIEENNAGNAAAALMAHLAPKAKSALTGESLPVTKGPGDSVYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKVFAKGVDVDTVVLMAARASRVENQDAIDAAIVGMLSDPKEARAGIQEVHFLPFNPTDKRTALTYTDTDSKMHRVSKGAPEQILNLAHNKSEIERRVHAVIDKFAERGLRSLAVAYQEVSDGRKESHGGPWQFIGLMPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQNKDESISGLPVDELIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLALIYQFDFPPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLAEIFGTGIILGGYLAMMTVIFFWAAYKTDFFPRTFGVSSLQKKDEDDFRKLASAIYLQVSTISQALIFVTRSRSWSFVERPGLLLVAAFIIAQLIATLIAVYANWSFAAIEGIGWGWAGVVWLYNLIFYFPLDIIKFAIRYAISGRAWDLVIEQRIAFTRKKDFGKEERELKWAHAQRTLHGLQPPEAKMFSDRTTYTELNQMAEEAKRRAEIARLRELHTLKGHVESVVRLKGLDINTIQQSYTV >KGN52691 pep chromosome:ASM407v2:5:27783969:27786082:-1 gene:Csa_5G650560 transcript:KGN52691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMRTSSEPLILASMILTICLCMSTTANACSSPGYPPGSGSVPGFFIFGDSLVDNGNNNGLLTLARANYRPYGVDFPQGTTGRFTNGRTFVDVLAQLLGFRTFIPPYSRTRGRALLRGANFASGAAGIRDETGNNLGAHLSMNNQVENFGRAVEEMSRFFRGDTEALSCYLSKCIFYSGMGSNDYLNNYFMTDFYNTKSQFTPQAYASSLLQDYDRQLRQLYQFGARKLVVTGVGQIGCIPYELARYQGNSSRCNEEINGAITLFNSGLRKLVDRFNSGRVLPGAKFVYLDTYKSNIDLIENASNYGFTVVDKGCCGVGRNNGQITCLPLQQPCQDRRGYLFWDAFHPTEDANIVLAKMAFTSPSRAYAYPINIQQLAML >KGN51380 pep chromosome:ASM407v2:5:18570113:18570574:1 gene:Csa_5G524730 transcript:KGN51380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTVPSVRGAKFRALVTLQSQWKSAMTISLHLKGIWRLWAINRQVGIVGMGQMTTTGAWRIFGQCNYLIEMFTERNNLNYLVFGLNHV >KGN51853 pep chromosome:ASM407v2:5:22308013:22311241:-1 gene:Csa_5G603980 transcript:KGN51853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGIFFPLTSIPWTFNFKRTHSSLRPSFPLIVRASSSTSQRSYRGPKPSTNLVADWVSNNDDTVRSLPIYVGGISLLIVLFNRAVSGIAPVADASSSQSRADLLTLGLAVTNVLAGLVWLSIRPKSITPVNPLGVENERICSSLPDRVTSELLWVWKSLSEVTCCRSLVVVYDGTCIFQVGFAAESAEGNGEAEHVEAGKLMQGSLYKGVLKSQAQSYLANLSLYPGKSELPFLPSNTQAVILQPLGEKGIAIIGGNTIRGFTTSDQAWISFVGEKLDATLSKYSNNFQPAAKLSSKGN >KGN50958 pep chromosome:ASM407v2:5:13851592:13852976:1 gene:Csa_5G373200 transcript:KGN50958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRVKITTKCVGELALSGEKTCLKVRYAIGVCCWTARQHKEGYARGLEVNRREALGNQGYAMRDFVILRLFDDGRITFPGVACFLSAEFVGFIVHSSNMVNNEENLKSSSANFEEPKYVLV >KGN52265 pep chromosome:ASM407v2:5:24708800:24712077:-1 gene:Csa_5G622800 transcript:KGN52265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTVLVVSASYRTENDLFRSGISSSIRNKAMCPQIVLLRVLDQTSAGLIVATGSRSVGDRSSFSLVLLCELFVVTFYLESLMTSVFLKRSEKAWSCDAELKLGMMLKFAPQRIPRKFIEGNEVDRLHSENRFDFRKPTLALILRNIQKDSLSLFLITVMKNMKELGYGFEARTLTLLLLLELGRHVLLSSKQFGQIDWLLRVHKFLYTRTPVVHTFEGIIVDSFGREGGGYYKSPRALRLKSDDVNAPQRLPLSKNRFYQDILCEIGGMFAIANKIDTIHRRPWIGFQPWQADGRKVNPANIVSSLVALLDDESP >KGN52393 pep chromosome:ASM407v2:5:25773594:25773883:-1 gene:Csa_5G630950 transcript:KGN52393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLRKCLGMVLIWVACLLVSMATEAEAQSYGSSDAPEALHSHSMLFKDCLSSLMDNDLPVILYCNVEFLVVSTLSVFEVPVSS >KGN50867 pep chromosome:ASM407v2:5:12313702:12314536:-1 gene:Csa_5G292240 transcript:KGN50867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCKFSVRLLNVCVSSLCKSEQMQKAEIVITDAIRLGVLPNVVTYNFLIHGYCQIRCMDAAYSVLYRMREASISPNVITYNSLIAAATKNGSLEQSLNLFEEMLQSGITPDALCYTTLMQCYHQMVKPDEGNRVFEEIPLCIKVTACLLEKLKEG >KGN52582 pep chromosome:ASM407v2:5:27133440:27138283:1 gene:Csa_5G644580 transcript:KGN52582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIMLLPLLTTLALLTTSFFIFSLLYDTLSCYLFTPRRIKQKMAKQGVHGPKPRPLLGNILDVASLLSKSTSSDMPSISHDLVPRLLPHFLAWSSQFGKRFIFWNGIEPRMCLTETDLIKELLSKYSAVSGKSWLQQQGSKHFIGRGLLMANGQNWFHQRHIVAPAFVGDRLKSYAGYMVECTKEMLESLEKEVKSGRSEFEIGEYMTRLTADIISRTEFESSFEKGKQIFHLLTVLQHLCAQASRHLCLPGSRFFPSKYNREIKALKGKVEELLMEIIQSRRDCVEIGRSSSYGNDLLGMLLNEMQKKKLDGNNGLSLNLQIIMDECKTFFFAGHETTALLLTWTVMLLATNPTWQNKVRAEVMAVCGSETPSFHHLSKLSLVSSYQVLGYRSSLDLRGACSLVNILSRNVTLWNAWL >KGN51032 pep chromosome:ASM407v2:5:14854097:14857382:-1 gene:Csa_5G410730 transcript:KGN51032 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamine synthetase MSSLSDLINLDLCDFTDKIIAEYIWVGGSGKDVRSKARTISGPISDPSKLPKWNYDGSSTGQAPGQDSEVILYPQAIFRDPFRRGNNILVICDSYTPGGEAIPTNKRHGAAKIFSHPDVVAEEPWYGIEQEYTLLQKDVNWPIGWPIGGFPGPQGPYYCGAGADKTFGRDIVDAHYKACLYAGVNISGINGEVMPGQWEFQVGPSVGISAADELWVARYILERITEMAGVVLSFDPKPIQGDWNGAGAHTNYSTKSMRGEGGYEVIKKAIEKLGVRHKEHIAAYGDGNERRLTGRHETADINTFLWGVANRGASIRVGRDTEKAGKGYFEDRRPASNMDPYTVTSMIAETTILWNP >KGN50262 pep chromosome:ASM407v2:5:6172081:6172675:1 gene:Csa_5G162600 transcript:KGN50262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFSTFIEVEPPSPLRYIFGAVIMMIGVVLPLGYMLFRNKRGPSSSSSYSKQT >KGN49930 pep chromosome:ASM407v2:5:3882891:3887732:1 gene:Csa_5G141040 transcript:KGN49930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSRLLFLCLCFSLLFSTSVSEDDQYRKTYIVYMGSHHQVSSAPLSSHHHMRILQEAVGSTFAPHCLLHSYKRSFNGFVAKLTEIEAKKVSEMEGVISVFPNGELQLHTTRSWDFMGMSEQVERVPSVESDIIVGVFDTGIWPESPSFLDHGYGPPPPKWKGSCEVSANFSCNNKIIGARSYRSDGRYPIDDIKGPRDSNGHGTHAASTVAGGLVRQASMLGLGMGTARGGVPSARIAAYKVCWSDTCSDADVLAAFDDAIADGVDIISMSVGPKRPRPNYFQDPIAIGTFHAMRNGILTSTSAGNEGPLHFTVTNFSPWALSVAASTSDRRFLTAVQLGDGRKFNGVTINTFDLNGTQYPLVYAGNIPNVTGGFNGSFSRFCLRDSVDRELVKGKIAICDSFVSPSDVGSLESAVGIIMQDRSPKDLTFAFPLPASHLGIQQRPLISSYLNSTRIPTATILKSTGLKLQVAPLVASFSSRGPNPTSPYILKPDVIGPGVEILAAWSPLRSPSNAKGDNRKLLFNIISGTSMACPHATAVAAYVKSFHPSWSPAALKSALITTAFPMRGDLYPEAEFAYGSGHINPLGAVNPGLIYNASETDYIRFLCDEGYNTTFLRIITKDNSTCSTTQSIRVYDLNYPSFALFTHISTPFSQTSKRRVTNVGSTNSTYKATISAPSGLNITVNPSILSFKALEEELNFEVTFEGKIDRSIESASLVWDDGVHKVRSPIIVFDSDTFTRN >KGN49613 pep chromosome:ASM407v2:5:870059:876078:-1 gene:Csa_5G025920 transcript:KGN49613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMAMPTHHDDAPPPVPLDPYKQSLLNRHTENHFTAGDIVRDIIIGVSDGLTVPFALAAGLSGANASSSIVLTAGIAEVAAGAISMGLGGYLAAKSEADHYMRELRREQEEIVAVPDTEAAEVAEILAQYGIEPHEYGPVVNALRKRPQAWLDFMMKFELGLEKPDPRRALQSAFTIALAYILGGLVPLIPYMFITNVTRAVTASVALTLVALLVFGYAKGYFTGNKPFKSAIQTTLIGAIASAAAFGMAKAIQQ >KGN51234 pep chromosome:ASM407v2:5:17445612:17447494:1 gene:Csa_5G496500 transcript:KGN51234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKTRGMGAGRKLKSHRRRQRWADKAYKKSHLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLIKNGKKIAAFVPNDGCLNYIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPRS >KGN49577 pep chromosome:ASM407v2:5:395365:395685:-1 gene:Csa_5G011670 transcript:KGN49577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCITMLGAMQLLSMISSLWFVDSIALEGNSVPYGGLMKAPKVLADIVESVAGVVYVDVNFDLHKLWVVSS >KGN50060 pep chromosome:ASM407v2:5:4789406:4790146:-1 gene:Csa_5G152250 transcript:KGN50060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKVVVKLDLHDDKGKQKALKSVSGLQGIESIAMDMKDKKLTVIGDVDPVDVADKVRKHWPNADIISVGPAKEEKAAPPKVTKPKEKSESEKIEDLLNWYKSHGHIQYGAPNYRVYGIEENPNSCVIS >KGN50446 pep chromosome:ASM407v2:5:7322561:7325402:-1 gene:Csa_5G175700 transcript:KGN50446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANGLDLTYAYRSRKPFSSTSSLLNSFFMSTVNVAANSLVSVASNAKNELSGRKWRPADHFRFMLMLTSWFTVWVLRIVMDWFPVALAPSRRLLTRFCGGGDADGSRSSAPLLLPAPSSESSLSTSSSSALGSSALASLSKLDLVPFETVDFGASSVKPLTRALSQILAILNEMPVSCQKYQFTMAMAEKIMEENARSGQIELLQVNRAALSAAFARTSSSLYDSLHRTREIEERTRAGTWPSRIIAALPFSAYVTPYIKFLDLAVSAVGAIVPKAEPLNGRRSDRGIVEGEYGGEVVVVEKLGQELVWMVEKLREYGAADEAMVQWSFAGGLASASVTCNPRIQWCFVKISAMLFQELMRSKEMEETVRPEVKFRMLSLWLPLLCHARNGFTFPALMRFEKDETERAVNHIIGTLSPIDQEVILTNWLHDYAISPSEWPNLQPSYDRWCNSTRLLAA >KGN49559 pep chromosome:ASM407v2:5:105818:108224:-1 gene:Csa_5G002050 transcript:KGN49559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLPSGYRPNVGVCLINSDYQVFVASRLNVPGAWQMPQGGIEDGEDPKLAAVRELRKETGIVSAEMVAEVPKWLTYDFPPAVKTKVNRLWGGQWHGQAQKWFLMRLTKDDSEINLDNDEADVEFAEWKWANPEEVVEQAVDYKRPTYEEVMKTFAPYLNGNKISTKCKSSKW >KGN51908 pep chromosome:ASM407v2:5:22663136:22666005:1 gene:Csa_5G605020 transcript:KGN51908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIVISDQILGTFVPIVVYWLYSGLYILLGSFENYRLHSKKDELEKNLVSKSTVVRGVFLQQIIQAIVAILLFKVTETDGEVATGPKSWLTIVVQFIVAMLVLDTWQYFIHRYMHQNKFLYKHVHSQHHRLVVPYAFGALYNHPLEGLLLDTIGGALSFLVSGMSPRVAIFFFSFATIKTVDDHCGLWLPGNLFHVFFRNNSAYHDVHHQLYGSKYNFSQPFFVTWDRIFGTYMPYSLEKRAGGGFEARVKED >KGN49642 pep chromosome:ASM407v2:5:1347849:1348435:1 gene:Csa_5G038093 transcript:KGN49642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKGKIESEIVINTPPHRFYKLFKEEIFDIPKACPKLVQKINIHGGDWSKHGHGSIKTWHYTLDDDKAEVFKERVELDDKNLVIKLIGLEGDLFEHYKSFNETYKVVKKGPGQCAIILTLEYEKLHDCSPSPQKYYDAMINLVKSIESHLKK >KGN50041 pep chromosome:ASM407v2:5:4674474:4674668:-1 gene:Csa_5G151570 transcript:KGN50041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVQDEEEEEEGATTTQCGAPNTLNYTLSFYPFPLGQVAPHTILYVGVSVSATRPVGATSSIVI >KGN49800 pep chromosome:ASM407v2:5:3237731:3238054:1 gene:Csa_5G136350 transcript:KGN49800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKLQWLVATLACFAFCLGDNVSYDSNAIIINGERCIISQAQSIIHAALKQCGLISFKKLKMVDLMQLRHTFSGIVTSHNDENMISLDVYISLNSSSLSKTLDFMLS >KGN52623 pep chromosome:ASM407v2:5:27386427:27389688:-1 gene:Csa_5G647450 transcript:KGN52623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDRRHGEGEMEHCSKKPFFSSFSPFLSLLVLLPSLVLVFLVCKLDLEIPWRIGFDKDLSSLQNSQLNSFSNIISSPKLVESVDLDPKGQSFLHSIEESQKTVAKDKEANGKSATPGISKTERYSKLKKLEEKLGRARAAIREASQIHNLTSIHHDPDYVPTGPIYRNPNAFHRSYIEMEKLLKIYVYKEGEPPMFHGGPCKSIYSTEGRFIHEMEKGNLYTTNDPDQALLYFLPFSVVNLVQYLYVPNSHEVNAIGTAITDYINVISNKHPFWDRSLGADHFMLSCHDWGPRTTSFVPLLFNNSIRVLCNANVSEGFRPSKDASFPEIHLRTGEIDGLLGGLSPSRRSVLAFFAGRLHGHIRYLLLQEWKEKDEDVLVYDELPSGISYDSMLKKSRFCLCPSGYEVASPRVVEAIYAECVPVLISESYVPPFSDVLNWNSFAVQIQVKDIPNIKKILNGISQTQYLRMQRRVKQVQRHFVLNGTPKRFDAFHMILHSIWLRRLNIHIQD >KGN50402 pep chromosome:ASM407v2:5:7009210:7010455:-1 gene:Csa_5G172820 transcript:KGN50402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSASLVHTSLLNYGFTPKMNPSYWRYDMVKSRPSPPRSFRVKAVQDTGGPRRLVDIIRLVPELSRNYFRSPSRRALFGGISLLGGFYVAQTISLSFGALGVNDVIAAVVCVLLTEYVTRFYYSRPKVTFPIALLNNFKMGFTYGLFIDAFKLAS >KGN52128 pep chromosome:ASM407v2:5:23876888:23882513:-1 gene:Csa_5G611100 transcript:KGN52128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENRKNCSMEESLLAKQKETNLSSTTTAVYLEEMKRVGFLAAPLVIVTFSQFMLQIITMMMVGHLGALALSSTAIAVSISAVTGFSVLLGLSSALETLCGQAYGAQQFEKVGVQTYTAIFCVFLICFPLSLIWLFLEKLLLFVGQDPLISHEAGKFIVWLIPGLFASAFLQPLVRYFQAQSLVIPMVIFSCITLFFHIPLCWFMVYKTGLRNLGGALSMSFSYCLNVILLALYMKFSPKCEKTRGVISMELFQGIRDFFSLAVPSAVMVCLEWWSFELIILLSGLLPNPELETSVLSVCLQTIASLYSIAYGLGAAGSTRVSNELGAGNPQAARRATRVVLFLAILETLTLSTILFALRHIFGYTFSNEKDVVAYVASMAPLVCISVLMDGIQGVLSGIARGCGWQHIGAYVNFGSFYLCGIPVAALLGFLVHMKGRGLWIGIQMGAFVQVTLLSFITSRINWEEQARMASDRLLISEVNYSEGY >KGN50466 pep chromosome:ASM407v2:5:7469237:7469543:1 gene:Csa_5G175890 transcript:KGN50466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSETFVEIILAILLPPLGVFLRYGCGVEFWICLLLTILGYIPGIIYAIYVLVG >KGN51954 pep chromosome:ASM407v2:5:22870693:22872260:-1 gene:Csa_5G606450 transcript:KGN51954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSSSVDSLDTHRDSLPINKEYDDFPLVIVGSKPSDFGIQPDNQFHSMSALEILRESVRILRYNSTAFVLIAILLICPVSAVFLSNVLVDESVVKMLTIRLILVAKSSGLPLMPIIEHSCQRFAESILSSAMCFPLFLTLSLVSKAAVVHTVDCTYAKRRFELGLFLAIVRNIWNRLLSTYASVCLAIVGCLTMFLVLLGAVCSTLYVLGFSPDLIVSAAVIVGLVFSVIFANAVIICNIGIVICVLEDVAGPGALLRSCVLIRGQTQVGLLIFLGSTIGTVFVEGLFEHRVKTLSYGDGSSRIWEGPLLVVMYSFVVLTDSMMSAVFYLSCRSSSMVISNGEHDSILDIAVSGGSTGIL >KGN50762 pep chromosome:ASM407v2:5:10362798:10368728:-1 gene:Csa_5G239640 transcript:KGN50762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKTIQLFGFPSGVLQESVKTFVEGITGTGTIDAINTKRSKGGGRRVYAIIQFTDEEGAKSIISKATERLCYGTSYLKAREMKHDILPDPLVFDYNFKALRLHLGCQISKESFSVLWTESNVSVDFGFELRKLYFFISYPRVDYMLVLRYENIWQVELHKPHGQSVDYLLIQLFGAPRIYERDARSFGLITEDPFLNFSTEIDTQWFRATDFTPSCSIGQSAALCLEIPYGRQLPNFHDKFAYFKEIKGKFTLVSGSTYSSNVNLVPVVTPPRTINLPYTILFKINLLVQQGCLPGPALDISFYQMVDSQIYNTAVIDHALKKLLHLKECCYNPSKWLDEEYRKYFKLKNPPQPPILTLNEGLVYVHRVQVTPCKVYFCGPEVNISNRVLRRYPDYIDNFLRVSFVDEELGKMYSTELSPRASSSLEDGKTKIFKRILSVLRDGITIGDKKFEFLAYSSSQLRENAAWMFAPKNELTAAKIRQWMGDFHNIRNVAKYAARLGQSFGSSTETLSVSRREVKVIPDIEVESGSGVNYVFSDGIGKIAASFARKVAKKCGIRHTPSAFQIRYAGFKGVISVDPTSSVKLSLRNSMLKYESTDTKLDVLSWSKYHPCFLNRQLITLLSTLGVQDHVFESKQQELIDELDTIFSDPLKAQQALELMSPGENTKILKEMMLCGYKPDSEPFLRMMLHTFRESKLMELRMKSRIFIPNGRAMMGCLDETRNLEYGEVFVQCSAHQQLHDDRVIFKRIKSNRHFIVTGTVVVAKNPCLHPGDVRVLTAVDVPSLHHMIDCVVFPQKGSRPHPNECSGSDLDGDIYFVCWDPDLIPPQQVEPMDYTPVPSQVLDHDVTMEEVQEYFANYMVNDSLGIIANAHTAFADKEPKKAMSNPCIQLAKLFSIAVDFPKTGVPALIPANLRVKEYPDFMDKADKVTYESENVLGKLFRMLDSIGPNIKNIRSFNYTPEMARQDYDPDMEVEGFEEYLDDAIYHKNNYDMRLGNLMHYHKIKTEAELISGGSLTSSLSFTMKNEAESIILAVKSLRKEARGWFNEKADLHYGHHTNVYARASAWYFVTYHHTYWGWSDGRKNHGHFLSFPWCVYDKLIRIKHRKINCRARY >KGN51008 pep chromosome:ASM407v2:5:14536640:14537127:1 gene:Csa_5G403040 transcript:KGN51008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLTPTPTTSNGETIPISVGGVRSKMFNNEKLANQITRAKHLVITKDMEALFREYMQRNDALLQSQASSIKNLELQLGQLANDVSERPKGALLCNTKTPIQRGGSSNKKSQLVTLRSRRNLTIHELESEQ >KGN49553 pep chromosome:ASM407v2:5:38263:39732:-1 gene:Csa_5G000020 transcript:KGN49553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGNFFELGPWRVNFHKQKTEPISLIPNPGSWNRKFGLLFLDNPIGTGFSIATTKDEIPKNQYSVSRHLFTAISSFIELNSAFKNRPIYITGESYAGKYVPSIGYYILKKNPKLPYDKRVNLVGVAIGDGLTDPITQVATHGLNAFYSGLINEKQKKEMEVAQVEAVELTKLGKWSEATNARFKVLDLLKDMTGLSTLYDYTRKAPYNTDLVDEFLSFKEVKRALGVNESMVFEGCSDVVGEVLNDDVMKSVRYKVDFLVKNSKVLLYQGQYDLRDGVVSTEAWVKKLKWEEIENYLGVDRKIWKVGEELAGYVQKWKSLSNVVVLGAGHLLPTDQALNSQAMIEDWVLEKGAFSDAQVKKRSSLNDFGVW >KGN49725 pep chromosome:ASM407v2:5:2599437:2601057:-1 gene:Csa_5G090860 transcript:KGN49725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNLAELCLLYLDLKSKAELNVPYSKKDQPSTLPYLLEYSPWDQDQKRDQTSMNKREIGAKLKYFDPLNLLFEAAGGKKLHKLSVPLLVEAALGNSAGITSFQLYRIGKISEGRFLAVSRTPSSLRHARKRDHLPRKQELKASVYELASQAASRSMVEAAKNIIAPTTAYQFEVSWRGFSGDQALQARLLKKLQRQSQSQSAVLVMIGKKLTFFFSPTLIARESTETGLADTAGFHR >KGN52516 pep chromosome:ASM407v2:5:26658411:26662465:1 gene:Csa_5G640010 transcript:KGN52516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVVQTSEEDAALTVVRFASELAWADAGPETAEPQVNRLCVEAQECMVIGRWLDLASLMLTSADLIFSKVSEKDLECVFTIICNLVTKAGSPDESLEMAKLISAKICQQPNDKPALRLKILFNLYNLLENPYSRFHVYMKALDLAFKGKAPEHIIPTLKKIESFLREWNIGIVEQRELFLAISNVLKESKSSANDYFKFLTKYLATFSGEDASTLSEAKEEAVHAIVEFVKAPNMFKCDLLDMPAVGQLEKDAKYSLVYQLLKIFLTQRLDAYMEFQATNSSLLKSYGLVHEDCIAKMRLLSLVDLGSNESARIPYALIKDTLQINDDEVELWVVKAITSKLIDCKMDQMNEVVIVSRCTDRVFGQHQWETLRTKLTTWRGNIANVIGTIRANKIVEDGSQAMQGLAIR >KGN49644 pep chromosome:ASM407v2:5:1404319:1404924:1 gene:Csa_5G038750 transcript:KGN49644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAGKLVSEVEINTPPHRFYKLFKEEINSIPNISPNLIQKIQIHDGDWSKHGHGSVKVWNYTIDNRAEVIKERVEFDDKKLVVRVVGLEGDVFKHYKTFTGTYQVVSKGPNRSAIIFTLEYEKLHDGPPYPDKYHEAMNSLAKDIESHLKK >KGN50650 pep chromosome:ASM407v2:5:8970951:8977437:-1 gene:Csa_5G202340 transcript:KGN50650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYNFDLNQADSDPPRSLTPKRDVDWLPLKTHPIFTPTSTSSSSSSGHDYGVSVSPPLRNLLASDGASRLYFWDSTKLCLHRISIRLGEPEPTSVLAASPSKVLQPDVQLDFVVQKISINQNGSALALVGSGGLCIMYLYGHSSTSDNNTVICRTVRVGPQIYCGGHDVIRTLQVSWHPYSNFHLGVLSSDSVFRLFNLSTDLVQPEQEYYLQPVEPGQSKNATSICPVDFSFGEDHLWDKFSVFVLFSDGSIYILCPVVPFRSVYKCESILEIYNDAQSFGLKSPNPTAVNSSLAISWLEETFPNLVQATDGGDAYMIVAQPCALFDASLALQGPLRRACNNGDEGDISIKGAECEGRAVSLLYNLISKDSVLVTAWSGGQLQIDALADEIQPVWNLGNPPRVRVDPNDNILGLAMICEVVTRKLTKVKLDQPLDHTVWSGLPPPLLRLAIVDLALPKKMEKDSLITMFADKLMDQRIYALHNGGIDSIILHFLPFTSQSRGQNQTMRTPSVHPVLNTCQGDTSSPFPLCGFASLSDSLGYSWILGITLSHECIVLEMKTWNLLVPVQVSNFLYEGKSAAAATGERNESERPEIISKDLLGGPKVVLLPQSSSTMRSVTADSIEGRSMLHQYFKLFHENYVEYAHAVYYELKQHEPKLKRLIEDQQTRLKDAQQKLIKVEGKQQLLDDRIERAIELHNVLEERIRRLKNLPGAHKKPLSKAEREFKSTLDHFTDVELDALHTSIDTLTARLRRFTNSSKNNNIVNQQQKMYRRNTYIQGSQISQLKSSLEKLSLLNAENTIKVKLVESTIQSKERNRS >KGN50728 pep chromosome:ASM407v2:5:10009011:10018111:1 gene:Csa_5G220910 transcript:KGN50728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRIRFGSVFLLILLLSAAYVAIAERRVSLLNGYDKSIFKSSFHRIFDTSKYGILQLQNGLARTPQMGWNSWNFFACDINETLIKETADALVSTGLAELGYVYVNIDDCWNTQKRDSKDQLVPDPKGFPSGIKPLADYVHSKDLKLGIYSDAGLFTCQVRAGSLYHENDDAQLFASWGVDYLKYDNCFNLGIKPIKRYPPMRDALNATGRSIFYSLCEWGVDDPALWAGKVGNSWRTTDDINDTWASMTTLADLNNKWAAYAGPGGWNDPDMLEVGNGGMTYQEYRAHFSIWALMKSPLLIGCDVRNMTKETSEILMNKEVIAVNQDPLGVQGRKVKVFGKDGCLQVWAGPLSGSRLAVVLWNRCSVASTITTDWNALGLKPNTSVSVRDLWLHEDVAGDAMSSFGAEVDPHDCKMFVFTPVATFRAEM >KGN50015 pep chromosome:ASM407v2:5:4480418:4491874:1 gene:Csa_5G149840 transcript:KGN50015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEVASSVVHEVLGQRTQDVDQPIIDYIVNVLADEDFEFGEDGEGAFDALGELLVGAGCVSDFAECRTVCSKISEKFGKHGLVKNKPAVRSLVTPMRMNEGMDEEEVPKKKPEVIDGPILTERDRLKLERRKRKEERQREAQFQMHLAEMEAARAGMPVVCVNHDSGTGPAVKDIHMENFNISVGGRDLIVDGTVTLSFGRHYGLIGRNGTGKTTFLRYMAMHAIDGIPKNCQILHVEQEVVGDDTSALQCVLNSDIERTQLLGEEARLLALQRDVEFEDEKSNAAADKDGIAQRLEEIYKRLEFIDAYSAEARAASILAGLSFSSEMQQKATKTFSGGWRMRIALARALFIEPDLLLLDEPTNHLDLHAVLWLESYLVKWPKTFIVVSHAREFLNTVVTDILHLQGQKLTTYKGNYDTFERTREEQLKNQQKAFEANERTRSHMQTFIDKFRYNAKRASLVQSRIKALERIGHVDEVINDPDYKFEFPTPDDRPGPPIISFSDASFGYPGGPILFKNLNFGIDLDSRIAMVGPNGIGKSTILKLIAGELQPTSGTVFRSAKVRIAVFSQHHVDGLDLSSNPLLYMMRCFPGVPEQKLRAHLGSFGVTGNLALQPMYTLSGGQKSRVAFSKITFKKPHIILLDEPSNHLDLDAVEALIQGLVLFQGGILMVSHDEHLISGSVEELWAVSEGKVNPFRGTFQDYKKILQSS >KGN51120 pep chromosome:ASM407v2:5:16128733:16133019:-1 gene:Csa_5G456730 transcript:KGN51120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTSVSPAMESLILQLHEISAVKFGNFKLKSGIYSPIYIDLRVIVSYPSLLSQISKTLISSLPSSTSYDLVCGVPYTALPIATCISVSNHVPMVMRRKEIKDYGTAKAIEGHYQADQICLIIEDLVTSGASVLETAAPLRAAGLKVSDAVVLLDREQGGRENLEENGIKLHSMIKLSEMVRILKEKGKVGEEMEGVVAKFLEDNRKVAVPKIEKPVRVKAISFQERVKIAKNPMGKRLFEVMIEKESNLCVAADVGTASELLDIAEKIGPEICLLKTHVDILPDFTPDFGSKLRSIAEKYNFLIFEDRKFADIGNTVTMQYEGGIFHILDWADIVNAHIISGPGIVDGLKLKGLPQGRGLLLLAEMSSAGNLATGDYTSAAVKIAEEHSDFVIGFISVNPASWPGAPGNPTFIHATPGVQMVKGGDNLGQQYNTPYSVVYDRGSDIIIVGRGIIKAANPAEAAREYRLQGWNAYLSKTS >KGN52519 pep chromosome:ASM407v2:5:26668490:26669321:1 gene:Csa_5G640530 transcript:KGN52519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGRSSFCGLTMVVVVVMSITILSSSYSCRGSILMKANATLGSVMTTELIIKHDITRYLIFKGATAVTGATADPNIVTCGNGAGNTFSGCGGNANNTQQCNAYQGPNACL >KGN50713 pep chromosome:ASM407v2:5:9818575:9822457:-1 gene:Csa_5G218790 transcript:KGN50713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIIASKLTTHLCRREPVRTLQFRTFSAYDEREIEKEAERKVGWLLKLIFAGTATFLGYQIFPYMGDNLLQQSVSLLRVKDPLFKRMGASRLARFSIDDEKRMKIVEIGGAQELLNMLGAAKDDRTRKEALKALHAISHSDEAAGALHKAGAILVIKSTPDSAEDMKVNEYKSNLMKRFRDLRYDVSS >KGN49904 pep chromosome:ASM407v2:5:3742973:3743740:-1 gene:Csa_5G139820 transcript:KGN49904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEFGELKRKFDGLKFLAEKQEQRVRYYETRAQNLAIASLIWDRLFFVGMYHTSSSLSLLNCTHHLWMVFSFILSSTSLYFLFFLEAVFMLYRAQNQLDIISKKQIEISLQILNTGSPNDDEAGDSISSDNGWLELSFQVQLLPYHPFTLVQRKVYIASTIFTLLAVAAFELYTCKLLLCNSN >KGN50274 pep chromosome:ASM407v2:5:6231844:6233375:1 gene:Csa_5G165190 transcript:KGN50274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKNRSRICRHCVISLHLLPLPLFSISALLFFSPSFSFFLHSPSFHILSRFCSSLTSSPSSFWSSFLCFRLSEPRC >KGN52654 pep chromosome:ASM407v2:5:27555222:27557635:-1 gene:Csa_5G648750 transcript:KGN52654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGAVGAEIQDWEVLLHDLNPETALTAAEFSGEKSTHFGGIEGESDSDSIIKSDYFSLDNQGRRGRTVPERDLNEEEGSVESDNPSWIDPSSENRYGRVNSSELWSDSGSDRSDERKFNELDSKAESGIAGSFQGDEELSGRILKLESLKSHENKITGSDPNIEVGLEEFDGIQSQSKDLNSFWSDSGEDIVQNGSKVVKLEEGKEHLDEIKNLQIEETKVNAESGSEVGDKRKVIWWKVPFEVLKYCLFKASPVWSFSIAAALMGFIVLGRRLYRIKRKSQSLHLKVILDEKKGSQFLSRAARLNEAFSVVRRVPIVRPALPAAGINPWPAMSMS >KGN52641 pep chromosome:ASM407v2:5:27474164:27481801:-1 gene:Csa_5G648130 transcript:KGN52641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPAIMERRASIKSLSPPPYSISLPLPPLRNYDVFLSHRVKDTGSSFAADLHEALTNQGIVVFRDGIDDEDAEQPYVEEKMKAVEESRSSIVVFSENYGSFVCMKEVGKIVTCKELMDQLVLPIFYKIDPGNVRKQEGNFKKYFNDHEANPKIDIEEVENWRYSMNQVGHLSGWHVQDSQSEEGSIINEVVKHIFNKLRPDLFRYDDKLVGISPRLHQINMLLGIGLDDVRFVGIWGMGGIGKTTIARIIYKSVSHLFDGCYFLDNVKEALKKEDIASLQQKLLTGTLMKRNIDIPNADGATLIKRRISNIKALIILDDVNHLSQLQKLAGGLDWFGSGSRVIVTTRDEHLLISHGIERRYNVEVLKIEEGLQLFSQKAFGEEHTKEEYFDVCSQVVDYAGGLPLAIEVLGSSLRNKPMEDWINAVEKLWEVRDKEIIEKLKISYYMLEKSEQKIFLDIACFFKRKSKKQAIEILESFGFPAVLGLEILEEKCLITTPHDKLHMHDLIQEMGQEIVRQNFLNEPEKRTRLWLREDVNLALSRDQGTEAIEGIMMDLDEEGESHLNAKAFSEMTNLRVLKLNNVHLSKEIEYLSDQLRFLNWHGYPLKTLPSNFNPTNLLELELPNSSIHHLWTASKSMETLKVINLSDSQFLSKTPDFSGVPNLERLVLSGCVELHQLHHSLGCSNLTHFPKISSNMNHLLELHLDETSIKVLHSSIGHLTSLVLLNLKNCTDLLKLPSTIGSLTSLKTLNLNGCSKLDSLPESLGDISSLEKLDITSTCVNQAPMSFQLLTKLEILNCQGLSRKFLHSLFPTWKFTRKFSNYSQGLKVTNWFTFGCSLRILNLSDCNLWDGDLPNDLHSLASLQILHLSKNHFTKLPESICHLVNLRDLFLVECFHLLSLPKLPLSVREVEARDCVSLKEYYNKEKQIPSSELGITFIRCPISNEPSESYNIDQPHFSAIHVRTTTQRYIEVLTWQQVNYSFVIPYPNLIACFEEKKYGFSITAHCPPDYISEENPRIGIALGAAYEVVQQQFESNKNNNNNNSKFCCEFTVKMETDECPIKSPLVFDGNEQELDSPMGLSVFYIPMKEISSWLNQCCCIDISIITDNPFVKVKWCGASILYEQNAGSFIGNIIKDLFGSPGKYHASIVDHLLNRQNRVNNVSTLMDGGARYKTSWFNALQRTIGSFPRLQPSEPPDEIIEECSTMNASSEAEDNESDYSIILKRNLKATLQRIFEELKLCGEYFIFPQKEMSKSFFNFQVEGPSITIKIPPNLHKDKKWMGCAFFVVFSVDENSPKSHSFSYQVDNDEYSMQRESILYLNKDLLHDPHQLWLFFEPRAVYPYRLNQWRHLCFAIACNNSDFKAVVCGARLVYKQDVEGLINTIVSNVLSSPAEFQEFCDQIYVKGMLRIVHSRKYDPEKKENGRRQEFGIEERVDEQNSNAHDPQDEDSSCSSNMERISHIMQLKESIPSFIQKDLKDRFATSFDFCIPRTNIWAELFDQLSPNSYAHIQLPPNLYKNSNWMGFAVWTVFQINKHPTAILDNVGSVSRHELFCQLAVENGIIKPLHIHSIIEDTVFWLHERQFVWLYYSPRKKYGEIFRHRSDVSAIIEADTPDLMVKGCGVQLVYKKDVELIDKILMEAFFSTT >KGN49901 pep chromosome:ASM407v2:5:3726257:3735139:1 gene:Csa_5G139790 transcript:KGN49901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDGLCVICAGIGIVEEDDYGNRIGYSKNEFCLDNLKDLLRFLRRDDPQTRDVFKHVCKWNIVGKDLIPIIEYCQDDRNAVLNAVKILVFLTMPIEPTSSDIAQQIEYLWGLKSLITCSNVVAIIVSLLESPLENLDCGTFSEDDWKLLQLVITLFRNVLAIQEISLQQKADGSACQLILLRDKFLEVLFRENVMDIILENVETVSSVNSLKSMMEEDRRKFSRLQNLNRHSQFSGTFTRQTLDGSKLVLKGKPSLSSSTSHKPPKVCRGPIKKIAWDLGRLTSKNSKLLQLLHDFINQFLSGGYNALMQLVHEDIEKEHHSIQNNDVVVFFQVAQFAISFQYHKFSTSKIIEAETDEAQTEHADSTFFQGNMCGPIAATMNEAMFQLVVSKWRYAFEGLKETNDFKFLSAAGSLMKNMICMLDLVLKLLPEDSKEPQTARILLYKLFYDQTDQGMTQFLLNLLKSFNTHKQPKSDLADLVEMVYKVVQLMENLQARGTLRVSKKSRRGRKAKSANNGDNKQSEDQGAENKTAITHNEQSTDVDVCENSNLKTSPDCKEEISVTANADEPELLDLNSGSFEGSMPQRENKNLNDGYSTADSSSDEQKNRIVEVDLKVSSLVSTFANNNIIQKICWLLKFYKSNATSTNHYIICILRKITEELELSPMLYQLSVLPTFYDILSEQKSSPCKEHATIVDFLTSLVRKMLRKIKNQPLLFVEILFWKTRKECHYIDAEYLVHELGCWKKESREENFTGGDENGSLTGKHWTPRSIADALGEDEADVVLTNNGFGFHSEAKSDEVKKGLESTNLDDEMDRKEHNENELSMDNKPKRLPKRKRLVLDAALETEIKDLYEKFKEDRNCSKLIAENLDNDVKVSPAQVSNKLRQMGLKVVQRKKRQYADEGFSAISENLEGESNGEELRNSNVFGKSSLNQPSLTRKRILAFDKEHEEKIRALYEQFKDHKRCSSMIANALDADNKFTPAQISRKLKQLGLYISRKRKSSDGDRNESAIDKESESDDETLLSLINRKKGKHLPKSTETPSSISTQSILIDEESEGVADGRSMQLEDRNQASSLETMGVGGPPSDDVDLNDFTENQGKDAEAGRVNMDDLMQKAMEDEFADSDNEVSPSVYRTTGRKFRIVDLEDEE >KGN50619 pep chromosome:ASM407v2:5:8696956:8697706:1 gene:Csa_5G197110 transcript:KGN50619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNDGIHYQDKHGGTETFKTTVPTQLIPFPPYLLQSSVRRRFKERPMSLIRRMTGGRRRRNMFFDPFVLENWDSSEETASAFMVTQIDWKETPNAHIFKADLPGLKIEEVNMDVNEAKILELSGERMKETKEESEEWHRVERRSGKFLRRFRLPENVKVEDINVSMEDGILTVIVPKIEGVKPEIKSIAIS >KGN50942 pep chromosome:ASM407v2:5:13571126:13573739:1 gene:Csa_5G352620 transcript:KGN50942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKVNGKWTSIFGSYFFNVLSQVINVSSPNTPGLRALQGRKQLKDLVRKVQEARNEMQWGEEGPPPLLVKIAPDLSKEDLEDIAAVALALRLDGLIITNTTVSRPEPVDKSPLAAEAGGLSGKPLFNLSTNVLKEMYALTRGKIPLIGCGGISSGEDAYKKIRAGASLVQLYTAFAYGGPALIPQIKWELAECLERDGFKSVQEAVGADFR >KGN49776 pep chromosome:ASM407v2:5:3056057:3060504:-1 gene:Csa_5G118190 transcript:KGN49776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFPFLFIFFCLSSTVFGISDELINPKKLPMFVDDLPDMPKLLGFHGQHPNHLQIGMFLKTWKFHRDLPPTPVFAYGISKDTATVPGPTIEAVHGISTFVTWQNHLPSKHILPWDPTIPTAIPAGNNGVPTVVHLHGGITEPASDGHATSWFTNGFKDKGPSWTKKTYHYPNQQHPGNLWYHDHAMGLTRVNLLAGLVGAYIICHPDVEAPLRLPYGREFDRSLLVFDRSFLYNGSIFMNSTGNNPSIHPQWQPEYFGDVIVVNGKAWPRMRVQRRKYRFRIINAGNARFYRFFFTNGLKFIQVASDSAYLSQPVVSKAILLAPSEIADVVVDFSKSTTNTVILANDAAYPYPSGDPVNEANSKVMKFYISKNKEVETQPIPKKLIQYPKPDLSSASYTRYIAMYEYTSDIDEPTHLYLNGKPYEAPVTEKPKVGSTEIWYVINLTEDNHPLHIHMGLLAALEQTELVHLEEFKDCMTKKNDAVKCKIEEHARGKRRKVNSNEKGWKNVYKMMPGFVTKILVRFSNIHSNTSYPFDATAEPGYVYHCHILDHEDNAMMRPLKLVY >KGN50240 pep chromosome:ASM407v2:5:6044998:6045531:1 gene:Csa_5G161890 transcript:KGN50240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKASASLAFLLSLNLLFFTFVSACDNCYVPAPPKPKPCPPTKPNPPSNYGKCPKDTLKIGVCAKLLGGLVDLTIGKPPVTPCCTLVKGLADLEAAVCLCTAIKASVLGNKIKIPLHLSLLLNVCNKNLPNGFQC >KGN52723 pep chromosome:ASM407v2:5:27983296:27984966:1 gene:Csa_5G652280 transcript:KGN52723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFASAGAQLISLPPTGSSSRRVGKPFQPPYFKFAYPLPSRKYSNMGVWRWKGKLRYSVEGRMKDDDDDDACELVNGMELSIGEQPHHSIPAYLFKAVKNNNGTAILLLSDLFAFQHSSIRDFAYRLACIGFNVLLPDLVHEDVWEKPPAEHCAKQEMQRIAEDIGTVTKWLTEEFSAAGLSMKLGIIGFGYGGGRVIDVLARDEGTCFLIGASIYGTQVDPSLATKVKVPVLLISGDNDPLCPVSVMKDLEKGIGEGSKLVIFGGRGHGFVHNPGSPEEDDDAEKAFLMLRNWLHHGLVAINNLANCHG >KGN51554 pep chromosome:ASM407v2:5:20393203:20394105:1 gene:Csa_5G577450 transcript:KGN51554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDHQQQQHQEDQILINSYDDHDFHYFPHPFLDDDDLFLSHFLSQQQQFFEQRDQQLDETTKKMKKKKKLCGSSSSRKNGSNSTKRRGKKDRHSKIYTAQGPRDRRMRLSLQIARKFFDLQDMLGFDKASKTIEWLLLNSNSAIKDLKQAYFFKYSNSGQSSEVVSEINDNNGVFNVAASNLYQEQDQEDVAFTGGFKDKIKSRTLRTVAREARDRARARARQRTLLKNTLLPNPPITSSISQHFDHLSGNNNISSSSNNNNNTYLDYYPTFSCPSTSIFLPSPLPLPYSFTDSTLLKLP >KGN51818 pep chromosome:ASM407v2:5:22098495:22103499:1 gene:Csa_5G602170 transcript:KGN51818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMDLESGVVNEKNAKKDSWKTILMMAYQSLGVVYGELGTSPLYVYRNTFAEEDINHTKSNDEIYGVLSFVFWTLTIVPLLKYIFIVLKADDNGEGGTFALYSLLCRHARVSSLPNTQLADSELTEYKMNVLGPPSQQSFGFKLKSALEKRRVLQKFLLVLALIGTCMVIGDGILTPAISVLSAVSGLEHTMAKEHHQYIVIPIACIVLICLFALQHYGTHRIGFLFAPIVIVWLLCISVIGLYNIIHWNPRVYRAISPRYMFQFLKKTQKGGWMSLGGIMLCITGSEAMFADLGHFSQLSIKIAFTFMVYPSLLLAYMGQAAYLSQHHPSSNEHPIGFYVSVPDKLKWPVLIIAVLAAVVGSQAIITGTFSIIKQCSALGCFPRVKIIHTSSKVHGQIYIPEVNWILMLLCLAVTLGFRDTKRLGHASGLAVITVMLVTTCLMSLVIVLCWHRSVFLAIGFIFFFGTIESLYFSASLIKFLEGAWVPIAISFVFLIVMYVWHYGTLKKFEFDIQNKVSINWLLSLGRSLGIVRVRGIGVVQTELVSGIPGIFTHFVTNIPAFHQVLVFLCIKHVPVPHVRPEERFLIGRIDTSEYRLYRCIARYGYRDVHKDDVEFENDLICSIAEFIRSGTPQSSDCKLDFDKDGEKMSVVGTSSNHEDRIQMAETDNEEDSEELYQASDTREIRSPTPVQLRKRVRFILPESPKINTDAMEELQELREAREGGVAYILGRSHMKAKQGSSMLKRVSIDFIYEFLRKNSREADFPVGLSHSSSTLEVGMMYLV >KGN51602 pep chromosome:ASM407v2:5:20736693:20738463:1 gene:Csa_5G583320 transcript:KGN51602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPSPSHSDPSPPNPQIIQQFLSSVLSQRGPSALPYTEDTKWLIRQHLVALTTAFPSLVPRTASFTHNDGRSVNLLQSDGTVPMSFQGATYNIPVVIWLMESYPRHPPCVYVNPTRDMIIKRPHPHVNPSGMVSIPYLQNWIYPSSNLVELVRNLSVMFGRDPPLYSQRRPNPSPSPSPSPSPSPSSSFGRNSVNSSIASNMGVAAFPRPAIPPRAYPPSPYGSGNDIASIARMQPHTEDPNEVFKRNAINKLVEMVHNDIVGLRKTREAEMEGLFSAQGVLKQREEDLNKGLKEMQDEKEALEQQLQMVLMNTDVLEAWLRENEGKISSDFNAHDAFECVDVLSKQVLECTASDLAIEDAIYSLDKAVQDGAIQFDQYLRNVRLLSREQFFHRATAAKVRASQLQAQVANMASRISQYSNS >KGN52362 pep chromosome:ASM407v2:5:25453596:25454387:-1 gene:Csa_5G628670 transcript:KGN52362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRPYFMHTILDEIISGGQVLETSSSEVMKAVEEISKLETASNSINFVSKTVSGWRG >KGN49626 pep chromosome:ASM407v2:5:1064062:1065177:-1 gene:Csa_5G031950 transcript:KGN49626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLIPHSKGFSFHWQILHLLDEIEIGDFQQEVACALVMAGEDLEKHTAVKDILIQIDVYFQVQLPYHSRSSFRDEAAEAGTTTATERTGTRTETTSLETTTSMDDSST >KGN51084 pep chromosome:ASM407v2:5:15673056:15673565:-1 gene:Csa_5G431520 transcript:KGN51084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSQQRGSFLFPTISNVVCHRQSSPTVHLRNSSSAVGDIFSSYSSSSSSSFPFLSFGFLRYSSSSQPSISDPSTLESIFIQRRADDDSGHLGSVVSR >KGN50404 pep chromosome:ASM407v2:5:7019075:7025175:-1 gene:Csa_5G172840 transcript:KGN50404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVVRGILKSIKDKGIGTFWRELKEEGYLKCLPDGNLLQTKIHNIGATLVGVDKFGNKYYQKVDEETQYGRHRWVEYAEKGRYNASQVPPEWHGWLHHITDHTGDELLMLKPSRYGIEHKENFSGEGEELIYHSKGHALNPGQRDWTRYQSWQPTKS >KGN50961 pep chromosome:ASM407v2:5:13874355:13874933:-1 gene:Csa_5G373720 transcript:KGN50961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASITSLPASSKTEHTDASTTPDIFSLCGVRPRTKPLDGTLPDLSRQQCDECSVGALPIIRNCCDGKLGSRVLRPSCNFRYEIYSFIESPLSRPPLSPPPPLSPVFLPLNRITHGYLVTLLLPLSSELLPFFSLHTYIFCFQLIKMELSSLWQCQLLFL >KGN50384 pep chromosome:ASM407v2:5:6842899:6847918:-1 gene:Csa_5G171170 transcript:KGN50384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIFNFSPSCFSCFSPPPPPRRRSSHFPVTTHSSPNPITGPLAVLWFKHDLRIHDHPALHAASSQFSSLIPLYIFDSRILSRFSDQMLEILLLALESLRHSLRDRGLDLLIKFGDAESILRELVVQVKATHVFAEEEVEHELCLLMDDVSQTLSTLIRSPDLTIWRTPFYDIKSVESLPESYDEFRKLQLPVTCPLSSPTLPCLEMELDWGTMPTFDALKEFMNSTRLNEPSDEWYSIKNTTAETMVRAKFSKRGNNENNPSSRESRTERMGNSIFSTQRGKNFMMGGTEGVLNALAAYIRYNEGTSRDDWQALHEMVRNSESRDGASFIKLFGPAIHLGIISKRKAHYEAIKYEKERNAGFLSPFGYSARSVAAAVDAVLSSEWYWLMGLKSKGRRLGSYSYRNWRWNGFLVQYTVVGCDGPATLLVHGFGAFLEHYRDNIHGIAEGGKQVWAVTMLGFGRSEKPNIVYSEEMWAEFVRDFIVEVVGRPVHLVGNSIGGYIVAIVACLWPALVKSIVLINSAGSVIPGYLYLPLKKDRQVSIAAWLGARLLLSYLRVKTKDILKNCYPTRTDRADDWLINEMLRASKDPGGLVLLESIFSFDLTVPLNYLLEGLEGRVLIIQGMKDPIYNSKSLLGMLKDHCVRVTIKELDAGHCPHDELPEEVNSILCEWIVGIEST >KGN49679 pep chromosome:ASM407v2:5:1932571:1933190:1 gene:Csa_5G059150 transcript:KGN49679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLWGHAAIMAYKSIPGRKYWRKVVHLVLHLMALACGIFGVCMAFKFHHEIKLSDLLSLHSWIGLIAVSLYAFQWIFGFFVYFFPGAEARRRGNMLPWHVYFGVFIFLIATCNAELGLLQRFNTLVLGHSQQGLIVNFTGLLLLLYAATVVLTVILPPLY >KGN49635 pep chromosome:ASM407v2:5:1217052:1217674:1 gene:Csa_5G034375 transcript:KGN49635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSVQKIMEPVELKIGREKYYHFLKDNVYHAPNIISTIQDVAIHEGDWDNCSHDSIKVWNYTIDGKAEVMKEQPTFDDENLQISFTVIEGDMLKKYRSMKISYHSVPKGPQQCVLYVTLEYEKYDPTTPDPYNYLQLIAKAIKDLENYLIHH >KGN50438 pep chromosome:ASM407v2:5:7268039:7271615:1 gene:Csa_5G174640 transcript:KGN50438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPPRGRGGGGGGGGFRGRGDGGRGRGRGGGGGGRGGGGGRGMSRGGGRGGGRGRGGGRGGGMKGGSKVIVEPHRHEGIFIAKGKEDALVTKNMVSGESVYNEKRISIQNEDGTKVEYRVWNPFRSKLAAAVLGGVDDIWIKPGARVLYLGAASGTTVSHVSDVVGPTGIVYAVEFSHRSGRDLVNMAKKRTNVIPIIEDARHPAKYRMLVGMVDVIFSDVAQPDQARILALNASYFLKAGGHFVISIKANCIDSTVPAEAVFASEVNKLKADQFKPTEQVTLEPFERDHACVVGIYRAPKKQKAAA >KGN50217 pep chromosome:ASM407v2:5:5860108:5864314:-1 gene:Csa_5G160200 transcript:KGN50217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSSISSLSVKCLPLNSSKSRHPCSLQTRKQISMVSQINPQKDQTQDCSERKHIGKITEPKRWQKLVSTALAAAAVIGFSSGMPSVAELNKYEADTRGEFGIGSAAQYGSADLRKAVHINENFRRANFTSADMRESDFSGCTFNGAYLEKAVAYKTNFSGADLSDTLMDRMVLNEANFTNAVLVRSVLTRSDLGGAIIVGADFSDAVIDLPQKQALCKYASGTNPVTGVSTRASLGCGNSRRNAYGTPSSPLLSAPPQQLLDRDGFCDQDTGLCEATK >KGN50286 pep chromosome:ASM407v2:5:6296554:6298836:1 gene:Csa_5G165320 transcript:KGN50286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSLILHPQNSTPIPTIPQMIETLSDLYKKLSTALQKHTTQAEPNKPTQQIPGISGTIDNDKDPKVLKLQSNIMLLMEALETMINNRDKKLNVPIQSIETNLDIVIKRVNDAPPGSPLTQKIGEDYLDAIIQDVRTLKFRIPSYRKLSLAKTVAHSGGRGSHALTPIEFVLPNLQGDEVFDESPAFKEVQKIYYEFNDDIFRKCFLYFAVFPENVVLKKQFLTYWWIGEGILDVKGTGDSNLEDEAGGILLKFVEKGLIVPVKEEQKKVKKKFRMPPLVRFASIKLAIENKFLNFDDWGNPTYRSFGCDRILLVKGGGFHPPEAPTKYQNLEEKMVTIFNVSQPFPDSALEWLAKKGDVDMRTTKVVEWLLKLEHLKVLYLGRWQSEVDDEEHVIEVLSLEFLEGLRKMKKLRLLSLQGIFWINELPNSINMLCDLRVLDLKCCYNLEKLPGGIGSLKSLTHLDVTGCYMLNGMPKSISRLTQLRVLKGFVTGKSSLNDLKGLKKLRKLSINTNSPGFPDAKDLRVLRELGEHGELRNLSIMWAAEGLKFDQPPSKTEKGTFIRELTKQISKLTAQPNDETSELPKNLEKLELECLREKNLPNWLNPDNLTSLKKLYIRGGSLETLGNKKWEAAEVVRLKYMTELKIKWRELQNNFPKLSYLQKVKCPRVTLFPCDASGVWIKP >KGN51147 pep chromosome:ASM407v2:5:16420635:16426713:-1 gene:Csa_5G467910 transcript:KGN51147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPHGSKSDKQDAALLHRRDPYEVLGVSRNSTDQEIKSAYRRMALKYHPDKNANDPKAADMFKEVTFSYTILSDPEKRRQYDASGFEAVETESQELELDLSSLGAVNTMFAALFSKLGVPIKTTVSATVLEEALNGVVTVHPLPLGTPISKKVEKQCAHFYSVMISEEETQGGLVCRVQSPDKSKFKLLYFDREETGGLNLALQEESTKIGKVTSAGMYFLGFPVYRLDQTANSMTSAKDPDAAFFKKLDGFQPCEITELKAGTHVFAVYGDNFFKSVSYTIEAFSAASFAEEKDNLRSVEAQILTKRVEISKFETEYREVLAQFTEMTGRYTQEMQVIDELLKQRNEIHASYTTGPPIKRSRSRIRGSFKDAKEDGQIRERKSTRDRPKKKKWFNIHLKVEKRKSC >KGN51962 pep chromosome:ASM407v2:5:22919325:22921367:-1 gene:Csa_5G606530 transcript:KGN51962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSDHQTHVALFPSAGMGHLVPFLRLANTLLSHNCKLTLITSHPPVSSAESHLISRFLSAFPQVNELKFHILPLDPSIANSDDPFFLQFEAIRRSVHVLNSPISALSPPLSALVCDVTLISSGLLLNTTLNIPIYALFTSSAKMLSLFAYYPFAKMSDPSSDFIRIPAIGSIPKTSLPPPLLINNSIFGKIFAQDGQRIKELNGILINAMDGIEGDTLTALNTGKVLNGVPPVIPIGPFLPCDFENPDAKSPIKWLDNLPPRSVVFASFGSRTATSRDQIKEIGSGLVSSGYRFVWVVKDKVVDKEDKEGLEDIMGEELMKKLKEKGMVLKEWVNQQEILGHRAVGGFICHCGWNSVMEAALNGVPILGWPQIGDQMINAELIAKKGLGMWVEEWGWGQKCLVKGEEVGGRIKEMMESEALRKQAAKFRDEAIKAVEVGGSCDRAIQGLIRMWSKGT >KGN50425 pep chromosome:ASM407v2:5:7169605:7172779:1 gene:Csa_5G173520 transcript:KGN50425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASFAKLSTNLPPSSSSTSSSLEDIPENCISIVLMYLDPPEICNLASLSHAFRSTSSADFVWESKLPSNYIFLLHRVLQLPLLTHPKKEIFARLTRPCPFDHATKEFWLDKKSGKNFISISSKALKITGIDDRRYWNYIPTDESRYGSVAYLKQIWWVEIGGEMEFELPKGKYSVYFRVQLGKSSKKFGRRFIDVDEVHGWELKPVRFELSVSNNNNKNGQKVSSEFYLNQFGKWVLYKVGDFCIESPNFVAQIKFSMIQIDCTHTKGGLSVDSVFICPNDFKPTFKL >KGN50676 pep chromosome:ASM407v2:5:9340544:9341350:1 gene:Csa_5G210010 transcript:KGN50676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTMTAWRQNLHLLPVFKHAQANGALPCIVCGSARRILFRSRRLVQSDRKGDNSGGAEALLRRRTGQPVDGKRGGEGGELVESADPTGVKENKSYEKDDGE >KGN49972 pep chromosome:ASM407v2:5:4168485:4173114:1 gene:Csa_5G146950 transcript:KGN49972 gene_biotype:protein_coding transcript_biotype:protein_coding description:Granule-bound starch synthase MQPSTTPNHYYIMPLNHYKYRWILRRSTAAGVSSTVDVFLSAKAHRQSRMASMGAVSVSNFVSRSSLISHGATASETKMSLSVGGKNQVETHKGLKSLNNLDVLRKRTPASAICRTVSRKTDGLGGTAGKIVCEQGMNIVFVAAEVTPWSKTGGLGDVLGGLPPAMAARGHRVMTIAPRYDQYKDAWDTDVTVEIQVGDAILTVRFFHCYKRGVDRVFVDHPIFLEKVWGKTKSKLYGPNAGVDYDDNQLRFSLLCQAALLAPLVLNLNSREEVVFVANDWHTALLPCYLKTMYQARGIYKSAKVAFCIHNIAYQGRFAFSDFSLLNLPDQFKSSFDFTDGYVKPVKGRKINWMKAGILESDRVLTVSPYYAQELVSGIERGVELDSILRLTTVTGILNGMDVQEWNPSTDKYIPIKFNAETGTGKKYLEKQLEELEIKYPDKARGVAKFNVPLAHLINAAADFLMIPSRFEPCGLIQLQAMPYGTIPLVSTTGGLADTVIDGYTGLQMGAFSVECETVSPADAAAIAKTVLRAVALFGTAAHKEMIQNCMAQDFSWKGPAKQWEKFLLGLGVENSQAGIEGEEIAPLAKENVATP >KGN51163 pep chromosome:ASM407v2:5:16562193:16566685:-1 gene:Csa_5G469050 transcript:KGN51163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNEEKEKLRHLLYTNVRIGNWKEVIKKCGEHVEGLALMLTHGNNTTLHLAAYDKEVKVVERLVRTICMFERKDILKIRNERGDTPLHVAALVGCARMCRIIGSVDEKLVDERNKDGETPLFLAALHDHKNAFYCLYNFCKMDQNRFESNSRRQIDGDTILHCILKNEQLDLAFDIIHDNNGAASWVDEEGNTPLHILATKPSAFKSGVYLTGWKYICYRCICVDKLKPKSASTHRQAKKSMEQNKATSSFPNNYATCIAFFTYLWNGILVVITSKQKSEKKKEEAVDLRNYNNAAKDSTDLEKNGDEGIEIIETHESADSPFNTQLLKPPGGHQSDMRPPSSIFPENYDTCINIFQMIFMAIMIILGLGFHKIKKLKKQKQKHTWSIQVMEKLLELALPDKYNGDSPRPSNVDNDQTHPYTIKEGYIEFSDSISNPLAPVKVKRNAKDTAILLAAKYGVVEMVSTIFQQSPFAIHDSDQDKKNIVLLAAEYRQPDVYNFLLKQNTGKLETLFRAVDKNGDSALHLAARFQTHKSWHVTGVALQMLWEAKWYQYVRNSVHHNFFVQYNNDGMLAKKIFHNTHQDLAKAAAEWLFMTSKSCSVLATLVVSVAYASATTVPGGNGDNGTPPFEKEIGFFIFTVASPIALCLSTTSLIMFLAILTSRFDEEQFSSDLPWKLLMGFSSLFFSIIAMLVSFCASHNFLLGPHIHNVAVVVYLAASLPAALVFIIVELPLYFDLFFAFSVKHLRRRKEIVKIDASS >KGN52618 pep chromosome:ASM407v2:5:27351545:27351925:1 gene:Csa_5G647405 transcript:KGN52618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLQLLHLLNRTLLIINPFQSIRKSLPPFTGIFPFQRRLHPLHNLLHKPHIGKHPLRHRQYRQHLYPNSTFSPLRWSKPQPALPCCTPQNPHHSVFLCKSLVVNSVVFSLHMPPCLRCRDLRCRQR >KGN51145 pep chromosome:ASM407v2:5:16404554:16405137:-1 gene:Csa_5G467400 transcript:KGN51145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWLSLFPTDLIKESMQTASHGGWIDVFDLPLNLLNEQIFRFIGNCCGGFIGSSNRTDRGLNQQAARLKVNTNNHGLMPTCLILPKELVGSDITNNIRGVNVPTTTNQLETMAAKI >KGN50364 pep chromosome:ASM407v2:5:6710637:6711291:-1 gene:Csa_5G169000 transcript:KGN50364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLPYAYYIANNTLTALSEKEPEMVLDDLLKSFDENVISAKSVDDSITEAPHANSDVKCFSEDEKVNEVSKDDDSVESSAEEINESDKSSLPTEDLDVTEVHKSSIAEEFELSLPHENVEEETEVGDDVLSSDEESLEVKDDQDENDQIPQPEM >KGN50350 pep chromosome:ASM407v2:5:6657450:6663713:1 gene:Csa_5G168870 transcript:KGN50350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAPFSTIFFPSLPSIFTTSKSLKTPSSSSLILSQFSFETSHKKPPTPDPPESDGTGAAAPTRGDRFLERHHSVEAAKFVLKENNKDRKRKKDKALKVSLAVASCYGCGAPLQTLELDAPGYVEPETYELKKKHHQLRRVICGRCRLLSHGHMITAVGGNGGYSGGKQFISAEELRDKLSHLRYEKALIVKLVDIVDFNGSFLARVRDLAGANPIILVVTKVDLLPKGTDLNCIGDWVVEATTRKKLSVLSVHLTSSKSLVGVAGVVADIQKEKKGRDVYILGSANVGKSAFINAVLKKMAERDPVAAAAQKYRPIQSAVPGTTLGPIQIDAFLGGGKLYDTPGVHLHHRLAAVVHSEDLPALAPQSRLRGQHFQVQHLPMDNELSSGLNGFSIFWGGLVRVDLLKVQPETCLTFYGPKGLQIRVVPTEKADEFYEKELGISLTPPSGKQTRNDWRGLETVRQLKINFEDEQRPACDVAISGLGWITVEQKKSLSNNHSDLESSVDELELAVHVPKPVEIFVRPPMPVGKAGSEWYQYRDLTEEEEEVRPRWYF >KGN51559 pep chromosome:ASM407v2:5:20436897:20438867:1 gene:Csa_5G577980 transcript:KGN51559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVDSPLELGSDSGVVSVCINDILTDDELRSILDKIGRDKDKEIFGLVCKRWLRVQSNERKKLSARAGPHLLRKMASRFSRLLELDLSQSTSRSFYPGVTDSDLTVVANGFQYLIVLNLQYCKSISDSGLAAIGSGLSKLQSLDVSYCRKLTDKGFSAVAEGCRDIRNLNLAGCKLVTDGLLKTLSKNCHSLEELGLHGCTNITDSGLRELVKGCQKIEILDVNKCSNVGDVGVSSVSKACSSSLKTFKLLDCYKIKDDSILSLAEFCNNLETLIIGGCRDISDESIQKLALACKSNLRTLRMDWCLNITDSSLSCIFTHCSNLEALDIGCCEEVTDAAFHSLGSDGIEVNLKVLKISNCPKITLATISILVDSCNSLEYLDVRSCPHITKAGCDEAGLQFPASCKVNFAGSLCEPDLYL >KGN51603 pep chromosome:ASM407v2:5:20739056:20739340:1 gene:Csa_5G583330 transcript:KGN51603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKPQSSGRDSQKACLHEEINEPPVHAALFNQLLLFPLSLVTRIVPSRTTSLPVCLIYSASL >KGN51946 pep chromosome:ASM407v2:5:22808766:22813733:1 gene:Csa_5G606370 transcript:KGN51946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFENEFKKQIVPEWADAYVDYDGLKRLLREISCERQSRVSFGRSKKKPIVNGKCRELTSQPRKCQIIKDIENQVGDVDRSLQNDHLQLSKACSHSKFQEISEIEMAFLRKFDEELIKVNSFYKENVEAVTEEASVLSKQMKTLVALRRKMEVAPLNERHDSHAEVSTIPLSSTFQTPCPSGSVHLDSAVETDANYQHEQKESHWGSELDEVHTEASGNKHVEEVTTMENNQYSQEILKHVKVVDVFSSHKSTSKDICKSSKDDDLDVDQDGRSKIEEQLKKAFAEFYQKLHSLKQYSFMNLSAFARIMSKYEKISSKTAAKSYMEIVDNSYLGSSDEVADLMKMVEINFVKNFSNSNYAEAMKHLRPKTKREKHSVIFSSGFLSGCTVALFVATVLKIASQKLMEREEGTHYMENIFPLYSLFGFVVLHMLMYATDLYFWRRCRVNYPFIFGSKRGTALGWQEVFLLSAGFAVLASASFLANLYLDRDPSTQKYRTEAEKVPLFTTALILLITFCPFNILYKSSRFFFIRCILRCISAPLCKVKFPDYFLADQLTSQVQASRCIVLYICYYGLGEYSRKQNKCHTRGVYNTLSFIIAVIPFWMRFLQCMRRLLEEKDSMHGYNALKYLSTIVAVLIRTACELRKGATWMVLALISSVVAVLVNTYWDIVVDWGLLRKHSKNKYLRDRLLVSNKSVYFAAMVVPS >KGN52212 pep chromosome:ASM407v2:5:24384068:24387701:1 gene:Csa_5G615830 transcript:KGN52212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILAPISGAEAAADKEKGGALVQSTAELDAGALFVLKSRGSWWHCGYHLTTSIVAPALFSLPFAFRLLGWVGGSICLLLGGVVTFYAYLLLSLVLEHHAMQGSRLLRFRDMATYILGPKWAIFYVGPIQFGVCYGSVVAGILIGGQNLKYIYVLCNPEGEMQLYQFIIIFGTLMLILAQIPSFHSLRHINLISLTLSLGYSALATAASLILGYSKHAPPRDYSLQGSSISQLFNAFNGISVIATTYACGMLPEIQATLVAPVRGKMFKGLCLCYTVIAVTFLSVGISGYWTFGNKAMGTVLSNFMEHNSLPSWLLILTNTFCFLQVSAVAGTYLQPTNEVFEKIFADPNKNQFSMRNIVPRLISRSLSVVIAIIIGAMLPFFGDLMALIGALGFIPLDFIMPMIFYNATFKPSKHSFIYWINTLIVAVSSVLALIGGVASIRQIVLDAKEYRLFANV >KGN50722 pep chromosome:ASM407v2:5:9876165:9878262:-1 gene:Csa_5G218880 transcript:KGN50722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCTCSNFRSSTAPLSWTPTSSSRFPQSQFVSKLKFRNLKRMNLTKHSMVVMSVSGSNENGSLDRFPLTPNKLFMQEVIGAEYGEGFETFRPDGPMKVDVDFLNDRLQEGFLQRIRYAMKPDEAYGLIFSWDNVVADTQTLKLNAWKQLASEEGKRVPEDGDIQKLMLYEGADQVLQKKGVGNAKL >KGN52172 pep chromosome:ASM407v2:5:24118165:24122279:-1 gene:Csa_5G613500 transcript:KGN52172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLLNSTKGLQMMAAEDKKRVVIVGGGIGGAFVAYSLQFVADVVLIDQKEYFEISWAGLRSMVEPSFAERSVINHTDYLPNARIIASSATSITDKEVFVSDGSSVPYDYLIVATGHKENIPKSRTERLGQYQAECEKIKSADTILIIGGGPTGVELAAEIAVDFPEKNLKLIHRGPRLMEFVGVKASQKALDWLTSKKVEVILQQSISMQALSEGVYQTSGGETIAADCHFMCTGKPIGSQWLKETVLAKSLDIHGRLMVDKHLRVRGFKNVFAVGDITDLQEIKQGYLAERHAHVTSKNLKLMLAGANESRLATYKPGSQLAIVSLGRKEGVAQLPFITISGCIPGLIKSGDLFVGKTRKELGLAP >KGN51394 pep chromosome:ASM407v2:5:18676903:18678982:-1 gene:Csa_5G525610 transcript:KGN51394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIAVGKFTKDENDLFDIMDDWLRRDRFVFVGWSGLLLFPCAYFAVGGWFTGTTFVTSCQKRDSSNLKMWSRIGFSLKIS >KGN52406 pep chromosome:ASM407v2:5:25841401:25842288:1 gene:Csa_5G631560 transcript:KGN52406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKSLQLLFLILASIASHVISKHNSILSPRRLYGCRKDDNVEGIHSIKKYLQRYGYLSHNTSTDSNIIELNSNKFDDSLESAIRLYQKWSHLNVSGIIDQETLDQMFQPRCGVPDVFKFNSSKNLEDDLEMSSHYALFPNNEKWPDYKRHLTYMFTNNFPIDFVPSMTEAMARWAAQSLFTFSEASDAQSADINISFQVKDHADGFAFDGPGGVVGHAFAPTDGRLHLDGDDTWSAGLEEKKVNVMNAALHELGHVLGLAHSTLPQAVMWPYIESNALKNLNDDDIAGIHALYP >KGN51344 pep chromosome:ASM407v2:5:18307744:18308965:-1 gene:Csa_5G522900 transcript:KGN51344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLFLILRHCSINNLLEEFEYDSTHPINLGIGFKEDEIPNFIGSFYSKTKAMTNLSFENGCCPLWTVEFSFKPSWEKDCIW >KGN49754 pep chromosome:ASM407v2:5:2911338:2911736:1 gene:Csa_5G107030 transcript:KGN49754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPIWATGIVFAAVYVQMPTLSVEQGTMLNKTIGSFRIPADSVSSSNVVNVIFWVTVYDRFIVLIARKVTGKERGFTEIQRMGIGLFISVLCMSAAAMVEIKRLELGRELDLVHKLEAVPLSILWQIPNISC >KGN50877 pep chromosome:ASM407v2:5:12593771:12594390:1 gene:Csa_5G310305 transcript:KGN50877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPKEPKPTPPLSFHGLHFYQSPCLFGSHGIPTTSSSPNKNHTPISLLPLLPLLLLPPLICHCFPSQFVLGDDG >KGN50607 pep chromosome:ASM407v2:5:8592336:8592803:-1 gene:Csa_5G190510 transcript:KGN50607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGLCVRSSSLFFNVCLCATAFPFVSFQSSVWLKLSSSVCHRSIVGSASIVKVHLSVRALKIFPYSRRTPVIHFLHRHRTRLRIATVSRTSNVSIGSVMSMMRSTSAVALRGLRRFSPRSSISSPINQAPELPNFVSGKFIFPFLLLSLSFHFEF >KGN51659 pep chromosome:ASM407v2:5:21102284:21105947:1 gene:Csa_5G589270 transcript:KGN51659 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbonyl reductase MDSKQFDLSSSLPSHRWWSKNTVAIVTGANKGIGFALVRKLAQSELTVVLTARDEVRGLKAVETLRNEGLGHVLFRRLDVSDPDSIVAFAAWFGSNFQALDILVNNAAVSFNDIYENSVENAETVMKTNFYGPKLLIEALIPYFRSSSSKTRILNITSRLGTVDKVRNVKVKEILESKDVSEEDIEGVVNAFLEDVKTGTWKKGGWPALWTEYAMSKLALNTYTRVLAKRYGVYGSVSVNSFCPGFTQTSMTGGKGTHTADAAALVGSRLALLPPHLLPTGQFFFWGPNYTVPRKSKL >KGN50454 pep chromosome:ASM407v2:5:7369716:7372010:1 gene:Csa_5G175780 transcript:KGN50454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQIFYSDEAASRNHGSRNKSNQPISHSPEFMSLHSTTRSTVCKFSASQTDLIAREFAHSLIAWVVGKEIRPLKLARHLYRHLRLTKLPDVFELGLGYFVLKFCETDFLAIEDNPWPIPNLCIYAFPWTPNFKPSEAMDSAIDCWIRLKELPIEYYKEDILRDIGKTVGEGLVKIDPITKDRKKCKYARICVRINVYEPLPSSIRIGKILQEIEYEGFDLLCPRCECVVHLKHDCLNSSGSSSSFESHHPRDGSNSKQPLVSSESSVAWGSRYEVPGTESKSSLQNLKALSTPSMGGSEKAATRISSSSLLPQLSGLLTEPLEKQKEKCGGSFETFPNLPKEDLPRALSISSNLEESSSSTISVPVLEHKNLNLSMVLAPLPAENPFTPAETRCSTKLEVYNNQPQPSSSPLAASVSTQPPSPSSKTIPTFCSSGIARSILKKNITSTSSQGFGINRRPIFYTIPESIKSFEVGLSENPDSAPKQNQFSISFVSTPRSGTKVISALDSKKMLGWNFRGMDNVNLIEGLNYMVQKYEPSIVVIFGTRITDNVVEEVVDKLAFPGSYIKKFDNYHGGVWLFMFREDVQTEVFEVNSYSTKQVSASTYFQLEIDEPMARHSYPDMIKTSLQTWGLDSFHDATYSRGNALAY >KGN51070 pep chromosome:ASM407v2:5:15507356:15509048:1 gene:Csa_5G428440 transcript:KGN51070 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose-binding type-2 ribosome-inactivating protein MRVLLAFIVTLSIATNALSKRPVVDVYQSYIQTIRQKFGSKTHKLYGIPVLHHSLSNSDRFHLIDAGNEPGDTITFAVDAQDMSVVAYLAGDNDSYFFSNAPKFAFDILFPKTNQNLLNFDNSFKSIEIAANTTREATPLGLKPSNAAIANLFHYDPVLAPVSFLIVFQMVFESAKFKFIEQRIVNSITNGEAFTPDLAMLSLEDNWSELSLEIQASNSLQGLFGSSVTLYNSKNEAVEVDSIYYPLILSNLALQLYHCNIQDYIKMPTATVADHQNPRCYVKERTVRISGQDGLCADVARDGSHVISSPCGQQANQQWTFHRDHTIRSSDKCLIPNKSKANPLAVIQNCNKVSQEDATWDVSISGTIMNPAYDLVLTSKNGINDNSLSMKKNKYCGNQGWRVGNYVEPIIASIIGVKQMCLEATEENTNIWLEECVKNKIEQSWAVFSDGSIRVNNDHSLCMTASSIESKQRIVIAKCNGLASQRWVLKADGTISTPKYEGLVMDVAQSNVDLKEIVLYPRSDLVSQHWVALY >KGN51883 pep chromosome:ASM407v2:5:22478342:22483667:1 gene:Csa_5G604280 transcript:KGN51883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIGDDHGRTNRWEGYVDWRKKPALRGRHGGIIAASFDLVVEVLENLAFLANASNLVMYLSHYMHFSPSKSANNVTDFMGTAFLLALLGGFLSDAFFTSYHIYLISAAIQLLGLTVLLIQAKMPSLMPPPCDRQANHNAVCEEASGGKAAMLFAGLYLVALGVGGIKGSLPSHGAEQFDDSTPQGRKRRSTFFNYFVFCLSCGGLIAVTLVVWVEDNKGWEWGFGIAMLSIFLSIIVFFAGSPLYRNKIPDGSPFTTISKVLVAATFGCCIGTNSRNSIASMAMSPALDDKEAKQNAKEIDTTDHMMNEPSESLKFLNNAVLNKPFHSALECTVKEVEEVKIVLRILPIFACTIILNCCVAQLSTFSVEQASTMDTKIGSFKVPPASLPIFPILFIMFLAPIYDHLIIPFSRRITKTETGITHLQRIGVGLLFSIGAMAVAAAVETKRKRVATENGLLDSAQPLPITFLWIAIQYLFLGSADLFSLAGSLEFFFTEAPASMRSLATSLSWASLAMGYYLSSVIVSIVNNVTDHSDHQPWLSGRNINHYHLERFYWLMCVLSGLNFVHYLFWAMQYKYRPKSQQ >KGN49832 pep chromosome:ASM407v2:5:3403125:3404828:1 gene:Csa_5G139110 transcript:KGN49832 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin fusion protein MAKFEAAFLMLYIEREAEKSRKRIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGIIEPSLMALARKYNQDKMICRKCYARLHPRAVNCRKKKCGHSNQLRPKKKIK >KGN51245 pep chromosome:ASM407v2:5:17527617:17531717:-1 gene:Csa_5G503580 transcript:KGN51245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLIESEEVRFKRTGLSASDYDASLPIKKRRFPVVQFPPSPSKDLPSFHSDGNLLKAEQLSPPKVSSSNCNESLIKTEQPSSPKEPSSFNSNESLLKTKQPSPSKDLSSFNHNENLIKTEQPILSMSIVSSSSVVTSSALLNNDQNNVSEEKKGKSDTDSCCEDIVQSDIGTAGVKFQEPTLGGHDYISCFDEYEGKSLVTVKHTIRKSPEIYGGSNRSSTSLYSDPLAGNKEEGIDVKMPEENCSPPICEVGGGAGVSVGLNCHMDLKLVPEKSDLNFLKQNSVEPVLLDLSLNKHGSSTQCVKDNVGSDCDGPLLQLNREKWDLNTSMESWEGCTGGDSPVVQMSATQTNTTIETHACPSEMVESDSPCGKQTLLDGEDKGNSIYDCMPSKENLDLSLDSSYLKPVQPVLEEDPYISEYESDGNWDIAEAVDDDDNDNHLEEDYEDGEVRETLQESEVEVLAYEKREIEPLDHAGCDDKKINSIRLPDHELHALGPLEQETKPENLDLRSEDDVRTTTNSKSYEQENEDLCVKELHAVENTISGDVNKAVKVTGRGQLFQFDKKHNFEAQDTADEMVDEELIPTFSQGEVENAVAVDVVQNRDLTLPTVKESVNEDDAKDINGGTRNSRIINFNRASIDSTPCKEKSSFSRSVLSHKEREFVPNMAVEGANMQPQERDDAYSNITKKISIDKREGQPPLMGFSHRRGRSSNRLDHRSEEWDFGPNFSPETYSEQQIDYHVPGLDQNRYKITPDGPFGGANRRGRELLEDEEPFFFHGPSRRKSLGRRHGPNVGGGKMVYKIPRDFSPGRCMDEGGSFDRQHGEKFSRNFADDTVDLMYPRPQPPYDIDKPFFRERRNFSFQRKSFPRIDSKSPVRSRARSPGQWFSSKRSDRFCERSDMTHRRSPNYRSERMRSPDQRPIRGHMPPGRRQGFHFLSASDEMRDVGPAPDHGHMRSIIPDRNQTERLPLRNRSYDAIDPQGRIENDDFFYGPPVRLGQLTGYNDGVPDDDERRFNERHEPLYSFKHPFGDSDGERFRNNREDCSRPFRFCPGNDPRISWKRR >KGN52643 pep chromosome:ASM407v2:5:27489586:27490327:1 gene:Csa_5G648640 transcript:KGN52643 gene_biotype:protein_coding transcript_biotype:protein_coding description:MRGH14 MVPSSPSSSAASSSSPSSSPSIGKWKFDVFLSFRGEDTRGGFTDHLYKALTRKGISTFRDENEIEEGEHIPSNLLASIDASRFAIVVVSEDYASSRWCLEELARMFECKKEVLPIFYKVDPSHVKNQSGTFEEAFVKHEKRFGRGDGKVQSWRTFLTELANTKAWLSQSW >KGN50341 pep chromosome:ASM407v2:5:6611672:6616698:-1 gene:Csa_5G168790 transcript:KGN50341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSSSSSSSSSSSFPPLSSSQSPSLQRSASSIQNSEAERRLREAEDRLREAIEELQRRQRKVAACGSHHHQTREKPPPCDHAAYESSCVANAIGNLCQTFLLSYGVRVGIGILLRAFKLARRQSYSSIIDLKQLVSEKDLIVREEACRIGLLFGGFTGSYHALRCLLRKWRKRETPFNVVLAGSVAGLSVLALDDSNRRRTLALYLMARLAQCAYNSAKSKNKFHLWGSHWRHGDSLLFAMACAQVMYSFVMRPESLPKAYRDFIQKTGPVAEPVYKAVRDSCRGYPVDVAALSSYLSRRQITGYENLEELPSIIPCSIIHPNTKSCLAHNGKAASATFKKTFPLYFSLTFVPFVVLHLQKFMDAPGRTCWLAVKGAVRSTSFLSAFVGIFQAVICLHRKVATKDHKLVYWVAGGISALSVLLEKKGRRSELALYVLPRAVDSWWYILVNRHLLPNIKNAEVFLFAICMGGIMYYLEYEPDTMAPFLRGLIRRFLASRISNSTTSSSRDVSNSYLNTLGAMKKPNLEDNREAEAARSKKYNLESIPGL >KGN50672 pep chromosome:ASM407v2:5:9321076:9321995:-1 gene:Csa_5G209490 transcript:KGN50672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLIGIALPNHNNNTNSNNNNNNHNRVKGSWSPQEDATLIKLVEQHGPRNWSLISTGIPGRSGKSCRLRWCNQLSPTVQHRPFTPAEDALILQAHAVHGNKWSTIARSLPGRTDNAIKNHWNSTLRRRRDADLSSDSTAFLKRPSYEVSRSASDDDDNDDDDSEASLKRTCFDRNSVGGGEPETSLRLSLPGEVVVAAEMDVKVKEEVTVESEKNDGRRRVVAAAEEEKGNRKKEVDESCLATIMQRMIAQEVRNYIDSLRARGGLGIGPGVGPGLDPAAQETP >KGN50884 pep chromosome:ASM407v2:5:12665295:12668878:1 gene:Csa_5G312340 transcript:KGN50884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFHIVLERNMQLHPRHFGRNLRENLVSKLMKDVEGTCSGRHGFVVAITGIENIGKGLIRDGTGFVTFPVKYQCVVFRPFKGEILEAVVTMVNKMGFFAEAGPVQIFVSNHLIPDDMEFQSGDVPNYTTSDGSVKIQKDSEVRLKIIGTRVDATEIFCIGTIKDDFLGVINDPSAA >KGN51881 pep chromosome:ASM407v2:5:22460304:22461988:-1 gene:Csa_5G604260 transcript:KGN51881 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox protein MDSETTHFLSKPEASSLPCFWVSDSCSSMKGEGTTLGGKKRRLSVDQVRLLEKNFNDENKLEHERKVQIAEEIGLRPRQVAVWFQNRRARSKMKRIESDYECLSAEYDKLKSDFDSLLNMNHELKAEVDQLRTTWAAVEKMKNHFEPVGVEAMDSSVTKLEKANAKTMGEILYKVQMGSSRHEEGSLSSSKSDGFYSESPTRDNQSKSANFLQDEEDELGCLGKLEDELSANELMNSFNILSSAVENQSFCFWS >KGN49769 pep chromosome:ASM407v2:5:3002176:3004240:-1 gene:Csa_5G115150 transcript:KGN49769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIAILFFFRFLCCCFFFYAQVFISIDAKCHPDDLKALKSFVNRLHTPVQGWDYGSSSDCCSWKGVTCSNPPALKFNDSNVFSRVVGLELPGERLRGNVSESLGDLVQLKTLNLSDNFFTNSFPSNLFSLQNLEVVDLSSNNFYGYAPLNITSPSITFLDISKNKLIGEVDPDLFAMSKLKVLDLSDNAFSGELSFQLGNLSNLLYLDISFNQFSRLLPDVFFNLRTLEQFAASSNNFTGVLPVSLGNSPSITTLSLDNNSFSGSIDVINCSAMVRLASLNLGSNHFIGQIGSLSSCSQLRVVNLGKNRLDGDFPESFKNLRSLSHFSISGNGIYEEIMPTNLNLRFENTRLFVLANCRLTGSMPPWLSSSTKLQILDLSWNSLSGEIPSSIADLQYLFYLDLSNNSFSGSIPRRFTQFHSLVNLKNTFKGEIFEGFPCYSRKHRFTVRQYKQVLGFPPLVDLSYNELSGMIWPEFGNLKILHVLDLSNNKLRGEIPSSLSKLTNLEFLDLSSNNLTGTIPPSLENLSFLSMFNVSDNHLRGRIPCEGQFETFLNSGFVGNDELCGCQSVRCKEESRGEENWIGEDEEERWKCVIRVPMYVGGAVGFVSTVGVCFWCGMVFPRERKWGHKKYVHVYRYKIFVDF >KGN50045 pep chromosome:ASM407v2:5:4706206:4708481:-1 gene:Csa_5G151610 transcript:KGN50045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEHHDHEHEHEEPKGESLLDKITEKIHAHDSSSSDSDDDKGDASASIKSKIYRLFGRERPVHKVFGGGKPADIFLWRDKKLSGGVLGGATIAWILFELLEYHFLTLICHIFMAALAVVFLWSNASFFINKSLPHIPQVHIPEGPVVEIASALRVEINRAIAVLREIASGRDLKSFLYAIAGLWVLSIVGSWFNFLTLLYIGVIVLFTVPLFYEKYDDKVDAFAEKAMAEIKKQYVVFDAKVLSKIPRGPLKDKKIA >KGN50338 pep chromosome:ASM407v2:5:6602724:6602969:-1 gene:Csa_5G168765 transcript:KGN50338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYKTWRNHNPPPMTVIRERITITLRWLELESGSDDNLNRSVVRLWKGGKFWSIGFGNAPPQAPLCTSRSHKQTRLFIAMC >KGN50844 pep chromosome:ASM407v2:5:11916100:11916557:1 gene:Csa_5G286080 transcript:KGN50844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPKQASFKISVNTSKEIEESRLRRREEKIIKCERTKASGASREKKKFRPDCDPPLVDPMSSNGKSFPITPLKALTPFSNASSVPSKTSSRRVPNSKSYSILHSSSSISSLAKLS >KGN49947 pep chromosome:ASM407v2:5:3973133:3975710:1 gene:Csa_5G146200 transcript:KGN49947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFECIHVDSPKRSHTLDVSLTRKVAAEFVGTFILIFGATAAPIINQKYNSPMSLIGNAACAGLAVMIVILSIGHISGAHLNPSLTIALATLRHFAWAHVPAYITAQVSASICASFTLKGVFHPFMSGGVTVPSVGTGQAFALEFLITFNLLFVVTAVATDTRAVRELAGIGVGATVMLNILIAGPSTGGSMNPVRTLGPAVAAGNYRELWIYMVAPTLGAIVGAGTYTAVKHKDDGIDVLPEVSSFRR >KGN52545 pep chromosome:ASM407v2:5:26880429:26881091:-1 gene:Csa_5G643240 transcript:KGN52545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNNSNKMSFQAGEAKGQAQEKASNLMDKASNAAESAKESIQETGQQMMAKAQGAADAAKDAVNK >KGN50169 pep chromosome:ASM407v2:5:5539360:5541564:1 gene:Csa_5G157280 transcript:KGN50169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTELWSASNTNLQTYIVHVKQPEVEILGDTIDLQNWYTSFLPETIEASSNEQSRLLYSYRHVISGFSARLTKEQVKTMEEKDGFISAMPETTLNLHTTHTPEYLGLNQHFGLWKNSNFGKGVIIGVLDTGIHPNHPSFNDEGMPSPPAKWKGRCEFGASICNNKLIGARTFNLANNVSIGKSPNDENGHGTHTASTAAGTFVKGAEALGNARGKAVGMAPLAHIAVYKVCSPKGCSSSDILAALDAAIDDGVDVLSLSLGAPSTPFFKDTIAVGAFAAIKKGIFVSCSAGNSGPSKNTLANEAPWILTVGASTIDRKIVALAKLESGKVFTGESLFQPRDFSSKFLPLVYAGKSGIEGSEYCVEGSLEKLNVTGKIVVCERGGGIGRIAKGLVVKNGGGAAMILVNQKPDGFSTLAEAHVLPTTHLSYEDGLKIKEYINSSHNPKASISFEGTLLGNRATTFSPAMASFSSRGPCQASPGILKPDITGPGVNILAAWPFPLNNNTNTNTKSTFNVISGTSMSCPHLSGIAALIKSNHPNWSPAAIKSAIMTSADVRNPQGKPIVDQDLKPANFFAMGSGHVNPSKAANPGLVYDIQPDDYVPYLCHLYTDAQVSIIVRRQVTCSTVSRIREGDLNYPSFAVSLGADSQAFNRTVTNVGDANSVYYAIVKAPAGVSVRVTPRNLKFSKLNEKLTYSVTFSRIDFVRTRSEFSEGYLIWVSNKHIVRSPISVKLT >KGN50816 pep chromosome:ASM407v2:5:11456116:11470591:-1 gene:Csa_5G272400 transcript:KGN50816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLVIAVANFVFRFDSMVRESELAAILKVHHCCHNESILADEMGLGKTIQAITYLVMLKYLNNDSGPHLIVCPASVLENWERELKKWCPSFSVLHYHGAARSAYAKELNSLAKSGLPPPFNVLLVCYSLFERHSSQQKDERKILKRWQWSCVLMDEAHALKDRNSYRWKNLMSLARNAKQRLMLTGTPLQNDLHELWSLLEFMMPNLFATEDVDLKKLLTAENNSLINHMKFILGPFILRRLKSDVMQQLVPKIQQVRYVAMEKQQEDAYKDAIDDYRNASRGRVGRNGNTNSDNIYNILPRRQISNYFVQFRKIANHPLLVRRIYKDEDVTRFAKKLHPLGAFGFECTVERVAEELKSYNDFSIHRLLLSYGITDRKGVLSDNEVLLSAKCRELAQLLPSLKRDGHRVLIFSQWTSMLDILEWTLDVIGFTYRRLDGSTQVAERQTIVDTFNNDTSIFACLLSTRAGGQGLNLTGADTVVIHDMDFNPQIDRQAEDRCHRIGQTKPVTIYRLVTKGTVDENVYEIAKRKLVLDAAVLESGIEMDNERESSEKTMGEILSAILLG >KGN50059 pep chromosome:ASM407v2:5:4786118:4786963:-1 gene:Csa_5G152240 transcript:KGN50059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKVVVQLDVHDDKGKRKALKSVSVLQGIESIAMDIKDKKLTVIGDVDSVDVVAKVRKHWPNAEIVGPAKEEKKAPQDTKPKEKGESGKIETFLHCTKAMAVFLLDIVTAVCVV >KGN52151 pep chromosome:ASM407v2:5:24021812:24025828:1 gene:Csa_5G612310 transcript:KGN52151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRISSSSSSSSSSSSSCVGSDSGIHNNNNLTPAPQSEKTRAKRRRRNQDETKCQIHNHNANETNNPAAAASASASASSARRSSIYRGVTRHRWTGRFEAHLWDKSSWNNIQNKKGRQVYLGAYDNEEAAARTYDLAALKYWGPGTTLNFPVESYTNEMEAMRKVTKEEYLASLRRRSSGFSRGVSKYRGVARHHHNGRWEARIGRVFGSKYLYLGTYNTQEEAAAAYDMAAIEYRGANAVTNFDISNYIGRLENKSSLLQEEATQQTDDPNYSPVSSEGEVVQQQQQDQQQQQQQQQTTFSSPPDLHFSIENNPAMVIMDEPPTQDDHDLHWSFLDTGLFVQVPDLPLEKSSELADLYFDEIGFEDDIGMMFEASLENNNCEANNNNNNSSNSNKNNNNNNNNVGKMEVNCSEKIRLFSTTTSPSSSSITTSVSCEFRV >KGN52589 pep chromosome:ASM407v2:5:27183767:27190650:1 gene:Csa_5G645140 transcript:KGN52589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCWTKLFNSGQEDYAEVQNVDSKNVHLITSMEKWEAKLLEATEDGKIVIANFSAHWCRPCKSMTPAYCELADKYTSMVFLAIDVDELAELSTSWDIKATPTFVFFKDGRQVDKLVGADKSDLQQKIAAMDESGVAQKNYSS >KGN50733 pep chromosome:ASM407v2:5:10077611:10083125:-1 gene:Csa_5G221950 transcript:KGN50733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPNPTNPMASSSNPTPPFRGSFHRRAHSEVHFRIPDDLDLVSDPFDAPSSGFEDLGFEDDLLCTFMDIEKIGSKIDNGSSSNPAMAAGGTGGVNVEGEKISRPRHRHSNSADGSSILESIEAKKAMDPDKLAELWTIDPKRAKRILANRQSAARSKERKARYIMELERKVQSLQTEATTLSAQLTLYQRDTTGLSTENSELKLRLQAMEQQAHLRDALNEALKKEVERLKIATGEVMTATDSYNFGMPQVSYPQSSFSHQPQPGRHNPQRMTMQRPQVQPFHSNLPNPHQALFVASHQPHALTEMFQQDPITRLQGLDIGSRGTEIKPEGPSISVSESSSTF >KGN50210 pep chromosome:ASM407v2:5:5808029:5810833:1 gene:Csa_5G160130 transcript:KGN50210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALSFGFTPTTIHLPSTSIRSRRIPQTITCVGWDPEGLFGKPQTGHIARNEFKRRLEKDAEAREAFERHVREEKERRKTLRESRVIPGNVTGLIEYFLDTEAQDIEFEIARLRPRLNEEFFSRVKLELGELRFAVNKTEAMEDRVIELEALQKALEEGIEAYDKMQRELVKAREGLTKILTSKDVKATLLDMLERNELNRSLLALLDENIANAQMGNQKQAAAFMEKVRGAVLKYMTA >KGN50118 pep chromosome:ASM407v2:5:5197841:5198795:1 gene:Csa_5G154800 transcript:KGN50118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAHKVFGKEDGIFCPKWLNVSFSPISLFFGGEAACFPCMTADSKAETFTLWMKSLIMQGNGLTVFNENGQIVFRIDNYDEKSSREVSLMDLGGRLLFTLRRKSIWFVCDWEGFKEDGNVNNEERKPFFRVKKVLGFFSKKLSCDVKFYGDESPASLCYRFEGLWGKTTFRILDNRGGVVAEAKRKQSSIGVLLGEDVLSLVLVQTNLDHSLLMALVTVYLLIQHRI >KGN52336 pep chromosome:ASM407v2:5:25183939:25197328:-1 gene:Csa_5G625960 transcript:KGN52336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEENAIELLQRYRRDRQTLLDFVLSGSLIKKVVMPPGAVTLDDVDLDQVSVDYVLNCAKKGAMLELSDAIRDYHDLTGFPQMNNSGSGDEFFLVTDLDSSGSPPKRAPPPAPAFTPPPVYTPPAVIAPPPMATPSLIERNVSRSESFESSQARELTVDDIDDFEDDEDVEVNSVRMSRRNPNDPADLALKLPSFSSGITDDDLRETAYEVLLACAGASGGLIVPSAEKKKDKKSKLMRKLGRSSKSGIVVEPHRAPGLVGLLETMRVQMEISESMDVRTRKGLLNALSGKVGKRMDTLLVPLELLSCISKTEFSDRKAFLRWQKRQLNILEEGLINHPVVGFGESGRKASELRILLSKIEESESLPPSTGELQRIECLRSLREISISLAERPARGDLTGEVCHWADGYPLNVRLYEKLLASVFDMLDEGKLTEEVEEILELLKSTWRVLGITETIHYTCFTWVLFRQFVITSEQGMLQHAIEQLKKIPLKEQRGPQERLHLKSLHSELEEEGSSRESSFLNSFIVPIQGWADRMLGDYHLHFSEDPRKMGNIVTVAMLARRLLLEEYETAESMSRTDKEQIEFYIISSLKSAFSRVRKNYYLCFTFWLMHDEWTCVLEIVV >KGN49646 pep chromosome:ASM407v2:5:1439763:1440296:-1 gene:Csa_5G044000 transcript:KGN49646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRREKEKNKMDKDNEKKKALTKKQMLKNKNKEKDEYEAKKKPVRQKRIRRKGKVRKWCEVLKLLHEMRFFLEDATVPTFITTLFDGEDVDFNARESNRLYDLHNDVNAKGNRKIVYPTTTKFNDVLIVVVELRTGWDITP >KGN51706 pep chromosome:ASM407v2:5:21395356:21397058:-1 gene:Csa_5G590720 transcript:KGN51706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVQIDIVSKEIIKPSSPTPDHLRRYCLSFLDQVTVDVYNPMVYFYVAGSATTPVEIADRLKKSLSDFLTYYYPLAGRLNSDELFIDCNDDGVPFIETSVNCPLSDVMNTSFPSELNKLLPFELDKLDDVSMGVQLNVFECGGVAVGICVSHKISDALSFFIVVNEWAAYCRGEKEAVRVHLSSAEVFPPTKTKVHNTRTSIFRQRVGRRYHIDAANVETIRAKYADCPAMENQRRPSRVEALSTFIYSRFIAAIKAVSNDRMENGSSDSEKKIFLVCHSVNIRSRLDPPVPDYAFGNYYRTTFAVPSEEVLNDEYCYDLVKQVREEIGKINKDYLKRLQDSSKFIESMKKTASQFATGELISCSFTSLCRMPIYDIDFGWGQPDWISSPALIFKNLFVFIDKKDGDGVEIYVHLTEEHMKKFEADEEFLKYAKLPSN >KGN50699 pep chromosome:ASM407v2:5:9676543:9677461:-1 gene:Csa_5G217670 transcript:KGN50699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTSIQLHRLNPTANPGGGVAATTMNCHRSAAAAALAVTREKRTISNSTSTSMASLTDAAPVPVPDAVARHHAHDVGPEQCCSAVIQIIDAPVHTVWSVVRRFDNPQAYKHFLKSCDVIDGDGTVGSLRQVQVVSGLPAASSTERLEILDDERHVLSFRVVGGDHRLRNYRSVTTLHAISSSSSSSSSSNQNGSRSSTVVVESYAVDVPQGNTKEETCVFVDTIVRCNLQSLAQIAENMAKTS >KGN49650 pep chromosome:ASM407v2:5:1495842:1499056:-1 gene:Csa_5G045020 transcript:KGN49650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAPFQWSSSLHTASINLKFPIFPSSTSNFIFYYCKRSPVIDSATRRCAVYAQNSNSPRPKNSKSVVLGDCQGHELVRVSSNPIRPRNSVILSLVSLFDKRSLWRRIFFASKKVRSIILLNVVTIVYASSIPVVKEVEELVDPATFNVVRFAMTAIPFVPLVLDKWDDVEIRDAGIELGFWVSLGYLMQAFGLITSDAGRASFISMLTVLVVPLLDGLLGAIVPARTWFGALMSVVGVAMLESSGSPPCVGDLLNFMSAIFFGVHMLRTEHISRRIDKDKFLPLLAYEVCVVSILSILWYFIWRWINGTETISESWNWKTYLDWVFMFPWVPALYTGLLSTGFCLWLEMAAMCDVSATETAIIYSLEPVGIDRLDWCCPSAR >KGN52591 pep chromosome:ASM407v2:5:27206990:27210352:1 gene:Csa_5G645160 transcript:KGN52591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGLNLTKSRIEFSVFTVSYSFKNFSHCPLYVSSLSICPHSLPINHSPFSIFLSSDSSPDLSQPPPSTSNFIRKKSLEQIFGSVLVFVMESPELKKLKPFWDEAGIQNRSKWLSNSLEDMNRSVEQMLKTIKENSDSFPESVDMDSQVEEFSRLYQSLVENVLSPELQLQVPVYSDCGSPQGTPELSSDQKQGFNLSSNRGLDISFDSGGGSSSLSLKDGTESSSSSSSSDSESESFNSSVDNNYVVSRAERDGQGLKKKLLAIESELPNIKGGFWVGEEVKVNYDELHDKIAKIEEELKVSNAKLQSSENEVTRLKSEVEKNETAILLSEGLQAQLESVEKDKQVMETELQVKKKKIEQLETRISQSDSKIERLIKDLEISKEMLKSSNDKITRLTHELENTKSDHHIQIKELETAFQVSQERFHAEKEQMETDILRQVEAEKTETKALHNSQLTMYQGEISQLKEELSVRSESLADLNRNHDELKLKYDMVMAEKDEASAVVLSLLADKESRESHVNELKGQLKHLQVDKRRLIEGSERQNKEIDDLKLRLSELGEEVKMQKTMIEDGAEGKREAIRQLCFSLEHYRNGYQELREAFIAHKPRPVLSA >KGN50450 pep chromosome:ASM407v2:5:7336329:7339280:1 gene:Csa_5G175740 transcript:KGN50450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNPKSKPSAAIPSYKKYEIRHRLPNPKVAALLVIDMQNYFSAMAKPIFPHILTTIRLCRAASIPVIFTRHAHASASDNPILNEWWNGDIILEGTPAAGLISDLDREDADEVIRKNTYSAFRNTRLEDCLKEKGVEEVIVTGVMTNICCETTARDAFVRGYRVFFSTDATATVDLELHEATLKNLAYGFAYLVDCKRLGEISAMKKTGQPCNNSEVVDVEDDREARIDPQLEIVEPVIGMKFISVEETYRFYVNYATKVGFTVRKQYHKKKKNGIVSRASFCCSKEGFRQVNKRKEFVHYTRPISRTGCEASLACLLGKNGQYRVVSFKGNHNHDLGKMTLKRTINVDMNISNAQKVHDADSSRTSFGATMGLINKEVDGREGTGFVDRDYMNYVPIEKKARIEKGEVEALVQFFENKQRDNSSIFYALQHNEDNMVTNIFWTDARSVYDYECFGDVICFDTTYRSSELGRPFTPFFGVNHHKQSAIFGAALLYDETIESLKWLFNTFLSVMSRKQPKTILTNQSSTIAKAICDVFPEAQHRLCVWHIFQSAAKTLSHVFHGPNQFVQDFSSCLFDYEDEDDWLLAWQKMLDKYALTNNKWLTYLFELREKWAIVYGRQAFTADMKSTQRSEIMNEVLKKYLRPDYDILQFLQQYDRFLADRRCEEMVADFKMNHTTPILPMDTEMLLHVVDIYTPAIFKLFEKEYMNILSCSTFKMGKFDGVSEYKVLFHGSSCHWLVKYEASTQTVTCSCMKFTSTGILCSHSLKVLDRKNVKKLPASYILKRWTRDARASNSQTLEICGKSTGERYNHLCRKFYQITSLAAEHEKLFEHTSETFVQLLKDLEEMKKNLFCGK >KGN50602 pep chromosome:ASM407v2:5:8561606:8562130:1 gene:Csa_5G190460 transcript:KGN50602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFKCGQTRDARMIYDELPTIDLVSWTVMIVGYTQARQPNDGLRLFADEIRSDLLPNSVTAASVLSACSVSGNLNLGMSVHGLGIKIGLEECVVKNALIDMYAKCHTISDAYAIFHGVLEKDVITWNSMISGYAQNGSAYDALRLFNQMRLYFLAPDVITLVSTLSACATLGAV >KGN51609 pep chromosome:ASM407v2:5:20785499:20788673:-1 gene:Csa_5G584380 transcript:KGN51609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLSLFNCCNGFLENSKPYFAMISLQFGYAGMNIISKVSLSRGMSHYVLVVYRHVFATASIAPFVLFFERRGQPRITFKIFIQIFMLALLGPVVDQNFYYAGLKYTSPTFSCAMSNILPAMTFVMAVIFRMEKLDMKKLRCQAKVLGTLVTNQALKTYENHQFTLTTLMCFVGTLQAIAVTLVAEHKASVWRIGWDMNLLAAAYAGIVTSSISYYVQGLVMKKKGPVFATAFSPLMMIIVAIMGSFILAEKIFLGGIIGSILIVFGLYSVLWGKHKESLENKLSGSDDNEIPEVIKASSNSQPNTNNNNTNNTIFISMPTPENPIKPNQMP >KGN51664 pep chromosome:ASM407v2:5:21118709:21120977:1 gene:Csa_5G589320 transcript:KGN51664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATCNQDSVQDEEHIFCSQLPEVQVPYDITLPEFVLQNAESYAENVAFVEAMSGKAYTYREVLRDTNRFSKALRSLRLKKGHVVIVVLPNVAEYAIVALGIMAAGGVFSGVNPAAHISEIKKQVEVAEAKLVVTNAANFEKVRELKLPVILLEEELMEGAMNWHKLLEAADRAGNNFVKEEIKQTDLCALPFSSGTTGVSKGVMLTHRNLVANMCSTLSGVPQEMEGKVTTLGLIPFFHIYGITGICCSTLRNKGKVVVMGRFDLRTFINALITHEITFAPIVPPIILALVKNPIVEEFDLSSLKLQAIMTAAAPLAPELQTAFERKFPGVDVQEAYGLTEHCCITLNYGSIGKENLSAKKNTVGRILPNLEVKFIDPDTGRSLPKNTPGEICVRSQCVMQGYYKNEEETSRTIDTKGWMHTGDIGYIDDDGNVFIVDRIKELIKYKGFQVAPAELEAILLTHPSIEDAAVVPLPDEEAGEIPAASVVMAPNSKETEEDIIKHVASNVAHYKKVRLVHFVDTIPKSPSGKVMRRLIKEKMIEKIRADESARFIF >KGN51919 pep chromosome:ASM407v2:5:22701848:22703363:1 gene:Csa_5G605130 transcript:KGN51919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQNQLPSTQFLAKGTTFLRTCINGINALSGVGILSIPFAISQGGWVSLILLLMVAIICCYTASLLKHCMDANPLMVRTYTDIGGLAFGYKGRILVSVFVYLELYLVAVEFLILEGDNLEKLFPSSSPLFGLKIGSLKVDEGMMNYKKMYMILSAVLILPTTWVKNLGSLAYVSFGGVLASIVLVLCVGWIGATDGFGFNQRSDNDHHRVLNLHGLPTTISLFVFCYCGHSVFPMLCNSMKNRTQFSKVLMVCFVASTLSYGSMGILGYCMYGDNIKSQVTLNLPLNKISTKLAIYTTLINPITKYAAITNPIAIAIEDSLSPNFFITKKIAILIRTLLLITTLILALFIPFFAYVMAFTGSFLSVTTSILIPCLCYLKINKSAREFGWELILILTILVLGIFIGVFGTCSSVNQIVKRLS >KGN51321 pep chromosome:ASM407v2:5:18138684:18141432:1 gene:Csa_5G517190 transcript:KGN51321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPTPDEHQQHKLDLESQVMKLQAELHGEQALNKALHWALHGPLLSHPHVSSTLPPQVQLVMKELGAVEREIDRLEKKVEELKFNLYKEKEQNKEWEIQQRLRSLCQQNLLLNGPENNSNSQINGQRSRSQHYDELRKDIMLSERRFSSSAASDIQITMSSTGARKNMTRSRNQSQFDKGPCIETPNEISEQLIKCLISIYLDLNQPSNNSQTSPNIPKHGLSCINSKRSIAKTSFSCKAPQLTLSFDYSSSNPNPNPNPYSILLDSEGTVRDIGPYKNFIHITRTSFDIRRLPECSPSIRKLRVLIHKLRSVDLTFLTYKQKLAFWINIYNSSIMHAFLEHGQPSTIEKLLALMNKAALNVGGIVLNALAIEHFILRHPSEAETKYPLDEKEMLLRHAYGLGYPEPNVTFALCRGSWSSPALRVYTAEDVVNELGLAKVEYLEASVGMTSKKKIMVPKLLQWHMKDFADDMESLLEWIYSQLPRSATLKRSIMECLNGETKSPVNKMVEIQPYDSEFRYLLPM >KGN51456 pep chromosome:ASM407v2:5:19481858:19483394:-1 gene:Csa_5G550200 transcript:KGN51456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTRFRRFLTAVKENCSVRYAKIVTASGYSDVDLIVIKATAPNDSPLPEKYVQELLKIFAFSPPSYRAFSLSFSRRFRKSHCCGVQLKCLLLLHRLLQSLPDNAEFRLHLLRSRSNGSISLYHCHSRQDEDYDTFIRSYARFLDEALNSDLSYYTKTLDDSHVHNSIGTISSRINEINRVIETTTQMQNIIDRVIDCKPVGRTSQSFVVRLAMKNIIRESFYCYHSVCRDLDSIEDSLLQLPYRSSVAAIGIYKKAAIQANQLSELYDWCKLMEVCSAYEFPDINRIPESRIQGIEATVRRMWEVTESSSSSTSSGASGRKGAVVRSEWEKFENGVKPALMELEERSWEDLLEASVSFTMEWNSLNWESNGEGEIIEFINTSSQLNPFNAYSFHQHSLRINTTPK >KGN51858 pep chromosome:ASM407v2:5:22328313:22329421:-1 gene:Csa_5G604030 transcript:KGN51858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSVDSCCYFSYLKVLLGAVVVGLFVWLLVVGSSPPPPGRTKSWQAAAESVHQVEGRHAMKEAEDQLAINNHPKLLDPNFMSKVRVPKGPDPIHNRRIGVKGGPPRGA >KGN52089 pep chromosome:ASM407v2:5:23658353:23658886:1 gene:Csa_5G609750 transcript:KGN52089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPKSSEINGPRPSPLLIHNHSRLIRKPVQLRQPLIIYTHSPKIIHTHPKDFMALVQRLTGCNPPPPLPLPSAKPSLSERNLNDNDSSSGVTTEEDINEKQSNCSVLKYSSHDVTTPFSSPAFLSSSLSPSFMDFLKALPEF >KGN50482 pep chromosome:ASM407v2:5:7591057:7605692:-1 gene:Csa_5G176530 transcript:KGN50482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAASKASNNIVTFDHKRDAYGFAVRPQHVQRYREYANIYKEEEEERSERWNSFLERQAESAQPLINELSDKKAPHVEVVKEEIDSSIDEDGKREDLNSQDSGFDDNNVSQNANGLKNEDGSEKDAKTHKIQIWTEIRPSLRAIEDMMSVRVKKKKDLSNHNHDTGTRKLLSAIEEAKSPRGVSEEESEDEFYDVEKSDPAQEAPSSDNVNGPVVGIPAFLLPVESSCPWREELEVLVRGGVPMALRGELWQAFVGVRVRRVEKYYTDLLASDTNSENNTESHSFHSDSNIKGSSDSMCTTEKWKGQIEKDLPRTFPGHPALDVDGRNALRRLLTAYARHNPSVGYCQAMNFFAGLLLLLMPEENAFWTLMGIIDDYFDGYYSEEMIESQVDQLVFEELVRERFPKMVNHLDYLGVQVAWVTGPWFLSIFMNMLPWESVLRVWDVLLFEGNRVMLFRTALALMELYGPALVTTKDAGDAVTLLQSLAGSTFDSSQLVLTACMGFQNVNETRLRELRTKHRPAVVTAIEERSKGLRAWKDSQGLASKLYSFKHDSKSMIIQTKNSSQANGDLSRSESGSTNADEIVISLTGEDEIDSVPDLQDQVVWLKVELCKLLEEKRSAILRAEELETALMEMVKQDNRRQLSARVEQLEQEAAELQQALADKQEQETAMLQVLMRVEQEQRLTEDARRFAEQDSAAQRYAAQMLQEKYEQATSALGEMEKRAVMAESMLEATLQYQSGQLKAQPSPRSVQSPRSLPSESSLRSSQESAQDFPSRKIGLLGRPFGFGWRDKNKGNPNEGSKSTDEETSIQKKTTEEEAQNSGADQKQTNGLHDE >KGN51367 pep chromosome:ASM407v2:5:18485192:18487102:-1 gene:Csa_5G523120 transcript:KGN51367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRTTASNLPKLAQSFFFHTSFSKSTLSSSSSSSSSSSSSTFLQSIPESEAKLIVNPLYHFLPQNQNPFNIVELVSSHLKTNNPRLALLQSHIKELIPHLGHRQISKILLRCQSNFVSALAFFNWVKYDLDIRLSSHNYCLIIHILAWSRQFPLAMKFLSELIELSKDVSSSEDVFQNLVLCTEHCNWNPVIFEMLIKAYVKLDLIHESYWSFKKMVKLGFVPNVIACNCILNGLAKMKSDAQCWELYEEMGRIGVHSNAYTFNILTYVLCRDGDVNKINGFLEKMEEEGFDPDVVTYNTLIDSYVRRGRLEDAFYLYKIMYRRGVMPDLVSYTSLMRGLCRLGRVREAHQLFHRMIDRGMDPDVVLYNTLIGAYCKDGMLQEARSLLHEMIGIGIHPDSFTCRILVEGYGREGRLISALNLVVEIQKLGVTVAHDIYKYLIISLCREDRPFAAKSLLERILEDSFQPDSDIYNKLIESFCRSNNVSEALLLKLEMINRNYKPTTDTYKSLIHCMCEINRSVDGEGLMVEMVESEVIPDHEICRALVNGYCKEGNADKAESLLVSFAKDFQFFDSESFNSLVKVYRDVGNETKLMELQDRMLKAGFLPNSLTCRYIIHGIWKSMRLNKQRVQTVAV >KGN52065 pep chromosome:ASM407v2:5:23497262:23500915:-1 gene:Csa_5G608530 transcript:KGN52065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKYGSIRQSFLDQNREKLLSRKGYSDFGLNSYDGSGDNVKCRCFRTLSDAVTNLWKGCQNTSVKLYEMGRSDPRKFFFAVKMGLSLALASLVIFFRQPLKEVGQYSIWAILTVVVVFEFSVGATLSKGFNRAIGTLSAGGLALGIAELSASAGAFKEVIIVISIFLAGFSASYCKLYPPMKMYEYGFRVFLLTFCIVLVSGSTSSFFETAFYRLLLIAVGACMCLVVNICILPIWSGEDLHKLVVKNFKNVASSVEGVVNEYLQCVEYERVSSKILTYQASDDPVYNAYRSAVQSSSQEDSLLDFASWEPPHGPYKTFNYPWYNYVKVSGALRHCAFMVMAMHGCILSEIQAPPEKRKVFAKELQRVGTEGAKFLRALGSKVEKMEKLSSNDMLFDVHDAAETLQMKIDEKFDMLVNSASCRTGKHRDHEDPQHFIDTKDDHTKQLVIESLNETLDAQHSSIGIHPPMSEWVSTDSVFNKNLVSWPRLSFLMDTVPNERESKVYESASSLSLATFASLLIEFVARLQNLLNAFEELSEKANFKAPEEFKVKSEHTGCWTRLLRFVGLKD >KGN52236 pep chromosome:ASM407v2:5:24564629:24566067:-1 gene:Csa_5G622530 transcript:KGN52236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSGAIVTQLYHAIYGKDEKSLILLLGWLPAAVSLVFLPTVRRMKVEHEEDELKVFYRFLYISLGLAGFLMIMIILQQKFSFDRGEFGGSAAVVTFLLLLPIAVVVAQEFKSWRRLNKPAALENGISPSPGSPPLKNTTPISLLPKKPKSQQQEPIKTEWWKNVFNPPPRGDDWTILQALFSFDMFLLFLATACGVGGTLTAIDNLAQIGQSQDYPKKSISTFVSLVSIWNYLGRVMAGFLSEHLLIKYKFPRPLMLTIVLLLSCIAHLLIAFNPSGGLYIASILTGYCYGAQWPLLFAIVSEIFGLKYYATLYNFGSVASPVGLYLLNVNVAGYLYDKEAKKQLSMAGKIRKTGEELVCNGTVCFKLSFVIITAVSLFGALVSLVLVLRTKKFYKSDIYKKFKEAEEAAAEEEEEKNDDGRVVRNGGGGLMEETKHGLKQ >KGN49954 pep chromosome:ASM407v2:5:4052164:4055614:1 gene:Csa_5G146280 transcript:KGN49954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSHNSGEDCLGWAARDSSGFLSPYKFNRRVPGDDDVSITITHCGVCYADVVWTRNKLGDSKYPLVPGHEIAGIVKNVGANVQRFKVGDHVGVGTYVNSCRQCEYCEDCQEVSCTSGCTHTFNSIDVDGTVTKGGYSNYIVVHERYCYKIPDNYPLASAAPLLCAGITVYSPMIRHNMNQPGKSLGVIGLGGLGHLAVKFGKAFGLNVTVFSTSISKKEEALGVLGADKFVVSSDNKQMESLSKSLDFIIDAASGDHPFDPYMSTLKTGGVMVLVGFPSQVKFNPASLILGMRTISGSVTGGTKLTQEMIDFCAAHGIYPNIEVIPIQYSNEAIERVMKKDVKYRFVIDIEGSLK >KGN49814 pep chromosome:ASM407v2:5:3323189:3333825:1 gene:Csa_5G138460 transcript:KGN49814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATAAARGASLTMHSPQLSRQEWRAVADNHSARDAADEEMERAKLTQSDERTIYEQGREPLHVDFCSISIDGNPDNDILQRRLNDVARQREDLQQMEIELRAQVIARSEILEMQKSFDGQMKEHSNAAIKMQEQLCERDQAIRELERKIEDKDRELQSIKLDNEAAWAKDDLLREQDKEIATYRRERDHSEAERAQQIKQLHELQEHIHEKERQLLELQEQHRIAQETILYKDEQLREAHAWIARVQEMDALQSTTNHSLQAELRERTEQYNQLWLGCQRQFAEMERLHVHALQQLQLELADARERSGTYNDESNISQANSKDVPQYGQTTGNQLGGAASTGNNGALPNGNPENVSSFNLTSNSSIQIDHVSGVPMAPSSLPGMPPYLPPGQMAALHPFLMHQPGVPHSVPSQVPQSHMGHFSSLPTLSSIQPWQTDQIVSEGSTVSVQNELQSTQNVQGIMTSSANYPYEMADNGQALEPDYLDVHTSKRRESDSGLSLSTGETQLESVDRGYQVPLQPDTSLQHVTSQFHDALRIGSTSIQHKNEKDQNDLGAGDQVLEEQGLSGGKLSPAVSTLTFASSSVHNVNVNEMAINNASGSGAVVPEAFVSSALKIPMMVGKTSETALLDERALLACIVRTIPAGGRIQISSTLPNRLCKMLAPLHWRDYEKKYGKLEDFVAGHPELFVIEGDYIQLREGAQKTIAATAAFAKVAAAAAASSHYSSHLPSVAMTPMAHTNRSKRISSADSKNMKSEKTSVFTPNMTKDSPLGIQMKNQPKNGFHSPAGGGLLNVKLFTRSKDSRELNVSEAKPGESSVFLGFENGAALDRTSSGSSQSLPLTDGRSSANFSGKHQGRMAAASLPSRR >KGN52092 pep chromosome:ASM407v2:5:23666889:23670813:-1 gene:Csa_5G609780 transcript:KGN52092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKRVMVAIDESEYSYYALIWVLENLKESIASSPLFLFTALPPPPTTYTSGLARSYFPLPSNTEFVRTLQENDKKLRCGLLEKAKDICAGRGVAAISITEDGDPGKTICDTVEKLNISLLVLGDRGLGRIKRALIGSVSNYCVQNAKCPVLVVKKP >KGN49600 pep chromosome:ASM407v2:5:748643:755706:1 gene:Csa_5G021330 transcript:KGN49600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNTQRIEQLRRLVEKNGISTEKYGDPMMMRFLIARSMDVDKAAKMFVQWQKWRDTMVPNGQIDESEIEDELGTRKMFLQGLSKNGHAVLFLKGSKHFPAKDQVQFKKYVVYSLDKTISSAFKGREIGNEKLIGILDLQQISYKNIDPRGLITGFQLLQAYYPERLAKCYILNMPRFFVSVWRMVSRFLEKATLEKVVIVTNEEERRGVVEEVGEDVLPVEFGGKAKLIAIQDVVLPQLAA >KGN51921 pep chromosome:ASM407v2:5:22708425:22709382:1 gene:Csa_5G605150 transcript:KGN51921 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-like ribonuclease MKFNYSIFIFNLLILQHLSTLCLSQDFDFFYFVQQWPGAYCDTKRQHCCYPETGRPAADFGIHGLWPNYKDGSYPSNCDPDSVFDRTQISEVLSSMDKHWPSLSCPSSNGLRFWSHEWEKHGTCSESELDQKEYFEAAIKLKEKANLLKVLNSAGIEANDEMYSLESVKNAIEEGIGFTPGIECNRDSAGNAQLYQVYLCVDTSGSEFIKCPILPRTKCASTIQFPKF >KGN51937 pep chromosome:ASM407v2:5:22779167:22782316:-1 gene:Csa_5G606290 transcript:KGN51937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEKIIEDVKEKVIDDTPSHNQDHCEKSEEQITGPDEKGENPMPSQQQEEETIKKKYGGIVPKKPPLISKDHERAFFDSADWALGKQGGAQKPKGPLEALRPKLQPTPHQQVRSRRSAYAPADDSEGTDGNIDPAAEDQQSTLESGCNDHVSEDKVCKE >KGN49837 pep chromosome:ASM407v2:5:3421443:3423097:-1 gene:Csa_5G139160 transcript:KGN49837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDPKVHLFEEVAKHNKTKDCWLVISGKVYDVTPFMEDHPGGDEVLLSATGKDATNDFEDVGHSDSAREMMDKYYIGEIDPSTVPLKKIFIPSQQSQHNPDKTPEFVIKILQFLVPILILGLAFAVRHYTKNE >KGN51043 pep chromosome:ASM407v2:5:15110638:15113570:1 gene:Csa_5G418780 transcript:KGN51043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYCTSPSATHHRTSSASSPDAAVLKALLLVQSDALDSKFQGASEIRRLTKTSQRCRRHLSQSIPHLVSMLHRLHSPESHLEAALLALLNLAVKDEKNKIKIVEAGALGPIIGFLQSESLILQENATASLLTLSASTVNKPLISAAGAIPLLVEILRCGSPQAKADAVMALSNLSTLPHNLSIILDSNPVPAIVSLLKTCKKSSKTAEKCCSLIEYLVGFDEGRIALTSEEGGVLAVVEVLENGSLQSRDHAVGALLTMCESDRCKYREPILGEGVIPGLLELTVQGTPKSQSKAKTLLRLLRDSPYPRSELQADTIENIVCNIISQIDGDDDQSSKAKKMLAEMVQVSMEQSLRHLQRRALVCTPTEMPINTCTSEVSSK >KGN51201 pep chromosome:ASM407v2:5:17112294:17116469:-1 gene:Csa_5G488770 transcript:KGN51201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLPLLHDFNVVITHLLVQYVFILDKNVGSKPICFPELGWSSKNGRPNLQASHCWAYVILNCEIWI >KGN50560 pep chromosome:ASM407v2:5:8194774:8199170:-1 gene:Csa_5G182650 transcript:KGN50560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFVSEIQRQSDFNHFPSTTPIVIDNGASAFRIGWAGESDPRVIFRNIVQRPRHKATGETVTIVGDHDPSLMKYFDCTRSGPRSAFDGNVVYQFEIMEYVLDFGFDRLGASGPEIDHPVLITECVCNPVQSRSKMAELLFETYGVPSIAFGVDAAFSYLYNQQLGICDKDGLAICPGFTASHVIPLLIFLTLSMLEARFTWEKVEDLKMEHCYIASDYASEAQLFQLKEKRRQLFLKTTSEGRQRAKQKRYEIELERERRNQLDEERRMT >KGN50779 pep chromosome:ASM407v2:5:10733176:10734945:-1 gene:Csa_5G258200 transcript:KGN50779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVKVFEMDKMEFWPLQHPLEPDDEDHPVICPMPNSTSLLDEGTLHNGKRTPESWRKRTEVSREVKVQAEARPVRKRHHRTLSRPDQLMVGMSPRPITPNFTIFQMLQQLDKFES >KGN49994 pep chromosome:ASM407v2:5:4328927:4331734:1 gene:Csa_5G148650 transcript:KGN49994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSILPSAKFADLSPTKDVFVSALGFALSINSPCAPFGDELQRSAQEQVDYMLRADADTSLVIKDDEVKSVLKTGLFRTCRSFERELSSLLLEPDLASQAKEDKILRTLSDLEWICSLLPKMNLMKDFVYNWIEISGNILKVIEDEKLNSLMWGLKLKLIEMTNKALEAVGYGTVILPAPYRLSLLKFWLPYIRKMKPLLDSKCIAETDFRYKMDEELCMNIEGAIVSMVLALPSNDQAGILADWMKAEEIQYPDLTDAFELWCYRTKSAKRRLIEGFDGACSDNSDDGTISF >KGN51450 pep chromosome:ASM407v2:5:19400794:19403230:-1 gene:Csa_5G548160 transcript:KGN51450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALNLNLEAAKDSEPSSSQAANPDHSNTATTTSSCPPSLSKVVVVDTSPISLDLTLQFNSNDIDSKGDGEINGETNSNKSSSSTVPRVFSCNYCRRKFFSSQALGGHQNAHKRERTMAKRVTRMGMFFDRYGSLASLPLHGSALRSLGIEAHGALHQTVVASDQRPYPGRSVGAKFEQSSFGFPTFIEDYDDDVGMFWPGSFRQVAAKVDSGLGPLKTTVQNSNPEFGKTMPPPRTEAGSSPDLTLRL >KGN51474 pep chromosome:ASM407v2:5:19611078:19615242:-1 gene:Csa_5G564290 transcript:KGN51474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKTIEIYGFRPQVTADEVKEFLENHTGDGTVSTVRISKPKDEKARFTSVTVLFKSKLAAEYIVAKSTTEERKLWFESSYLKARELEKAVVAKETKGVLEMERMEDVKGHLGSMISNGKMRVIWEGEKWSVEFGNGFRKLWFYLSYEVDEYKMELCFENILSVEFRCPLNEPSKFFLIQLQGAPRIFRKTPSSSSSPLYSNKSTSFRWIRDVDFTPSSCIGQSFTICLQLSPSHHLPPFFQTLVGYKVTYAPFILHKGSSLVSNSNLVPIITPPQAFDISYKILFKINALLQHGYLSGPTLDDEFFRLVDSSRFHSDYIDHALEKLFNLKECCYKPQKWLKDQYLSYYTSNQLPWKSNISLDDGLVYVHRVQITPLKVYFCGPEANLSNRVVRRFIGDIDNFLRVSFVDEELDKLHSIDLAPRSSSHENSQRTRVYDRVVSVLKNGIVIGNKKFEFLAFSASQLRENSFWMFASREGLSAADIREWMGDFHHIRNVAKYAARLGQSFGSSRKTLCVEEHEIEVIPDVEVERKNIMYCFSDGIGKISKTLAKKVAEKCGLINHTPSAFQIRYAGYKGVVAIDPTSKKKLSLRNSMLKYMSLDTQLDVLSWSKYQPCFLNRQVINLLSTLGIGDDVFVKKQKEAIDQLDSILEDPSRALEVLELMSPGEMTSILKELLSFYMPNEEPFLNMMLRTFRANKLLDLKTKSRIFVPEGRTMIGCLDETRTLEYGQVFVHCSVPRRSSEGNFVVKGKIVVAKNPCLHPGDVRVLDAVDVKALHHMVDCVVFPQKGKRPHPNECSGSDLDGDLYFACWDLELTCIKQVKPMNYEPAPTIQLNHDVTIEEIQEYFANYMVNDGIGAIANAHTVFADKNSKKAMSVECIKLAKLFSIAVDFPKTGVPANLPRNLRVHEYPDFMDKPNKPTYVSNGVLGKLFRGVKDVSSDVNTFEIFTREVATKYYDPDMEVDGFEKYLREAFDYKTKYDFKLGNLMDYYGIKTEPELVSGNVLKMAKSFDKRNDLEQITFAMKSLRKEVRSWFNENESKFTYDDIEDEYAKASAWYYVTYHPDYWGCYNEGMQRDHFLSFPWCVADKLIQIKRDKMTLKNSYPVSSLFHNFDGCYMLKRDCDEMIKRFDASVSLIYMSLLNEMNFRVFRMKLYA >KGN50811 pep chromosome:ASM407v2:5:11239765:11242908:1 gene:Csa_5G266890 transcript:KGN50811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEFLWTFAVQEVLKKIVNFGAEQISLAWGLEKELSHLKKWLLKAQTILADINTKKSHHHSVGLWVEELHDIIYEADDLLDEIVYEQIRQTVEQTGKLRKVRDSISPSKNSFLFGLKMAKKMKKITKTLYEHYCEASPLGLVGDESTTESEAALNQIRETTSILDFEVEGREAEVLEILKLVIDSTDEDHISVISIVGMGGLGKTTLAKMVFNHDAIKGHFDKTVWVCVSKPFIVMKILEAIFQGLTNTSSGLNSREALLNRLREEMQGKKYFLVLDDVWDKENCLWDELIGNLKYIAGKSGNSIMVTTRSVEVATMVKTVPIYHLKKLSDDHCWALLKKSANANQLQMNSKLENTKNILVRKIGGVPLIAKVLGGAVKFEEGGSESWMAKIESFARNISIEDKDFVLSILKLSVESLPHSALKQCFAYCSNFPQDYEFDKDEAIQMWIAEGFIQPEQERENLTMENIGEEYLNFLLSRSLFEDAIKYDGRIVTFKIHDLMHDIACAISNHHKMDSNPISWNGKSTRKLRTLICENEEAFHKIQTDIICLRVLVLKWFDTNTLSTIMDKLIHLRYLDISNCNINKLLRDSICALYNLQTLKLGYIECDLPKNLRNLVNLRHLEFKKFFDMGQMPSHMGNMIHLQTLSEFVVGLEKGCKIDELGPLKDLKGTLTLKNLQNVQNKDEAMAAKLVEKKYLRHLIFQWFLNLYDRGEYDEDDNKQVLEGLQPHKNVQSLDIRGFQGRVLNNNIFVENLVEIRLVDCGRCEVLPMLGQLPNLKKLEIISMNSVRSIGSEFYGVDCNDRNSSAFPQLNKFHICGLKKLQQWDEATVFASNRFGCLKELILSGCHQLAKLPSGLEGCYSIEYLAIDGCPNLMLNVQNLYNLYHLDIRGLKRLPDEFGKLTNLKKLRIGGCMQNYEFSPFIHLSSQLVELELTDDGSSGSETTQLPQQLQHLTNLKVLKIADFDDIEVLPEWLGNLTCLATLVFLECKNLKELPSREAIQRLTKLDDLVIDGCPKLLLGEGDQERAKLSHLPSKCVRYNNFGFRC >KGN50523 pep chromosome:ASM407v2:5:7891991:7893001:1 gene:Csa_5G180580 transcript:KGN50523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRVVSMKLLIDKKGQRVVYGEADKTFVDFLFHQLSLPLVTVCKLLKQQGMIGSLGNLRQSVESLNQTYLQPNNNAKTLLNPKVVSVCDSTLSLPYSDPPDLPKVYMCSRNNGSSSPDCYGCRTSCEYYISTNPYAICPNCNKYMNLEATYVKPPPGGSCKSVSTFGTDNKEEGGYVKDVVTYMVKDDLSVKPMSTISTITLLNNFNIKNVNALEEKLVTLTANQGVKLLKASLQSNTVLTDVFL >KGN49965 pep chromosome:ASM407v2:5:4134371:4134562:1 gene:Csa_5G146880 transcript:KGN49965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNREELKLPNARNIEYVLESSYVSLASISCLSTAVELRNDTTLPNSDVNLQANLTPQYHNKL >KGN51045 pep chromosome:ASM407v2:5:15158982:15161642:1 gene:Csa_5G420280 transcript:KGN51045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALANSAIQEVDGMEKNGGGYLKSLAIGKGHDHQNPNGDFVFRDTTNYQLGQQQQQSLINFGHKMNNESLLSFEAQGICQLDLTYNWDDQQRVMEDPNCFQTATNHNNYSPSKDHHHHNKNGDNGSVYEWLYSESTTDFSDSIQEAEGTQEIVPNHKRSHTTGESSGSVCKKQCTAAPKKQKPKSATAKDPQSIAAKNRRERISERLKILQELVPNGSKVDLVTMLEKAISYVKFLQLQVKILATDEFWPVQGGKAPDISQVKEAIDVILSSQRERSSSSNSEK >KGN51918 pep chromosome:ASM407v2:5:22700940:22701182:-1 gene:Csa_5G605120 transcript:KGN51918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEIDEKGKGVGENHLQIKILIDATKLLDMRYSDQEWIHGRRTMDSRLSWKAIGFLLERQNLSFVIEWYNMGLLNSKFIV >KGN49885 pep chromosome:ASM407v2:5:3671178:3671984:-1 gene:Csa_5G139630 transcript:KGN49885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVPTILRRKPISEPHGRSSTGSRIVRISMADPDATDSSSSDEDTLNYTSRRVKRYEIEFSIGMAGDGDGCGDRKLKRKRKRKAVGNVSKFRGVRRRPWGKWAAEIRDSGSRVRLWLGTYDTAEEAAMVYDSAALKLRGPAALTNFPTHPPPPSTGQEPSSPTNISSPTSVLHRTHFTECSSSYRAVIESPVVDYCPFSDDIFKSILLESPLFPEYQSTLITEAPWIDAGGGARSGDLVVAAAGSDERVEDHDCFEEILMGSDPLVVL >KGN52431 pep chromosome:ASM407v2:5:26017458:26019095:-1 gene:Csa_5G633290 transcript:KGN52431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFAELFTSVGSIIGSLVFIWAIFQQYFPFELRACFEKYSHRFVSFFYPYVQITFNEFTGEGFTRSEVYIAIQNYLTRNSSSEAKRLKADSMQSNQSLVLTMDDHEEIAEQYEGIKLWWSSGRIINKSQTISFHPATEDKRFFMLTFHRRYRDLIISQYLNHVLKEGKAIKVKNRQRKLFTNQDAQWSHVVFEHPATFKTLAMKPERKKEIMDDLIAFSQAEEFYKEIGRAWKRGYLLYGPPGTGKSTMIAAMANLLGYDIYDLELTSVKNNLELRRLLTEISSKSVVVIEDIDCSLDLTGQRTNKTEKGKKDIDKDPIKRMMMREISDTNPSEVTLSGLLNFIDGLWSACGGERLIVFTTNYVEKLDPALIRKGRMDKHIEMSFCGFEAFKVLAKNYLKIERHPLFSKIEKLISETAITPADVAEHLMPKAVSGDPRDCLESLIEALEELKEEEEERVKAEQNKKKEETC >KGN52629 pep chromosome:ASM407v2:5:27414353:27418599:-1 gene:Csa_5G647510 transcript:KGN52629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTPKELSSFSSSPRFIFDVFLSFRGVDTRKNVTNRLYEALRRQGIIVFRDDDELERGKTIANTLTNSINQSRCTIVILSKRYADSKWCLRELVEIVKCKNTFKQLVLVVFYKIKPSDVNSPTGIFEKFFVDFENDVKENFEEVQDWRKAMEVVGGLPPWPVNEQTETEKVQKIVKHACDLLRPDLLSHDENLVGMNLRLKKMNMLMGIGLDDKRFIGIWGMGGIGKTTIAKAVFKSVAREFHGSCILENVKKTLKNVGGLVSLQEKLLSDTLMRGKVQIKDGDGVEMIKKNLGNQKVFVVLDGVDHFSQVKDLAGGEEWFGCGSRIIITTRDEGLLLSLGVDIRYNVESFDDEEALQLFCHEAFGVKFPKKGYLDLCMPFIEYAEGLPLAIKALGHSLHNRLFKSWEGAIRKLNNSLNRQVYENLKISYDALGKEERRIFLYIACFLKGQNKDQVIDTFVSFEIDAADGLLTRKNAADVLCIKETAADALKKLQEKSLITMLYDKIEMHNLHQKLGQEIFHEESSRKGSRLWHREDMNHALRHKQGVEAIETIVLDSKEHGESHLNAKFFSAMTGLKVLRVHNVFLSGVLEYLSNKLRLLSWHGYPFRNLPSDFKPSELLELNLQNSCIENIWRETEKLDKLKVINLSNSKFLLKTPDLSTVPNLERLVLNGCTRLQELHQSVGTLKHLIFLDLKDCKSLKSICSNISLESLKILILSGCSRLENFPEIVGNMKLVKELHLDGTAIRKLHVSIGKLTSLVLLDLRYCKNLRTLPNAIGCLTSIEHLALGGCSKLDKIPDSLGNISCLKKLDVSGTSISHIPFTLRLLKNLEVLNCEGLSRKLCYSLFLLWSTPRNNNSHSFGLWLITCLTNFSSVKVLNFSDCKLVDGDIPDDLSCLSSLHFLDLSRNLFTNLPHSLSQLINLRCLVLDNCSRLRSLPKFPVSLLYVLARDCVSLKEHYNYNKEDRGPMSQAEVRVLSYPSSAKDQNSKISQLMISSMCTACENGG >KGN50739 pep chromosome:ASM407v2:5:10124992:10125655:-1 gene:Csa_5G222980 transcript:KGN50739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKMIALFLMCIVVVAALQFANANKEDVAKYEAKFDAKYKSCFETCEKECLRYGSGQSYCEVKCDEDCDEKEAADKLHIELH >KGN50198 pep chromosome:ASM407v2:5:5736107:5737221:-1 gene:Csa_5G158540 transcript:KGN50198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVWSPETASKAYIDTVQSCDLHQESGVAELISAMAAGWNAQFIVETWSTGGAIATSIGLAVARRHVGGRHVCVVPDERSRGEYSRAMERAGLSPEVIVGEPEEVMEGLVGIDFLVVDSQRRNFSRVLKLANLSSRGAVLICKNANSRSDSSFRWISVTENGTRRLVRSAFLPVGKGLDIAHVAAAGGNSGSGGGKGKWIKHVDRRSGEEFVIRK >KGN50945 pep chromosome:ASM407v2:5:13600406:13601607:-1 gene:Csa_5G352650 transcript:KGN50945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLLQRHVIGFPIGSTALQVEQSVQRLLPDLAGQYNISSSDTKYPALKQCSKDSMLSRVNKLGKRADSFAHGVREHVRLGSKISETVKGKLSLGARILQVGGLRKIFKQLFNVGDGEKLLKAVHCYLSTTAGPIAGLLFISTNKIAFCSDRSIKVSSPSGELIRFHYKVVIPVGKIERINQSENVKKPSQKYMEIVTVDNFDFWFMGFLNYHKTLQYLQDVISQKCKKC >KGN52099 pep chromosome:ASM407v2:5:23715328:23718126:-1 gene:Csa_5G610340 transcript:KGN52099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTSLSHFACFVSFLYFTCVYASSNIDLDALVAFKAASDKGNKLTTWNSTSNPCAWDGVSCLRDRVSRLVLENLDLTGTIGPLTALTQLRVLSLKRNRLSGPIPDLSNFKALKLVFLSYNAFSGNLPASLLSLVRLYRLDLSHNNLTGEIPASVNRLTHLLTLRLEDNRFSGPILELNLPNLQDFNISENRLSGEIPKSLSAFPESSFGQNMGLCGSPLQSCKSIVSKPTEPGSEGAIASPITPPRNLTVSSSPTSLPEVTAETKPENTHHHGTGKIGSLALIAIILGDVVVLALVSLLLYCYFWKNSADKAREGKGSSKLLESEKIVYSSSPYPAQAGTERGRMVFFEGVKKFELEDLLRASAEMLGKGGFGTSYKAILDDGNVVAVKRLKDAQVGGKREFEQHMEVLGRLRHANIVSLRAYYFAREEKLLVYDYMPNGSLFWLLHGNRGPGRTPLDWTTRLKIAAGAARGLAFIHNSCKSLKLAHGNVKSTNVLLDQSGNARVSDYGLSLFTPPSTPRTNGYRAPECGDDRKLTQKSDVYSFGVLLLELLTGKCPSVVENGGPGGGGYGSVLDLPRWVQSVVREEWTAEVFDLELMRYKDIEEEMVGLLQIALACTAASPDQRPKMNHVVKMIDELRGVEVSPFHDGSDSVTESPSVSEGTCGGGGSQ >KGN49793 pep chromosome:ASM407v2:5:3185480:3185884:1 gene:Csa_5G129290 transcript:KGN49793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKCTAQCWVEVRNKVHLFVTGDQSKLDVLNTWIKSIEGKVKKFNNHHQLSIEEEEKEEKIGGFHCEKFAFAFGLIGSSHTRKSIKIVKNLRMCVDCHQMAKYISAAYECEIYLSDSKCLHHFKNGHCSCGDYW >KGN50984 pep chromosome:ASM407v2:5:14242324:14243752:-1 gene:Csa_5G385360 transcript:KGN50984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMKKMQSNSDSRRSRANSYTLPSSTLKVAKNVYLKKKNCKGSEKKEWEDATCSVCMEFPHNAVLLLCASYNKGCRPYMCATGRRYSNCLDQYKKAYTKSTSTQSSELLNLPVENVSFNLDAGQPSEKVNVPELLCPLCRGQVKGWTVVEPARKYLNSKKRSCMQDNCSFVGRYKELKKHVRAKHPLARPRQVDPVLEEKWKRFEHERERSDVISTIRSSIPGAVVLGDYVLEPNQSGFYSEYDSDMDDNLDDDAFFSMDAFGLGRDGGLFSRNRYHRDYNRADEIDFGMHRAAGLGSTATGGPGRGFRRIIFGRSRRPRQRGGLNRLP >KGN51012 pep chromosome:ASM407v2:5:14600820:14607571:1 gene:Csa_5G407060 transcript:KGN51012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLPSQTFSVEITDNHIHAGAVAVPGAQTSEWLLNSPDPPTFWEQIVGVINETAIPRSCRKNPNKKVSSSSSEKQSIFKTIITLLQRVFPILKLARNYKASKFKNDLMAGLTLASLCIPQSIGYANLAKLDPQFGLYTSVVPPLIYAFMGSSREIAIGPVAVVSLLLSSMLQEIQDPVADPVAYRRLVFTVTLFAGIFQASFGLLRLGFLVDFLSHAAIVGFMAGAAILIGLQQMKGLLAISNFTTKTDVVSVLKSVVRSVHQTWYPLNIVIGCSFLIFLLVARFIGRRNKKLFWVSAIAPLISVILSTLIVFVSRADKHGVKIVKEVKEGLNPISIHQLQLNSTTVGLAAKSGLIAALIALTEAIAVGRSFASIKGYNIDGNKEMIAIGFMNIIGSLTSCYIATGSFSRTAVNYSAGCESVLSNIVMAITVMVTLQFFTRFLYFTPMAILASIILSALPGLVDINEAVRIWKVDKLDFLACLGAFLGVLFHSVEFGLLVAVGISFAKILLISIRPGTEEVGRLPRSDMFCNRKQFPMATKTQGFSIIRINSALLCFANASFIRDRIMRLVEEDEDGDDIAIKDQPKQLVVDMCNVMSIDTSGIIVLEELHKRLLLHGIQLTIASPKWEVIHKLKKTKFVERIEGRVFLSVGEAVDSCIGNASKFPSP >KGN52295 pep chromosome:ASM407v2:5:24898365:24909185:1 gene:Csa_5G623590 transcript:KGN52295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKVYGTGVYDFKRHRVAEYPVESNQVDDKPVESKPGAALPNTITLSEIQRDRLTKIAAANWSTVSDPSKAKKPFDPELVKKIYETELSVKEGRKTVPLQRVMILEVSQYLENYLWPNFDPETATFEHVMSMILMVNEKFRENVAAWVCFYDRKDVFKGFLERVLRLKEGREISIAEKTNYLVFMINAFQSLEDEIVSETVLRIAGLQSWHSLSYGRFQMELCLNTDIIKKWKRMIKREAKEFIKRGAVFDPLSTLEVKFLRNLIEEFLEVLDGEVFPQNNSGDASDQFVDANGLIEGDNACILYCERFMEFLIDLLSQLPTRRYLRPLVADVGVVAKCHLSALYKHEKGKLFAQLVDLLQFYEGFEINDHVGTQLTDDEVLQSHYDRVQSFQLLAFKKIPKLRELALANVGSIHKRADLAKKLLVLPLPELKDLVCSKLKLVSKEDPWSDRVDFLIEVVVSFFEKQQSQKEAINALPLYPNEEIMWDESVVPSINYSGEGCLALPKLNLQFLTLHDYLLRNFNLFRLESTYEIREDIQEAVPHLLAYINNEGQTAFRGWSRMAVPIKEFKITEVKQPNIGEVKPSSVTADVTFSISSYRAQIRSEWNALKEHDVLFLLSISPSFEPLSSEEAAKASVPQRLGLQCVRGCEIIEIRDEEGSLMNDFTGRIKPDEWKPPKGELRTVTVALDTAQYHMDVSAIAEKGTEDVYGTFNVLMRRKPKENNFKAILESIRDLMNEYCIVPDWLHNILLGYGNPSAAQWTNMPDLLEAVDFKDTFLDADHLKECFPDYQVCFTNPDGEEVLHPSPPFRIRIPRVLKGSNHALPENMKSSSVSKNDENTMDACTEKEKLIVEVYTPPDPGPYPQDQPKQNSVRFTPTQVGAIISGVQPGLTMVVGPPGTGKTDTAVQVLNVLYHSCPSQRTLIITHSNQALNDLFEKIMERDVPARYLLRLGQGEQELATDLDFSRQGRVNSMLVRRLELLSEVERLARSLQLPEDVGYTCETAGYFWLLHVYSRWEQFIAACAGNEDKSNFVQERFPFKEFFSNAPNPVFTGESFDKDMRAAKGCFRHLKIMFQELEECRAFELLKSTADRANYLMTKQAKIVAMTCTHAALKRKDFLRLGFKYDNLLMEESAQILEIETFIPMLLQRQEDGYARLKRCILIGDHHQLPPVVKNMAFQKYSHMDQSLFTRFVRLGIPYIELNAQGRARPSIAKLYNWRYRELGDLPYVKEASIFHRANAGFSYDYQLVDVPDYQGRGETAPSPWFYQNEGEAEYIVSVYIYMRLLGYPANKISILTTYNGQKLLIRDVINRRCVPYNFIGAPSKVTTVDKFQGQQNDYILLSLVRTRFVGHLRDVRRLIVAMSRARLGLYVFCRRSLFEQCYELQPTFQLLLQRPDHLGLNLNEITSYTERNVADTGPIYHVSGTEEMASILEQLYQIRISSQQFDGYTTRPGQLPPNDDVQQNDVPGQNAMDTEQANDDGVVSDTTMETSKVDGLANGTNGDSAIENGSTGNEDNEANKDSGPVEEPMLEDNSTKNDDDNEADKNDEHIVPQESKSDGTTTMDE >KGN51193 pep chromosome:ASM407v2:5:17007063:17007647:-1 gene:Csa_5G487700 transcript:KGN51193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTGVDPLVPVSKLPDYDTVPIKDVDSEEIQGIGGMAVRMHNETSTGENLEFVKVINGLEKGRRGFQIVYTLVLSAKNSLGIVWTYKAKVIFNNRAAVRLPEFEAVLPENGK >KGN52240 pep chromosome:ASM407v2:5:24593099:24596545:-1 gene:Csa_5G622550 transcript:KGN52240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMARRKAKKTVKKSSPSSGRDAKDEAVNKLKTDSDEDVERHAAAIRAIRDVEIERLITVLRLLRSYFNKEQLQTPLLQFFEEKLPSLSISIRGKQGEIEVRWKDTEDELHTNPADGVDIHASLLHRLSTAYPYCSAGMRSFNGFEFSSKSVKTNPFNAENLQIPNFVLEEPSDDMTLGMPDIVQTPGVRIFMISNQRLSIGMTPKTRRLPKPGEMLVSIHGSPLGVYKEDNMEAIHESEEG >KGN51184 pep chromosome:ASM407v2:5:16914400:16925763:-1 gene:Csa_5G484650 transcript:KGN51184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQLQRPVFPSRGESTVQSQMVGGGSLQKLTTNDALEYLKNVKDIFRDKKEKYEDFLEVMKEFKAQRIDTVGVIARVKQLFKGHRDLILGFNTFLPKGYAITCPLEDETPPQKKKPVQFEEAINFVGKIKTRFEGDNHVYKSFLEILNLYRKENKSISEVYREVSALLQDHPDLLREFVHFLPDASATTSNNHVSSMRNSVLRDRNSSIPPIQQKERATTPHTDHDIGVNHPDCDHARVTIKGDKEKCQHSEKEKDRRDNTDSMEQCREIDKKFIEHDSSKDISMQSFSQKRKSSVRVEDTTSVKWHLSGEGMSFIEKVRLKLPNVDVYQEFVKCLDIFDKEIITRSELHSLVRDLLGRYPDLMDAFGEFMILCDRTDGLLADIMSKKSLWNEGSLPRLAKVEEKDRNRDHEREDGFKDRDHGNRERDRLEKSVAFGHNDVGSHKMSLFSSKDKYFGKPINELDLSNCERCTPSYRLLPKNYPIPFASQRTEIGDQVLNDHWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNVTSKRVEDLLEKINLSDSPVHIEDHLTALNLRCIERLYGDHGLDVMDVLRKNAPLSLPVILTRLKQKQEEWARCRSDFNKVWAEIYFKNYQKSLDHRSFYFKQQDTKSLSTKALLSEIKEINEKKHKEEDVLLTITAENKRPIIPNLEFDYPDQDIHEDLYHLIKYSCRELCSTDQSDKAMKIWTTFLEPMLGIASRPLSSEVSKEIIRENNFAVRGTAIGMVGVSSSHTVGGDESKLRDPPRIEVGGVQPKQSSPCRVWPMNGDSCIEENSFHKANRVDSKVDSLRKLQFNENQDDSNERLVKSNVLVSSVLEQGKGKVTIETASGLRSTPSRTWNGGVDKGLELASSQGGCSSRPLLSNGVMAEGSNAPSFNEKCDGHSKIEREEGELSPTGELEDNFSNYQEGSLDKAKDSAAGRQCFRAHTDKISCRDVTRETHIDADDEGEESARRSSEDSENGSENCDISGTESIDGEDSTREGQEDRGHNDHHSKVESEGEAEGMDDAHSAEGDGTVLPFSERFLLNVKPLAKYIPLALRDDKKNSRIFYGNDSFYVLFRLHRTLYERIRSAKINSSFGERKWRASNDTSPNDLYSRFMSALRSLLDGSSDNMKFEDDCRSIIGTQSYVLFTLDKLIYKLVKQLQTVATDEMESKLLQLYAYENSRMHGKDEDTVYHDNARVLLHDDSIYRIECSCSPGHLSIQLMEFGNDKPEVTAVSMDPNFSAYLHNDFLSILPDDKEQSGIYLHRNKCKHACSDEISAACEAMEGLKVVNGLECKITCNSSKVSYVLDTEDYLFRTKGRRRSLHRSGSCRHHQSRSSSGSSSRGQRYQRWLSSS >KGN51736 pep chromosome:ASM407v2:5:21599156:21600188:-1 gene:Csa_5G595970 transcript:KGN51736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSLSICVLSLLFFLCSLILLKTKKNVELKHNNNHKFLPPPSPPKLPLLGHLHLLGSHPHRSLWNLSRTHSPIMLLKFGSVPTVIISSAKIAKELFKCHDLASCSRPRLAATAKYSYNFLDLIFSSYDDHWRELRKIYISELFSPKRVQSFQHIREEEVNQLVNSISQSSSSSTLFDFTVKSYSLTSNIITRIAFGKSTRGSESELDDGNVEGVIQRASAAIGCFSASDFFPSFGWIIDRLTGVHGRLEKNFKELDAFLEHVIEDRINFRTVCQKEENILDVLLRMERDCYEFGSMKFTRDCIKAVVMIL >KGN51013 pep chromosome:ASM407v2:5:14617387:14620383:1 gene:Csa_5G407070 transcript:KGN51013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAEEAAMEQEKNLSSHNPRTVPITEAQFLSWKRRKDAEASAKREETARKRAEDIALGAVQMNGRELFLHQPWVFDNSQY >KGN50424 pep chromosome:ASM407v2:5:7139715:7141862:1 gene:Csa_5G173510 transcript:KGN50424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSELSQKVLLILALSYSMLLFQFSLCQVSAITCIKKEQEALLQLKKSFNDPSHVLASWNESTNCCNWTGVSCNQITRHVTNIHLQGNQVNSFDDRVLFSNSIHSSLFELKYLVSLDLSWNHFNYSQIPDWFGMLNNLKFLNLENCYLSGRIPSLLGNLSNLEYLDVSDNSLMGEVPTTSFGRFLNLKVLDISDNLFNGFLEEAHFANLSQLHTLSIGYNEFLSLDVKSNWVPPFQLKSLDASSCFGCFRSEFPRWLQTQKRLVSLVLSNMSISSGIPKWLNGQNLTTLDLSHNQIVGPIPNNIGYQMPNLEDLFLSTNFINGSLPLSLCKLKNLAYVDLSNNRLFGKVEGCLLTSKLHLLDLSLNEFSGSFPHSRENDLSNVEQLNLRSNSFEGSMPVVLKNSKILEFIDLEGNKFSGNIPTWVGDNLKNLQFLRLRDNQLNGTIPSNLCNLKNLQILDLAYNQLEGTIPHNLSNFKVMMGNRRNEVSLVCKYRFPQLCYDDENASQSPEIENQDQEDDKWEKWLLYLMIMFGYGVGFWGGAVVLILKKNWRCAYFKFIDEIKDKIIHAAMKWR >KGN51570 pep chromosome:ASM407v2:5:20496553:20496885:1 gene:Csa_5G579565 transcript:KGN51570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNISLFFTGLCNSKLVTRPVAPHATPSQSPLQQSIPVHDARISVVSCTILALMLNNEALSSSAQTGATVVVVVSATDIASKTSQKQRKSNTHLRNCIFEKMGCNQIRQFW >KGN52250 pep chromosome:ASM407v2:5:24638322:24638633:-1 gene:Csa_5G622650 transcript:KGN52250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSRAMLFIEPTPTIDAKPSPPPTVSEATLVAGFGSSLSTSMPPSFGDFVAFEVPSEVSTANPPMPDWMIRSCKPKGRMRYSAPSDRRNSEPLVSIVCSNMS >KGN51669 pep chromosome:ASM407v2:5:21150423:21152783:1 gene:Csa_5G589370 transcript:KGN51669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGSDKGTDDSERTAFRRAEKKYKLYYDDTYKSSKKKKLPKHVDLSEVIDFKNILESYQQDGSLPVGVNATTCDLDGPVFCLENRPGFYFIPGALSLQEQCQWIRESLMEFPQPPNRTNHNAIYGPIQDLFIAAKENKVLVEHDEISDFKLDSDVEPSISNGNTHNWKFVEENTVSSRRGTAYKSIPASVLLRKLRWSTLGLQFDWSKRSYNISLPHNKIPSALCQLAKRMAAAAMPTGEEFKPEAAIVNYFASGDTLGGHLDDMEADWSKPIVSMSLGCKAIFLLGGKSRQDPPIAMFLRSGDVVLMAGEARECFHGVPRIFIDEESEEISFLETHLTNQDDLHCLEYIRTSRININIRQVF >KGN50228 pep chromosome:ASM407v2:5:5972349:5979961:1 gene:Csa_5G161280 transcript:KGN50228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVKEMHPLCCISVDTFGIGPCGGDGDTSNSKDEAAAALALSRTKSMPASLAAFSDGSDGNVIKRPTGSKSTVAGVLHKWTNYGKGWRSRWFFLRNGVLSYAKIRRPENLNLLAPYDDVRLIGEDSSNRIPRGDEKGGGRRKHQKAVGVVHLKIGSFRESKSDDRRFYISTATKTLHLRTNSKSDRGAWIQALASNRCISPQTSLNYNLSSVPSDLSVSTDRLKRRLIEEGISETLVNDCEQIMLSEFSEIQGQLQVLCEERYNLLDTIRQLEAASFEGEASGTNDGEFQLAKHDLSSEERGKYSECSTTESDDIEKQEFEEVSDEDEVFFYDTKDSFSENSFNDEVDSNAETMPAKMRLQNKYSHIQRRKQLPDPVEKEKRVSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCFEDMEYSYLLDQAYEQGKQGNSLQRILNVAAFAVSGYASSEGRHCKPFNPLLGETYEADFPEKGIRFFSEKVSHHPTLIACHCEGRGWKFWGDSNLQSKFSGRSIQLDPLGVLTLEFDDGEIFQWSKVTTNIYNLILGKVYCDHHGTMHIRGNRDYSCNLKFKEQSFLDRNPRQVQGYVKDVTGEKVATLFGKWDDSMYYINGHENINSKSCDGTLLWKSSEPPQNLTRYNLTSFAITLNELTPGLKELLPPTDSRLRPDQRHLENGQYDKANAEKLRLEQRQRISRKLQENGWKPRWFQREGEDGPFRYVGGYWEAREESKWDDCADIFGELNEEVMEN >KGN51924 pep chromosome:ASM407v2:5:22719194:22721676:1 gene:Csa_5G605180 transcript:KGN51924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQGAKTRAYFPFKLGHPFAATLRVGVDGIQMTVDGKHVTSFAYRETLEPWLVSEVKISGDLKLISVLASGLPTSEDSDHIVNIEALKSTPLSPDRPLELFIGVFSTANNFKYRMAVRRTWMQYPEVQAGSVAVRFFVGLHKNQIVNEELWDEARTYGDIQMMPFVDYYSLITWKTLGICIFGAEIASAKYIMKTDDDAFVRVDEVLASLKRINAQSGLLYGLINSDSQPHRDPESKWYISMEEWPEDNYPTWAHGPGYVVSSDIAKTISKKYKEGSLKMFKLEDVAMGIWIENIKREGLEIRYEKDERIHIEGCKDDYVVAHYQGPREMLCLWQKLQEGNGVRCCGSDV >KGN50242 pep chromosome:ASM407v2:5:6060707:6061643:-1 gene:Csa_5G161910 transcript:KGN50242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAYDWWPTTKCVMVDREKSLNLLLRSRRPRPFSSLIQTNPQRLSSIHLKSQHQNLRGEMLDLQHAAVFLPRTNISASTDYSITAGSDMCIVTDGARQIRNVALFQKIVPSLVQFSPKMILLIVSNLVDVLTYVAWKLSGFPSNRVI >KGN51906 pep chromosome:ASM407v2:5:22648606:22650181:-1 gene:Csa_5G605000 transcript:KGN51906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMDGENGIRRPNFPLQLLEKKKEEEEEQQQHPCSSSANNGGTKTTTTITTTPNSNLQSSSSPKKPPPKRATTKDRHTKVDGRGRRIRMPALCAARVFQLTRELGHKSDGETIEWLLQQAEPAVIAATGTGTIPANFTSLNISLRSSGSTISAPSHLRTSYFSPTQFAVRTRSDLWDRTNNHNHNLVDDSSTFLNFNSSNYVNVFKQDQGGIDVVETGKKRRSEQSESSSENYMVQTSTGSIPASQSSQLPATFWMVTNPTNQGSDQMWTFPSVGNSSMLRGAGGGGGGGGGGGFHFMNLPPPMALLPSGQQLGTGIGGGGGGPATDSHLGMLAALSAYRTTMPGLSVSESPTRGVGGVTSNNPDQS >KGN50308 pep chromosome:ASM407v2:5:6422440:6423475:1 gene:Csa_5G167010 transcript:KGN50308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPLLDETVEGAVDYNGHPVCRFNSGGWRSASLIIGVEVAERFAFYGASSNLINFLTDQLQQSTAMAAKNVNIWSGTAALLPLLGAFLADCFLGRYRTIVLSSALYVLVPKPLYALTLLLLFFSKSKLYKIS >KGN52273 pep chromosome:ASM407v2:5:24766751:24767082:1 gene:Csa_5G623370 transcript:KGN52273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLWPSTRIRDSFKHAYIKKLEWNLHRMKIQKHQHQSSSKQNLLDKEEASDAADAETSEPSPSVSFGQRVLEFFSELFHLLSCCYCCYCCGGD >KGN52630 pep chromosome:ASM407v2:5:27420153:27420461:-1 gene:Csa_5G647520 transcript:KGN52630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLAGSSSHASHLPFNVFIGFNRHEEDNKYKSSTRDLYKILCIQGMKVFMDDDGGGGGGSKKKVLMDEDNVSDKIVKAIETYRYNWLYTYQNTFSRITPPKT >KGN52371 pep chromosome:ASM407v2:5:25586141:25588427:1 gene:Csa_5G630740 transcript:KGN52371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFQDFDQISERRRAERARKFRKRVIIAVVSASVILLVVAAAVFVQVSSSKSTTKNDDSGSSSGNSKQPLPPETKQVSRVEKMITMICNSTDYKGKCESTLKDGVHTDPNSSDPKDLIKLAISAAAHEVKSAVKKASGFNFATPEEKGAFEDCKVLLEDAIEELEMSMSEVNKKNMGKLTAKTTPNLNNWLSAVMSYHETCVDGFPEGKMKSDIEKVVKAGKELTSNSLAMISQVASFFSTFEMPEGAASRRRLMTTNGVPTWMDRNQRRMLKGAAAGEKPKPNVVVAKDGSGEFKTINEALAAMPAKYDGRYVIYVKEGIYDETVVITKKMVNVTMYGDGSQKSMISGSKNFVDGVRTFQTATFVALGEGFLGQAIGFRNIAGPEKHQAVAARVQADRAIFVNCRFEGYQDTLYTQAHRQFYRSCLITGTIDFIFGDAAAIFQNCNMMIRKPLDNQQNMVTAQGRTDKHETTGIVLQNCKILPDKTLEPVKSQFKSYLGRPWKEFSRTIVMESTIEDVIHPDGWMAWEGDFALKTLYYAEFNNKGPGAKTDARVKWPGYKVIDKDEAAKFTIGTFLELDWIESTSAPVHVGLF >KGN50977 pep chromosome:ASM407v2:5:14120030:14121599:-1 gene:Csa_5G381820 transcript:KGN50977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKPLNPYADPFLSSPPTLLPPLPPSPHVLDYTNFYYPRSTSTYLWQLHTYSAVSCYNAWPQVMCPPYQAAVGFSGTVMADSKNIGKADVGKFRREFVVSGGARRELVKSMPQWVERKIDNDAGGCGGDGVDPVEKTLPPCLDITTVMIKNIPNQFKWNLASSWILKIFLEEGKLKEEGSSSVIRQLLSNDEPTEGFSTWRSWYEGKVSNLGYAFVNFTTSKAATQFSDVYHNYKWDVNVNRKICEITEARIQGKEALKNAFKNKIFWCRNDQYLPVMLFPASNGRRRYRRVNVGRRIPRLPRKPLKKV >KGN52139 pep chromosome:ASM407v2:5:23944987:23946517:-1 gene:Csa_5G611700 transcript:KGN52139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPYYRRFIGSDKSAMETGIVVPSSTPSGLLAPPLSSGILTQDELKKIAAYKAVEYVESGMVLGLGTGSTAKHAVDRIGELLRQGKLKNIIGIPTSKKTHEQAVSLGIPLSDLDSHPVLDLAIDGADEVDPHLNLVKGRGGSLLREKMVEGACKKFVVIVDESKLVKYVGGSGLAMPVEIVPFCWNFTAARLQKLFEGSGCVAKLRTSGESGEPFVTDNGNYIVDLYFKEDIGDLNVASDEILRLAGVVEHGMFLGMATTLIVAGELGITIKNK >KGN51275 pep chromosome:ASM407v2:5:17788217:17788450:-1 gene:Csa_5G511830 transcript:KGN51275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVRKPVSGDPFSLSKDHSVANSCPPTLHESPTPARQMFKIIFQRNHIRETAPSTSTCDVGCRTLSTYLQTLKDEFS >KGN51001 pep chromosome:ASM407v2:5:14444177:14447071:-1 gene:Csa_5G390000 transcript:KGN51001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGIPGILELTMEYQIFLLILVFAFIPRTILGLNVTTGKIVVDGTTKIAETDENFICFTLDIWPHDECSQPNLCVWDGHASMLNMDLSLPILNKAVQAFKTLRIRVGGTLQDRLIYNIGDGFKGNCNPFEAHKGLLFDFTEGCLYMERWDDLNNFFNNTGAIVTFGLNALLGKYNTKGIQWEGNWNYSNAEALIKYTVEKKYNINSWEFGNELAGPNSIGASVSASQYAKDLLKLRQIIDRLYKNSQQKPLIVAPGAFFDDKWYDELVTKTGSNVVSALTHHIYNMGAGDDPKLIYRFVNPTYLSQVSNTFRQLKNIIEKHAPWASAWVGEAGGAYHGGGLHISDTFINSFWYLDQLGMAASYNTKVYCRQTLVGGYYGVLRTKTFIPTPDYYGALLFHRLMGSSVLKVDNNVSSYLRTYAHCSRGRSGVTMLFINLSNTTEFTINIENHMNLSLHKSKPKHSSSKNVGTQREEYHLTPQNGLLRSSTVLLNGKALELTNEGEVPDLTPVYRDSNSSISIPNWSIAFIVIPDFVAIGCN >KGN51940 pep chromosome:ASM407v2:5:22792429:22794356:-1 gene:Csa_5G606320 transcript:KGN51940 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain protein MADQLQQQQQLKDPEMAEAEMQILRSKATELLLREEWNDAVCTYTQFITICRNQTPTTNFHLSKLQKSLCLALCNRAEARSKLRIFEEALRDCEEALKIESTHFKTLLCKGKILLNLNRYSSALECFKTALFDPQVSGNSENLNGYVEKCKKLEHLSKTGAFDLSDWVLNGFRGKSPGLAEFIGPIQIKRSGNSGRGLFATKNVDSGTLLLVTKAIAIERGILPENCDENAQLVMWKNFIDKVTDSATKSTKTKYLIGLLSSGEGEEDLEVPEMSVFKPETKDQISPSEMSNILSVLDINSLVEDANSAKVLGKNRDYYGVGLWVLPSFINHSCIPNARRLHIGDHILVHASRDVKAGEEITFAYFDPLSSWKDRKRMSETWGFNCNCKRCRFEEEISNKEEMKEIEMSMRGGIEMGAAIYKLEEGMRRWTVRGKEKGYLRASFWGAYFELFSSDKAMKKWGRRIQGMEMVVDSVVDAVGSDERVVKMMVERFKRNNNNNGGVMEMEKVLKLGRGVYGKVMKKQALRCLLELGSSHEYGH >KGN52441 pep chromosome:ASM407v2:5:26083726:26113545:1 gene:Csa_5G635360 transcript:KGN52441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDMEVEENDFYEKKIRIGVCVMVKKVSSSPMRQILDRLEAFGEFEIVVFGDKVILEEPVERWPDCDCLIAFYSSGYPLEKVEQYAALRKPFLVNELEPQYLLHDRRKVYQRLEMFGISVPSYALVNREVPYQDVEYFIEEEDFIEVNGNRFWKPFVEKPVDGDDHSIMIYYPSSAGGGMKELFRKVGNRSSEFHPEVRRVRREGSYIYEEFMPTGGTDVKVYTVGPEYAHAEARKSPVVDGVVMRNPDGKEVRYPVLLTPAEKQMARDVCRAFRQAVCGFDLLRCEGRSYVCDVNGWSFVKNSHKYYDDAACLLRKMFLDAKAPHLSSAIPPTLPWKVNEQIQVSEGLTRQGSGIIGTFGQSEELRCVIAIIRHGDRTPKQKVKLKTKLKSAVQLQDLLDATRMLVPRTRPDRESDSEAEDFEHAEKLRQVKAVLEEGGHFSGIYRKVQLKPLKWVKVTKNNGEEEERPVEALMVLKYGGVLTHAGRKQAEELGRYFRNNMYPGEGTGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGQLTPILVSLVSKDSSMLDGLDNASTEMEEAKARLNEIITSRGKITHSNGSAESPWMTDGAGLPSNAFDLLPKLVKLTKKVTEQVRCLAKDEDEEITEKSLYDIILPYDQAKALGKTNIDIDRIAAGLPCGSEGFLLMYARWKKLERDLYNERKDRFDITQIPDVYDSCKYDLLHNAHLNLEGLDELFQVAQLLADGVIPNEYGINPKQKLKIGSKIARRLLGKILIDLRNAREEAIEVADSKGNQDHSRLTERKDADNLSKPSSKTDDNRKSNTPCEMSIDPDDDDDKETKYRLDPNYANVKTPERHVRTRLYFTSESHIHSLMNVIRYCNLDESLIDEDSLVCHNALERLLKTKELDYMSYIVLRMFENTEVALEDPKRFRIEMTFSRGADLSPLEKNDNEATSLHQEHTLPIMGPERLQEVGSCLTLEKMETMMRPFAMPAEDFPPPSAPAGFSGYFSKSAAVLERLANLWPFHKHSSTNGK >KGN52252 pep chromosome:ASM407v2:5:24654084:24656259:1 gene:Csa_5G622670 transcript:KGN52252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKALPLHHGIVKSFPKFGSSFDLPPSPQLLSARLAGSGFNRLPLSRKFPPLFQKVSATGEKTSLFGAWTQDSALQFRDESPVSIELKSISSELEFDKAIADAEERNELVILVWMANWCRNYIYLKPQLERLAADYYPRLQFYCIDVNVVPHKLVVRAGLAKMPAIQLWKDGKKQDEVVGLYKAYLVVNDVRKMIERELTDE >KGN52581 pep chromosome:ASM407v2:5:27086887:27087286:-1 gene:Csa_5G644575 transcript:KGN52581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPLLWKKVMKKRTKKFKRPQSDQNIFLKENWRRPEGIDSRKFVVHNVKELELLMMHNSIYCAEIAHDVSIENGKEILERAAQLDVVVTNKLARLYSQDDE >KGN49999 pep chromosome:ASM407v2:5:4369455:4371850:1 gene:Csa_5G148700 transcript:KGN49999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGAQAIKRIPRIKFPKRHLSSSGSTSKTPSSHGGDDHVFFSSLEKDASKTVGGKASLQPKRTPLSNEEIEAILLGGCI >KGN50352 pep chromosome:ASM407v2:5:6668824:6670186:1 gene:Csa_5G168890 transcript:KGN50352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNQIKHSIIPLPLVPKSVVLLLFLTILCKTSAINIETEALLKWKASLGKQSILDTWEILPSNSSSSSSKASNPCQWTGITCNSASSVTHINLINTALNGTLQTFSFSSFPNLLCLNLNSNNFNGSIPPSLGLLNKLEFLDLSTNSLTGTLPSSLANLTHLYHLDVSNNYITGGLHPSFFPTENSKFGLRSMEKFIMQSTMIGGELTEEIGNMKSLSIIAFDDCKFYGLIPKAIGNLRNLTVLRLNGNGNFSGEIPEGIGKLTKLFDLRLFGNKLSGPLPQDLGISSPLVDVHIFENNFTGPLPPGLCTHGQLVNFAAFTNSFTERT >KGN51386 pep chromosome:ASM407v2:5:18616105:18619055:1 gene:Csa_5G524790 transcript:KGN51386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSGTRFPVSHKQASMEIKGIKTDIVICRHDDHFLVIATQIGAMGTMLQARKEEGMTILPTFSVSTVFGKRDEPMMVACARQLIEQISLSGSYKPLLISLGLKDHSVETMKGIVTAVTDNRLW >KGN51053 pep chromosome:ASM407v2:5:15212194:15212508:1 gene:Csa_5G420360 transcript:KGN51053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTNSKATKHRWTTIEEGGWRAYNGTFKPGYLVQVQKLMKEKIPGSNIQVTPNLEPRVKILKKQYTAIVEMMGPSCSRFGWNEKRKCIEAEKFVFDDLVKVRQ >KGN52304 pep chromosome:ASM407v2:5:24941292:24944173:-1 gene:Csa_5G623680 transcript:KGN52304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLIVPRDVPSANVDAEALRTAFKGWGSDEKAIISILAHRNAIQRRHIRIAYEQLFQEDLIKRLESEISGHFERAVYRWMLDPEDRDAVLANIAIRKPKEDFAVLVELSCIYSPEELLGVRRAYQHRYKRSLEEDVAASTNDDLRTLLVGLVSAYRYNGADVDLSLAKSEAERLERAIKDKTFYHEDVVRILTTRSRPQLVATFNHYKDAYGISISEQLSSDKAGKEFTEALRTIIECIDDPYQYYEKVVRNAIKRVGKSDEDALTRVVVSRAEKDLRQIKEAYHKRNSVTLDDAVSKETSGDYKRFILALLGN >KGN50683 pep chromosome:ASM407v2:5:9395471:9399231:-1 gene:Csa_5G211560 transcript:KGN50683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYSHHLLARKAPLGQIWMAATMHAKINRRKLDNLNIIKICEEILNPSVPMALRLSGILMGGVVIVYERKVKILYEDVTRLLIEINEAWKVKAAPEPTALPKGKSLAKFDIEEDGDTQPDFASVDQTQIPATVRPPPPPPDKYPEGPPDAEVQDQHLEHIVDQPAEESKELRQQRTGPMFTKKIATLMDLPPVVLSERLFTEGSREAYYPAPLLELWMRSIRQPPDSPSG >KGN52427 pep chromosome:ASM407v2:5:25995042:25999307:1 gene:Csa_5G633250 transcript:KGN52427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLCGSKPEGCVRGRLVPSGKRNRRRRRRGIKRRTSSLKLDSTSAPNRPHSTPTCAARGSVDAAWFDSTSVLDSEFDDEFYSVREDEVSTEGENGKGGEAAESNNCGILPNTCLPYLAAAGPSVEKRRQTNQGAPNPNLRRKASSKLSFKLKEGHSDPTIFSPRAPLQKLIAGTSVPHCPIGKRMPNCWSPIDPSVFKVRGKNYFRDKKKEFAQNCAAYYPIGADVFLSQRKIDHIARFVELPAINPAGDVPSILVVNVQVPLYPATIFQGENDGEGMSVVMYFKLSESYLKELPSSFQEHFTKLINDEVERVKGFPMDSIVPFRERLKIMGRVANVEDLHLSAAEKKLMNAYNEKPVLSRPQHEFYLGEKYLEIDLDMHRFSYISRKGLETFNDRLKLCILDFGLTIQGNKAEDLPEHMLCCIRLNEIDYSNYQSLNI >KGN50058 pep chromosome:ASM407v2:5:4782354:4785156:1 gene:Csa_5G152230 transcript:KGN50058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSMLGDLPSYDPHNFSQLRPSDPSTPSKMIPATYHPTHSRTLPPPDQVINTEAKNILIRHIYQHTEEKSRTKRPAAEHPMPEHGSKQPRASTTNTSN >KGN49949 pep chromosome:ASM407v2:5:4005091:4011617:-1 gene:Csa_5G146230 transcript:KGN49949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDTDNMPDSSHNVEDEVGRVVELAKELHDSAASLISRTAIDEQSLRQRALSLDSSVRRLCSLLNSLQSKKLLDSKLAEKLEDDLQRAKCMMTDGEVASFLPGKPQGKFLRMFLGPINVRASRKDVQLKVKEEYNSYRDRTALLFLLFPSLLLVLRGWVWDGCLPAFPVQLYQAWLLFLYTGLALRENILRVNGSDIRPWWIYHHYCAMLMALVSLTWEIKGQPNCAQKQRGVQLFLQWAMMQGVAMLLQNRYQRQRLYTRIALGKAKRMDVVWGETAGVDGQLWILCPLLFILQGFEAYVGLLLLKTALVGVVPEWQVLFCGFLLVLMAVGNFSNTVQTLMVKSRFKAKMKRSKSKHELAQTNS >KGN50256 pep chromosome:ASM407v2:5:6137402:6138514:-1 gene:Csa_5G162050 transcript:KGN50256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPFFRYYPSFFFLFFFNLLSSVFLFSPVFPATTFPDFSTLTPDNNNNNHDYYAWRGFARFLDAGKGTEVNGISELKKYLNRFGYLPLPPQNNFSDIFDDQFVSALSLYQNRLGLSVTGKLDSETIATIMSPRCGISDLTKFNNNGSTIHSTRRYAFFNGQPRWIRASTLTYALSPDYTIDYLTPSEIRKVVRRSFSRWSAVIPLNFTESSDYDSSDIRIGFYRGDHGDGEAFDGVLGVLAHAFSPENGRLHLDAAERWAVDFEKEKSKVAVDLESVVTHEIGHVLGLAHSAVKESVMYPSLSPRGKKVDLRIDDVEGIQVLYGTNPNFKLESFLESEKSMNNNGSSSSSSNNNSKLLFLLFFYLLIMIW >KGN52255 pep chromosome:ASM407v2:5:24669231:24673604:-1 gene:Csa_5G622700 transcript:KGN52255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYDIDPDVMRWGLHLLDVCTFTNDGSGSTVTEYSFDPSCSQVEYVIEGYCEPCNVNLENDEALAHAFQEEISRIDSIEASGVSDSRDDQMQASVLSQEWPVPSPRHNPYGFDSDQKSNCVNDVNIEEPGDLRVNEVDKMGISSSYHNAVDNPFVDECSSYSLEIIDESSLDGEVGKRLNQIVPVPHVPKTIEKIPSADEEISDHQRLLERLQLYELVENTVQGDGNCQFRALSDQLYRSPEHHDFVREQVIAQLKFCREMYEGYVPMTYEEYLKKMSKKGEWGDHVTLQAAADWFGVKIFVITSFKDTCSIEILPQVQKSNRIIFLSFWAEVHYNSIYPEGEIPTSCTKKKKKWWNFGA >KGN49984 pep chromosome:ASM407v2:5:4284339:4288136:-1 gene:Csa_5G148550 transcript:KGN49984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEIAKEPIEGNRTTIVEDGGELGKNVRWKKLQPWTRQLTVRGVTVSVIIGSVYSVIVMKLNLTTGLVPNLNVSAALLAFVFVRTWTKALQKAGFVTKPFTRQENTMIQTCAVACYSIAVGGGFASYLLGMNRKTYELSGINTEGNSSYSVKEPGLGWMTAFLFVVCFVGLFVLIPLRKVMIVDLQLTYPSGMATAVLINGFHTQGDDMAKKQVKGFMKYFSFSFLWGLFKWFFKGKEKCGFSEFPTFGLKAWNQTFFFDFDSTFVGAGMICSHLVNLSLLLGAILSYGLMWPLLDRLKGQWYPESLEESDMKSLYGYKVFLSVALILGDGLYNFIKILGSTILNVRDRVKKAKAGLDDNEKTEMDEKKDEMFLRESIPLWVGLIGYTTLATISTIVIPQMFPQLKWYFVIIAYVLAPALAFCNAYGAGLTDINMAYNYGKVALFLLAALSPKHDALIAGLAGCGLIKSVVSVACILMQDFKTAHLTSTSPRAMFVSQMIGTAVGCVTAPLSFFLFYKAFDVGNPKGEFKAPYALIYRNMAILGVEGVSALPKHCLQICYGFFGFAIGVNLVKDFGGRRIGKLMPLPMVMAVPFLVGGYFAIDMCLGSLIVFVWGKMNREKAEMMLPAVASGLICGEGLWTLPASVLALAKINPPICMKFVPS >KGN50495 pep chromosome:ASM407v2:5:7688452:7689238:-1 gene:Csa_5G177640 transcript:KGN50495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLFFTIIFYHLFTIFSSVSSRNSPSSVDDYERAWTSVTTPPNCINVSAIPDFKSPENDPPLFVLQEAIESVNASSPIILTIDFSKSSSPSQLAYFVLYFTEVLNFTSENSRTINIFIDSVLMSTITTSLHKCTVVTLFPVHVKASTANVTLAAANSSVGLPPLITAMEVFAKVNATNVTDDSIAGDGRASMQFSLLSFVMMLCVSVLANLM >KGN52395 pep chromosome:ASM407v2:5:25780454:25782828:-1 gene:Csa_5G630970 transcript:KGN52395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSSVVVTIEKHNSFPLVEINGSESLLLPEKQKAASPKQLTWVLLLKAHRALFFFSWLAMTVKAVFASVKKRIAHAGLNENDSKNRGKLYRFIKGFLVFSIVGLIFEVVAHFKKWNLGMIQPLEAEVHGILQWFYVSWLAFRIDYIAPLVLMLSKFCVVLFLIQSLDRLVLCFGCFWIKYKKIKPMIQDDAYDLEDASTFPMVLVQIPMCNEREVYAQSIAAACELDWPRNRILIQVLDDSDDGNLQRLIKEEVLSWNEKGVNIVYRHRLIRTGYKAGNLKSAMACDYVKDYEFVAILDADFQPNPDFLKLCIPHFKGNPELGLVQARWAFVNKEENLLTRLQNINLCFHFEVEQQVNGVFLNFFGFNGTAGVWRTKALEESGGWLERTTVEDMDIAVRAHLKGWKFIFLNDVKVLCELPESYEAYKKQQHRWHSGPMQLFRLCLPSIITSKISIWKKTNLIFLFFLLRKLILPFYSFTLFCIILPLTMFIPEAELPLWVICYIPVFMSFLNILPSPKSFPFLIPYLLFENTMSVTKFNAMVSGLFQLGSSYEWVVTKKTGRSSESDFLALAERESKTSNDEKILRRHSESGLELLSKMNQEEIKQKVASKKKRNKVYRKELALAFLLLTASARSLLSEHGVHFYFLLFQGLSFLVVGLDLIGEQMN >KGN51615 pep chromosome:ASM407v2:5:20863068:20873007:-1 gene:Csa_5G585420 transcript:KGN51615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTPLCDSPMVNHYHPSLSSQSHKLLLSFESFSLQPHLRSAFIHISPFQFRPRTSKLVHSTKRNAGPVASLGGFLGGIFRGTDTGESTRQQYASTVAVINGFEAQMSALSDSQLRDKTSMLKERAQSGEPLDSILPEAFAVVREASKRVLGLRPFDVQLIGGMVLHKGEIAEMRTGEGKTLVAILPAYLNALTGKGVHVVTVNDYLARRDCEWVGQVPRFLGLKVGLIQQNMTSEERRENYLSDITYVTNSELGFDYLRDNLATEKLVLRDFSYCVIDEVDSILIDEARTPLIISGPAEKPSDRYYKAAKLASAFERDIHYTVDEKQKTVLLTEQGYEDAEEILNVKDLYDPREQWASYVLNAIKAKELFLRDVNYIIRGKEVLIVDEFTGRVMQGRRWSDGLHQAVEAKEGLPIQNETVTLASISYQNFFLQFPKLCGMTGTAATESTEFESIYKLKVTIVPTNKPMVRKDESDVVFRATAGKWRAVVVEISRMHKTGRPVLVGTTSVEQSDALSAQLQEAGIPHEVLNAKPENVEREAEIVAQSGRLGAVTIATNMAGRGTDIILGGNAEFMARLKLRELLMPRLVKLTNGAFVSVKKPPPKKTWKVNESLFPCDLSSENAKLAEEAVQFAVKTWGQKSLTELEAEERLSYSCEKGPAQDDVIAKLRNAFLEIVKEYKVFTEEERKKVVLAGGLHVVGTERHESRRIDNQLRGRSGRQGDPGSSRFFLSLEDNIFRIFGGDRIQGLMRAFRVEDLPIESQMLTKALDEAQRKVENYFFDIRKQLFEYDEVLNSQRDRVYTERRRALESDSLQALIIEYAELTMDDILEANIGSDTPTESWDLEKLIAKVQQYCYLLDDLTPDLIRSKYPTYESLQNYLRLRGREAYLQKRDIVEKEAPGLMKEAERFLILSNIDRLWKEHLQAIKFVQQAVGLRGYAQRDPLIEYKLEGYNLFLDMMAQIRRNVIYSIYQFKPVLVKKDQDGGRKEKSGEVVTNGRGTNNNNSGPVAAESSSSATNPKTTA >KGN50429 pep chromosome:ASM407v2:5:7199990:7200234:1 gene:Csa_5G173560 transcript:KGN50429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGDCSWMQREHCNSVSTKSGTPHAPHVEMSRRHLIEHLPKLHLHSTDHRHVSIM >KGN50664 pep chromosome:ASM407v2:5:9204810:9205155:-1 gene:Csa_5G207930 transcript:KGN50664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMTIALSNTFKSDEEKRLLVEEDGMDVLNGNNEARVVKATKLNFPQPMELEIDSKAIERVKNLVVLKVRNGHFF >KGN52438 pep chromosome:ASM407v2:5:26067451:26068480:-1 gene:Csa_5G634340 transcript:KGN52438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRISSSQFFIVFLLLSVAWMGMPKVANAKVCADKLYDVGCVADECKQRCSAKYPNDSSSQCLSLPPIPFHNACLCFYNCA >KGN51140 pep chromosome:ASM407v2:5:16364818:16366839:-1 gene:Csa_5G466370 transcript:KGN51140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGFANKEAVLKTALERRATFYSRSRSQLWTKGETSNNFINIHDISLDCDRDSIIYLGKPVGPACHTGTATCFYTSLDDLIDDPQAGKSKLALSTLYSLENIISQRKAELASLQTGKPSWTKRLLLENKLLCSKIREEADELCRTMEENEDKSRTASEAADVVYHILVSLAVKEVKFEEVLEHLRERFSQSGIEEKKNRAPKVTKN >KGN51651 pep chromosome:ASM407v2:5:21045995:21052433:-1 gene:Csa_5G587220 transcript:KGN51651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALHSLRLCRILSALSAFHHYTARQTSHSNFCPSVPLLSKSLAIISPHWPLRPLIPSSMASYSQSSFGSNNKDDKVGSDTLALEGADYNHWLIIMEFPKDPKPTPEEMVCAYEETCAKGLNISVEEAKQKMYACSTTTYKGFQAVMTKEESEKFRGLPGVVFILPDSYIDLVNKEYGGDKYINGTIIPRPPPIQYGGRQVRRQPNRNPDQPRYDREPRSAPNWQGNPSFNQRGSMQGDGHHSGASQNYPPQGPPQNYASQGPRESRNPSPMNNYSPEGRDFYQGGRGPMPSPQENYNRREQGSYNHNAQGNYQERNYVPPPGPGNFGTEFNPAQGGPYGQGGSRGHGTGTPYGQGQSHGSYRSPAEGQRSSQDDQRNMQGEQRNHNSGGQTWNNQVKQLGKAFRVCSCKDWIMHVLCWVIFLAVGA >KGN52305 pep chromosome:ASM407v2:5:24946679:24949356:-1 gene:Csa_5G623690 transcript:KGN52305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLLVPHDVPPPNVDAEAIKAAFRGWGTDEKAIVAVLGYRNAPQRRQIRIAYEQLFEEDLVKRFESELSGHLERAVYRWILDPEDRDAVLAHVALRKPNEDFAVLVEFSCIYSPEEFLGVRRAYQHRYKRSLEEDVAANTHDDFRKLLVGLVSAYRYNGGEIDAKLAKSEAEILERAVKDKAFNHEDVIRILTTRSKAQLIATFNHYKDANGISISKQLGQDRDANEFTEALKTVIRCINDPVKYYEKVVRNAIKKVGKSDEDALTRVVVTRAEKDLRQIKEAYHKRNSVTLDDAVKKETSGDYERFILALLGNQTE >KGN51363 pep chromosome:ASM407v2:5:18474911:18475629:1 gene:Csa_5G523090 transcript:KGN51363 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oleosin 2 MGDRSPPRQVQVHPQQRSYLQDPTWKISGGGRHVDHHQHQGSGGGPSASKIIAVVTLVPVGGTLLGLSGLTLAATLFGLAVSTPVFLLFSPVIVPAALAICLAIAAFLTSGVFGLTALSSLSWVYRYIRRATGTVPEQMDMAKRKMQDMAGYVGQKTKEVGQEIQSRTQDQGRRSGTTEQRT >KGN52268 pep chromosome:ASM407v2:5:24735193:24738505:1 gene:Csa_5G622830 transcript:KGN52268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGNNFVDEIDCGSFFDHIDDLLDFPVEDVDAGLPPAKGGDSANSFPTIWPTHSESLPGSDSVFSANSNSDLSAELSVPYEDIVQLDWLANFVEDSFCGEGLTMNKEEVKDLTHNNNQFQTSSPVSVLESSSSCSSDKTLQPRSPEPTVATPGQQRGRARSKRPRPATFSPRSPIIQRISPASSVTETTTPDQALQLVPKAASDTDNFAESRPLVKLPKHGAGSGTQKIKNKKIKLSFSLAPPLEGGAGNQNLPSSQSVRKCMHCEITKTPQWRAGPMGPKTLCNACGVRYKSGRLFPEYRPAASPTFIPSLHSNSHKKVLEMRNKTDENTAAITISVQPELIPNPNSAISMDYM >KGN50122 pep chromosome:ASM407v2:5:5219478:5224205:-1 gene:Csa_5G154840 transcript:KGN50122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMGTSIFIIRWINFLTMFLAILVIIFGIWMGTHHDGCRKSLTLPVMGLGGFIFVVSIVGFLGALKNNSILLWIYLIMLCITLVGILVFTVLAFIVTNNGSGHDVAGLRYKEYQLQDYSTWFLKQLNNTENWMRLKSCLVKSEDCNNLSKRYKTLKQYKLAKLTPMEAGCCRPPSECGYPAVNASYYDLSFHPVNSNHDCKVYKNSKAVKCYDCDSCKAGVAQYMKTEWRLVAIFNVILFVVLLIIYLVGCCARRKAARNRSKG >KGN52592 pep chromosome:ASM407v2:5:27219278:27220518:-1 gene:Csa_5G645170 transcript:KGN52592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSLKFSFIKNSVVSGITSKDSKNFHIQVLGCNNFTLQNVNVNAPGTSINTDGIHLGRCVGINILNSNIGTGDDCISLGDGSKQVTITNVTCGPGHGISVGSLGRYNAEEPVEGLQVKNCTITGTTSGLRIKTWPASPAAGVASDMHYEDIVMNNVSNPIMIDQEYCPYNKCNKQSS >KGN51902 pep chromosome:ASM407v2:5:22634536:22634900:1 gene:Csa_5G604960 transcript:KGN51902 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentapeptide repeat MMNLGFQMESFLWVIMELMEWLCVMLCGNWKMKLLITILFFNLSFLCSLLLLLFFFFPINYGIIL >KGN49966 pep chromosome:ASM407v2:5:4135055:4139042:-1 gene:Csa_5G146890 transcript:KGN49966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKKINLEKVTRQKPSLKNRTLFHLICGVYPKRIVRALSCLVCLSMASSISTYHQHHLLSPSFSSKISASSNRLSSPFLTSSSSGLSSKFNFSLRFLTNGQSSSLISPTPRRLSVVSMAPPKAKPGGKAKKVIGMIKLALEAGKATPAPPVGPALGSKGVNIMAFCKDYNAKTADKAGYVIPVEITVYDDKSFTFVLKTPPASVLLLKAAGVEKGSKDPKGEKVGKITIEQLRTIAAEKLPDLNCTTIESAMRIIAGTAANMGIEIDPPVLEPKTKALV >KGN50075 pep chromosome:ASM407v2:5:4876453:4877160:-1 gene:Csa_5G152900 transcript:KGN50075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSILHNIIKSPPLTKPLKNLVLWGLSAHYNGHLAFPSYSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSFSSSSLTLKFPM >KGN51541 pep chromosome:ASM407v2:5:20249086:20253150:-1 gene:Csa_5G576830 transcript:KGN51541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGAGGTSRSTRDLICNAGAGAAAGSIAATFVCPLDVIKTRLQVHGLPSGQSGGSIIITSLQSIMRSEGFRGMYRGLSPTIVALLPNWAVYFTVYEHLKGLLHSDGDDGHQLSFGANMLAAAGAGASTAIATNPLWVVKTRLQTQGMRPGVVPYTGMVSAFTRIVREEGIRGLYSGIIPSLVGISHVAIQFPAYERLKSYIAKRENTTVDKLSPGHLAIASSLSKVTASVMTYPHEVVRSRLQEQGQARNIAPQYSGVMDCIKKVFRKEGVPGFYRGCATNLLRTTPSAVITFTSYEMIHRFLLRVIPSGEDKYSETHPNSNSNSHVKAQKANIDDANEVRDSDIQQSQSQPSKQTSFPLGNKERLTSRH >KGN50312 pep chromosome:ASM407v2:5:6443745:6444802:-1 gene:Csa_5G167045 transcript:KGN50312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQLFVSRSLPSKALILSSMFTRSFLATGGATISASSHSSFSLLRRFRPLVAIPAADFRRLSPALTVRDFATRVASSSLNDPNPNWSNRPPKETILLDGCDFEHWLIVMEKPDEQLTRDEIIDSYIKTLAMVVGSEEEARMKIYSVSTRCYFAFGCLVSEELSYKIKELPKVRWVLPDSYLDVKNKSYGGEPFIHGQAVPYDPMYHEEWIRNNAKAGERNKRIVRPRNFDRSRNFERRENIQNREFPNASPNQPPPYNFNRPPPPPPNNYNSPPPPPNNFNRPPPPPPNNFKGPPPPHNYGGSQPNNYWGAPQANNYGGPSNSGRMPAQNDYGGPQPNNNWGAP >KGN51845 pep chromosome:ASM407v2:5:22259935:22261511:1 gene:Csa_5G603910 transcript:KGN51845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARNHIFSRRRRSDQLDGLDRFPTSDSEVHVLAVDDSLVDRTVIERLLRLTACRVTAVDSGIRALQYLGLQDETNSQVGFDGLKVDMIITDYCMPGMTGYELLKKIKESSTLREIPVVIMSSENVVARIDRCLEEGAEDFIVKPVKLSDVKRLREYMVGDEKTGNERSGINKRKLREPCDQPSSSPSISSPASSDSSPSSPSPSSSPSDSLQSTPSSSEPSSPMSTPQSNASK >KGN51879 pep chromosome:ASM407v2:5:22455108:22455461:-1 gene:Csa_5G604250 transcript:KGN51879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALETDSGDDNRRGFRGDSSNVNRRGWSGDVESLQIEEYDEGHWEFVREESGKKRHKQQEEGFIEVTNVGLTNVS >KGN49669 pep chromosome:ASM407v2:5:1816221:1818581:1 gene:Csa_5G056080 transcript:KGN49669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMPSGNVGVPDKVSFQSGGGVAVSGGGGEIHQHHPRPWFPDERDGFISWLRGEFAASNAIIDALCHHLRAVGEPGEYDMVIGCIQQRRCNWTPVLHMQQYFSVAEVMYALQQVTSRRQQRYMDPVKVGPKLYRRPGPGFKQQQGHRAEATVKEETITCAESCNGGNSSTFVSSRKVEQVSNTCDESKASGEDEKLSEKDSGSAVDNKDTHGKDQSNCKTKSAENLEDNAINKDSQVEPDDGCSSSHRDKELQSVQSQNGKQYAATTPRTFVASEMFDGKMVNVMDGLKLFEELLDDAEVSKLLSLVNDLRASGKRGQFQGKFLVFFNSA >KGN49815 pep chromosome:ASM407v2:5:3336355:3339431:1 gene:Csa_5G138470 transcript:KGN49815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYVLRVRMASFFAGAAVASSLGLYLLQRDYKIAYDSISHKMGGLHDSLGSRISALEKLNKNDSSQHVQAAE >KGN50479 pep chromosome:ASM407v2:5:7568270:7571112:1 gene:Csa_5G176010 transcript:KGN50479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLNRFAGARAPANFYSSMFNYYALWKAFSSSSLSSEVDLKSTLLSRSSSSRPDLPMDRNVQWVFLGCPGVGKGTYASRLSSLLGVPHIATGDLVREELSSSGPLASQLAEIVSQGKLVSDEIIFDLLSKRLRASEAKGESGFILDGFPRTIRQAEILEGVTDIDLVVNLKLREEALLAKCLGRRICSECGGNYNITCIDIKDKEGRPELYMAPLLPPPNCASKLITRSDDTEAVVKERLRIYKETSQPVEDFYRKRGKLLEFDLPGGIPESWPKLLQALNLEDLNHKQSAAA >KGN50978 pep chromosome:ASM407v2:5:14134518:14136422:-1 gene:Csa_5G381830 transcript:KGN50978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQTSAIPLAHSLSLISNSRNFNPLSNTLSFPISNPQVRGLQIKCVRVGGVEIPNNKRVQYSLQYVHGIGRNNAKKILFDLNVENKITKDLSEEELISIRDEVSKYMIEGDLRRFNALAIRRLKEIQCYRGIRHIQGLPCRGQRTKNNCRTLKGKKIAIAGKKKAPR >KGN51213 pep chromosome:ASM407v2:5:17271310:17274139:-1 gene:Csa_5G492350 transcript:KGN51213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNFLGGFTEDSTTFNQDIQRCPFLRNINEPTNFSFSSSMAFPVPVRGAKGPIFEDGPNFDMAFRLFHGRDGVVPLSGRSMHPGSVELKPAPSQFNPLAAKAATISLSSFGPGGPFSFGSFSEKWKNQKKKFESSKKESSSQGGNSQHEAVGNEWLQMGNCPIAKSYRAVSSVIPLVAKALQPPPGMKFRCPPAVVAARAALAKTAFAKNLRPQPLPAKVLAIGLLGMAANVPLGIWREHTEKFSPSWFAAVHAAVPFIAMLRKSILMPKSAMAFTIAASVLGQVIGSRAERLRLKAVASKKLTLQDSLTEATLLPVVNMKNGHCGDIESWNPVTTLQVAGPASPNKVPC >KGN51174 pep chromosome:ASM407v2:5:16706880:16708612:1 gene:Csa_5G472110 transcript:KGN51174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANFPFFSIHFCLLLITLFFQASSAGNYNVISFGAKGDERTDSTVSFLKAWASACTSPKPSTIYVPKGRFLIKGIVFKGPCKNQITFQIDGTVVAPSDYRALGNSDSWILFSKVNKVSVVGGTLDGKGASYWACKTSGKNCPVGVPSMTFNWANNIVISGLTSINSRQTHLVINGCNNVVVRNVKAIAPDQSPNTDGIHVQTSKGVTIIGCTLQTGDDCVSIGPGTYNLFMTNLKCGPGHGVRLTLKANELKLEIHSIGSLGKELKEDGVQNISLKNSVFSGSDNGIRIKSWARPSKGFVRNIVFDNIVMDNVENPIVIDQNYCPDNKGCPRQNSGIRISKVTYRNIRGTSATPEAECSWIQYWNIDAS >KGN52646 pep chromosome:ASM407v2:5:27496995:27504311:-1 gene:Csa_5G648670 transcript:KGN52646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRSNGCTIYIGNLDEKVSDRVLYDILIQAGRVVDLHIPRDKESGKPKGFAFAEYESEEIANYAVKLFSGLVNLHKRTLKFAVSGQDKPSPGSNAITSSSRSHEISQYSNRFSPSCRFPTYPENHLEALLNPGLVNQFNGYGSHLDNYNKEYSQRYSGTNLDSFNQPKSRRHDSSFPMVVDGLEENDAIFGYLLRCLLAYVIEYVVILPGLCAFWFAISAFGYACRLPFKPLAPSANKPTQSISKHRCFQAWRSQLPAPTHASIVSHTDYPYPQTDYNQFTFRLFFGTTMSGGSNACTVYVGNLDERVSDRVLYDILIQAGRVVDLHIPRDKDTGKPKGFAFAVYESEEIANYAVKLFSGLVSLHSRILKFAVSGQDKSSPTNSAITSSSNVSHKSRSHGYSNEISQYSNHLSTSCRTYPENHVQALLYHGLVNQYNGYGSHLDNYNKEYSQRYHATTLDSFKQPKSRCYVTNYPVYYTYDLLK >KGN50098 pep chromosome:ASM407v2:5:5048340:5055074:-1 gene:Csa_5G153130 transcript:KGN50098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNRRFAQVSTSDEEDEVPAMKQQSSNSDDNLPIRRKRKKMKLQEEEEEVEGDEKHRRSRKSSNKGEKKMEASKQQQAEDEDDDDDDQSQEDAKPIGDVVRVSGKGRGRKNHYNAFEYDGNRYDLEDPVLLVPEDKDQKPYVAIIKDITQNKDGMMVTGQWFYRPEEAEKKGGGSWQSHDTRELFYSFHRDQVPAESVMHKCVVHFVPLHKQLPIRKQHPGFIVRKVYDTVERKLWKLTDKDYEDSKQQEIDELVKKTMARLGDLPDIEPEDAPADQEDQLKTKRSFKRKNISPLDVTRDESETTRSDLSSKAETPGSCTTNRSEYYSILEIFEVLTGETHRDRWLEKLLEGVQYICHSPEKTHEGDIGKTAANGVNLENKNPELSIAAEKNAKSSKSFIWPDAAVPAITALEKVSNDALSADFQKYNQKMRQLVFNLKNTRLLAQRLLNGELEPSKILNMSPNELKDGLTAEESAATKEPDESERMQMTDARCSRCTERKVGVRDIIQTGHGQRYKLECIACGHSWYASRDELSMLTIDTTNSTKNVGTAPWATAKFEDVEKSLLSPREPEKAAEELFKKTSEAYMPVVDSQKSNKSKKEDNSEPAKNA >KGN50199 pep chromosome:ASM407v2:5:5743841:5745927:1 gene:Csa_5G158550 transcript:KGN50199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRMHSRGKGISASALPYKRTPPSWLKISSTDVEDNICKFAKKGLTPSQIGVILRDSHGIAQVKSVTGNKILRILKAHGLAPEIPEDLYHLIKKAVSIRKHLERNRKDKDSKFRLILVESRIHRLARYYKKTKKLPPVWKYESTTASTLVA >KGN50291 pep chromosome:ASM407v2:5:6319725:6320523:1 gene:Csa_5G165860 transcript:KGN50291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNSEKMSYHIGEAKGQAQEKASNLMDKAGDTAQSAKESMQEAGQQMKAKAQGAADAVKDATGMNK >KGN51754 pep chromosome:ASM407v2:5:21737664:21739004:-1 gene:Csa_5G598620 transcript:KGN51754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFGPISFCSRSYCDGEFLRRNIRIHSHLALQLPFPLGPSITQTIPLDQPKSSRRQGTTAALQQLDVHVEIICYCQKQRSLRPICSVFCSKSFFHNSQTRSYSGKFWVEEHLKLKTSNLVVLGASCSLWRLVCFCIWRLDPFPELENLRKSPKVDSKDYG >KGN50611 pep chromosome:ASM407v2:5:8612955:8613582:1 gene:Csa_5G190550 transcript:KGN50611 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplast small heat shock protein class I MSLIPSIFGGRRSNVFDPFSLNIWDPFEGLPFSNSLANVPSSARETSAFANTRIDWKETPQAHIFKADLPGIKKEEVKVEVEEGRVLQISGERSKEQEEKNEKWHRIERSSGKFMRRFRLPKNAKVEEVKANMENGVLTVTVPKLEKNPCKL >KGN52566 pep chromosome:ASM407v2:5:26981986:26988207:-1 gene:Csa_5G643940 transcript:KGN52566 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA double-strand break repair rad50 ATPase MFTPQKVWSGWPLTPKTGAQKTGAGSASNPNSVTPNLSRKGDGIKGKTVAFGETTTPLSGALVENGGEMFVGSAEAAALDQEGLAEKISRLENELFEYQYNMGLLLIEKKDWTLKYEELKQALAETKDTLKREQMAHMIAISDAEKQEENLKKALGVEKECVLDLEKALREMRAENAEIKFTGDSKLAEANALVTSIEEKSLEVEARLRAADAKLAEVSRKNSEVERKLQDLEAREGALRRDRLSFNAERESHEATLSKQRDDLREWERKLQDAEERLAKGQTILNQREERANESDRMVKQKEKDLEELQKKIDSSNLALKRKEEDIGSRLANIALKEQESDSLKVSLEIKEKELLVLEEKLSAREKVEIQKLLDEHNAILDAKKIEFELEIDQKRKSLDEELKSKVSEVEKKEAEIKHMEEKVGKREQALEKRTEKFKEKEGDYDAKFKALKQREKSLKLEEKNLEAEKKQLLADTEELISLKAEVEKIRAENEAQLLKLHEERESLKVSETERSDFLRLQSELKQEIEKYRQQKELLLKEAEDLKQQKETFEREWEELDEKRAQVEKEQKTLLLQKEEFEKRIFSEEERLKSERLETEAYIHREQENLKLAQESFAASMEHEKSAIAEKAQSDRSQMMHDFDLQKRELESAMQNRVEEMERGFREKDKLFKEEKERELENIKFLRDVARREMDELKLERLKTEKERQEAEANKEHLERQRIEIRKDIEELLELSNKLKDQRERLVAERDRFISYVDKHVTCKNCGEIASEFVLSDLQYLDGFENADVLNLPGLPDKYMEIQGLQVSVSPGGNLGISDVKNGELTPGGAGQKSPISAGTISWLRKCTSKIFKFSPGKKIVSPAFEKQDDEAPVSDEHDDLAEPSKRMSVGEDEVELSLAIASDSLDDRRIQSDVSGRDVEPSQNLSIDNQSNIVSKVPEVAVDSQPSDVRENKKRPKRGKPKINRTRSVKAVVEDAKAIIGELQPTQQAEYPNGNAEDSSQLNNESRDESSLAGKGTQRNLRKRTRANSSQIMGENDHDDSEVRSGSVVEGQPRKRRQRAAPAVRAPEKRYNLRRKVVGASKEPSNISKEHEEVGTVNRREEDVHYSRVRPTPSMGVASDNAGSAHLVRCGTVQDNQDDGVAGTSKISIDMVSQSEEVNGSPENAGKYEDHGEYRSESCEEVGNEDDDDDEEESAHPGEVSIGKKLWTFFTT >KGN51774 pep chromosome:ASM407v2:5:21863613:21866388:1 gene:Csa_5G599800 transcript:KGN51774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPLQKFRPSKDLAKRSAEKYLEEALYIRLFKDGGSEKSVRLQLNKFIKSHKRVFKWEVGDTLRKLRDRKLYYPALKLSEIMAKRGMNKTVSDQAIHLDLVAKARGIDAAENYFVSLPESSKNHLSYSSLLNCYCKELLTEKAEALFEKIKELNLPVTPVPYNSLMTLYSKIGRPDKVCTIIQEMKAANVTFDPYTYIVWMRALAALNDISGVERVIDEMKRDGVKGDWTTYSNLASIYVNANMFEKAAKALKDLEKINTRRDLIGFQFLITLYGQIGDLTEVYRVWRSLRLAFPRTANISYLNMIQTLTKLKDLPGAEKCFKEWESGSPTYDIRIPNALIGAYTKGGLLEKAMALKERALRRGARPNAKTWEFFLNYYLKNGDFKLAGDCVAKAIGKGDRGKWIPSPEIIKSFMSHFEQEKDVDGAESFLEIVKKTVDSLESEVFESLIRTYSAAGRTSSSMSRRLKMENVEVSEACKKLLNKISIE >KGN51189 pep chromosome:ASM407v2:5:16955901:16959709:-1 gene:Csa_5G485190 transcript:KGN51189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHQFPFIPFSFILFLFALPHQSVLCKTLKRDVKALTEIKASLGWRVVYSWVGDDPCGASHLPPWSGVTCSTQGDYRVVTELEVYAVSIVGPFPVAVTNLLDLTRLDLHNNKLTGPIPPQIGRLRRLKILNLRWNKLQDVIPPEIGALKGLTHLYLGFNNFKGEIPKELVTLRELRYLHLNENRLSGKIPPELGTLPNLRQLDLGNNHLVGTIRELIRLEGCFPSLRNLYINNNYFTGGVPSQLANLTNLEILYLSYNKMSGIIPPGVAHIPKLTYLYLDHNQFSGRIPDAFYKHPFLKEMYIEGNAFRQGVKPIGFHKVLEVSDAEFLV >KGN51795 pep chromosome:ASM407v2:5:21970791:21973269:1 gene:Csa_5G600960 transcript:KGN51795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKLFLLSLLGLFIIAYGVSGAFVSDSDIDMIKELESFDIEEEDDDDVYSYTVPVWRSERGSKILMNVDSFDAIGDGVTDDTMAFRKAWETACSTSKSVLLVPKEKTYLVNATTFKGPCKDGMVIQIEGTIVAPDEPELWDPKFPRQWLEFTKLNAATFQGDGVIDGSGEKWWAESCKKNKSRPCKGAPTALTIDSSSNIRVKGLTIQNSQQMHFTIARSETVRITGVRVSAPGDSPNTDGIHITQSTNVVVQNCKISTGDDCISIVNASSGIKMKGISCGPGHGISIGSLGKDNSTGIVTKVVLDTAYLRETTNGVRIKTWQGGSGYVRAVRFENVRMEDVENPIIIDQFYCDSPTTCESQTSAVKISQIMYRNISGTTTSKNAMKFACSDSVPCSNIILSNVNLEKTDGTVETYCHAAQGFGYGVVHPSADCLTSSDNNFASSDQTQVLELETVQLSTEQHIVHTEL >KGN50989 pep chromosome:ASM407v2:5:14305962:14313768:-1 gene:Csa_5G387400 transcript:KGN50989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKKTSRKGKGGRACVVVLGDLGRSPRMQYHALSLARQANLEVDIVAYGGSQPHSALLEHRSIHIHTMTPWPKFLQNLPWIFRPVTLLVKPLVQFLMLLWFLVRICSPAFFIVQNPPSVPTLIAVKWVSVLKRSAFIIDWHNFGHTLLALSLGRNSSFVAVYRWIEKYFGKMADDSFCVTKAMQHELAENWDIKATVFYDQPPEFFHVASLLEKHELFYRLHSNIIQPLGLQDCVSYGAGMLGHEHQETFSTRLVGKDIFLKQNRPAVVVSSTSWTPDEDFNILLEAAVMYDRRVAALLNEDDSIPDEFVWKEIYDEKQCLFPRLLFIITGKGPDKQEYEDKISKLHLKRVAFRTMWLSAEDYPLLLGSADLGVCLHTSSSGLDLPMKVVDMFGCGLPVCAVSYSCINELVKVGKNGLLFSSSSELADELLMLFRGFPNECNDLHSLKKSTVEMGSRRWSTEWEEHAKPLISQVITQYQD >KGN51095 pep chromosome:ASM407v2:5:15879530:15880892:1 gene:Csa_5G440090 transcript:KGN51095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPVTEAATPLLHSTSSESSSDADDHTPPTSYRFHFKGIKFPCDFLNKSPSGYSSYRPLAVLPGHIGSVSSLALCGEFILSASQGKDIIVWQQPDLRVFTRFGHGEGSVKAVVAVGNRVFTAHQDGKIRVWKVSRRSENNFRLVNTLPTAKDYLGKFMKQSNYVQTRRHHKILWIEHADTISCLAVHNGLIYSGSWDKTLKVWRVSDLKCLESIKAHDDAINGVVACNGIVYSASADGKIKAWGRRKKEEEQVEEEMHSLLGILEGHKDVSINSVVVSNDGKWVFGGISDGFLMGWEKIGETMSWKVVCEKKAHKMAVLCVCLTGEFLCSGSADKSIGIWRREAFGRLCKIGVINGHEGPIKCLQAAPNGVGEGFLLYSGSLDKSLRVWWVSKASASSSSSSSSSSSSSAMGVGAFVAEDSKKSIISF >KGN51081 pep chromosome:ASM407v2:5:15643066:15645844:1 gene:Csa_5G430510 transcript:KGN51081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAVYSPHLDSAPPRWVHFAHGLLLFLYQTFDAVDGKQARRTNSSSPLGELFDHGCDALACAFETLAFGSTAMCGRSSFWFWVLSAVPFYGATWEHFFTNTLVLPVVNGPTEGLMLIYLCHFFTTFVGAGWWTQQFGKSIPIFSWVPIFHGKTSNLLSMKIFYIV >KGN51527 pep chromosome:ASM407v2:5:20147926:20148323:1 gene:Csa_5G576690 transcript:KGN51527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTPNFFNGAVTNRSNNNERAPVTDGDGAKSEMGRYYIVEKERNYITILLRKYA >KGN49971 pep chromosome:ASM407v2:5:4164533:4165520:1 gene:Csa_5G146940 transcript:KGN49971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGSCTLLASVLAASTMAFSSSSSSSSSFTGDRDLPLYSLSHQGFVSESGANRGCGADSSSEKEKFAPRFDGLRFIETLVTAHR >KGN50100 pep chromosome:ASM407v2:5:5058007:5063447:1 gene:Csa_5G153150 transcript:KGN50100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFNFSTHAESLKMKEILLGSSSLSCSSGNIMHGLHIDSARMASKRGGRVIVAASPPTEDATVAAEPLTKEDLVAYLASGCKPKEKWRIGTEHEKFGFNIETLRPMTYDQIAGLLNGIAERFDWEKIMEGDNIIGLQQGKQSISLEPGGQFELSGAPLETLHQTCAEVNSHLYQVKAVAEEMGIGFLGIGFQPKWRREDIPIMPKGRYEIMRNYMPKVGSLGLDMMFRTCTVQVNLDFDSEADMIRKFRAGLALQPIATALFANSPFTDGKPNGYLSMRSQIWTDTDNDRTGMLPFVFDDSFGFEKYVDYALDVPMYFIYRKKKYIDCSGMSFRDFMAGKLPPVPGELPTLTDWENHLTTIFPEVRLKRYLEMRGSDGGPWRRLCALPAFWVGLLYDEVSLQNVLDIIADWTQGERQMLRNKVPVSGLKTPFRDGLVKHVAQDIVKLAKDGLERRGFKESGFLNEVTEVARTGVTPAEKLLELYNGKWEQKVDPVFEELLY >KGN51772 pep chromosome:ASM407v2:5:21851875:21856401:1 gene:Csa_5G599290 transcript:KGN51772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAASALFLLDIKGRVLIWRDYRGDVSAVQAERFFTKLIEKEGDPQSQDPVVYDSGISYMFIQHNNVYLMVAARQNCNAASLLSFLHRVVDVFKHYFEELEEESLRDNFVVVYELLDEIMDFGYPQYTEAKILSEFIKTDAYRMEVAQRPPMAVTNAVSWRSEGINYKKNEVFLDVVESVNILVNSNGQIIRSDVVGALKMRTYLSGMPECKLGLNDRVLLEAQGRTTKGKAIDLEDIKFHQCVRLARFENDRTISFIPPDGAFDLMTYRLSTQVKPLIWVEAQVERHSKSRIEIMVKARSQFKERSTATNVEIELPVPADATNPNVRTSMGSASYAPENDALCWKIRSFPGGKEYMLRAEFRLPSITSEEATPERKAPIRVKFEIPYFTVSGIQVRYLKIIEKSGYQALPWVRYITMAGEYELRLI >KGN49661 pep chromosome:ASM407v2:5:1696024:1697740:-1 gene:Csa_5G052550 transcript:KGN49661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDSCVLECESKKRKGRKLKSSQIDEAKDGHDPEAVPAKKSNRVRRKPLSSALEKRKRPRNSGSTSNLDIQVQPPDDDDIELTVEDLLVIAKEYVEADKDRDNRHKIYAERESSRINQRTSYTRNQAEGSFITNNDSKQSALVLKTSIPHDSTAISDGEKVDRSVSTMGDPAKDMLNLFLGPLLKKSVEIEQSKFLTKDVQFSCDLKSQNQRHNDNVGEVVSVMKKKSSLRDKVAIFLG >KGN50928 pep chromosome:ASM407v2:5:13339750:13340010:-1 gene:Csa_5G347550 transcript:KGN50928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQRRRNEEKRLDTGRMLASANRKGEARAATRTWTARRRRSGPYTTAGFVERKKKTGGGYMLASNGREKKRKEERKGEGGGGWRTR >KGN52382 pep chromosome:ASM407v2:5:25713383:25717291:1 gene:Csa_5G630850 transcript:KGN52382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIWVAFALVFLLLFGGVSSVSATPPAKIVRGVLSNVVSSLVKKLWSMKSSAKTAVSSRSMMKFESGYSVETVFDGSKLGIDPYSVEMSPSGELLILDAENSNIHKISMPLSRFSRPKLVSGSAEGYSGHVDGHSREARMNHPKGLTLDERGNIYIADTMNMAIRKISDTGVTTIAGGRWNQGSGHIDGPSEDAKFSNDFDVVYVGSSCSLLVIDRGNKAIREIELNYDDCNTQYADSLNLGVVLLVAAGLFGYLLALLQRRVQAMFSSQKDQEIRSQQMMKATPVAPYQRPPLKSVRPSLIPSEDEPEKLEEGFFGSLGRLFVNSGSSMADIFGGLLSGFRRKPLNHQIHQQFQPVNRHPNAWPLQESFVIPDEDEPPSIETKTPTIKKTYPFMTQDLDRSHQFKPNRSYFSGWDGEFHQQQQQQQIQHHHQQQHIQHHHHQQQQQYHHRQYSAGPTTYYEKSCETNEIVFGAVQEQDGRREAMVIKAVDYGDPRYNHHNIRARYNYTGNPNSY >KGN52364 pep chromosome:ASM407v2:5:25462466:25466293:-1 gene:Csa_5G628690 transcript:KGN52364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIMKKHNHETKLSGCMLISNRLSIVQIKSYGAALYGRRNSYGLLSIESFSGFFGENGVDAFLGTLPISLIIIWIELGESKTAFITFIDPKALEIVFLLLLVAEGEILELKSLLALPNSNALDTLTREPAVLRRFWRYPRNPIHVVTVFSSLVNSSTVVYFGNDVRQHVGMLHLQPLPAIGGLHQLEQPKKIKPFWLVSGGIDVNPFGLD >KGN50276 pep chromosome:ASM407v2:5:6238709:6243677:-1 gene:Csa_5G165210 transcript:KGN50276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVDHLAHERNRSQFDVNDMKIVWAGSREAFEVSDRMSRLVANDPAFRKDNRVHLTRKELFKNTLRKAAYAWKKIIELKLSEEEAGRLRFFVDEPAYTDLHWGMFVPFLKGQGTEEQLQKWLPLAYKMQIIGCYAQTELGHGSNVQWLETTATFDPKTDEFWWPGGLGKVSTHAVVFARLITNGRDYGVHGFIVQIRSLDDHSVLPGITIGDIGVKFGNGAYNTMDNGVMHFDHIRIPRNQLLMRFSQVTREGKYVQSDVPRQLVYGTMVYVRKTIVIDASNALSRAVCIATRYSAVRRQFGSQDGVETQVINYKTQQSRLFPLLASAYAFRFVGEWLQWLYTDVTQRLAANDFSTLPEAHACTAGLKSITTAATADGIEECRKLCGGHGYLCSSGLPELFAVYVPACTYEGDNVVLLLQVARFLVKTVSQLVSGKKPVGTTAYMGRLQHLMESTCKVQKAEDWLNPSIVLEAFEVRSARMSVECAKRLSQFTNQEEGFHELSPSLVEAAVAHCQLIIVAKFIEKLQGDIPGNGVKDQLQKLCSIYALFTLHKHLGDFLSTSTITPKQASLADDQLRSLYAQVRPNAVALVDAFNYTDHYLGSILGRYDGNVYPNLYDEAWKDPMNDTAVPDGYHEYIKPLLKQQLRNSRL >KGN50071 pep chromosome:ASM407v2:5:4854760:4858291:-1 gene:Csa_5G152860 transcript:KGN50071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGELCEGEGKSNEMVVGGEFYYNSNSNSNNIPNQFTNQIQTGFGSTTEPAVVEMFNNESSGGGGGGGGGDDDESFPQNMMVVGPWGTTATTDSSLRCVFPCEPNERPSQGLSLSLSSSNPSSIGLQSFELRQQHQQQQNQSSFPIFHLRNSKFLAPTQELLYEFCSLGITNHSSSSPKHKHPSSSSTNFSLHSLDFMELQKRKTKLFSMLEEVERRYRHYCEQMKAVVASFEAVAGSGAARVYSALASKAMSRHFRSLKDGIVGQIQATRKAMGEKDPIAPGTTRGETPRLRVIDQALRQQRAFHQISIMESHPWRPQRGLPERSVSVLRAWLFEHFLHPYPSDVDKHILARQTGLSRSQVSNWFINARVRLWKPMVEEMYLEETKEQEEEIDNSFNNNANNSFDGVTDFNDDIDGHRSIATRLEDQKPTPDQLLRIDSECLSSIISSNSEKNNDHSRTIKTMQNHHGHQLHGNHNHTFGRVVGDAFGTVELDFSSYNHHTGSGGVSYHNSSHNNNNQHFNNGSGGGVSLTLGLQQHAAAGGNGNGGVSIAFASAGPAVGQNSLFFTRDHMEECQPVQYSLLDGETQNLPYRNLMGAQLLHDLAG >KGN51392 pep chromosome:ASM407v2:5:18665821:18670774:1 gene:Csa_5G524850 transcript:KGN51392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLMASSTITLEEDDKEEIRHYGIGNWVIGIVAFKNGKLQMIKAPNCFSFSLAFRYGPAAMAICIPVGSCKLFCRAVDLKGQGCRRAQWDASVAFKRCLQDEFSRSCELSKFSHVYRSKASQPIICKKWRTLTAVTSACLSDGSTKYFDFVVIGSGVAGLRYALEVAKCGSVAVITKAEPHESNTNYAQGGVSAVLCPSDSVESHVQDTIVAGAHLCNEETVRVVCTEGPDRIKELIAMGASFDHGEDGNLHLAREGGHSHRRIVHAADMTGREIERALLEAVVRDPNIFVFEHHFAIDLLTSEDGSDIVCVGVDTLNAETLEVTRFISKVTLLASGGAGHMYPSTTNPPVATGDGIAMSHRAQAVISNMEFVQFHPTALADEGLPVRLEKPRDNAFLITEAVRGDGGILYNLSMERFMPLYDERAELAPRDVVARSIDDQLKKRNEKYVLLDISHKPRESILSHFPNIAAECLKHGLDITCQPIPVVPAAHYICGGVRAGLQGETNVRGLYVAGEVACTGLHGANRLASNSLLEALVFARRAVEPSVDHMRNSSLDSSALNRWARPFVPVSLGNDFMSRIISMTKDVRKQLQLIMWKYVGIVRSTTRLETAERKISELEATWEEYLFHQGWEPTMVGLEVCEMRNLFCCAKLVVSSALARQESRGLHYTIDFPHLEESKRLPTIIFPCSPTKSTWSSRQLHWQPV >KGN49880 pep chromosome:ASM407v2:5:3647025:3649441:-1 gene:Csa_5G139580 transcript:KGN49880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKLVSARDFRVYGPRSVRNRAEYINAGLYLFATILLLGGFVAQLSREPKSGLALILLATSLFAIVNLHDLFAHLAGIDYRLPLLVQYDAQLFFVEFAVPFLQAIGSLLLSFAALFLLIQEKKGHDYHKLEKHASNMLIAGPALWMLGSIHNSCQIYERADAHVQILQQSVHIPFLIGSLLFFVAAVLNSYEQVGLAHHGLKLLGKNWIWLGIFGSLSFLLGGLANVLKVFKMQQFEGLRLEKLRGGANERLLGEREGRIPLILEDQGRRKRHSDEERGRVEARPTPYKDVLVGQSP >KGN50527 pep chromosome:ASM407v2:5:7907241:7908926:-1 gene:Csa_5G180860 transcript:KGN50527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPNENDLISNLPDEVLYKISSFLPFESVLQTTFLSKRWRNLWNKISVQNGALEDLPNAAINFLIHYFNHFNPLNYFRKLQYQFDNGKALFLSVLSNQKLHLHFSSHKHEVPSEFDWKLNLNYDHHQLSHSTFFVKTLCLKSVSRLTTEAVSSLVSSIYSLESLKLIECNGLQSFSVSSSSKLRSLTILDCLQLEFLHIGSSKLRSFRYRGSFPRIQLDYHFNMEDVMLDCRQGPGYLYKSNEFDPILLTVKNAETLTICKWTFEALIFPSLSWNFQFYKLKELWWIDDLKENFNVKPLIAIIKLCPTLERLFVTFDPESYRISSTDKEVRLEQLKVVILKGFTGQEDGSSSEEKLKIGNFFMNFTEVSPLQLKLKDVSNLKVGQIWSERIESFPKHSHLNIWGDTKVLD >KGN52048 pep chromosome:ASM407v2:5:23398897:23399138:-1 gene:Csa_5G608360 transcript:KGN52048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMKMMMMNNGGSKRRGSSRGLGGVVRQQRARLYIIRRCVIIFVYTGGD >KGN49876 pep chromosome:ASM407v2:5:3625520:3628932:1 gene:Csa_5G139540 transcript:KGN49876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGNSTGNGNGNVGGLSNAEWELIRRYHRNEPAENQCTSRLVKRIKAPVPLVWSLVRRFDQPQRYKPFVSRCVLKGNLEIGTLREVDVKSGLPATTSTERLELLDDDNHILSMRIVGGDHRLKNYSSIISLHPEIIDGRPGTLVIESFVVDVPEGNTKDETCYFVEALIKCNLKSLADVSERLAVQDRTEPLDRI >KGN51875 pep chromosome:ASM407v2:5:22440187:22443966:1 gene:Csa_5G604200 transcript:KGN51875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVFTNVFTTSCFFFPTEFRFLSSSVLPSASIAFVPNSRWGWSSHHMVATERRCALLSSKGMFFGCSGFVTRCKDLDREGDFSLEEEILEFMKSSRDPEAFPSKKDLIEAGREDLVDAIVKKGGWLCLGWNLDEEEYGGIGQFCLDEQKPILATDWDSFKCDERQRYTTPLSSIASSSSSSSFTGRSLETDAEHESGIEGMLNRLEKERNATFGAGKNDLHPESTAMETVADLRGSTISTPLSANQGKWSDFGGYLTHGSSCVKVNDFGGSVSPEVQRSWNTVKTDLEDEEFEASEIALSGEVSDVLRYETLGYSGDPTNSNSEKEMNHDQLRRQLQNMELELSSVIGSLKSNASLSEKGQNKSSDDLLELSDAFEFQENEILTAKDKLRSIRAKIAVIEGKMALTIIDAQKVIEEKQKRINCARRALQLLRTACVVWPNSASEVLLVGSFDGWSTQRKMERSSTGVFSLFLKLYPGKYEIKFIVDGQWKIDPLRPIVNTSGYENNLLIIT >KGN51261 pep chromosome:ASM407v2:5:17616746:17617959:-1 gene:Csa_5G505220 transcript:KGN51261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSSSSYMHMVQHLIEKCLIFHMTKEECMDALSKHADIKPIITSTVWNELEKENKEFFEAYAQSHNNSDRMSEEETSQMIQKMISDSGKGDPKN >KGN51657 pep chromosome:ASM407v2:5:21080158:21082622:1 gene:Csa_5G588760 transcript:KGN51657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVPSEGENALATVGPRPMEWSTVPYNPPQGPGPNVKQRTSSLESPIMLLSGHQSAIYTLKFNPDGNVVASGSHDKEIFLWNVHGDCKNFMVLRGHKNAVLDLHWTVDGSQIVSASPDKTLRAWDVETGKQIKKMAEHSSFVNSCCPTRRGPPLIVSGSDDGTAKLWDMRQRGAIQTFPDKYQITAVSFSDASDKIFTGGIDNDVKVWDLRKGEVMMTLQGHQDMITGMQLSPDGSYLLTNGMDCKLCIWDMRPYAPQNRCVKIFEGHQHNFEKNLLKCSWSADGSKVTAGSSDRMVYIWDTTSRRILYKLPGHTGSVNECVFHPSEPIVASCGSDKQIYLGEI >KGN50012 pep chromosome:ASM407v2:5:4455460:4457950:-1 gene:Csa_5G148830 transcript:KGN50012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSPDAIRTILGIFGNAISLFLFLSPVPTFIQIWKKGSVEQYSPVPYLATLINCMVWTLYGLPMVNPGSILVVTINGTGVVIELVYIILFLIYSDGKKKRLKVLLMMLVEVIFVALLALLVLTLAHTYHRRSAIVGTVCILFNIMMYASPLTVMKLVIKTKSVEYMPFFLSFASLANGIVWTAYACIRFDPFITVPNGLGTLSALVQLILYATFYKSTQRQIAERKAQIHLSEVVVNSAVSLPEKTANGGASTTPISDTTATRKA >KGN50191 pep chromosome:ASM407v2:5:5676824:5678698:-1 gene:Csa_5G157980 transcript:KGN50191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGSDLKFFLNSSVHVKQATQIHAQILVNGLPNLESCLVRQITRSQFTCARIVSRYLQRILHHSRNPDAFTWACAVRFFSQNGQFMEAIAHYVQMQRLGLHPSTFAVSSTLRACGRIMCKFRGWCIHAQVYKLGFCRCVYVQTALVDFYSKLGDMGFAQKVFDEMTEKNVVSWNSILSGYVKIGNLVDAQKLFDEMPVKDAISWNSMLTGFSNSGNMDRACCLFQQMGEKSSASWNAMIGGYVNCGDMKAARNLFDVMPNRNNVTRITLIAGYSKLGEVNSAYELFDKMEESEKELLSFNAMIACYSQNSMPNKALELFNLMLQPHVNIQPDEMTFASVISACTQLGNLSYGTWIESYMEKLGIELDDHLATALVDLYAKSGNINRAFELFNGLKKRDLVAYSAMIFGCGINSKAHEAIRLFKEMLRVNICPNLVTYAGLLTAYNHAGLVDEGYLCFSSMKDHGLAPLADHYGIMVDLLGRAGRLEEAYELIHSMPVQPNAGVWGALLHACKLHNNVELGEIAARNCSKLVTDTTGYRSLLANIYSSMERWDDAKRMRKAMGNKIFAKISGCSWMEQSES >KGN51839 pep chromosome:ASM407v2:5:22222262:22228058:1 gene:Csa_5G603360 transcript:KGN51839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLYRSVSALHLERLTLSSKLLFSLKNSNLLVPNSCSIRIGLVCLRQACSRQSRAVGTVAASAASTAADGIGKDTFYAAEGVSWTSLGVSDTVSRALGSVGMQRPSLIQAACVPSIMSGNDVVIAAETGSGKTHGYLVPLINKICCEHGEDKLTDGDHDLPSLNKLSLVLCPNVMLCEQVVQMANALCDEHGKSILRVAAICGRQGWPVHKPDIVVSTPAALLNYIEPNRSRRSAFLRAVKHVVFDEADMLLVGSFQNKVIRLINLLRFEEKLLSRSKEFPEKLMGLEADPLSQLIVQDEDDLQTETSSEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGEEEGEGEESYNEVESNSIQDDTECVSGKVNDWRRIRKSYKRSKQYIFVAATLPVNGKKTAGAVLRKMFPDASWVSGKYLHCHNPRLEQRWVEVTTDNQVDELIKAVNQSKSQLRVPDDGVIRTMVFANTVETVEAVANILLGAGKECFRYHKDRSLEERSKILADFRVEGGVFVCTDAAARGVDIPNVSHVIQADFATSAVDFLHRIGRTGRAGQHGLVTSLYTKANRDLVSAVRRAENLSQPVEAAFSRKRSFRNKLKKQGSANSKESVMA >KGN52647 pep chromosome:ASM407v2:5:27505662:27508477:-1 gene:Csa_5G648680 transcript:KGN52647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAISNSSTLFLLFFLLLSFSFAGRALAGPNYRDALAKSILFFEGQRSGRIPANQRITWRSNSGLYDGELDHVDLTGGYYDAGDNVKFNLPMAFTTTMLSWGALEYGARMGSELPNTRAAIRWATDYLLKCATATPGKLYVGVGEPHADHKCWERPEDMDTVRTVYSVSAGNPGSDVAGETAAALAAASLVFRRVDRKYSKVLLATAKKVMEFALEHRGSYSDSLSSAVCPFYCSYSGYKDELVWGAAWLLRATNNVKYFNLLKSLGGDDVTDIFSWDNKFAGAHVLLSRRSLLNNDKNFDSYKQEAEAFMCRILPNSPSSSTQYTQGRLMFKLPESNLQYVTSITFLLTTYSKYMSAAKHTFNCGNLVVTPASLKNLAKIQVDYILGVNPLKMSYMVGFGKNYPKRIHHRGSSLPSKATHPQAIACDGGFQPFFYSYNPNPNILTGAVVGGPNQSDGFPDDRTDYSHSEPATYINAALVGPLAFFSGKH >KGN51899 pep chromosome:ASM407v2:5:22590504:22593710:-1 gene:Csa_5G604930 transcript:KGN51899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPGGYTVEVTGLSPVATEKDVLDFFAFSGAIELVEIVRSGEDACTAYVTFKDAYSQETACLLSGAKIVDQRVCITRWGHFDDEFGFWSRPPGHQEEDSTPASAQRSQFISSAGNAVTMAQEVVKTMLAMGYVLGKDTLTKAKAFDESHQVSATAAAKVADLSHRIGLTDMLGAGYEAVKSVDEKYHVLETTKSAISATGRTAAAAADTVVRSSYFSRGALFMSDALNRAAKAAADLGSRGLEH >KGN49619 pep chromosome:ASM407v2:5:975137:975554:1 gene:Csa_5G027440 transcript:KGN49619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERHMLIYSNAPASEFSGPGTLNVKDPSERANMVLELVEKLDYKRYITPKDIVEGKHVDSFLGT >KGN51514 pep chromosome:ASM407v2:5:19994469:19995680:1 gene:Csa_5G571570 transcript:KGN51514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEMEPLIFGSGSYYTILGVGSDSSPEDIRSAFRRLAMRWHPDRWARRRASPALLSEAKAKFQQIQQAYSVLSDQRKRAMYDAGLYNLDDDDDDVHNQGFYDFMQEMVSLMAKVRNEDCKSNSLEELQTMLTEMAQGF >KGN49636 pep chromosome:ASM407v2:5:1218369:1219310:-1 gene:Csa_5G034500 transcript:KGN49636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIAEYEKKYKKLYEHATSVIEDEAKDCKRVEEGLREEIRTSVTPCAKWIDFSKLVEAAIRVQINVHERKLESEALKNVVMLFDLMGWYQDLARAVYVIAMVSQKGPRRRSRQEGKIFARTQYETTNAPSVVTSTLTVFSKSAHVLMDPCAIHSFVSIIFMIEVHKNLECLTEELLIITPVGDRFIANSVYQDCIVHIDGETLLVDI >KGN51910 pep chromosome:ASM407v2:5:22673710:22677163:1 gene:Csa_5G605040 transcript:KGN51910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTISCSTTFTTLAESSIHCLSDPWKPLSSMKPIGKRTPKILLFGYRNLSLRLSPLRSNSLSQSGFRPLCFFNAKDESGGDFQQKENGAGWPILRRWDVPWEWPTVSLTSLACGLSFIVTGLVESAAIPYLGIRIEELSLDEKAEILFLDQGITTVTVLGILYSIANTFQPLPDDLYRYDIRDPLNLQRGWLLWAAVGLVGALASIAVTGAVLSSFNGGSTQRETDALVRLLPLIGSSSISTACLVGITGVLAPVLEETVFRGFLMVSLTKWTPTPVAVLISAAVFALAHLTPGEFPQLFVLGTALGFSYAQTRNLLTPITIHALWNSGVILLLTFLSLQGYDIKELLQTT >KGN52077 pep chromosome:ASM407v2:5:23577264:23579434:-1 gene:Csa_5G609630 transcript:KGN52077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEYCVTGGTGFIASYLVKTLLENGHHVRTTVRNPGDYGRVGFLWEFNGAKERLKIVKADLLEEGSFDEAIEGVDGVFHTASPVLVPYDNNIKTTLIDPSINGTVNVLNSCLKANSVKRVVLTSSCSAIRYRYDVQQLCLLNESHWTDPDYCKRYNLWYAFAKTLAEKEAWRMAGEHGIDLVVVNPSFVVGPLLTPKPTSTQHLVLTIMKGERGEYPNCTLGFVHVDDVVAAHILAMENPKASGRIICSSTVAHWSQIIDTLRSKYPSYPFESKCSFQQGECNPHNMDTSKISELGFPGFKTMEQMFDDSIKSFQDKGFL >KGN50679 pep chromosome:ASM407v2:5:9368205:9368800:-1 gene:Csa_5G211030 transcript:KGN50679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTKNLLSLSFLLLLLHIASADPVRLPHKPLSMAIEGLVYCQNCKKIGTWSLTEAKPISGAKISVICKNHNDQVKFYKVYQTNKDGYFYAELVGYQMNHPVLDHPLQACKVKPVSSPLSDCNLLTNLNYGLTGAPLRFEKKFVVGTNYRAAVYAAGPLAFHPQKCL >KGN52150 pep chromosome:ASM407v2:5:24014386:24017568:1 gene:Csa_5G612300 transcript:KGN52150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAPIIDPLQGDFPEVIEEFLEHGVMKCIAFNRRGTLLAAGCSDGNCVIWDFETRGIAKELKDKDCVSAITSVCWSKYGHQILVSAADRSLTLWDVPKGEKINRITLQQTPLQARLHPGLSQPSLCLACPLSSAPMIVDLKTGSTTLLPVCISDTDTASARGKVSDGTPPFTPTAACFNKYGNLVYVGNSKGEILIIDYERIQIEAMVPISGGAVVKNIVFSRNGQYLLTNSNDRTIRIYENLLPNKDGLKVIDEITKEFDGLDGAEKLKAVGTKCLTLFREFQDSITRMHWKAPCFSGDGEWVVGGSASKGEHKIYIWDRAGYLVKILEGPKEALIDLGWHPVHPIVVSVSLTGLIYIWAKDYTENWSAFAPDFKELEENEEYVEREDEFDLNPETEKVKEPDVNEDEEVDIITVEKDSAFSDSDASQDELCFLPSIPCPDVIDQQDKCVEISSKMIDNNNSGSPLSEEAGVNGRTTNHASSPMEVLDNTDDAGGARMKRKRKPSEKGLELQAEKVKKPSKPSSRLSKFKTKSMVHQDNSNGTYGDDNSDE >KGN50857 pep chromosome:ASM407v2:5:12208530:12213688:-1 gene:Csa_5G290170 transcript:KGN50857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVSRPLSLFRRSPSSISMPVAASEGPFSGVFVVKDEEAEADDSYCWGICKRRSIKKAPFPQDRILTILHSSSQYEETKSTKVWLLPVLDRPLSSNRYYLIKAGGKHKGKAYKCSREDDIRTCCFGDVLSDKKPSPFNLKDIYQQFQIHRHHSGGFFAQSVAPDGVPPKFLRTKGWKLRSSSSSSTFHLPFQEALGLDSSSRELLPDFNFPIFTTRSPPVVVGKWLCPFVFVRENSMSIRKQMKRSPIYSLTLEQCWEQMFSCESPNDETSSIVTVTIDVAREVVLLAGREAEREKGDEHRKGFIWFKVCNRLDGGGTAMGIGLSIALLEKIRWVQEAGGWFSSGDNDKGGEKVVRVEKVEEITSENGWRRFSLYMLVESFVLRRSNGGLVWKYNFRHTHTIKCKWE >KGN49913 pep chromosome:ASM407v2:5:3796789:3798695:1 gene:Csa_5G139900 transcript:KGN49913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSVAFLKFFKHSGADELQKQEEEEEEEEEEGEDSFFDIEFFSVSAGKIQSPEQRPQIPTKLGDHINLCSDSISKRKILPIEPTSKPQSPIQLLKSAPKFPVFIFKKQRSMAKNRPPTGQEGGESRVLNSKLTRDNSTRRFGGIFPPDPCEPPVPAPTRRFSKEVVQKYLKLIKPKVLRKPTDSGSDLSSAAVDSPVREKHENGLPAGIRLVCRHLGKSKSASAAGGLAAAVIPQTNRRDDSLLQQHDGIQSAILHCKRSFNASSEKPLFLSSRSEATTASQERPRSLSCKDFSFKEGKEEGEMKRMMKKE >KGN52079 pep chromosome:ASM407v2:5:23596492:23598397:1 gene:Csa_5G609650 transcript:KGN52079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPILPDFSTSVKLKYVKLGYQYLVNHILTLTLIPVMLAIFIQVLRMGPDEILNLWKSLHFDLIQILCSSFFIIFVATVYFMSKPRTIFLVDYACFKPPVTCRVPFSTFMEHSRLILKDNPKSVEFQMRILERSGLGEETCLPPAIHYIPPKPTMEAARGEAELVIFSAMDALFQKTGLKPKDIDILIVNCSLFSPTPSLSAMVINKYKLRSNIKSFNLSGMGCSAGLISIDLARDLLQVHPNSNAVVVSTEIITPNYYQGNERAMLLPNCLFRMGGAAILLSNRRSERRRAKYRLVHVVRTHKGADDKAYRCVFEEQDKEGKVGISLSKDLMAIAGEALKSNITTIGPLVLPASEQLLFLLTLIGRKIFNPKWKPYIPDFKQAFEHFCIHAGGRAVIDELQKNLQLSTEHVEASRMTLHRWGNTSSSSLWYELGYIEAKGRMKKGDRIWQIAFGSGFKCNSAVWKCNKTIKTPIDGPWADCIDRYPVHIPEVVKL >KGN52513 pep chromosome:ASM407v2:5:26628778:26630792:1 gene:Csa_5G639490 transcript:KGN52513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDGGGESGKVHERPKTRKNLGSEDFPRALAKIAVAQICESEGFQIFQQSALETLADVAVRYVQNMGSTANFCANFAGRTECNLFDIIQALEDLGSVQGFAGASDIEHCLASSSTVKEFARYVAQAEEVPFAYSVPKFPVVKERKLRPSFLQIGEEPPGEHIPSWLPALPDPETYIESPIVKEEVVEPQTIKTEPEKQCRTEKSFWNLQQWLFCNGLEGSQREDPRNAAMTKQIQESNPFLAPPLQFGEKEVSSIVLPDKVLNNSSTEYHVPVMENCQVDTHVSVLETFAPAIESIKNNFHMSEEKYSLNRKSTVQFKIGTGKKAAGNMIELRALNNGVKKSSSWFVGEDEKDDKKRKAEKILKDSMENSNELSHL >KGN49956 pep chromosome:ASM407v2:5:4057631:4058950:1 gene:Csa_5G146300 transcript:KGN49956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMGSCRKKASSRGHHRYVGVRQRPSGRWVSEIKDSLQKVRLWLGTFDTAEEAARAYDDAARALRGSNARTNFEYLPPTSAGDANQPTESPFSFEEGCIEEDGFLGALKAKLFDGKGQRVLQAVAQTTCCSTTDNLNTNNNSNHNETIIIQGGIHPPSSSSGQVDAVTAELDSGGGSGWFNNASAAVGLHAWPVVLGGLEEGGIGDVCVM >KGN52588 pep chromosome:ASM407v2:5:27178663:27182539:1 gene:Csa_5G645130 transcript:KGN52588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSKFSKPSQRVLEGLLTLRLRLHFTHHPITHSNANPLRDPFIAHSFSSAPSFQSKFPSKPISSNVGLSQFLYSPKLTAGNSSLVTKLNAHHSASRFRFFSVKIPRFGGQINGNFAKKVIDKPAAAVSSAFSRYREAIGLQIEAFFKRNYLVLLGFAAALICALLWKIMFGIANTFVGLSEGMAKYGFLALSSAIVAFTGLYMRSRFTVNPDRVYRMAMRKLNTSAGILEVMGAPLTGSDLRAYVMSGGGFTLKNFAPNRRSKRCFLIFPIRGSERKGLVSVEVKKKKGQYDMKLLAVDIPMASGPDQRLFLIGNEEEYKIGGGLISELRDPVVKAMAAVKEFDDLDRIEEKEDAERELQEAERKNREEIEKLEKER >KGN51161 pep chromosome:ASM407v2:5:16533733:16539674:-1 gene:Csa_5G469030 transcript:KGN51161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANPNSVSFRVPYRNLHDAEVEMVAVDEHQLHGIDLNSPSSDGCPNGSQPEHSFPSSPHIRSKPSSLIILILSCTIAAGVQFGWALQLSLLTPYIQTLGIEHAFSSFIWLCGPITGLVVQPCVGIWSDKCSSKYGRRRPFILAGSLMIAVAVVLIGFSADIGYILGDTKEHCRVYKGTRTRAAIIFVIGFWMLDLANNTVQGPARALLADLSGPDQHNVANAVFCSWMAVGNILGFSAGASGNWHKWFPFLLSNACCEACGNLKAAFLIAVLFLTICTLVTIYFADEVPLTAVDQPPRLSDSAPLLNGSEQNSPDILKPELNGLNGSSVDYGHHENINLKNSKAESEENQSEGYYDGPATVIVKLLTSLRHLPPAMHSVLLVMALSWLSWFPFFLFDTDWMGREVYHGDPKGSLTDERVYDQGVREGAFGLLLNSVVLGISSFFIEPMCQRMGARVVWAMSNFIVFACMTGTTIISLISVSHYSEGIEHIIGGNSTIKNAALAVFALLGFPLAITYSVPFSLTAELTADSGGGQGLAIGVLNLAVVIPQMIVSLGAGPWDALFSGGNIPAFALASICALAAGVVAVLRLPNQISSSFKSTGFHFG >KGN49715 pep chromosome:ASM407v2:5:2469700:2470567:-1 gene:Csa_5G082300 transcript:KGN49715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALDEDVVLRDVTNAGVVITDRIAREVATQLDLEESLEASRYATDPYTTHPREWPPLVEVVDTWELPPVLIERYNAAGGEGTALCGIFPEIRRAWASVDNSLFLWRFDKR >KGN52672 pep chromosome:ASM407v2:5:27680788:27682723:1 gene:Csa_5G649900 transcript:KGN52672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELILPFTACKARNRFYKFEMKFQSFCSTRSSSLCACFGASSSSNFGAMKLGIIGVTTSLSSKSSRMTVCAVSFRPCIDIHQILQVYFRNQKQRKMIVVQEIQPEASFDISFLSCLRLEVPKPK >KGN50548 pep chromosome:ASM407v2:5:8082700:8083332:1 gene:Csa_5G182040 transcript:KGN50548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFSPGGKNFAFLLACQILFHELTVTKIGRSLIGMLNFLGYGLDGIRLPIEAGYVNLNAGKIDKVVWTFRNKIANSWKMNTNAAWLENVGSRGISWMVCDSDGSLSALVRNSSMPNDL >KGN50279 pep chromosome:ASM407v2:5:6266806:6267030:1 gene:Csa_5G165240 transcript:KGN50279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRASALHLSHISSATTEPISTFHTKRNKLILLLSLSPPLTVAPPSFHDYLDSQLIHLYKPQKFVSQRFCTYRL >KGN52725 pep chromosome:ASM407v2:5:27991603:27992886:-1 gene:Csa_5G652300 transcript:KGN52725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPIYGLIFLFKWRPGDKDDRLVIKDPNPNLFFASQVINNACATQAILSILMNCPDIDIGPELSKLKDFTKNFPPELKGLAINNSEAIRTAHNSFARPEPFVPDEQKASSKDDDVYHFISYIPIEGVLYELDGLKDGPISHGPCPGSHGDLEWLKMVQPVIQERIERYSKSEIRFNLMAIIKNRKEMYTVELKELQKKRERILQQLAALQSETLLEHSNNEFLNKSLSEVNAGIESATEKILMEEEKLKKWRTENIRRKHNYIPFLFNFLKILAEKKQLKPLIEKAKQKTGGPR >KGN52411 pep chromosome:ASM407v2:5:25866600:25867541:1 gene:Csa_5G632100 transcript:KGN52411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFKSLHLQLFLLLLTFLLLFHPISSRDLNHIHGPSQFLFPKYLIGSRKGHNLEGTHTIKTYLQRYGYLSKSYNIIDTNGVYNNAYDEQLESSIKKYQKFFQLNQSGILDTETLRQMSQSRCSVPDFFESDDNETSMTTSNLHMGSRFKFFPGRPKWPDSKNYSLTFSFINNFPGIFKGEVGDAFLAWYERSRFFFTEVPEGEESDIKISFEVGDHGDGHPFRVGVLAHAFTPTDGRLHFNGDEPFSSEVAEGKYHVRSVALHELGHSLGLAHTEILDAIMYPTLPPNFAKSINSDDVNGLWALYDNFHIGGP >KGN52498 pep chromosome:ASM407v2:5:26555988:26559831:1 gene:Csa_5G638370 transcript:KGN52498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKGDVPVTLETIGTPGTYPRRSSMGCTSYSNSVEKLVPNYLRASTGSCHDFCKYGRNHGFETKSRLPLRKILGRKSLGGGISVDGIVLPERKNTTSTWASADFSSTSRLSETKSRLPLPKNVARKSLDGGKSVDSVILPERKKTTSTWSSTSRLSETKSRQSMTKNGARKSLEGGSSVDCVVFSERKNTTSTTRAKSELSSTSRLHEVDSTTFSKPKLPVESPIFPTPVQREIPNKRKKKLLSSPKQREVLNERKKKLLVEPRTLPTSRSSTKNSLKNLKPEAFTATRRQEDSVVQVFAKAKGRELPEKSDKILKPKSIKVKPLRSAGSLDNSRKKSYSKMGKCLETSKGAAKKVVAASTGSYSSNSIHGAANLTARKHVGNLKGVPLKNRNMIKKSERGQVQSEEVQEKTLQSKEIQEKTLYVIKIENEKIPQQPDQDETNDNMEAVSSLPPESLSPPISPALLPNVEDQDVSEYTESEAENDFYYEGDEIGSSEPEDNITSSEGSENGRSRNHGILPSKEKDPRSTKLSFRRGRVIDIHSESNSPRRLKFRRGRLLGENQKAGDGLRKNFKRGKEVDSETNTTAQETVVLRHQDVQGKKDAQGLFNNVIEETASKLVETRKSKVKALVGAFETVISLQDGKPSLES >KGN50065 pep chromosome:ASM407v2:5:4825077:4826839:1 gene:Csa_5G152800 transcript:KGN50065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIPEKNRKEISKYLFQEGVCYAKKDYNLAKHPEIDVPNLQVIKLMQSFKSKEYVRETFAWMHYYWYLTNDGIEFLRTYLNLPSEIVPATLKKQAKPAGRPFGGPSGDRPRGPSRFDGERRFGDRDGYRGGPRGPGGDFGDKGGAPADYRPSFGGPGGRPGFGRGAGGYGGAPAPSNLE >KGN51715 pep chromosome:ASM407v2:5:21448024:21449339:-1 gene:Csa_5G592790 transcript:KGN51715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWITSIANQFSPEFSFSASVHADVCEFYGLCDSGEEIFCLYGHPNETWEMVLPVGRDGMDRKYWRPLVAVHGDSCLLSVAFYFGARLDRSKRYNCSTFEFLLVSFKLGSVKCNSF >KGN51947 pep chromosome:ASM407v2:5:22814652:22815761:1 gene:Csa_5G606380 transcript:KGN51947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSESKLQDAESNAAATKTLEDQPQSDTPNYSEEKSSEEASLSGKISESGGGDEGGEDIEEEEEGECGFCLFMKGGGCRDSFIAWEKCIEEAEKNKEDIVEKCFEVTGALKKCMEAHPDYYEPILKAEKMAEEEAIIELEKETTPSASQQDTNSDEQKASVGGK >KGN52343 pep chromosome:ASM407v2:5:25234362:25238915:1 gene:Csa_5G626030 transcript:KGN52343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTVENTINKKEDRLPREAREILQSLAIKWGDEIDSSALQMIPLKGAMTNEVFQIKWPTKTEDVSRKVLVRIYGEGVDVFFNREDEIKTFEFMSKHGQGPRLLGRFSSGRIEEFIHARTLSAVDLRDSEISSLIAVKMKEFHDLNIPGSKNVCLWNRLRNWLTAAKKCSLPEEAKAFHLDSMEEEISILEKDLLGDRQSIGFCHNDLQYGNIMLDEETRSITIIDYEYASYNPIAFDIANHFCEMTADYHTDTPHVLDYIKYPGLEERKRFVQIYLSASGHQPNDAEVEQLVQDVEKYTLASHLVWGLWGIISEHVNDIDFDYIEYARQRFEQYWSRKPDLLGQEKVTRV >KGN50812 pep chromosome:ASM407v2:5:11278814:11283569:1 gene:Csa_5G269890 transcript:KGN50812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEECTESSVATSNSTPSNWWDINHNHNHHHHHHPSLSYNSHWLLQNPNSNSSCEEDVSISTSSFTNASNHLLPHHPSDNNHLWTQVLLNIGNDVELESNEENIEGNFLETISSRSSMSTTGIFESTACSDYLKKMDTSNNDNNNWDDTFQTFNTNNNNNNRLLTSHTHMLQNERFLKLSNLVNRWSIALPNPDPHLRHLTMDDQHDHLRASTMPTHEILEPDGTMPHQGLDPCDSSFLRRSLQNQNYGDYISFNGRLAKPVVGINGSSNNPCFKSSLNLSADSKKQIHQICSPTRISGRGSGGVSNEGKKKRSEESSSETSTKKAKQDNSTPSSNKIQQPKVKIGDRITALQQIVSPFGKTDTASVLTETIGYIKFLQEQVQLLSNPYMKTNSYKDPWQSLERKEGKGDGKMDLRSRGLCLVPISCTPQVYRENTGSDYWTPYRGCFYR >KGN50114 pep chromosome:ASM407v2:5:5164804:5168183:1 gene:Csa_5G154760 transcript:KGN50114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METPSPASCWSNVVKTQPAPKPQHQTPSSSVQVFADSCKSSKGVAVAVVDANAIIQGGDKLSSSADKFVSVPEVLDEIRDPVSRHRLAFVPFTLESMDPSPDALNKVIKFARATGDLQTLSDVDIKLIALTYTLETQIHGTKHLRECPPPVHMVNTKRLPEKDMPGWGSNVPNLEEWEALEQDADDPSRLTSKILPLQDLNLNIIPSDGQSEDLSLEHKDDDNLEHLDETESDSRRSRRYPPKKKEINIEGKKMVADGIDASQGQYDDNEGDWTPAVSRSTQRRYHRRKARREYYESLAEKDSQQDVETTNGDIHVEFNGSGQSEDKISELPNTGNGNESQIGEGTNNNENISEILKQMRLEEDSLNALHMSASTKEGSDESEGENAVAVEGTKDAEKDEMEHMEDASQTNESVDMSNVDDVSSDQSWMLRSLSESSVACVTGDYAMQNVLLQMGLRLLAPGGMQIRQLHRWILKCHACYNVTAEIGRIFCPKCGNGGTLRKVAVTVGENGVVLAARKPRITLRGTKFSLPLPQGGRDAITKNLVLREDQLPQKFLHPKTKKKVNKQGDEFFAVDDFFSHHNTDKRAPLQPPVRQALAVFSGKRNPNDNHYSRSKHR >KGN50747 pep chromosome:ASM407v2:5:10189261:10189464:1 gene:Csa_5G223060 transcript:KGN50747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVVGSGSTSGAPSTVRYGKDVGDQFAVVDMADVMFNSGTSSSNSMDSIFAAYVGEDHKWEKEDKKK >KGN49576 pep chromosome:ASM407v2:5:376321:384898:-1 gene:Csa_5G011660 transcript:KGN49576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENFPFPPLELSLRLSLLLLLLQFHFPFSSSSLSCFMDITSLITVSFFPHVDRNMSEGKKLGNGLVEQDEDINKSLTEKVKWCIQSFFMTGIDPGQTPSLTWQRKLNREGTSLWQFRLHLKEIIHLAPVGYRLFRYIREESVKGRGAMINPFIRRRITDTHAIPLGGLGSGSIGRSYRGEFQRWQLFPRKCEDKPILANQFSVFVSRPNAEKYSTVLCAQSPETQREVESSGIGSWDWNLKGHSSTYHALYPRAWTIYDGEPDPELRIVCRQISPVIPHNYKESSYPVSVFTFTLHNSGKTDADVSLLFTWANSVGGLSEYSGNHINSRTKKKDGVHTVLLHHKTASGFPPVTYAIAAQEGNGVHVSDCPCFVISGNSQGISAKDMWLEIKEHGSFDRLKFADMSMPSEVGSSIGAAVSASVTVSSDSVRTVTFSLSWDCPEVNFCRGKTYHRRYTKFYGNLGDAAADIARDAILEHHHWESQIDAWQRPVLEDKRFPKWYPVTLFNELYYLNAGGTIWTDGSLPIQSLVSIGERGFCLDQCRSGDSVIYESHENDTANDILGRMTSRLDELRDSVASNSAFGVNLLQKGEENVGQFLYLEGVEYTMWNTYDVHFYSSFAIIMLFPKLELSIQRDFAAAVMMHDPSKMQLLDNGKWEARNVLGAVPHDIGVNDPWFEVNGYNLYNTDRWKDLNPKFVLQIYRDVVATGDMKFAKAVWPPVYLAIAYMDQFDRDGDGMIENDGFPDQTYDTWSVSGVSAYSGGLWVAALQAASALARVADEKDAEHYFWFKFQKAKRAYEKLWNGSYFNYDSSGGSSSSSIQADQLAGQWYI >KGN51158 pep chromosome:ASM407v2:5:16525990:16526169:-1 gene:Csa_5G469000 transcript:KGN51158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKEGKRAKEEKAKSGQASLVVLNHPSPSLLKTPHGVAQCPIIEPKDEEMWPMGAKMD >KGN49690 pep chromosome:ASM407v2:5:2112989:2113656:1 gene:Csa_5G068170 transcript:KGN49690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYISNLQVSKAKYEASKLMKKHENNDEGVPLKAFNGIPPASTKINNKQAMATEIILKPSNHPVAHYLTSNAYMIPSKQLGAGKAQVTTTSVLDIKASNMQFSREQIKEIFQYHDSDNDGFLNLREVTKAFAFLGTILPLYKAYHGMVYADANKDGLISKDELEKLVDYAYKFFNKR >KGN51860 pep chromosome:ASM407v2:5:22346486:22346779:1 gene:Csa_5G604050 transcript:KGN51860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSSLGLFSLFTGIIFAILKFDPAHDRHVTHRVMYRTRKARGGAYGSWRLFNGFRFSINETYHVPFNSLRDGERDAQSREEVSLSCT >KGN50854 pep chromosome:ASM407v2:5:12108892:12113722:1 gene:Csa_5G289650 transcript:KGN50854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTAIGSHILSQILDSPLPVPFRSLRLSSKIMTSVTFGHQSNWSPGIGIQEHLGRTKISRVDCCPFLPSTHHGNHVAYCRRRTGRQLRPVFAGSIDGAMDPDDPDHRINDSESPKTENEGMNFEMLRENLERSVGTDDSRFSGIDLATLIRNKYGKSYDVQLIKKEFMGRNLLALNVMWKYREQENEHFTEHHCPKNLGDHFTF >KGN49751 pep chromosome:ASM407v2:5:2890696:2892342:1 gene:Csa_5G106010 transcript:KGN49751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSKTFSESDVSVHSTFASPYVRNSAPRLTIPNNSMPKDVAFQIINDELMLDGNPRLNLASFVTTWMEPECDRLIMDSINKNYVDMDEYPITTELQNRCVNMIANLFNAPLGDSDAAVGVGTVGSSEAIMLAGLAFKRKWQNKRKAEGKPYDKPNIVMGANMQVCWEKFARYFEVELKEVKVREGYYVMDPVQAVEMVDENTICVAAILGSTYNGEFEDVKLLNDLLVEKNKESGWDTPIHVDAASGGFIAPFLYPELEWDFRLPLVKSINVSGHKYGLVYAGIGWAIWRRKEDLAEELIFYINYLGANQPTFTLNFSKGSSQIIAQYYQLIRLGYEGYRNVMQNCHDNAMVLKEGVEKTGRFRIVSKDMGVPVVAFSLKDRSRHDEFKVSEMLRRFGWIVPAYPMPEGAKHVLVLRVVIREDFSRTLADRLVVDIVKVMGELDSSVPIPPIPKKSEKMVRLENGQKKRSGKKTGEVTTKREIGAYWGNITTGTKIKLAANLGGPSVNVIA >KGN50658 pep chromosome:ASM407v2:5:9070760:9073982:-1 gene:Csa_5G203410 transcript:KGN50658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELTQPEVYSPGTLHVWKTLLNWLAFFFQIFVSILTAFGHLRLLSSHSSSSPSFKPLPVVELPDHELLAASAVDIASVEDEEESDGLMEKLTIVLDLDETLICAYETSTLPAIIRSQAIEAGLKSFELECFSSDKDCEGKPKVNYVTVFERPGLHDFLKHLGGFADLVLFTAGLEGYARPLVDRIDEENRFSLRLYRPSTISTEYRDHVKDLSCLSKDLRRVVIVDNNPFSFLLQPQNGIPCIPFSAGQPHDSQLLDVILPLLEHLSQQNDVRPVLYERFHMPEWFQKHGIPTYVK >KGN52166 pep chromosome:ASM407v2:5:24084100:24088918:1 gene:Csa_5G613440 transcript:KGN52166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELEKTLKQKTFTRSEINHLTTLLHSRNGDLPVVHKEKSFKFISSIPEPNRKEFVKIPNSEVRMGRPSISTPILSSSVLDGDISSPAEVARAYMGSRESKVCPSMRSLRAQGLGKNSTDSTSLTNMLLAPPSISQFIQHSLARFYETPSVFSWSGFRVEITDNENGLKRRSSFLDNHIRSIVSLRKIRQKPNIHLSKGLSLPISARPISVPVVGLSFDASQSSKFGRTQNFPSCIWNSQLSTKPNKTFARKFITNVESDNIPGAGSSSIYTLSRSSKMASKILEQLEKLTSPKEKVSTFNLLPVREKYHPKLSPAEVVGHLKSVKDVDLPRDDKQSNSLLGISYQGNRENTFQHKEKLEKLKSSDPHPNRDLLKDYGSMGSSKDSMNDQGMPESAVVKSTIQPPKDKQAFPMLPDKDSVYQDESSAARVAPATAEVREGDVSLAVRQTTANESLSPARIQKPSEVIVGSSLYGSSDSETFGDSIDDDIDTGLTFQNASSLCTSQPETNDSFGNKNLPENKQIVSPVFSFVNNVSPRKQPIASSAALDIGNKDDSLTELCADSENVNEPSYPYTQCNPASSNDKLDSSWRTCNDAFSSSVSLSAGLAFSFSSNPGNQSPNDGLSISCPSLYSSYSPSTGFMNRSSSRNIFLSAPYAINNANIITTMASLFSPTTSGAGSYEDEIKQDASLRNVNDTYFSSITTPANSHYSMFSFGSAATPSFVTNLLSKPTVSSATELSAPDVSVEKEFIANAEKTSMILESSTSHVSSGMAGKASVCCGLSFGCSSPASEQFNSGNRPSEFPITGFTSAHATSTISTSNVSTSSTLLEFESFTGASFSSIRCTTSAAALANSTPVLSNSYPKVAFSVSSVNNDCEEQGTSKDNVPLFSQKPKFSFGSGTSELTLFQVGKLENQQTLAEPQNSYPYMAASNSLEAKAGGSFSLNAGGSDKANRRSVKFKRRK >KGN50016 pep chromosome:ASM407v2:5:4493000:4495973:1 gene:Csa_5G149850 transcript:KGN50016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVFDDETEQTISIQEYLKEVEEQELEADLVLGGDEGKECTYNKGYMKRQAIFSCLTCTPDGNAGVCTACSLSCHDGHEIVELWTKRNFRCDCGNSKFGNFVCKLFSNKDVENSNNSYNHNFKGSYCICNRPYPDPDVEEQVEMIQCCMCEDWFHEEHLGLSSIDEIPRDEEGEPLYEDFICKACSAVCSFLSQYPSSIWAVGRQSDTSMDVSNKIDASELPASTSVKHNDDVNLHDSANADPTVDTDKSAGKDSLLSELPENPSSSEPIKDNNQTDSCVLGTSLSASIIVENKPMFLSKNWRGALCRCEKCINNYKLNNISFLLDEEDSIAEYEKMAKQKREEKLLQQEGAESKLFDNLGHVEKIEILNGIADMKDEIRTFLESFDSSKPITSADIHQVFENLAKKRRRTE >KGN50573 pep chromosome:ASM407v2:5:8288755:8291617:-1 gene:Csa_5G182770 transcript:KGN50573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVFEGYERQYCELSANVSRKSNSFAASDSEQKQQWLSEIKVSLDEAEVLIRKMDLEARSLQPGVKATLLAKLREYKADLGKLKKEFKRLTTPNADQAAREELLESGMADAHLASADQRERMTMSIERINQSGERITESRRTMLETEELGVSILQDLHQQRETLLQSHKRLHGVDDAIDKSKKVLTTMSRRISRNKWIVGSVIGVLVLVIIIILYFKL >KGN51325 pep chromosome:ASM407v2:5:18155342:18157956:-1 gene:Csa_5G517720 transcript:KGN51325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPQARKAGLNLPAGMAERSLRLETFSGSFRAISNLSSPSKTSTCSDRFIPCRSSSRLHTFGLIEKASPVKEGGNEAYSRLLKTELFGSDFGSFSPAGSQGQGSPMSPSKNMLRFKTDHSTPNSPYSPSIFSQDAGYSSEISTPPKPPRKVPKTPHKVLDAPSLQDDFYLNLVDWSSQNVLAVGLGTCVYLWSASNCKVTKLCDLGPNDGVCSVQWTREGSYISIGTNLGQVQIWDGSQCKKVRTMGGHQTRTGVLAWNSRILASGSRDRNILQHDLRVASEFVNKLVGHKSEVCGLKWSNDDRELASGGNDNQLLVWNQHSQQPVLRLTEHTAAVKAIAWSPHQSGLLASGGGTADRCIRFWNTANGHQLESVDTGSQVCNLSWSKNVNELVSTHGYSQNQIMVWKYPSMAKVATLTGHTMRVLYLAMSPDGQTIVTGAGDETLRFWNIFPSMKTQTPVRDTGLWSLGRTQIR >KGN49961 pep chromosome:ASM407v2:5:4103162:4106218:-1 gene:Csa_5G146840 transcript:KGN49961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLSMDCHSNDVKAKAGGNQSNEGDLEKQRVAQPSSSALELSTRDGDDNTLLTIVVSTGESKPTSEVPGPGTLRSQEEVILACAVSPKKELLSTTSGSDEQCRICQQEKEEVLIELGCHCRGGLAKAHRTCIDTWFRTKGSNRCEICQVVAANVSPPQSHHGTNYWIWRIDPTYRTQDPQRYCFSPLWLAFAILIGGLLLDILISITLGVSALPVNIIIGVIVVLGLGTVFRLALEFFQEWNSSRGSQRVESNVTIGYFPAI >KGN50206 pep chromosome:ASM407v2:5:5776672:5778783:-1 gene:Csa_5G159600 transcript:KGN50206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENPTSNFFSFDQSHFFFTFLAALLIFLYLRLTRLRVPLPPGPRGVPLLGNLPFLHPELHTYFAQLGQKYGPIVKLQLGRKIGIIINSPSVVREVLKDHDVTFANRDVPQAGRAASYGGSDIVWTPYGPKWRMLRKVCVVKMLSNATLDSVYELRRKEVRNTVAHLYARAGTAVNVGEQGFLTVFNVVTSMLWGGSVEGEQRDGLAAEFRETVSEMTELLGLPNVSDFFPSLARFDLQGIEKKMRELAPRFDSIFEKMIDQRLKIDGGDEGGSVKKNDFLQFLLQVKDEEESKTPLTMTHLKALLMDMVIGGTDTSSNTVEFAMAEMLKSPKTLKKAQEEVVAVVGEDNIVEESHIHSLPYLKAVMKETLRLHPILPLLVPHCPSETAIVSNYTIPKGSRVFINVWAIQRDPKNWDNPLEFDPERFLNGKFDFSGNDFRYFPFGSGRRNCAGIAMAERMVMYMLATLLHSFDWKLEEGDGKIEVEEKFGIVLKMKTPLVLIPTPKLADPTLYQ >KGN50039 pep chromosome:ASM407v2:5:4640152:4643729:-1 gene:Csa_5G151550 transcript:KGN50039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALWIFRFVLVMGLVFSPINGDPVEDKLALLDFVKNLPHSRSLNWNAASPVCHYWTGITCSQDESRVIAVRLPGVGFHGPIPPNTLSRLSALQILSLRSNRITGDFPLDFSKLSNLSYLYLQFNNFSGPLPSNFSVWKNLVFVNLSNNGFNGQIPNSLSNLTSLTGLNLANNSLSGEIPDLQIPRLQVLDLSNNNLSGSLPESLQRFPRSVFVGNNISFGNSLSNNPPVPAPLPVSNEKPKKSGGLGEAALLGIIIAGGILGLLAFGFLILVCFSRRKREDEYSGDLQKGGMSPEKVISRTQDANNRLVFFEGCHYAFDLEDLLRASAEVLGKGTFGTAYKAILEDATIVVVKRLKDVSAGKRDFEQQMEIVGSIRHENVAELKAYYYSKDEKLMVYDFFGQGSVSAMLHGKRGEEKTPLDWDTRLRIAVGAARGIARVHAENGGKLVHGNVKSSNIFLNSQQYGCVSDLGLATITSSLSPPISRAAGYRAPEVTDTRKATQASDVFSFGVVLLELLTGKSPIHATGGEEIVHLVRWVHSVVREEWTAEVFDVELMRYPNIEEEMVEMLQIALSCVARIPDQRPKMPEIVKMIENVRPMEAENRPSTNQLESSMLPQAVETENSTTQ >KGN51739 pep chromosome:ASM407v2:5:21624138:21624478:-1 gene:Csa_5G597490 transcript:KGN51739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDLTWLSTLFAQESSGPLKDINHILMQCDYSSVVSLGEILWQLQDSIFLSWGLGRAL >KGN49714 pep chromosome:ASM407v2:5:2452292:2463197:-1 gene:Csa_5G082290 transcript:KGN49714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQKWIVRDGQCPEFNVEEQAICAVGLTKSKPGVFVEAIQHLLILATPAELILVGVCSSGGADGMDPYAEVSLQPLPEYTIASDGVTMTCITCTDKGRIFLAGRDGNIYELHYTSGSGWQKRCRKICLTSGLGGVISRWVVPNVFKFGAVDPIVEMIYDSERCILYTRTEEMKVQVFVLGSNGDGPLKKVAEERNLINQRNGSYGSRQTKGPRAMSRSPMPSIVCISLLSTLESKSLHLLAVLSDGRRMYLTTSPSNGNMGAYNSSLQTPSCLKVVATRPSPPLGVGGGLTFGANSISGRPQNEELLPKVETAFYSAGTLVLSDSSPPTISSLLLVSKDPVAQSSMSGTSALNARTSFALREIVYSLPVEGRMLFVADVLPLPDAASTMQSLYSQIEFGVSDLPDEHSEKAVGKLWARGDLSTQHILPRRRLVVFSTMGMMDIAFNRPVDILRRLFESNSPRSILEDFFKRFGAGEAAAMCLMLASRIVHCESLITNVIADKAGEAFEDPRIVGMPQLGGNTAVSDTRTAAGGFSMGQVAEEAVPVFSGAHEGLCLCSSRLLFPLWELPVVALKGISDSTTTSHNGLVVCRLSAGAMQILENKLRALEKFLRSRRNQRRGLYGCVAGLGDVTGSILYGSGSDLVSSDRNMVKSIFGAYTRNMESAGTGTSNKRQRLPYSPAELAAMEVRAMECIRQLLLRSAEALFLLQLLSQHHLTRLVQGLDDSFRQAIAQLTFNQLVCSSEGDNLATRLISALMQYYTGPDGRGTVDDISGRLREGCPSYFKESDYKFFLAVECLERAAVALDPMEKENLAREAFNCLSKIPESADLRTVCKRFEDLRFYEAVVRLPLQKAQALDPGCNACNDQTDLAARECALSEREQCYEIIISALRSLKGDVSLKEFGSPMKPAASRAIPDMATRSKYISQIVQLGVQSPDKIFHNYLYRSMIDLGLDNELLEYGGPDLVPFLQNAGRHPIQEVRAVSALTAGASPIGQSGAVGATNEAKYFDLLARYYVMKRQHLLAAHVLLRLAGRRSSDPGDVLTLEERCQYLSNAVLQAKNANSSKGLAGSTPDTLDNGLLEQLEGKLAVLRFQMKIKEELEALASRIESVASTSDSVQNEMMTDNDLAANSIISNTARQKAKELSLELKTITQLYNEYAVPFELWEICLEMLYFANYSSDGNTSIIRETWARLIDQTLSTGGIAEACSVLKRVGVNIYPGDGGGIPLESLCLHLEKAALERSESGVESIGNDDVARALIAVCKGATEPVLNAYDQLLLNGAILPSPKLRLRLLQSVLVVLHEWAMSISSQTVGRSATAASLVLAGKYSLDQIAIFNQGVRDKIAIAANRYMTEVRRLALPQNQTEAVYRGFKELEESLVSSFSFSQF >KGN50270 pep chromosome:ASM407v2:5:6209130:6211053:1 gene:Csa_5G164660 transcript:KGN50270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVILVSPNKLAPDGMVGVSVLADRFFATVKKVVMARSCFDDTKGAVLIKNLAAKEPSALGLETYYKQYYLCLAAAAASIKWIEAEKGVIVTNHSLLFLLHPDDSVVNFRSPSMAHLIIVQNLEIIEPLHSNLWGTSNKKRSLYNMLKTTKTIGGSRLLRANLLQPLKDIETINARLDCLVSIVRALWFSYLHLW >KGN51133 pep chromosome:ASM407v2:5:16276912:16284968:1 gene:Csa_5G464820 transcript:KGN51133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANQRRKRLSSASVVGYSSREPYRVRKKNLALPYGDANLRSHITLVWDGSKRRVVSKREQIGISWRKLRPFVDSVSNEQTILADVFDVPRQIFELEDLSEVLSLEVWQTHLSENERNNLRKFLPGEQENEIDVLGALFSGNNFHFGNPLVQWESSLCSGALHPDAVLQHEQRLRGDKKTYSRELQKYHNNMIGYLQKLKDRCANCKDPEKEIIHQTWRSRNSENRVSTLVNVSRFDHHEDNAIATSESGSWAAEEKACSSDNQTSFMKGREHSERMCNEGYKRERCRNSSSALDDMLNVGTRPEDKLQKRNIQCSDGSKYMSYLKISKKQHDLVKNMKQSGSLDQVLGDIQAFNVQPYQVFVEEEQKKLHEHWLQLSKVHLPVAYANWRQIHLQRRQIIKALEQDLKDRQTQLMDVDTESHDSMLRGQMDAEETDQMDMEETGNESIEKSISGSQSSQSSEQANGGLETDSSSNPKNHDVSNSCDTNLKDSGISRNMDAIESSASQGEALLSIGDVRPGPGMPKNYYSSSTSHDYAASISNLSLANSHADNEQKTRVFNVDPEMPVRGVAKSLLHSQSDNDACVKHGLHGRDIGKILLPRQSDNGAFVEPDLHSRDIGKSSLQRRSDVDTFTYENEGKDIRKALLHRQPEDDTFSSYEDQGKDIEKHLLHRQPDDGVFSYENQGKDELLHSVFKRQGAMSFHHHKERHPCLDFQPSNNDLIEESQYSRHFQEQPNLSMPLQQRQKEDDQVYIQHAVPENIYPDGNRYLIPPSQQQLLPSVGMQDWAANSVRLSSHIHSHSHPINGGCLLSENWFSSEHQVRDGFTGSDGVSVIVPNPSIGSGSNSADQTLFSVLSQGNQFRSPFHSMGSNGQFISPRNYGMLREGNPMIGNVLPETSNPINNYLGGHEIASQGMSWVGMRHQGSNLTDPMEKPYLRSWNQ >KGN50021 pep chromosome:ASM407v2:5:4536997:4543212:1 gene:Csa_5G150390 transcript:KGN50021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRKYLVQVEEAKDATAGRPSVGPVYRSIFAKDGFPPPVQGLDTCWDIFRMSVEKNPGNRMLGRRKVVEGNPAKAGEYEWLTYKEVYELVLKIGNAMRSLGYGPGEKCGIYGANCPEWIISMEACNAHGLYCVPLYDTLGAGAIEFIICHAEIAIAFVEEKKISELLKTLPNTAKFLKTIVSFGKVSDNHKEEVNKFGLEIYTWEEFLQKGDSQQYDLPVKEKSDICTIMYTSGTTGDPKGVLLSNTAIIALIAGVKHLLTTVKENVQENDVYISYLPLAHIFDRVIEEVFILNGASIGFWRGDVKLLVEDIGELKPTIFCAVPRVLERIYGGLNQKISSGGFLKRSLFNFAYSYKYNQMQKGKTHQQAAPLFDKVVFDKVKKGLGGNVRIILSGGAPLAAHIETFFRVVSCAHVLQGYGLTETCGGTFVSLPDELPMLGTVGPPVPNVDICLESVPEMGYDSHASTPRGEVCIKGDTLFSGYYKRDDLTTEVLVDGWFHTGDVGEWQPDGSLKIIDRKKNIFKLSQGEYVAVENLELIYGLVSDIEMIWIYGNSFESFLVAVVNPKKQALEQWAEENGIKGDFNALCEDKRAKDYILGQLSKIAKEQKLKGYENIRAVHLDPLPFDIERDLLTPSFKKKRPQLLKYYQK >KGN52373 pep chromosome:ASM407v2:5:25638897:25643039:-1 gene:Csa_5G630760 transcript:KGN52373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDFWVYRVQTVHSKSLAMSTSAIDVPPKSGFSFDLCRRNDMLAKKGLKSPSYLKTGTTIVGLIFQDGVILGADTRATEGPIVADKNCEKIHYMAPNIYCCGAGTAADTEAVTDMVSSQLQLHRYHTGRESRVVTALTLLKKHLFSYQGYVSAALVLGGVDVTGPHLHTIYPHGSTDTLPFATMGSGSLAAMSVFESKYKEGLTRDEGIRLVTEAICSGIFNDLGSGSNVDVCVITKGEKDYLRNHLLPNPRTYVSSKGYSFPKKTEVLLTKIMPLKEKVEVIERGDAMEE >KGN51345 pep chromosome:ASM407v2:5:18309802:18310689:-1 gene:Csa_5G522910 transcript:KGN51345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKSLQLLFLVLVTIASHVSSKHNPILSPQRLYGCRKGDNVEGIHSIKKYLQRYGYLSHNTSIDSHIIELNSNKFDDSLESAIKLYQKWSHLNVSGILDQETLDQMFKPRCGVRDVFKFNSNKNLEDDLEMSSHYTLFPGNLKWPDYKRHLTYVFTNNFPVDFVPSVTEAMARWAAQSLFTFSEASDAQSADINISFQIKDHADGLPFDGPGGVVGHAFAPTDGRLHLDGDDTWSAGMEVQKVNVMNVALHELGHVLGLAHSTLPQAVMWPYIDSNALKNLNDDDIAGLHALYP >KGN50757 pep chromosome:ASM407v2:5:10323574:10328030:1 gene:Csa_5G224130 transcript:KGN50757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGFVLVFPTAIVVAVVAILLHFYSYGAWRRTAEVRRKLEAQGVTGPPPSILYGNLPEMQKIQLQTAAMASPLHRASAIVAHDYTSTLFPYFVEWRKQYGPLYTYSTGTRQHLYANKVELVKDLSLSNNLNVGKPFYVTKKLAPILGQSVVRSNGAVWAVQRKVIAPEFFMDRVRAMAFHMVDAASCLINKWESRVGDGTIEIDVDEDLRGFSADVISRACFGSSYEKGKEIFSKLRDLQKLICEDSFLFGYSSWSDRFLQPRKHKRINRLEKEIESLIWETVQQRQKECSKTSSSDKDLLQLIMEATIDDPNIGAKDSSKNFIVDNCKSIYFAGHESTAVAATWSLMLLALHPEWQDRIRSEFAQACPDGHLDPTATSQLKSVSMVIHETLRLYPPAAFVARETFAETQLGNVVVPKGVCLWTLIPTLHREVEIWGEDANEFKPERFANGVAKACKFPQAYVPFGAGPRLCLGKNFALVELKIIISLIVSKFRFSLSPEYHHCPSYRMIVEPANGVKIVFQRL >KGN51666 pep chromosome:ASM407v2:5:21129712:21131399:-1 gene:Csa_5G589340 transcript:KGN51666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSGRKVSVVLAVVAVCLLGRVVPGRAQEDDLKEECSNDFEKVVSCFAYATGKAAAPTEECCDSIEDIKESKPKCLCFFIQQTHNGNQQIKSLGIQEIKLLQLPSVCHLKNSSVSYCPKLLGLPANSPDAAIFSNSTSPATPASATTTRTSPDGNAGSKTVANSPVGLMVVAMVVVISFTAFLSPITYA >KGN52554 pep chromosome:ASM407v2:5:26911752:26915040:1 gene:Csa_5G643330 transcript:KGN52554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATFLTVGSLTSSSINNVGEQLPGCLSLKRVPAHLRFSNGYRTKAASNPNLKSVEVPRQWYNLVADLPVKPPPPLHPKTYEPIKPEDLSHLFPDELIKQEASTERYINIPDEVVDIYGLWRPTPLIRAKRLEKLLDTPARIYYKYEGVSPAGSHKPNSAVPQVWYNAQEGVKNVVTETGAGQWGCSLAFACSIFGIGCEVWQVRASYDQKPYRRMMMETWGAKVHPSPSDITDAGRKFLQVDPSSPGSLGIAISEAVEVAALNADTKYCLGSVLNHVLLHQTVIGEECLKQLEALGETPDLIIGCTGGGSNFAGLSFPFLREKLAGNINPVIRAVEPAACPSLTKGVYAYDYGDTAGMTPLMKMHTLGHNFIPDPIHAGGLRYHGMAPLISHVYNLGLLEAISLPQTECFQGAIQFARSEGLIPAPEPTHAIAATIREALRCRETGESKVILTAMCGHGHFDLPAYEKYLQGGISDLSFANEKIQESLTTIPQVA >KGN52400 pep chromosome:ASM407v2:5:25820634:25821882:1 gene:Csa_5G631510 transcript:KGN52400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRLLHHAVGDLPWPQISKSFRLWALELSCPNTVSCADILAAATRDLLTMVGGPYYTVFLGRKDAKVSKASGIEGTLPRPTMSVSEIIRIFASRGFSVQEMVALSGAHSIGFSHCKEFTTEIFNYSNSHYNPRFALGLQRACADYKKNPTLSVFNDVMTPNKFDNMYFKNLQKGLGLLKSDGGLYSDSRTRPWVEKYAADESVFFAAFSQAMMKLGGYGVKVGNEGEIRRRCDVFN >KGN49813 pep chromosome:ASM407v2:5:3318560:3318956:1 gene:Csa_5G137960 transcript:KGN49813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTILVELISVFVVSNCLSLNRFRKTSPHEPRIIYSTNSIEKVTLKKLGGGVRRATRVVVACGVERRVAARATRGCEGVHDEVKARCMVKGREIGKTGKLGKF >KGN50551 pep chromosome:ASM407v2:5:8101525:8128766:1 gene:Csa_5G182070 transcript:KGN50551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTFSPSRSPGSSRLQQLGPVSGVSRLRSSSLKKPPEPLRRAVTDCLSSSAANSHHGGPSASVLVAEASRTLRDYLAAPATTDLAYCVILEHTIAERERSPAVVARSVALLKRYLLRYKPSEETLMQIDRFCLNTISECSFSPNRRSSPWSQSLSQPSAAPTTSSTFSPLPVSSIASGSLIKSLKYVRSLVGQHIPRRSFQPAAFAGAPSMSRQSLPALSSMLSRSFNSQLNAASSAESSEHKDSTVLSISNLSNIEEVDGTVDLEYISLDALKWRWLGEQRLSLFQRESDNFANTQDLRTRNLLEVGAAALLVGDTEAKMKDQPWKSFGTADMPYVDQLLQPSPVATITNSSSARLHLRAITASKRTKPGLHQIWEDSPGSTFRPKARPLFQYRYYSEQQPLRLNPAEVCEVIAAVCSEMSSPIANPLTVTSRLSTNSGKPSMDVAVSVLVKLIIDMYVLDSGIAAPLTLSMLEEMLSSPRSTCKVRAFDLILNLGVHAHLLEPITLDENSTIEEEYSQESYLAEEAQLNSHGKNNLDSPNNINATSSINNFECWILNILYEILLLLVQIEEKEESVWTSALSCLLYFVCDRGRLRRSRLKGLDIRVIKAFLETSRRNSWAEIVHCRLICLLTNMFYQVSEDPTEGASSPIFLVDQVDLVGGTKFIFLEYSLANSREERRNLFLVLFDYVLHQINESCITTGVMEYGDDEIQPLANLFTLANAPEAFYISVKLGVEGVGEILKASISSALCRYPNSERLNMLLENIMEKFNTIIKSFTHLDNEFSYMIQITKSLKLFESIQGSMLRNGVSMKSKLSWATLHSLLHSERIAYRQNGYVWLGDLLFEEITSERDENMWTNVKKLQQRITYAGVNDYSTTSDIPLSIWLMCGLLKSKHPIIRWGFLFVVERLLMRCKFLLNENEMRNSGSNDLGQASKDTRLEKANAVIDIMCSALFLVFQINETDRINILKMCDILFSQLCLRVPQSSDLPIGDDLPHGRVIDYSGESKTTGLFESEAKLDGNFFGELKEEKGRYSKTYNNPLDHETASMAALLLQGQTIVPMQLISHVPAALFYWPLIQLAGAATDNIALGVAVGSQARGNHPGAASDIRSALLLLLIAKCSSDSSAFQEVDGEQFFRELLDDTDSRVAYYSSAFLLKRMMTEKPEKYQHMLQNLVIKAQQSNNEKLLENPYLQMRGILKLANDMGVEL >KGN51538 pep chromosome:ASM407v2:5:20229362:20232347:-1 gene:Csa_5G576800 transcript:KGN51538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVFKLEYIMFQGDSETLKKHCSTEVIERCKSEHRAYQSQGIFFDNKILHISDVEVRETKMMGSTPIIIVAFQTQQVYCVRDANGSIREGGKDTIHTVYYAWAMQMLDPEEVGEGALHAIWRIREMQQFGVQALI >KGN52246 pep chromosome:ASM407v2:5:24622445:24630278:1 gene:Csa_5G622610 transcript:KGN52246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQIPNLDNAPLNLRALREQSQKELINILKNIRGRKCLVVDPKLGGSLSLIIQTSVLKEHGAELRHLSSDPIQTDCNKVVYLVRAQMDLMRFICSNIQSDISKGLQREYFVYFAPRRTVVCERVLEEEKVHHLLTIGEYPLYVIPLDEDILSFELDRSNKEYLVDGDTSSLWHIAKAIHKLEFSFGAIPNVRAKGRASVRVADILNHLQTEEPVNSNDMVVPEINTLILIDREVDMVTPMCSQLTYEGLVDEFLHVNNGSVELDSSIMGAQQDGKKIKVPLNSSDKLYKETRDLNFEVVVQILRQKAMNMKQDYAEMSTTTQSVSELKDFVKKLNSLPEMTRHINLAQHLTTFTSKPSFLGQLDMEHTIIEAESYDICFEYIEELIHKQEALVKVLRLLILLSVTNSGLPKRHFDYLRREILHSYGFEHMGTLNNLEKAGLVKKQESKSNWLTIKRGLQLVVEDTNTVNPTDIAYVFSGYAPLSIRLVQQAVRSGWRPIEEILKLLPGPHSETKRGRFLSSSSYDSLQGASASNDKVTDGRRTVVLVVFIGGVTFAEISALRFLSSQEGMAYELIVGTTKIVSGNSLTETFVEKLG >KGN50448 pep chromosome:ASM407v2:5:7329434:7330833:-1 gene:Csa_5G175720 transcript:KGN50448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVLASVAETIKNFAVIYLVDITEVPDFNTMYELYDPSTVMFFFRNKHIMIDLGTGNNNKINWALKDKQEFIDIIETVYRGARKGRGLVIAPKDYSTKYRY >KGN50848 pep chromosome:ASM407v2:5:12031486:12041792:1 gene:Csa_5G289590 transcript:KGN50848 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfate transporter MGDDDNNNNNNRNGSSKQSEPPRGPPEHSVEIIHPVVPPPRRSWLEKIRNRLKEIFFPDDPLRQFKGQSPVRKLVLGAQYIFPILEWGSHYNFSLFKSDVVAGLTIASLAIPQGISYAKLANLPPIVGLYSSFVPPLVYAVLGSSRDLAVGPVSIASLILGSMLRQEVSPIKDPILFLQLGFTATFFAGLFQASLGFLRLGFIIDFLSKATLIGFMAGAAIIVSLQQLKGLLGITHFTKQMGLIPVLSSVFHHTHEWSWQTILMGFCFLLFLLLTRHISMKRPKLFWVSAGAPLVSVILSTILVFAFKADRHGISIIGKLEEGLNPPSLNMLRFEGSHLGLVIKTGLVTGIISLTEGIAVGRTFAAIKDYRVDGNKEMIAIGLMNVVGSFTSCYVTTGAFSRSAVNHNAGAKTAVSNIVMSVTIMVTLLFLMPLFQYTPNLVLAAIIVTAVIGLIDVPAAYAIWKVDKFDFVVMLCAFFGVILISVQHGLAIAVGISIFKIILQITRPKTAMLGNIGGTDIYRNIHQYKDAMSIQGFLILSIEAPINFANTTYLNERILRWIEDYEAGQDHLKKEGSDLQFVVLELSAVSAIDTSGVLLFKDLRRALEKKGVELVLVNPMGELLEKLQKADENQEILRPNNVFLTVGEAVAFLSATMKRQSSTI >KGN49968 pep chromosome:ASM407v2:5:4153420:4156127:1 gene:Csa_5G146910 transcript:KGN49968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDSYVLETAEASTIYDLVFKVLMFVARLWVGIIVGVLVGWIWKPKWANSGRDLFNSSKLKDNLPSSSCFVGSISRLNSLKTQLPRCLNLTSNCGDEKEALADSPTPSISSSSKLEGEKLARLTEEDLKNLYRLVEEKDGGPSWIQMMDRSTATMSYQAWRRDPQTGPPQYRSRTVFEDATPQMVRDFFWDDEFRKKWDDMLISAQTLEDCSATGTMAVRWVRKGIPCSSIPRQNKPKRVDLYYSSWCIRAVESRKGNSQLTACEVLLFHHEDMGIPWEIAKLGVRQGMWGAVKKIDPALRSYQKHRATEAPLSNCALMANINTKVSIDYLRCSEDASDDSSDNKALEEPLEKPAGKNLPKLLIVGGAIALACSLDHGLLTKAVVFGVARRFSNIGKR >KGN51922 pep chromosome:ASM407v2:5:22709904:22711915:1 gene:Csa_5G605160 transcript:KGN51922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISCIPTCQFTLTKPSSAFSKNEFVINQLHPLSLLSKCTSLNELKQIQAYTIKTNLQSDISVLTKLINFCTLNPTTSYMDHAHHLFDQILDKDIILFNIMARGYARSNSPYLAFSLFGELLCSGLLPDDYTFSSLLKACASSKALREGMGLHCFAVKLGLNHNIYICPTLINMYAECNDMNAARGVFDEMEQPCIVSYNAIITGYARSSQPNEALSLFRELQASNIEPTDVTMLSVIMSCALLGALDLGKWIHEYVKKKGFDKYVKVNTALIDMFAKCGSLTDAISIFEGMRVRDTQAWSAMIVAFATHGDGLKAISMFEEMKREGVRPDEITFLGLLYACSHAGLVEQGRGYFYSMSKTYGITPGIKHYGCMVDLLGRAGHLDEAYNFVDKLEIKATPILWRTLLSACSTHGNVEMAKRVIERIFELDDAHGGDYVILSNLYARVGRWEDVNHLRKLMKDRGVVKVPGCSSVEVNNVVHEFFSGDGVHCVSVELRRALDELMKEIKLVGYVPDTSLVYHADMEEEGKELVLRYHSEKLAMAFGLLNTPPGTTIRVAKNLRICGDCHNAAKLISFIFGRKIVIRDVQRFHRFEDGKCSCGDFW >KGN50135 pep chromosome:ASM407v2:5:5273090:5273855:-1 gene:Csa_5G155460 transcript:KGN50135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISNICFLLFLSLIPFYSHTHAATFEVHNNCPFTLWAAAVPGGGQQLNQNDVWIFDVNPGTAAARVWARTNCNFDDSGNGGCETGDCGGLLQCQGYGSPPNTLAEYALNQFNNLDFFDISLVDGFNVPLEFSPTSGDCRGISCNADINGQCPNELRASGGCNNPCTVFGGDQYCCTDPNSSCGPTDYSRFFKDRCPDAYSYPKDDATSTFTSPGGTNYRVVFCP >KGN51769 pep chromosome:ASM407v2:5:21833309:21840124:-1 gene:Csa_5G598770 transcript:KGN51769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKALFHSESSFLHPHHSRCQNNRDLLRFQVRTPLIFPVKDRGRWIRGKRICLTAKAELDWATMDQLGLSESDVQSPAISTSYRSLNLPKPNQTVLEAQARVCTGPTQTRPLSEEQAFKVLDTILRSVKGELKDEEEVSQSQLGAFFSAMTIRANVFPEATQWSEGEKKAMTTFWPLLIRVLPPDVIFLADPEGSIMGGSSIGPQFVGKGSCEMRLVGALREILAGGHLGYEEVQGVMRDVLPLKTESLMPSGVSHSLLSAFLIGQRMNRETDRELKAHCLVFDDESGPTPVADVRSLTHYGEPYDGNTRYFRSTLFVAAVRSCYGESCLLHGVDWMPPKGGITEEQMLKFMGANTNVFPSHAKRLLEDEEVGFAYVSQREARPSLYSLVGLREHIKKRPPLATTEKVQQFVKARGKEAIVTGFYHHGYEEPLLMLMRRRGVHSGLVVKGEEGALSMTTKLRSANASKGLPVNYCSGFQSLSMQSAFEVDGVSRHSFSLEVNAADYGFQPTDTPRTDRSVSKNIELGLAALNGEKGAAYDRIVLNAGMVDHLLGCSGAEDVSVALERAREVIDSGEALKRLLNYIKISNKFK >KGN52482 pep chromosome:ASM407v2:5:26466410:26469571:1 gene:Csa_5G637720 transcript:KGN52482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQPRVLTVAHDGCADFCTVQEAIDAVPFSNTCRTIIRVSPGIYKQPLYVPKTKNFITFAGLNPETTILTWDNTATKINHHQAARVIGTGTFGCGSTIVEGEDFLAENITFENSSPQGSGQAVAIRVTADRCAFYNCRFLGWQDTLYLHYGRQYLKDCYIEGSVDFIFGNSTALLEHCHVHCKSKGFITAQSRKSSQETTGYVFLRCVITGSGETSYVHLGRPWGPFARVVFAYTHMDVCIKPAGWDNWGKAENERTACFYEYKCFGPGSCSMKRVCWARELLDEEADEFILHRFIDPDVDRPWLCQRMALRIPFSA >KGN52576 pep chromosome:ASM407v2:5:27057049:27063824:-1 gene:Csa_5G644530 transcript:KGN52576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGTAIIAWGSGEDGQLGLGNNEEREWVCAIKALESQNVCSVVAGSRNSLAITEDGKLFTWGWNQRGTLGHPAGTKSENVPSQVKALSNVKIVQAAIGGWHCLAVDDQGRAYAWGGNEYGQCGEEPEKKDNTGRPPRRDIAIPQRCAPKLIVRQVAAGGTHSVVLTREGHVWTWGQPWPPGDITQISVPVRVQGLDMVRLIAVGAFHNLALQEDGTLWAWGNNEYGQLGTGDTQPRSQPIPVQGLSGLTLVDIAAGGWHSTALTDNGEVYGWGRGEHGRLGFGDNDKSSKMVPQKVQLLSGEEIVQISCGGTHSVAVTSDGRMFSFGRGDHGRLGYGRKVTTGQPEEVPIDIPPPRGRTENGHWIAKLVACGGRHTLALVQWKSED >KGN51024 pep chromosome:ASM407v2:5:14762745:14769640:-1 gene:Csa_5G409665 transcript:KGN51024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKEGGDGKCTEDHVSKSSDKDSVPPCEEVECTISVSTDATNNSHAFLNGQGQPEQDVLMSRSLNGLQDISPGNQEDLLHDETKHLALVNDNFRAQSLKFSMDHLNEELERLKNENSLAHDDDHPESDFPGLEHQLMQLHKVNEELGSIFPLFKEFSSSGNALERVLALEIELAEALRSKKKPSMHFQSSFLKQHSDEEAIYRSFSDINELIKDMLDLKGKYTTVETELREMHDRYSQLSLQFAEVEGERQKLMMTVKNVRASKKLLNANNRLSWSSRGEHSPS >KGN52453 pep chromosome:ASM407v2:5:26248058:26254112:-1 gene:Csa_5G636460 transcript:KGN52453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVADKPKKSKPSDEPDHIDVELVHFIEKLQEVQDELEKVNEEAGDKVLEVEQKYNEIRQPVYVKRNEVIKTIPDFWLTAFLSHPALCDLLTEEDQKIFRHLDSLGVEDQKDVKMGYSIMFSFNENPYFEDRKLEKTYTFFEDGAIKITGTTIKWKDGSGASNGVNGEKKGKKRPLAEDSFFSWFGETDQKDITELHDEVAEIIKEDLWPNPLKYFNNDIDEDEEDSDGEDDEENGDEDDDEAEE >KGN50090 pep chromosome:ASM407v2:5:4999744:4999974:1 gene:Csa_5G153050 transcript:KGN50090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLVAVIRRRFHKYRDPLHPSTTSYSAQSEPKTAKSNMELGQSEGKQPYNCLSVKLSSCKLAVHFVINQSKIQYP >KGN50717 pep chromosome:ASM407v2:5:9846818:9853730:-1 gene:Csa_5G218830 transcript:KGN50717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAANLPCFSSLFGVFPLQNNAPNKFLPFSSILSKPLYRFNRICCGVPESGIQQNPSTSRPSSSKNRMEDYNLAMKKMMRNPYEYHHELGMNYTVIADNLIVGSQPQKPEDINFLKEEEGVAYILNLQQDKDVEYWGIDLQSIIERCKELGIHHMRQPAKDFDPDSLRTGLPKAVSLLEWAISKGKGKVYVHCTAGLGRAPAVAIAYLYWFCGMNLNTAYEALTSKRPCGPSKRAIRGATYDLSKNDPWKEPFESLPDNAFEDIADWERNLIRDRVRALRRT >KGN52434 pep chromosome:ASM407v2:5:26033617:26034032:-1 gene:Csa_5G633810 transcript:KGN52434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKGFEERTKERGIIVREWVNQWEVLKYEAVKSGGVEGGGGEVVDERVCKREGFEETCVKELMEGEKGKEVRKKAMEISDMAKKAMAENGSSWRDLESLL >KGN51467 pep chromosome:ASM407v2:5:19556586:19557426:-1 gene:Csa_5G561760 transcript:KGN51467 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polcalcin Jun o MGFKSLFSRKKKSHSSTDSPLGSTTLPILGSRTQIAELEQVFNKFDVNGDGKICSSELGSIMGSLGQPATEEELQNMIKEVDADGDGYIDLDEFIELNTKGVDSDEVLENLKDAFSVYDIDGNGSITAEELHEVLKSLGDDCSLADCRKMITGVDKNGDGMISFDEFKVMMMSGSRSQGFNG >KGN52167 pep chromosome:ASM407v2:5:24094120:24098501:1 gene:Csa_5G613450 transcript:KGN52167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQRSIMPVTLSPTSPLGLSTEKDGLAVIRAGLDRVKIFRHCVSAGRSKEEVFHEEDIATVNGFYIKDKDSTQSSSLDSDSLDDSGNEGSCVWQPFGYEKLAHANRLLLPGTKNDKGDDECWIYCGNGAGCLEIDSDCSQTMQQNSMRKILSWRKRKLSFKSPKVKGEPLLKKHYGEDGGDDIDFDRRQLSTNELFSWWYNLELSAAAFGDDNFAVGTWEQKEVTCRDGCLKIKTEVFFASIDQRSERASGESACTALVAVIADWLLSNQDEMPIRSDLDNLIRDGSAEWRNLCENKDYMEQFSDKHFDLDTVIDAKIRPLSVVAEKSYVGFFHPEGLEEEGVFEFLKGAMSFDTIWDEINLQAADAGESIVYIVSWNDHFFILKVEKEAYYIIDTLGERLYEGCTQAYILKFDKETVIHRLPNNTKETEEKSSNNTKESSKSTGSSDKKTSIDTKQPKSSGPSKEKSSIIKTNQSKSTEISQVEQSTNVSQASEPEILDENPSMDVMQPSDSEEASTSKPTDGLKEASTEKKDESGNGSNIKEEVEECTGKECCQEYIKSFLAAIPIRELLDDVKKNGLSSSTPLHQRLQIEFHRAKVILDAGDQILASSD >KGN52131 pep chromosome:ASM407v2:5:23896409:23898939:-1 gene:Csa_5G611620 transcript:KGN52131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTKKFIQLVEEKKKRALEKKEAPLKWEQKLEAAAKAKADAEAKARKIRASKHKRRSVSDSDTDTESDSHHGGRKAGKRGHKKHRKHSHSDSGDSEKKKDRESKRILKRHHTSHDDSIDKSDHSGEDRRKKRNHRRHVRDSLRSDESYSSSSDDDVEITKRSHSRRPRHHRRIDYSSSDDSSSDDFTSRRKKHVKHHKPHHQHNGTYSSCDEFSRDVYTTRRKKHVKHHKSHHQHEPSRSQSLGKSSDDNHEESALLQSRHKSNHHNKHPHGLAQIDGKHSDNESNETNHDRAKDYH >KGN50830 pep chromosome:ASM407v2:5:11738905:11739108:-1 gene:Csa_5G283480 transcript:KGN50830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAGVDPHDDCKFVGDNQGNIASFEENDNNNEHRKEEDSIEFSKDWGVIDSCVMVKKRKSKPRLRMP >KGN51746 pep chromosome:ASM407v2:5:21663520:21668449:1 gene:Csa_5G598050 transcript:KGN51746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILYRSSVNRKPNDSMRLIIITFMGVFLGFLIGISFPTLSLTKLGIPSGLIPKIDEMYNTDIKAGSSTQTSQNFPSTTTGSGDKNNSHNRNGTSEIWVPSNPRGAERLAPGIVAAESDFYLHRLWGNPHEDLNTKPNYLVTFTVGYKQKENIDKAVKKFSENFTILLFHYDGRTTEWDEFEWSKRAIHVSARKQSKWWYAKRFLHPDIVAPYDYIFMWDEDLGVENFDAEEYIKLVRKHGLEISQPGLEPTRGLTWQMTKKRDGLEVHKDTAERPGWCTEPNLPPCAAFVEIMAPVFSREAWRCVWYMIQNDLIHGWGLDFAVRKCVEPAHEKIGVVDSQWIVHQGLPSLGSQGETQNGKAPWQGVRERCRKEWTMFQSRLANAEKAYFKSLGIDPSNSPKQ >KGN49655 pep chromosome:ASM407v2:5:1586147:1586691:-1 gene:Csa_5G049525 transcript:KGN49655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSGKLVSELVINGPAERFYKVFREKCFLVPKICPNAIQEVDIHDAEWDNHDHGSIKTWYYTVDGKAEVFKEKVEFYDQKLTMVLVGMEGDVFNYYKSYKSTFQVVPKDDNHCQAVMTIEYEKIDDASPYPYKYIHLMNTVTKDIESHLIK >KGN50354 pep chromosome:ASM407v2:5:6676318:6678043:-1 gene:Csa_5G168910 transcript:KGN50354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKKHFSTIVAIVFSLLLLFTTTVTSKRHLLTSPNVNGQRYGTQEALENPWDPGSYGSQWGGGWGGGGPQKQTSQQKEKVKT >KGN51969 pep chromosome:ASM407v2:5:22941744:22947902:1 gene:Csa_5G606600 transcript:KGN51969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPFRLSSSSINSNNPHLSKFNFPSTAAITSDSGFRTNWTTTRLLLFRTTSVPSSTRRKLWISNVAKDQQKELKDPVNGGVVDDSDSFLPDSASIAASIKYHSEFTPSFSPEGFGLSKAYYATAESVRDMLIINWNATYEYYERMNVKQAYYLSMEFLQGRALLNAIGNLELSGNYGDALRVLGFNLEEVARQESDAALGNGGLGRLASCFLDSLATLNYPAWGYGLRYKYGLFKQLITKNGQEEVAENWLEMGNPWEIARNDISYPVKFYGEVISGADGSKQWVGGENITAVAYDVPIPGYKTKTTINLRLWSTKVAPEEFDLSSFNVGNHADAYAAIKKAEKICYVLYPGDDSLEGKTLRLKQQYTLCSASLQDIVARFERRSGEALDWESFPEKVAVQMNDTHPTLCIPELIRILMDVKALTWKEAWDITSRTVAYTNHTVLPEALEKWGFPLMQELLPRHVQIIEMIDEELIHSIVAKYGTKDHELLQQKLKEMRVLENFELPDSVMELLVNSAESAVAVDAIEEAEILDEESLPSKEEEEAEILDEESLPGKEEEESEDKSIAKKIDVSFKVDPKQPKMIRMANLSVVGGYSVNGVAEIHSEIVRTEVFSDFYELWPEKFQNKTNGVTPRRWIRFCNPDLSKIITKWTGTEHWVTDTEKLAILRKFADNEDLQSMWKEAKRINKLKVVSFLKEKTGYLVSPDAMFDVQVKRIHEYKRQLLNILGIVYRYKQMKEMTLEEREAKFVPRVCIFGGKAFATYVQAKRIVKFIADVGATVNNDPDIGDLLKVVFVPDYNVSVAEVLIPGSDLSQHISTAGMEASGTSNMKFAMNGCVLIGTLDGANVEIREEVGEDNFFLFGARAHEIANLRKERAQGKFVPDPRFEEVKAFVRSGVFGSNNYEELIGSLEGNEGYGRADYFLVGKDFPSYIECQDRVDEAYRDQKRWTKMSILNTAGSYKFSSDRTIHEYAKDIWKISPLLIS >KGN50339 pep chromosome:ASM407v2:5:6603012:6608048:1 gene:Csa_5G168770 transcript:KGN50339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSKEEDVPVFLDRSSRMTRGKRMTKLLDEEAEEDELFWNQDALREDEVDDEYEEEPEVVDEFDSDFNEDESEPEEEAENEADERPQMKKRLIFPGKTSKNKNKKRAVSKVEKPSKDEASTDQSTPPEHHDTPDDTEVERTVRKSTRTSVIVRQAERDAIRAALQATMKPIKRKNPGEEKKMSQEEMLLEAAQTEIMNLRNLERVLAREEEVKKRAIVHKAVYNGPRIQYLSRNGCSYLEFSKGSSFQAELSTTSVPYPEKAVCVITGLPAKYRDPKTGLPYATKEAFKTIRERFADDSTVAKEMDMGELFASLSGNGFSARRKRSAPQNKNEMSYLRHFSRFRQIPVFDSDVSD >KGN50870 pep chromosome:ASM407v2:5:12412867:12418398:-1 gene:Csa_5G305760 transcript:KGN50870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKCVGVCSLLGAVAVLCVVAVVEGAIEAVELPGGVFGPESIAFDCRGEGPYASVSDGRILKWKGPHLGWTQFALTSPNREGKECDGQPQSEAACGRPLGIKFHPTTCDLYIADAYFGLLAVGPKGGLARQLATSAQGVPLRFTNALDIDPQNGIVYFTDSSILFQRRVWLLSIMNGDKTGRLLKYDPRTQNVTVLRNGLAFPNGVALNADSSFLLMAETGTLQVLKFWLKGPKANTMEIFAQLERFPDNIKRTDNGDFWIAMNSARGTLDTQTWKELYRGATMKQGEVKIPWIQADPVAVKLNERGEVKGMVDGGEGQALESVSEVEESRGRLWIGSAVKPYVGLIING >KGN52225 pep chromosome:ASM407v2:5:24472030:24477185:-1 gene:Csa_5G621930 transcript:KGN52225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDFQSLQQKPDSSDARAELERGLEELMRGHLDECIPFASCSSAANQEVEDEEGDQLLRRRRRSDLEGDDLAESSAARRRHSRILSRWAARQAQEMITTIERRNRESELMALARLHTVSMLDSSFLRESHSPTSRQQTTVETPSTQASAILQMWRELEDDHVLNRARERVRERLRQQTSVDSSTNMSSTNMSDSRGSENQGSLVDASGSENDFGPWNHDQIASQHVRDENNGSSREQSPDLGEVERERVGQIVRGWMESGISDPSPNVSERSPRSRAEWLGETERERVRIVREWVQMTSQQRGSRGERREDRGTGRGAQADRSRDALVADQDEGQNEHIRRDLLRLRGRQAILDLLVRIERERQRELQGLLEHRAVSDFAHRNRIQSLLRGRFLRNERTVEEERPPSMAASEIVQLQQRHTVSGLREGFRSRLENIVRGQADGQSDSATNSDMNDSRNDRGQTNGSQNIEQEYVQSQPESQVAETSRLPDQLDNMESNSEIENMNWQETTNQDGDWRGQIPEDDRRNWQRTTFGPLSEWREDNAEDVTVNWQANSSNAWSPPSTQVNAERREVHPAEPAAVWHERGTREAAGNWSEGPPGPFRNRRSVPVRRFNRFHPPDDDNVYSMELRELLSRRSVSNLLRSGFRESLDQLIQSYVDRQGRAPIDWDLHRTLPSPAPASPPQDQDQQNEQTDEQNDAVNRPTLVLPSPPVPPPQPLWHHDLHHTSWSRHTMHRSEIEWEIINDLRADMARLHQGMNHMQRMLEACMDMQLELQRSVRQEVSAALNRSAGEKGLPAETSEDGSKWCHVRKGTCCVCCDSHIDSLLYRCGHMCTCSKCANELVRGGGKCPLCRAPIVEVIRAYSIL >KGN50238 pep chromosome:ASM407v2:5:6035919:6036311:1 gene:Csa_5G161870 transcript:KGN50238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKATASLAFLLSLNLLFFTLVSSCDNCYVPAPPKPEPYPPSDYGKCPKDALKIGVCAKLLGGLVDLTIGKPPVTPCCTLVEGLADLEAAVCLCTAIKASVLGKMIKIPLHLSLLINVCNKNLPNGFQC >KGN52419 pep chromosome:ASM407v2:5:25910461:25917171:-1 gene:Csa_5G633170 transcript:KGN52419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEKEGDSKRNSNDNNNKKKKGWWMASIFMHADAVDKFLMTLGFIGAVGDGFTTPLVLVVSSHLMNNIGHTSSSSITDSFVANIDKNAVALLYVACGGFVSCFLEGYCWTRTGERQAARMRARYLKAVLRQDVGYFDLHVTSTSEVITSVSNDSLVIQDVLSEKIPNFLMNAAIFIGSYLAAVILFWRLAVVGFPFVVLLVIPGLLYGKTLMGLARKSMEGYQKAGTVAEQAISSIRTVYAFAGEDKTISEYSSALERSVKFGIKQGFSKGLAIGSNGVSFAIWSFMSWYGSRMVMYHGAQGGTVFAVGAAIAVGGLSIGSGLSNIKYFSEACAAGERIMEVINRVPKIDSADMEGQILRNISGQVQFTNVHFAYPSRPDTIVLNDLTLTIPAGQTVALVGGSGSGKSTVISLLQRFYDPISGSISVDGIGIEKLQLKWLRSQMGLVSQEPALFGTSIKENILFGKEDGSMDDVVEAGKASNAHSFISLFPQGYDTQVGERGVQMSGGQKQRIAIARAIIKRPRILLLDEATSALDSESERIVQEALDKAAVGRTTIIIAHRLSTVRNADLIAVLQDGQVREIGPHDDLIKNQTGLYTSLVHLQHKSPPEPSLSTTSHIEKITTTTSSRRLSLLSHSNSANSGASDLVHETAPPSSNIEKEQELPIPSFRRLLALNLPEWKQALMGCSGAVVFGAVQPLYAFAMGSMISVYFLKSHEEIKAKTRTYALCFVGLALLSLLVNIIQHYNFAYMGEYLTKRVREMMLSKILTFEIGWFDQDEHSSGALCSRLSKDANVVRSLVGDRLALIVQTISAVTIAFTMGLVISWKLALVMIAVQPLVICCFYTRRVLLKKMSNKAIKAQEQSSKLAAEAVSNLRTITAFSSQERILKMLEKAQEGPKRESIKQSWYAGIGLGCSQSLTTCSWALDFWYGGKLVAQGQTTAKALFETFMILVSTGRVIADAGSMTSDLAKGSEAVGSVFDVLDRFTKIEPDDPEGYKPNKLIGQIEINNVDFNYPSRPEAMIFRGFSISIEAGKSTALVGQSGSGKSTIIGLIERFYDPIKGTINIDGRDIKSYHLRTLRKHIALVSQEPTLFAGTIRENIIYGVSKTVDESEIIEAAKASNAHDFISGLKDGYETWCGDRGLQLSGGQKQRIAIARAILKNPGVLLLDEATSALDGQSEKVVQEALERVMVGRTSVVVAHRLSTIQNCDMIAVLDKGKVVERGTHSSLLGKGPRGAYYALVNLQRRSH >KGN50580 pep chromosome:ASM407v2:5:8344861:8351078:-1 gene:Csa_5G184310 transcript:KGN50580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGEGSRGEDEIVGMEEDVKDNKIVFMWGYLPGALPQRSPLLSPVVVRLPGKDATDAHGWRDVCGGGCGFAVAISANGKLITWGATDDLGQSYVTSGKHGETPEPFPLPTEASIVKAAAGWAHTVAITDRGEVYTWGWKECVPSGKMFTDLSAGAAYERDVLERQSSSVIDQVSPRLQASRSSGVVSSIEARAGGAESTKRRRVSSAKLAAESSSSSDETLSAVPCLVTLNPGVRITSVSAGGRHTLALSDMGQVWGWGYGGEGQLGLGSRIRMVSSPHPIPCIESSSFGKDRSAALARGSASLEGQGSRIPGSYVKGIACGGRHSAAVTDAGALLTFGWGLYGQCGQGCTDDELSPTCVSSLLGIRIESVAAGLWHTVCISSDGDIYSFGGNQFGQLGTGADQAETLPRLLDAPSVENVNAKVVSCGARHSAIVSEDGKVFCWGWNKYGQLGLGDVIDRNIPVEVPMENCNSKNVACGWWHTLLLAESPT >KGN52320 pep chromosome:ASM407v2:5:25043638:25053905:1 gene:Csa_5G623830 transcript:KGN52320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMLSSSCAVADLVLKNALIFTCDDSLPFADSMAILNTRILRVGTYSAVQDLVGPRTKELNLGGKVVVPGFIDAHGHLIYQGLQMKEVNLHGVNHKHEFVTRIAEAAKNTKKGTWVLGGGWNNDLWGGELPMASWIDDVTPSNPVLLSRIDGHMSLANNVTLKLAGISNLTEDPEGGTIGKTTGGDPTGLLIDSARKLVLPFIPKVAVEERREALVRASSLALARGVTTIVDFGRYYPGESVELSWEDFSDVYQWADSSGKMMIRVCLFFPMETWSSLHDLIHKMGQVVSPWMYLGGVKGFADGSLGSHTALFHEPYVDEPGNCGMQITEREKLFNLTMESDISKLQVAIHAIGDKANDMVLDIYESVISTNGPRDRRFRVEHAQHLAPEAPQRFGKLGIIASAQPEHLLDDAESATNKLGAQRAEKESFLFRSLLTCKACLAFGSDCPVANINPLGGIRTAMRRIPPSWDHAWMPSECLTLDEAIKAYTISAAYASFLDKDLGSLSPGKLADFVILSTDSWDEFAAEGSASIEATYTGGIQAYP >KGN49730 pep chromosome:ASM407v2:5:2662961:2664381:1 gene:Csa_5G091890 transcript:KGN49730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKHGEVMWPRLVANKILKKRLGSNNFVADIPSNNNNSEALLEIPLFAQSSSVPNAFYKPPTQNYKVFVSTWNVGGVAPNDDLDMEDLVDISCDIYVFGFQEIVPLKASNVFGSENRKICSKWNSMIREALKKKVKQNFKCIISKQMVGIMISIWVRSDLHPFIRSPSVSCIGCGIMSCLGNKGSVSVRFRLHETSFCFVCTHLASGGKEGDEKNRNQNVSDILCRTTFPKGPSLDLPKKILQHE >KGN51206 pep chromosome:ASM407v2:5:17176589:17186940:-1 gene:Csa_5G489310 transcript:KGN51206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCFSQVSTYTRVIFRRTNLVFAASTSIHGCSNAYWTSSFHNVAVKTTALDSLCSRFGLRCYSTRKPRKPRKPTSPSPKLDSEPPVESEMGDFFVVRKGDVVGVYKSFSDCQAQIGSSICDLPVSVFKGHSLPKDTEEYLASVGLKNALYTIKAADMRPDLFGSLAPCTFHGGDTSLTGETSGQDAIKKRSREAIVPENVGSTVLTPTLKDPTRKHIKLEDSIVSHSVSSNRESCFLEFDGASKGNPGQAGAGAVLRAHDGSVICRLREGLGIATNNVAEYRAILLGLKSALKKGFTRIHVQGDSKLVCMQVQGLWKAKHENMSELCNEVTKLKNKFLSFEVNHVLRHLNSEADAQANLALTLAEGEVQEFED >KGN51274 pep chromosome:ASM407v2:5:17786500:17786730:-1 gene:Csa_5G511820 transcript:KGN51274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAIVLKSYPMGLIVTFLVCIVGVVEGTVIAVVMEWNNPSVWSIHFDFQLLAILYAVSIIYQEVLILIKIIIITIT >KGN51610 pep chromosome:ASM407v2:5:20818504:20819367:1 gene:Csa_5G584880 transcript:KGN51610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPRKSSSPSPAPPFGSSPSSSPRPAISLQPSPKRPAFSKPTKLLRQIRAVFRTLPILSPACRIPLNGSRLHDGHVHGGTRITGTIFGYRKSRVNLAFQESPRCLPMLIMELAIPTGKLLQDMGVGLVRLALECEKRPSEKRKILDEPIWTLFCNGKKSGYGVRRDPSNEDLRIMQTLNAVSMGAGVIPAEETGEGDQLTYMRVDFERVTGSKDSETFYMINPDTNNGAELSIFLVRI >KGN51963 pep chromosome:ASM407v2:5:22922792:22923223:1 gene:Csa_5G606550 transcript:KGN51963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFLKKLSSDATDKPEDQNPDHHKTSASDLLSSAKLVADAAKSSFGGGSESVDKGKVAGASADLLGAASDYGKLNPSEGIGSYVEKAENYLHQYEKSHSAPHGSGSEPPKAEEPPKKENAAEKEDGGSGFGDYLKMAEGFIKK >KGN51991 pep chromosome:ASM407v2:5:23058796:23059610:-1 gene:Csa_5G606810 transcript:KGN51991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGEPDNGGSKAAKIVGAVVGFATFIGCVASMFSADSETAGDRRTMKAPGKHGEEMYRDEFEKDPSKYFRDLRKK >KGN50263 pep chromosome:ASM407v2:5:6174651:6179075:-1 gene:Csa_5G162610 transcript:KGN50263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFRAPNRIFILPSTSSYFNSSLRNHNRSFASVFRRFQTSLQARASVGGGNGSSSQGDSYLVPGATVATLLMLGALHARRLYDDKKVEEARERGIEPEFKSDIKATFLRLIPLRLVSRCWGHITNVELPIWLRPYVHRAWARAFHSNLEEVALPLDEYASLREFFVRSLKEGCRPIDPDLQCLVSPVDGTVLRFGELKGAGAMIEQVKGFSYSVAALLGTGSLLPMMAAGDGNEEGSGQENSSTDSGKRSWWKISLAYPKVLDPVSTCPVKGLFYCVIYLKPGDYHRIHSPVDWQVLVRRHFSGHLFPVNERAVRTIRNLYVENERVVLEGLWQEGYMAIAAIGATNIGSVEVFIEPELRTNKPKRRKSLHSDPPEERVYEPEGVGIMLKKGDEMAAFNMGSTVVLIFQAPVSNLHDSRSEFKFSIKRGDRVRAGEALGRWK >KGN52661 pep chromosome:ASM407v2:5:27597050:27599304:-1 gene:Csa_5G649310 transcript:KGN52661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISSSFIISLHLQPFTPNSLAPATAICNSGHRLSRIKSTTDTPPSKIKIVSKFRNRKRPTFAEKDAFPSSLPLHTKNPHAIYEDVQRFARQNKLKEALTIMDYVDQQGIPVNATTFSSLITACVRTKSMTYAKQIHAHIRINGLENNEFIRTRLVHMYTACGSLEEAQKLFDESSSKSVYPWNALLRGTVMAGRRDYRSILSTYAEMRRLGVELNVYSFANIIKSFAGASAFTQGLKAHGLLIKNGLIGSSLLGTTLVDMYFKCGKIKLARQMFGEITERDVVVWGSIIAGFAHNRLQREALEYTRRMIDDGIRPNSVILTTILPVIGEIWARRLGQEVHAYVIKTKSYSKQIFIQSALIDMYCKCGDIGSGRAVFYASMERNAICWTALMSGYALNGRLEQAVRSVIWMQQEGFRPDIVTVATILPVCAQLRALRPGKEIHAYAMKNCFLPNVSIVSSLMVMYSKCGVMDYTLKLFNGMEQRNVILWTAMIDSYIENQCPHEAIDIFRAMQLSKHRPDTVTMSRILYICSEQKMLKMGKEIHGQVLKRKFEPVHFVSAELVKLYGKCGAVKMAKMVFEAIPVKGPMTWTAIIEAYGESGEFQEAIDLFDRMRSRGISPNHFTFKVVLSICKEAGFVDEALRIFKLMSVRYKIKPSEEHYSLVIAILTRFGRLEEARRYVQMLSSLS >KGN49563 pep chromosome:ASM407v2:5:147793:151621:1 gene:Csa_5G002580 transcript:KGN49563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLAFSPHLYIPISVSLPECVHRPSRLQSSDSNVQEFARVVVERKGVPDIIVNNAGTINKNSKVWEVPPEEFDAVYETNVKGTVNILRHFIPLMIPSKKGIIVNMSSGWGRSGAALVAPYCASKWAIEGLTRSVAKELPEGMGIVALNPGVINTDMLASCFGDSASLYQTPDSWYSLLLYFLHQYALISASL >KGN50321 pep chromosome:ASM407v2:5:6494171:6495722:1 gene:Csa_5G167130 transcript:KGN50321 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oleosin-like protein MKRKIIRRRKPHKKSSCPKTKPFQMHAIRSLQTPAYPFPIYIIIKTTFIQIKKKPTTRNSMAESRNPQYSKSQQFSSGNPQYSKFQQFSSDQLPRSHKVVKAATAVTTGTSFLVLSALIMAGTVIGLAVVTPLFVIFSPVLVPALITATLLILGFLASGGFGAAAIVVLLWFFRYMGNNGRNRSGDWPEKSRFKFNGKGRVEG >KGN50353 pep chromosome:ASM407v2:5:6670728:6674034:1 gene:Csa_5G168900 transcript:KGN50353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSNFTGPIPSFKNCSELRRLRLEHNQLTGNLDEAFGVYPNLTYIDLSDNKLTGNLSPNWGKCKSLTKLSIATNMVTGEIPKEITQLKNLVVLDLSFNNFSGLIPENIGDLSSLSSLQLQGNRQLSGNIPLDIGNLSNLESLDLSMNKIEGSIPKQIGDCSRLRNLSLSTNRLNGSIPYEIGNILSLHDLLDLSNNSLVGEIPSSLGKLMHLERLSLSHNHLSGEIPNSLKDMMGLVSINLSFNNLSGSLPSGGAFDKAQLQDFVNNTDLCGNIEGMQKCYVSMAESKNKRWQNLVIILVPTIVSTLVFSLILFGVISWFRRDKDTKRSNPKRGPKSPFENLWEYDGKIVYDDIIEAAEHFDDKYCIGAGGSGKVYKVEMSSGDVFAVKKLNFWDSDMGMENLKSFKSEVATLTEIRHRNIVKLYGFCSRGEHTFLVYDFIERGCLWEVLRSEEKAIEVDWVKRVEIVKGVAEALCYLHHDCVPAIVHRDVTSKNVLLDVDFEAHVADFGTARFLKFDASHSTGVVGTHGYMAPELAYTNKVTEKCDVYSFGVVSLEVLMGRHPGEALLSLQSSPQKGIEMKELLDSRLAYPRRGKLLSELSSLVSIAISCVQADPQLRPTMYSVCHQMGLRKSAFIREESV >KGN50864 pep chromosome:ASM407v2:5:12266243:12275629:-1 gene:Csa_5G292210 transcript:KGN50864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLEMRERGASQKKLQLLSDITGALRPGILTALMGVSGAGKTTLLDVLAGRKTSGYVEGEIRIGGFPKVQETFARISGYCEQTDIHSPHITVEESLIFSAWLRLPSDINLKTRAQFVNEVLETIELDSIKDSLVGIPGVSGLSTEQRKRLTIAVELVSNPSIIFMDEPTTGLDARAAAIVMRAVKNVVDTGRTIVCTIHQPSIDIFESFDELILLKTGGQMVYCGPLGQHSSKVIEYFEHVPGVSKIRENYNPATWMLEVTSSSAEAELGIDFAQVYRNSSQNEHIKELVKQLSILPPGSRDLHFSNIFSHNFVGQFKACLWKQNLSYWRNPSYNSMRFLHSTLSSLIFGILFWKQAKKLENQQDLFNVFGSMFTAVIFMGINNCSSVLPHVSMERTVMYRERFSGMYSSWAYSLAQVMVEAPYLFIQVAIYIFITYPMIGFDGSASKVLLCFYAMFSTLLYFNYLGMLLVSITPNYQIASILSSAFYTMFNLFSGFLVPKPQIPGWWIWLYYMTPTSWSLNCLLTSQYGDVDKPLKVFKETTTISAFLRHYFGFHHNQLPLVGAILILFPILIAFLFGFFIGKLNFQRR >KGN51594 pep chromosome:ASM407v2:5:20673922:20676163:-1 gene:Csa_5G583250 transcript:KGN51594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECDDRWTIKSRGLQGLLHFKSMKQDYGQGPSMDHYGRYGRSSWSSSQDQRSLGFYRKHAYSPGFSVYGAMLGACKIHKNDELGEKAANKLFELNPVGGGYHVLLANIYPSALKWSKIAEIRKTIEKKGLKKIPGGSLVKWRNEVNSFYSGSTNSSKIQENIYMPFLKNYVPDINSNHDVEDDVQEQLLNSHNEKLAIAFKNYSYNIQF >KGN51958 pep chromosome:ASM407v2:5:22892248:22893877:-1 gene:Csa_5G606490 transcript:KGN51958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPADPRAFATLSSCYPRRSPAFLHPRKADLSSFVHRRYGNKGTRPKWHTMSHENDSLHSISLENVESSENYERSSKSLSEESFILSSMNMNFLERLTLAWRIIFPSSAPKMESNATIAKQRLKMILLSDRCAVNDEAKQKIVDNILSTLSDFVEIDSEDKVQFNVSTDLDLRTIYSVTVPVRRVKAEYQGSEEVGEIANTNLKDDGEESGSAGLIFDSLVADGKGS >KGN50916 pep chromosome:ASM407v2:5:13116355:13116695:1 gene:Csa_5G325010 transcript:KGN50916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLSMTYDGDDIDNLNIKYRLGRKLPILDFSLQKSDVGTTLPLYTLVSLASSMVVVVSPSTLLVLSGVHPVTNF >KGN51379 pep chromosome:ASM407v2:5:18569050:18569389:1 gene:Csa_5G524720 transcript:KGN51379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTERLSSSQDDDEVRKGPWTMEEDSMLMNYIANHGEGVWNSLAKAAGKFSLFSWHRYLCFLMLKGNSAVLNLTAIDHGVAF >KGN51148 pep chromosome:ASM407v2:5:16438658:16439830:1 gene:Csa_5G467920 transcript:KGN51148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGQSNDGDFDVTKFGAMPNTEIFQNVRFDYIKNSIVSDITSKDSKSFHFNVLGCKNLTFKHVNINAPQDSPNTDGIHIGRSVGINVINTKIGTGDDCISLGDGSQQVTITNVTCAPGHGISVGSLGRYVGETPVQGVRAKNCTLINTTNGVRIKTRPSSPSFGVASDIHFEDIIMVNVINPLVIDQEYCPWNQCDKKVSKSSFFFIFLF >KGN52414 pep chromosome:ASM407v2:5:25884838:25886120:-1 gene:Csa_5G632130 transcript:KGN52414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFETLLSFLTTSFFLVIVLFPLISSSHPKSHLSNGLVFLKNLNGCKKGDKVEGIHQVKEYLQHFGYLNNYVQNYSKSFDDDEFDGVLESAIKTYQLNYNLKATGTINAKTLDLMSRPRCGFADIVDGKTRMKSGKKMVNQHRKINGHFHSVSHYAFFDGNPKWPASKSHLTYGFLPGTPSKAVATIGRAFKTWGANTHFNFSQTSRYKKADIKISFETGDHGDGHSFDGVRGVIAHGFAPRDGRLHFDAAESWAVGAIADSFDLETIALHEIGHLLGLYHSSIERAIMWPSIMEGSTKGLDADDIAGINALYNAN >KGN50316 pep chromosome:ASM407v2:5:6471295:6474345:-1 gene:Csa_5G167080 transcript:KGN50316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLRSFSLLCLISVALAHEAFDSHLLPRPLILEFPEGVVNQVKELDGEIKLRCDSWRFNVEANNLNPWKRIPESCSEYVKQYVTGRAYQLELEIASNEAQVFAKTVKLVGDGKDVWVFDIDETLLSNLPYYTDHGYGSENFKPDEFDNWVEKATAPPLQPSLEFYKELLDLGFKLVLLTGRSEKQRECTTRNLINAGFYDWDRLILRRDDDQGKSAILYKSEKRSEMENEGLRIIGNSGDQWSDLLGTSVSVRSFKLPNPMYYIS >KGN52011 pep chromosome:ASM407v2:5:23165640:23170572:-1 gene:Csa_5G607990 transcript:KGN52011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPIRRHIFLLSSSFTASTRTMASSPTPISPLSFTNPISTSRTKPKSLPFPNKPPSSLPPALNSTPKPPPKDLYFNHDGSTFKKLQVGVNLVAELVGITLGPKGRNVVLQNKYGPPKIVNDGETVLKEIELEDPLENVGVKLVRQAGAKTNDLAGDGSTTSVVLAQGLIAEGMKVIASGMNPVQIARGIEKTAKALVSELKLMSREVEDHEIAHVAAVSAGNDYAVGSLVSDAFRQVGWKGVVQIEKGKSVDNSLQIVEGMQFDRGYLSPYFVTDRRKMVVEFHECKLLLVDKKISDPKEMFKILDNAVKEKYPIVILAEGIEPEALAPVIRNKLRGVLKAAAIKAPAFGERKSHYLDDIATLTGATVVREDNGLTLEKTGKEVLGSACKVVISKDSTLIVTDGNTREAVQKRVLQIQKLMENTEEKFPKKILNERIARLSGRIAIIQVGAQTEVELKDRQLRIEDALNASKAAIEEGVVVGGGCCLLRLSTKVDAIKNVLENDEQMVGAEIFKRALSYPTKLIARNAGVNGSVVIDKILSNNDLDYGYNAATDRYEDLMKAGIMDPSKVVRCCLEHAASVSKTFLTSDAVVVDMKESQLIPRRTPMPISGGVGQLGL >KGN49704 pep chromosome:ASM407v2:5:2315056:2315427:1 gene:Csa_5G077230 transcript:KGN49704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFRKMMILWRFLLRKSMNLTNLLCLLKTWMLLKFTNRALQKNLVWNLNLNKMSLPVNWNIKLKKMLKKKTHVNNSAEELSLPHENVEEETEVDGDDALSSDEESLEVKDDQDENDQIPQPEM >KGN50477 pep chromosome:ASM407v2:5:7554794:7560694:-1 gene:Csa_5G175990 transcript:KGN50477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKQQSKSELAKKQKVVEDKTFGLKNKNKSKNVQKYVQNLKQSVQPKVDSTKVAAKKKKEEEKAKEKELNDLFKIAVSQPKVPVGVDPKSIVCEFFKVGQCTKGFKCKFSHDLNVQRKGEKIDIYSDKRDEETMEDWDQETLEKVVESKKNEYNLNKPTEIVCKYFLEAVEKKQYGWFWVCPNGGKECHYRHALPPGYVLKSQMKELLEEESQKIAIEEEIENERAKVKTTTPITPELFFQWKKKKMDERNAGLAAQQAERAKNDRMSGRELFLANASLFVDDAEAYEKYQREEEPEADESKAKDNSTGGPSTSTNAVDDSEDDLLDEDDDDELDLDELNELEATLSRTSIQIREPGIEAS >KGN52338 pep chromosome:ASM407v2:5:25210065:25218917:-1 gene:Csa_5G625980 transcript:KGN52338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENVGETKPLATNSCHTDGGTDTAADLQFSVLCQHGLHLDENCCNQAFKLFRETKHLLGANISAIGSGIPEEAERFWSAFVLYSVKRLRDKNSETSHQGSENNSFTLCHILRVCKLNIVEFFKELPQFVVKAGPVLSNLYGADWENRLEAKELQANFVHLSLLSKYYKRVYREFFSTNDANVEKQSAISCPTGYLSDYHRFGWLLFLALRVHAFSRFKDLVTCTNGLVSILAILILHVPVHFRNFNILDSERLVKKGGKGVDLLGSLCDVYDTSEEELREVMEKANGLIEDILKKKPRLASECNSKSLENIDTEGLIYFEGLMEEPSLSASLEILEKDYEDAIHNKGEQLDERVFVNDEDSLLGSGSLSAGAVTMGGIKRKLDSMCSPARTITSPMSPLRSPASHANGTLNSGNTKIAATPVSTAMTTAKWLRTVISPLPAKPSVEMERFLASCDRDVTNDVVRRAHIILEAIFPNTALGERCIAGSLQSASLMDNIWAEQRRLEALKLYYRVLEAMCRAESQMLHVTNLTSLLTNERFHRCMLACSAELVLATHKTVTMLFPAVLERTGITAFDLSKVIESFIRHEESLPRELRRHLNSLEERLLESMVWEKGSSIYNSLIVAKPGLGAEINRLGLLAEPMPSLDAIAVQINFSGIGVSATPNLQRHESLPGQNGDIRSPKRLCTDLRSVLVERNSFTSPVKDRLMAFSSIKSKLLPPPLQSAFASPTRPNPGGGGETCAETGINIFFSKITKLAAVRVNGMVERLQLSHQIRENVYCLFQQILSQKTSILFNRHIDQIILCCFYGVAKISQLSLTFREIIYNYRKQPQCKPQVFRNVFVDWSSARRNGRSGQDHVDIITFYNEIFIPSVKPLLVEIGPAGSTMKTDRVPQVNNDSDAPCPGSPKLSPFPSLPDMSPKKVSSAHNVYVSPLRSSKMDALISHSSKSYYACVGESTHAFQSPSKDLTAINNRLNGTRKLRGTLNFDDADVGLVSDSLVANSLYLQNGSCGSSSGAPIKSEQPES >KGN51337 pep chromosome:ASM407v2:5:18251471:18254009:1 gene:Csa_5G517840 transcript:KGN51337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEESTPEPGSNRSYSSVNGGRTKEECEDMIRRSLRTPMVKFLMEHLEKSGCGIGDRFIKAVHCEKQISGGYVRGEGIMVCSNHMNIQDEVNQVVIHELIHAFDDCRAANLDWANCTHHACSEIRAGHLSGDCHYKRELLRGFMKLRGHEQECVRRRVMKSLVANPYCPEAAAKDAMEAVWDVCYNDTQPFDRAP >KGN51765 pep chromosome:ASM407v2:5:21807177:21813608:-1 gene:Csa_5G598730 transcript:KGN51765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRKALDYDSINENVKKAQYAVRGELYLRASELQKEGKKIIFTNVGNPHALGQKPLTFPRQVVALCQAPFLLEDPNVGLIFPADAIARAKHYLSLIPGGLGAYSDSRGIPAIRKEVADFIGRRDGYPSDPELIYLTDGASKGVMQILNTIIRGAGDGILVPVPQYPLYSAAIALFGGSLVPYYLEETANWGLDVNDLRQSVAQARSKGINVRAMVIINPGNPTGQCLSEANLREILNFCFQENLVLLGDEVYQQNVYQDERPFISSRKVLLDMGPPISKELQLISFHTVSKGYWGECGQRGGYFEMTNIPPRTVDEIYKVASISLSPNVPAQIFMGLMVNPPKPGDISYDQFIRESKGILESLRRRARIMTDGFNSCRNVICNFTEGAMYSFPQIRLPPKAIDAAKKLGKVPDVFYCLKLLEATGISTVPGSGFGQKEGVFHLRTTILPAEEDMPEIMTSFKKFNDSFMEEYEDHRGYSRM >KGN52276 pep chromosome:ASM407v2:5:24774031:24776029:-1 gene:Csa_5G623400 transcript:KGN52276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGIFGMIDGSFKLSIVDSTMMLIVHRAMDKAHQRVKSREGVIERLHEISKFYELSVMQLDGCIKFVQEETDTHNPETSHEEVLAGLAEIRNRLQRRLYESELAILQKDRELADRSESEVKLRQALEITERELVSSQEDLELERSRSAGSSNLSPHEGEDDENRDGELGEVKEKIELNDDYEHKVKTKRNRCINDVIRVEEMGSDIDILKETLDIAFGKMHSAILISEIGAIEQQVKSSIENDIISILLKGFVNDCQEDIEAEVTRKERQVSANKWWSDLMNEVIGLFEDLKPVLGQNEMRSRECNILNFESIIKKKSKEAEPDQWNPEKLHDKTSLSLRREESTESFKRRFQEILEKLENSMILNATVNKIIDQNEDFNEEDIPPEKGEQIFVENHRQKSDVDTLADVWGKMHQLQDEENSGIQNQICALRQEREEREFQNIMKEETYIALFQGLREKFCDDLSTWELEILISDGICRDLIRNMFNQLDETMKSNHIEAKIKDDIYHVVFKETMEDYCSINDLGLHRLQECKIKKSSILELHNMELNKSDSKSLKLMELPHITYEFELMANRKLEAIMLRY >KGN52665 pep chromosome:ASM407v2:5:27609860:27610219:-1 gene:Csa_5G649345 transcript:KGN52665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLSGLQKQVLSLYRAFLRAARTKSVEDRQQMESIVATEFRRNAKQIDRKNFIYIEYLLRRGNKQLDQLKSPATVRLSTLDPNPEP >KGN51792 pep chromosome:ASM407v2:5:21962889:21964319:1 gene:Csa_5G600930 transcript:KGN51792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHLFDLPEKICYVQCGICTTILLVSVPCSSLSMAVTVTCGHCSSLLSVNMMKATLVPLHFLSSLSHNVPKETYREMNSGKFFDSFKRSNLKFSEYEVEDDLIPVTTPFVNKPPERRQRAPSAYNCFIKDEIRRLKTQNPEMTHKEAFRTAAKNWANFPPIQEKDDKEKCNQIEENGSWNTQIPEVKMQTLEQKVMIFN >KGN50022 pep chromosome:ASM407v2:5:4543831:4547947:-1 gene:Csa_5G150400 transcript:KGN50022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNPISMAPFFLLCFLLPSFSSAAHDYGSALTKSLLFFEAQRSGFLPRNQRVNWRSHSGLQDGKASGVDLVGGYYDAGDNVKFGLPMAFTVTMMSWSILEYRAQLAASGELGHAMDAVKWGTDYFIKAHTEPNVLYGEVGDGNTDHYCWQRPEDMTTDRRAYRIDPSNPGSDLAGETAAAMAAASLVFRRSNPAYANTLLNHAYQLFDFADKYRGKYDSSITVAQKYYRSVSGYNDELLWAAAWLYQASNNQYYLKYLADNGDSMGGTGWSMTEFSWDVKYAGVQTLVAKFLMQGKAGAYAPVFRRYQEKAEAFLCACLRKGYKNVQVTPGGLIYRQRWNNMQFVTTASFVAAVYSDYLTSSRSSMKCPAGYVQPSELLTFAKSQVDYILGDNPRATSYMVGYGNNFPRRVHHRGSSIVSYKRDSKFIACREGYATWFSKKTSDPNTLVGALVGGPDAYDNFADQRDNYEQTEPATYNNAPLLGLLARFHGGHSGYNQLLPVVLPPPTKQNPITKKPSPPSSSSSSSSSPVVIVQRVTSSWKAKGRVYYRYSSVITNKSSKTVRNLQLSISKLYGPLWGLTKSGNLYTFPKWVGSLAPEKSMEFVYIHSASQANVSVLRYNLGY >KGN51357 pep chromosome:ASM407v2:5:18413109:18416051:-1 gene:Csa_5G523030 transcript:KGN51357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDNLSTLSGTADDDTYGFHREEMYQSNLAGTVTAYDRHVFLCYKTPESWPSHLESSDSDLLPKLLSAAIKARKDDISLKTKLTMFSGRDETGFSYGDVLIFPDMIKYSGLKDSDVDGFVDDVLVNNKPWASGVPEVFTSSHVFVCVHASRDRRCGVCGPILVQKLDEEIELRGLKDQVYVSPCSHIGGHKYAGNLIIYQPGADGKTTGHWYGYVTPEDLPELFEQHIAKGKVVERLLRGQMGTNPEEVQKEGEQKLPNGEDTKENKVEIQENGNQSKVEPVASCCQGSNGFTCCRDESSGKSSSIEEKPKEISNDEQVPTIASKFSCWTGKWEQSEILTAVAVVGAVATVAVAYSIYRRSG >KGN51471 pep chromosome:ASM407v2:5:19580988:19581515:-1 gene:Csa_5G562290 transcript:KGN51471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAELIEEMQKVLEELNAMADTQKGRVENYETSLQNIAIAFLVWLRLFFFSLSQTSPNSSLLHCKHWWLLFALTCFSAFLYILLFIHNSLMLSRTERQLHVISRQQIQLHQQIWMLRLQELPQMIEPIIMDHIINGEMGRTSTFERKLYINCILCGFIGIVALELYASRSLLCNA >KGN50143 pep chromosome:ASM407v2:5:5316946:5318594:-1 gene:Csa_5G155540 transcript:KGN50143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSESEQDIPTLKTPLIEETETKFPYRFSHVLSEAKSIADIAFPMILVGFLMYSRSMISMLFLGRLGGLSLAGGSLAIGFANITGYSLLSGLAMGMEPICGQAFGAKRFKLLGLTLQRTIILLLISSLPISFLWFNMKKILLFCGQDVDIANEAHSYILCSLPDLVALSFLHPLRIYLRSQSINLPLTYCAILAILFHIPINYFFVCVFEWGIRGVALGAVWTNFNFVGSLVVFVLFSGVYKKTWPGMSSDCLKEWKSLLGLAIPSCISVCLEWWWYEIMILLSGFMLNPQSTVASMGILIQTTALIYIFPSSLSFGVSTRVGNELGANHPNKAKLAAIVGLCISFFLGISALLFAFKIRKVWATMFTEDIQIIELTSLILPIIGLCELGNCPQTTSCGVLRGTARPKLGANINLGCFYMVGMPVAIWLSFYGGWDFKGLWIGLLAAQASCAMTMLMVLTRTNWEEQAERAKELTKNGLEEIEDDEEEEEEENQELDVEQQEAEEEAEEEDEDDDGDEIKECLNSKHGSDMIV >KGN51123 pep chromosome:ASM407v2:5:16179053:16184893:1 gene:Csa_5G457740 transcript:KGN51123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDTIDRNSQTNGSIQNSNATMEEKLDDLRRLFGKSDGDPLRIVGVGAGAWGSVFIAMLQESYGHLREKVLIRIWRRHGRTVDRATAEHLFEVINSREDVLRRLIRRCAYLKYVEARLGDRILYADEILKDGFCLNMIDTPLCPLKVVTNLQEAVWDADIVVNGLPSTDTRQVFHEMRRYWKERLTMPVIISLSKGVEAELEPQPRIITPTQIINSATGVPLENILYLGGPNIASEIYNREYANARICGSEKWRKSLAKFLRQPHFIVWDNGDLVTHEVMGGLKNVYAIGAGMVAALTKESATSKAVYFAHCTSEMILITHLLAEEPEKLAGPLLADTYVTLLKGRNAWYGQKLAEGELSLEMGDSIKGKGMIQGVSAVKAFYELLSQSSLSVLHPEDNKPVAPVKLCPILKMLYNILITREFSSEAILQALRDETITDPRDRIKIAQTHVFYKPSLLGQQR >KGN50476 pep chromosome:ASM407v2:5:7549677:7549913:-1 gene:Csa_5G175980 transcript:KGN50476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDGSQWEYNTTRQDGPTTTAARQPYTCTACDKLTDDEKETERKRPRRQI >KGN50566 pep chromosome:ASM407v2:5:8249126:8249400:1 gene:Csa_5G182710 transcript:KGN50566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGTSPNGCLLLLPIFVMWCGMETRDMGMEEAHALYGVDELKGHDLLEYLLNHYGVYNALIDGA >KGN49573 pep chromosome:ASM407v2:5:304903:306164:1 gene:Csa_5G003650 transcript:KGN49573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLDDDYTSYKHVKHGTDKVILGKGESSETGQVLKFLDELGAGDRKKKVEEVVVESQEEEEARRHERTSKECGRKKKVAKNKEVDRLKVVVEKFGEEFERTSPLKPRSWPKEFKLVDPSQPKKGKGEAGTSRLEDVEESEPQSAQELHKLIKTEK >KGN51766 pep chromosome:ASM407v2:5:21818880:21819373:-1 gene:Csa_5G598740 transcript:KGN51766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFSENFRKDMGFEFDPEKWVITGIQLRPPLLLTINKEHSHCDNEDQPPTPTGDECRILSGSKCPPAPKKPKSSLKFNHGNVRQFFKPPDLELVHCIEIVKRSQSKLVVSAIQMGRKNQNFTF >KGN51195 pep chromosome:ASM407v2:5:17019558:17022508:-1 gene:Csa_5G487720 transcript:KGN51195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYLIIPFVLLFSFIPISFQSKVSVSFSPSILSKSGDSVHIQWSGIESPSKLDWLGIYSPPNSSHKHFIGYLFLSSSPTWESGYGSVSIPLVNLRSNYAFRIFRWTESEIDDKHHDHDHNPLPGTAHLLAASDELRFAPGGGPEQIHLAFTDQDDEMRVMFVTKDGSKRYVRYGEKKEKLDQIVVAGVERYEREHMCDSPANDSIGWRDPGFIHDAVMNKLKKGAKVYYQVGSDSKGWSSILNFVSRNEDSDETIAFLFGDMGAATPYTTFVRTQDESISTVRWILRDIEALGDKPAMVSHIGDISYARGHSWLWDVFFNQVEPVASKVAYHVCIGNHEYDWPLQPWKPEWANGIYGKDGGGECGVPYSLKFNMPGNSTEPTESHSLPTRNLFYSFNMGSVHFVYISTETNFLQGSSQYEFIKRDLESVDRKKTPFIVVQGHRPMYTTSNELRDAPLREKMLHHLEPLLVKNNVTLALWGHVHRYERFCPLNNYTCGSMGLDGEDWEALPVHLVIGMAGQDWQPIWEPRPNHPDDPIFPQPKRSMYRGGEFGYTRLVATKEKLTISYVGNHDGEVHDSVEILASGQVLNGGVGAKFINSSIANSTTGNAMLEFSFSWYVMGGSILVLGAFIGYIIGFVSHARKNSLSRNNWTPVKTEELQ >KGN50994 pep chromosome:ASM407v2:5:14359985:14360479:-1 gene:Csa_5G387940 transcript:KGN50994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLAELWSIFGPGVAGAVFGAGWWFWVDAVVCSSVAVSFVHYLPGIFASFAALMFNCVRKEDIDYSPYEEGEWR >KGN50959 pep chromosome:ASM407v2:5:13856690:13859587:1 gene:Csa_5G373210 transcript:KGN50959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKGSTLVHLLVVVLCLVAFGFSIAAERRRSVGTLFEDKQRNATYCVYESDVATGYGVGAFLFLLSGQSLLMGVTKCMCFGKPLTPGGNRAWTIIYFLSSGATFLVAEACLIAGATKNAYHTKYRGMIYAQNLPCETLRKGVFIAGAVFVVATMILNVYYYMYFTKATSSQTSHKANRSSSTVGMTGYA >KGN51164 pep chromosome:ASM407v2:5:16581007:16585159:-1 gene:Csa_5G470050 transcript:KGN51164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNEEKEKLRHLLYTNVRIGNWKEVIKKCGEHVEGLALMLTHGNNTTLHLAAYDKKVKVVERLVRTICMFERKDILKIKNERGNTPLHVAASMGCARMCRIIGSVDEKLVDERNKDGETPLFLAALHDHKNAFYCLYNFCKMDQNRFESNSRRQIDGDTILHCILKNEQLDLAFQFIHNNNEAADWADKEGQTPLHVLATKPSLFRSGAHMTRWHCIVYYCFHVDELKPESEATEAKNPTKPMIPASSFPEHYATCIDFVKILWDKLLIIIGWKGVTERKEEMNPCNYNETEGLDLEIDVDKKTEIMEIHESDVPLDTQLLTRPERKPPSSNFPENYDTCINVFQLFLSPIMIILGFGFEEIRKLKEEKQKHLWSVQVMEKLLALSSPDKYDRTGDTPKLSNLQNDETLPYLFEGPSVRFNENQITNELSDLSNEDQITIETKLEIKGKAIGKEKPMLLAAKNGVVEMVMKLFERSPSAIRDSNQEKKNVVHLAAEHRQPHVYNFLLTKKSDLEILFRAVDKNGDSACHLAAHLKTDNPWQVNGPALQMQCEVKWYKYVRDSVEPNFFVKHNNKGVLARNIFYATHEELAKKGAEWFAKTADSCTVVAGLVVTVAYTSAMAAPGGNGNDGTSPFEMETGFYIYSIASLVALCLSSTSVIMFLGILTSRFDEKSFGFKLPGRLFIGLSSLFFSIVAMLVSFCAGHYFLLSHRLQNTAVIIYLATSLPVALFFIISQLPLFYDMLRAIFRKTPKRRSDDPIPLEQLNNSEKVEEDNKNNQSPKREQGP >KGN51752 pep chromosome:ASM407v2:5:21722471:21723496:1 gene:Csa_5G598600 transcript:KGN51752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEALRRLNGLPLTASHFDDPVSTPNNHRKKSTASANSSTANTDRRITRDGATSGAMRYRGVRRRPWGRYAAEIRDPNSKERRWLGTFDTAEEAARAYDCAARAMRGLKARTNFVYPSTPSSPHSLSDQLLSPLNFAKQSQISRHLATSSNWSTFSNAHTFDYPEPASHQKINPPPSFLNMLLPPHDIQNPNFVSSAPQFPHVDCQYQYPKSSFTSLPIEKDDFLHDSEFIPKEPSSSGLLEEIINGFFPKPLNKTQNPQSSNDMSSISSEANFGYSVVDQQPGLSFNYQSGPVQAPEEMSFVNGLPMNVQMGMESGNLIMENLLQYPEFFNAYVAKIQNA >KGN51727 pep chromosome:ASM407v2:5:21516599:21518941:-1 gene:Csa_5G593400 transcript:KGN51727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKGLILLVWCVAASAAVGLPLHTDTRWIVDGAGERVKLRCVNWVSHLEAVVAEGLSKQPIEEISNRIQWLGFNCVRLTWPLFLATNESLNSLTVRQSFQRLGLAEAIAGIQANNPFIIDLPLLKAFEAVVGKLGEGKLMVILDNHISKPGWCCSNFDGNGFFGDQYFNPDLWIKGLTRIATMFNGVNHVVAMSLRNELRGPKQNVNDWYRYMQRGAEAVHSANPDILIILSGLSYDRDLSFLKNQPINLTFTSKTVYEVHWYAFSDGSSWESGNSNQVCGRTTNNLMKMSGFLLQQGFPLFISEFGIDQRGTNVNDNRYLSCFLAVAAELDLDWAVWTLVGSYYLREGVVGLNEFYGILDWNWCNLRNSTFLQRISALQSPFQGPGLAERREYNVIFHPLSGLCVVRKSLLDPLTLGPCVDTDAWYYTPQKFLTLKGTYFCIQADEIGKQAKLGIICTVNNAKWDMISDSKLHLSSKSSNGSLVCLDVDSSTNEIVTNSCKCLSRDSSCDPSSQWFKLVNSTRSLGRGRSMINMVGSSLPNVATKFVDL >KGN52577 pep chromosome:ASM407v2:5:27065935:27069162:1 gene:Csa_5G644540 transcript:KGN52577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYIQEARENHVKKKVEEALRSKMKQKALKECDRYASKYAECAYGRTLSVVFKCRNQAKELNNCLHSYTNDSILDEMKREYALQQDGKP >KGN52327 pep chromosome:ASM407v2:5:25107497:25109966:-1 gene:Csa_5G623900 transcript:KGN52327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLEVLFSRLLPSSEEESRPQLLKFPFQLALSPLVVAVAHRHSNPSISLSGSGFSFKVSLGVNYRLCSGCTRGVIRQMEIGKHNDDGDDKTKSGKFNICQQCGAAFRKPAYLKQHMQSHSLERPYICSVDGCNASYRRKDHLTRHLLHHQGTMFKCPIESCCREFAYASCVSRHLKECHEGEVPPEECHEGEAPPEECKKQFVCPEDGCGKVFRYASRLQKHEGSHVKLDSIEACCTEPGCLKTFTNKQCLRAHMQTCHQYVKCEICGEKKLRKNLKQHLRQKHESEGPLEAIPCTYEGCSKVFSTASNLQQHMKVVHLEQKPFVCCFPGCGMRFGYKHVRDNHEKSGQHVYTNGDFEAADEQFRSKPRGGRKRICPTVEMLIRKRVTPPSELDFMMEEEDY >KGN49987 pep chromosome:ASM407v2:5:4299153:4302352:-1 gene:Csa_5G148580 transcript:KGN49987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTRFSTNTEFNGCLANLNIPCSQPKSSPTQMFLRFHTPKCLSDDGSIRFSVKPKGFGKIGVASSLPKRNFCVEAKEGLTYKDAGVDIDAGTELVRRIAKMAPGIGGFGGLFPLGDSYLVAGTDGVGTKLKLAFETGIHDTIGIDLVAMSVNDIVTSGAKPLFFLDYFATSHLDVDLAEKVIKGIVTGCQQSDCALLGGETAEMPGFYSEGEYDLSGFAVGIVKKDSVIDGKNIVAGDLLIGLPSSGVHSNGFSLVRRVIERSGLSLKDKLPGGDVTLGEALMAPTVIYVKQVLDIISKGGVKGIAHITGGGFTDNIPRVFPDGLGAVIYKDSWEIPPVFNWIQEAGKIEDVEMRRTFNMGVGMVLVVNQEVSQRILNDSHGTNRAYLIGEVAEGEGVRFL >KGN49897 pep chromosome:ASM407v2:5:3713853:3714053:1 gene:Csa_5G139750 transcript:KGN49897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVCKWKRQQSPELFLELGGRGFRLRSCTWGDGRHLQLHRAVVLLRPTCLSLRFFQLFMENTYEHK >KGN52392 pep chromosome:ASM407v2:5:25770523:25771846:1 gene:Csa_5G630940 transcript:KGN52392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQGFAIELYFDPALENQVLKAWNVLARRQISTQLIEIESRPHITLFSTPFLEPTKVESIVKNFASKQEPLALSLSSIGSLPSDNNILFLGPAPSISLLQFQSQLCEAMRKEGIEIGEEYRLGSWIPHCAVAQEVPKARMAEAFCVLRDLKLPVSGYAIDIGLVEFSPVRELFSFVLGNTVEA >KGN50581 pep chromosome:ASM407v2:5:8362827:8363911:1 gene:Csa_5G184810 transcript:KGN50581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLITLSLLLSFSLLFTPITPSPSPSPSPSPYLSPIFLKNYNSMSANLRIFTYIPFNPFSFSSQAESLFYKSLLNSPYTTHDPDQAHLFFIPFSPHISTRSLARLIRTLRTDLPYWNRTLGADHFFLSSSGIGYISDRNVVELKKNAIQVSSFPVSPGKFIPHKDVSLPPVSTLVSTPVSASTVSERMLGFVGYGWVKGLSLVKELIEDPEFLMESEPPRTPSCYGDKLAKSDFCLFEYEGGDVSGIGEALRFGCVPVVISDRWIQDLPLMDVVRWEEMAVFVAGGGGIEGVKKVLRRVDGERLDRMKKLGAAAAQHFVWNSPPQPLDAFNTVAYQLWVRRHAVRYADRREWAQN >KGN52232 pep chromosome:ASM407v2:5:24526841:24529662:1 gene:Csa_5G622490 transcript:KGN52232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFNGFHRHPVGYLLVIGLFALSVNAIDIFLEWNVTLDFTIQPVSQQQPVIAINGLFPGPLINTTTNDFVHVNVFNNLDEPLLFTWNGIQQRLNSWQDGVSGTNCPILPGTNWTYVFQTKDQIGSFFYFPSINFQKAAGGFGPIRVNNRNVIAVPFPKPEDEFDLLIGDWSFDNYKITRSLMTNPTIAFDSIPNIMLMNGKPPFGNPEGKAFESFTVTQGKVYRFRISNVGTSLSFNFKIQNHNMLLVETEGSYTNQTILDSLDVHVGQSYSVLVTANQVDADYFIVASPKLLNATEFSSLVGVGVLHYSNSVAQPLGPLPTGPDPFDLDFSVNQAKSIRWNMTTGAARPNPQGTFNVTNVTISQTFVLQNSVGMINGLPQAVVNNVSYLTIDTPLKLADLLVNGSGVYQLDEFPVQSVNLNASFGVSVVTGNHKGWIEIVFKNNWEFIDSWHLDGFGFYTVGFGNGDWTPELRNTYNLFDPVVRSTVQVYPGAWTAVYSFLDNPGMWNLRSQLLKNWFLGQELYLRVHDSDPNPAKERPPPENLLICGVFNQSSVPASAHLRP >KGN51415 pep chromosome:ASM407v2:5:18957334:18959222:1 gene:Csa_5G532470 transcript:KGN51415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSTEPVDDLMPQTANSTNGASTTPRLFIKEMVLSNFKSYAGEQRVGPFHKSFSAVVGPNGSGKSNVIDAMLFVFGKRAKQMRLNKVSELIHNSTNHQNLESASVSVHFQEIVDLDDGAYEAVPGSDFVITRAAFRDNSSKYYINNRASNFTEVTKKLKGKGVDLDNNRFLILQGEVEQISLMKPKAQGPHDEGFLEYLEDIIGTIKYVEMIDESNKQ >KGN51556 pep chromosome:ASM407v2:5:20403646:20406447:1 gene:Csa_5G577950 transcript:KGN51556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKISALGTGLVSLTNRVFKFHPSFERFLSYSCNISIGRDPKTIATALSLSENTKSLILGAQVHGHMCKLGFDYDTFSMNNLLKMYCRCGFMCEGFKVFEEMPQRNVVSWSLITSSLSKNGEFELCLESFLEMMRDGLMPTEFAFGSVMKACADVEAYGFGSGVHCLSWKIGMEQNVFVGGSTLSMYARLGDITSAELVFEWMEKVDVGCWNAMIGGYTNCGLSLEALSAVSLLNSEGIKMDNFTIVSAVKACSLIQDLDSGKELHGFILRRGLISTAAMNALMDMYLISDRKNSVLKIFNSMQTRDIISWNTVFGGSSNEKEIVDLFGKFVIEGMKPNHITFSVLFRQCGVLLDSRLGFQFFSLAVHLGCLDETRVLSSIISMFSQFGLMEMVHSVFDSLVFKPVSAWNQFILAYSLNSFEMEAFRTFSSLLRYGVVANEYTFSIIIETACKFENPWMCRQLHCASLKAGFGSHKYVSCSLIKCYILIGSLESSFEIFNQLEIVDMATYGAVISTLVHQNHMYEAIMFLNILMESGKKPDEFTFGSILNGCSSRAAYHQTKAIHSLVEKMGFGFHVHVASAIIDAYAKCGDIGSAQGAFEQSCQSNDVIVYNSMMMAYAHHGLACEAIQTFEKMRIAKVQPSQASFVSVISACRHMGLVEQGRSLFQTMKSDYNMTPSRDNYGCLVDMLSRNGFLYDARYIIESMPFSPWPAILRSLLSGCRIYGNVELGQWTAEKLLSLAPQNLATHVLLSKVYSEGNSWEDAANIRKEMTDRGVLKDPGYSRVEI >KGN49732 pep chromosome:ASM407v2:5:2688064:2693036:1 gene:Csa_5G092900 transcript:KGN49732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAVGSPFTSVRTSSSCNALLRELQQIWSDIGESEADKDRMLLELERECLEVYRRKVEEAANAKARLHQSVASKEAEVATLMASLGELNSPSQIEKRSKTLKEKLASVTPLVEELKTKKEERLKQFADIKAQIEKISVEISGYSNHVNDHIIINSLTLEETDLSLRKLNEYQTRLRTLQKEKSDRLHKVLEHISEVHSLCGVLGLDFGQTVSDVHPSLERTSIEQATNISNSTLEGLEHTILTLKTERKTRIQKLKDILKSLYELWNLMDSSRDEKSKFSRITSIMRVSETEVTEPGLLSTETIEQASAEVERLTKLKAGRMKQLVLKRRSELEEICKMTHIEADPSTASEKSNALIDSGLVDPSELLANIEVQIVKVKEEASSRKDIMDRVDRWLSACEEENWLEEYNKDENRYNAGRGSHVNLKRAERARVTISKIPAIVDNLIHKTLAWEDEKKTMFLYDGARLVTILEDYKLSRQQREEEKRRHRDQKKLQDMLLTEKEAMYGSKPSPRKSNSFRKTNGYRANGNGSMTPTPRRNSVGGATPELLTPRSYSGRQNGYFKEMRRLSTAPLNFVAISKEDTMSYASIYGSEPGSPPQS >KGN50197 pep chromosome:ASM407v2:5:5731181:5734402:1 gene:Csa_5G158530 transcript:KGN50197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWNVFKFCTALRALGSIMILLVLGIIGLSYYALVVVNYGPALFRGGLNSLTAFLVLLLFHSLLVMLLWSYFSVVLTNPGFVPPFWRPESDEEKGDADPLMASEYNGPGAGPEQGTMPSDSSNQKVRFCRKCNQFKPPRCHHCSVCGRCVLKMDHHCVWVVNCVGAKNYNFTNHLILFLFMQFYTFLETTLVTLSLLPYFLAFFSDGDITGTPGSLAAIFITFILNLTFALSVMGFLILHVSLVAANTTTIEAYEKKTTPKWHYDLGRRKNFEQVFGMDKKYWFIPAYSQDDIKRMPNLQGLEYPMRSDLNLLQEL >KGN50631 pep chromosome:ASM407v2:5:8746687:8750776:-1 gene:Csa_5G198210 transcript:KGN50631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIGANCRVLDQPRFLCAPKGFQIPKEPSTIFRNGSFYKPPTNFYCKAILKDLQVPMEKKEVNVIHELSSLVALSPLDGRYWGKVKDLAPYLSEYGLIFFRVLVEIKWLVKLSEIPEIIEVPRFSKDASSFLLGIIDNFCEDDALEIKKIERVTNHDVKAVEYFLKQRCQSHPEISKVLEFFHFSCTSEDINNLAHGLMLKESLENVMLPFMDNLTNAISTMAKDNACIPILCRTHGQPASPSTLGKEMAIFAVRLGRERLEASKVKIMGKFAGAVGNYNAHLVAYPNVNWPKVAEEFVNSLGLCFNPYVTQIETHDYMAKLFFTIVRYNNILIDFDRDIWGYISLGYFKQITKVGEIGSSTMPHKVNPIDFENSEGNLGVANGALAQLSEKLPISRWQRDLTDSTVLRNMGVGLGHSLLAYKSTLQGISKLQVNESRINEDLDQSWEILAEPIQTVMRRYGVPEPYEKLKELTRGKTVTKESIRRFIEGLELPKEAKTNLLELTPHSYVGAAVELARDVDMALNLVNRGELF >KGN50875 pep chromosome:ASM407v2:5:12483653:12486559:-1 gene:Csa_5G308800 transcript:KGN50875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLHIHPSGFFLPNTRTIYPQLFFCRSGPSPPSLAPSASVSSPHPTIQIVGGKTSNFLGDSTPKGASNNELLEGDWVDFEADLYYWTNALRPVQWYPGHIAKTEKELKDQLKLMDVVIEVRDARIPMSTSHPQMDAWLGNRRRILVLNREDMISSADRNAWATYFTRQGIKVVFSNGQHGMGTMKLGRLAKTLAADVNVKRRAKGLLPRAVRAGIVGYPNVGKSSLINRLLKRRMCPAAPRPGVTRELKWVRFGNDLELLDSPGIIPMRISDQTAAIKLAICDDIGERSYNAADVAAILVQILTKLPSVGTMEIL >KGN50791 pep chromosome:ASM407v2:5:11031245:11034407:1 gene:Csa_5G263270 transcript:KGN50791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLQNFFSILLLLSAIFLRFHLSCSQTPLICHSNDSEAFHDFHRTFTSQIHSLHANCSSNCCSCTGLTCDSSGRVVKIELVGIKLAGQLPNSIARFEHLRVLNLSSNCLTGSIPLALFHLPHLEVFDLSFNRFLGNFSTGTLHLPSLRILNVSRNLFNGVLPFHICINSTFIEVLNLSFNDFLGVFPFQLADCVSLKRLHLESNFISGGIPNEISGLRKLTHLSVQNNKLSGSLNRIVGNLRSLVRLDLSSNEFFGEIPDVFYNSLNLSFFVAESNRFSGRIPKSLSNSASLSVLNLRNNSIGGNLDLNCSAMKSLVTLDLGSNRFQGFIPSNLPSCTQLRSINLARNNLGGQIPETFRKFQSLTYLSLTNTSIVNVSSALNILQHCQSLSTVVLTFNFHGEVLGDDPNLHFKSLQVFIIANCRLKGVIPQWLRSSNKLQFLDLSWNRLGGNIPSWFGEFQFMFYLDLSNNSFVGGIPKEITQMKSYIDRNFLLDEPVSPDFSLFVKRNGTGWQYNQVWRFPPTLDLGFNNLSGPIWPELGNLKQIMVLDLKFNSLSGSISSSLSGMVSLETLDLSHNKLSGTIPPSLQKLNFLSKFSVAYNQLHGAIPKGGQFHSFPNSSFEGNNFCVQDDLCASSDGDALVVTHKSRMVTGSLIGIIVGVIFGIIFLATFVVVFMLRPPRGRVGDPENEVSNIDNKDLEEVKTGLVVLFQNNDNGSLSLEDILKSTNDFDQENIIGCGGFGLVYKATLPDGRKVAIKRLSGDCGQMDREFQAEIETLSRAQHPNLVLLQGYCMYKNDRLLIYSYMENGSLDYWLHEKPDGSSCLDWDTRLQIARGAAGGLAYLHQFCEPHILHRDIKSSNILLDKNFKAHLADFGLARLILPYDTHVTTDLVGTLGYIPPEYGQSSIATYRGDVYSFGVVLLELLTGKRPIDMCRPKGLRDLISWVFQMRKDKKVSEVFDPFVYDKKNEMAMVEVLDIACLCLCKVPKERPSTQQLVTWLDKQSQLKMRS >KGN51916 pep chromosome:ASM407v2:5:22697176:22698992:1 gene:Csa_5G605100 transcript:KGN51916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTPPSLLSLTIHSAILNLSSISDLSFLPDHIVLHLFLETLKAGKLNERVLKLFVASGKDEVLSLIGEFKIQQIVTPVLPTRCSEKF >KGN51989 pep chromosome:ASM407v2:5:23048000:23048849:-1 gene:Csa_5G606790 transcript:KGN51989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVTSHLSGHPDKIFRKSLHRRKDSGELDVFEAARYFAGSNEPSYTTAATYETSLFHGGRRGGRMSLDLPLRTNVIPLPTPPYTAEKQSVKDPKKHRQQPSSPGGRLANFLNSLFNQSASKKKKKPKNSIKTEDLHHEMGARKRRSSLSTLIDTKSSSHSSSKISGFRTPPAPNCCTVQTPNKNYMEIRSFLDQKREGINYYSKKNGINNQKSEMRKMNDQDEGDESDSSSDLFELRICDRFDCYSSNELPVYRTTNFQTINKL >KGN51396 pep chromosome:ASM407v2:5:18693074:18694594:1 gene:Csa_5G526860 transcript:KGN51396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGGEDVSIEELANNLSTYKDQLHQVRQLLDDDPGNSEYIDMEKELEEVIALTEELLSTAKQNEVSGSNVETGDDSASIGFQQSKENEMVSSHLSSFAHCIFLLEAP >KGN51214 pep chromosome:ASM407v2:5:17292538:17295319:-1 gene:Csa_5G492360 transcript:KGN51214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELASTDSGNALRSYSLNMSTDFIPMSVFSESSQGKFTIEGKILNKFDMKPHDQNLERYGKLCRERTHKSMTKSRQIQVIDHVTGGHMRPMPGMDVLSFGAAEKKKVVSKGSETKRLRKERGELEKIIFKLFERQPYWTSKQLIQETDQPEQYMKEILKDLCVYNNKGVHQGTYELKPEYRESSEDTKPR >KGN51737 pep chromosome:ASM407v2:5:21615964:21616969:1 gene:Csa_5G597470 transcript:KGN51737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHESIFIWFPLLSLLTSLLLLKTKKLIHSNNKKLITTNPPPSPPKLPFLGHLHLLGSHPHRSLCNLSRTHGPIMLLKLGSIPTVIISSATAARELFKHHDLASCSRPRLTGKSFESXXXXSISQQSINFPSNPIDLSDKSYSLAANIITRIGFGKSFRGGELDNQNFQKVMRRTTDALKSFWITDFFPSFGWFVDRISGVHGKLEKSFGEMDAFFQKVVDDRINMDKGTSGNEENIVDVLLRMKRDGFQSDALILTQDCIKAIIMVIS >KGN51811 pep chromosome:ASM407v2:5:22060448:22062307:-1 gene:Csa_5G601610 transcript:KGN51811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSDENIETPLLLHLHPPNKIPKDSFNLIYITYFILGVSCLLPWNTFITAIDYFSHIYPHTHINRIFSVVYQPVLVSALLSIIFFGRRCDVRIRINLGMGLYVFSLLLMPLLEVFYIRGRVGLFNGFYVSIGAAVLCAVAEAFVHSGVVGSAGELPERYMQAVVSGFAGSGVLVSMLRLVTKAMYPRDAEGLRKSAILYFSAGITFIIVSFVFYNSTAKHPIVKHHQNLKNQEKQMKGSLFGSITKSTFWEIFNTIRIYAFGVASLFLISMSIFPGYVTEDVSSKILKDWYPITLITAYYVSDLIGKYLASIYVIKSSKITMGFCIGRVVFYPLFVGCLHGPKFLRTEVTVTILTCFLGFTNGYLTAVAMISAPKQVSFEHAEVAAILMCMSLVSGFAIGSVLAWFWVI >KGN51476 pep chromosome:ASM407v2:5:19675792:19687399:-1 gene:Csa_5G565790 transcript:KGN51476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQSQRSSSLTTVSTSRAYQFHPARAAIVNLFDLYLGRSNRLKHEDSAREPPNKAQKRVLAINRELPPRNEQFLLDFEQIQNQFSDHDQLRAVTESVLISLVVQCSGHVPRAEFLLFALRSLCSIGYINWDTFLPSLLSSISSAEVSMGQGSQAAPTVSTTNLSQSGVLPSSNPVPNSSTFQSSNPASPLPLVHGISSPAQSSIDPSSCTALSPIKSSDLSGTGLPSAVRVNSFLRNNAISSLRQLCCKIILSGLKFDLKPVTHADIFSHMLNWMVNWDQRQQGMEESENMKSWRPDKALIEWLHSCLDVVWLLVEENKCRVPFYELLRSGLQFIDNIPDDEALFTLMLEIHRRRDMMAMHMQMLDQHLHSPTFGTQRIFSQTMQNISGEAVASLRYSPITYPSVLGEPLHGEDIASAIQRGTRDWERAMRCIRHALRTTPSPDWWKRVLLVAPGYRSSAQGLSPGAVFTSEMICEATIDRIFELLKLTNSGNYNFFVILPFIQRLSHDILYEMVEFNPQQNS >KGN52220 pep chromosome:ASM407v2:5:24431130:24437490:1 gene:Csa_5G616890 transcript:KGN52220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCLQNLSISPSLPFRSFKCRQRKPEFQLSNLVMRSFTDLHRRSSVKAVSGSTSSAETTGAEVDDAEEKEEKSEIYSHNMTEAMGAVLTYRHELGMNYNFIRPDLIVGSCLQTPEDVDKLRSIGVRTVFCLQQDPDLEYFGVDIGAIIAYTKTFDDIEHLRAQIRDFDAFDLRLRLPAVVSKLHKAINRNGGVTYIHCTAGLGRAPAVAMAYMFWVQGYQLNEALELLLSKRSCFPKIDAIKSATADILSGFKKKPVALSWEDDQCSTVEVAGLDIGWGQRIPLKFDEARGAWILNRELAEGRYEYKYIIDGIWTCNKNEPVTPPNQDGHVNNFIEVIEDEDPGSDRAILRRRLIGDEPELTAEERSKIRQFLEACPDEI >KGN50809 pep chromosome:ASM407v2:5:11225878:11227388:1 gene:Csa_5G266870 transcript:KGN50809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLRWNFVAFLSLLFLLASTTKGQSVFDVTRYGAKPNTDITHTLKFNFVTNSIVKDITSLDSKNFHINLLGCKNITFEHVTISAPENSPNTDGIHISSSEQIRILNTKISTGDDCVSVGDSNKDITIRDVTCGPGHGISIGSLGKYTKEKAVEGVWVTKCKLIKTTNGVRIKTWPDSAVKYSASDMHFEDIEMIDVSNPILIDQEYCPWNQCNRKPIISGKQNPRICAEAAPVDAPSID >KGN51271 pep chromosome:ASM407v2:5:17715332:17715770:-1 gene:Csa_5G505810 transcript:KGN51271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRESLKSKLHPKYQPEDYVRQRSLNIRMYAPQNSLIIKATEEGSSNVLIHRDQNDQR >KGN51021 pep chromosome:ASM407v2:5:14719928:14720221:-1 gene:Csa_5G409640 transcript:KGN51021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRGRCFTDVASVFLMSTQRRYYLYPHNVGITYANATDTRQHYLYRDVAITSVRYASAFVFRRRDLLSTSTKVISTHFGRRSNVRRHRLCRRFMAFG >KGN51617 pep chromosome:ASM407v2:5:20878761:20879207:1 gene:Csa_5G585440 transcript:KGN51617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMISYNKLVEKLHRMVEFRWIHCKEHEEQAQKSKTDQMKGIMQLIEMKRNSYREEAFAGVNKASQTEQRLTMESTTAVVGFSRQPRHPSAVIYSENSVSSSL >KGN52698 pep chromosome:ASM407v2:5:27821700:27823302:1 gene:Csa_5G650621 transcript:KGN52698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELHKLHNAINSTHSFQLPQLDQIVQQLKKLDIKIRSCFDNFLEIGSEALAWIMLIDGLFLIQLLPISNNEKDETRRLLPDLVLLPSPFPSFVEQKLYSCLIEGNLMTHDEIVKDILMLENQIPLLVLKNILPENFSNKLDLLFFKFCDFVSPIQLPPHDIPEFMRYRGYTDLSQILEQSHHLLHFLYLLILDKSSSIDCPVGMALCSASLGVELLNIFASVLQIAFLQQLSEAAGLIQTLFGLLGRTGSSSSSTDNDINTPPLIPSASELQRVGVKLQGNYQDFHNSIRFKQDPRKICLELPTITINAFSEVLFRNLMAFEAATKLNPPCFSYYVALMSWLITTANDVKILKQERIIESHSCSEEEVVKVFGGLRNVLELHQKSYYKSCTIMNMAEIQIAKDINCYYESCWKVKARRLVKRYVNPVLKLIFILVVILLVVVVVVRTFCGWFGCSRILHVVSGAAKT >KGN50856 pep chromosome:ASM407v2:5:12166515:12168961:1 gene:Csa_5G290150 transcript:KGN50856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLEACQPFENGAFLKGKAKKSSKKKRKDSSSLDAKGSTELHEDIDENDVYQISSGDDDSSKGMKRWITEYHKSRPGLNVLQQRIDDYITAHEAQLEQEKKEREAQAAEGGWTVVVHQKGRKKTTDAESGVTVGSVAQNSLGDKMSKKKKNEVGLDFYRFQRREAQRNEVMMLQSKFEQDRKRIQQLRAARKFRPY >KGN51641 pep chromosome:ASM407v2:5:21002637:21004226:1 gene:Csa_5G587120 transcript:KGN51641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIELFEYQQLLYATDGFSSTRLIGKGSHGWVYKAILDDNRVVAVKKPLSETHYSKVDNEARVLLSLRPSPLVVNFLGTTFDSPSYKNKLLVMEFMPNGSLRDLLLQPVLAPVPTTSWHRRLHIITQIARAVCFLHQANPIVIHRDIKAENILFDSNWDLKLADFGLAVSDEGDGKSTRIIGSRKPAGTIGYLDPSYTTASKLSTKSDVFSFGVVVLEVISGRKAMDISKSPASIVEWARPLIEQNKFQGIYDERMTLPKWAERRLRKVVELAGRCVCAEPGMRPSMAEIVEAMEMEMSACCLCCIQFFQPMKFLRFRRKRRSDFCTMINDMSKK >KGN51500 pep chromosome:ASM407v2:5:19915894:19916278:1 gene:Csa_5G570940 transcript:KGN51500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQEVMVTLEYPHDLFPASLPASPSNRMSILVISDSVLQTEDQLFHQRTVPYQANFPAFRGLSFFMTQLGIKIGRILDSFVFLNDQASV >KGN51205 pep chromosome:ASM407v2:5:17150028:17152182:1 gene:Csa_5G488810 transcript:KGN51205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISSSSDCFIDSHLLCDEDSSGILSGDLLEYSSDLESPASSEDSIASFIEDERHFVPGIDYLSRFQSQSLDSSARADSVAWILKVQAYYGFQPLTAYLSVNYLDRFLYSRRLPETNGWPLQLLSVACLSLAAKMEEPIVPSFVDLQIEGAKYIFEPRTIRRMELLVLTTLNWRLRSVTPFSFIGFFAYKVDPTGTFSSFLNSRSTEIILSNTRDATFLEYWPSCIAAAALLCAANEIPNLTLLNPEHAQSWCNGLSKDKIVGCYRLMQPLTLESRRRKAPKVIPQLRVRVRAGLRYSDSSSSSSSSRLPFKRRKLNNCVWVEDDKENSKFRADE >KGN51588 pep chromosome:ASM407v2:5:20639782:20640398:-1 gene:Csa_5G582210 transcript:KGN51588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKIFTLHGILTNFLQPQSDRKSRYWKLTMHYIYFLKGFVLMVQNLYYMNARRTVYRDENAACTEDINDMVIEFNFSMRYTVKELGTELHHSSIILCDLLRVSMDILKDHICVLQGSMD >KGN49970 pep chromosome:ASM407v2:5:4160721:4161330:-1 gene:Csa_5G146930 transcript:KGN49970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSMFSLFDAFAAEFLLGNFVRASSSVPSFTPNNNNASPAVPKPLPSKKEEEPKSKNSLMKPRFALELDGLNCFETLVPN >KGN50634 pep chromosome:ASM407v2:5:8758794:8760841:1 gene:Csa_5G198240 transcript:KGN50634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLYPSSHLSSSAWFVLDNPSTKWTKEENKMFESALAIYDKETPDRWFKVAALIPGKTVSDVIKQYKELEEDVCEIEAGRFPVPGYDLASSFSFEFVDDRNFDVYRRKSSVGRGSEHERKKGVPWTEEEHKQFLRGLLKYGKGDWRNISRNFVNSKTPTQVASHAQKYFMRQLSGGKDKRRPSIHDITTVNLTEPTASENEKLSSMDQFSKLPSLQKSPCYQKLLFDWNRSSNGGLLGLGSNYGDRLMSFPSGIAANGIKNEQDQELNSAYYGTYSKPHKSIFQFEPSRYQIYG >KGN52429 pep chromosome:ASM407v2:5:26009316:26009621:-1 gene:Csa_5G633270 transcript:KGN52429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLQRSSISFRRQGSSGLIWEDNVRALEAKTGARATASTSVMSQELSQSSGERDETPKSDGLDEVNSSSSPSTPPERTTPQKCSFSSVFGRCMGSSSRPT >KGN49865 pep chromosome:ASM407v2:5:3541150:3545583:-1 gene:Csa_5G139430 transcript:KGN49865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNNNSYNKLHTSHSANTTPAASFRLSGNDPPPPSSSLRRRSRILIISFMSVLLIAASVVSAAILVRSHMTSSQPHFPHNKPSQAISRACSHTLYPSLCLSSLLSFPGAQSADVHDLVHISLNLTLQHLTKALYSTSQIPVLQISKDPLAHSAYEDCMELLNDAIDAFSLSLFSKDASNHDIMTWLSAALTYHDTCTAGFQDVADLGVKDEVEAKLSDLSEMISNSLAIFSGFGGGDLPVENRKRRRLMESSTTSWAAENGGDHEGFPAWLSGKDRRLLAAPLSTIQADIVVAKDGSGKFKTVAEAIEAAPSSSGRRIIIYIKAGKYEEENLKVGRKKTNLMFVGDGKGITVISGGKSVYDKVTTFRTATFAGSGTNIILRDMTFENTAGPSKHQAVALRLSADHAVVYHCNIIGYQDTLYVHSNRQFFRECDIYGTIDFIFGNAVVVFQSCNIYARKPMAGQKNTITAQDRKDPNQNTGISIHACKIVATGDLEASKGSFPTFLGRPWKLYSRVVYMVSSMGDHIHPRGWLEWQGSFALDTLYYGEYMNSGPGAAVGQRVKWPGYRVITSTVEASKFTVGQFIYGSSWLPSTGVSFSAGLSTN >KGN49908 pep chromosome:ASM407v2:5:3753884:3755625:1 gene:Csa_5G139850 transcript:KGN49908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASSQPLSIESFSYSWLVNMRSSFEISCANSFRTSLDEASFIEMDPTMPPSKRFYFTNSSRRSNDFKFPAPLSPSPSLPLVHADQLISNGYLVPLQVFEGVSGVMIGSPDAVKLVAEPPGKSAESLRKSCRRLSRQIFQKYLNFLRPLCRRIQRVGNYGNNTNGKLGGKKLLFHGGKYTNTTTTTTREAHHQCIDEWRRSSCDSETSIYDAVLHCKNSIGK >KGN52285 pep chromosome:ASM407v2:5:24840363:24845726:-1 gene:Csa_5G623490 transcript:KGN52285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHSFFYLCLLLSLVAISYGSYNAEQERDRITQLPGQPKNVDFAQYSGYVTVDKQAGRALFYWLTETPTSRVPNSRPLVLWLNGGPGCSSVAYGAAEEIGPFHIKPDGRTLYLNPYAWNKLANLLFLESPAGVGFSYSNTTSDLYTAGDQKTAEDAHRFLVNWFERFPQYKHRDFYIAGESYAGHYVPQLSQLIYERNKGIQNPVINFKGFMVGNAVTDDYHDYVGTFEYWWTHGLISDSTYRLLRKACDFGSSQHPSAECKKALTIAEFEQGNIDPYSIYTRPCNSTASLRHNLRGHYPWMSRAYDPCTERYSVAYFNHPDVQEAFHANVTGITYPWSTCSDLVGNYWADSPLSMLPIYQELIGSGIRIWVFSGDTDSVVPVTATRYSIDALKLPTLSNWYPWYDHGKVGGWSQIYKGLTFVTVAGAGHEVPLHRPREAFILFRSFLENKPLPR >KGN52584 pep chromosome:ASM407v2:5:27160561:27165745:-1 gene:Csa_5G645090 transcript:KGN52584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGHVLSPSLSPALFFFDSSAGSNGGSRIQSNYGFAQRSSSISRVSLSVSTAAASSSSSSFVGGSGAEYSEQVYDSKAKKRRKIAGIDQEELLEPISLADPDSCFCKFNDLEVHYKVYDPELQGDSLSQTRTPTLTSDPPSLPITSTPHRTKKIGLPMILLHGFGASVFSWNLVMKPLADITGSKVLAFDRPAFGLTSRVDYLWNSSAGTKDRKPLNPYSMAFSVLATLYFIGFLGAEKAILVGHSAGSLVAVNSYFQDPQSVAALILVAPAIVAPLGGRLPRDNLVQEKNVSDSNVVGNPVIQLFNILSAAAKFIVQSIMQMMKRIFEAVDFLYIKVLSAFLRSTLILTLVRMIIDKAGIVAVKKAWYDATRVNEHVLHGYTKPLRTKNWDKALVEFVAAMLTDRASPPLSKRLHEISCPVLIITGDSDNLVPSWNAVKLSEAIPGSHLEVIKHCGHLPHEEKVDEFVSIVQKFLYRTFVDSHQQ >KGN49699 pep chromosome:ASM407v2:5:2249984:2252557:1 gene:Csa_5G076690 transcript:KGN49699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMDEKSFHDAVKEVVQLVATPNLCDFIPCVAWFDLQGINGRAKHLCNMFDGFLEKIIEEHLDQFKIANKNQDFVDVLLGLMGSQNNEYKIDRSTIKALILEMVITAMDTTATSIDWAIVELIRHPHIMNKMQQELDKVVGLQRMVLESDLEHLQYLNMVIKEILRLHPPVPLLVPHESLQDCTINGLHIPKQSRIIVNAWAIGQDPTIWNDPQNFFPERFIDSEVDLKGKDFELIPFGSGRRGCPGMHLGLTVVRLLLAQLVHAFHWELPNDILPNQLDVREEFGLTCPRAQQLMVTPIYRLKI >KGN49724 pep chromosome:ASM407v2:5:2575414:2582037:-1 gene:Csa_5G083860 transcript:KGN49724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEISGDGGGFLPEISNGVDSQATPTQITAPNPTPLTVSGSFKEGKSSSRRRTSVRPSMDADDFLNLLHGSDPVKVELNRLENEVRDKDRELGEAQAKIRALKLSERLREKAVEELTDELSRVEEKLKLTESLLESKNLEIKKINDEKKASMAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKLARQEIAKLQDDNKALDRLTKSKEAALIDAERTVQSALAKASMVDDLQNKNQELMKQIEICQEENKILDKMHRQKVAEVEKLTQTVRELEEAVLAGGAAANAVRDYQRKVQEMNEERKTLDRELARAKVTANRVAVVVANEWKDANDKVMPVKQWLEERRFLQGEMQQLRDKLAITERTAKSEAQLKEKYQLRLRVLEESLRGSSGGNNRSTPEGRSISNGPSRRQSLGGVDNISKLTSNGFLSKRTPGSQFRSLSSSSSTVLKHAKGTSKSFDGGTRSLDRGKVSLNGLLPSYSFNQSCDATKDSEVNNDWKSNPDEKGNESPTLESEDSVPGTLYDLLQKEVIGLRKAGHEKDQSLKDKDDAIEMLAKKVETLTKAMEVEAKKMRREVAAMEKEVAAMRVEKEQENRAKRFGGSTKGPVSAAQLLPGRNVGRGGLNRSTQ >KGN51137 pep chromosome:ASM407v2:5:16318468:16320781:-1 gene:Csa_5G466340 transcript:KGN51137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWFIFRLVSAFAASVKFRIWQTLGVIDLGINLLAFWAQSRDENQKTKAATESCEILHYMLWIQATF >KGN51401 pep chromosome:ASM407v2:5:18738523:18744285:-1 gene:Csa_5G527890 transcript:KGN51401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPRFRFKNTHVIKLFTSIRTITTTDSLFHHRFLTPGPSKPHAAPRSAVFTLWFSQKRHSWKGASDYDYIRADVNCPRCSKQMPVIFSNRPLSITGRETGVYQALNLCPNCKTAFYFRPLKLVPLHGTFIEIGRVKGARDLDHDADNESNQPTKGDIGANCADDFALPPRRNGSCGGSDDNLGSVESDGVQKDEASLGMQLLTPKEISLALDKFVVGQEKAKKVSIFSLLQSKVSSPLKKKKN >KGN51332 pep chromosome:ASM407v2:5:18217015:18221147:-1 gene:Csa_5G517790 transcript:KGN51332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRDLETPEGIIKDLAKRVEMKAPNEAFPSMWSFIRNLPSFTLLFLLGITKGMIFSPLTSLIMTMANSTLIAFLWPIQTFWTYYCILRSEVLGWGLKLVLCLCVLPVLLLLWPEFAALGSTIGGAIYGFLSPIMATFDAAREDKPDKVFHCMYDGTWDTIKGSFLVIGDFRDVCLHSYFSTMEELLQKGNLDGGYYDIRLLDFLGGSIIGILGSVVDTPVILLIALYKCPFMLFKGWCRLFHDLVGRKGPFSETICVPFAGLAILLWPLAVVGALVGSIISSIFLGAYAGVIVYQESSFWLGLCYILASISIYDDYSNDVLDMANGSCFPRPIYRKKDMQSQAKPEESQSVRSCPSLTDLVKTLILELKPLELLDRLFKECKRQGEIVVSNGLITLEDIEHAKSNNDITVISIGLPAYCILQTILRSAKADSAGLLLNDNVTEITTANRPQDVFFDWFLSPLLIIKEQIKAINLSEEEEDYLSRLVLLGDDPVRMKNSNINLPPESKCKCAELEALARRLRGITRSISKFPTFKRRFGSLVEAVFEDIMKKNDGSRTSRHKISKSESAIGRFLKQISLKNKTGNDETDEEQNVVVERDVESKLT >KGN52531 pep chromosome:ASM407v2:5:26754671:26755903:-1 gene:Csa_5G642130 transcript:KGN52531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWLLILLLLNFSFFDLSEARHHRKLPSAVVVGTVFCDTCYQEKFSKTSHFISGATVAVECGNKGPEPSFREEVKTDKRGEFKVNLPVLVSKHVKKIEECYVELVKSSEPYCDVAATAKSSSLQLKSRKQNTHTFSAGFFTFKPLKQPNLCNQKPQNPNTFDDMKEIQLPPPPSYDIPNLPSPIQIPTVPSAPRIYDNLPPLPLLPGLLPLPQLPPLPPLPPLPTLPPLPKFPIFPPKEKDEKNAPNETPNTSEKLDKFPIPPIKPLRKPHHFVLPPQRLHHHPRLPPQSGGGEGGGADT >KGN50326 pep chromosome:ASM407v2:5:6510005:6510530:1 gene:Csa_5G167180 transcript:KGN50326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEEMAQFSGVVDMQRRFTHSRKKEEITLQSGLLSLNFTESSRRSTSLARKYKMKSIKEEEEEDWKRKEFEE >KGN52157 pep chromosome:ASM407v2:5:24057984:24058870:-1 gene:Csa_5G612860 transcript:KGN52157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATAFLFSPFSSLFLLSLSSLTFLSILLLVSGEFLGHPLRYSKFWTPNSKPHLPSRSAMLLCYLPAFSLFLVPHHFLLSSRIFLIISLLLFHFFKRISEVLFVHKYSNKMALTDAIMTSLSYLLFSGTTIYAQIVALDLAEPEIDLKAAGLAMYGIGITGNFYHHVLLSRLRSGGDREYKIPKGGLFKVIVCPHYLFEIVEFLGIAFVSQTLFVVVFVVGSALFLIGRSYATRKWYLSKFEDFPSHIKAILPFVF >KGN50392 pep chromosome:ASM407v2:5:6895740:6897803:1 gene:Csa_5G171740 transcript:KGN50392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKKAVGKVSAKKKTEKVVNPLFEKRPKQFGIGGALPPKRDLTRFVKWPKVVQIQRKKRILKQRLKVPPALNQFTKTLDKNLATNLFKMLLKYRPEDKTQKKERLLKRAQEEAEGKSHDAKKPIVVKYGLNHVTYLIEQNKAQLVVIAHDVDPIELVVWLPALCRKMEIPYCIVKGKARLGAVVHQKTASVLCLTTVKNEDKMEFSRILEAIKANFNDKYDEYRKKWGGGIMGSKSQAKTKAKEKLLAKEAAQRMS >KGN50226 pep chromosome:ASM407v2:5:5944694:5945797:-1 gene:Csa_5G160780 transcript:KGN50226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWVKSLQCKSRAFEDVYHPSPKNLIPSASCRKSVQSLKDVVETTKSKHKKPKHSPPEKKPVSKNPRLAKSEPGSTPSSRPRMSVSSRPLIRADDLIFPAVSELPEGHHSRSVVEIIFHTSWSPKAFTGRVEMIFKVQNGSKTVARFEEYREMVKAKAAMGCPADEENARCVADGNEVMRFHCMGPTSDSAKYDGCGGAWSFPGMRGLSSAAAICTFAGSGVAHENAGGGRGRRAMLVCRVIAGRVSKQVVKPDPYLEGRVGLDSVSGDNGELLVFDSRAVLPCFLIIYKL >KGN50651 pep chromosome:ASM407v2:5:8980505:8983539:-1 gene:Csa_5G202350 transcript:KGN50651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSKEEKSKRLWRGIKTLFFLITMLISLLLFSAPVLLVLADALLPSALLSASLYPYSFSLKSLPSYLSNYDFRSSLVDIPLISFIRSAIIICVYSFCDGPRLSHGPYLGITTFCSVISLVFVSLKASFVFGDGLRDVGRGGYVRSMEVALFMCSLALAVGHVAVAYRTSCRERRKLLVYKIDIEAVSACKSGFPRYQKILQEERVK >KGN51782 pep chromosome:ASM407v2:5:21907856:21909024:1 gene:Csa_5G599860 transcript:KGN51782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVDGGAMGGAPHQRKFSLPVDSEHKSTKFNIFSVAAPHMRTFHLSWISFCACFISSFAAAPLLPVIRDNLNLTDTDIGNAGIASVSGAVFARIMMGSACDLVGPRIASASLILITSPAVYLTSIVSSPVTFFLARFFTGFSLATFVSTQFWMSSMFSSNVVGTANGVAGGWGNLGGGATQLIMPYVFAIIEHIGATKFTAWRIAFFIPALFQTLSAFAVLLLGEDTPDGNFGRLKKTGEKAKDKFSKVVYNGVTNYRGWILALTYGYCFGVELTIDNFFYCCYAYLFCVCSSCLWTYFWCRSFRLPKVHFFH >KGN50481 pep chromosome:ASM407v2:5:7578856:7583311:-1 gene:Csa_5G176030 transcript:KGN50481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWFLCSGISNKKEKQQQQHQVHKKDDDPIPSTSDAEKLMVKATFNGKNDTLKDGGADQIAGQTITFSFRELAAATKYFRADCLLGEGGFGQVYKGRLESINQVVAIKQLDRNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEYMPLGSLEDHLHDLPPDKKRLDWNTRMKIAAGAAKGLEYLHDKANPPVIYRDLKCSNILLGEDYHPKLSDFGLAKLGPVGDNTHVSTRVMGTYGYCAPEYAMTGQLTLKSDVYSFGVVLLEIITGRKAIDNSRAAGEHNLVAWAQPLFKDRRKFSQMADPLLQGQYPVRGLYQALAVAAMCVQEQPHMRPLIADVVTALTYLASQKYDPETQPVQSARSSSSTPRSSRREL >KGN50645 pep chromosome:ASM407v2:5:8875976:8876179:1 gene:Csa_5G200560 transcript:KGN50645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLCARIKFGVLRCSKATPWGHRFTHNLTIMFYSVAHSIMGRHKTTRQKLISTELTTLHFTFKLLKT >KGN51126 pep chromosome:ASM407v2:5:16198302:16205404:-1 gene:Csa_5G457770 transcript:KGN51126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGALNLLGGATICPASTRCQSLLSPQLHFVSSIAQTAGLYTNGKRLSCRRVGLRVRCERNVADVLDRKVTESRSSGDNEQQFTCVMKFGGSSVASAERMMEVAELIRSFPEERPVIVLSAMGKTTNKLLLAGEKAVSCGVTNASDIDEMKFIKELHLKTADELGVDRSIIANHLDELEQLLKGIAMMKELTLRTRDYLVSFGECMSTRIFAAYLIRIGVKARQYDAFEIGFITTDDFTNADILEATYPAVAKRLLGDWNSDPAIPIVTGFLGKGWRSCAVTTLGRGGSDLTATTIGKALGLREIQVWKDVDGVLTCDPNIHSGAEPVPFLTFDEAAELAYFGAQVLHPQSMRPAREGDIPVRVKNSYNPQAPGTLITRNRDMSKAVLTSIVLKRNVTMLDIVSTRMLGQYGFLARVFAIFEDLGISVDVVATSEVSLSLTLDPSKLWSRELIQQASELDHVVEELEKIAVVKLLQHRSIISLIGNVQRSSLILEKAFHVLRTNGVNVQMISQGASKVNISLIVNDNEAEQCVRALHSAFFEIDGMKLNTEGCTSQNGSV >KGN51571 pep chromosome:ASM407v2:5:20504280:20511054:-1 gene:Csa_5G579570 transcript:KGN51571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPLQQNGIFGILRRSFVLFIPVLYLSPVLPSAASFRHFRSPVATMNQSHSPPVANKVEHKMELFGDVRIDNYYWLRDDSRSNPDVLSYLREENRYTDSVMSGTKKVEDQIFSEIRGRIKEDDITVPERKGSYYYYERTLEGKEYVQYCRRFVPRGEEEAISVHDTMPTGPNAPPEHVILDENVKAENQSYYSIGCFEVSPNNKLVAYAEDTKGDEIYTVYIIDAETGAPVGKPLVGVTSYLKWAGDDALVYITMDEILRPDKAWLHKLGTEQSMDTCLYHEKDDMFSLDLEASESKKYLFIASESKFTRFNFYLDVSRPEDGLVVLTPRVDGIDTYPSHRGNHFFILRRSEEIFNSEVVACPLDNTSATTVILPHRESVKIQEIELFLNHIVILEREDGLPKVVVYSLPDIGEPLKTLEGGRAVDFADATYSVDALESEFSSSILRFCYSSMKTPPSTYDYDMKTGVSVLKKVETVLGGFDSNKYVTERKWATALDGTKVPLSIVYRKDLVKLDGSDPLLLYGYGSYEYCIDPSFKASRISLLDRGFIYVIAHIRGGGEMGRQWYENGKLLKKKNTFTDFISSAEYLIENKYCSKEKLCINGRSAGGLLIGAVINMRPDLFKAAIAGVPFVDVLTTMLDPTIPLTTSEWEEWGDPRKEEFYFYMKSYSPVDNVKAQNYPDVLITAGLNDPRVLYSEPAKFVAKLRATKTDNNLLLFKCELGAGHFSKSGRFEKLQEDAFTYAFILKSLNMIPAVGN >KGN51180 pep chromosome:ASM407v2:5:16864568:16869157:-1 gene:Csa_5G481620 transcript:KGN51180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAASRGRVTITLGRSGQVVKKAGPGTDVSVSDSWPVSGTKRSVRDRLGSSSDSDLFYGSQLNNKRFRGDDGMSNWSSNGGLDVPHIGKDDLRYKLLQKGAFKRAQSDNKKCLDLREKLPKADQAPIRHRNSPLHNTNILRRTPSTRSADDLPQKDSLGSSYSPWTMDNLRQRSPARIVESSRHYSLQRDDEKLQRRPANLSFENARSVGYVAKDVHNTSGSVSTATYVTNSLLPPTSAKPVAPIVPLHPPPSGIAHKTLYPGNELQTIDGLLQSLELGKYSILFKAEEVDMTALKQMGENDLKELGIPMEILSVVRRMLLFD >KGN51639 pep chromosome:ASM407v2:5:20991750:20991947:-1 gene:Csa_5G587100 transcript:KGN51639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRGWLVAVESGDQRSVIDYKRFVNGVTRGGGWRLANDDHRRWCSKVDRPTFQPVKQILLRVEE >KGN51258 pep chromosome:ASM407v2:5:17593683:17596586:-1 gene:Csa_5G505190 transcript:KGN51258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSAQVLVDGAGDLEKGILSLSSNQNPLAELSPSPSPSSTATAPALVLSNSGKRMDQAGKKKYVKQVTGRHNDTELHLAAQRGDLAAVKQILDDIDSQMVRNLSGADFDAEVAEVRSLVVNEVNELGETALFTAAERGHIEVVKELLKYSNKETLTTKNRSAFDPLHIAASQGHHAIVQVLLEHEPSLSQTFGPSNATPLITAAARGHTAVVEELLNKDRNLLEICRSNGKNALHFAVRPGHTEIVKLLLSKDPHLARKNDKKGQTALHMAVKGQSRDVVKLLLEADPAIVMLPDKFGNTALHVATRKKRVEIVQELLLLPDTNVNALSRDHKTAFDIAEELPLSEESSEIKDSLSRYGAVRANELNQPRDELRNTVTQIKKDVHTQLEQTRKTNKNVHNISKELRKLHREGINNATNSVTVVAVLFATVAFAAIFTVPGGDTDQGTAVVVGTISFKIFFIFNAIALFTSLAVVVVQITLVRGETKAERRVVEIINKLMWLASVCTSVAFMASSYIVVGHKYRWAAAVITVVGGVIMAGVLGTMTYYVVKSKSRRSVRKKEKSNRRSGSNSWHHSDFSNSEVDRIYAL >KGN51134 pep chromosome:ASM407v2:5:16288582:16292061:-1 gene:Csa_5G464830 transcript:KGN51134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSLSWFFFFSLSFSFSPTAAALSDDAMSLLMFKSSISFGASHVLRSWNLSVSHCDWFGVTCGNGGTDRVVALNISGGIIGGVLAEGSFLAGTLNPSIGNLVQLRVLSLPNNLMYGEIPGTVGKLQSLEILELQGNNFSGEIPNQISSLPSLRLLNLSDNSVSGWVPSKLIGSGKLEVIDLSYNQLSGNIQVVDNRCGALNHLRLSHNFLTGNIPAEIGQCWKLRTLLLDGNILEGKIPAEIGQISELRILDVSRNSLTDSIPKELGNCRKLSQIVLTNLNDINPDNDSLRGEFNAFNGGIPSGLLLLPSLQVLWAPRGNFNGRLPTNWNSLCSLKVLNLGQNYITGTIPESIRKCANLTYLDLSSNKLQGNLPSQLRVSCMAYFNVSQNKISGVLPRFEKDSFCTNLIPMLSDQEDDWNSYLNFPVWDFTRLNDNLLIAHDFSWNRFSGSLASVKVGEELLANGIKFSYKLLLNSNKFNGPLPIDLISHCNDMKGVLVNLSSNLVSGEISDAFFLHCRQLIEFEAASNELDNSIGSRIGELQMLRRLDLRGNRLCGVLPDQLGNLQTLKWMLLGGNNLTGEIPSRLSQLTSLLSLDLSRNLFTGFIPDSLSYASRLEILLLDHNRLTGEIPESFSALSHLTKLDVSFNNLSGHIPHLHHTFDCIYFGGNKFLHPCPDSYSDSPAGLPVPLDVEKWKRRRKFMSMVIAVAASSTLICLLLMIAVIIIVKRRLGKQNRLKKKQVVTFSDAPSDLNYDNVVRATENFSLRYLIGTGGFGSTYKAELPSGFLVAVKRLSIGRFQGGIQQFDAEIRTLGRIRHKNLVTLLGLSSMKNLANMSSTRSLDRSFSDFGNGFNIVTWANMLIKEGRSSELFTPELREMGPKEHLLGMLKLASNCTVETLALRPSMKQVVETLKQL >KGN50711 pep chromosome:ASM407v2:5:9790681:9797198:1 gene:Csa_5G218770 transcript:KGN50711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPPEHVPLLPEMKPPIKTASVSGAVFNVSTSIIGAGIMSIPFTLKVLGIIPAVALIMLVAFMTDLSVELLLRFTHSSNSTTYAGVMKESFGLIGSVATQICVMITNLGCLIMYLIIIGDVLSGNKGGGGGEEVHFGVLQQWFGNHWWNSREFSILFTVVFILLPLVLYQRVDSLRFSSFVSVVLAVVFVGISSVMAVMAIVEGKTKRTRLVPEVEDETSFFELFTAVPVIVTAFTFHFNVHPISFELRNSRNMMTAVRVALILCAIIYFAIGIFGYLLFGDSLMSDILTNFDQTFGSSTGANLLNDIVRLSYAFHLMLVYPLLNFSLRFNINELLFPNRPPLASDTTRFFTITMALLLFSYLAAIAFPNIWSIFQFMGSTSAACLAFIFPGAIALRDVNGISTKTDKMVASTMVSLAVVTSIIAIATNINKALNNKF >KGN50076 pep chromosome:ASM407v2:5:4888490:4889099:1 gene:Csa_5G152910 transcript:KGN50076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFPATYTELLLPKLFIHLLSFLGFLRKLISFIFRLFGLQDFLEPDIPWPNPPDSFPTSPFTHLDPLSAALLREILPVVKFSDLLDPPDCCAVCLYEFESDDEIRRLANCRHIFHRGCLDRWIGYGQRTCPLCRTVFIPPDLRSGGGCNFDDRLWEDSEIFEPLHSDSSSSNLLSTDGL >KGN52168 pep chromosome:ASM407v2:5:24096106:24101376:-1 gene:Csa_5G613460 transcript:KGN52168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVRVQFISTTPLRFASIFNLNLRSSPFSTSRLFCERRNVIGMDEKGFLQPTETVVADSDLLKKKLDALRFSGPQKLQVIADFDATLTRYWIDGCRGQTSHGLLKQGNPEYDTKRDELYKYYHPLEYSPTISREEKTKLMEEWWGKSHSLLIEGGLTFDAIKQSVTAATIAFREGVVELFELLEEKGVPVLIFSAGLADIIEEVLRQKLHRSFKNIRIVSNRMVFDDNGCLVSFKGKTIHSLNKNEHALDMAAPLHDQLGDVDGTINDSASVRKRTNVLLLGDHIGDLGMSDGLNYDTRISVGFLNDNIENSLDSYRNAFDVVYLNDASMLGVVKLVRQLFPV >KGN52311 pep chromosome:ASM407v2:5:24978645:24983606:1 gene:Csa_5G623740 transcript:KGN52311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFDSFFPEMSLKLHHIDLKGYSGAQKRLKDLTAHSGNKYCADCGSPDPKWVSVSFGAFICIKCSGVHRSLGVHISKVLSVKLDDWTDEEVDALMSVGGNTAVNKKYEVCIPDGNKKPKPDSSIEERFDFIRKKYELLQFTNLDDQFFCPFPPPQKRNSLLSHSTSSTDANQERRNYEKVPTKTRIGSAFRNSWGRKDSEHKNCKKGNALVLSSSMAGMIEFVGLIKVNVVRGTNLAVRDVVTSDPYVILSLGHQSVKTRVIKSSLNPVWNESLMLSIPDYIPPLKVLVYDKDTFSTDDFMGEAEIDINPLLTAARACERSTICEPMQLGKWVASKENTLAKDGIISLVDGKIRQDISLKLQNVERGVLEMELECVPLSQ >KGN50901 pep chromosome:ASM407v2:5:12953673:12955994:-1 gene:Csa_5G319910 transcript:KGN50901 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl:coa ligase acetate-coa synthetase-like protein MLLKCDANYSALTPITFLKRASAFYADRTSIIYEGTRFTWKQTYERCCRLASSLCRLTVSKNDVVSVLAPNVPALYEMHFAVPMAGAILNTINTRLDIKNIALILRHSEAKIFFVDYQYIQEAKDALRLLVAESSPIPLVVVIDDIDTPTGARLGNLEYEQLIYDGDANFTPVEVDDEWDSIALNYTSGTTSAPKGVVYSHRGAFLSTLSLVMGWEMGNAPVYLWTLPMFHCNGWTFTWGIAARGGTNICMRNTTASDIFRNINLHRVTHMCCAPIVFTIILEADNRDRRRVAWPVNVLTGGAPPPAPLLEKMEALGFHITHAYGLTEATGPALVCEWQEKWNVLPADQQANLKARQGISILTLADVDVKNLKTMESVPHDGRTTGEIVLRGSSLMKGYFKDPAATAAALKNGWFLTGDIGVIYPDGYVEIKDRSKDVIISGGENISSVEVETVLYRHPQVSEAAVVAMPHPRWGESPCAFVVLQDGGGSGRVSEAEMVAFCRKNLSHYMVPKKVVFVAELPKANGKVQKFMLREQAKYLEVSEKRIVSNMGNKSGNRRSDYGEHVIAMSRL >KGN51979 pep chromosome:ASM407v2:5:22994651:22997307:1 gene:Csa_5G606690 transcript:KGN51979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKRVLCLIPHRSFSSVPENPPSLYSFLQPSLFARKRTPFSPSQDSTDLRQDPTPQNLTPDGVAVVETALHKSLLTSDTDEAWKSFKLLTRSSAFPSKSLTNSLIAHLSSIGDVHNLKRAFASVVFVIEKKPELLDFGSVKALLASMKCANTAAPALSLIKCMFKNRCFVPFSVWGKELVDICRQSGSLIPFLRVFEENCRIALDERLDFLKPDLIACNAALEGCCHELESVTDAEKVIETMSLLYLRPDEVSFGALAYLYALKGLDQKIIELEVLMGSFGFTCKDLFFSNLVSGYVNASNFAAVSKTMLRSLKDECGSHVHFGEKTYLEMVKGFIQSGNLKELSALIIDAQNLESSSAVDGSIGFGIINACVNIGWLDKAQYILDEMNSQGVSLGLGVYLPILKAYRKEHRTAAATQLIMDISSSGIQLDAENYDALIEASMSNQDFQSAFTLFRSMRETRKSDTKASYLTIMTGLMENHRPELMAAFLDEIVEDPLVEVGTHDWNSIIHAFCKAGRLEDARRTYRRMKFLQFEPNEQTFLSLINGYVSAERYFCVLMLWNELKWKVTPNGESGIKLDNNLVDAFLYALVKGGFFDAVMQVVEKTKDTKIFIDKWKYKQAFMETHKKLKVAKLRRRNYKKMESLIAFKNWAGLNA >KGN52174 pep chromosome:ASM407v2:5:24134345:24136017:1 gene:Csa_5G613520 transcript:KGN52174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDADLRHDDRPFPIPNQPHRFKFHLSPIHFSSILILLLAISFFAFPKTNFYKSQSSKLTNLLKFSNQPPGFNPLCVLWMAPFLSGGGYSSEAWSYILALRHHITNPGFRLVIRHHGDLESVDFWEGLPESVRNLAIELHRTRCRMNETVVICHSEPGAWNPPLFETLPCPPGPYQKFKSVIGRTMFETDRVTREHVNRCNVMDYVWVPSEFHVSTFVESGVDPSKIVKVVQPVDVNFFDPLKYKPLSLESVGTLVLGGKNFEEEVKLEKKRFVFLSIFKWEFRKGWDVLLEAYLKEFSKKDEVGLFLLTNPYHTDSDFGNKILDFVENSDLQMPLSGWAPVYVVDIHIPQTDLPRVYKAADAFVLPSRGEGWGRPLVEAMAMSLPVIATNWSGQTEFLTDENSYPLPVERMSEVKEEPFKGHMWAEPSISKLQVLMREVTVNVDEAKEKGRRARQDMIDRFSPDIVADIVHRQIENIFHEKR >KGN50765 pep chromosome:ASM407v2:5:10462231:10465412:-1 gene:Csa_5G241640 transcript:KGN50765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGASLDSCPANGGHGSHSYSKNSHYQKSFVDIVRIKVEEEIKENFNTTHLISSSSSNTIRLADLGCATGPNTFWTMQYIVNAIKSNSPNISPNFQVFFNDQISNDFNALFLSLPPDRDYFAAAAPGSFHGRLFPDSSLHLVHTAYSIHWLSAVPEEVKDKRSAAWNGGRIHYIGAAEGVVEAYAGRFSADMERFLKARAEEMVGGGIMVMICLGVCDDVSPSQLPFRILYDNLAFALIDMAKEGLLNEDEVDSFNIPIFIPCPKKMRKLIEKDGHFSIERIELAEPATWLKENVDIRVWINHIRAAMEGTFIQHFKKKELIDEMFERVIKKLSNYPEEINEKLHEKVQLFAVLKRKDDAF >KGN50899 pep chromosome:ASM407v2:5:12923010:12924817:-1 gene:Csa_5G318400 transcript:KGN50899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFNALVVPDEAQFGWLAQDGSGEGWLERKTRAAWIEPNDLHVDNARLELNRRVMEMKVVDDLVRLDENVRTEGVGMEDDGWSFSASSDVRQMMVGVGRRLLLWLLLWRRKVNS >KGN51834 pep chromosome:ASM407v2:5:22201445:22202062:-1 gene:Csa_5G603310 transcript:KGN51834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQWHYGFKFQFLNLQQRYFGDKIDKGDSNFTPFGAWHGMAWHGGWHALACVCVLYEWNCPPLRLEEVPLISFNQLAGPSDELNSGDDLLGRGCFHLN >KGN50348 pep chromosome:ASM407v2:5:6653820:6654373:-1 gene:Csa_5G168850 transcript:KGN50348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENDVLSSRAQFIIHAALKQCGLILFKKLKMLIQDAGLYVVVRIGPYVCAEWNYGGFPVWLHNMPGIQLRTNNQVYKNEMQTFTTKIVNMCKQANLFASQGGPIILAQIENEYGNVMTPAYGDAGKAYINWCAQMAESLNIDVPWIMCQ >KGN52145 pep chromosome:ASM407v2:5:23988808:23989198:1 gene:Csa_5G612250 transcript:KGN52145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALCFHKRRFEYNTISFAVAAQSRNLAEAERSPVRSVASPSSISALFSLTIIAYLLLDAF >KGN50588 pep chromosome:ASM407v2:5:8436634:8442696:1 gene:Csa_5G187860 transcript:KGN50588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSLIFKLALVLVLGLVSSLLASGFDSKNDDRKIYIVYMGNKPQDTASTPSHHMRMLREVTGSNFAPESLLHSYKRSFNGFVVKLTEEEAHRISAKEGVVSVFPSGKKHLHTTRSWDFIGFTKDVPRVNQVESDIVVGVLDSGIWPENPSFSDAGYGPIPAKWKGICQNPTNFTCNKKIIGARAYRSDNVFPTEDIPSPRDSNGHGTHTASTVAGGLVSQASLYGLALGTARGGVPSARIAVYKICWSDGCSDADILAAFDDAIADGVDIISLSVGGSEARYYFNDSIAIGAFHSMKHGILTSNSAGNDGPDYFTIRNFSPWSLSVAASTTDRKLVSRVEIGNTNVYQGYTINTFDPLGKQYPLIYAGDAPNLIGGFTGSISRFCSEGSVDANLVSGKILLCDSILAPSAFVYFSDAVGVVMNDDGVKYPSNSYPLPSSYLETVDGDAIKTYMASNGVPTATIFKSDAVNDSSAPFIVSFSSRGPNPETLDILKPDLTAPGVEILAAWSPIAPVSSGVIDSRTTLYNIISGTSMSCPHVTAAAVYVKTFHPTWSPAAIKSALMTTATPLKPEINVEAEFAYGAGQINPLKAISPGLVYDANEFDYVKFLCGQGYTSDMVQSLSNDNTICNSANIGRVWDLNYPSFALSSTPSQSINQFFTRTLTSVDSNASTYTSTILGAPQGLTITVNPKVLSFSGIGEKKTFTLTIQGTIDPTTIVSASLVWSDSSHDVRSPITIYVVT >KGN52068 pep chromosome:ASM407v2:5:23518365:23524529:-1 gene:Csa_5G608560 transcript:KGN52068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANDTAHRKHHRSASDEDDDKSSKRHKHRHHRRHHRHRHSSNKNEEESCRDREDSVPPAANRRSRPEDDVEEGEILEEDESGVRENEGATKEVDVEFGKPEADEISDRIDQPSMECHSVDESSNNQANFIVKEGAGNGAQDQKTSKMDSKFYNLDMDKGGYYLKKGTNKENMILYQTDSGCKHGNDASFSMSEVAGTKYNNIEHCREGHSKVDCDQESLELDEKLHKQMASPSKGASKKISNNGNGKVATDGNTLGNGKRPQLERTEGKSEDFTPSTSHDRFIDASDCRSRSRSNCHSRGQSQSRETVEEEAESKSRHYHGWDQPMFDDKIKIHNDLDDDYTECVRGEGRHRSRDTKDNGRSKMELDREWSKENEMGKNKDKDRGVDKQKYDERERGRSKDRRKEMERKRSRERELERGDRRRERDVERDRRGKERGWSREREGQRDRRVERERGWSREREAERDRRTEKERGWSKEREVDRDRRAEKERCRSTDREGNRDRRREREKDRSKDKEVDWDGRRDRDRNDDKAEYSDRNRDRERVREVQKDRFRDKELDRERHNDRNKNKASDSLSSKDKYGNLEHGYVKGSKQSRHYDNEFGLDGGRINAVEKHGSFKRSTREEGEDKLMSGHDEEEEDGDGMSYQLADEEEEDLNRIKEESRRRRQAILEKYKCQQLEKQVEASMKESEKDKDSGKDSSQSEAAAHAIPELVDGVVDDSVADSSFVVEKSPQQNGAIASDKTAGTKGLGEGTPKAEGSDGLFCDDIFGETPAAVRKMGKSDGLQIERSGLHDNWDDADGYYNYRFGEVLDSRYEIAAAHGKGVFSTVVRAKDLKAGPGEPEEVAIKILRSNETMYKAGLEELVILKKLVGADPDDKRHCVRFLSSFKYRNHLCLVFESLHMNLREVLKKFGRNIGLKLTAVRAYAKQLFIALKHLRNCGVLHCDIKPDNMLVNEGKNVLKLCDFGNAMFAGKNEITPYLVSRFYRAPEIILGLSYDHPMDIWSVGCCLYELSTGKVLFPGPSNNDMLRLHMELKGPFPKKMLRKGAFTDQHFDQDLNFHASEEDPVTKKTIKRIIVNIKPKDIGSIIRGSPCEDPKMLANFKDLLDKIFVLDPEKRMTVSQALNHPFITGK >KGN52125 pep chromosome:ASM407v2:5:23854362:23857698:-1 gene:Csa_5G611070 transcript:KGN52125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTGVVRAIVKTETQKTPTFRLRLSQILRATLSPAVMGRADKERLVRTLNSHLNTIHETFQMLDQNPSSSLEKVSWDDVLKMGDQVYKQATVAGMVWTGERLEVKAIEENMASYFNMLQGFLLVSHGSQVGAGPTLSSVIHASLKQIIDSSFRFWKESVSLYGPQKNQDKNQVILQLVGAVWDACSALKKAPSTNITAIGRAITQVAVSVKDVLREMKELKQGSSDLDKAPEEICNAVEGDSQDEGNTSDDADIGNDLSAEEMRVAQSAIHVVSSILLVIKELIRSITSLLKLENANKESNLASLENLLKLCQGIGLQVDELGACLYPPQEGPAIKVASEKISSFLDNMQTELGSLNGNSEGFLQACNNLRDSLKQLETELGGFSSPDLETRMQNVTLSN >KGN50706 pep chromosome:ASM407v2:5:9756363:9758316:1 gene:Csa_5G218230 transcript:KGN50706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCSFPDVYSWIQNLPPLSQWKTTSISTSICSSPTGNSSLIIVAAKNIHSPTITFSVTADLNFPLSLWTSKPLMVDTLSLSLLNKECMSSLLLNCVHDVLYYGSNQRQNSSHNFPKLDITSNLKEIFNLAFLTLVFLICIYEAPTNLRSNCLMALKFYLANSISRQISKVLMKLLGSTLEQRWVRSLNLALTNWISELKANGHTFKTPSPLFSYSFSTYGLWKVQLYCPIIAMDNIYSSNPSSDERLQFSLNYHQLEGVLQLNYKAVVREKWIDLRVHVDNIRCDIVQLVNETLLSERGVGGSEKHFPSQISLQLTPTFHTNIMSVSVSKSSSNPHIEIGTEKTFEAGFESAPYPGLKLAVGETVTVSLKPWKFEQSVHGNAATLDWYLHDSSDGREVASTKPSKLALINPKAWFRDRYSSASRPFNRQGGVIFAGDEYGDSVWWKIDGKARGKTMEWEIRGWILVTYWPNKHKTFYTETKRLEFKEILQLSIP >KGN50873 pep chromosome:ASM407v2:5:12476489:12477104:1 gene:Csa_5G308780 transcript:KGN50873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVGIYKPADEPPHVEGNPVKADTGHFNVVHYDPKAVKEPRAVHDILGSKGTRILPEDLKGRFEEYVKIGRDKRLPTKFELDDKIFKSLKVQAAT >KGN51226 pep chromosome:ASM407v2:5:17398520:17398969:-1 gene:Csa_5G495930 transcript:KGN51226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKTSTKFPFTLGTIQSLTMLDNGGTFIDQAPNAIARLKSLETLNCERLSKNIWWSLNDLVGSNDVLPIRDLNLSDCNIVDEDIRDDIKCLFLLEILDLSKNSFVRLKQSLPRLTNLIALYLNDCFNIQPQLLPKLPTSLHNVGGQNS >KGN49648 pep chromosome:ASM407v2:5:1480880:1481511:-1 gene:Csa_5G044510 transcript:KGN49648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVGKFVSELEINAPAEKYYEIFKDKVAHIPNISPTLFQNVEVHEGDWDTHGHGSIKVWNYTLEGKAEVFKEQVEFDDENFAVTLIGLEGDVFDHYKSFQGTYKVVPKGPEHSLAVLTLQFEKLKEDSPYPYKYLDLMHTITKDIEAHLK >KGN51071 pep chromosome:ASM407v2:5:15528306:15530371:-1 gene:Csa_5G429440 transcript:KGN51071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGRSMRAPRMRWTSSLHAQFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMFRAHKTTEKPAASPGN >KGN52683 pep chromosome:ASM407v2:5:27755199:27756403:1 gene:Csa_5G650480 transcript:KGN52683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLIVTTFTAAGLWSPPPPPQNVIVKEGHRMVVVEYDDQGQHNTKVSISSEPDQDAKNSERHRTKDLICDVYGKCKHKVASAVEKAKVMVTETAQEAHDVGESVTDAFDGAKDKLKEGAKETLEMAKSREEKVVKGAERVAKETGEKIKTGENKLKENLMGLVDRGFKVIDYLFRHLGFGMDALGLLGFTMALGMGVWVTFISSYVLASVLPRQQLGVVQSKIYPVYFKAMASCIGMALLGHLFSRTEWTFPIPKNSEVVQGYVLVAALLMIFANSLYMEPRATKVMFERLKIEKEEGRGIEDIAREETGNVIDNSPAITSSTPTQVVDREVVKSRIVGLNKRLKKLNSYSSLLNLLTLMALTWHLVYLSQRLCNPC >KGN50077 pep chromosome:ASM407v2:5:4893651:4895415:1 gene:Csa_5G152920 transcript:KGN50077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGLSLSHPTPDFPLLPNPSPSSSNGFQNLTNFDVKNEESLLGFNNPPLCFDPPENVDKSLLLHRSFSEKPNFQTRLETFLMESQNFPNNHHSLPCPESDFFSSQIRRVFSTGDLQNSGNGRSSSLMEEGNFKVGRYSAEERKERILKYRAKRTQRNFNKTIKYACRKTLADNRPRIRGRFARNDEPGEIPKAACSTREEDEDDFWIEGLHGSEEEGTIRSGKQYGEMYGRMQFQYCGF >KGN51716 pep chromosome:ASM407v2:5:21451177:21452244:-1 gene:Csa_5G592800 transcript:KGN51716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPNQSKPLIISKYFVLSLFFSLPFLLLLSLRPYYHRFSSPPTDIPTPDLKIRPGYTSYEHYIKKQLNKTLDPKLRKIWTTRDWDRKIQVFSRFFDGLKREGLISTESKALCIGARVGQEVEALKKIGVSDSIGMDLVPYPPLVVEGDFHNQPFGNNSFDFEFSNVFDHALYPERFVAEIERTLKPGGICVLHVALSRQTDKYSANDLYSVKPLEALFRSSTVVRVGKIDGFGLDTEIVFRKTANIIQRS >KGN49594 pep chromosome:ASM407v2:5:650274:650864:-1 gene:Csa_5G016040 transcript:KGN49594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKKAKNKSPTPKLSVPNNPVVMFERFTVEIHNDLRMFILDSHCYSKDDDLGLHILFPDEKQDWSFKGNWIATTSFHCRLEWEVGYLEFDSFKSAPDFVTNYCGNQTCIWSARQDGVYLNNAAGEQVFYNYWEMIR >KGN51821 pep chromosome:ASM407v2:5:22138738:22140106:-1 gene:Csa_5G602200 transcript:KGN51821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSHPQTRDFPVSPSISPLHSSSLAYDIDYQKLEPTPPSTSSSSKISPAVLLVIVILALFLFISGLLHLLVRLLVKQRSSSSSISESNSNRFPDLSESSSAFQRQLQQLFHLHDSGLDQAFIDALPVFLYKEIVGLKEPFDCAVCLCEFSELDKLRLLPTCSHAFHIDCIDTWLLSNSTCPLCRGTLHIQSPVLAIENPVYGFEDSEETEESTENGRFGILTAQKAPESDIIGEKRVFSIRLGKFRNLNNGGLRGLEKGEGETSSSSLSARRCYSMGSYQYIVAESELQVALHTSNRISGGSMRFVKVIRDSQTGNCPIDRDDDDAERKKINIGSKNESFSVSKIWLWSKKSRQPCSS >KGN49967 pep chromosome:ASM407v2:5:4143223:4149177:1 gene:Csa_5G146900 transcript:KGN49967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLARPHKPTNGFSPRWVFLFCIASFFLGVFVVDRFWAVPDPVETDEEASVDKVQSKTSHPIVNCEKKEASFQADILSQVSQTHDVIMTLDKTISSLEVQLAAARASKADNDEGSPMVTEPGAKILKERPKVFFVMGIITAFSSRKRRDSIRETWMPQGEELRKLEVEKGIIIRFVIGHSATPGGVLDRAVDAEEVQHKDFLKLNHIEGYHELSSKTQIYFSTAVAKWDADFFIKVDDDVHINLGMVGSTLARHRSKPRVYIGCMKSGPVLAQKGVKYHEPEYWKFGEEGNKYFRHATGQIYAISKDLATYISVNRPILHKFANEDVSLGSWFIGLDVEHIDDRSLCCGTPLDCEWKAQAGNPCAASFDWSCSGICKSVERMEEVHQRCGEGDEAIWHTSF >KGN52540 pep chromosome:ASM407v2:5:26849328:26850281:1 gene:Csa_5G642710 transcript:KGN52540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPGEVTSLQYLIPSNLSPYATHFPMAQNNLPTIQLNEFSNPLYNFQGPSQVHDFRQPCLSSNSTSDEADEQQQSLINERKQRRMISNRESARRSRMRKQKHLDELWSQVLWLRNENHQLIDKLNQVSDCHDKVVQENVQLKEQTSELRRMLTELQVNDHYPSFRELEKIPPNSPSES >KGN50721 pep chromosome:ASM407v2:5:9869580:9871278:-1 gene:Csa_5G218870 transcript:KGN50721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARSLNHLWLPVFFNCLFHCDCSILLRWGMAESELDRLKLRFTQLYYRGLLSLKTPVEGLKEWLDAVSTARIPCAIVSSLDRKHMLEALDQMSLKKYFQAIITEEDGMESMAHRFLSAAVKLDRKPSKCVVFEDDPRGITAAHNCTMMAIALIGAHRAYDLVQADLAVGSYNELSVINLRRLFANKGSTFMDLQKQSVEKAPSKRKLTIDTIF >KGN50895 pep chromosome:ASM407v2:5:12846643:12846892:1 gene:Csa_5G315890 transcript:KGN50895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTRTETQFGEDQGAVSLKIERFAVDGVGNEEDEEVGWRLSSMEVHRRRSPNVGGGDSQKPLDLPRR >KGN51815 pep chromosome:ASM407v2:5:22075767:22076072:-1 gene:Csa_5G602140 transcript:KGN51815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRRAEERRVRLGLIGPYSPVSWAGPWRLNISTLQSIGYLASIGDSIGGERRASISIFISSVLYNFRRPRAAKQLFPLFRDFIGVLYYRSCCEISSRNWRT >KGN49693 pep chromosome:ASM407v2:5:2161439:2163063:1 gene:Csa_5G070680 transcript:KGN49693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEENSGKKILITGVSQGLGRALALELAKRGHTIIGCSRNQTKLDLLQPIFSNIPPYNHLLLNLDVKLDDKVKETLEIIVEKIGVPDIIVNNAAMINVKAKIWEISREEFDDVIDTNIKGTANILRHFIPLLLPKNKGIIVNISSIFGRMGVPLVSPYCSSKWGIEGLSKSIAKELPNGMTIVALDPGIIHTEMLLSSLGNNIASQYQTPQEWALKAAPMILNLTNAENGASLTVDDPGTLPD >KGN51138 pep chromosome:ASM407v2:5:16333817:16337725:-1 gene:Csa_5G466350 transcript:KGN51138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKVLAAILGGSAGAVALVGLIIILLRFLARSRNTARTSETGSSDPSVQVGRHVGIELTLRDARRFEMAELVLATNDFSDKNLIGEGKFGEVYKGMLQDGMFVAIKKRHGAPSQDFVDEVHYLSSIQHRNLVTLLGYCQENNLQFLIFDYIPNGSVSSHIYGTEQRSAEKLEFKIRLSIALGAAKGLSHLHSMSPRLTHRNFKTSNVLVDENFIAKVADAGLHNVMRRFDVSESSSRATADEIFLAPEVKEFRQFSEKSDVYSFGVFLLELVSGQKATDAPVSNPNYTLVDWIQNNQRKSDIGSITDPRLGKSFTEEGMGELMDLILQCVEYSSERRPVMSYVVTELERILEKEMNLTTVMGEGSPTVTLGSQLFKTTK >KGN50294 pep chromosome:ASM407v2:5:6327123:6329930:-1 gene:Csa_5G165890 transcript:KGN50294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFPITLSGLLQSVAGTFPDRRALSVSGKFDLSHSRLQHLVDSAASKLVAGGIEPGDVVALVFPNTVEFVIMFLAVIRARATAAPLNAAYTAEEFEFYLSDSESKLLVTSIEGNSPAETAASKLKIKHVTASLPDAGAELVLSLTPSNSNGSEYGSVLEILNEGSDVALFLHTSGTTSRPKGVPLTQFNLASSVNNIKSVYQLTESDSTVIVLPLFHVHGLMAGLLSSLAAGAAVALPAAGRFSASTFWADMIQYNATWYTAVPTIHQIILDRHSNKPEKVYPKLRFIRSCSASLAPSIMNRMEEAFGAPVLEAYAMTEATHLMSTNPLPENGPHKAGSVGKPVGQEMVILDENGIVQEANVKGEVCIKGSNVTKGYKSNPVANEEAFRYGWFHTGDIGYFDSDGYLHLVGRIKELINRGGEKISPIEVDAVLLAHPDVAQAVAFGVPDDKYGEEINCAIIPREGSKIGEEDVMSFCKNNLAAFKVPKKVFLTDSLPKTASGKIQRRIVAAHFLHQDS >KGN52353 pep chromosome:ASM407v2:5:25354723:25354974:-1 gene:Csa_5G627100 transcript:KGN52353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDNNIRYASNDFSHPQLAAIHEARYANNFSLMISPFHDLMLLRRLANNSDSTSAHDFSFPPSLTSNLASNSTTTIALNPFNV >KGN50061 pep chromosome:ASM407v2:5:4793543:4794285:-1 gene:Csa_5G152260 transcript:KGN50061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKVVLKLELHGDREKQKALKSVSVLQGIELIAMDMKDKKLTVIGDVDPVDVVGKVRKHWPDADIVSIGPAKEEKKEEQKNQNNDQKMEELLKLYKSYDNHHASAVNYDVYSDHLEENSNSCVIS >KGN52405 pep chromosome:ASM407v2:5:25836933:25839430:-1 gene:Csa_5G631550 transcript:KGN52405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGIFCGLFHYLFFVYLAFSFVGLQNFVLGRLIFVSKPDPDNAASTARWLVSQNSWGILSTISSDFGGAPFGNVVSYSDGPPNEGQGIPYFYLTTLDPTAKYAISDERASFTLSEYPIGTCGKIDPENPTCAKITLIGKLKQMEPNSKEVEFAKTSLFSKHAEMKNWPKGHDFRFYKLVIESIFLIDWFGGPKPLTVDQYLHLKPNELTSTV >KGN52237 pep chromosome:ASM407v2:5:24565875:24566240:1 gene:Csa_5G622535 transcript:KGN52237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYTKTYKKPSTHPPHAPPSSAAPSAETPTTLLPATTPTTVSTTSRLSHISHDTTASQSPHSTPCTLSVYRSPLPDVVGSSLRTSPTPPCWQTSSRSPPRRCRDTLTKHGLRFSSRRIAKS >KGN52283 pep chromosome:ASM407v2:5:24827408:24831456:-1 gene:Csa_5G623470 transcript:KGN52283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAECGTEQRTLEDTSTWAVAVVCFFLVVISIFIEHVIHLTGKWLEKRHKPALVEALEKVKAELMLLGFISLLLTIGQDAVTQICVSKELAATWLPCAARAKTGVKVAKNSRLRLLEFLDPDYGSRRILASKGDDACAKRGQLAFVSAYGIHQLHIFIFVLAVFHVLYCIITLAFGRTKMSKWKAWEDETKTIEYQYYNDPARFRFARDTTFGRRHLSFWSRTPISLWIVCFFRQFFGSVTKVDYMTLRHGFIVAHLAPGSEVKFDFHKYISRSLEDDFKVVVGISPAMWLFAVLFILTNTNGWYSYLWLPFISLIIILLVGTKLHVIITHMGLTIQERGHVVKGVPVVQPRDDLFWFGRPQLILFLIHFVLFMNAFQLAFFAWTTYAFKWMGCFHQRVEDIVIRLSMGVIIQVLCSYVTLPLYALVTQMGSNMRPTIFNDRVATALKNWHHSAKKNMKQHRNPDSTSPFSSRPATPTHGMSPIHLLHKHQHGSTSPRLSDAEPDRWEELPPSSHHSRAPHHDNHQDQQEQSETIIREQEMTVQGPSSSETGSITRPARPHQEITRTPSDFSFAK >KGN50180 pep chromosome:ASM407v2:5:5578914:5579855:-1 gene:Csa_5G157390 transcript:KGN50180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVSATQSVLFHTIQSSKNRRSSKRSNFQVLRLTRRRCEEKLGKDIELKNLQLYLENQTIIEENEKLREKANILHQENLALLTEFQKKFPHLEQRK >KGN50663 pep chromosome:ASM407v2:5:9197185:9199236:-1 gene:Csa_5G207920 transcript:KGN50663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGTDAVKVIKLDLSNDLSPTSIDAQAFKNMKNLKLLILRNITFSSHMFEYGLNKFKWVLSSFGIRFSSLPASFLVKEGLVGLDMQHCCIKYLGNRFENCERLKHVDLSYCELLEEIPDLSVAVNLEYLYLRGCISLKTIHESVSSLNKLITLDLEGCVNLEKLPSYLMLKSLDSFCLSDCRKLQRVPEFDENMKSLTRMILDYTAIEELPSSIEHLAKLEFLSLKGCANLVALPSEIYLLRSLVELRLPGCSKLHMFPCPTTEQIFLLSKLTILDLKNCNLSNIDFLEPLSTELQLKNCNFLLQIPNLPHSIQTMDARGCESLVTGPDNLDDIIYANQDRLNDSGSREFILMNGMIPEWFNHQTTTTSSISVSLQHCPQKTQVLAACVIFKVDGDSCEAKASIKYDIFIDGESLKYFEITVRPSSKSEYMWLITTPLTFSSVSMSCQVSCTINKTYDNARATIRSLGVHIDVRGQQRQTWNSSITQVPEASEESGGQIQCDPETSSQVSLERTVYNNLFQHLKPQQHKWRRKAL >KGN50209 pep chromosome:ASM407v2:5:5792854:5795518:-1 gene:Csa_5G160120 transcript:KGN50209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRQPCCDKLGVKKGPWTADEDKKLINFILTNGQCCWRAVPKLAGLRRCGKSCRLRWTNYLRPDLKRGLLTDTEEQLVIDLHARLGNRWSKIAARLPGRTDNEIKNHWNTHIKKKLIKMGIDPVTHEPIQKQPENEKNQSSNTKTENNTVLDSSSSPPTMENSSSVTTDESSFLLDNNLSSSNNNHESSFITNTSFWIDEEALWNNNPPISNGANFLWEENCSWLLDCEDFGIHDFGFDCFNDFEIKAINTTEMEGKH >KGN52020 pep chromosome:ASM407v2:5:23226590:23228370:1 gene:Csa_5G608080 transcript:KGN52020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRNSPSALSMAPPEGPNSGILVIKDEAAESKWLFGMLKDETVLVPPFPQNKKIWLSHTMVVGTNSMVDYIYALLIPVLNQPLSSNQYYIIKSNGSDKGLAYMCSKEENGSRLLGIADTPPQKFDPTNIYQEFEISNDMYYGKPNGFNFKSVASNGVTPYAMTHKNWRAYCKTLKTFQPTKEALGLDVTLRARLPPLTFSLPCNFSSPIVVGKWYCPFIFVREGDVDSQIKNSPYYEMTLQQNWVEVFGCGNNSGGRGVELDVLVEKEVVSVAGRVVAGVNIGDGAAWFGSSRVGLSMAIVERIKWEEEKGGFEWVREGGEKEVKVKRREEFEGVGMWRRFGCYVLVERFELRRMDGTLVLSWEFRHTNQIRTKWE >KGN50380 pep chromosome:ASM407v2:5:6830893:6832143:-1 gene:Csa_5G171145 transcript:KGN50380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAYSVFHKMRDAGITPNVFIYTSLMAAASRNSSLEQCLNLFHEMLQLGITPDVWSYTTLTHCFLKVGKPDEANSIFLDFILKDHSPNPATFDVMIFGFCSCGYTSNAITLFRNLQSHGLVPKFVTYDILLTGLCKAARLNVSVSMFNEAMSMFNEAIDSGFEPDSTTYIALMKCCFKFREYQHGFEIFFEMKNKGLAFNGFGYYTAIGALLRLGRLEEAKFFMVEMIKNGVVFNLVFYNTVVNLYCKHGKLEAAHKMLDKIESRGLQCNDYTHAIITDGLCKVGNFEGARRHLNYIYPTGFTNSNVVASSCLIDRLCKAGQIDQAMQLFELMETKDPYVYTSLMHNLCKARRFLCASKLLLDCLRSGISVFRSTQCAVIFGLCSFGFTSEARKLKPFIHLS >KGN50917 pep chromosome:ASM407v2:5:13125322:13125720:-1 gene:Csa_5G331010 transcript:KGN50917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSLSRINKFKICFLLGKVFDVGSKIWKSNGEEQEEAKKQLIEILKQLEEALGDKDFFGGEKFGIVDVILIPFTSWFYTYEKVGNFKVEECCPKFSAWEKRCLQKDTVASVLPCPEKIYNFITSMRKNLGLE >KGN52259 pep chromosome:ASM407v2:5:24689526:24690028:1 gene:Csa_5G622740 transcript:KGN52259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGLQLARFWSRCFLISNQTEDTPSFPIDRTEDTFSSPTECLVLHLSCSASPNHKGWKLAKIMSTKGFWLVMNVESGKKAAQCKVNIHYFQNSSQ >KGN51146 pep chromosome:ASM407v2:5:16414028:16417915:1 gene:Csa_5G467900 transcript:KGN51146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSELVGRLAIPMETKEFLELHLNGNSIGDEGVRTLISGLSSRKGKLALLDIGNNSITAKGAFHVAEFVKRTKSLVLLNLYMNDIGDEGAEKIADSLKQNRTIKTLDLGGNNIHGEGISKVAQALKDNDTITTLEISYNPIGPEGAEALSEVLKFHGNVKNLKLGWCKIGPKGAEFIAETLKYNTTISVLDLRGNGLRDEGATCLARSLKVVNEALTSLDLGFNEIRDPGAFAIAQALKANGDIAVTSLNLANNFLTKFGQSALTDARDHVHEMCEREVCISY >KGN51437 pep chromosome:ASM407v2:5:19272399:19272752:1 gene:Csa_5G546560 transcript:KGN51437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMGRRGMGHQVILIGKMLKCLSCFRQRWAYEQSCSTFKLKSRVESFPAELLCFALFLKLRIEIEMDVFELGLLSSTLILSCIERRSDPKRLFQAAIPRIG >KGN50806 pep chromosome:ASM407v2:5:11189927:11194702:-1 gene:Csa_5G266840 transcript:KGN50806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIGGEKGSASTKTPADFLKSIRGRPVVVKLNSGVDYRGILACLDGYMNIAMEQTEEYVNGQLKNKYGDAFIRGNNVLYISTSKRTLAEGSS >KGN50686 pep chromosome:ASM407v2:5:9427581:9428730:1 gene:Csa_5G211590 transcript:KGN50686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWKLYLLCCFVEKQVPGRLYEKARLRFAETVIPFTCLLWLFLEEEGEGFKQIQKEHYPLELPPRPPATRNWRVSNVSPFAGNNMLVLYSCAPVANSSNEYFVQVLHNEEPIAMPGCDGSYFCPFNTFKDKIVDPLLKHDFKKLCTVNEEGPTEELKSSKLSLFDWSSKLSLFNWFF >KGN50866 pep chromosome:ASM407v2:5:12302206:12304296:1 gene:Csa_5G292230 transcript:KGN50866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEVDVVNQELIQPHHDVKLFNRWTFDDVQVNDISLVDYVGVAPAKHATYVPHTAGRYSVKRFRKAQCPIIERLTNSLMMHGRNNGKKLMAVRIIKHAMEIIHLLTDLNPIQVIVDAVVNSGPREDATRIGSAGVVRRQAVDISPLRRVNQAIYLLTTGAREAAFRNIKTIAECLADELINAAKGSSNSYAIKKKDEIERVAKANR >KGN52503 pep chromosome:ASM407v2:5:26580507:26582376:-1 gene:Csa_5G638420 transcript:KGN52503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAKTVKDVSPHEFVKAYAAHLKRSGKVELPPWTDIVKTARFKELAPYDADWYYLRAASMARKIYLRGGLGVGAFKRIYGGSKRNGSRPPHFCESSGAIARHILQQLQEMNIVDVDPKGGRRITSSGRRDLDQVAGRIVVAP >KGN52612 pep chromosome:ASM407v2:5:27330630:27332602:1 gene:Csa_5G647350 transcript:KGN52612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQPSSDGSNGEGIRRRSCGCGKDDFLPEESFQSWENYTKALKATPFRLFNRLTARSADHTELVEMKARSQHQMKKTLTWWDLMWFGVGAVIGAGIFVLTGLETKKHAGPAVVLSYIVSGISAMLSVFCYTEFAVEIPAAGGSFAYLRVELGDFVAFIAAGNILLEYIIGSAAVARAWTSYFATLCNHHPNDFRVHISAFPDDYNQLDPIAIVVILIIGIFALVSTKGSSRLNYVASILHVVVIVFIIIAGLTQANPKNFTPFAPYGPRGIFVASAVLFFAYVGFDAVSTLAEETKNPAKDIPIGLVGSMVITTVLYCILAVTLCLMQPYQQIDADAPFSMAFEAVGWSWAKYIVAAGAIKGMTTVLLVGSVGQARYLTHIARTHMVSPWFAKVHEKTGTPVNATATMLIATAMVAFFTSMNILSNLLSISTLFIFMLVAIALIIRRYYSSSETTTSNRNKLLICLVLIIGSSMATATYWSTSDNWIGYAVTLPIWFFSTLALWLGVPQAKKPQVWGVPLVPWLPSLSIAINFFLLGSIDRASFERFGIWTGILLIYYFLFGLHASYDTAMNSKTKTAEINDSV >KGN52034 pep chromosome:ASM407v2:5:23316242:23317145:1 gene:Csa_5G608220 transcript:KGN52034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSLHDSSFSFSRRYFNWKNKFHEEDEQHQQISSFSLSSRFTQEDRERDLKTKTHIKPSASASSSSSSSSIRHVSKLRTALTVFSRIRPTHHRSRLGGRVIGTLYGYRRGHVYFALQEDPKQSPTFLIELSTPTSVLVREMASGLVRIALECEKKTERKKNCKLVEEALWRTYCNGKKCGYGSRRECGTEEQKILKAVEPITMGAGVLPPGHGSDEELMYMRARFERVIGSKDSEAYYMMSPDCNAGPELSIYLLRV >KGN51020 pep chromosome:ASM407v2:5:14705574:14706105:1 gene:Csa_5G409630 transcript:KGN51020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKKVLSPVSRDFNIDDYIGMESCVDLKDHHKSTAEISSTKRLMQIGNKKMKDEKEYPPPIPLLVVMKRQYTGDGRLILTEEKIKHRGFLRAQRSDGRLMLQLVAVEVGEERRRDAGGFVLGVAV >KGN50893 pep chromosome:ASM407v2:5:12824176:12827034:1 gene:Csa_5G315380 transcript:KGN50893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLSNKISREELKPGDHIYSWRQAYIYAHHGIFIGDGKVIHFTRGGGLEIGSGTVLDRFLVSSSPHSPDSPCPVCGDQSLGDGVICSCLDCFLAGGDLYIFEYGVTPVFFLAKARGGTCTLASSDSSEVVLHRASFLFQNGFGMYNIFKNNCEDFAIYCKTGLLVYTTLSVGRSGQAASFLAATSAIISSPLRYLTTSCSGLALVGLGTYCVSRLVSDIGVRRDVVKIPVEELVARASSSNTPEEAPQTAKEK >KGN50749 pep chromosome:ASM407v2:5:10192832:10193451:1 gene:Csa_5G223075 transcript:KGN50749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFFFEKKKSKSSAIIQQLYFKKINNLKLVSRMYHQNHFFFFTNYYNKNPFWGYNNNLYSQMLSEGFAVIVEIPLSLRLVSSLEEEEIAKSYNLRSSHSIFPFLEDKFPHLNYVSDVLIPYPLHLEILVQILRSWVKDASSFHLLRFFFHEYCNLNSLSTSKKLISFFSKRNRNVLKTAHEQMSLK >KGN49824 pep chromosome:ASM407v2:5:3372443:3372739:1 gene:Csa_5G139040 transcript:KGN49824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRKKTRNRLAKWKGRGGYLVIEFRRVNGDCLFPDSQATAVYFRFDINTIPCLYSLNKKFPEDRHTYPSNFSLNFRQHFLNPNVDWKYSCLFIKSLSK >KGN51532 pep chromosome:ASM407v2:5:20181109:20181506:1 gene:Csa_5G576740 transcript:KGN51532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTITSYFGFLLAVLTITSALFIVFLFGIVLGLIPITLAGLFVTAYLQYRRGDQLDL >KGN50282 pep chromosome:ASM407v2:5:6286658:6286962:1 gene:Csa_5G165280 transcript:KGN50282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARDMHHMKPHLVPLLVFVLTCGEEIFELDAAMVELEKVRGEIGASANQGRILFGCEGSITR >KGN52308 pep chromosome:ASM407v2:5:24966631:24969824:1 gene:Csa_5G623720 transcript:KGN52308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKADMSRVVKDQSRVAIVSMEENKQRKWKRKGTSSSSPSVNSLDDGCLMHIFSFLSPIPDRYNTALVCHRWRYLACHPRLWLRVERSIKDLSEPGVFPTIEAAVAAARPGDTILIATGGVHSASNIQITKPLCLIGGGELPEETTLFCTRGSESALEFLSTSKLSNLTVKAELGCCLLHRKGRLIVDGCVLQCESNPLDYLSCPIVCTASPDKLLPSSVKGGYTHGVSVSHTRIEGGAKAVLTSEDLTLQHVRVIYARTALFFWFDVEYKL >KGN51929 pep chromosome:ASM407v2:5:22734165:22735999:1 gene:Csa_5G605720 transcript:KGN51929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVDIRDPIIKLFGKTISLPLNHLDLPSDSKFPSSETTEKETSCEELGLEKRGNSSGNQITDQTTSGVSENPLEEREISSPKASKNEEQSETSNSPDNKTSKKPDKILPCPRCNSMDTKFCYFNNYNVNQPRHFCKNCQRYWTAGGTMRNVPVGAGRRKNKNSSSSHFRQLIIPDGGNHRHQFLDNNGTFLTFASDSSISNSQSCNPNGFLVNTENGDDHSSKSSITASNSSEKGAKMASQQSIAKNVFPFLPQLQSFTGLSLPYSSIPPPSAPFYPPGIPVSYYPSQPYWGYLAPPSLTLINPNGQNSSTNSCVLGKRLRDGKLVKSPSNTESEIGKQRNYEELCLGIPRTMKVDDPNEAAKSCIWSTLGIKNDKKAGGGSTSTTINGGSLFVSLQQSTKGKEETQIESWSLLQANPAAFSRALKFREIV >KGN50408 pep chromosome:ASM407v2:5:7060769:7061656:-1 gene:Csa_5G172880 transcript:KGN50408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSIILFVSALSLFSLLNVAYSQKDRFLVEGKVYCDACRVQFFTKASKFLEGATVKLVCKEIEGGSVTLSKEAVTDKTGSYSIEADGDHEEDLCEVTLVKSNDPDCSEISLEKYEHMARVSITNNSGITNPVRHANPLAFMKKEKLPECKEVLRELGFDEEGILV >KGN49747 pep chromosome:ASM407v2:5:2852837:2854751:-1 gene:Csa_5G099490 transcript:KGN49747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCSSGSELHSLPFLNSSPLGFAIMEGAAAVIDPCFSPAISTGYLEDALVEYTSKRRRLDDHDQHHFFHFQFPQTSYDYWNNQIDDINNDYYYYYNYHAISTDEGISSSPKSRLSNEETSMEDMMKTQDVETYSTPNYYYEHPHPHHHHHHPNSSSSSSSKSHKFEADQKSIFSMSTNLPISTGDGEIEPKKAKKRKVVYPFALVKPGGVEGDMTLNDINQKILMPPTRPVRHPVGDFACRPCVSADGPGLSGKAVVALTKIHTQGRRGTITIIRTKG >KGN50632 pep chromosome:ASM407v2:5:8753260:8755909:1 gene:Csa_5G198220 transcript:KGN50632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METGIACCARGAFLPNLSSQHSTALMSPSFATRSLKASSLFGESLRQVSKSSVKVASRPKSVPLKTRCEIGDSLEEFLTKATPDKGLIRLLTCMGEALRTISFKVRTASCGGTACVNSFGDEQLAVDMLADKLLFEALRYSHFCKYACSEEVPELQDMGGPVEGGFSVAFDPLDGSSIVDTNFSVGTIFGVWPGDKLTGVKGSDQVAAAMGVYGPRTTYVLALKDFPGTHEFLLLDEGKWQHVKETTEIGEGKLFSPGNLRATFDNPDYDKLINYYVKEKYTLRYTGGMVPDVNQIIVKEKGIFTNVTSPSTKAKLRLLFEVAPLGFLVEKAGGYSSDGRQSVLDKVIENLDERTQVAYGSKEEIIRFEETLYGSSRFKSGVPVGAAA >KGN51204 pep chromosome:ASM407v2:5:17136272:17143891:-1 gene:Csa_5G488800 transcript:KGN51204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPGLEPETFSVYYDEASAYVAAPIFSFSEKIVYPNGAEMFDSTPLHCPFTTICPLLQHSCHYNSSISTKFRPPHLNLSLIQASGPMGFPVFAVKRLGKGGIALTEPSDSDIGFDEFFDEGEDNFQDEDDILLPLKNTKEWHAARPRGFGEGKVYDTSIEDNLLQEMEQIREAQAANINKLKDQSSSNPNSSRNVVEIAAPEIVSTGVCVRIINLPRKKNIHRDLVVAFKGFPGIINITPAVIGNKKTRDPVCKGFAFVDCKSEGDALSFLQAFAGRYLTFGRVQKQIKCEIMNRQTSSSARNSSMSSTNHSRLSILEEEAEQFVDIDVDLASEATRTKTEDIEDDLAYVSESHSHEEDDNGVESRTEFTIQSPSEKEVNKIELEEILPQGREEIHREVSPIKRKTKVSKKKQPKEKGEKKLLTEIPGSAKRLRIKEKAVLTDVYSRYGKKSALVSQEGN >KGN50657 pep chromosome:ASM407v2:5:9050570:9053136:-1 gene:Csa_5G203400 transcript:KGN50657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTILSSPPLALALLTLFAVLFPLFPSIQALGSASTLAVSYGSRTVCGIVAEQPTQRILCFRDGQTIFIEPGISFSAVSGGRNTFCGIRSGGYTILCWNFNLTTAAFTRRRLYYNPNVLLENLAVGDDQICATVVGAGNVTCWRDGNKVIGGFSSLLYDSISSGYGFSCGILKGNQSIRCWGRNSSIATEIENGFRNISMLSIVAGGFHVCGLNISGGLVCKGNNDFGQLDFPSNYSVEFSELALGERHSCGILVSNRSVICWGGLGFSVDLIRETSFELISSGSDFVCGLTTSNFSVLCWGPGWSNNSLSPSSLSLPKILPGPCVLQSSCSCGVYPLSQTLCSNSGNVCNRCFFTVPTPSSPQPLPSSPPRSPPVTTPSPTPAALKRGLLAFGIVGSVGAFAGICTIIYCLWTGVCFGNKKIHNSVQPTITRAASSNGGTTTSNTNNSPPSRSSTIRRQGSRIMRRQRSGTSSKHADRAEEFTLAELAMATNDFSPENKIGEGSFGVVYRGKLYDGREVAIKRGETGQKTKKFQEKESAFDSELAFLSRLHHKHLVRLVGYCEEKDERLLVYEYMKNGALYNHLHDKNNFEKASSVVNSWKMRIKIALDAARGIEYLHNYAVPPIIHRDIKSSNILLDANWTARVSDFGLSLMSPGSDRDYRPTKAAGTVGYIDPEYYGLNVLTAKSDVYGLGVVLLELLTGKRAIFKDEEQGGTPVSVVDYAVPVIMAGELGKILDQRVGPPQINEAEAVELVAYTAMHCVHLEGKDRPTMTDIVSNLERALNLCDDSHGSISSGGISIVSE >KGN49978 pep chromosome:ASM407v2:5:4234237:4236361:-1 gene:Csa_5G148490 transcript:KGN49978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEMIDDTNSPSWKLYENPFYITPSHHHHHRHHRHQTSHKSSINTNNNNQLQFYCLKFSSSSSSDLFPTKRRMDSELDLARSQIVELKTQLRYERKARKKLESLTKRLAKELDEERKQREAMEGLCQELAREISSHEAQMDLMKKEIEDERKMLRLAEVLREERVQMKLAEVKIVFEHMLSEIESGTSTTTNNTTTTTTAIFPDFSAKLKHTVADNPSVNSDNNNADGDDDESSVRESMRSSDAIACRKTGDRRSISPEMENNNPHIVRGIKGFVEFRRVVRTKGSKLSRDSEAKLECQKAQLRVLLKQKHSLRSDNLIIT >KGN52717 pep chromosome:ASM407v2:5:27959710:27961463:-1 gene:Csa_5G652230 transcript:KGN52717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEEYGGHEGFTQLSVSASNKLRRGWMSFISNFDSQFPYMDDSLILNDAAPDNRRSQSGGWRAAGVIIGVEIAERFAFFGISTNLVSYLTVEMGQSMADAAQNVNLWVGTASLLPLLAASFADSFLGRYLTIILASALYILGLGLLTLSAILASPSSFQGSGSAASGASSRPRVEIKH >KGN51524 pep chromosome:ASM407v2:5:20109564:20113528:-1 gene:Csa_5G576660 transcript:KGN51524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSFAKLNASSSQWIAHQSFSQKRGSSSGRRLSVSIRAGAYSDELVQTAKSVASPGRGILAIDESNATCGKRLASIGLENDETNRQAYRQLLLTTPGLGEYISGAILFEETLYQSTTDGKKFVDCLREAKIMPGIKVDKGLVPLPGSNSESWCQGLDGLASRSAEYYKQGARFAKWRTVVSIPCGPSALAVKEAAWGLARYAAISQDNGLVPIVEPEILLDGDHSIDRTLEVAEKVWSEVFFYLAENNVLFEGILLKPSMVTPGAEHKEKASPETIAKYTLKMLRRRVPPAVPGIMFLSGGQSEVEATLNLNAMNQSPNPWHVSFSYARALQNTVLKTWQGRPENVESAQKALLVRAKANSLAQLGKYSAEGESDDAKAGMFVKGYTY >KGN51046 pep chromosome:ASM407v2:5:15166647:15169278:-1 gene:Csa_5G420290 transcript:KGN51046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGETNKRRLSDHTHQRSKKHLPSSSPSSATPSPIPSNSLSHQTHFHNPSPHPPHKNSTPHFLSYLDFPNLPFQIKLMCEIIANSPSLDVEKALEDTGIHATQQDVEEVLKLSYRFPGSSVKFFRWSGRKLNDQHSPYAWNLIIDMLGKNLYFDPMWDAMKSMKSEGLLSLATFASVFSSYVVANRVKDAIMAFEVMDTYGCPHDVVAFNSLLSAICRDGNTKDADEFLQIAKRKIRPDLDSYAIVLEGWESEGNTVCAKQTFGEMIEEIGWDPTNTPAYNSFLCVLLRDPNGLQRALVTFQRLKDKMCYPGFKFFKLALDECIKCGDIKSGKYLWNEIIQSINFQPDTYMFNSMIALCCHQNDTDEARRLLDEMICFGAFPNAETYNLLFQFLLKGRKLRDASAIFDEMIKNEFIPSHANCNAAIRIYIESQDPNGAIKVWKCMLKNYDSDLEETGNFLIVRLQDQKRLPEAVKYAHEMVDRAIKLKDSTLAKLKHSLFEVRKESMYDELMVKLKCSEK >KGN49768 pep chromosome:ASM407v2:5:2996267:2996605:-1 gene:Csa_5G114650 transcript:KGN49768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGTYLTISSAQPDNDNFPVGFPSTSGDSSSHLDLRGDTQCYEELIGSVVEATNGRSKQQWEAAKQDYYGNHSSVVRDSFVSGNSVADPLIQSANQNIHNQYYLKHNVLFTT >KGN51047 pep chromosome:ASM407v2:5:15177510:15177677:-1 gene:Csa_5G420300 transcript:KGN51047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDTSGGVADEVTPDKGCTTIRQPRGWHGRLEQEGCLVGLFTCNVARRKKRRLS >KGN50460 pep chromosome:ASM407v2:5:7422290:7426128:-1 gene:Csa_5G175830 transcript:KGN50460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLHSFSLSLLLSSLSSALSKSTITLHEASLRRKHLDQVYVQLIVSGLHKCRFLMIKFINACLHFGDVNYAHKAFREVSEPDILLWNAIIKGYTQKNIVDAPIRMYMDMQISQVHPNCFTFLYVLKACGGTSVEGIGKQIHGQTFKYGFGSNVFVQNSLVSMYAKFGQISYARIVFDKLHDRTVVSWTSIISGYVQNGDPMEALNVFKEMRQCNVKPDWIALVSVMTAYTNVEDLGQGKSIHGLVTKLGLEFEPDIVISLTTMYAKRGLVEVARFFFNRMEKPNLILWNAMISGYANNGYGEEAIKLFREMITKNIRVDSITMRSAVLASAQVGSLELARWLDGYISKSEYRDDTFVNTGLIDMYAKCGSIYLARCVFDRVADKDVVLWSVMIMGYGLHGHGQEAICLYNEMKQAGVCPNDGTFIGLLTACKNSGLVKEGWELFHLMPDHGIEPHHQHYSCVVDLLGRAGYLNQAYDFIMSMPIKPGVSVWGALLSACKIHRKVRLGEIAAEQLFILDPYNTGHYVQLSNLYASAHLWTRVANVRLMMTQKGLNKDLGHSSIEINGNLETFQVGDRSHPKSKEIFEELDRLEKRLKAAGYVPHMESVLHDLNHEEIEETLCHHSERLAVAYGIISTAPGTTLRITKNLRACINCHSAIKLISKLVDREIIIRDAKRFHHFKDGVCSCGDFW >KGN50410 pep chromosome:ASM407v2:5:7066590:7069745:-1 gene:Csa_5G172890 transcript:KGN50410 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerol-3-phosphate transporter MGSLSESECEVNNRKPYGLRFFEWMRKKPLSYKAHQAIVLLVTFLAYANYHASRKTTSIIKSALDPLSPDVGLKLNLWRTSRSSTPVEKTNQWSLSSGGWAPFDAPEGTSLLGELDLAFLGIYAGGMYFSGQLGDRTDLRIFLTLGMLGTGLFTLLFGLGYWANIHIFSYYLIVQMLAGLFQSTGWPSVVAVVGNWFGKSKRGLIMGIWNAHTSVGNISGSLVASALLSYGWGWSMAVPGLIIAFSGLVVFLFLPVNPESVGIDKVGDDFSCPKKAGEGITEPLLKSESEIEKAVGFMEAWRIPGVAPFAFCLFFAKLVAYTFLYWLPFYISHTVIDGKYLSSTTAGNLSTLFDIGGVVGGILAGHISDRLGARAITAASFMYCAIPALYCYRNYGHVSITMNVALMFITGMFVNGPYALITTAVSADLGTHSSLQGSSRALATVTAIIDGTGSVGAAIGPLLTGYLSAKSWSSVFVMLMVSALIAGLFLTRLVIAEVTAKIEESASRGRITSQSPVLEV >KGN52036 pep chromosome:ASM407v2:5:23326138:23328205:-1 gene:Csa_5G608240 transcript:KGN52036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKLFIVMFFVCLGSWRSYAQDSGEAPMEKTEMDSLFSSIQGFVGNWWNGSDLYPDPCGWTPIQGVSCDIFDGLWYVTSLNIGPTHDNSLACSPNAHFRQQLFQLKHLKTLSFFNCFVSTKGKNSVSLPTGEWLKLAGTLESLEFRSNSALSGQIPASLGTLSKLQSLVLLQNGFVGEIPESFGDLIELKRLVLAGNSLTGPITQNLGKLSELLILDLSRNSLSGSLPLSLGNLTALLKLDLSENKLSGILPSELGNMGNLTLLDLSNNSFYGGLRQSFEKMSSLEEIILSSNPIGGELNTINWKNLQNLAILDLSYMGLYGEIPDSLSELKSLRFLGLSHNNLTGTPSPKLANLPFVSAIYLFGNNLSGDLKFSQQFYGKMGRRFGAWDNPNLCYPIGTLAAKNAPFGVKPCEEEKEEEVVKLMKKPISKASFDTNNWNFDVSKGYSLIGNEEFWLKFVGNTLTMVLLINMLL >KGN50594 pep chromosome:ASM407v2:5:8496803:8498505:1 gene:Csa_5G188900 transcript:KGN50594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKKLQVATSSSSSLDHIFGPMDSNSASSTSTTGYFGSMFPPPVVERERKKDVGNQVSIAEVGNPDNATINGKKGTGGASGKDESSMYQNETMEPSYFSSSIFYGGQENYSPRTNASQSHPNFKKEVKDNDANESNSNPASRGNWWKDHYIDFRQSLMYFSPWICSVPIPSSQFTNIQLFDYVLGFGDSIIDIILSFTSLATIL >KGN50000 pep chromosome:ASM407v2:5:4372727:4375755:1 gene:Csa_5G148710 transcript:KGN50000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNLLSLRRLSWGSGSDGQEKVVLSAVELATLRSEIADLEEREAHLKAQLEHIDELLRSARLSGYLYIRTRWEALPGEPPPIDDTDVDDWLPRFVVLHGKCIYFYLSSTDISPQDSTLLSDIVEVGSLPSITREDEDVSYAFYISTRQGLRYECSSISKIQVDSWLLALQDDCKIGCGNETSSSNNGSINLK >KGN50690 pep chromosome:ASM407v2:5:9459835:9467058:-1 gene:Csa_5G212610 transcript:KGN50690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKYKKKQKPKVEKLKEPVLHDITKSLTERYDLNFTQQHISSLWFLCKQEASLLNKTDQACGLFNPSEVALLEWTDDIEVFMLKGYGNSLNYRMGVNLLKDVFESMKNAIKARQVPGRLYEKARLRFSHAETVIPFTCLLGLFLEGEAEAEEFKQIQTEHYPLELPPRPPATRNWKVSNVSPFAGNNMLVLYSCPVANSFDEYFVRVLHNEEPIAMPGCDGSYFCPFNMFKEKIVDPLLKHDFKKLCTVNEEEPTQVLESSKLSLFDWPNRYGASKGDRPASVSAPPNGCIPIYLNLVARHGTRAPTTKRIKELNNLENELKKLLGANVGNDDHLFSLPSWLKDWKSPWAAKINGGELIPEGEKELYDLGIQTKKLFSDLFIDPYNSDIYTIKATQVARASASAVAFGMGLFSGNGNLGSDRHRAFSVVTESRANDTMLRFFDRCHKYEDYKKDQKPKVEELKEPVLVDITKSVTARYGLKFTPKHISTLWFLCKQEASLFDTTDQACGLFTPSEVLFELLFLDNI >KGN49622 pep chromosome:ASM407v2:5:1007081:1007808:-1 gene:Csa_5G029450 transcript:KGN49622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLITEELKAKADEVYYGDKISQEKTRLLLKEVGLPNGLLPLKDVIECGYVKETGFVWMKQKKAITHKFNKIGKQVSYAKEVTANVEKNKVKNLTGVKAKEVLIWLTLSEIYVDDPPTGNITFKIPTGLSRAYPVNAFEVEEEETATATEKKEVKEEEGVKEKEVREVSNGGSVVVNVKEV >KGN51104 pep chromosome:ASM407v2:5:16009917:16011750:-1 gene:Csa_5G450150 transcript:KGN51104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHRFDGEDLLERFRGKKIMFIGDSISINQWESLACLLHAALPNSTIIQQSNDTFRTIQFKEYGTSVMLFLSHYLVDIEEEEGVGRVLKLDSMKSGDIWKEMDVLIFNTWLWWYRKGSGQPWDYIQVGNERVKDMDRMEAFRHGLQTWANWVDSQVDTNKTKVFFQGISPSHYNGNEWNEPGVRNCFKETSPINGSTYPGGLPAASLTLEKVLSEVSKPIQVLNITTLSQLRKDAHPSSYNGFRGMDCTHWCIAGLPDTWNQLLYATLISSNTPTYSN >KGN51578 pep chromosome:ASM407v2:5:20559435:20563711:1 gene:Csa_5G580630 transcript:KGN51578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPPSSPSVMLLLYFLLFWTFLLGGNGNGNGVVVAAASRSTALRFATEFDSASSRRILLNNGLALTPPMGWNSWNHFQCNLNENLIKETADAMVSTGLAALGYQYINLDDCWAELDRDSKGNLVAKASTFPSGIKALADYVHRKGLKLGIYSDAGIRTCSKRMPGSLGHEEQDAKTFASWGIDYLKYDNCENTGTSPKERYPKMTKALQQSGRPILFSLCEWGQEDPATWAVNVGNSWRTTSDIQDNWISMTTIADQNDKWASYAKPGGWNDPDMLEVGNGGMTIAEYRSHFSIWALAKAPLLIGCDIRSMDNNTMKLLSNKEVIAVNQDKLGVQGKKVHKYGDLEVWAGLLSGKRVAVVLWNRSLWRANITAYWSDIGLSSSTTVTARDLWEHSSQVVRHHLTAQVDSHDCKMFVLTPH >KGN50142 pep chromosome:ASM407v2:5:5303274:5310771:-1 gene:Csa_5G155530 transcript:KGN50142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFLDFCNFLTKVFCKLDYCISEYAKLPLILPYLYPGIKDFVKGVNFASGGAGVLDTTFPGYVVTLRRQVNYFKEMERSLRKKLGTSKTKKLLSKAVYLIAIGSGDYDAFDPKSNSLYQSYTTQQYVDLVIGNMTSFIEEIYKTGGRKFSVLNIGPIDHLPAVQEAIISHYRTPAWMEQFKQFIGLHNEKLPKALQNLAQKFKGLLYSHTDFHTAISNIIHHPTKYGMKEVKSGCCGSGAFRGKSSCGGMRGIKEYELCENPEEHVFFDANHGTDRIYKFVAEMMWTGTSNITTPINLNSLFYIACSDMFMHVMEEERAPKRKLAELCASTFSSDRLQSTARHRPPRKEQIEDKMKKKKGNFWIWMMLSVIFRLLMIYFPNLNLSSRPEVATSLTSIHRLAEGYWLKQSSMSPYTGSMYHGSPLLLSLLGPLTVKRIEGQPDHLLCSFAFVVADVLSALLIRGTGQNLQRAYYRSLKLLKVNLSKSSEIFPAGDIASLVYVWNPFTIVACVGLSTSPIENLAIVLTLYGASKGQVPLAAFGFVMATHLSLYPVILIIPVVLLLGNGLDAPPRKFFFERSCSRVVEQPSNDSCGQQEEVINQPKVPNGFSLRPVMYFLLWVSVFSAYMLLLCGVSLKQFGGLWEMFRSTYGFILTVQDLSPNIGVLWYLFAEVFEFFRDFYLIVFHINILFMILPLSIRLCHRPLFLAFVLLSISAMLKSYPSVGDSALYLSFMGLFVDVLVDLEFSFFLFCGYIGISLLSPVMHNLWIWRGTGNANFYFANAMAYACFQIVLVVESVSTMLNHDRKLRKLSAVKLS >KGN50377 pep chromosome:ASM407v2:5:6796344:6801641:1 gene:Csa_5G169620 transcript:KGN50377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTNSPPNIDASTLTEDLATKALNKRYECLVTVRTKAIKGKGAWYWAHLEPVLIRNPTNSLPKAVKLKCSLCDSVFSASNPSRTASEHLKRGTCPNLSSISRSIASAASPLPISSIPSPTLHNHKKRSSQMNAPILTASYQVHSLAMIEPTRSYAPLISSPPTPGAQNSVGMASKMGFNQHQLVLSGGKDDLGALEMLENSVKKLKSPHASPGPRLSKEQIDSAIELLTDWFIESCGSVSLSCFDHPKFKALLSQLGLPSLPRTDILGARLDSKFEEAKADSEARIRDAAFFQIASDGWKNKNCCDEESVVKFMVNLPNGTTVFQKALFTGGLVSSKYAEEVILDTVNEICGSGLQKCVGIIADRYKAKALRNLEIKNHWMVNLSCQLQGFISLIKDFNKELPLFRAVTENCLKVANFVNTKSQVRNCINKYKVQELEGHWLLHVPSPNCDTSKNFSPVYSMLDDMLNCAHVLQMVVLDESYKVACMEDSLATEVSSLIQNERFWDELEAVHSFVKMIRVMAQEIEAERPLIGQCLPLWEELRTKVKEWCVKFSIAEEPVEKIVEKRFRKNYHPAWSTAFILDPLYLRRDMNGKYLPPFKCLSQEQEKDVDSLINRLVSREEAHLAFMELMKWRSEGLDPLYAQAVQVKQRDPLTGKMKIANPQSRRLVWETCLSGFKTLGKVALRLIFLHSTSCGFKCKCSIMNLVCSNRHSRVGLERAQKMVFVAAHAKLERGDFSNEEDKDAELFAMADGENDMLNEVFSDAPSIKVVDVFDQTEPELSGVNLGLGTISLQLKMHLADRIPDISPVLPTYVTF >KGN52355 pep chromosome:ASM407v2:5:25362812:25363033:-1 gene:Csa_5G627120 transcript:KGN52355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKVDSDSEKKILQNKDQWEKEEELCEFAFECEVAVIIKKANVDANWESLKEIFKKTEVATLNILCKYGSGLM >KGN49997 pep chromosome:ASM407v2:5:4361769:4362734:1 gene:Csa_5G148680 transcript:KGN49997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRTSSCCSKGGLHKGPWTAREDALLVNYIQQNGEGHWRALPKKAGLLRCGKSCRLRWMNYLRPDIKRGNITADEDDLIIKLHSLLGNRWSLIAGRLPGRTDNEIKNYWNSHLSKRLAIITKDNAGEAKKESNGETSNTRKATEVWSFCNDDNLSNGVGSELLLSSGNQGGEDGGSRGGGETDQLGVNGDEEIVEKVKGGREELEESGDFFGGCEGLYKGLSWNGSERIDEIEDDSYQQLLNLEGEDYIQLESFIDYFLI >KGN51417 pep chromosome:ASM407v2:5:18971119:18982136:1 gene:Csa_5G533470 transcript:KGN51417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSENAQWKQSKRHWRMLKKENICSFVASTVSTEAKYDVAISTACPGLDYIVVETSGAAQACVELLRRENLGVATFMILEKQVDHLSKLKAKVSTPEGVPRLFDLIKVQDDRMKLAFFAALGNTVVAKDLEQATRIAYGGNRDFRRVVTLDGALLEKSGTMSGGGRMPRGGKMGTSIRSASVSKEAFVKAEKDLSDMVDALNRIRLRIADAVQLYQVSEKAVEQLEMLLAKSQQDIDSLTSQHSYLEKQLSSLEAASKPKDDELNRLEELRNFILEEEKEISRLVLGSKKLTEKALEIQSQIENAGGERLKAQKSKVTKIQSDINKTRTDINRYKVQIESDQATMKKLTKAIEDSKKEKERLEEEKNNLQGKFKDIEVKAFAVQENYKETEKLIHLQEEVCDTSKANYNKVKKTMDELKGSEVDTEYKLQDLKKLYKELELKEKGYRTKLDDLQTALSKHMDQIHKDLVDPEKLQATLAEDIVECRDLKRALEMVTLLDAQLKEMNPNLDSITEYRRKVEVYNERVEDLNTVTQQRDIVKKQYDELKKKRLDEFMSGFNTISLKLKEMYQMITLGGDAELELVDSLDPFSEGVVFSVRPPKKSWKNIANLSGGEKTLSSLALVFALHHYKPTPLYVMDEIDAALDFKNVSIVGHYVKDRTKDAQFIIISLRNNMFELADRLVGIYKTNNCTKSITINPRSFSVCEKIA >KGN50798 pep chromosome:ASM407v2:5:11105742:11105912:1 gene:Csa_5G264800 transcript:KGN50798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDSIASLENKIDARITKTTYMEAKQRRSGGQLGRMGRRDKEADDGRGMWCDRGAS >KGN50768 pep chromosome:ASM407v2:5:10499029:10509426:1 gene:Csa_5G242650 transcript:KGN50768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALQSPTFGPSLPIRRKRRSPLTLYNQTTPETVNPIRPAALALRFGYLLSRFKGCFTLSVGASRTNLTSLDSHGIYLFKQSPMGMLGLPFLTREKGFSAKIYVTEATARLGKIMMDDLIAMHMEFKQFYGSEDDAISQWMRQEDLSLLHHKLREVAFGQDRADFGGWMPMYSAADVKDCMQKVETLRYGEETCYNGTLVIKAFSSGLEIGSCNWTINCPKRDIAYISSSIFFSSNAMDFDYLALQKETIIYSDFSSLAFMNDVENDTRVSLIDNTLLPLSSKEETLANLLSYPAETVEESEKLYFICSCAIQSVESGGSVLIPINRLGVNLQLLEQISASLDYSDLKVPIYFISSVAEELLTFANAIPEWLCRQRQHKLFSGEPMFTFVELLKENKLHVVPAIHSPKLLINWQEPCIVFCPHWSLRLGPVVHLLRRWCGDPSSLLVLEKGLDVELSLLPFKPMSMKVLQCSFQSGIKQEKVRPLLKVLQPKIVVLPENLSRLINTNTESFTVFTYSEGKSLHVPNLKDSSELEIASDSAMSFCWRKLHQGNINITRLKGELSLNCGKFKLFSENTQVAMYQRPLVHWGQPNLEKLLTVLSKMGIEGSVQQEMSDAEPNDVHVIHIHGLTKGVIEIQESRTIISVVDKTLSAQIFNALDSVMDGV >KGN51920 pep chromosome:ASM407v2:5:22704258:22707017:1 gene:Csa_5G605140 transcript:KGN51920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLPKPSTTNMGFFFTSRFVLINIIVIFQCFSLLSFAQDFDFFYFVQSWPGSYCDTGRSCCYPKTGKPSGDFKIHGLWPNYNDGTYPSNCDSSNPFDRTQISDLVSSMEKNWPSLACPSSDNTKFWSHEWNKHGTCSESVLDQHSYFETTLNLKQQANILQALQTAGINPDGSYYSLDKIKSAIEEGIKLSPGISCNVDESGNSQLYEIYLCVDSSASNFIDCPIFPNSNCASSLEFPKF >KGN49902 pep chromosome:ASM407v2:5:3735569:3736134:-1 gene:Csa_5G139800 transcript:KGN49902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFRDLRSFQELKVIYKEQEERVCHHDSKVQNLVIGYLIFGRLLIFGIAQTFLPFKCKDWWVILALTLSCTLIYFSLLLDAVTMLRRAQYQLDIIREELIEICQRILETQNQKELVDLTQLTMEAGESNDGFDYNFGFHKKMLMLDHSSIVRRKVHMYFTVSVLLVVIVIELYVSKYLVCN >KGN50556 pep chromosome:ASM407v2:5:8164051:8166706:-1 gene:Csa_5G182120 transcript:KGN50556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPALSLTLLPILLSLLTVHARIPGVYSGGQWQSAHATFYGGSDASGTMGGACGYGNLYSQGYGVNTAALSTALFNNGLSCGACFELKCANDPQWCHSGSPSIFITATNFCPPNYALPSDNGGWCNPPRPHFDLAMPMFLKIAEYRAGIVPVSYRRVPCRKQGGIRFTINGFRYFNLILITNVAGAGDIVKASVKGSKTEWMSMSRNWGQNWQSNAILVGQSLSFRVTSSDRRTSTSWNIVPSNWQFGQTFTGKNFRV >KGN49963 pep chromosome:ASM407v2:5:4119901:4122179:1 gene:Csa_5G146860 transcript:KGN49963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSFSSPSSGSSPQFSANEFRDQLKTQLAQAYAEEFLETLRVKCFDKCITKPGSSLSGSESSCISRCMERYIEATSIVSRALFKTPH >KGN52415 pep chromosome:ASM407v2:5:25899476:25900234:-1 gene:Csa_5G633130 transcript:KGN52415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKSLQLLFLVFVSIASHVISKHNPILSPQRLYGCRKGDNVEGIRNIKKYLQRYGYLSHNMSIDSHIIELNSNKFDDSLESAIKLYQKWTHLNLYVSGRACPSLFTFSEASDAQSADINISFQIKDHADGLPFDGPGGIVSHAFAPTDGRLHLDGDDSWSAGLEEKKVNVMNAALHELGHVLGLAHSTLPQAVMWPYIESNALKNLNDDDIAGLHALYP >KGN50486 pep chromosome:ASM407v2:5:7627483:7630841:-1 gene:Csa_5G177060 transcript:KGN50486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSETFMKIVSPCWKPLVEGENSSRDGDASGRVDGLLWYKDSGRHINGDFSMAVIQANNLLEDRSQLESGPLSSYESGPVGTFVGIYDGHGGPEAAQFINDRLFNNMKKFIPENQGVSAEIINKAFLETEEEFLSLVKKQWLIKPQIASVGSCCLVGIICCGLLYIANAGDSRVVLGRFERTHKEVKAIQLSSEHNASIESVREELHSLHPNDPQIVVLKHKVWRVKGVIQVSRSLGDAYLKKTEFNREPLLPKFRLPEPFHKPILKAEPAIVVQKLYPEDQFLIFASDGLWEYISNQEAVDIVHSCPRNGVARKLVKAALHGAAKKREMRYTDLKKIDRGVRRHFHDDITVIVLFLDSHLISRSPSYGPMLSIRGGSGILARS >KGN51454 pep chromosome:ASM407v2:5:19456250:19456512:-1 gene:Csa_5G550180 transcript:KGN51454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSWEFSMRGGREGGRKTVIGVWGSWKFGEEMLDDAVHRTGETEMDWAFGFGHWALGIGLRSVGCRLWAFF >KGN50193 pep chromosome:ASM407v2:5:5715359:5718735:1 gene:Csa_5G158490 transcript:KGN50193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTLSNSVQPCRFRVLKDGTGSLGPVVYWMFRDQRVKDNWALIHAVDEANRANVPVAVAFNLFDRFLGAKSRQLGFMLRGLQQLQHDIQETLQIPFFLFQGEAEQTIPNFIRECGASLLVTDFSPLREVRKCKEEICKRVEESVKVHEVDAHNVVPTWVASEKLEYSAKTLRGKINKKLPDYLIDYPSMVIPTRKWPSADKFIDWDRLIDDNLRKGADVPELEWCKPGEKAAMEVLMGSKDGFLTKRLKGYAIDRNNPLKPKGLSGLSPYLHFGQISAQRCALEARSIRKLNPQAVDVFLEELIVRRELADNYCYYQPHYDSLLGAWEWARKTLMDHASDKREYIYTREQLEKAQTADPLWNAAQLEMAHHGKMHGFMRMYWAKKILEWTRGPEEALEICIYLNDKYEIDGRDPNGYVGCMWSICGVHDQGWKERPVFGKIRYMNYAGCKRKFDVDGYIAYVKRLVGEIKKRKPEETLEDRKPKGIRC >KGN52063 pep chromosome:ASM407v2:5:23486018:23486275:-1 gene:Csa_5G608510 transcript:KGN52063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRHELLQWLVLHKKKQTPCQQRATSKTKRDRGIWPPQPISIAIKLALILSGSEGPKFVLSFTSLSSLASHQQPTNVESVPLLKE >KGN52339 pep chromosome:ASM407v2:5:25223703:25228393:-1 gene:Csa_5G625990 transcript:KGN52339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSVGVTSQLNPLSLVPCPPSGMGVSENKVGYGTLKSGVGILGLCLTFFAYVFFGREVAGVNPLFHVVGVDSGVGKWSRCGRHWLRLELTKWTSRGLEGKDKRRLVKEVLSQECSDIVKLMESKRSKFCSKRIASIWKRMRVKWENSRSCVATEVVRGRHSITIAFLDNDGEEFWVTKVYGPLRIRARGLFWEELGDFYGYCGPRWCVFIYESLFDPSLIRVSNGHIKRPQDEDIQSNVLEIVGSKIQATYITCPADPASTLGIKLPFLVIIVKNLNKYFTFEIQVLDDKNVRRRFRASNFQAITRVKPYICTMPLRMDEGWNHIQLNLADFTRRAYGTNYVETLRVQVHANCRLRRIYFADRLYSEEELPPEFKLYLPTQRA >KGN51709 pep chromosome:ASM407v2:5:21407273:21412816:1 gene:Csa_5G591740 transcript:KGN51709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFPLFWGLILLLVVSSVHCQVKEFISIDCGGTKNYTDPVTGLAWISDAGIMNAGGSSPVENPNGNLMQYQTRRDFPIDDKKYCYTLKTEERRRYLVRATFQYGSLKDEETYPKFQLYLDATKWSTVTIFDASRVYVKEMIIRAPSNSFDVCICCATTGSPFISTLELRPFNLSMYATDFEDNFFLEVAARVNFGALTKDAIRYPDDPYDRIWDSDLEKRQNYLVGVAPGTERISTLNNINVMTREYPPVKVMQTAVLGTKGVLSYRLNLDDFPANARAYAYFAEIEDLGWNETRKFKLEEPNIPDSSNAVVNIAENANGTYTLYEPSYMNVTLSFVLSFSFVKTRDSTRGPLLNALEISRYVEIAPKTDGRDEAVANIFRNVSAENVWTNIGDPCVPTSWEWVTCSATQPPRITKIELSRKNLKGEIPPEINTMDGLVELWLDGNSLAGPLPDMSNLINLKILHLENNKLTGTLPSYLCSLPNLQELYIQNNTFSGEIPSELLAKKLIFKYDGNVGLHKTERYKVHSKLILGVSLGVLVLLVILLLGSLLLLRKLRRKTAPYQKKGGSLNISTKRSSAYSIGKGDEGMAYYLSLSELEEATNNFSKKIGKGSFGSVFYGKMIDGKEVAVKIMAESSTHGNQQFMTEVALLSRIHHRNLVPLIGYCEEEHQRILVYEYMHNGTLRDHLYGSTTQKHLDWLARLHIAEDAAKGLEYLHTGCSPSIIHRDVKTSNILLDINMRAKVSDFGLSRQAEEDLTHVSSVARGTVGYLDPEYYACQQLTEKSDVYSFGVVLLELISGKKPVSPEDYGNELNIVHWARSLVHKGDVTSIVDPFLEGKVKIESVWRIAEVAIQCVQQHGVSRPRMQEVILAIQDAIKIEHGTEGNQKLSSENLKAQSSRKTLLTTFLEIESPDGSLLPSAR >KGN51869 pep chromosome:ASM407v2:5:22402004:22404623:1 gene:Csa_5G604140 transcript:KGN51869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGVDYYNVLMVNRNANEDDLKRSYKRLAMKWHPDKNPYNKKEAEAKFKQISEAYDVLSDAKKRQIYDLYGEEALKSADFVPPPNSNPSFSYIPRDADDIFAEFFGGAGSGKSRGFRGEGLFKNGKAEAVKQTNRKAPAIESKLLCSLEELYKGSRRKMRISRTVPDEFGKPKTVDEVLKIDIKPGWKKGTKITFPEKGNQEPGVAPADLIFIIDEKPHPVFERDGNDLVVNQKISLLEALTGKTLNITTLDGRDLPTVTDIVKPGYEVVIQNEGMPISKEPNKKGNLRIKFDIIFPSKLTFEQKSDLRRALGGSD >KGN52635 pep chromosome:ASM407v2:5:27440552:27441034:1 gene:Csa_5G647570 transcript:KGN52635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTFDTFISFRGEDTRNTFTGHLYKALVDFGISTFMDDKKLLIGDSLSEDLIGAIEKSGSFIVVLSENYASSKWCLRELVKIIGCMVEQKRRVLPVFYHVSPHDVRHQSGCFKKSFCEYEEILQELNDREGDKYTKEVQEWRSALTKVGELTGVVVTKDR >KGN50208 pep chromosome:ASM407v2:5:5786397:5788340:1 gene:Csa_5G159620 transcript:KGN50208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTSNFLHSLDFFDPNNKTHFLLTLFAGFLLLLYVKFSRRRIHLPPGPPGVPLLGNLPFLDPELHSYFTELGRKYGPIVRLQLGGKIGIIVNSSSLAREVLKDHDITFANHDVHEAGRAATYGGSDISWAPYGPEWRMLRKVCTIKMLSNASLDSVYELRRREVRNTVAHLYRRAGSAVNVGEQGFLTIFNVVTSMLWGGSVESDQSRDNIAAEFRETISEITKLLGQPNVSDFFPSLARFDLQGIEKQMVKHVLKLDTIFEKMIDERVRMKDVNESVKKNDFLQFLLTVKDEGDSKTPLTMVQLKGLLMDMVTGGTDTSSNTVEFAMGEMMKNPKILEKAKEELCAVVGEQRMVEESHIQSLSYLKAVMKETLRLHPILPLLIPHCPSETTVVSNYTIPKGSRVFVNVWAIQRDPNEWENPLVFDPERFLNGKFDFSGSDFRYFPFGSGRRNCAGIAMAERTVMYLLATLLHSFDWKLEEGEKIEVEEKFGIVLKMKKPLVLIPRPRLLDPTLYE >KGN51745 pep chromosome:ASM407v2:5:21654433:21658316:1 gene:Csa_5G598040 transcript:KGN51745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSSSPSLQNPLHSSNSSSSLFFPFFSHNALKLHSFIRLKPFTFNCTSSSLTDTSSIPDPTLLRHVSHTVRDTHDDGSMVPSASAVASAILKASTSPVDFVHRIENSQNTGLVLPSPDFQRLCIEQLDLFRRIVDPDALLSVYVRPAGSYVMDRLELRRVASFPGVNVTDVVILVGNFSVPTGLRAAEAAFSSQQVEVISEHKAIVFPMVKHPFVVGFLVAELPNLEMETCLDMQSADRDPWSYSSPHEAGALVAGSGISTHGFHNATNGSLKTYMFNADSQENAFHISRSLAMAYVMDQKAMLLQQSSWQNNLRMTNLVDQIRGSLSSIQSLSKMLSVHMKKNEIAYEILEDILLQGDYMRNTLQQLQDAVYLTKANIVHYNEETLKKMYKSSNPLSESVKNQLDNFPTDASNPRMKGGLVSSNNTVRDMEMPMPPTILAPIQRQGIRSCNVSDVLIDLVEAVKPLARKQQRIVELSEQACSMQIAVEESSLRQALSNLIEGALLRTRVGGKVEIISTAAPAGGALIVVDDDGPDMHYMTQMHSLTPFGADLLSKERVEDNMTWNFVAGLTVACEILESYGCVVRVISPRCSDAALGSGGTRLELWLPSTPGTTLHNLEIPTQSA >KGN50937 pep chromosome:ASM407v2:5:13474390:13474593:1 gene:Csa_5G351590 transcript:KGN50937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTTRIRESPDAGKRCYICLKALGKDFPTYMVSSGRKSTIVHRGRHSQSANGKASSMLYSRAINNDR >KGN51385 pep chromosome:ASM407v2:5:18608103:18611250:-1 gene:Csa_5G524780 transcript:KGN51385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVIFRSEETFLSHLHHLRRNLLSHGGLQRRKDREHFNAFKSNPIVDPEEKVVCVTSGVSFLGSAIVEELSTHGYSVRVIVDSPEDVSKLDETTSGRHNVTVVVAKLTDVNSLVEAFNGCRGVFHTSSSIDPAGLSGYTKVMSEVEMRSTENVMEACSRTETIRNCVLTSSLLACIWRDQHGETETSQIVDHASWSSLELCQHKKLWLALGKLKSEKVAWKIAQESGLKLATICSALITGPNSHLRSSTATLAYLKGAGEMYANGVLATVDVTKLAKAHLYVYEGMKDNTASGRYICFDRVISTADEAATFAAEIRVPIRTIVQTTPLIDSPISFQLSNKKLSNLIARSNLQGSRCLNENRDF >KGN51700 pep chromosome:ASM407v2:5:21375629:21377294:1 gene:Csa_5G590170 transcript:KGN51700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKTATKDIITLRGSAAIVSEFFGYAANSILYNRGLYPEESFVRVKKYGLPMLLTQDEGVKTFISNLTAQLSEWLEAGKLQRVVLVIMSKSNNEVLERWNFRIETDGEVVEQGVSREKSDKEIMREIQAIMRQIASSITYLPCLDEPCVFDVLAYTDKDLAVPFTWMESDPKLIANPQMVKLHSFDTKIHKVDTLVSYKNDDDDDE >KGN52710 pep chromosome:ASM407v2:5:27908099:27908484:-1 gene:Csa_5G651680 transcript:KGN52710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQKMAVGDLKKSEHHACDFLPFGLPLISDFWVLIRQCKSLGHSHRRDEDFGGMRKDLDLKSLHQVDNDQQRGFLRSLALKQTK >KGN51287 pep chromosome:ASM407v2:5:17915344:17925219:-1 gene:Csa_5G512940 transcript:KGN51287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFLSDGRASLRFLDSVFSSPNNPLPSQLRFGGRMDFADELTPGATASISNPLKPSDTRDSGELSSSDNDTEDVHPIFSNMPASLAPPMSPILPLQSKCNVAIQADMDDKLETIQNICQKIVDTTNLYSFSKLDDQQVYSLMRLREISHRIVNERDSRVTRNDVISAMCFYINKLAGKCKDIVDIMNKMSYKDLDDQQMQQLLRLKELLCGIVDGAKDVETEPSRIVEQGMVSDIWTTESLEVEPIDSCNDDIPLGETQIVAIEDEQAVAEARLKNQYCDMSHVKHSVSWPPNGSLTLEWVVQMMKILELSSRNYSPAEFQLIMPVSVVDAILDVAESILHKEPNCLEIDCHGEVSKVVIVGDIHGHYHDLLHLFELANLPSESQYYVFNGNYVDRGAWGLEVFLVLLAWKILMPDRVYLLRGNHETRICTSSYGFEKEVRTKYGEQGEKVYHRCLETFKELPLAAIIAGKVYTTHGGLFRKPCNPDLQNDKEMKTGKLEIGSLQDLSKLERFFVDIPTKDEDPNIILADVLWSDPSKVDGLRENQARGAGLSWGPDFTEAFLKLSNLKLIIRSHEGPDSRDGKIDFDDMIMGYSTDHDIKSGKLYTLFSAPDFPQFGQKSYNNEGAYATLKHPDFETPSFHTFKAVDKPKIDVCYFGCEGHDLDLDLTLMALADAVSSNNSSPIEIHSGVDFEALGILNPPSWSITLTDDATGTQNVPIPKAPVVEGLPLPPSIEEPHKAAYEYFLELIAGLKFMLQTTENKNKIHVSHRKRKKCN >KGN50038 pep chromosome:ASM407v2:5:4632023:4637396:-1 gene:Csa_5G151540 transcript:KGN50038 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carrier protein MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPPDSPYAGGVFLVNIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRSKYEATARSWTQKYAMG >KGN50134 pep chromosome:ASM407v2:5:5269758:5270788:-1 gene:Csa_5G155450 transcript:KGN50134 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thaumatin-like protein MAISYICFLFFLSFFPHSHAATFQIRNNCPFAVWAAAVPGGGRRLNQNDVWTLNVNPGTVAARIWARTNCNFDGSGRGRCQTGDCGGLLQCQAYGTPPNTLAEYALNQFNNLDFFDISLIDGFNVPMEFSPTSGGCARGIRCTADINGQCPNELRAPGGCNNPCTVFGGDRYCCTAPNSSCGPTDYSRFFKNRCPDAYSYPKDDATSTFTCPGGTNYRVVFCP >KGN49677 pep chromosome:ASM407v2:5:1905599:1909143:-1 gene:Csa_5G057140 transcript:KGN49677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSSFTFLSFLLLLPFSSPSPSPSNLPILSFHEAYNHLFGDANLLLLNHGKSVHLHLDERTGAGFLSQDLYLHGFFSASIKLPADYTAGVVVAFYMSNGDMFENNHDEIDFEFLGNIRGKEWRVQTNIYGNGSTNVGREERYGLWFDPAEDFHQYSILWTDSRIIFYVDEVPIREVKRTASMGGEFPSKPMTLYATIWDGSSWATNGGKYKVNYKYAPYIAEFSEFVLHGCTVDPIEQVFPKCDLTQISEASIPNNITTAKRTKMESFRRKHMTYSYCYDHLRYKIPPAECVLDPQEAKRLQRFDPVTFGRGRRHHAKHRHSSQAEFTSV >KGN49857 pep chromosome:ASM407v2:5:3505169:3507084:1 gene:Csa_5G139350 transcript:KGN49857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSMYSTLKKFEKSISVYKRAIDIMEKKFGEDSSFLITPILGMAKVLGTIGRAGKAVECYNRAISLLESSRGFENEDLVIPLISLGNLMLKEGKGKDAETCFLYGEKNGKVGMAMYSLANAKCARGEADEAVTLCRRALEIIKDSNDIALDDSTIEKMRIDLAELLHVLGRANEGRELLEECLLINERLKGKEHPSSVKHLVNLAASYSRSKNYVEAERLLRIGLDIMIKAVGSDDQSITVPMLNLAVTLYNLKQDNDAEQLALEVLRIRENAFGKDSLPVGEALDCLVSIQSRLGKDESELLKLLKRILTIQEKEFGEDGKEVIDTLKKIVFCMDKLGMKDEKFLLQKRLSMLRMKFKNQMRY >KGN50027 pep chromosome:ASM407v2:5:4573187:4574359:-1 gene:Csa_5G150450 transcript:KGN50027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTTTIAGTRTRTRTSILTRTHTSIRTRTRTSILTRTHTSIRTRTRTSILTRTHTSIRTRTRTHTHTTTTTTTTNNNMNTIASASMHPTSATAPSKATITTMLHRHQARCTTLAMTATARKVGQKRRRNTKRKRKDVRCITSRKPFLTIAVIVVTVKMNVTIKRNERREGRCIN >KGN51328 pep chromosome:ASM407v2:5:18204180:18204749:-1 gene:Csa_5G517750 transcript:KGN51328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKREGRQHGMVRTYRIIPSPWNPRPETRFVNELDFPPTAGLFTKVSSKPTNHSKFTGKCSKPRCSGCRLHPVQKAKDKTKGSHKFKLHQLLIDLSMDDYDECPSIIDDDGDDQSLEEIDVKLRVGDIHRHVDGDYQLQKVTDFESRIDEGNHHHVNDEDERSYYRVEFVMDEAVEGDDSWCLVEERPAI >KGN50395 pep chromosome:ASM407v2:5:6909939:6912170:1 gene:Csa_5G171770 transcript:KGN50395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGQQQWKNIALVCVFVLLTFKAYSLPLSTNGRWIVDATTGQRVKLMCVNWPGHMQGMLAEGLHRRPLDDIISLVAKLRFNCVRLTYSIHMFTRHANLTVQQSFENFDMKDAMAGIAQNNPSLVNLTLVEAYGAVVDSLAAHGVMVVSDNHISQPRWCCNNDDGNGFFGDRYFDPEEWLQGISLAAQSLKSKAEVVAMSMRNEPRGPNQNVEKWFQYMSQGAKLIHQINPNALVVVSGLSYDTDLSFLKNRSMGFNLDNKLVFEAHLYSFTNNMGDFWMSKPLNTFCASVNQGFEDRAGFLVRGQNPMPLFVSEFGIDQRGVNEGQNRFLSCFFSYLTENDFDWGLWALQGSYYYREGVKNAEENFGVLDSTFAKAKNSKLFLQRFQLMQTKLQDPSSNFTTSLIMYHPLSGGCVRMNKKYQLGISSCKTSNRWIHEQDSSPIKLAGSVLCLKAIGVGLPPILSQDCSSQQSIWKYGSSAKLQLATVDEQGQALCLQRAASHSHQIVTNKCLCSNDSQCQEDPQSQWFTLVPSNLRLV >KGN50538 pep chromosome:ASM407v2:5:7999437:8007127:-1 gene:Csa_5G181450 transcript:KGN50538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLFRAGSALAKVAIRRTLAQGGGSYAARSRIISSQNRYFHTTLFKPKAQSAPVPRPVPLSKLTDSFLDGTSSVYLEELQRAWEDDPNSVDESWDNFFRNFVGQAATSPGISGQTIQESMRLLLLVRAYQVNGHMKAKLDPLNLEEREIPDDLDPALYGFTDADLDREFFLGVWRMAGFLSENRPVQTLRSILTRLEQAYCGSVGYEYMHIADRNKCNWLRDKIETPTPMQYNRQRREVILDRLIWSTQFENFLATKWTTAKRFGLEGGETLIPGMKEMFDRAADLGVESIVIGMPHRGRLNVLGNVVRKPLRQIFSEFSGGTKPVDEVGLYTGTGDVKYHLGTSYDRPTRGGKHIHLSLVANPSHLEAVDPVVVGKTRAKQYYSNDIERIKNMGILIHGDGSFAGQGVVYETLHLSALPNYTTGGTIHIVVNNQVAFTTDPRAGRSSQYCTDVAKALDAPIFHVNGDDMEAVVHVCELAAEWRQTFHSDVVVDLVCYRRFGHNEIDEPSFTQPKMYQVIRNHPSSLEIYQKKLLESGQVSQEDINKIRDKVNKILNEEFLASKDYVPKRRDWLSAYWSGFKSPEQISRVRNTGVKPEILKNVGKAITVFPENFKPHRAVKKVYEQRAQMIETGEGIDWALGEALAFATLLVEGNHVRLSGQDVERGTFSHRHSVVHDQETGAIYCPLDHVIMNQNEELFTVSNSSLSEFGVLGFELGYSMENPNSLVIWEAQFGDFSNGAQVIFDQFLSSGEAKWLRQTGLVVLLPHGYDGQGPEHSSARLERFLQMSDDNPFVIPEMDSTLRKQIQECNWQVVNVTTPANYFHVLRRQIHREFRKPLVVMAPKNLLRHKDCKSNLSEFDDVQGHPGFDKQGTRFKRLIKDQNNHSDHEEGIRRLVLCSGKIYYELDDERTKSDGKDVAICRVEQLCPFPYDLIQRELKRYPNAEVVWCQEEPMNMGAFTYISPRLATAMRALGRGTFEDIKYVGRAPSASTATGFYTVHVKEQTELVKKALQPEPINSSF >KGN52386 pep chromosome:ASM407v2:5:25735054:25736645:-1 gene:Csa_5G630880 transcript:KGN52386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIRHQIFQNDGFFSYPPPLAASSSTPFDGIYNKEPNPPAVPSPTSSGTRISPAVLFIIVILAVLFFISGLLHLLVRFLIKHPSSSASSQSNRNPELSPSDALQRQLQQLFHLHDSGLDQAFIDALPVFQYKEIVGLKEPFDCAVCLCEFSDKDQLRLLPMCSHAFHVNCIDTWLLSNSTCPLCRGTLFNPGFSIENPMYDFDDLGEEDECAGNADHRLPNCQKTMEIQEVVNEKGVFPVRLGKFRRMDAAEVVETEVGETSSSNLDARRCYSMGSYQYVVIDADLRIALSKARGEGDNTQPSKEAESNSISPVQADLDGKKLSSVAKGESYSVSKIWLWSKKGKYSGSTEPHIGMPSSLNTDLPPWLRQTQGP >KGN49874 pep chromosome:ASM407v2:5:3609561:3610614:1 gene:Csa_5G139520 transcript:KGN49874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEKKKFGDLSFDWSPGSIDSAGEFLGCLLIMNKFSSISRHRFSMSGHYRSLQENVKLAMHLHSSKLCLAAYFGDM >KGN52675 pep chromosome:ASM407v2:5:27707112:27708703:-1 gene:Csa_5G650285 transcript:KGN52675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITVIGASILGIIGWIYQKLKKKAPPPRICGSANGPPLTSPRVKLNDGRHLAYRELGVPKEEAQYKIILCHGLDSCKDMDVPVSQELMEELKVYLLLFDRAGYCESDPNPSRSVKTEAFDIQELADKLEIGTKFYVIGCSMGTYPLWACLKFIPHRHFYLSIISLYNLQCKLLGAALVVPIVNYWWPSLPSALSQHSFEKYPKSYKRTFKIAHYTPSLFYWWMTQKWFKVLGSEGMFLDSDLTILSKILKRPEQKKVLQQGEHESLHRDLLCAYGKWEFDPMELRNPFPDEKGSVHMWQGSKDRIVPVELNRFIAQKLPWIQYHELPNYGHLLVHEPQNFEAILRALLIR >KGN51999 pep chromosome:ASM407v2:5:23092854:23093497:-1 gene:Csa_5G606890 transcript:KGN51999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDEKENLCWSIIKSFINCLLGLNDGNADASNAAVSPSGPEAAMVAAAKHFSSAHKFGYLKSWLDLVVKHERRRSSSYVMSNTPC >KGN51263 pep chromosome:ASM407v2:5:17641859:17644054:-1 gene:Csa_5G505240 transcript:KGN51263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKADSTKKTDPKAQALKTAKAVKSGPTFKKKAKKIRTSVTFHRPKTLKKDRNPKYPRISVTPRNKLDQYQILKYPLTTESAMKKIEDNNTLVFIVDIRADKKKIKDAVKKMYDIQTKKVNTLIRPDGTKKAYVRLTPDYDALDVANKIGII >KGN50621 pep chromosome:ASM407v2:5:8697794:8698818:1 gene:Csa_5G197120 transcript:KGN50621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMDLISGFFSSILGTITVRTPFSMLAFTSSTLAFSGSLNLLMNFPQLRSILCHLSFFSSCSLLLSPLICKTLPSSTSTLTSSFLIPGRSALKMWACGVSFQSMRVLAKAEVSRAEEGALAKEFEKGKPSKGSQMSNEKGSKTLLRRPPKMLGTRAMVVEFEVGRSRLDRKRVFCRSGNYLVG >KGN51340 pep chromosome:ASM407v2:5:18282160:18286640:1 gene:Csa_5G517880 transcript:KGN51340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVIGTNLSPMIIIVLALSFAISIIFHLLLKLFLVTSKKNPNLPPGSMGLPFVGETLSFLNPHHSNSIGTFLQHHFSRYGKIFKSRLFGRPAIVSCDRELNYFVLQNDDKLFKVSYPKAMHNILGTNSLLISAGDTHRKLRSVIVSFITRCKTRPNFLHSLHNLSVSLTDSWRSQTHVSFIKEMKMFALSLMVKEVFGIEAKELIGTKIFEEFETFMIGFVSLPLNFPGTPYFKAVKARGRLSTIVKEMIRERRKRGLVGGNNNDDDFLQVLMSNNWKLSDEEIVSVVLDIMLGSYETTATLLGLIVYFLAHSPPNILAKLKEEHQAIRNGKRKGECLNLEDYKRMEFTFNVAYEAMRCGNVVKFLHREAITDVKFKDIVIPSGWKVHPVFSAIHLDPTLHPNPQQFNPSRWSDDKEMNKKVTPFGGGPRLCPGIELAKLEIAFFVHHFVLNYRWKTRDDECPLAYPYVKFKRDLMLEIEPLQLLK >KGN51585 pep chromosome:ASM407v2:5:20614094:20619901:-1 gene:Csa_5G581690 transcript:KGN51585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPVCPFVKSARPDDASSRKHQGESACPFAKSGRSDDASSRKPQSESACPVSKSVRSDDASSLKKNQAEAESNGAEKDVADAAGAGGKCPFGYDSQTFKIGPLSCMICQALLFECSRCVPCTHIFCKACISRFNDCPLCGADIEKIEADDNLQATVDRFIEGHARIKRPQVNSDKEQEKVNESKVVIYEDVSMERGAFLIQQAMRAFRAQNIESAKSRLTVCVEDIRDQLEKMGSSPELCSQLGAVLGTLGDCCRAAGDAASAIKHFEESVEFLSKLPEKTHEITHTLSVSLNKIGDLKYYEGDLEAARSYYLRSLNVRQDASKHHPDDPAQILDVAVSLAKVADVDSGLGNEDMAVDRFQEGIKLLESLSLNSENPALQHRRESVMKFLEGQLAERQKATQTS >KGN51985 pep chromosome:ASM407v2:5:23017230:23023333:1 gene:Csa_5G606750 transcript:KGN51985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDQSSVSGNCGNGSENKWGAEEAIAGNSEALKALRELIVFPLLFSQEAKKIGLKWPRGLLLYGPPGTGKTSLVRAIVQESGAHLTTISPHSVHRAHAGESEKVLREAFTKASSLAISGRPSVIFIDEIDALCPPRDSRREQNVRITTQLSILMDSNKQSASGRPQVVVVASTNRVDAVDPALRRSGRFDAEIEVTAPTEDERYQILRLYTRKVQLNPEVNLRAIAASCNGFVGADLEALCREAAMAALQRSSGTNENAILCMTTEDWKHARSIVGPSMTRGVTVEVPNVTWNDIGGLKDLKKKLQQSVEWPIKHAASFSKLGISPARGILLYGPPGCSKTTLAKAAANAAQASFFSLSGAEMYSMYVGEGEALLRNTFRRARLAAPSIIFFDEADVVAAKRGGSSSGNTTVGERLLSTLLTEMDGLEEAKGILVLAATNRPHAIDAALMRPGRFDLVLYVPPPDLDARYEILRVHTRPMTIGSDVNLKKIAEDTELFTGAELEGLCREAGMVALREDITANVVCGRHFQTVKDALKPALTLEDIAIYSTFMKTRSALPSQHADLSSNNKIKSERNLFGPVSLVKLGLISCFFLVLAKYFLSKEYQVEHELMTT >KGN51516 pep chromosome:ASM407v2:5:20013215:20013876:1 gene:Csa_5G576580 transcript:KGN51516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLPKTPIPAVLLALVIALFPPATRSDDTCPYPCYPPPTGSGTTTPATLSPPSQTGYSSYSPPAYYSPPAAYYPYNPPTSDGNSFDGVTPPPPDPILPYFPYYYKKPPHKPDDESGGSAVAGRKSEVGLLAGTFVLIALSLFSFILM >KGN51194 pep chromosome:ASM407v2:5:17014971:17017982:1 gene:Csa_5G487710 transcript:KGN51194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSQIGGRIEGLRDTQMASRSINCKTEVGKPFVALICGSLVYYHCAFRNSSLLSLVADVFIVLLCSLAILGLLFRHMNVSVPVDPLEWQVSQDTANSMIAWLANTIGAAESVLRVAATGHDKRLFVKVVVCLYALSVLGRLVSGVTVAYTGLCMLCIYMVLENSESISAAISPILRRGNERTSHQDDI >KGN50411 pep chromosome:ASM407v2:5:7082011:7086579:-1 gene:Csa_5G172900 transcript:KGN50411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAQRALLDELMGSARNLTEEERRGYKEVMWDDKEVCGFYMVRFCPHDLFVNTRSDLGPCTRIHDQKLKESFEKSPRHDAYVPKFEAELAQFCEKLVMDLDRRVRRGRERLAQEVEPAPPTPLSAEKSEQLSVLEEKIKNLLEQVEALGEAGKVDEAEALMRKVDLLNTEKTALTQQTQNDKVLMLAQEKKMALCEICGSFLVANDAAERVQSHVTGKQHVGYGMVRDFISEHKEAKEKAREEERLAREKEAEERKKQREEFERRKRGDSSEDKYRDRERDRDRNRYRERDRDRERSRRGGRDEIRGMDWRSRNGRDGGRDRYRDRSRSKSPIRRGHRRSSRSPLRP >KGN50269 pep chromosome:ASM407v2:5:6206672:6207214:-1 gene:Csa_5G164650 transcript:KGN50269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMMQTKRRYIDYHMLKPKVLSTKVTKTMKPYNIHQRKESNGDHELTNFKCLSPKKNNIELLPIKPNTTIVVLSPRRAARVEVLKAKFGSTIWKAQQKLQKSNNDSNKYEYVVIGATPKTCSILQEQKRASREALDKMINPVFDFNENLDSMKEFERYWSRAHEVMCNLIILSLCNQFFQ >KGN52489 pep chromosome:ASM407v2:5:26504521:26507713:-1 gene:Csa_5G637790 transcript:KGN52489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVALKKVRFDNFQPESIRFMAREIMILRRLEHPNIMQLEGIITSKMSSSIYLVFEYMDHDLAGLVSSPNIKFSEAQVGISSTDLTCTINSVGKVLIKKQSHFLFHWVCGFYICKKLLCSLFQIKCYMRQLLSAIEHCHLRGIMHRDIKASNILVNNEGVLKLADFGLANVINSRNKQALTSRVVTLWYRPPELLMGSTDYGLTVDLWSIGCVFAELHLGKPLLKGRTEVEQLHKIFKLCGSPPEEFWKKTKLPHAAMFKPQHAYESSLSEKCKEFAPTALSLLESFLAIEPYKRGTASSALMSEYFKTKPYACDPSTLPKYPPNKEMDAKNREDARRKRANARVKESGVTQRPRRVRRNFQELNSHKVPIKEEAEENIQPSRRNGSSTANLCKEQGDVFQRDPQKQLFDTTSESQAATAPNQRGDSAFTAPIPVSASSGFAWVKKRKEEATSTVSDGLKSQISSLDPSFANYTFELTKKQNGHTHIPVSSGTQEYELRKNHRRKHNFPESFDASEAYPFLDMSNELYPKPPSNTAANLENDDTESHIEFSGPLLTQPHRIDELLQRNESHIRRVARKSRFEKDK >KGN50761 pep chromosome:ASM407v2:5:10356664:10361076:1 gene:Csa_5G239140 transcript:KGN50761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKTIHISGFPSHVTADAVKNFLEGHTGPGTVYAIKVRPPKRGGGRLYAIVQFTSATQAELIISLANQRLWYGSSYLKARATEVDIVPKPRTYMYTLEELLLCFGCQVSTEKFRVLWEGNVDLVTFGIGMRKMNFHLKYKSVEYRLELSYEIIWQIQLHCPRDQSMKYLLIQLSGAPRIYKKVAPNSGQIFDNPLLNFFKEASDDQWVRTTDFTSSCSIGQSSSLCLKLPNGRQLPPFKQNFAYYEEFEHEFRLIDEDANFSFCRDLAPIVDSRSHVLPYKILFKINALVQYGCIPWPLLDASFYRLVERIITTRIEFVEHALEKLFHLKECNYDPSNFLTEQYRKYSRHPPNSPVISLDDGLVYVRRVQITPCKVFFCGPEVNVSNRVLRHFSQYIDNFLRVSFVDEEWDKMRSTDLLPRMSSKSEDGKTDIYRRILSVLKNGIVIGDKTFQFLAFSSSQLRDNSLWMFASGPDIDAAYIRAWMGDFRHIKNPAKYAARLGQSFGSSTEALSVASNEREIIPDIEVQQGEIKYVFSDGIGKISSKFAKEVAAKCGFQAVPSAFQIRYGGYKGVVAVDPYSTIKLSLRKSMCKFESDNTKLDVLGHSKYQPCFLNRQLITLMSTLGVRDEIFEKKQSEAVEQLDAILTDPLKAQEALELMSPGENTNILKEMLKCGYQPDVEPYLSMMLQTFRASKLLELRTKSRIFIPNGRAMMGCLDETRTLEYGQVFVQISSGRHRNLSESFAFNRIGREHHLVIEGNVTVAKNPCLHPGDVRVLKAVNIPGLYHMVDCVVFPQKGSRPHPNECSGSDLDGDIYFVCWDTELIPSRQIPPMDYTPAPPNELDRDVTTEDIQEYFVNYMVNDSLGIIANAHTAFADKELFXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAFADKELFKARSSPCLELAKLFSVAVDFPKTGVPAIIPSHLYVKEFPDFMEKPDRPSYESNKVIGKLFRAVKDIAPTLSHIRSFTRDVARRCYDCDMEVEGFEDYVEDAFYHKSNYDYKLGNLLDYYGIKSEAEVLSGSIMRMSKSFTRRRDAEAINLAVRSLRKEARTWFNAREGADSNSDDLFAKASAWYYVTYHHSYWGCYNEGMKRDHYLSFPWCVYDKLMQIKENNLRRRERAARLASFDRFGHVLNLGGS >KGN51187 pep chromosome:ASM407v2:5:16945848:16947456:1 gene:Csa_5G484680 transcript:KGN51187 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-protein ligase MHLGASVLPPHQISFFFSILEYDVYIYLLQCLSTLFAFDYFVTLGCGSWHRTPLLAFDLRKTQMYLSKFSGSARMTRLARKPVEMKNWSALYAGNLLTLWRMYLMSYDVAIPCAKILSLRVVYKGNLKFPSKNFFLLWMVESFNGNEGKLDHSFNSDNHPLFSLPSGTGTGTVTSERRRLFFYNHLDFVVHLIFKFLLLVIFVLIVVFVIPGSALILLLYLLITLLFALPSLLIFYLAFHALEKLMNDITS >KGN50232 pep chromosome:ASM407v2:5:6011241:6011696:-1 gene:Csa_5G161320 transcript:KGN50232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPILQPRINALESIGKILVANVKEQAKVEWDFNLVPQNASFNGSAKADSGFKISKTMNQGAAWGHWGLADGEVDEAAKKLGADTDFKGILRTLSIVRWRVWFSRRAWLGLGWGRDIAIITC >KGN51346 pep chromosome:ASM407v2:5:18318374:18324389:1 gene:Csa_5G522920 transcript:KGN51346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAKSSKEASPYRSTSSSSWGGGGYAQSSYGHETPSYVPQQSYPSQQYYTSSQECYGNVDNGRRLDRRYSRIADNYNSLEEVTEALARAGLESSNLIVGIDFTKSNEWTGARSFNRRSLHHIGDQPNPYQQAISIIGKTLAAFDDDNLIPCFGFGDASTHDQDVFSFFPEEERFCNGFEEVLNRYQEIAPHLRLAGPTSFAPVIEMAMTIVEQSGGQYHVLLIIADGQVTRSVDTERGRLSPQEQKTVDAIVEASKFPLSIVLVGVGDGPWDMMREFDDNIPSRAFDNFQFVNFTEIMSKNIPTSRKETEFALAALMEIPSQYKATIELDILGGRKGVSPQRVALPPPVYGAASLNSSKSFSNSKPTWSSSYEPSVPSFSVNRNPTPTSTAPPVASSTYDNQVCPICLTNPKDMAFGCGHQTCCECGQDLQTCPICRSTIHTRIKLY >KGN51231 pep chromosome:ASM407v2:5:17435150:17436220:1 gene:Csa_5G496470 transcript:KGN51231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSSSPPPCTVVLSPCAACKILRRRCVDKCILAPYFPPTDPFKFAAVHRIYGAGNVIKFLQELPEGQRADAASSLVYEANARIRDPIYGCTGSIFQLQNKVRELHAQLAVAKAEVHKMQQLQHPNLLALPRSQFQEQQNYCIFTQLQNFPFPDFTSHLPHL >KGN51125 pep chromosome:ASM407v2:5:16190025:16193944:-1 gene:Csa_5G457760 transcript:KGN51125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSDKPSRQSLIPSFLYSSPSSTRTLPLMKMLQSSPTLDSVSSSSPKSFVIPSPTEPSKKIEMYSPAFYTACTFGGILSCGLTHMTVTPLDLVKCNMQIDPAKYKSISSGFGILLKEQGVRGFFRGWVPTLLGYSAQGACKFGFYEFFKKYYSDIAGPEYAAKYKTLIYLAGSASAEVIADIALCPFEAVKVRVQTQPGFARGLADGLPKFVRSEGTLGLYKGIVPLWGRQIPYTMMKFASFETIVEMLYKYAIPTPKDQCSKSLQLGVSFAGGYVAGVFCAIVSHPADNLVSFLNNAKGATVGDAVKKLGLWGLFTRGLPLRIVMIGTLTGAQWGIYDAFKVFVGLPTTGGPAPAAAPAPAAAELAKA >KGN50078 pep chromosome:ASM407v2:5:4906547:4914469:-1 gene:Csa_5G152930 transcript:KGN50078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISKRRDKKPRRFFIRQSAVSSVYGFSFSITLVRKTQSHLNHTTAFASHFQAMGHGDKGRPNKKPKFGKEDYKKANFEDDDVYHLDDPDDDDRYGDKEGGKRDFSKLELKPDHANRPLWACADGRIFLETFSPLYKQAYDFLIAIAEPVCRPESMHEYNLTPHSLYAAVSVGLETETIIAVLSKLSKAKLPKEMIDFIYASTTNYGKVKLVLKKNRYLVESPFPEVLQKLLKDEVIGRARVVHEDSGNGEFTVSKTAGELGSRHEGLLNEAEAAAAAEERETHSFEIDPSQVENVKQRCLPNALNYPMLEEYDFRNDTINPDLDMELKPQAQPRPYQEKSLSKMFGNGRARSGIIVLPCGAGKSLVGVSAASRIRKSCLCLATNAVSVDQWAFQFKLWSTIRDDQICRFTSDSKERFRGNAGVVVTTYNMVAFGGKRSEESEKIIEEIRNREWGLLLMDEVHVVPAHMFRKVISLTKSHCKLGLTATLVREDERITDLNFLIGPKLYEANWLDLVKGGFIANVQCAEVWCPMTKEFFAEYLKKENSKKKQALYVMNPNKFRACEFLIRFHEQQRGDKIIVFADNLFALTEYAMKLRKPMIYGATSHIERTKILEAFKTSRDVNTVFLSKVGDNSIDIPEANVIIQISSHAGSRRQEAQRLGRILRAKGKHQDRMAGGKEEYNAFFYSLVSTDTQEMYYSTKRQQFLIDQGYSFKVITSLPPADAGAELSYHRLDDQLALLGKVLNAGDDMVGLEQLEDDADQIALDAARRSKGSMSAMSGANGMVYMEYSTGRKLAGQGQLKSKPKDPAKRHHLFKKRFA >KGN52640 pep chromosome:ASM407v2:5:27469329:27473242:1 gene:Csa_5G648120 transcript:KGN52640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMTFIRCPISKEPSESYNIDQPRLSAIHLRTMVQRYIEVLTWQQEKYFFVIPCPNCIGCFDKKKYGFSITACCEPDYISEENPRIGIALGAAFEVQKHEMRNNSNDAKICCEFIVKMETDECPPKSAIVFDGQRDELGSPVGLSVFYIPMKRISSWLNQSCCIDVSIVTDNPFVKIKWCGASILYEQNAGSFIGNIIKDLFGSPGKYHTSIVDHILNRQNRVDVSTLLDGGARYKTSWSNAFQRTIGSFPRLQPSRQPRKVIEDSSTMNTTFEVEENESDDNSIILKRKNLKATLLRTFEELKLYGEYYIFPKKEMPRSFFNFQLEEPEITIKIPPNLHKDKKWMGCAFFVVFSVDENSPKSHSFSYQVDNDEYTMERESVIRLNTELFDDSHQLWMFFEPRGVYPYRLNQWRHLCFTFVCNNPDFKAVLCGARLVYKQDVEGFVNTIVSNVLSLPVELLEFYDQMYVEGMLRNILYHKYDPKHKQWVEEQNSNPHNSQEDSSSCSSNMERSLILQLKESIPSFLQKDSKDRFGNTFDFVIPKRNFPPALLNQLSPENPTGVQLPPSLYTNNDWLGFVVCTLFQINKHPTAILNNVCSISRHELICQFAIEIGLIEPLHTHGITEDRSIWLQERQFVWLYYTPRHTYGEIFRQWSSVWAVIEADTPDLMVSCCGMSLVYKKDAAVIDKILMRAIQ >KGN49675 pep chromosome:ASM407v2:5:1883617:1885068:-1 gene:Csa_5G056630 transcript:KGN49675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKNQSMIEVKVVKHCYVCPPKGSVPTTNIPLSFLDMYWITCPTARRFFFYNFPHSTQHFIDNIFPTLQQSLSLTLQHFFPLAGNLILPSQPNHKPYLLYTSDDDGRDDLPQLIVAVAESSSNQLKHDYFDILVADYPKIRDAKVFYPLVPNLPKSRLLPDGARAFPLLGIQITLFPNRGLCVCVAFHHAVADGKAAHHFIKSWATASKRIPTPTSALSLPYLDRTIVKHRNESNINSIFLSALSSWSSFPIVEKPIIHIAPLNTNRATFVITKNQIQKLKALVTSSSSSLRVSGFIVACSLIWSCMIKSSITTQETNDRDEIFYLLFVGDCRNRLMEPLPPTYFGNSLDICIVELKKKELLKEDSIVGVVEAVGNKVKELEKEPLKIVEKWFSEWRRCDETKRLITVAGSPKLRVYDTDFGWGRPCKSEVLHVDNSKGISLQESRDEEGGIEISLGLTKNRMDRFISIWENSLKRFCN >KGN51076 pep chromosome:ASM407v2:5:15605611:15615165:-1 gene:Csa_5G429980 transcript:KGN51076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METAGARNHTSYPKRKRDEVATNGTDNIDLALLEAVEKSQKAVEVLDLRTVKKLSLAFERRLRDNTEARLKYPDQPDRFADSEVELHEEIQKLKVLAAGPDLYPDIVKLGVISSVVDLLSHSNTDIAIDAVQLIQDLTDEDVLEDNDEPARVLVDALIENNVLDLLVQNLHRLSETDPDEMAAVYNTLATIENLIEVKPLVAELVCERTKLLKWLLGKIKVRDFDSNKQYASEILAILLQNSTVNQRRLGQMNGVDVVLQAVAMYKSKDPKSPDEAEMVENLFDSLCCLLMPLENKERFVKAEGVELMIIIMKQKKSAYGSAIRALDFAMTNYPPACERFVDVLGLKTAFAAFMGKIPLNKKNKKERYQEELEERIVSLIASLFGGILRGTRKERLLSKFVENECEKIDRLMELFLRYSDRVKVEMERLNQIELEDLEMDEEEKYNRKLESGLYTLQLIAVILGHLWCSEHSQMRARIELLLKQQKLTRQDIKNILQEYHDNIGDLDGPEEKEKTQGKIQKFISAL >KGN50859 pep chromosome:ASM407v2:5:12240788:12241156:1 gene:Csa_5G291670 transcript:KGN50859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTDVIGKKRRRGGGGGERVFRFKSFGENGHPAELVGRFRENVEALLGFGKWESGECSCEGFRCWSFRLQVQRQPPFHVVLFVLEEAVETVTVAARQCKQCQYVGKISKANIMKIFSWVCFG >KGN52461 pep chromosome:ASM407v2:5:26301602:26304890:-1 gene:Csa_5G636530 transcript:KGN52461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTIYLSPSLSPVCKLHDHSRKTHHSKTSNKENPCPKIPLNAQISKRNLLSSTGLTLPADAFFNDSGLARAEPESPIESASSRLSYSRFLQYLDEGAVKKVDVFENGTVAIAEIYNPVLDKIQRVKIQLPGLPKELIRKMEEKNVDFAAHPMEINWGPAILDLLGNFGFPLLLLGSLLLRSSSSNSPGGPNLPFGLGRSKAKFQMEPNTGVTFDDVAGVDEAKQDFQEIVEFLKTPEKFSAVGARIPKGVLLVGPPGTGKTLLARAIAGEAGVPFFSLSGSEFIEMFVGVGASRVRDLFNKAKANSPCLVFIDEIDAVGRMRGTGIGGGNDEREQTLNQLLTEMDGFTGNSGVIVIAATNRPEILDSALLRPGRFDRQVTVGLPDVRGREEILKVHSNNKKLDSNILLSVIAMRTPGFSGADLANLMNEAAILAGRRGKDKITLKEIDDSIDRIVAGMEGTTMTDGKSKILVAYHEIGHAVCATLTEGHDQVQKVTLIPRGQARGLTWFLPGEDPTLVSKKQLFARIVGGLGGRAAEDVIFGEPEITTGAAGDLLQVTQIARQMVTTLGMSEIGPWTLIDPAVQSSDVVMRMLARNSMSEKLAEDIDSSVRNTIAKAYEIAKTHIRNNREAIDKLVDVLLEKETISGDEFRSILSEFTNIPSDNGIQTSSSIPQLVKA >KGN51197 pep chromosome:ASM407v2:5:17038176:17052253:1 gene:Csa_5G487740 transcript:KGN51197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRTVATSAATTTTTDSLDYVVNLDQIPRWSDAEHRSSLEFVNEDPSFSNSYFPDPLTSPSDAEGGTNGVVSRFPVDHEINSKIYLWRGNPWNLEVDAVVNSTNENLDEAHSSPGLHAAAGPGLLDECGTLGGCRTGMAKVTNAYDLPARKVIHTVGPKYAVKYHTAAENALSHCYRSCLELLIENGLQSIAMGCIYTEAKNYPREPAAHVAIRTVRRFLEKQRDKIKAVVFCTTSSVDTEIYKRLLPLYFPRDKHEEEVALSKLPADVGDENGETIIDERKIRIKSLPKKNVPKPPQVLNDTPVSDVRLTRRNSSYLDSYLDPAFMALIKDPDQRRKEQWEKTAQAQTGWNYGRILGFGDLGGPPLSAAEEYSLHSRYLAKANSLNLSEIAEMKIVYRGGVDSEGRPVMVVVGAHFLLRCLDLERFVLYVVKEFEPLIQKPYTIVYFHSAASLQPRPDMGWMKRLQQILGRKHQRNLHAIYVLHPTFGLKAAVLAMQLLVDNVVWNKVVYIDRLLQLFKYVPREQLTIPDFVFQHDLEVNGGKGLIVDPRTKYVYHRP >KGN51375 pep chromosome:ASM407v2:5:18540251:18547450:1 gene:Csa_5G523690 transcript:KGN51375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNNAVMALAISFSPNSIATATAAIPCPNRTPNFPHFSHILHFRSHESIPWRSSSSSSISGSSFGFTPRFEKFVVMAASSVPESAGEGVESVDLVQNLRLGAMFGIWYLLNIYYNIFNKQVLKAFPFPTTVTAFQFGCGTIIVNLMWALNFHHRPKISSSQFATILPLAVAHTMGNILTNVSLGRVAVSFTHTIKAMEPFFTVLLSALFLAERPSFWVVFSLVPVVGGVALASFTEASFNWIGFSSAMASNLTNQSRNIFSKKLMVHKEALDNINLFSVITIISFILLVPSALLLEGTKFSPSYLKLAANQGLNIRELCIRLLLSGICFHSYQQVSYSILQEISPVTHAVGNSLKRVVVIVSSVIFFQTTVSPLNALGTGIALMGVFLYSRAKRMNSKLKSL >KGN50617 pep chromosome:ASM407v2:5:8689519:8694398:1 gene:Csa_5G197090 transcript:KGN50617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPINDVDEEKMEVAAPQTAHQISSDSWFQAGFVLTTGINSAYVLGYSGTIMVPLGWIGGVVGLIAATAISLYANCLIAKLHEFGGKRHIRYRDLAGFIYGRTAYSLTWGLQYANLFMINVGYIILAGQALKALYVLFSDDHVMKLPYFIAIAGVVCALFAISIPHLSALKIWLGFSTVFSLVYIIVAFILSLRDGVKTPADYSLPGSSTSKIFTTIGASANLVFAFNTGMLPEIQATVRQPVVKNMLKALYFQFTAGVLPMYAVTFIGYWAYGSSTSTYLLNSVNGPIWIKAAANISAFLQTVIALHIFASPMYEYLDTKYGITGSALNIKNLSFRIVVRGGYLAITTLISAMLPFLGDFMSLTGAISTLPLTFILANHMYLVAKKTKLNSLQRLWHWLNVCFFGCMSLAAAVAAVRLIAVDSKTYNLFADL >KGN52568 pep chromosome:ASM407v2:5:27001503:27002030:1 gene:Csa_5G643960 transcript:KGN52568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREEVSDYVPFKWCNPKIQCVDIDPKTSSVASFRFEDDWSSITIVNSCNGLLYICKFIFDSLCEGILNPMTNEFVEIPPPDIELDVHCVGFGFSPRTKQYKLFRTEPEILPNWKKCHYRMDIFTFDNGHKQWRHFKRLPFVVFHHGQYLNGIIYWIGKKLEKEGGGCDICSRCRH >KGN51186 pep chromosome:ASM407v2:5:16935843:16941481:-1 gene:Csa_5G484670 transcript:KGN51186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMEIDASKDVCLIKDNDLFKAAETGDSSVFTALTPHQLSQSLSLRNEDDRSLLHVAVSSGHADVVKILVTADQSTKVINCADEEGWAPIHSAASIGRSDILDILLSGGADVNLKNDGGRTALHYASSKGWLKIAETLISHGAKINLKDKVGCTPLHRAASTGNSELCEFLIEEGAEVDATDKAGQTPLMNAVVCENKEVALLLIRHGADVDVEDGEGFTVLGRASIELRPALIDAAKTMVEG >KGN51273 pep chromosome:ASM407v2:5:17758266:17763952:-1 gene:Csa_5G506320 transcript:KGN51273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLSEVWKREGKAYLGVIAIRTADSGLIVIAKIALNHGMSPQVYSLYRYFVASIVVAPFCFLSYRKGPRPRMTWCILAKILLLGTMESVVITNTYFTGLKYVTPTFSTAMSNCIPALSFFFAWIFGMEKVDIRRFSSQVKIIGTAVTVGGAMIMTFVEGPKFRFPWTNEHNSLHNHSSTPPSNVNNQDSFKGVILVTIAILGASVSCIIQAIVLKSYPLGLVVTFMVCIVGVVEGTVVALAKEWNNPPVWSIHFDFQLLAFLYAGIMMSGFSYFIQGVVLEAKGPVFLTIFFPLSTIIVAIISSFAISEVLSLGKVMGALVIIIGLYLVLWGKTKDHAIENKAARPIDDATPRE >KGN52602 pep chromosome:ASM407v2:5:27265611:27269052:-1 gene:Csa_5G647250 transcript:KGN52602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGKPMNGKLTLVLCFASFLAGSLFTGRNRIQTKDPQFHNHFENLEAATPDCDHKRKLVESNDQDIMVEVTKTHQALQSLEKTFGNWEMEMALSRTNGRNSRPLPPEKAFVVIGINTAFSSKKRRDSIRETWMPRGESLKKMEKEKGIVVRFVIGKSGRPGGALDRAIDEEEEEHGDFLRLRHVEDYHQLSTKTRLYFTTAVALWAAEFYVKVDDDVHVNLGALVTALERHRSKPRIYMGCMKSGPVLSQKGLKYHEPEHWKFGEEGNEYFRHATGQIYAISKDLAAYISLNFPILHRYANEDVSLGAWLIGLEVEHVDDRSMCCGTPPDCEAKSNGGNVCVATFDWSCSGICESVERMKEVHKLCGEDNGAIWNVAV >KGN51026 pep chromosome:ASM407v2:5:14777520:14777729:1 gene:Csa_5G409680 transcript:KGN51026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTWTKLGICGSLGWKKEEKEGILSENPAEKKEKNEEGEGKWRKAKGMEMAPATAEVA >KGN52182 pep chromosome:ASM407v2:5:24180276:24183307:-1 gene:Csa_5G613600 transcript:KGN52182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRRGIRLIFLLFCSTLAISSAALQQQIFPTATESNYQQKTYTKKHGKYGVTNQINRRYSSSAITVSNVIRRGSGSGGGGGSGSAAAAGGGENGGSRPRTGGGTAVIPVYAAGSAQAHHRQGRAHNAGNSYENNMGLLVAAVLAAIAHLCRVF >KGN52372 pep chromosome:ASM407v2:5:25604861:25625550:1 gene:Csa_5G630750 transcript:KGN52372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVRTPPPKKQRSDVTSLPDSSPAAASDLPLVIYEDPLPLVPATTEPASSHEPSDHMLCTYQCRQMVKSDFLDALSNAEKQVHDYELKLGVLNENLSKVESERKKFLDQLLYTEQELAAARRREKVLQEQLIKEISDSGERLKKQMQISSELEVRLQNESNLRIKAESSIASSEEKARLLEDKLNHLSESIERERKHLDTELAQLKGESKLSVSRINADLEKMVCRASNAEKESELLKGQLEDLKNQLNECLCQKSELEKKLASFTVNEGTGKESNILIKHLQEELRNYESEVKEARKLKSSLGDIGLLKEKLLEEKARRERADSELSKLQDIQLSVKNLEDELTRRDLLINSIPGISTYEDIPTKISSLQKEVIDNTIKMGEVNARLKQLEVALDAAQIDKQKAESEATLVEEKIEALKLEVKQNELLLSVATEERDKLKSLVNELKTLKNDDAEAKETKETLSQELDLTLAKKDWYIKELESNLHEQKEVNSRQHDELKLLNERLNNDAKRIKSLERDCDRLRSEISLLESKIGHGDFSSTNTKVLRMVNTLAVDNEAKQTIEALKSELQKTKEKLQAVEELKAPSGDAGKLVDSYISGKIMQLKEQIATLEKREERYKTVFADRISVFRRACCELFGYKIVMDEHQRADGIPVTRFTLQSIYAQSDDEKLQFEYESGNTNILVNNYTSQPELSRQVCKLVLIACFFIFFSHA >KGN51717 pep chromosome:ASM407v2:5:21454022:21454979:-1 gene:Csa_5G592810 transcript:KGN51717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATMATMAILNAKCFTPINKTPLLPSKPATKPISLPLPILTKPSLAGTAIAGAIFSTLSSGDAALAAQQIADLAEGDNRGLALLLPLIPAVAWVLFNILQPALNQINRMRTEKAVIVGLGLGGLLASGLVGTPEAMAAEAGSDGRGQLLLIVVAPAIAWVLFNILQPALNQLNRMRSE >KGN50153 pep chromosome:ASM407v2:5:5414968:5417402:1 gene:Csa_5G156130 transcript:KGN50153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSPCIREASQACLRGCCRTPFLGLTDSSQTAIDRSSAASTPAYNFHGTTETSLHPDARFSDHESIPTLKDAFTYFIRAYPLYLDTQQIDRIRADEYNHLALSKHVCLDYNGQCLFSFAQQQSSPMAPAASSSSPPGSPPLILHSPGSPFFNISHKAVKPNSQVKNGGQESEFESRIRSRIMKFMNLSEDDYAMVFTANQSSAFKLLADTYPFQQNRNLITVYDHESEAVDLMVESSRKKGARIYSAEFLWPNLNISTGKLRRLIVSKRKRKKKMKMKMNKRGLFVLPLQSRLTGTPYSYQWLNIARDNEWDVCLDTCALGPKDMETLGLSLFKPEFLISSFYKVFGENPSGFGCLFIKKSNVSLMESLLTSPANIGVITLISTSPSFPFTEEPETTETKTQQISKPTLEIQNLAIPESRNSPEITEATEIEEEELSITGIVESTTPFVSTRSTNTEMNSYMDCRGLDHADSVGLRLISIRARYLINWLTNALMNLQHPNPEGRIAKALVRIYGPKIEINRGPAVAFNIFDWKGEKVDPAMVQKLADRSNISLSNGIVKEVSFLDKNEEENEMRKERAMEEGERIDRNEKRHCRIRVVSAGIGFLTNFEDVYKFWAFVSRFLDADFVEKERWRYMALNQKTIEV >KGN51255 pep chromosome:ASM407v2:5:17581098:17582362:-1 gene:Csa_5G505160 transcript:KGN51255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFPKLLPFSTKPMLCFLLLCFMCLSANSARLLDEQPQVPVGTPAAASSVVGTPFGNFGTGPTATNTPSTTLPNSAGNNLGQGATTPSSTLPSSGGVEDDHHVLTFFMHDILGGTNPSARAVTGAVNNPALNGQLPFAKPNGAVLSVGNNVPQSNGNSGLINNNNLPFLIGLGGAASPLLQNSNGGGNNFNGQLGFPSVNAGQLPSGVSVQQLLFGTMTVIDDELTEGHELGSGLIGKAQGFYVVSSEDGNSQTMAFTTMFESGHYVDSLSFFGVHRTAVSESHLAIMGGTGKYVNARGYANVKTLPGINQHETDGVETLLQFTVYISY >KGN51738 pep chromosome:ASM407v2:5:21620948:21622042:-1 gene:Csa_5G597480 transcript:KGN51738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRPETNPHFWRPLQPDRRSQQPPLQPQDSSDPPQPDPEPTTPWPVAEQRPTIGKAPRLKKNRQNPSYPTPPTQSPPSDHREPLQRQPQDPYGKAPRLKKEHQVPYGGPSLKSPEQNVQQPRPRVQIQDPSDSREPYRHRRNDVIDNDNRRNQPNDILLMPLPRQTNPLMWFGAVFCAIFWVLVIVGGLVILIVYLIFRPKSPRFDVSTANLNAAYLDMGYLLNADVNLLANFTNPNKKVSVDFSSMILYLYYGNTLIATQFIAPFSAYKEESMLINIHMVSSQVRLPILERQRLQKQLEISGIKLELKGIFRARSNFGTLLRYSYWMHSYCHLFVGGPPTGVLIKSTCKTKH >KGN52437 pep chromosome:ASM407v2:5:26062791:26066894:1 gene:Csa_5G634330 transcript:KGN52437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLTKALTSFVAAQKLNEELLVVVGGGAAGVYGAIRAKTLAPNLNVVVIEKGRPLSKVKISGGGRCNVTNGHYTDAKSLAEHYPRGHKEFRGPFFNVHGPMDTMSWFSNHGVELKVEDDGRVFPVSNCSSSVVDCLMSEAKRTGVSLQTGKVVASASISTGGKFALKIQKLINCFEHVEANYLLIASGSSRQGFSLAAQLGHSLIDPVPSLFTFKIEDPQLAELSGVSFPKVRAKLKLENIQRHLPQYTQVGPMLVTHWGLSGPVILRLSAWGARDLFASDYKGLLIVDFTPDLHLEEVKTILTRHKSQFMKQKVHSSCPSEFGLVKRFWKYLLDREEINDEILWASISNKSLASISSLLKQCIFKILGKGQFKDEFVTAGGVPLSEISLKTMESKIHSRLFFAGEVLNVDGVTGGFNFQNAWSGGYIAGTSIGRLANGEFLGRDITNLA >KGN51816 pep chromosome:ASM407v2:5:22080231:22082940:1 gene:Csa_5G602150 transcript:KGN51816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAELSLGSAASKPQTENGVSDSISSVIPGWFSEISPMWPGEAHSLKVEKVLFQGKSDYQDVLVFQSSTYGKVLVLDGVIQLTERDECAYQEMITHLPLCSIPNPKKVLVIGGGDGGVLREVARHLSVEQIDICEIDKMVVDVSKEFFPRVAIGYEDPRVTLHVGDGVAFLKAVPEGTYDAIIVDSSDPIGPAQELFEKPFFASVAKALRPGGVVCTQAESIWLHMHIIEDIVTNCRQIFKGSVNYAWTTVPTYPSGVIGFMLCSTEGPTVDFKHPVNSVEVNGIDTAKSPLKFYNSEIHAAAFCLPSFAKKIIDSK >KGN51718 pep chromosome:ASM407v2:5:21458054:21459083:-1 gene:Csa_5G593310 transcript:KGN51718 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cold-inducible RNA binding protein MANRLGSELFISRLSSYTTLERLKNLFSPFGSISEARLIRDPKTERPKGFGFVTFQSPAEAKKALKAMDGRIVDGRLIFVEFAKTGDMG >KGN51479 pep chromosome:ASM407v2:5:19708820:19713643:-1 gene:Csa_5G567300 transcript:KGN51479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPFSSLLPPAILFLLLLSPPATAQHDYHDALRKCILFFEGQRSGRLPPDQRLKWRRDSAIHDGATVGRDLSGGYYDAGDNIKFGFPMAFTTTLLSWSIIDFGRNMGPELGNALKAVKWGTDYLLKATAVPGVVFVQVGDPFSDHNCWERPEDMDTLRTVYKIDRSHPGSDVAGETAAALAAASIVFRSRDPSYSRLLLNRAVSVFEFADRHRGAYSGSLRKAVCPFYCDVNGYQDELLWGAAWLHKASRRREYREYIFRNEVVLRAGDTINEFGWDNKHAGINVLISKEVLMGRAEYFSSFRQNADEFICSILPGISHPQVQYSPGGLIFKAGGSNMQHVTSLSFLLLTYSNYLSHANRNVPCGSFSASPSMLRQLAKRQVDYILGDNPLRMSYMVGYGARYPLRIHHRASSLPSLRAHPARIGCKAGSRYFLSPNPNPNVLVGAVVGGPNVTDAFPDSRPFFQESEPTTYINAPLVGLLAYFSAHR >KGN51545 pep chromosome:ASM407v2:5:20309235:20319702:-1 gene:Csa_5G577360 transcript:KGN51545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDTTDDIAEEIYFQSFDDDCKLLDNLLHDVLQREVGSQFMDKLERTRVLAQSACNMRMAGIEDTAEMLERQLASELSELTLEEALSLARAFSHSLNLMGIAETHHRVRKSRNIAPLSRSCDEVFNQLMQGGVSPNELYDSVCKQEVEIVLTAHPTQINRRTLQYKHLRIAHLLDYNDRPDLSPEDREMLIEDLVREITSIWQTDELRRHKPTPVDEARAGLNIVEQSLWKAVPYYLRRLSNALKKHTGRSLPLTCTPIKFGSWMGGDRDGNPNVTAKVTRDVSLLSRWMAIDLYIRELDSLQFELSMNRCSDKLYRLAHEILEKEAASEDRNEIWNQSSTKNELKNQGHQAAALPRQLPHRADLPSCTDCNDGESRYSRVEFPRTDHTSSNNQEITVPKTSASLSNGNSPTGSASLSNESSPTASASHSNSMPRNASFNSSQLLAQRKLFAEAQIGRSSFQKLLEPRLPQRPGIAPYRVVLGSVKEKLVKTRRRLELLLEDLPCEHDPSDYYETANQLLEPLLLCYESLQSCGSVVLADGRLVDLIRRVATFGMVLMKLDLRQESGRHAETLDAITTYLDMGTYSDWDEERKLEFLTRELKGKRPLVPPTIEVPSDVKEVLDTFRVAAELGSESLGAYVISMASNASDVLAVELLQKDARLAVSGELGRPCPGGTLRVVPLFETVDDLRKAGSSIRKLLSIDWYREHIIKNHNGHQEVMVGYSDSGKDAGRFTAAWELYKAQEDVVAACNEYGIKVTLFHGRGGSIGRGGGPTYLAIQSQPPGSVMGTLRSTEQGEMVQAKFGLPQTAVRQLEIYTTAVLLSTLRPPLPPREIKWRNLMEEISKISCQNYRSMVYENPEFISYFNEATPQAELGFLNIGSRPTRRKTSVGIGHLRAIPWVFAWTQTRSVLPAWLGVGAGLKGVCEKGHTEELKSMYKEWPFFQSTLDLIEMVLGKADTHIAKHYDEVLVSECRRKIGSTLRKELVETEKFVLVVSRHEKLSENNRSLRKLIESRLHYLNPLNLLQVEILKRLRCDAENNKLRDALLITINGIAAGMRNTG >KGN50417 pep chromosome:ASM407v2:5:7116271:7117478:1 gene:Csa_5G173450 transcript:KGN50417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPLMLQVAMALKFQRNTRKPRSLKIAWPDYKLKTSRPSLGTVLKLASHDRLLQFPLLTVLRILITGITKRNIGSKKELNIALASQKTCLPQEQLFIHENACNGHAKKLCNRLFTTLQAQVEHLKETTSHKHTSKLSDRGLPATILQIVRTTKLSTKKEP >KGN52349 pep chromosome:ASM407v2:5:25288932:25290269:1 gene:Csa_5G626820 transcript:KGN52349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADALSVIPAFVLRNLSDKLYEKRKNAALEVEGIVKQLASAGDHEKITAVINLLTNDFTMSPQANHRKGGLIGLAAATVGLSSDASQHLEQIVPPVLNSFSDQDSRVRYYACEALYNIAKIFDALCKLSADSDANVQSAAHLLDRLVKVLTYSPPLATSLKRREGKNSMFQAFSGSPWIERNTKEIGMF >KGN51033 pep chromosome:ASM407v2:5:14862856:14863104:1 gene:Csa_5G410740 transcript:KGN51033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESYNQRRRRCVNGDEPRTNVTVTAQPRGAHERGGRRWWTWVQTLKNGDDRRPNGTVMDNDSKVSWRRKMNSCLMVHAPRGN >KGN51758 pep chromosome:ASM407v2:5:21765474:21765870:-1 gene:Csa_5G598660 transcript:KGN51758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILVTCVALFPSVYTIVDLKGKPQELPPSVCAFTSEFLYRKGENDERSSSFIAYKKALQWFRTIPWEWVWTSMDMMCCFLVSLVPNFQWD >KGN50018 pep chromosome:ASM407v2:5:4505269:4507817:-1 gene:Csa_5G149870 transcript:KGN50018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSFNYLSGSPGPSGFGSKSTAEHVTASLPPSFTAIITGATSGIGAETARILAKRGARLILPSRNIKAAEETKARIESECSDSEIIVMSLDLSSLSSVRTFVSQFESLNLPLNLLINNAGKFSHEHAITEDGIEMTFATNYLGHFLLTKLLVNKMVETAKVTGVEGRIVNVSSSIHGWFSGDILKYLGQISRNKSRNYDATRAYALSKLANVLHTHELARRFKQMGANVTVNCVHPGIVRTRLTRDREGFITDLVFFMASKLLKTIPQAAATTCYVATNPRLRHVTGKYFVDCNESSPSKLAGSPSEAARLWSASEIMVNANSKLLFDPNNALE >KGN52083 pep chromosome:ASM407v2:5:23619333:23619974:-1 gene:Csa_5G609690 transcript:KGN52083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNRRITTSSSSSSTSAFAILILILWVSQIWVCCHCQARASRIFPPPPPPPPPHPADKSLSGEEKDNAKNDLLQKYFNGRAFDRHRHDEGFEDSKRKIPSCPDPLHN >KGN52511 pep chromosome:ASM407v2:5:26622691:26623739:1 gene:Csa_5G639470 transcript:KGN52511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTTQSFKESKGQLDQVNHKETDAISDRSNSNSSCTSDLSQDSAVSSIFQSDPPVLQSKPDVAEPLGAVQTVENQPVDSLSRIQSSSRGSSHIRLSGPLGNHDPNRIPVSIFSGRPSNPTEWSTASNESLFSIHVGNSSFSREHFNFFKSGELLMNPNASQTLSNLPPFVEPLRAESKSEIAVKTFAEPVTSSSTAHPVSPTSSQNVADHKKEVTTTMDELRGRQSVSNDSMNSSRSFQFPLLASEGATPKTTSSVSTDSGVTSQQQPSKLQSKKRQSAKHQLPELQSSSQAASPSPATNSGSGWFSCLSWCRCR >KGN50546 pep chromosome:ASM407v2:5:8053049:8054533:-1 gene:Csa_5G182020 transcript:KGN50546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRKSGGGVAKRKKGPVLQDPTVHIQSHLLLPFRLLRFLLYMLLSFPPFLSLPQSINPPIPLHYIAKRLRKWQILRTFSHSYVIMEREWLRLGLLEMMLQELCFLVL >KGN52243 pep chromosome:ASM407v2:5:24607840:24611139:-1 gene:Csa_5G622580 transcript:KGN52243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILYEDSVVITSGEKQGDPHVITVNCPDKTGLGCDLCRIILLFGLSISRGDFSTDGKWCYIVLWVVGKPTTRWPLLKKRLLEVCPSHFSTSGIRFYQQEKEIQKPPDVFLLKFWCSSHPKGLLHDVTEVLCELELTIRRVKVSTAPDGKMMDLFFITDTRELLHTRKRQEETMHHLKMILADVLMSCEIELAGPEFTACSQRSPNLPSSISEELFSLELPHGPSNRHLPSHSAVVSIDNSISRSHTVIQLLCLDHKGLMYDIMRTLKDYNIQVSYGRFHLNSKGKCDIELFTMQSDGCKIVDPNKKNALCSRLRMELTRPLRAAVVSRGPDTELLVANPVELSGRGRPLVFHDITLALKQLNMSIFSVEIGRHMIHGREWEVYRILLDEGDIVWVQQNKIEEGVRNILMGW >KGN51445 pep chromosome:ASM407v2:5:19343534:19347786:1 gene:Csa_5G548110 transcript:KGN51445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATAAASNHRVALPILCLLIALSGILLTRAELPRFAHPSKDDGSLSLLVLGDWGRNGDYNQSEVAFQMGIIGEKLEVDFVISTGDNFYDRGLKGTEDPEFEESFSKIYTAPSLQKEWYSVLGNHDYRGDVEAQLSPILKKMDNRWICLRSFIVDTEIVEFFFVDTTPFVDKYFNDPEDEIYDWKAILPRRKYLSNLLKEFDSALKDSNAKWKIVVGHHTLKSAGSHGDTQELHHQLLPILEENKVDFYLNGHDHCLQHISSTNSPLQYFTSGGGSKAWRGDINWMDPKELKFYYDGQGFMSLQINPSQANFTFFDIFGNILHQWSSTKPLLHSTI >KGN52447 pep chromosome:ASM407v2:5:26152273:26160690:-1 gene:Csa_5G635420 transcript:KGN52447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLVYASGRWGRWSHMVRLPKVSLEPSTGDLGHGPWAAIFIKQTNLLSPPTNSSALNHLYDVVFIIELELLLHRPCSDQAEIRFLFRTFGFESSKTPTMGFLRKTSQNISPKCFHLWRASSFLRASIVNSKLSPHRFALTQSFCSPSRQNLKEAGIDLTQYPPERIRNFSIIAHVDHGKSTLADRLLELTGTIKRGHGQPQYLDKLQVERERGITVKAQTATMFHKCNLVGDNTSEQPPFLINLIDTPGHVDFSYEVSRSLAACQGALLVVDAAQGVQAQTVANFYLAFESNLTVIPVINKIDQPTADPDRVKAQLKSMFDLEPTHALLTSAKTGQGLEQVLPAIIERIPPPPGKSTSPLRMLLLDSYYDEYKGVICHVAVVDGVLRKGDKISSAATGQAYEVLDVGIMHPELTSTGILLTGQVGYVVSGMRSTKEARIGDTLHQSKTVVEPLPGFKPVKHMVFSGLFPADGSDFDALNHAIERLTCNDASVSVTKETSTALGLGFRCGFLGLLHMDVFHQRLEQEYGAHVISTVPTVPYIFEYSDGSKAHVQNPAALPSNPKKRVIAGWEPTVLATIIIPSEYVGAVITLCSERRGQQLEYSFIDSQRAFMKYRLPLREIVVDFYNELKSITSGYASFDYEDSEYQEADLVKLDILLNGQPVDAMATIVHNLKAQRVGRELVDKLKKFIDRQMFEISIQAAIGSKIIARETISAMRKNVLAKCYGGDVTRKRKLLEKQKEGKKRMKRVGSVDIPQEAFNEILKVS >KGN51072 pep chromosome:ASM407v2:5:15543186:15546706:-1 gene:Csa_5G429450 transcript:KGN51072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKKTHFVNKHFLILAIFSIFHSVEPQELSPDAPAMTALKKSLNPTESLGWSDPNPCKWNHVLCSDDNRVTRIQIGRQNLQGMLPLNLQNLTALERLELQWNKISGPLPSLSGLTSLQVLLLSGNQFTSIPSDFFAGMTSLQAVEIDENPFSAWEIPASLRNASTLQNFSANSANVTGRIPEFLGGEDIPGLTNLHLAFNNLEGGLPSSFSGSQLESLWVNGQNSADKLSGSIDVLQNMTSLIEVWLHSNSFSGPLPDFSRLKDLQALSLRDNKFTGPVPSSLVNSPSLKVVNLTNNLLQGPIPLFKTGVVVDMTNDSNSFCLQDPGECDSRVNTLLSIVKFMGYPQRFAENWKGNDPCAEWIGISCRNQSITIVNFQKMGLSGMISPEFASLKGLERLVLADNHLTGSIPEELTTLPFLTELDVSNNQLSGKIPKFRSNVMMTITGNPDIGKEKTDSSSNGASPSASSNDTKEAGSNGGGNSGDGEKKPSSMVGVIVLSVVGGVFVLFLIGLVVLCVYKMKQKRFSQVQSPNAMVIHPRHSGSDNESVKITVAGSSVRVGAISETQNGASSETGDIQMVEAGNMVISIQVLKNVTNNFSEENILGQGGFGTVYKGELHDGTKIAVKRMESGVIKGKGLTEFKSEIAVLTKVRHRHLVALLGYCLDGNEKLLVYEYMPQGTLSRHLFNWPEEGLKPLEWTKRLTIALDVARGVEYLHGLAHQSFIHRDLKPSNILLGDDMRAKVADFGLVRLAPEGKGSIETRIAGTFGYLAPEYAVTGRVTTKVDVFSFGVILMELITGRKALDESQPEESMHLVTWFRRMQINKDSFHKAIDPTIDLTEETFASINTVAELAGHCCAREPYQRPDMGHAVNVLSSLVEFWKPTDQNSEDIYGIDLEMSLPQALKKWQAYEGRSQMESSSSSLLPSFDNTQTSIPTRPYGFAESFTSADGR >KGN50159 pep chromosome:ASM407v2:5:5468015:5471856:-1 gene:Csa_5G156190 transcript:KGN50159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDQALPQRTHLSSSTSSPSSSSSSSSSSSIQLNLPSSMSRASHSLETLTPSRQIDRMININHHLSPSRAIYSDRFIPSRSGSNFALFDISPVSNSHSDGREDTSTAYATLLRTALFGPDSGVIPPATPEKRSSPMCLPNHNIFRYKTETRRSMHSLSPFGFDAAAPGLNPSPVKTPRKVPRSPYKVLDAPALQDDFYLNLVDWSSHNVLAVGLGNCVYLWNACSSKVTKLCDLGIDDSVCSVGWAQRGTHLAVGTSNGKVQIWDASRCKRVRTMEGHRLRIGALAWSSSLLSSGSRDKSILQRDIRAQDDFVTKLSGHKSEVCGLKWSYDNRELASGGNDNRLFVWNQHSTQPVLKFYEHTAAVKAIAWSPHLHGLLASGGGTADRCIRFWNTTTNTHLSCMDTGSQVCNLAWSKNVNELVSTHGFSQNQIIVWRYPTMSKLATLTGHTFRVLYLAISPDGQTIVTGAGDETLRFWNVFPSPKSQNTDSEIGASFLGRTTIR >KGN52534 pep chromosome:ASM407v2:5:26791279:26793605:-1 gene:Csa_5G642160 transcript:KGN52534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGIGESSQSGSGVVDSQSLGSGVVREDNMVAEQVSPLRGGGRNTSPLGRAGSRNTSPSRQKVIKTKPRGLDEETVTTFGKAAHPDVQMEDSIWAMLPEDLLNEILARVPPFLIFRLRSVCKRWNSILQDCSFLKFHAQVPSHGPCLLTFWKNSQTPQCSVFSLPLKTWYKIPFTFLPPWAFWLVGSSGGLVCFSGLDGLTFKTLVCNPLTQKWRALPNMHHNQQRQLILVVDRTDRSFKVVATSDIYGDKSLPTEVYDSKLNRWSLHQTMPAVNLCSSKMAYCDSKLYLEALSPLGLMMYRLDTGYWEHIPARFPRSLLDGYLVAGTQKRLFLVGRIGLYSTLQSMRIWELDHAKITWVEISRMPPKYFRVLLRLSAERFECFGQDNLICFTSWNQGKSLLYDVDKKVWSWIAGCALQSCNSQVCFYEPRFDASVL >KGN49919 pep chromosome:ASM407v2:5:3827447:3828775:-1 gene:Csa_5G140450 transcript:KGN49919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKVIVKLDVSDEKSKQKAMSVVSSLSGVNSISMDMKEKKLTVTGDVDPVVIVGKLRKICHTTIVSVGPEKEEKKPEPKKDEPKKEDPKKAAEEKKKEEQKLAELIKACQQYQAYNYLYCNPPVFYPPPRCISIEEDPNACVIC >KGN50824 pep chromosome:ASM407v2:5:11571930:11576585:1 gene:Csa_5G273950 transcript:KGN50824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQPRINSTLVTVIRMAKLSKSFASGGSQKVYHFVHNQNRPDKAYTTDRAQKKGKANAASGKIFVTIPSDHFGPIPSENDPERNQGVLVGECWEDRLECRQWGAHFPHVAGIAGQSSIGAQSVVLSGGYQDDEDHGEWFLYTGSGGRDLSGNKRTSKDQSFDQKFEKSNKALQVSCAKGYPVRVVRSHKEKRSSYAPEKGLRYDGVYRIEKCWRKVGIQGFKVCRYLFVRCDNDPAPWASDEHGDRPRPLPAISELKKATDITERKEGPSWDFDVKDSRWKWAKDPPTSKKPVEAQDSTGKRTRIKIRQSHNMSVQEKLLKEFSCLICREVMSLPITTPCAHNFCKSCLEGAFVGKTFLRERKTGGRALRSQKNVLTCPCCPTDISDFLQNLQVNRELLDVIETLKSKIEEEKLCEEEEIDEEEENDEDGDENEGKGKDESNSPVETRKRAKVVDDLE >KGN50319 pep chromosome:ASM407v2:5:6483994:6484681:-1 gene:Csa_5G167110 transcript:KGN50319 gene_biotype:protein_coding transcript_biotype:protein_coding description:ERF-like protein MELPLEEDNDDEFSPLNLIRYHLLQDSSHSFSTLPDFGFKLQFQDFDYVKPSSQEEEDPPIQSPKTHPQQQSPDDGRRYRGVRRRPWGKFAAEIRDPSRKGSRVWLGTFDSDVDAARAYDSAAFKIRGRKAKLNFPLDAGKADPPPGNGRKKRRETNLNV >KGN50615 pep chromosome:ASM407v2:5:8677051:8679080:1 gene:Csa_5G196580 transcript:KGN50615 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucosyltransferase family 1 protein MEEPQTTSPHVLLFPVPAQGHINVMLKFAELLSLSSIRVTFLTTEHSYRQLTLHSDVLPRFSLFPSFQFRTISDGLPLSHPRIFAHHLTEMLHSFVSVTKPLFRDMLLSPHFSSDLTCLILDGFFSYLLDIDDDFVKVPTFCFRTSGACSTWTILSIPNLIKQGQLPIKGEDDMDRILDNVPGMENLLRCRDLPGFCRATDPNNDPILQFIMSTFIRSTKFSALIMNTFEDLEGPILSNIRTLCPNLYSIGPLHALLKTKLTHETESLNNLWEVDRSCLTWLGNQAAGSVIYVSFGSITVMGNRELMEFWHGLVNSGRSFLWVIRPDLLKGENGEIEIPAELEEGTKQRGYMVGWTPQEKVLCHEAVGGFLTHSGWNSTLESIVAGKPMICWPYGFDQLVNSRFVSNVWNLGLDMKDLCDRETVAKMVNDVMVNRKEEFVRSATEIANLARQSVNPGGSSYANFDRLIEDIKILSRQKIPVLVNN >KGN52302 pep chromosome:ASM407v2:5:24929702:24933518:-1 gene:Csa_5G623660 transcript:KGN52302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFSFKSLSWRKSKSSKSDSAHSFSSEEQRFRTENMGTLRVPETVPSPAQDCDRLKKAFDGWGTDEKALIRILGQRNAAQRKAIRETYLELYNESLIDRIHAELSGDFRKAAILWAYDPAERDARLANEALRSYKKGVRELQVLVEIACATSPHHLMAVRQAYCSLFDCSLEEDIFSTISMPFSQLLVGVVSSFRHDKEVVDSIVADSEANLLHDAIKAKQLNRSGVIWILSTRNFFQLRATFACYRQKYGNSIDQDIVKCGTSDLESLFKMAILCIDTPEKHFAKVINKAIVGLGTDEDSLTRAIVSRAEIDTMKIREAYSNMFKGKLDDDVIGDTSGDYKDMLMILLGANV >KGN51358 pep chromosome:ASM407v2:5:18421004:18423285:-1 gene:Csa_5G523040 transcript:KGN51358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMASFNLPLAPRHKTQGRFQISCRRKEKERNNFDPYKVIEITPPPKNLGIRCFPPNLQCGESVTIEGQTYTISAVTLRYQLRKGKYEPSEKRLDVLSTGRYILNLYLENLLEKS >KGN51564 pep chromosome:ASM407v2:5:20457945:20459074:-1 gene:Csa_5G579020 transcript:KGN51564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTTIQEHMLEFQMTLALAKARYLGHSSKHLDISSSNNGSLKKGNGKDWILNRLNKNEGKTDMLDDIIHALQEHVKLGGKISEKIKGKLGLGGRTLRVGGMRKMYKKLFPMNEEEKLLKVSQCYLSTTAGPLGGLLFLSTHKIAFCSAKSITVLSPPNGDNDYVRIHYKVVIPMEKVMGVNESDQKNVKTDSEKYIQIVTVDNLEFWFMGLLNNYQSIFNSLEVLVKTKL >KGN52335 pep chromosome:ASM407v2:5:25161097:25177835:-1 gene:Csa_5G624460 transcript:KGN52335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNYISLRRMLFVLPVLHSVEKSETNHEHSLALLAEETKKLLKRDSSLFIPILSQRDTQATIVSASLLHKLYGYKLKPFLDGIEHLTEDVVSVFPAANSLEEYILTLITSACEEMGAEIHIRKLALYQIESISGTLVLRWVNSQLGRILGWVERAIQQERWNPISPQQRHGSSIVEVYRIVEETVDQFFSLQVPMRLTELNCLLRGIDNAFQVYANHVIENLASKEDLIPPPPILTRYKKEAGIKAFVKKEKFDTKMSDERRSTEINVLTTPTLCVQLNTLYYAISQLNKLEDSIWDRWTSKISKKNQKSMEEESKSGAKKKESFDGSRKDINIATDRICEFTGTKIVFWDLREPFIDGLYKPSVFHSRLEALIEPLDTELSKLCDIIVEPLRDRIVTSLLQASLDGLLRVILDGGPLRVFSTSDSKLLEEDLEVLKEFFISGGDGLPRGVVENLVAHVRDVIKLHGFETRELIEDLRSASGGSIQSGRYKAGADSKTLLRILCHRSDSEASQFLKKQYKIPSSSV >KGN52341 pep chromosome:ASM407v2:5:25229971:25230258:-1 gene:Csa_5G626010 transcript:KGN52341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNRVRLSWIWVPQPGLGTVWWLLWNRVIQTTSRVEEQWIFSSSNSRGRFALLSLESFKGRKMRIFIPEGIRGSGWRMLAGEVSGVLPSVGLGP >KGN52433 pep chromosome:ASM407v2:5:26025469:26026339:-1 gene:Csa_5G633800 transcript:KGN52433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDSQSLHPHIVIFPFMAKGHTIPLLHLLRLLRRRFPHLSLTIFTTPANRPFISQFLSDSSISLVDLCFPQNVPGLPTGVESTDTLPSNSLHRLFCCATELMQPEFEERLQSLPVPVTFLISDMFLWWTLESASKFGIPRIIFSGMSNYCSAVFSAVMKNKALARVVCVEEMVTVSDFPWVKICRGDFDRVFWSEAEEKPTSLDVEFLMKSVHASMKSYGSIVNSFYELEPVFSDYVRNRCCQLFPSFSSNNSVDFGFRFIYEKNVFI >KGN49789 pep chromosome:ASM407v2:5:3157495:3157792:1 gene:Csa_5G128270 transcript:KGN49789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDTLYPRSCGINLACRKKIEEYMLKYLHMEESEVPQMCLDLYMEHGTTMAGLKV >KGN50079 pep chromosome:ASM407v2:5:4915859:4916071:1 gene:Csa_5G152940 transcript:KGN50079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKEQKIEGWVVNRGRVRSRFEEPAWISSGLAIETKKAQQFFSSSFIDELRSFLPFLLFFFSFNLVFDPL >KGN52162 pep chromosome:ASM407v2:5:24077380:24077568:-1 gene:Csa_5G612920 transcript:KGN52162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGNNQRGRGSLSKEQKAISNKDIAEDKVELWLSKSIVEGRGRKRIGEAYGWVLSAKYEDLS >KGN51489 pep chromosome:ASM407v2:5:19851862:19854218:-1 gene:Csa_5G569360 transcript:KGN51489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHFLGLLRIRVLRGVNLAIRDTRSSDPYVLVTMGDQRLKTRVVKNNCNPEWNDELTLSVADLRTPIGLSVYDKDTLTDHDKMGDAEIDIGPYIECLRMGLQSLPDGCVVRRLYPSRTNCLADESQCVWQKGKIVQNMILRLKNAECGEVAVQLEWINVPGAKGLPDGSTSNF >KGN50299 pep chromosome:ASM407v2:5:6369021:6373703:1 gene:Csa_5G166430 transcript:KGN50299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGISYGEVLLLIGATAALIGPKDLPLISRMAGRMAGRAIGYVQLARGQFDSMMEQTNARKVHKELQDTLAQLDAIRHEIRSISILNPGPLTQRLVDNPELKAADSGVTSNSAEEKPTVETTPVAEKTAPAASILKVATSQISNEHSRATTFARLAESPNIKNGSSASLPITTDVEKLNDEFGLPVLPVSAENTGLLPKRPEEFKGSDIMLEAVLEAEVAHNAKEFFSSHQSQMKQEQE >KGN50847 pep chromosome:ASM407v2:5:11961614:11962795:-1 gene:Csa_5G289090 transcript:KGN50847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRVMASDVGNVFYSVFRMPWLWSEEAISNGFCVSILEAIVDTWVSQYLGVWLTVAIETIYISVQALIYCLLLYSMIGFEWKLGKFLLFYYLFLMCFIYFTFFGMMVVAMTPNHHIASVFVLFFISLWNLFAGFLIPRPLIPIWWRWYYWASHVAWTIYGLVASQVGDKDVEIEIPGFGNAALRMVLKQRFGYNYDFIPAVVAAHVVWVLIFFFVFACGIKFFNFQRR >KGN51256 pep chromosome:ASM407v2:5:17582848:17585596:-1 gene:Csa_5G505170 transcript:KGN51256 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chalcone--flavonone isomerase MARLGGLQVETVNFPAEIKPPASANTLFLGGAGVRALEIGGNTVKFTAIGIYLEDKAVPSLAGKWSGKSAAELMDSVEFFRDVVTGGFEKFTNVTLILPLTGEQYAMKVAENCEAAWKSMGIYSDEGAEAIQKFIDIFKNENFPPGSSILFTHLPPNTLSISFSKDGSIGEKEEEMVKKIENKLLSESVLESIVGKNGVSPAARLSLASRLSHLFNLSQPNISNPFQDPKSEPNRIHLST >KGN50554 pep chromosome:ASM407v2:5:8138244:8147041:-1 gene:Csa_5G182100 transcript:KGN50554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVNPGQFGELKNELYLRRHCFEFIEETKRLGARESRSSEVNKFNFHGQSSQLQPWRFTLLDSELSLSLHFLSLNQRAHMRVSFSSSLSLCLLYCGPRPRSHFAFVAFLLSDNASLCCFSSSHAFGLGDCCQVLFIKFMESSTDDSDVDTDVSESEMDERESKSYDELKNGKRIVKLSHETFTCPYCTKKRKRDFLYKDLLQHASGVGKSPSNKRSTKEKANHLALLKYLEKDLADAVGPSKPATASNNDPVMDCNHDEKFVWPWRGIVVNIPTRRTDDGRFVGGSGSKFRDELKERGFNPSRVTPLWNYRGHSGCAIVEFNKDWPGLHNAISFERAYEADRHGKKDWLANGTTEKLGVYAWVARADDYNSNNIIGEHLRKIGDLKTISEIIQEEARKQDRLVSNLTSIIELKNKHLTEMEKRCNETSATVDSLMREIEKLLQAYNEEIKKIQLGARDHLKKIFSDHEKLKLKLESQKKEFELRGRELEKREAQNENESKYLAEEIEKYEVRNSSLQLAELEQQKADEDFMKLADDQKKQKEDLHDRIIRLEKQLDAKQALELEIERLRGTLNVMKHMEDAEDVQKAESILKDLSEKERDLEELDDLNQALIVKQRKSNDELQEARKEIINAFKDLPGRSHLRIKRMGELDTKPFHEAMKKIYNEDEADERASELCSLWAEYLKDPDWHPFKVIKVEGKDAPDGKEKEIEILDDEDEKLKGLKKDYGEEVCKAVISALVEINEYNPSGRYITSELWNYQEGKRATLREGVRFLLDKLNRSN >KGN51433 pep chromosome:ASM407v2:5:19230599:19231051:-1 gene:Csa_5G539050 transcript:KGN51433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPHLLVLLIFLFLIVLFDMSMARFSFAPQKSSIIQLHHYQIEIHNDLEMYLLDSHCFSKDNDLGLHILFPGELQDWSFQDNDFETTKFRCILEWENGLLEFDSFKTNHNFLNNFCGNLTCSWSARQDGVYLTNVKGEYVFQDYWDMLIH >KGN49748 pep chromosome:ASM407v2:5:2859979:2861634:-1 gene:Csa_5G099500 transcript:KGN49748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGSFSIASKGVIVGMFVIVLVVWEVGAAGECGKTPIESAAMGLTPCLGAVRDVKAKVTGACCSKVGAMFNSSPKCLCAILLSPLAKQAGINPGIAITIPKRCNIRNRPKGKKCGKYTLP >KGN50025 pep chromosome:ASM407v2:5:4565935:4569491:1 gene:Csa_5G150430 transcript:KGN50025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPFPLFIFIFFTTLFPSPLAVADLTTCSGIVPMKYRNDKISIADFGGIGDGRTLNTRAFRAAIYRIQHLRRRGGTLLYIPPGVYLTETFNLTSHMTLYLAKGAVIKAVQDSSNWPVIAPLPSYGRGRERPGGRYISLIHGDGVHDVVITGENGTIDGQGDAWWNMWRTGTLKYTRPSLVEFVNSYNIIISNVMFLNSPFWNIHPVYCRNVVVRYVTILAPRDSPNTDGVDPDSSNNVCIEDSYISTGDDLVAVKSGWDEYGIAYGRCSYDITIRRISGSSPFAGVAVGSEASGGVANVLAEHLNFYDMGVGINIKTNIGRGGFIKNITVSNVYMENSRKGLKIAGDAGDHPDDKFDPNALPIVKDITIKNIWGVNVQQAGSIYGLRDSPFTGICLSNINLRGATRPRSVPWTCSYVSGAASLVSPWPCSELTSTDQDGLCSDNF >KGN50097 pep chromosome:ASM407v2:5:5038681:5043078:-1 gene:Csa_5G153120 transcript:KGN50097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQETELDFDSLCAVDLSPNTVLPSIPRRSSIKNRSTRKKLKHEDFVLSVKDDFTEIKFGGGHRSRKSNSSSLIEQEDNESKCFELNVEDDFTEIRIGRDHSSHKSNSPSLVELEDDKGLKRRSKYQSSEDIGKIEGMGIQGERRKIEISREDYTSWSSGIVDSLCSSDEEKPERRDLILSLDTKLNQPSVNKACMGPRSSDSFIEIYSGLENSETVSKDPSNQLGNVTGIRPLHNGKKLFKRDKVHALQKSFSAKVEMPSNHLPVESDLRFGHSPKVHISPFRKILDPFMKSKSVRSRFSHAVEAGRDKAIKAINLERDETSSTPKSSDSDSNFSNNDNLHNVVASSPVHLHGSLKLEKKHGMPFFEFSQSSPEDVYVAKTWKTGNAFKWVYTFHSQDHHKKSNAGSFGLNHSCKNSLMVGQMQVSCYLSSELRDGGFDNSMVTEFVLYDAARARQSTASQGSCDSIHDAVKPPKSSDTGLVGEPFSVNDGTPLEKFKFQRKHASENCDHGSIDSCPWDSADLHPDLESAAIVMQIPFSKRESLKYKRGDKTSGKLNSAIQNLSKIEQRKEEPPHHTTQETLKVVIPTGNHGLPTVESQGPSTLLDRWRLGGGCDCGGWDMGCPLLILGTHSSHCAENQAHKGKQTFHLFHQGAKDTTPALTMNIVKDGQYSVDFHARLSTLQAFSICVAILHATEACNAVQVEETKELQQCNSLKVLLEEEVKFLIDAVAMEEKKRETRMLKETPSSYLFNPPFSPIARV >KGN52122 pep chromosome:ASM407v2:5:23839159:23845327:1 gene:Csa_5G611040 transcript:KGN52122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELIGPRRVNKKNHLIQENGDSLLSNADDLDPWTAWAYKPHTVSLLLLGACFLITMAFDIYSFGLDSNTLDRKNKGRALETLFPSACWASGALDPECAASSDLVSSVKRGVWAMIAVFLAYCLLQAPSTILIRPHPAVWRLVHGLAVIYLVALTFLLFQKRDDARAFMKFLHPDLGLELPERSYGTDCRIVIPENPTSRFKNVYATLFDEFVPAHIIGWWGKAILIRNQPLLWVLSIGFELMELTFRHMLPNFNECWWDSIILDILICNWFGIWAGMRTVRYFDGKTYKWVGLSRQPNIIGKVKRTLGQFTPAQWDKDEWHPLLGPWRFIQVLALCIVFLTVELNTFFLKYCLWVPPRNPVIVYRLVLWWLIAIPTMREYNVYLQDRKPVKKIGAFCWLSLAICVVELLICVKFGHGLYPKPMPSWLVQFWISVGVSLVLFLLIWSWQLHQLVARKKWK >KGN49741 pep chromosome:ASM407v2:5:2787381:2787660:1 gene:Csa_5G097960 transcript:KGN49741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGFVRTRFSEEETATIRTFQTLFGTKIVDFVIVLFTGGDELKDKDETLEDYLGRECPVGLKDIIAASKNRYLVFNNKT >KGN49808 pep chromosome:ASM407v2:5:3274170:3274844:-1 gene:Csa_5G137410 transcript:KGN49808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSHQTSLDMSAFSSFIRTISQRNYGLGCCCTPSNCLPVFIRMQQSPQATADSSQKLGKIILSLSFQAVLALFISSPPTSPPPLLIHFFAAAVFISFAVSFAALFLHNSFPRTAHLFEKVGALFSAFGVCFIASFLLVHQNFAWICWVACTFSIIVFALSFK >KGN50351 pep chromosome:ASM407v2:5:6665098:6666124:-1 gene:Csa_5G168880 transcript:KGN50351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKKQFSRIVAALLCLLFLLASTATAKRHLLQSPPDVGNLPPSYKDIPWNSKGPYGG >KGN49639 pep chromosome:ASM407v2:5:1270338:1271010:-1 gene:Csa_5G037437 transcript:KGN49639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLGGKLVSELPVNASAEKCYKIFKDNCKHMPNITPKFIQQVDVHDGDWDTHGHGSIKIWNYFADGKPEVLKEQVEFDDVNRKVTLIGLEGSAFKYYKKFIPIYQFVPKGDDPNHCLAILTIEYEKLNHSSPYPYKYIEIMNGMTKDMESHLK >KGN51576 pep chromosome:ASM407v2:5:20546781:20550773:1 gene:Csa_5G580610 transcript:KGN51576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANIDIEGILKELPNDGRIPKTKIVCTLGPASRSVTMIEKLLRAGMNVARFNFSHGTHEYHQETLNNLRTAMQNTQILCAVMLDTKGPEIRTGFLKDGKPIQLKEGQEVIITTDYSIKGDEEMISMSYQKLAVDLKPGNNILCSDGTITLTVLSCDPEAGRVVCRCENTAMLGERKNVNLPGIVVDLPTLTEKDKEDILGWGVPNSIDMIALSFVRKGSDLVTVRKLLGPHAKHIKLMSKVENQEGVINFDEILRETDAFMVARGDLGMEIPVEKIFLAQKMMIYKCNLAGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGESAAGSYPEIAVKIMARICIEAESSLDYGVVFKEMIRATPLPMSPLESLASSAVRTANKAKAKLIVVLTRGGTTAKLVAKYRPAVPILSVVVPVLTTDSFDWTCSDESPARHSLIHRGLIPILAEGSAKATDAESTEVILEAALKSAMGKGLCKPGDAIVALHRIGAASVIKICIVK >KGN49839 pep chromosome:ASM407v2:5:3427279:3427539:1 gene:Csa_5G139175 transcript:KGN49839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQSTCLSYEKLNGMATWVGTSVASAFFASLERCSCINLSTSDDHEDPEEAHDRPLMYCTSTSSSVIRSFEPLPPPVNDVANLPV >KGN51038 pep chromosome:ASM407v2:5:14955219:14955668:-1 gene:Csa_5G413260 transcript:KGN51038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVTLPTSSLIKPPTLRRSNALVQRPSSLCSFRSVSRSFGLKSSQFKVSAMASYKVKLIGPDGEENEFDAPDDAYILDSAENAGLDLPYSCRAGACSTCAGQVVSGTVDQTDGSFLDDKQMEKGYVLTCVAYPQSDCVIHTHKEGDLY >KGN51771 pep chromosome:ASM407v2:5:21850051:21850215:1 gene:Csa_5G598790 transcript:KGN51771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEIAAWCLNENPVGRPEMREIVALLSQLMTSAVEWEASLGGNSQVFSGLFTGR >KGN52423 pep chromosome:ASM407v2:5:25965901:25970514:-1 gene:Csa_5G633210 transcript:KGN52423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDERQKMMALKTAYADIILNTVKEAAARVMVSERKVLCLQQDLSSVKDEALRMLLRLKNMIDSKMHEAEITSLCQRRKVEELEAKLHKAEDVITDLRMQLKEAKNQLEKEKKDKMQPMEGKIMNKITFSSRSTLEPDSSRPSSPELQTVSSNLNSTKMEQIAQAMYNSVPRSIDHLSASHVDIIHSHDSDSTSTVLRIKEHRLSSKRCPQRIRSLERNFLDYGLPLGIDVKDSQVLEGKEPLVKRRNKEERGLSIRTGKTDIKKNCHGAVLKRSVKLHSLRNTSQFGKCKTGTCRLHASQLTKPHHPSCIISICKPQLKDGDVRSDKSEYRPPLMVGSGKVTLSSRSPEEHKIVSYKDASWDSIQEQPKGNMKTGNLNGHSPDQPINPCDKSFVLSPCSTSINLVNDNRKSGEDHFNITKHQRKMKKLTCLDPELASTESYIDSLSVLPSVTASVKVNKSKVLENAAHSKKDLTALTVKQDSGGIRNLIFPSSKSNSEMNPDTKCEQICEVTNYSPCQVDKKMILRCTRQRKRKREAIDISDENISPGKSNAKSLREKLKFEPEFERSNLIGESTRESRQLSQVARQLVSLSRKRWE >KGN49977 pep chromosome:ASM407v2:5:4230649:4232074:-1 gene:Csa_5G148480 transcript:KGN49977 gene_biotype:protein_coding transcript_biotype:protein_coding description:Electron transporter MGCASSHLLNHDDDFPQLGSSALTHHFVSLTSTTYGLLNLDPPSAAAPPPLPIPAKSLRSDPEVINSWELMDGLDADSFRFSLLSQSKQFALKNDIDSNKENSNPNFPTQIVESSVLRPSSRPAAAAAAAPIAIPSPVKHLLDRYETLCPPSGENRVVVYTTTLRGIRKTFEECNAVRAAIEGAGVQICERDVSMDRGFREELKELMKGRGQEAMVPPRVFIRGKYIGDGEKVLKMVEEGVLGELLEGLPKIKAGSVCEGCGNARFLPCFQCNGSCKIVMGVKKEGHHRHGSKGIVVKCPDCNENGLVLCPICS >KGN51691 pep chromosome:ASM407v2:5:21321709:21325596:1 gene:Csa_5G590080 transcript:KGN51691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFTVSPSNHFHLQRHFESSGRLFFSISGAGSKFRVQKARVSRCDSLVGGNGSSRRVIRGQNKTVETAASPSEKRGGKESRISSASASGGIDVRATIKIRKKMKEKLTEKVEDQWEYFVNGIGQGISIRLISEEIDPETNSGRSIESCVRGWLPKPHNGVHAMEYAANFTVPRDFGNPGAVLITNLHGKEFYLLEVIIHGFDDGPIFFPANTWIHSRKDNPDSRIIFKNHFLSTFEPYPLTESRIEKPHPVYVPRDETFEEIKQNTFSAGRLKALVHNLVPSIAATLSKSDIPFKCFSDIDKLYIDGVVLNDENHLEYSQKSFLDNIMKQVVNAGQTLLKYEIPAVIKSDRFSWLRDHEFARQTLAGVNPVNIECLKEFPIRSKLDPNVYGSPESAITKEVIEKELLNGMSVEQAMEENRLFILDYHDILLPFIKKINALPGRKVYASRTVFLHSQTGVWQWRILQCQVV >KGN52018 pep chromosome:ASM407v2:5:23215550:23220786:1 gene:Csa_5G608060 transcript:KGN52018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYIGAHGVAALRRYKYSGEDHSFVAKYVLQPFWSRFVNVFPLWMPPNMITFAGFIFLVTSALLGYVCSPHLDSAPPRWVHFAHGLLLFLYQTFDAVDGKQARRTNSSSPLGELFDHGCDALACAFESLAFGSTAMCGRNTFWLWVIAAIPFYGATWEHYFTNSLILPTINGPTEGLMLIYLSHFFTAFIGAEWWAQPFGKSLPFLSWIPLLQDVPMNSAVMLLMTAFGVIPTVAFNVFNVYKVVRARKGSMLLALAMLCPFAVLLGGVLMWDYLSPSDLIKNYPHLVITGSGLAFGFLVGRMILAHLCDEPKGLKTGMCMSLFYLPFAIANALMARLGGGFPVFGEGFVLIGYVIYTGALYLHFATSVIEEMKNSLGIHCFRIMKKES >KGN52701 pep chromosome:ASM407v2:5:27831672:27832514:1 gene:Csa_5G650624 transcript:KGN52701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSQSSRSLQFLFFIFSFIFSHSLSVLAKENSSAKDGGKTKKDDARRSPSMGIKILIICLGVVTVIIFSVILFKIWQKKKREEQHARLLKLFEDDDELEVELGLRD >KGN51523 pep chromosome:ASM407v2:5:20091744:20098037:-1 gene:Csa_5G576650 transcript:KGN51523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMEPDGPRRARKRNYLVQENGDYNSLSMGEDLDPWTAWAYKPRTISLLLIGACFLIWASGALDPQNAAHEDSAISVKRGVWAMISVFLAYCLLQAPSTILIRPHPAIWRLVHGMAVIYLIALTFLLFQSRDDARQFMKFLHPDLGVELPERSYGADCRLYLPENSKSRFKNVYETLFDEFVPAHIIGWWGKAIMIRNQALLWVLSIGFEMMELTFRHMLPNFNECWWDSIVLDILICNWFGIWAGMRTVRYFDGKTYKWVGLSRQPNIIGKVKRTLGQFTPAQWDKDEWHPLLGPWRFIQVISLCIVFLTVELNTFFLKFSLWVPPRNPVIIYRLILWWLIAIPTIREYNSYLQDRKPVKKVGAFCWLSLAICIIELLICIKFGHGLYPKPMPLWLVIFWISVGGAVVLFLLIWSWQSHQRLARKKQ >KGN52002 pep chromosome:ASM407v2:5:23104764:23106700:-1 gene:Csa_5G606920 transcript:KGN52002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKATVRRHILERQACPKEKDRTSQNILSKHLKKIYPIGLQRTTSSLSLSSMSLSLSQNSNDSSLTDSSIQLDQKISYAIRLITPPPERREVPLPKSIQQQSQELSDGELRRCNWITHTSDKAYVSFHDECWGVPVYDDNRLFELLALSGMLMDYNWTEIVKRRELFREAFAGFEPSVVANMGEKEITDVASDKAIMLVESRVRCIVDNAKCILKIARDFGSFSNYMWSYVNFKPTINRFRHPRNVPLRSPKAEAISKDMVKRGFRFVGPVIVYSFMQAAGLTIDHLIDCFRHGECVNLAERPWRHI >KGN52667 pep chromosome:ASM407v2:5:27629590:27631685:1 gene:Csa_5G649850 transcript:KGN52667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHVSFYRNYGKTFKKPRRPYEKERLDAELKLVGEYGLRCKRELWRVQYALSRIRNNARMLLTLDEKNPRRIFEGEALLRRMNRYGLLDESQNKLDYVLALTVENFLERRLQTLVFKSGMAKSIHHARVLIRQKHIRVGRQVVNIPSFMVRVDSQKHIDFSLTSPFGGGRPGRVKRKNQKAAAKKAAGGDGDEEDED >KGN50437 pep chromosome:ASM407v2:5:7261387:7266397:1 gene:Csa_5G174630 transcript:KGN50437 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multicopper oxidase MASISLTTSLFCLIFTAIFPCFTFAGDPYVFYDFRISYITASPLGIPQQVIAVNEKFPGPFINATTNNNVAVNVWNHLDEDLLLTWPGIQMRRNSWQDGLLGNNCPIPPKWNWTYQFQVKDQIGSFFYFPSLNFQRASGGFGPFILNNREVIPIPFPQPDGDIIMLIGDWYTQNHKALRATLDAGKDLGIPDGVLINGKGPYQYNSTLVRSGIEYESVPVDPGKTYRFRVHHVGISTSLNFRIQNHNLLLVETEGHYTVQQNFTDFDIHVGQSYSFLVTMDQNASSDYYIVASARFVNGSTWERVTGVAILHYSNSKGPATGPLPDPPNDTYDKDRSMNQARSVRQNVTASGARPNPQGSFHYGQITVTQTYLLESAPLVTINGSPRATLNGISFVNPDTPIRLADQNNVKGAYKLDFPDRPFNRRPHTDRSVLNATYKGFIEVVFQNNDTTMQSFHVNGYSFFVAGMGYGDWSEDKRGSYNKWDAISRCTTQVYPGSWTAILISLDNVGTWNIRAENLDRWYLGQETYMKIINPEENGETEMAPPSNVLYCGALRSLQTQNRHSSGGPTFTGTWRFGNILLMALLGFILIFY >KGN52413 pep chromosome:ASM407v2:5:25877434:25878509:-1 gene:Csa_5G632120 transcript:KGN52413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEELSFFTFTLLLLTFFPLISSSQSNHSHSHGKASSQFVFLNQLYGSQKGDKVEGIHQLKKYLHQFGYLNEDAQIHSENNDDEFDELLETAIKTYQKNYNLKVTGTLDAMTIAQMSKPRCGVADIIHGNTWMRSSKKRKQLEHGIVGHFHSVSHFAFFDGNPKWPATKSHLTYGFLPGTPSETVSPVARAFATWAANSHFTFSQALDNQTSDITIGFETGDHGDGYPFDGVGGVVAHAFSPSDGRFHLDADESWANGVVSDSFDLETVALHEIGHLLGLQHSSTEGAIMWPQVPVGASKGLHVDDIAGIKALYNNIL >KGN49737 pep chromosome:ASM407v2:5:2736374:2737303:1 gene:Csa_5G092950 transcript:KGN49737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELHRVLKAICYCVFPYIPHHCSSNLVLIIAQEPPPFIKLQPPTLKSCLELRGISQQLSMSRQVGRKGGKICRTESKSMNNLMIPCYMTFMFPPKLKKKTMMLGISGTPLFIDLFTRSWVAMEECRILTWEKEKNKPSALSCRDYNEYCKFLHNHKHNMGGCVTLKDQIEERIARRSFASQDDKIEPVDRLHRRKRHRSTSICTTMPR >KGN50778 pep chromosome:ASM407v2:5:10723119:10723688:-1 gene:Csa_5G257700 transcript:KGN50778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEDLHFSDLIGQIDCNFFDEFPEVEFPVVQDSASPDSISSWINHIENALLNDDEDNAPSLPTPSHDFCDSFLADLLVDSHEQPSVIDFDSNASDCGNDLTNSQKEDVHKVSPAAPTDDCCGSFVADVLADAHGRSSGVDAVVDVLSNASNCGDDSNNSQKEKVDAASIDESVGEDDDAVSKKRRRYCY >KGN50205 pep chromosome:ASM407v2:5:5772537:5773616:-1 gene:Csa_5G159100 transcript:KGN50205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTLSIPFLFSFWSLDFNKHSQISTALLFFFLFIFALLWLQSKFQHPPLPPGPRGLPLLGYLPFLSTNLHHTFNNLAKIREVRKIIKGVLESAGTPIDISKLSLMAAVKSVMAMTWGGSRGLIGVDGADLEAKFMEVVNELMVLLGTPNLSDLFPVLGGLDLQGIGRKMKKVMNVFDGIFNSAIEEQRKRGGDGMENRGFLQLLLEVMEGEDSSESITDKELKALLVVCFPNYLSFHCHSNMLFFTLK >KGN51092 pep chromosome:ASM407v2:5:15807009:15811713:-1 gene:Csa_5G435070 transcript:KGN51092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKELGCIVRAWEITFRKSQTLVKKKTKSIFSTMSVAPTDDEPGPTAQTYHAEKILSNGDFYAGRWLKDLPNGHGKYLWTDGCMYVGEWSKGKPMGTGKFSWPSGATYEGDFKSGFMDGKGTFTGSSGDTYRGIWVMNLKHGQGIKSFANGDYYDGEWRRGLQNGHGRYQWRNGNHYIGKWRNGKINGNGTMIWSNGKRYDGYWEDGFPKGNGTFRWSDGSFYVGIWSKNPKEHSGTYYPSGSTDNNLDWDPQEVFDVDLNECMIYPCEKVSIYPSDKVVNQSGSEGECLQRDSIDSHSLESDVDGAKDGSVRNELEGSMDIQVQESDSKGNGQQQTRVQLKGQGVTISKGHKNYDLMLNLQLGVRHSVGRPAPASSFDLKASAFDPKEKIWTKFPPEGSKYTPPHQSCDFKWKDYCPVVFRTLRKLFNVDPADYMISICGNDALRELSSPGKSGSFFYLTHDDRYMIKTMRKAEVKVLIRMLPAYYKHVQGFKNTLVTKFYGLHCVKLTGTTQKKVRFVIMGNLFCSEHAIHKRFDLKGSSLGRTTDKPESEIDATTTLKDLDLNYIFRLQKIWFDEFCRQVDKDCDFLEQERIMDYSMLVGLHFRETSQRERGMPNGHHSGNCTPIGNGNHYGEPHSCLTRMDSSRWASTSLGINMEAKVEKTMRRSDWEGQLIGEPTGERYDVVLFFGIIDILQDYDISKKLEHAYKSMQYDPTSISAVDPKQYSKRFRDFVFKVFVEDT >KGN50682 pep chromosome:ASM407v2:5:9394405:9395219:-1 gene:Csa_5G211550 transcript:KGN50682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPFEDHYSGVGSQSFGSSMEKLMTGQVNGGAEIPLEELGPNIMDNVMEMAERNQMGTPGNSGFGVRSVSSSASEHDVLSKNSGTDSLRFNKKRSYSSSKRSSGGLEPVAEENPWSHHSDPNFKLARLSENDPDLLEETALTQTQLTQAAIKYPPADKITDSIRM >KGN50836 pep chromosome:ASM407v2:5:11780027:11784236:1 gene:Csa_5G284520 transcript:KGN50836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITGKDLYTVLTAVIPLYVAMILAYGSVRWWRIFSPDQCSGINRFVAIFAVPLLSFHFISTNDPYAMNFRFIAADTLQKIIMLVALTIWANFTKNGSLESMITIFSLSTLPNTLVMGIPLLQAMYGGNSGSLMVQVVVMQCIIWYTLLLFLFEYRGAKILIMEQFPETAASIVSFKVDSDVVSLDGRDFLETDAEIGNDGKLHVTVRKSNASRRSLGPCSLPALTPRPSNLTGAEIYSLSSSRNPTPRGSNFNHTDFYSLMGYQGRHSNFGQPELYSVQSSRGPTPRPSNFEESSGIPTANSPRFGFYPAPNPEFTKSGRTQPPQQQQPPLQNGGPTSKASHDAKELHMFVWSSSASPVSETDGLHVFNGTDFGASEQIGRSSDQNAKEIRMFIADHPQNGERKVGESEGKFRGEELNFQDVDNEKEEQVPIGLHKLGSSTATTAAATATAAAPESGAAKQMPPTSVMTRLILIMVWRKLIRNPNTYSSLIGLAWSLIAFRWHVSMPKIIAQSISILSDAGLGMAMFSLGIFMALQPKLIACGNSIAAFAMAVRFLTGPAVMAAASVAIGLHGNLLRVAIVQAALPQGIVPFVFAKEYNVHPAILNTAVIFGMLIALPITLVYYILLGL >KGN49785 pep chromosome:ASM407v2:5:3134832:3136079:-1 gene:Csa_5G128230 transcript:KGN49785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKNPKSSSHPVAPLQSKPNQDISYEGERLDELLLSIQREIKTTRDLNAESLPEKFWLKKQFAIGVNEVTRVLERMTPCGDSARLMNPNYKTCTVKLQVIYSAIYVGQCYLGFRLTITCVLGDYAI >KGN51198 pep chromosome:ASM407v2:5:17078847:17095250:1 gene:Csa_5G488740 transcript:KGN51198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKYEFPRLPRADIVLLLADNQIAAVTERDLLQPSPDLVSDLYTHLMIYLDLLHEEDQGQIEFAALDQLENPDLHMVSVPTMKLHNKIKHFIASLDCPKKFTLKDLIKPETDRTEIFLSAILNFGIHKDAKMNFHAPVMNELDTFADQQREWEVKTSQLNAEITEYNEAREREMPFVQEIDAKVKELHQTIGGLNNQQVSLRASIRKLKEKAGEMDEKISNAEFLLVQSVQENANLRSKIVQSPDKLQRALEEKKLAREEAKNAEKLAMQACQEKTGIVEVYSKVSKKMLKHLSLMQTIHEQVNSAKSVEREFKALKVKLSDEEVQDKSLQVKLVELQAKVHQLKDLVRQTEKERDVTCEEATKDLIAVRSQVESLRHDLEQRQRNVEAVFMEVDVLTSKTNSIRQSSVLKQQELLNKCESIIKEFHQYTNLVGALMEVKTKEEEE >KGN49596 pep chromosome:ASM407v2:5:697225:699179:1 gene:Csa_5G021290 transcript:KGN49596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNILFNGFAKVYMKNEAFMYFGLMWKYCLPSIVTYGTFVDMFCKMGDMKMGNRMFLDMMKVGIVPNLVVFSSLIDGYCKAGSLDVAFEYFERMKECSVRPNEFTYSTLIDGCSKHGMLARADSLFEKMLSASILPNCTVYTSIIDGHFKKGNVDDAIKYINQMFDRDIKLDLTAYTVIISGFHRVGRFDKSMEAAEYVAKNGLLPDRIILTAIMDVHFKAGNIKEALNAYKILLAKGFEADVVTLSALMDGLSKHGYLQEARRYLVKENANEILYTVFIDALCKEGNLDDAEKMIKEMSEAGFVPDKFVYTSWIAELCKQGNLLKAFMVKKRMVQEHVEPDLLTYSSLIGGLAEKGLMIEAKQVFDDMLNKGITPDFVSYDILIRGYHNQGNGAAISGLHDEMRKRGIIVED >KGN51307 pep chromosome:ASM407v2:5:18066431:18067189:-1 gene:Csa_5G517070 transcript:KGN51307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQLCTPNQLKHELELKKYLKPYTCDGCKEIGFGPRYRCEKCDFDLHKACMFPQDSPVFHEFFTRSAFKFSKTPPRACHDECKRICDACTKPINGFMYHCEKDDLDLHPCCRNLNRNYQIEDVVFNLYKEVQGKCMWCKKRTIKRHGQSNGWSYISECGEYHVHVACVTEMALEEWYKNGGDWMNANNANQDQQLTLQKVNLKAIKARGRGNGGKGNKYWRILKVFIKTIVSIVLGDPTTVLASLFVELIA >KGN52528 pep chromosome:ASM407v2:5:26732207:26734906:1 gene:Csa_5G641610 transcript:KGN52528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEGTPRKAYPFGIHIRKRRILLYLPHKTKGKEEQEWRKGPWTSDEDKLLCEYVKVHGEGRWNSVAKGSGLNRTGKSCRLRWVNYLRPGLKRCHLTPQEEGIIIELHALWGNKWSTIARYLPGRTDNEIKNYWRTHFKKMKPKRKSKIMVGKTDNKTMPPVTRCEEHVMELMKPYDSDNNDLSMVFTEPTAMEDHEQTYYLSMVYQDLTNWAAEKKEESLWEWPLGGFRE >KGN52384 pep chromosome:ASM407v2:5:25723468:25729848:1 gene:Csa_5G630860 transcript:KGN52384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPQDARELRGHLVTMVEGNETLKDSQMAYEEALDALSSLIVNRRRCDKSGDQFELMFEYVKMLDLEESMSQMKVIHVAGTKGKGSTCTFTESILRNCGFRTGLFTSPHLIDIRERFRLDGIDISEEKFLTYFWCCYNRLKERTSEDIPMPHLFRFLALLAFKIFSDEQVDVAILEVGLGGTFDATNVVQAPIVCGIASLGYDHMELLGNTLGEIASEKAGIFKRGAPAFTVFQPDEAMRVLKDKASQLDVQLTVVPSLDPELLHGRKLGLEGEHQYLNAGLAVALSSTWLHRSGHSELSYVEQSRSLPEQFIEGLTTASFEGRAQIVPDRYTDVECPDELVFYLDGAHSPESMEACGRWFSAAVRHNQLGNLNHQSEDNGSGTSSNKLQGYDNDEARKKSVQVLLFNCMAVRDPQLLLPPLIKTCANNGVNFKKALFVPNTSVFNKVGTHGLPETDEQVDLSWQFHVRRIWEDTKQCDKGSDSKGTGAVLEEESEKSEVGGKNCGNSTVFSSLPLAIKWLRDSVLQQNRSVRLQVLVTGSLHLVGDVLKLIK >KGN51029 pep chromosome:ASM407v2:5:14820036:14821192:-1 gene:Csa_5G409710 transcript:KGN51029 gene_biotype:protein_coding transcript_biotype:protein_coding description:Microsomal glutathione s-transferase MAAIQLLPSQYGYVVLVLVLYTFLNFWMAGQVGRARKKYKVFYPNLYALESDNKDAKLFNCVQRGHQNSLEMMPLFFMLMILGGIGHPCLTASFGVLYVVCRFFYFKGYATGVPEKRLTIGKFSFLALLGLMVCTISFGVKLLRH >KGN49641 pep chromosome:ASM407v2:5:1332937:1333542:-1 gene:Csa_5G037875 transcript:KGN49641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVGELVNETEVNANANKFFHFFKHEIFHTPNISSNLIQQVKLHEGDWDVHGHGSIKIWNYTIDGKPEVFKERVEFDDKKLVMKLVGLEGNVFKHYKTFNIIYQIVPKKPQHSLVVMNLKYEKLDDGSPAPYKYVEFLENLIKDIESHLK >KGN51119 pep chromosome:ASM407v2:5:16124758:16127703:1 gene:Csa_5G456720 transcript:KGN51119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGSPYFDDLRSKPEVIDPPQNEDMMDIRETVDHPIQNELKPNVAVSSSVRELLECPVCLNAMYPPIHQCSNGHTLCSGCKPRVHNRCPTCRHELGNIRCLALEKVAASLELPCKYHTYGCIGIYPYYSKVKHESQCVYRPYSCPYAGSECSIVGDIPYLVAHLKDDHKVDMHSGSTFNHRYVKSNPQEVENATWMLTVFSCFGQYFCLHFEAFQLGMAPVYIAFLRFMGDDNDAKNYSYSLEVGGNGRKMVWQGVPRSIRDSHRKVRDSFDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQ >KGN51632 pep chromosome:ASM407v2:5:20948191:20957507:1 gene:Csa_5G586540 transcript:KGN51632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSLSDSFNPPPPYHLLFTSLSLIPLSHFLSAFLLLFLLFLYHFFEIHFLHDFLRAFRGDRVSITASDPDFYQSVVSKCRILHGRFSSTPWLCSPHLQTIFLSIVGRSPPVSYKRQLFRVPDGGTIALDWLRSSDVECDGFGVNVSTSDYEKTPTVIVIPGLTSDSSASYIKHLAFRIAKRGWNVVVSNHRGLGGISLTSERVYNAAWTEDIRRVVDHLHSQHPEAPLFVVGTSIGANVLVKYLGEEGANVPISGAAAVCSPWDLLICDRFINRRLVQSFYNKALANGLQDFALLHQSNLSRLTEWESIKKSRSVRDFDNYATRVLANFETVDAYYRHATSSNYVGNVGVPLLCISALDDPLCTKEAIPWDECRANRNVVLATTPHGGHLAFYEGIAANTLWWARAVDEFLGVLHSSPYIGMIKKKQKTTTLTPSFSIDQGPYVSVLEDGMVTAVGDEQTDDTMQEQDAPNQETANVTTNDEVILDSVEADEHVTKNEQPERYEVKDPNPTQATTFVRKCTHCLARRSSKSFWLLAYIAIVTTWPMVRPALSLLFKKRSRNPAPGGPRIR >KGN52497 pep chromosome:ASM407v2:5:26550890:26553441:1 gene:Csa_5G638360 transcript:KGN52497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAAIAVSFPKSAILWQNPFSRKDPVPNFLGRSFKGFTKSRTKLHPLGLIVASSTSSSSSDGSVSERFYFNFTGFPFPLGPFLNRRTIRTEAVKGSIWLFEQEQALGFSSVSTNIRMTVIKLKSGGLWVHAPIAPTKECIQLLKELDAPVEYIILPTFAYEHKIFVGPFSRKFPRAQIWVAPRQWSWPLNLPLEFLGIFRAKTLKDEDLSAPWADEIEQKVLSSPEVGIGPYVEVAFYHKRSRTLLVTDAVIFVPRQPPECISKESLLASAKNGLAVKLLSKGKEVPEEQVVDNKNNRQKGWERMVLQILFLGPSNLLEPKASFAQMSQKLIVSPIVKTLVFSKVPEKVRDWIDRIVRDWKFKRIIPAHFAAPVNASTSDFLTAFGFLDDLLGERYVNRPSLSLLFASLMGKAASYFPPDDMKTLSSLDQFLVSVGAVKKTVSGRKR >KGN51254 pep chromosome:ASM407v2:5:17578068:17580720:1 gene:Csa_5G505150 transcript:KGN51254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVENMSPARDTVAFFNDMELHDRPRSFAGLSPTLGQLLKRVGDVRREANGDGNETPVHQVLDINGASLEPRSLPFMLSFNNLTYSVKVRRKISFSSVFHHRGNRLGGSPVDDTVVGDSLFTKTKTLLNNISGEAREGEIMAVLGASGSGKSTLIDALANRIAKGSLKGTVTLNGEVLESRLLKVISAYVMQDDLLFPMLTVEETLMFSAEFRLPRTLSKSKKKLRVQALIDQLGLRNAAKTVIGDEGHRGVSGGERRRVSIGIDIIHDPIILFLDEPTSGLDSTSAFMVVKVLQRIAQSGSIVVMSVHQPSYRILGLLDRLLFLSRGQTVYSGSPANLPLYFSEFGHPIPENENRTEFALDRIRELEGSPGGTKSLVEFHKSWQSMKNIPKSESDHQNMSLKEAISASISRGKLVSGATNNDASPNSMVPTFANPFWIEMAVLSKRSILNSRRMPELFGIRLGAVLVTGFILATMFWQLDNSPKGVQERLGFFAFAMSTTFYTCADALPVFLQERYIFMRETAYNAYRRSSYVLSHSLVALPALIFLSLAFAATTFWAVGLDGGISGFLFYFLIILAAFWAGSSFVTFLSGVVPHVMLGYTIVVAILAYFLLFSGFFITRDRIPGYWIWFHYVSLVKYPYEAVLQNEFENPTKCFVRGVQIFDNTPLGMVPGAMKLKLLENLSKTLGMRITQSTCLTTGADILVQQGVMDLSKWNCLLVTVAWGFLFRILFYFSLLIGSKNKRR >KGN52570 pep chromosome:ASM407v2:5:27007100:27007573:1 gene:Csa_5G643980 transcript:KGN52570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQRIRGVSEKEVEMEFRDIVAASMADKAVKTSMEEFEPLVLETMLLFFYLLLLVVLMLLQLRYLFMPLMAVFIAWKFGVSGEITYLPKWHAGVVVLWCCSSAFIFKPLHGLGDLWDG >KGN52526 pep chromosome:ASM407v2:5:26718684:26722145:1 gene:Csa_5G641590 transcript:KGN52526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAMVIIALFLLLLFCSALLRWNEVRYSKKGLPPGTMGWPIFGETTEFLKQGPNFMKSQRARYGSIFKSHILGCPTIVSMDPEVNRYVLMNESKGLVPGYPQSMLDILGKCNIAAVHGSAHKLMRGALLAIVSPTMIKDRLLPNIDEFMRSHLSNWDNQIIDIQEKTKEMALRSSMKQIAGFESGPLSESFTPEFFKLVLGTLSLPIDLPGTNYRRGIQARKNIIKLLEQLIKERRDSKIQKNDMLGYFMSEENKYKLNDEEIIDQIITVLYSGYETVSTTSMMAVKFLHDHPKVLQQLREEHLAIREKKKNPEDPIDWDDLKAMKFTRAVIFETSRLATIVNGVLRKTTKDMELNGFLIPKGWRIYVYTREINYDPFLYSEPFTFNPWRWLDNNLESNNYFFIFGGGTRLCPGKELGIAEISTFLHYFVTKYRWEEVGGDKLLKFPRVEAPSGFHIRVSSY >KGN50863 pep chromosome:ASM407v2:5:12256526:12259756:1 gene:Csa_5G292200 transcript:KGN50863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVLMIKISTCVVGKIETSTNELVKYQSFQLEIHDHSIRANEVICNSRHNFLCEKHNSFINFSNLFIPCLFPHSSKAETSLMVLNTMELLEQSAEAYNFGFVMRNESRVNRSMFVFQFPLPSLVEPRHKDPLKILHFLWHAFTRPVEVYRISTWTSGGPFIATSYDYDAPIDEYDGHVSFDGVRIN >KGN49708 pep chromosome:ASM407v2:5:2349459:2350273:-1 gene:Csa_5G077760 transcript:KGN49708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEWNSFSQFDVLLPPQIQNPTIMLKATKKKLKGLWSKMKKKNKKKKPNETQTNSYQYCCICHCSSSTHQHNQQQPSAPPLPLWLNDAPTFLPPSTQSQFEHPDTADEMVEPLVANQWSYQQYLVAEPVYGVPIIVETESGRGQKWKRLIKLFECLCPCFGITQQLYYVP >KGN50008 pep chromosome:ASM407v2:5:4431763:4438129:1 gene:Csa_5G148790 transcript:KGN50008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYNGGDSYRQSCVSAQGCGRDDLYMELWRACAGPLVDIPRVDERVFYFPQGHMEQLEASTNLELNKRIPLFNLDSKILCRVIHIEPLADHESDEVYAQITLMPESNQNEPKSMDPCPPEPPRPVVHSFCKVLTASDTSTHGGFSVLRKHATECLPPLDMTLATPTQDLVAKDLHGYEWRFKHIFRGQPRRHLLTTGWSTFVTSKRLSAGDSFVFLRGDNGELRVGVRRRARQQSSMPPSVISSQSMHLGVLATASHAVTTQTRFVVYYKPRTCQFIISLNKYLEAVNNKFSVGMRFNMSFEGEDSPERRFSGTIIGAVDISPHWPNSSWRSLRVQWDEQTSILRPDRVSPWDIEPLTSSAVTGLSQPISKNKRPRQPTPAHDGADLTKPTHWDSGLAQSHDGKQCSNAAEGRKGENNESCHHRETDTISNSSCVSRTQTDTWLSPTQSNSYKHPVNDMAQDSKTVPSSGWTFLLGTPTSHLVKLSDDQILDPIESGKKGETVASCRLFGIDLNHLAAEKASSQPSSGSSDTDGRISTLSVAQSDPKSDNLEVSIERKSELSQASLKEIQCNQSSSANTRSRTKVLMHGMAVGRAVDLTILEGYDQLIDELEKMFDVRGQLCARDKWEIVYTDDEGDMMLVGDDPWEEFRNMVRRIFICSKEQVKNMSSGSKQLTSIEVEGDVVTPVSPAV >KGN50051 pep chromosome:ASM407v2:5:4726605:4727298:-1 gene:Csa_5G152160 transcript:KGN50051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEDSQSFPLAHYQAHHKPNEEQQLATFKILRKERSNKCFIYIFSTFVFLSVALLIFALIVLRVNSPSISLSSISNPRVSLSNNTNSSSPNSLNLSFNAEFTVDNSNFGPFNFDNGTVGLVYGGMIFGERSTGGGRAGAKGSKRMNVTVEGSAKNVSGSNGILNFSSFVKLRGRVRLIHIFRRRVSSEISCSMNLDLNTHQIQHNWVCE >KGN51628 pep chromosome:ASM407v2:5:20923775:20923966:-1 gene:Csa_5G586010 transcript:KGN51628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAIELRHMLKKLLRHCPKVDDGSLLSWVVLDHWPVCEGPNHFLLLLFDCISSSVIRHYASLQ >KGN52650 pep chromosome:ASM407v2:5:27528061:27530912:1 gene:Csa_5G648710 transcript:KGN52650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGNQSKMMRWLIWALFFLISTLSASSSSPAPAAFVGVQDGHFQLNGSPFLFNGFNSYWMMSVATDPNQRNKVTQVFRDAAAAGLTVCRTWAFNDGGFHALQISPGVYDESVFQGLDFVIFEARKYGIRMILSLVNNFKDYGGRAAYVRWAEAAGVQVHDEDDFYTNQLIKTYYKNHVQKVLSRKNTMNGLIYMEDATIMGWELMNEPRCQVDSSGNTVNRWVEEMGSYVKSIDKQHLVGIGMEGFYGDSSPNKIKANPGSFKFGTDFITNNLNKAIDFATIHAYPDAWLPGKSEGTKMAFLEEWIALHWTDSKTILKKPLIFEEFGKSIRDQNQTSSSVRDRDAFLSKVFSIIYNLARNGATMAGGLVWQVMAEGMESYYDGYEIVLSQTPSTTAIITHQSNNMAALNTRTQHHL >KGN51622 pep chromosome:ASM407v2:5:20900263:20902962:1 gene:Csa_5G585960 transcript:KGN51622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLNAPCHQTNAFSWQRKRAHDSHGYYCRALNRNHMHRWSKPIVHIVESKPSPFRYEQCRVLKWRSKRVRYQFRFPRLLCYVLSKFPSHTALRFLYQLHHFVNGHLPNSLTPTADSHWKEAVAVKPFWQRRLHHLPAVREEN >KGN49662 pep chromosome:ASM407v2:5:1714150:1714518:-1 gene:Csa_5G052560 transcript:KGN49662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLHRSLSKLYEKLFEKCNVFLALVHIVRHQSLERLLGIDSSLLTLANDSSSKSFKAYEKLSEKCNVFLALVHIVRHQSLERLLGITSGMGTGFIQ >KGN50851 pep chromosome:ASM407v2:5:12065247:12067599:1 gene:Csa_5G289620 transcript:KGN50851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLLVQSMFAVVVKGHWNHLLKPKISSSLTSKSIHQILLRLSFYCSGPSLSWAFFKWVELIPDYKHSLQSSWAMIFILTEHKHFKTAQGLLEKIAHKDFISSPLVLNALVTSYDNPDVNAHILSWLMIIYVNCKMPQDAIQVLEYMRLHGFKPNLHACTVLLNSLAKDRLTDTVWKSYKKMIRVGVVPNIHIYNVLIHACCKSGDVEKAEQLVCEMELKSVFPDLYTYNTLISLYSRKSLHYEALCVQDRMERAGVSPDIVTYNSLIYGFCKEGKMREAVKLFREIKDVSPNHVTYTTLIDGYCRVNDFEEALRLCKVMEAKGLHLGVATYNSVLRKLCEEGRIRDANKLLNEMGERKVEPDNVTCNTLINAYCKIGDMKSALKVKSKMLDAGLQLDSFTYKALIHGFCWVRDMESAKELLFCMLDVGLSPGYCTYSWLVDGYCELGNEGAIISLLDEFLTKGYCVDLSVCRALIRRLCHQERVGFAEKIYSTMHLRGVSGDSVIYTSLAYAYWKDGKSNLVSEMLSEMTKRSLLINLKLYRCFNASYGPHNSILHLFWDHVAERGLLSKSITKEIQK >KGN50536 pep chromosome:ASM407v2:5:7965410:7970922:-1 gene:Csa_5G181430 transcript:KGN50536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLRRFLVLAKPYFGVLFMQLGSAGMAIIAKFALNKGMSQYVFVFYRMIIATVIMAPFAIIFERKVRTKMTISLAFKIVMLGLLEPVIDLNLYFTGMKYTTATFAVAMCNVLPAFAFLMAWACRLERVNIWKRGSQAKIVGTIVTIGGAMIMTFIRGPMLNLPWTKPNHPSSSSSSSSSINHHNQIKGSLMITIGCICQSAFIILQAITLKLYPAELSLTALICLVATVGGCLVALVMERGNPSAWALHFDSQLLSVVYAGVICSGVTYYIQGMVMQIKGPVFVTAFNPLSMIFVAIMSSFILSEIMLLGRIVGAVTIITGLYLVLWGKRKDKLLVKSDSDGKQQMTETDEASKRTVQPSQEFISLDVTRETK >KGN52278 pep chromosome:ASM407v2:5:24781456:24785158:1 gene:Csa_5G623420 transcript:KGN52278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTNLLEMQEPSIDTDKLSYEIFSILETKFLFGYDDQKLWIPKQISPLIDSIPQLHPRPELQPESINAVSAIKNQRGKICVLSIDSGGMRGILSGKALSYLEQALKTKSGNPDARIADYFDVAAGAGIGGIFTAMLFATKDQTRPIFNADDTWRFLAEQGKRFYRSSSSSGNAFFRRLRKPRGSSSAANSTAGLEKAMKEAFVENGRTLTLKDTLKPVLIPCYDLSSTAPFLFSRADALETESFNFRLWEVCRATSAEPGVFDPVPMQSIDRQTQCLAVDGGLAMSNPTAAAITHVLHNKQEFPFVRGVEDLLVLSLGTGQLFEGNYDYNEVKGWKAKEWVRPIARISGEGGADMVDQAVAMAFGQCKSSNYVRIQANGSSSVPCGPNVETDPSSSNVNMLVGLAEEMLKQKNVESVLFGGKRIGEQTNFEKLDWIAAELVLEHQRRSCRIAPTVAFKQVLPSNP >KGN52368 pep chromosome:ASM407v2:5:25513183:25519607:-1 gene:Csa_5G630710 transcript:KGN52368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQGAPKAWYPPLDKTLSYLSKLYRCLEPVVFTGLAQEAVEVCSTSIQKASKLIAKRSSPMDGQLFLIKHFLILREQIANFDVEFSATHKELDFSHVLEHLRRILRGQASLFDWTKSTSLARTLSPRVLESQIDAKKDLEKNLKATCEEFIMSVTKLVVDPMLSFVTKVTAVKVALSSGNQNQKLEPAMEKPLRDQAFATPDKVAELVQKVNTAIQQQLPMVMEKMKLYLQNPTTRMILFNPIKVNIVEAHLQVQNLIKAEYSSEAINMTPIHILQTQLDNLL >KGN51586 pep chromosome:ASM407v2:5:20623658:20626029:1 gene:Csa_5G581700 transcript:KGN51586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSAFSIISFCSKLNFRRKTPCRYSATANSELSSFNHMDEDCTNYDVNSDERSYVGNEVEVSKGQKTDEDEMETIKLILGNRGFNLGSCPKQLEIIRILDVLFEDSSDAGLCLYYFKWSGCLSGSNQSLESICRMAHILVAGNMNHRAVDLISHLVKNYGCTEGSSSILLKVFCETHNGRKTLETTCSMMVNCYIKERMVTSALILIDQMKHLNIFPSIWVYKSVIKALLQTNQSGMAWDLLEEMHRQGVSLNYSINLFIHHYCSEGNLGKGWKVLLELRNFGSKPDVVDYTTVINSLCKVSLLKEATALLFKMITFGVSPDLVTMSSIIDGHCKVGKSDIACKILKYFRLPLNIFIYNSFITKLSTEGDMVKASKVFLEMTEVGLVPDCISYTTMIGGYCKVGNINIAFSYLSKMLKSGIQPSVITYTLFLDYFCECRDVEMAEVMFEKMIVEGLKPDVVVYNILMDAYGKKGYMHKAFKLLDMMRSTNVTPDVVTYNTLINGLVMRGFLQEAKDILDELIRRGFSVDVVTYTNIIHGYSTRGNFEEAFLLWYHMAENCVTPDVVTCSALLSGYCREKRMDEANALFCKMLDIGLKPDLILYNTLIHGFCSVGNVDEGCNLVKKMIESSIIPNNVTHRALVLGFQKKRVTDPIQSATSKLQEILIAYDLQIDAIGYI >KGN52126 pep chromosome:ASM407v2:5:23859133:23862028:-1 gene:Csa_5G611080 transcript:KGN52126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSPLLECIENSSETTWVSFFREVKVVGFLAAPLAAINLSQFLIQTGSLMLVGHLDELSLSSTAIAISLAAVTGFSVLIGMGSALETLCGQAYGAGQYQKFGNHIYTAMVCLLVVCLPITLLWINMGKLLVLVGQDPLISHEAGRFMIWLIPGLIAYAFLQPLVRYFQMQVLVTPILVISCITCCLHIPLCWVLVYKTGLHNLGGAFAMSISYWLNAVFLGLYMKFSPKCERTHGAISMEVFKGIGVFLRFAIPSAVMTCLSWWSFELIILLSGFLPNPELESSVLSVCFNTLTTAFTLAYGIGSAGSTRVSNELGAGKPEAARKAAGAAIFLAVVEIIIASVVLFAVRHVFGYAFSREKEVVDYVAVMAPLICISIIFDAIQGVISGIARGCGWQRIGAYINLGAFYLCGNIAAVALGFWTNLRGKGLWIGIQIGAFVQMLLLVIVLSHVNWKNQV >KGN49936 pep chromosome:ASM407v2:5:3913127:3918596:1 gene:Csa_5G141100 transcript:KGN49936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLFRRKGPSGFSSSSTAEEVTDGIDGTGLTAIVTGASSGIGSETARVLALRGVHVIMGVRNLEAGRNVRETIVKENPSAKIDAMELDLSSMVSVRKFASDYQSSGFPLNILINNAGIMATPFGLSKDNIEVQFATNHIGHFLLTNLLLENMKKTAAESKKEGRIVNVSSEAHRYTYPEGIRFDGINDELRYNKMQAYGQSKLSNILHANELTRRFKEEGLNITANSLHPGIITTNLFRHFNYGNGIVNTVGKIMFKNVQQGAATTCYVALHPQVKGVSGEYFMNSNVHKATQHGQDMDLAKKLWEFTTNLLK >KGN49588 pep chromosome:ASM407v2:5:518068:518487:1 gene:Csa_5G013270 transcript:KGN49588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQPSRRFETVTSSSGVRMKRGNDEALQRQLTFERTTRWDAQMDAFRTRDVQLNNVEINHAAGIRTCDLEVDCTDQYLKTF >KGN50428 pep chromosome:ASM407v2:5:7184335:7191691:1 gene:Csa_5G173550 transcript:KGN50428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASRVAGGLAQSSSSSGIFFQGDGQSKATVKSHLGSYGNSSNSIPGTGHSNLGPVSGDTNGVFNSVANSGPSVGASSLVTDANSALSGGPHLQRSPSMNAESYMRLPTSPMSFTSNNMSISGASLIDASSVLQHNSQQDHNASQLHTQAQARQVSSGDASLSNSKTVQASLPMGARVSGSLMTDPNSYSQSQKKPRLDIKQDDFLQQQVLQQLLQRQDSMQLQGRNTPQLQAALFQQQQRLRQQQQIFQSLPPLQRAHMQQQQQIQLRQQLQQQAIQPVNAMKRPHDGGVCARRLMQYLYHQRQRPADNSIAYWRKFVTEYYSPRAKKRWCLSLYNNVGHHALGVFPQAAMDAWQCDICGSKSGRGFEASFEVLPRLNEIKFGSGVIDELLFLDMPREFRYSSGIMMLEYGKAVQESVYEQLRVVREGQLRIIFTHELKILAWEFCARRHEELLPRRLVAPQVNQLVQVAQKCQSTIAEGGTDGASQQDLQANSNMVLTAGQQLAKSLELQSLNDLGFSKRYVRCLQISEVVNSMKDLIDFCREQKTGPVEGLKSYPQHATAKLQMQKMQEIEQVANAQGLPTDRSTLGRMVSLHPGLNNQMNSQNQLASRGTLSGSAQAALALSNYQNLLMRQNSMNSTSSHALQQETSSSFNTTNQSPSSSFHGTTAITSAPMQNLPSSGLSSPNLPQQQSQVQHQLHQRPNTNNLLMHSTQGNTNNNQAMQHQMIQQLLQISNNSGGGQPQQQPQPQQQPLSGSNTKVSVAGTYTGYGASNSSVTAAGTANASCSNTPAPSRSNSFKSASTGDVSAAGARSGSGFNQRSADLPQNLQLDDDIIQDIAHDFTDNGFFNNDLDDNMCLIWKG >KGN52186 pep chromosome:ASM407v2:5:24194215:24194807:-1 gene:Csa_5G613630 transcript:KGN52186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRKIALILILIYSSMLPFSSAFKQLFLTDNSNHQESEHMEKQYGRRYNVEGRHGYTNGIPTHIAITRGGGRGGGGGGRGNGAAGIHDQNCMKFIAAAVSISVAYLCGLI >KGN50342 pep chromosome:ASM407v2:5:6623026:6627344:-1 gene:Csa_5G168800 transcript:KGN50342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNALAATNRNFRHAARILGLDSKIEKSLLIPFREIKCTIPKDDGSLVSYVGFRVQHDNARGPMKGGIRYHPEVDPDEVNALAQLMTWKTAVADIPYGGAKGGIGCTPRELSMSELERLTRVFTQKIHDLIGIHTDIPAPDMGTNAQTMAWILDEYSKFHGHSPAVVTGKPLDLGGSLGRDAATGRGVVFATEALLAEHGMKIKDLTFVIQGFGNVGSWAARLIHERGGKVVAVSDITGAVTNPNGIDVPELIIHKEKTNSLVNFQGADGMDPNELLVHECDVLIPCALGGVLNRENAAGVRAKFIVEAANHPTDPEADEILSKKGVLILPDIYANAGGVTVSYFEWVQNIQGFMWDEEKVNKELQRYMTRAFYNIKSMCKSHDCSLRMGAFTLGVNRVARATLLRGWEA >KGN50403 pep chromosome:ASM407v2:5:7011193:7017911:1 gene:Csa_5G172830 transcript:KGN50403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSLILSLPFTLKPSHFPNQTPKLPNSSLSLLRSSSSFAPFSTLTPFKVFNGPIKKSSSSTFAYVTGPASDPNVSESDPKVDDASDSLVRVVGVLNLGLFLKLLTKHKLRLLGSLLTLLCCTTCTLSMPFFSGRFFEVLIGAKPGSLWRLLSTVGVLYALEPILTVLFVTNMNFMWEKVMSRLRAQIFGRLLIQKVEFFDRYKVGEITGLLTSDLGSLKDVVSENVSRDRGFRAFSEVIGTICILFALSPQLAPILGLLMLTVSVSVAVYKRSTIPVFKAHGLAQASMADCATETFSAIRTVRSFGGEKRQMFNFGRQVMAYESSGISLGTFKSLNESLTRVAVYISLMTLYWLGGDKVKAGELSVGTMASFIGYTFTLTFAVQGLVNSFGDLRRTFAAVERINSVLNEEVDEALAYGLEKEMQQKEFRYKLLFSSDGNSQVKTQYMAALKSSSDIINLAWSGDICLEDVCFSYPLRPDVNVLSGLNLTLKCGTITALVGASGAGKSTIVQLLARFYEPKQGQIKVSGEDIRAFDKREWARAVSIVNQEPVLFSVSVGENIAYGLPDDNVTKDEVIKAAKAANAHDFIISLPQGYDTPVGERGGLLSGGQRQRIAIARALLKNSPILILDEATSALDAVSERLVQDALNHLMKGRTTLVIAHRLSTVQNAHQIAFCADGKIVELGTHLELLAQKGQYASLVSTQRLAFE >KGN50054 pep chromosome:ASM407v2:5:4755252:4766631:1 gene:Csa_5G152190 transcript:KGN50054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNKQLINLECLSHRLIQFLCKSQSLEGRNPGKQNGPITDFPSTTSNLACYPSPQLPKASLTAGRNGVFLRSPAEKMGASSQHDTNLKKIISYIDGLTLLINHVAQIDLANLCSLCFSISRSIDYAIANNAVPSKAHSLPSLVKQLCQLKHSHRSKAALMVLMLTIKNACKVRWFSEKDAEELQRLANEIGNDFFGDTNIGQANSLTTITTVMERYFPCLKLGQIVASLEVKPGYGVYALDFNISRTVQYASQEKLRLFVIQKDNTETSACIISPPQVNFLVNGRGINGRINTHMDTGPQLPTNITHMLKLGSNLLQAVGSFNGHYVLAIAITGTAPSPDSSVLHDHIQPIVSTLDSDSDIIEGPSRISLNCPISYTRIKIPVKGCSCKHLQCFDFDNFIDINSRRPSWRCPHCNQYICFLDIRVDRNMLKVIREVAENVTEVIISVDGSWKAILENDNGDGRSLNDSLNHQNERAQEESAASPDVLDHTEVGDDMDIFNSEIEDRKPCLGNKNQRVSSSLDMSSGMNMNSFSQNLSAVMDDDIWSRIDGVLISTAGLDAPMVNSTYPPGFTGTMQSAVLTDAVQPVLNHGVGVSGHANFPSPAFYNQNNVQIQVSNSNENNQYGRVTSISRPVSRTPVAVQALPAQSHAAGQQYSSRTPIISSPQVGQSIPINRDGLNALSRDLERRQQFSRHHGDSHHSTNLASFHHPQTVQNRDPQDRSFTTGQSIQTSSGARPSPGLLADFQNPHLQQALNMRMPHLQNQNSSSVRTSLSFSRPMSQVGGGYGGSTYTTVTPNSQHARMLAASQRVEMMRQSPPMSLHNQTSRSAHSLQTTPDGLRRPSGDLRNVGVSQSVTMAAGSVDLSAEQNWQPAGRMRGSLSGRVYSDAYGVIIQPTQAAQSARPPSNLTPTQPIAPSTQAQWSSGLDSHVSRT >KGN51061 pep chromosome:ASM407v2:5:15369170:15369531:1 gene:Csa_5G425390 transcript:KGN51061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNPAGYVKGMAQAVVAREGDNDLGVRRTEVVGRTNKDFGLGKPWTDARTSSIPTGCERRLTTRTSGELVNTERQRSSTSKMVGGG >KGN50624 pep chromosome:ASM407v2:5:8715702:8716034:-1 gene:Csa_5G198140 transcript:KGN50624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLKVKSTKMKRERDTLQRNEGGVSMDLKTELHGGQENWNRGNGDIVERERKRGKFGEIGEMGLRQMGGGSSFEDDRRQFGSDKRRREMRGIRERERERERFEAEEEVNA >KGN51744 pep chromosome:ASM407v2:5:21651095:21653806:1 gene:Csa_5G598030 transcript:KGN51744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESHSLIIAFTTFLALSLTPWPALAKDPCNFPAVFNFGDSNSDTGGLSAVFGQAPPPNGRSYFPGPAGRYSDGRLIVDFIAESFGLPHLSAYLDALGANFSHGANFATAGSTIRLQNRTLQQSGFSPISLNVQYNEFYDFRRRSQTLRNGLGGIFKQLLPKEESFSRALYTFDIGQNDLTSGYFANMTLHQVKLYVPDVLHQFSEIVKWVHSQGGRFFWIHNTGPVGCLPYVLDREHVPASDYDQYGCATPFNDLAQYFNRGLKQAVVELRKALPDSAITYVDVYSLKYALVSQHKKYGFEYPLRTCCGHGGKYNFNVNLGCGGKKEINGKEVLIGKSCKNPEVYVNWDGVHYTQAANKWIFNQIKDGSYSDPPIPLNKACHKT >KGN50258 pep chromosome:ASM407v2:5:6146770:6148086:-1 gene:Csa_5G162070 transcript:KGN50258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERENLVRKMNSMLEFNAINNPKVIEFNFFCQNQRTQPPLYSYSTALQTRTPMALEVQVFILIARMTGVTHKF >KGN52549 pep chromosome:ASM407v2:5:26892889:26893800:1 gene:Csa_5G643280 transcript:KGN52549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRECNFKIHCIDIDTMSCVGSFGFEDDHNHSTVSIVSSCHALLLISKLIDTDDLCEGILNPMTNEFLELPDREYDESSPYYGFGFNPKTKQYKLFRVTERDRYEFYSIMEIMRFGDRSETKEEWRHFKCPPISFDDHGAYLNGVIYWMGKEKGKAYVIYALDVETEQMELVADLEVGPHNFRYNGYIATLNKSVYAYVPIVGPCCTKIQIWTMQGKEGWIREFVMYDEVSRGLRMPMQMVKVLKDGERWFFVEGNILCCDKTGMNIKIESLWNSKIETRFDGLCQIESLNFGSIQNILAGYQ >KGN66054 pep chromosome:ASM407v2:1:20907369:20908944:-1 gene:Csa_1G569310 transcript:KGN66054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLYQDLLTGDELLSDSFPYNEIENGMLWEVEGKWVVQGAIDVDIGANPSAEGADEDEGVDDQAVKVVDIVDTFRLQEQPSFDKKQFITYMKRYIKLLTPKLDEEKQELFRKHIPEATKFLISKIDDLQFFVGEGMHDDGTMVFAYYKEGATDPTFIYIAYGLKEVKC >KGN63563 pep chromosome:ASM407v2:1:782076:782273:-1 gene:Csa_1G004300 transcript:KGN63563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRAKPREEMGAEVAGRYFRNGSEGKKKKQSEPRNRWKIAMGVPARRSWQMKNVKSRVMGLRSEQ >KGN64851 pep chromosome:ASM407v2:1:9425554:9426681:1 gene:Csa_1G132120 transcript:KGN64851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLPSQHPLVSSSNDHHETLDNLLSSYSQSSNTLLYNLSILKEKLHQIQSVVNIAVYNLQNSTESLPSAPPASSQSPVATAAVNSLIQELIMAASSMLFTCQQMDNLAVNASLHNNNVGNNLQGQHHQHQHQQQGPVDDNNGRSNTLFNMANDHHHQRQDWYNTTTSNNYNKDNNNGRTIMTTKTTQDHRNPINIVELDASDLLAKYTHYCQICGKGFKRDANLRMHMRAHGDEYKASGALSNPEKSHRKDLSNISKMGIKYSCPQEGCRWNQKHVKFQPLKSLICVKNHFKRTHCPKMYVCKLCSRKKFSVLSDLRTHEKHCGDVKWLCSCGTTFSRKDKLMGHVALFVGHTPAMGSSTKFLGKQEHEYVHSF >KGN64531 pep chromosome:ASM407v2:1:6707390:6710809:-1 gene:Csa_1G063550 transcript:KGN64531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGYLNKSCHSLLLLLYDTQFNRKTNYSCEVGFIFTSMSSFSDWLVSLSVNTKFALDVGPIALKPRPQTFQISEKKKNDKSNRFLPIPFLLLSSLFASFSASRPAPGVAPHNIPHQAPQYQQQQPPYMMMQPQPPQAQPVPQMWPQQPQAGSPQGQPPQPANGDEVRTLWIGDLQYWMDENYIFNCFAHTGEVSSVKVIRNKQTGQSEGYGFIEFLTRPAAERVLQTYNGTAMPNGAQNFRLNWASAGEKRQDDSPDYTIFVGDLAGDVTDYVLQETFRARYNSVKGAKVVIDRLTGRTKGYGFVKFGDESEQIRAMTEMNGVHCSSRPMRIGPAANKNTSGSQQFSKRCQKALSAWGPLLISADTLTL >KGN65823 pep chromosome:ASM407v2:1:18801541:18803045:1 gene:Csa_1G532310 transcript:KGN65823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKTTALSWTRSNSPIGKGSFATVSLGIRKPDARIFAVKSVQQTQTLRPQIDCLENEIRILRSLNSPYVVAFLGDDVSHESPTTSFRNLHMEYLPGGTAADDPTGTRDDKLLRERTWCLVSALSYIHSKGIVHCDVKGRNVLIGLNPGFLKLADFGSAIELHGPGHRSRDSLAPRGSPLWMAPEVVRGEFQGPESDVWSLGCTVIEMVTGKPAWEDFGADTLSRIGFSDDLPDFPTCLSEVCRDFLRKCLRRNPSERWSCDRLLQHPFLAAAAAAAASPKIAVENSPRCVLDWVNVSFSDDEEEIPHADEASGSGGQENEIYGKERIGKLSTTSEWPNWESDGWSAVRSSYSEAAAETEASCRKEEEEEEGGGAEWECGNLRRVEGEMEGRSWEYSEFVRRDNHGKLGAEYSNPGGMIIPERPRNNFGGGGGCGSGGLSFRRLGYEISEITTIITSWIYSIELILCCYYWNILMKKLVLFGNYTFLPFFSA >KGN63581 pep chromosome:ASM407v2:1:946783:946932:1 gene:Csa_1G004935 transcript:KGN63581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKKKKKKKQRIEENGEKDKGLVLLPMKSPSPTVLCAGICVVEETAKE >KGN65549 pep chromosome:ASM407v2:1:16272026:16273602:1 gene:Csa_1G445860 transcript:KGN65549 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll A/B binding protein MAASSMALSSPSFAGKVVKLTPSSPELFGNSRVTMRKSATKSVSSGSPWYGPDRVKYLGPFSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGCVFPELLSRNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLIHAQSILAIWASQVVLMGAVEGYRIAGGPLGEVTDPIYPGGSFDPLGLADDPEAFAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLTDPVNNNAWAYATNFVPGK >KGN64605 pep chromosome:ASM407v2:1:7140364:7142731:1 gene:Csa_1G071190 transcript:KGN64605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRELISKCKLLAFLFLLTTIALFPTKSHGKDSIKAGESINGSTQILVSAKQNFVLGIFTPQGSKFQYLGIWFNNIPQTIVWVANRDNPLVNSSGKLEFRRGNIVLLNETDGILWSSISPGTPKDPVAQLLDTGNWVVRESGSEDYVWQSFNYPSDTLLPGMKLGWSSKTGLNRKLRSWKSLNDPSFGDFTYSVDLNGLPQLVTREGLIITYRGGPWYGNRFSGSAPLRDTAVYSPKFVYSADEVTYSIVTTSSLIVKLGLDAAGILHQMYWDDGRKDWYPLYTLPGDRCDDYGLCGDFGICTFSLTPQCNCMVGFEPKSPDDWKRFRWSDGCVRKDNQICRNGEGFKRIRSVKLPDSSGYLVNVNTSIDDCEVACLNNCSCLAYGIMELSTGGYGCVTWFQKLIDARFVPENGQDIYVRVAASELDSSNRKVVIAVSVSVASLIGFLVLVVCFILWRRRKVKGKVQSQENEVEMPLYDFTTIEIATNHFSFSNKIGEGGFGPVYKIMK >KGN66479 pep chromosome:ASM407v2:1:24072778:24075994:1 gene:Csa_1G613510 transcript:KGN66479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFMSSGSLSFRYGTGSFMSPSYSRALQDRLDWPQLLFPPEKFSQFENGDDDASHKHIVLSFFPSSTFPSFTFFFYGVCLHANFILKIDRKPLFLRVKGEIDKMEHDEASCQAPPEGPFLCINNCGFFGSAATMNMCSKCHKDLMLKQEQAKLSASTLGSIMNGSSSSNQNETFATASVDEPVSLVEPKITSMQASPMVVSDENSGAKPKNRPGRCNSCNKRVGLMGFDCRCGNIFCAVHRYSDKHNCPFDYRTSAQDAIAKANPIVKAEKLDKI >KGN66247 pep chromosome:ASM407v2:1:22223459:22225974:-1 gene:Csa_1G588560 transcript:KGN66247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAINFPIPTNFIDMVFNINRLKSFLTESFFSDHLPTHLRHDSTALSSAATDFGHLVTSTPAAVLFPTSINDLVTLLKLANSRSVPFNVAAKGCGHSVHGQAMAENGVVVEMTSLNNNPSRISISGSADAGFFADVGGEQMWIDVLTATLKHGLAPPSWTDYLYLTVGGTLSNAGISGQTFRYGPQICNVLELDVVTGKGDIVSCSAEKNNELFNSVLGGLGQFGIIVRARIPLFPAPNRVKWVRMLYNNFDEFVKDQEKLILKNSNDDGGLNYLEGLLLMHDGPPDNWRSSFFPPSHHSTIISLVNQHSIIYCLEVAKYYDDRSRHTIDKELDNLLKGLNFLPGYKFEKDVSYVEFLNRVRGGELSLRSQGLWDVPHPWLNLFVPKSRIAEFNSGVFKDIILKRKIANGPILIYPMNRNK >KGN65137 pep chromosome:ASM407v2:1:12153890:12156247:-1 gene:Csa_1G237060 transcript:KGN65137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRENLPRELDFGNLDLRTHACKVVRISLVLFQLSCDLLPFAVSPLTKTLSAQTSIWPFMAASLPSKGSTLRDAFGNVLSFFILILIGVLAFSIRLFSVIKYESVIHEFDPYFNYRVTQYLSKMGIYEFWNWFDDRTWYPLGRVIGGTVYPGLTLTAGTLWRVLNALNIPLSVETVCVFTAPIFSAFASWATYLLTKEVKGPGAGLTAAALLAMVPSYISRSVAGSYDNEAVAIFALIFTFYLYLKTLNTGSLFYATLNAIAYFYIG >KGN64771 pep chromosome:ASM407v2:1:8445944:8447199:-1 gene:Csa_1G096060 transcript:KGN64771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEEEISLYIILMKTSNFGELETRDRPVRNHTPFLHLSLLQYRRCWVFLFLPLFLTALSFSLFAGSLRAVIFVFCYTTSLRLLS >KGN64412 pep chromosome:ASM407v2:1:5966929:5971084:1 gene:Csa_1G050510 transcript:KGN64412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNMKKKELKKSAYEYCLVCKLNHDQGQRHKYFPNHKKSLSSFLSRFEIKLSDVRFFLKTPFLLSPEFASHNRFWCIFCDVQVDENDSSFACSNAIKHLASADHLKNLKHFFWKYGGDVERLDSYRILDADVAKWEKKCKVQSVSASSSLGPANDIHNQVQYENFDNFGNNNIHSVESSSSISVLPLHSYTNEYQVSNSSYSGSSDVSNLVSFPHDTTVSLHDGSCSGAHLWSSKNLTLSEVNKHYQLDIGRTCTANGQSSGQGMYGMHQNERTANTESHPEGFQTLTRISNIVSGDSGGNINSGMLPPWLEKPEDSGFNVQIRPMVGGGVSSLKESAKSNKLNPKRVGAAWAEKRKRELEMEKRGEIVQSYGDKNWLPNFGRVWQSGSRKESRKEFEKEKSKLLMVENSPETNVNIQPYISKRMRRDQENEEDAANHTSV >KGN63488 pep chromosome:ASM407v2:1:329089:332453:1 gene:Csa_1G002080 transcript:KGN63488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSSSSSGHGASKFLGDLPSRGFFSSTVPSSNPGSMRVYICLHDTAPPEDQEIKTNQQNILIRSLMLKNSSSKDGKGVATGESSRKRAGEKISDSRAKKAAQVCSSKGASNNETPTKDLQNLTVERLRALLKAKGLSLRGKKDELIARLRSADG >KGN64656 pep chromosome:ASM407v2:1:7444136:7447601:-1 gene:Csa_1G073640 transcript:KGN64656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSHPPSLTLTPFLRKTKTLLITPRISCKATNHNDQNFPNPKIDRRDILIGLTGLYGSSTLSQSTHFALAAPIETPNISKCGPPDLPPGADATNCCPPPATTILDFQPPPPSKLRVRPAAHLADRNYIEKYKKAVELMKALPEDDPRSFTQQANVHCAYCNGAYDQVGFPVEVQVHNSWLFFPFHRFYLYFHEKILGELIGDPSFALPFWNYDAPDGMKMPGMYAEEKSSLYDELRNENHLPPRLVDLDFGGVDPNIGDDAQIKSNLSIMYRQMVSGSRTPSLFFGSAYVAGDEPSPGGGSVENIPHGPVHVWCGDTDQPNFENMGNFYSAARDPIFFAHHSNIDRLWSVWKTLGGRRQNLQNPEWLNASFIFYDEKAQPIRVRVRDCLDSKSLGYIYQPVDLPWLQTRPTPRRAQKTSTVAFGIAPALAAGGPSSSRVKPANIKFPITVKSPVSVEVKRPKKSRSKKEKEEEEEVLVIYGIGFDPNNGIKFDVFINDEDDKEIKPDNTEFAGSFVNVPHKHGHKKKVKTGLRVGITEELEDLEADNDDSIIVTILPRIGAGLFSIDGLKIEFDK >KGN66504 pep chromosome:ASM407v2:1:24316512:24321307:-1 gene:Csa_1G615730 transcript:KGN66504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGKLMFGVTVGVAVAACVVATVLVSRRVKSRSKWKRVVGVLEELEATCDTPLRRLRQVVDAMAVEMHAGLASEGGSKLKMLLTYVDNLPNGSEIGTFYALDLGGTNFRVLRVPLGGQRSLTLKHDVERQPIPQNLMTGTREGLFDFIASSLKEFVEKTDDPDELAPRRKELGFTFSFPVKQTSASSGVLIKWTKGFSIEDMVGRDAAESLQQAIDRIGLGLRVSVLINDTVGTLAVGHYQDPDTVAAVIIGTGSNACYVERTDAIIKCQGLCTTSGSMVINMEWGNFWSSHLPRTTYDIDLDADSPNPSDQGFEKMISGMYLGDIVRRVILRISQESDVFGPASTRLSMPFKLRTPMMAAMHEDSSPELTEVARIFEDILEITDIPLKVRKLVVKICDIVTRRAARLAAAGIVGILKKIGRDGTSGIAGGRSRADVKPRRTVVAIEGGLYTSYTIFREYLHEALVEILGEEIAPHVILKPTEDGSGIGAALLAASYSSYDTDANLL >KGN63850 pep chromosome:ASM407v2:1:2551421:2551746:-1 gene:Csa_1G024820 transcript:KGN63850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSPAVHCPSPWPVRKGNKIKKFCRETEELASAEPFLSRGGVNNHCAKLLLQILATAVARCIADYLLQSSNRSKP >KGN66522 pep chromosome:ASM407v2:1:24517789:24519157:1 gene:Csa_1G617380 transcript:KGN66522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPTNNQQQDLFDLLPDSILHLIFTKLTHAPSLIRCLAVSKRFASLIPQSDSVFLSIPPLLLTPNPKSRTTPRSPTFFLRKFFFNPFRFIRRLITHTSQSTPRFTDWYYWPSVALKELKGFQSLHIQLPCCGDEIGSNHNGGALLKWKAEFGSELKTCVVLGASSLRRKSVVESESSAVKEETEENRLHAESESEMGDGELKSRIVWTISSLIAASMRHYLVKQMVNDFPLLKTVLITDSAKQGRLCMEEEEVMELRESMQLSSSSYVERSVIPDLKMKMWYVPVLDLPEAGFVMTAATLVVIKPSGGGMGLDGLERIGDEFDSEDGEKFGVYGEAVRKLMKLKRNYALEVNSF >KGN64199 pep chromosome:ASM407v2:1:4673800:4679397:-1 gene:Csa_1G043030 transcript:KGN64199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATALAALQFAFLSPAASKKIAYPFFSSSGRRNGVQFVGCVNASNPRNAQGGFDPDLRSVLELATNSELYELEQILFGPSYFSPLMKSITNRGQPDYAMIEEDLEERDGFISSLESRFLFLAADARSTLRGWRPSYRDVLLTVRKKLNVLCSTKLSSEDLEAEIFLHLLQEYASEESVRQANLEGTLQLGLDQWKVQTSAATDGASDLQSLILRGGSLITVVKMFQVFARTLSGKVFREAANYQIKKEIIKKGGQLAAANLESRIALLVAQKGLAGAASRYLGLRSMMTLLGPMLWGTFLADMVIQMMGTDYARILRAIYAFAQIRIMRTYRLPSSTSDQQSI >KGN64739 pep chromosome:ASM407v2:1:8089418:8096091:-1 gene:Csa_1G085360 transcript:KGN64739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGLRFAVVFVLLLLGVLHSFSLAVNVTYDHRALVIDGKRKVLVSGSLHYPRSTPEMWPGIIQKSKDGGLDVIETYVFWNLHEPVRNQYDFEGRKDLVKFIKLVGAAGLYVHVRIGPYVCAEWNYGGFPVWLHFVPGVQFRTDNEPFKAEMKRFTAKIVDVLKQEKLYASQGGPIILSQINTCNGFYCDQFTPNSNNKPKMWTENWSGWFLSFGGALPYRPVEDLAFAVARFYQTGGSLQNYYMYHGGTNFGRTSGGPFIATSYDYDAPIDEYGLVRQPKWGHLRDVHKAIKMCEEALVSTDPAVTSLGPNLEATVYKSGSQCSAFLANVDTQSDKTVTFNGNSYHLPAWSVSILPDCKNVVLNTAKINSVTTRPSFSNQPLKVDVSASEAFDSGWSWIDEPIGISKNNSFANLGLSEQINTTADKSDYLWYSLSTDIKGDEPYLANGSNTVLHVDSLGHVLHVFINKKLAGSGKGSGGSSKVSLDIPITLVPGKNTIDLLSLTVGLQNYGAFFELRGAGVTGPVKLENQKNNITVDLSSGQWTYQIGLEGEDLGLPSGSTSQWLSQPNLPKNKPLTWYKGINLERVLISCLYHVPQSWLKPTGNTLVLFEEIGSDPTRLTFASKQLGSLCSHVSESHPPPVEMWSSDSKQQKTGPVLSLECPSPSQVISSIKFASFGTPRGTCGSFSHGQCSTRNALSIVQKACIGSKSCSIDVSIKAFGDPCRGKTKSLAVEAYCQ >KGN66230 pep chromosome:ASM407v2:1:22063640:22064521:-1 gene:Csa_1G587410 transcript:KGN66230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTYGTIPTEPVPLSNLHYTSRARERIASALGKRRPWMEMIQPQDLSFPTSFLQLINRIKNNAEYFWTNYILIVLFILFLSLLWQPISLVVFIISFLAWLYLYFLHDEPWVVRGSIVDDQLVMVVLMLITIALLLITDATMNIIISMFVGVLVVFVHGALKGSEDAFSLDEEGLSEYGGGRGVVKMPLKHAASSSFSLS >KGN65282 pep chromosome:ASM407v2:1:13383607:13387692:-1 gene:Csa_1G294620 transcript:KGN65282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLITFTEAVAPSSSPLSFTLSTHSLTNSNRFPRPRTSLTPASFSVPGKPTSTKSWNLRLVSNSSESSVFDPLGIRPDLSSEFSSTWENFLGYFGQTFNSASSTKKDKSPSARGLAAAIEDSSIDIGDFFKGPLPGKFLQLLGYLALSRLGIYIPLGGVNREAFVGNLDQNSLLSTLDSFSGGGIGRLGICSLGIVPFINAQIVFQLLAQIYPKLQDLQKREGEAGRKKILQYTRYASVGFAIVQAIGQVLFLRPYVNDFSTEWVLSSVTLLTLGSVVTTYIGERITDLKLGNGTSLLIFTSIISYLPASFGRTTAQAFQDGNYVGLVAIIISFFLLVLGIVYVQEAERKIPLNYASRYTSRGGGLQKSAYLPFKVNSSGVMPIIFSTSTLALPGTLARFTGLSVLKKAALALNPGGSFYLPTNILLIAFFNYYYTFLQLDPDDVSEQLKRQGASIPLVRPGKSTASYLKAVLSRISVLGSAFLAILAAGPAVIEQTTHLTAFRGFAGTSVLILVGCATDTARKVQAEIISQKYKNIEFYDIDRYNP >KGN64908 pep chromosome:ASM407v2:1:9959884:9970839:-1 gene:Csa_1G153530 transcript:KGN64908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEPLNGNGNVDSASAKAETEEDPAIGPGPAPRSRPKRPLQFEQAYLDTIPSANMYEKSYMHRDVVTHVAVSSADFFITGSDDGHLKFWKKKPIGIEFAKHFRSHLGPIEGIAVSVDGLLCCTISNDHSVKIYDVVNYDMMVMIRLPFIPGAAEWVYKQGDVKARLAISDRNSSFVHIYDARSGSNEPIASREVHLGPVKVMKYNHVFDSVISADARGLIEYWTPDTLQFPENNVNFKLKSDTNLFEIVKCKTTVSAIEVSPDGKQFSITSPDRRIRVFWYRTGKLRRVYDESLEVAQDLQRSDAPLYRLEAIDFGRRMAVEKEIEKAESAPQPNAVFDESSNFLIYTSLLGIKVVNLHTNKVARILGKVENNDRFLRIALYQGDKSSKKVRKIPSAAANANESKEPLTDPTLLCCAFKKHRIYLFSRREPEEPEDATKGRDVFNEKPPADELLAVSDIGKSVTTSLPDNVILHTTMGDIHMRLYPEECPKTVENFTTHCRNGYYDNLIFHRVIKGFMIQTGDPLGDGTGGQSIWGREFEDEFHKSLRHDRPFTVSMANAGPNTNGSQFFITTVATPWLDNKHTVFGRVIKGMDVVQTIEKVKTDKADKPYQDVKILNVSVPKP >KGN64164 pep chromosome:ASM407v2:1:4449107:4451059:1 gene:Csa_1G042715 transcript:KGN64164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFQYGRVRSNEGLIVHRSEKSLSVQTLAGFPRWAILEIEAYGNEHSFVNCFRNITRVQGRIKATEFAGKCSGKGCILHIGEVFRRVVVWPGAIIMAVVLKGFVYAASISTTVNVWLAMAKKSSSLSAPLITLSRRSKAEWHKTSKEDAYEYDKQHHPTLLAEALHYHKKL >KGN66191 pep chromosome:ASM407v2:1:21790385:21793813:1 gene:Csa_1G575070 transcript:KGN66191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRVGFNLIFLGISLFLILLGHCQGSNVGVCYGRNADDLPTPNKVAQLVKLHNIKYIRIYDSNIQVLKAFANTGVELMIGVPNSDLLPFAQFQSNVDTWLKNSILPYYPATKITYITVGAEVTESPNNVSALVVPAMNNVLTGLKKAGLHKKIKVSSTHSLGVLSRSFPPSVGAFSSNYASFLKPLLEFLAENQSPFMINIYPYYAYRESPNNVSLDYALFESSNEVIDPNTGLLYTNMFDAQIDALYFALMALNFRTIRVMVTETGWPSKGSPKETSATPDNAQTYNTNLIRHVINNTGTPARPGEELDVYIFSLFNENRKPGLDSERNWGLFYPDQTSVYNLDFTGKSVVDMTAQANSTASNGTAWCIASTKASDMDLQNALDWACGSGNVDCTPIQPSQPCFEPDTLVSHASYAFNSYFQQNGATDVACGFGGNGVKVNQDPSYDTCLYATTGKNKTISSSNTTAISSTSSSSSSRIEFSAGLLVMLIFISCFLKIS >KGN65291 pep chromosome:ASM407v2:1:13468805:13474085:1 gene:Csa_1G302180 transcript:KGN65291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIMEVETQQAASASLDACSAKQATKQGEGLRQYYLQHIHEHQLQVRQKTHNLNRLEAQRNELNSKVRMLREELQLLQEPGSYVGEVVKVMGKNKVLVKVHPEGKYVVDIDKSIDITKITPSTRVALRNDSYVLHLVLPSKVDPLVNLMKVEKVPDSTYDMIGGLDQQIKEIKEVIELPIKHPELFESLGIAQPKGVLLYGPPGTGKTLLARAVAHHTDCTFIRVSGSELVQKYIGEGSRMVRELFVMAREHAPSIIFMDEIDSIGSARMESGSGNGDSEVQRTMLELLNQLDGFEASNKIKVLMATNRIDILDQALLRPGRIDRKIEFPNPNEDSRFDILKIHSRKMNLMRGIDLKKIAEKMNGASGAELKAVCTEAGMFALRERRVHVTQEDFEMAVAKVMKKESEKNMSLRKLWK >KGN64095 pep chromosome:ASM407v2:1:4033729:4034061:-1 gene:Csa_1G041570 transcript:KGN64095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYGAINPTISTTAFQVFIEACAPAPSFWILTLLALGASLLPYFVFGSIQMRFFPMYHQMIQWIKADGQLNDPEYCQVVRQRSLRHTTVGYTARFEASKHFEDFSEIKSH >KGN64738 pep chromosome:ASM407v2:1:8085373:8086083:-1 gene:Csa_1G085350 transcript:KGN64738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSITNQVSPTTTLLSFLFLLVVCDSFSFYEPIDNIPLDCGSHGTLLNEHRIWFGDINSKFFPSDHEQNGASMTPTADAPSISIIPYMTARLSRSPFTYSFPITPTGLFTLLRDFNTSVNADASNNNEFFREFCVHVEENDQKLNLTFTPTNQDLYAFISGIEIVSMPSNLYYTPLDSNDEDGVSN >KGN64307 pep chromosome:ASM407v2:1:5281407:5282547:-1 gene:Csa_1G046050 transcript:KGN64307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQSGEGSLLFSLSPSFSSYSSGRFAEIAARVVEEFRKESDTDPDIFNWEPDSASSLHYGPEPDEFDQLPQILHGGNAETETSDEIADDEFEFAVVPREPEEVTISAEDIFYNGQIRPIYPVFNTNLLLSDSIVDKNNSGGNVDDGEHDDENLKKSKPKRVHRPSLGKLMSEERETNSCSSSETEELDGVLPGTYCVWTPKESLERCKKSNSMGSSKRWKLRDLLYRSSSDGKETFVFLSSSKRVEKFAEISKEKSSSGTGDEKSTGKVKSSRVAAAAAAAAATATGSNVSPTAAVEGEEEAKNSCKEGERKMQRIPCRQEMGDLFNNVNGMNKNSHQF >KGN66666 pep chromosome:ASM407v2:1:26242850:26243150:-1 gene:Csa_1G655940 transcript:KGN66666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPSTSHTAEHPVSSTFPIKASNDSVSSQIKGCFTSILLKKASKTPNGEFVRTYVSP >KGN64087 pep chromosome:ASM407v2:1:3997969:3998708:-1 gene:Csa_1G040010 transcript:KGN64087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMNEDLGFGENPFNGSCLKRHCSSHLMMETNRTMMRRSEGDNNDHEDHESNGSCGNLIHREIVFPSTMITTSHTPTNNNNSNVFSSPNSASCYSNDNVLDVVEVLDVHRHHHLTVMAERKLRRMISNRESARRSRMRKKKQIEELQTVGGFKSTIIREVDTSGGMQPTNPA >KGN64916 pep chromosome:ASM407v2:1:10041020:10041443:-1 gene:Csa_1G159090 transcript:KGN64916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSKQFDRWHGTLLQYVEFLSTAVVHLYLLDLEDYAHVSLSYDFCFLGIVSFIIYRPMMRLFKCQGGSNIFWPLNGNDANFVGNRFDLDLGSL >KGN65150 pep chromosome:ASM407v2:1:12446287:12450421:1 gene:Csa_1G250130 transcript:KGN65150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLAKLHVYPQTFDGPSTRDGHLFGALGPGLHRFDAGVSTRRGRGLCLRKCRSFRGGDGGDFEKENSKGRNRRNSRLKEVKMKKESQFWKLLRSGVLGKFNLLMGSDVDQGKLMANMEGLLSSAAVQIGRYIVTMMSTGVVLAVGFQLSGGDSQMNTLIWYSWLGGVIIGTMIGANMVLEEHCSAGPRNVVITGSTRGLGKALAREFLLSGDRVVVASRSSESVQETLRELEENLKGLMVRSGSSNKSLAHAKVVGTTCNVCDPEDVHKLANFTLNELGSIDIWINNAGTNKGFRPLLQFTDEDITQILSTNLVGSLLCTREAMRVMRNQAKGGQIFNMDGAGSGGSSTPLTAVYGSTKCGLRQLQSSLLKECRRSNVGVHTASPGMVLTDLLLSGSTVRNKQMFNIICELPETVARTLVPRMRVVKGTGKAINYLTPPRILLALVTAWLRRGRWFDDQGRALYAAEADRIRNWAENRTRFSFTDAMEMYTENTWVSVFSLSVVCAFIILSTTNNTLPGT >KGN65587 pep chromosome:ASM407v2:1:16675589:16676681:-1 gene:Csa_1G467070 transcript:KGN65587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKLVLPACPKPPLHNTIFFLSNSFIHFISLYRFPVFTLFLSFLFFFFFFASQVNQTHNFNFTPFFSISSSSSISILSRFFLPISPIFISSSSSLPSLHTHNTILIYNLHFIYSYLRNNQCCQTIITTVLLLLEPD >KGN65254 pep chromosome:ASM407v2:1:13150151:13158328:1 gene:Csa_1G275930 transcript:KGN65254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDLGNLSLSQSLTLTPSPNLKPLLHPHLPSSFLSHKSLNSLPIDSLSTQPFQCSLPNPEDDLPAPTQIRVSTHTRNLLDRSKRNYPAKNLEDHVKSWVRRKQHSGLPQSRCSFPFLQGTRKMVEKECLCCHRYIFPGEEVECSIRDCGGVYHVTCAKDNLGFSGHRKFKCPQHECFICKQRLHWKCIRCNIASHDKCAPWPDKVIHLKNQPGRAICWRHPTDWRVDLKHAVSTSDLEEVFLRLPLPYVDEEFKIDITWKDAEKKMEPPPYTHIRRNIYLVKKKRDTGVADGLGCTNCKTECSDDCVCRVQCISCSRACHCRDTCTNRPFRKEKKVKIVKTELCGWGVEAAESIGKGEFVIEYIGEVIDDALCEQRLWDMKYKGMKNFYMCEIRKDFTIDATFKGNASRFLNHSCDPNCSLEKWQVEGETRVGVFAARSIEVGEPLTYDYRFVQFGPEVKCHCGAPNCQRYLGTKKRISAIDLFWGTKRRRTSAARITGIIV >KGN66097 pep chromosome:ASM407v2:1:21137160:21139311:1 gene:Csa_1G570220 transcript:KGN66097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIQIFGTPKEHRHSKPYHDHVFVFSIVDDHIWFRNYQISVPHNESDKVARGGLDKMTLIEVGPRFCLNPIKIFGGSFGGPTLYENPLYVSPNQIRALEKKQKAGKYSKKVKAKTRRKMHELSNPLEPDEFADMWKEQ >KGN65278 pep chromosome:ASM407v2:1:13351811:13355502:1 gene:Csa_1G294090 transcript:KGN65278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKWNNLTSPSAAHPETMDVLSRAWCNFAVQTLNPADQVQPLGKSLLLIDTPITTTASSDPFPIIQKVEKSVKMEGEDHVKSIPSWKSNDMKSFIWMQQAMHPELNYSSYFRKKWFQWKMVPLKNLSIKKWLKEIRKSRKDENRLERAEIHAAISVAGVAAALAAIAADTSTSKHDNSSCAKDAAVASAAALVAAQCAQMAQAMGAKREQLSSVIGSAMSSTTASDILTLTAAATTSLKGAVTLKARSEYKNKSSGGVASILPIEDNHEAEIGFNLDKLRLTLAKGVLLKVESPNGKYKKRFISIVQHNDMNVILKIRKLNMLKTKQESVVLDMYIELYREEDENENVNDDDEEIHTCYLVVLMTNKGTFKLDMANDYHKYKIWATAINQMLTLSSHSFTRI >KGN65394 pep chromosome:ASM407v2:1:14909875:14910509:-1 gene:Csa_1G407180 transcript:KGN65394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCNVCFLQCQVAIEIPYTLLQVSLYALIVYAMMGYQWTATKFFLNFFFMYITILYFIYYGMMVISVSPNQATATILSGLFYSFWNLFTGFVIPRTRISVWLRWYSWICPVSWSLYGLVTAQFADIKTKVETGETVGEFINQYYGFRYQYLWMVSVALLGFTLLFILVFVYSAKFLNFQRR >KGN66819 pep chromosome:ASM407v2:1:27983002:27985531:-1 gene:Csa_1G696520 transcript:KGN66819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESRLILVILSVVVMVLEISLMTHAAVPKSIMKHIDRVNKNGPYLGIVVPNAFEMNPLLQSPSLMADHNLPHLDFSGRRFRFGRIKDKKVIIVMTGLSMLNAGTTTQLLLALFRVKGVIHCGIAGNADPQLEIGDVTIPQYWAHTGLWNWQRFGDGPDDELALESNGDYTRKIGFLKFSNFNTVDTKTEKSVDNLLNNVWYQPEEIFPVDGTPEIRQNAFWIPVDKHYFSLAKKLEGLKLERCLNSTNCLPRAPVAVRVQRGVSANVFVDNKAYREFLQSTFNVTSIDMETAAVALVCLQQKIPFIAFRSLSDLAGGGSALSNEAAAFAVLASQNAATALVKFITLL >KGN64282 pep chromosome:ASM407v2:1:5139584:5141092:1 gene:Csa_1G045800 transcript:KGN64282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRKINRRVTKTKTNTVFLHLSPPLHRFFLSCPNFITQSTSALDTAAAATDIATLVLESDPKSLRGSLHGLQLQFTPELVDKVLKRLWFHGPKALQFFKHLEYHPSYAHSASSFDHAIDIAGRMRDYKTVWALVARMRARRIGPSSKTFAIIAERFVAAGKPDRAIKVFLSMREHGCPQDLHSFNTILDILCKSKRVEMAYNNLFKVLRGKFKADVVSYNIIANGWCLIKRTPKALEVLKEMVERGLTPTITTYNILLKGYFRAGQLKEAWEFFLQMKEREVEIDVVTYTTMVHGFGVVGEIKRARKVFNEMVGEGILPSTATYNAMIQVLCKKDSVENAVLMFEEMVKKGYVPNLTTYNVVIRGLFHAGNMDKAMEFIERMKTDGCEPNVQTYNVAIRYFCDAGDVEKGLSMFEKMGQGSLPNLDTYNVLISAMFVRKKSEDLVVAGKLLLEMVDRGFIPRKFTFNRVLNGLLLTGNQAFAKEILRLQSKCGRLPRQFKL >KGN65812 pep chromosome:ASM407v2:1:18722521:18723966:-1 gene:Csa_1G532200 transcript:KGN65812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLPSPSFSSSSSFSSSTFDAHVCNPRAAAATPSCLSGILRRILCSGSLPTHPTDHITEETSSVKSDDKVLHAKDLNVIKSTNETKATAGIVARLMGLDSMPEMKQHHNSILRSQSMNSVEHFYKPLDNKHQQFRSTKSFREVPTFLELENEDYFILSFEGERKSKELKPKVRNSREFKQRKEDEDKCKHRGSNKTEQCYVRKTKKKILDPEEAKQFVLIDLKEKKKSRKRVPRNKPTSRISTKDRHGRKSTRKVESECSSDELSPVSVIDNSEFLRDQEESTQLTGDTPSNSPINPRRKLSTEHEIPQNPSRNDDDLIINGGKMAKTKGIDNGIQRERYEEEICMITEMELGESNWKYSKICEEHQNFVAGGIIEGLDSSILEGLIEEFVEHQMYDLIFI >KGN64981 pep chromosome:ASM407v2:1:10623454:10626691:-1 gene:Csa_1G171030 transcript:KGN64981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFFISPLIFFSFLLLISPAIATKKSYVVLLGSHSHGLDATEKDFKRVVDSHHKLLGSFLRSEEKAKDAIFYSYKKNINGFAATLDDEDATRLANHPEVAAVLPNKAKNLYTTHSWEFMHLEKNGVIPPSSPWWRAKFGKDVIIANLDTGVWPESKSFGEHGIVGPAPSKWKGGCTDDKTPDGVPCNQKLIGAKYFNKGYFEYLKSENSTVDLSSIINSTRDYNGHGSHTLSTAGGNYVVGASVFGSGIGTAKGGSPKARVAAYKVCWPYEHGGCFDADITEAFDHAIHDGVDVLSLSLGSDAIKYSEDAIAIASFHAVKKGIPVVCAVGNSGPLPKTASNTAPWILTVGASTLDREFYAPVVLRNGYKFMGSSHSKGLRGRNLYPLITGAQAKAGNATEDDAMLCKPETLDHSKVKGKILVCLRGETARLDKGKQAALAGAVGMILCNDKLSGTSINPDFHVLPASHINYHDGQVLLSYTNSARYPMGCLIPPLARVNTKPAPTMAVFSSRGPNTISPEIIKPDVTAPGVDIIAAFSEAISPTRDPSDNRTTPFITMSGTSMSCPHVAGLVGLLRNLHPDWTPSAIKSAIMTSAQVRDNTLNPMLDGGSLGLDPATPFAYGSGHINPTGAVDPGLVYDLSPNDYLEFLCASGYDERTIRAFSDEPFKCPASASVLNLNYPSIGVQNLKDSVTITRKLKNVGTPGVYKAQILHPNVVQVSVKPRFLKFERVGEEKSFELTVSGVVPKNRFAYGALIWSDGRHFVRSPIVVSSGLF >KGN64332 pep chromosome:ASM407v2:1:5440037:5440319:1 gene:Csa_1G046290 transcript:KGN64332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFKQEPCSDEERQKNSPGRKRDMKKGIHEIMCNNAPLRVSTSEHRDYGDDRVINLDA >KGN64385 pep chromosome:ASM407v2:1:5806263:5809401:1 gene:Csa_1G050240 transcript:KGN64385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKIKIGINGFGRIGRLVARVALQRDDVELVAVNDPFITTDYMTYMFKYDSVHGHWKHSDVKVKDSKSLLFGEKAVTVFGFRNPDEIPWGETGAEYIVESTGVFTDKDKAAAHLKGGAKKVIISAPSKDAPMFVVGVNEKEYKPELDVISNASCTTNCLAPLAKVINDRFGIVEGLMTTVHAMTATQKTVDGPSSKDWRGGRAASFNIIPSSTGAAKAVGKVLPALNGKLTGMSFRVPTVDVSVVDLTVRLEKKASYEDIKAAIKEESEGKLKGILGYTEDDVVSSDFVGDSRSSIFDAKAGIALNDNFVKIVSWYDNEVGYSTRVVDLIVHIASV >KGN65389 pep chromosome:ASM407v2:1:14779383:14779571:-1 gene:Csa_1G399660 transcript:KGN65389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDRNLHYREGIVLTRQMDGSSTWPLFLSSEDEAGFLRFLSSLDFLSLLPIPKLNEDRKIW >KGN64838 pep chromosome:ASM407v2:1:9256288:9256716:1 gene:Csa_1G124020 transcript:KGN64838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLLKLLIATSFLAHMFFFTVESSPNPKSSLATRIKLEGETSDCWGSLYELQACTGEVITFFLSGEAYLGVKCCQAIRTIQHECWPTLLGSLGYTTEEGDILEAYCDTTVDVDRLFTISSPKLAMAPSIKRMNYEPKISLP >KGN66074 pep chromosome:ASM407v2:1:21015784:21017266:1 gene:Csa_1G569500 transcript:KGN66074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLFHTKGRLGRTMGWLQILLIGLVIIMTTLSLFKFYSAGFIFHKDDVCRYFYTTTRHAYEETFDAKALSNRVEEVLDQLESLQEKLEFTVEEMGKNKQVLNNGKITMIEHKKYLEDEVIKPLYNAHIALRQIRLPKVEKNGQNSSAMKEEPLINNFVVEEIRKYISPKKSRIGKLNMKELEDYMDYDIGSYF >KGN64755 pep chromosome:ASM407v2:1:8327310:8329842:1 gene:Csa_1G088450 transcript:KGN64755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIVSLIATMKCVLAPYFPPSDPLKFTIAHRIFGASNIIKLLLDLPDSQRADAVSSLVYEASARIRDPVYGCAGAICQLQKQINELQAELAKTQAELVNIHCHQTNLVALICKELAQTPPPMSSSSLLPEHRSLDNSFNIVATPQSYQGYTSLFGDSNIWDPLWT >KGN65071 pep chromosome:ASM407v2:1:11400959:11401583:-1 gene:Csa_1G192190 transcript:KGN65071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYAVGGGTRRYRSCVGGRPNDSFVVVGRNSSGHGSIQETWMGFLWLAGGVVKIGDGFFERGYRKEVERKGKKCSGLGEVCEEDRNRGWERDGKCRWKLGIL >KGN66335 pep chromosome:ASM407v2:1:22821324:22824423:1 gene:Csa_1G597820 transcript:KGN66335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLNGKVTYSSLSNVGKVNRVHNDDEDCDSPWAMPNGSVPFQNSKFSAESEDFIEDEYDSSDEFDIPKQNSKRPEVNLKNVLNGMFAILTGVNKPSDVSSDKQIPSSNISFLGSEKNGDTYLHSSVYIPSAPPLLEPNTVNYTAYKDVLEAEPPEWLPDSSSSICMQCTAPFTAITRGRHHCRFCGGIFCRACSKGRCLMPVKFRERNPQRVCDACYDRLDPLQGVLINSISNAVQRAKHDVMDWTCSRGWLNLPIGLSMEHEIYKASQTLRGYFQVSRLNPERSIPLSVLKGAKGLAILTVAKGGVLVAYKFGTGLVIARRSDGSWSAPSALMSVGLGWGAQIGGELMDFIIVLHNSKAVKTFCSRMHFSLGAGCSVAAGPVGRVLEADLRAGDRGSGMCYTYSCSKGAFVGVSLEGNIVATRMSTNLCFYGDPYLTTSDILLGTVERPRAAEPLYSALDDFYSVLQ >KGN64032 pep chromosome:ASM407v2:1:3701939:3703819:1 gene:Csa_1G038990 transcript:KGN64032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLTSQRRVSFSDSGQQKRDPTVKPSDGIPQKKTSFKKDKKESKTLQWYFSNQTNEDYDSKDIEFATAVASAALAIRSQEETDLQYQKKKRESVEASITKVKSRKDGTAALAPSMTRRLSKKETQTTNPGQSSIKKPMGQEKRESVTGIPKPPAQPRRSLVPTRADVWERNKMEKISKRYLKIKASILAWENEKKMHAKHHMEKKKAELERKKALFQQYYQENIARIDQIAGGARAQLEEKRKREEKKARETANRIRSTGRLPVTCFCFQCY >KGN65573 pep chromosome:ASM407v2:1:16506920:16519464:1 gene:Csa_1G460000 transcript:KGN65573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METRLVLPTRRRELVFSLVSSIWSLLRSVRFYSKSMTIAMANDFQLLNNSGTKAKPIESDSAVGLDESKLYEVVPKCGLDFEEWTSLISEIERKYPDVIEKISLVYDSFLSEFPLCHGYWRKYASHKTRLCSVDRVVDVFEQAVQSATYSVGIWVDYCSFSISAFEDPSDIRRLFKRAISFVGKDYLSYSLWDKYIEFEVSQQQWDSLALIYIQTLRFPTKKLSYYHNSFRKLTASLKENIQSDTGCNNSMPMEFEASPDSEVPTKCTDTELSSVIKDLLDLSAGTTRYSSLLKYVHAGEKLYDEACQLKEKIMHFEDKIRRTYFHVKPLDDGQLKNWHSYLDLVEMYGDFDWAVKLYERCLIPCASYPEFWMRYVEFVETKGGRELAMFALERATKTFLKKVPVIHLFNSRFKEQIRDLSGARAAFLQLDGDLDSKFVENIILKANMEKRMGKSTEAFNIYRDALQMALMKKKLDVLPALYVHFSRLKHMITGSVDAAMEVLIDGIRNVPLCKLLLEELINFVMVHGVPKLINLVDPIVANAISLKADVSQGWSEQDREDISTLYLKQAVDLCGTIHDVMKVWNRHIKLFPQSIRAMPYKDPIPGIEAIKKTMGGKQTADSTVTNQPIRDDNVNPSNQPPLEENKESLLDNQNFKNDQSSNGNEPTSCLLVKHNIAMKESTIDKINLGDSEICAEEREQVNSPKVLERYGSGGNQIESAQMPMPMDNSKKDEYGDALGVTLKNLSIKSLSLNAKNNDKINLPSKACHEGEPPLENSLSSESVSNTDEEVVMHNPLNVGSSSSIQISNEGASPSSFPSPGKPTHPQVHTQFHMHETGDRKWHHKRHAGNLHHDLQHDFQGHSRRRPHRTWKDSPQDYRGMQSGQTSAAAELPHYFSVSTSFSRFYSREISKYYTKLRAIWSHAE >KGN65104 pep chromosome:ASM407v2:1:11740618:11745863:-1 gene:Csa_1G222870 transcript:KGN65104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKIALSVKVFHQSIVMKMCWRYKLGLLLILSVVIMWVSSAEVTQSIFADYEQPFAISYVTTSLWIVYLPIALLKDWLLSFLHRYNSKRGDLSVVGQSSVELQKNEVNIASELEPQGELSCKNCTVDVYSKDEGTPLVAVHIGKETTLKKDRKFTAKEVAAFGFCVAPIWFLTEYLTNAALARTSVASTTLLSSTSGLFTLLIGALLGEDTINIIKVVSVVVSMAGVAMTTFGKTSAADELQKNANGNENHALLGNVFSVLSSVTYGLFTVLLKKFAGGGQNLDLQKLFGCIGLFTFVALWWLVWPLTAMGIEPRFTFPHSANVEEVILVNAFVGSFLSDYFWALAVVWTSPLVAALGVSLTIPIAMLEDMVIHGRQYSVIYIIGSAQVFLGFVIANLSDWFSQNLASKVSRTTSQLQSLSFGPL >KGN63462 pep chromosome:ASM407v2:1:192133:197919:-1 gene:Csa_1G001340 transcript:KGN63462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSVKQELIEPLPLQRTSNNHEASNSSPTFIELSSDSESDSEDSEQEVVDGILGVDTRSVVPPNDVDGGPSKKRRLNELEVVKPLGFLAPASLDEKHSMAVILPPSAEAGTVQETGTSKANGSACKQFWKAGDYEGAPCSNWESTSGGMDHVRVHPKFLHSNATSHKWALGAFAELLDNSLDEVSSGATHVNIDMLVNKKDRTKMLLIEDNGGGMSPEKMRHCMSLGYSEKTKLADTIGQSFLFHILTDGNGFKTSTMRLGADVIVFSRCCGQYGKRSALFICLFHYREGGYGKICLSLKTDHLFNFFLPNLLQFTD >KGN65787 pep chromosome:ASM407v2:1:18526310:18527696:1 gene:Csa_1G528520 transcript:KGN65787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKFLIVFLVLIAFISHICESFELERKDFESEKSLMQLYKRWSSHHRISRNEHEMDRRFKVFKDNAKHVFKVNHMGKSLKLKLNQFADMSDDEFSKTYGSNITYYKNLHAKVGGRVGGFMYERATNIPSSIDWRKKGARRMCCWAFAAVAAVESIHQIRTNELVSLSEQEVVDCDYKVGGCRGGDYISAFEFIMENGGITVENNYPYYAGDGYCRRRGPNNERVTIDGYENVPRNNEYALMKAVAHQPGMFTEENFCGIRIDHTVVVVGYGSDEEGDYWIIRNQYGTQWGMNGYMKMQRGTRNPQGVCGMAMYPAFPVKY >KGN66248 pep chromosome:ASM407v2:1:22245713:22246951:-1 gene:Csa_1G589060 transcript:KGN66248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGKGESLTCSPTNNPELFYSLLGGLGQFGIITRARIALAPTPTRVKWVRMLYTNFSSFTSDQESLISRDPSNAPDYLEGLLLLQLNAGDKSSFYPLPDQPKISSLVSQYGIVYVLELVKYYDQHSSSSVDQELETLLGGLKFEAGMKFVKDASYEEFLDRVHTDEVALRALGLWEVPHPWINLFVPKSRIADFDSGVFRGIIQKRNLTSGVFLFYPMFKNKYVFSFFF >KGN65712 pep chromosome:ASM407v2:1:17990245:17990881:-1 gene:Csa_1G506960 transcript:KGN65712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLFNSSILLSENDVNSMEFTFLLRYVNSKEDDQEEDGQEETLNGGRSNSVEDDDNGFKTPTSLEHKIPTSLQCPMAPRKPKPRRLTKRKAVERHRLLLDLSSEIQSLFPAEFLVGLGGKIKRVRQ >KGN63755 pep chromosome:ASM407v2:1:1954536:1955454:-1 gene:Csa_1G014520 transcript:KGN63755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCTIVTLEKIEVYTKDVSIKIAPPFDFMDIIPLEAVGRYKECILLLCSWIYNFGGLAVMKQHNFIDTGPGPYQVAPPMCDPMQHGQTQPYPPIVPPQGETKSETVPPSMKTKFRGDGFCRGL >KGN66185 pep chromosome:ASM407v2:1:21754170:21756422:-1 gene:Csa_1G575010 transcript:KGN66185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLHPHPSLLLLLLLLLFLLLSLSFTQTHCHTKGLKPKKSSKNFLPNNSTKTQFSEQQFLKWVKFVGSLRHSVFKTAKNKLFPSFTLHVAKNPASGDFTSIQDAIDSLPFINLVRVVIKVHAGVYTEKVNIPPLKSFITIEGAGAEKTIIQWGDTAQTPGSNGQPMGTYNSATFAVNSPYFIAKNITFKNTTPVPAPGAIGKQAVAFRISADTAAFFGCRFLGAQDTLYDHLGRHYYKDCYIEGSVDFIFGNGLSLFEGCHVHAIAQYTGALTAQGRSSLLEDTGFSFVKCKVTGSGALYLGRAWGPFSRVVFAYTYMDNIIIPKGWYNWGDPNREMTVFYGQYKCTGDGASFAGRVSWSRELTDEEAKPFISLTFIDGSEWIKI >KGN64828 pep chromosome:ASM407v2:1:9113745:9114433:-1 gene:Csa_1G120440 transcript:KGN64828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSETNSATAYPEYILPYLVHALAHHSCPDVDECKDVQAYELVYRKIYPLKRFYENLRCSKEGLTSEAAEERLKIFSDDKLEEKKLMLHLLVALRLTQLVFQVTLHTIDFARCS >KGN65412 pep chromosome:ASM407v2:1:15155525:15160965:-1 gene:Csa_1G418780 transcript:KGN65412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAATSAVVDLVKNEKVHAIIGPESSGEATFMIKLGEKAHVPIVSFSATSLSISPSHSPFFVRTAQNDSSQVQAITTIVQGFGWHDLVLIYEDTEYGRGLIPFLTDALQESNIRVSFKYAIPTSMDPYEISQHLHKMKKRQTRVFLVHVTSPFGSALFPLVEKAGMMTEGYAWLLTNTLSNCLDAMDPSVIKSMEGVLGIRPHFPASEALENFKRRWKWSAPELNIYGLWAYDTIWALAMAAERIGDVSNLGFLKGRGSDVEGKTDIANLRVSEVGPMLLKEMLNIKFKGLSGDFHLVNGHLQPSAFEIFNMIGRAERLIGYWNPEEGICQNIANKKPNEKYSTSVSKLKKIIWPGDSITAPRGWAVPADGEKFRIGVPKKQGFNEFLDVTRNPQTGELNFTGFCIDVFRAVADALPFPLPYEFELFKDDAGDNSVIYDDLLHQLAEREKNKFDAVVGDITIVASRANLVDFSLPYTDSGVTMLVPIKHNMHRSMWVFLKPLSLDLWLTTIAASIATGIVLLILEQNARRESLQPLELLCLILWFPFSSLVLPEIIYASDKKLFVNFRHFH >KGN64627 pep chromosome:ASM407v2:1:7257170:7262382:-1 gene:Csa_1G071890 transcript:KGN64627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTQTFFTRVSRVFHDHPSISRLLVLVTVSGGSLVAYADAGPTNGVPSIASTANVDEKKKKVVVLGTGWAGTSFLKNIKDPSYEVQVISPRNYFAFTPLLPSVTCGTVEARSIVEPIRNLVRKKRVDIRFNEAECYKIDAENRKLYCRSNENNNLNGKKEFVVDYDYLVIAVGAQVNTFNTPGVVENCHFLKEVEDAQRIRRTVIDCFERASLPTLDEEDRKKILHFAIVGGGPTGVEFAAELHDFVNEDLVKLYPGLQEFVKITLLEAGDHILNMFDKRITTFAEEKFRRDGIDVKTGSMVIKVTDKEISTKEMKNGEISSMPYGMTVWSTGIGTRPIIKDFMTQIGQANRRALATDEWLRVEGCDNVYALGDCATINQRKVMEDISAIFSKADKDNSGTLTVKEFQEVIDDICERYPQVELYLKNKQMHNIVDLLKGSKGDVAKESIELDIEEFKSALSQVDSQMKNLPATAQVAAQQGAYLAHCFNRMEECEKYPEGPLRFRGSGRHRFRAFRYKHLGQFAPLGGEQTAAQLPGDWVSIGHSSQWLWYSVYASKQVSWRTRALVVTDWTRRFIFGRDSSRI >KGN65345 pep chromosome:ASM407v2:1:14160243:14160887:-1 gene:Csa_1G361460 transcript:KGN65345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKSENEAFDSTFPFSSNKKSDMKVKNDVSGDSSSTQEEKNAEMEQILGGNSRGKSTRKQTKEKSNNSAEAPKESYIHVRARRGQATNSHSVAERVRREKISERMRLLQELVPECNKVTG >KGN66375 pep chromosome:ASM407v2:1:23115850:23118331:1 gene:Csa_1G600150 transcript:KGN66375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSQFFAPCVYCTSFSIPSKKINSNRQDSGALLSGENNAVARNTSQKKAMLIFMCIPVGPGKSRLIFVSLRNFAVSIDRIIPRWIFHLGQNLILDSDLYLLHVEERKILDVGPSNWQKACFVPTKSDAKVVAFRRWLNRYSDSQVDWRGKFSVILPPSPSKEQLMDRYWSHVVNCSSCKVAYKGLNALEVVLQVISIASLGIFAATKQSFVSGAARVLMLVMAIFCFASSMWLSHFIYKNFHFHDYNHALR >KGN65555 pep chromosome:ASM407v2:1:16315246:16322668:-1 gene:Csa_1G446400 transcript:KGN65555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFELIEDSCFPPLLFGVHVGLALLDAIIAVLAFYQLARIQSRDSRGWTRQKVFHLLIGSSNLGYLAYFILSLFAACNGWLCWSGSCGFVFMAFPKILVLALFLLLLSFWVDLCHQPEDEDDEDEERSFEEGLLEKISSKPSTSNTDWSRRWWVPVRLPHVGSRQNLVILVVTIIFVLTLAFAVILWLEMGNISIDSLVAVQVVYVDLFAVMTLLLGGALAFYGLLLFLKMRRVRSERASSEILKVAGLAAVSVVCFTSSALVAFLTNIPVPIQWQRQYHIYGAYTSILQITYYFIGSTIPSAFVLWIMRELPPWIAASSQEQTRTITFVCDDAATVHRPQEWTLTTNSPVPSRGSPI >KGN66677 pep chromosome:ASM407v2:1:26352558:26352828:-1 gene:Csa_1G658510 transcript:KGN66677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLLPHFLFWKVGVGGRDSSFEDQVIIRDGAGIPSPIRGPNWCPRFDLDIGQIEDSPNGVGP >KGN64400 pep chromosome:ASM407v2:1:5887225:5890016:-1 gene:Csa_1G050390 transcript:KGN64400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNGGESMALAVCSDRNMGLGITIWDVKTGQTLLHIPTCASPPYGFICLRNEFFVASQTRKEGSVGGGSIFIWSLNKPLPPVTSYTLETIGPLASTKDGVYLAGGTHSGNIHLWEVGSGELLKLWSGHHKPVKCVLFSWDDSFLITGSSDGMICVWSMISLLDVELVGNSQPIVYCLMEHNSSLTGLLTMSGCSMSIIISSSLDGSLKFWDLMSGMIRGTQAHIEGITAIVLHPTEQVLFSGTVDGQIFASRLEFGFDNCITIRENQILAPKGHKGAITALAFSQMYLISASEDCTVCIRDISSQRIIQKLDHKKGRITNLVAIPRSSLISTSNRKRVSNQSSMSSLDKYPQPANLMKSTIPLFSSIQPLGENLNSIRFTSTSSLNQQILDMKTEGTSAAIQMKVETSLERRMWASRMTKEVMDMNNHLQSRLLDMMRIRLFEPAKISSSSKKQKDERDRVAKKREKRTLSFH >KGN65536 pep chromosome:ASM407v2:1:16081358:16082068:1 gene:Csa_1G435810 transcript:KGN65536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPNSISRPQNLVVVENSIPFLPYDKPKPQPYNKYTNDYFTVFWFFISNTLIFIIAVDYGLFSLSQHKSFHLYEDYYYSPPNPKLTHFQLQTSSLVVFDEKRETPDEKLETVVQSQRLDSPSKNTTTPVRTYLRRKSEKPKRIVSMEISKKMMGKRRSESVKNEGKELEDENEFAKMTDEELNRRVEEFIQRFNKQMRLQTKLN >KGN64438 pep chromosome:ASM407v2:1:6129837:6138179:1 gene:Csa_1G051750 transcript:KGN64438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRRPASPVYARQWSGGSSSTGSSSPVMSPAHPQSRLAASSTIKRAQNVAAKKAAERLALVMANTHEPDDEDEDDDLNFRFGAPPPPRTHSSYPTVVSSNNTNSFHTISGPRINRSPSPALGRNIVEIVPQVRSTSTGRPSMSVRVNPNVPPSKQPLKTSVSIPPIEPPSNRIGDRRFASDIGQAKSKDAGDQREASALRDELDMLQEENENILEKLRLAEEKREEAEARARMLEKQVATLGEGVSLEAKLLSRKEAALRQREAALKAAQPTKDSRNEELAALRSEIENLKEESVAATEQLREAESEAKALRVMTQRMVLTQEEMEEVVLKRCWLARYWGLAVQYGICADIAISKHEYWSSLAPLPFEVVISAGQKAKEEPEGRNDQDRSKLIQDINDLSGEGNIESMLSVEMGLRELTSLKVEDATVLALAQHRRPSLVHQSDSKVPADPKFSEAFELSEAEAEDVLFKEAWLTYFWRRAKAYGVDEDVADERLQFWISRSGQPPTSHDAVDVERGLVELRKLGIEQQLWEASRKEIDQSSAKDLDTLS >KGN66291 pep chromosome:ASM407v2:1:22530181:22530700:-1 gene:Csa_1G596410 transcript:KGN66291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVALSSNIVVDAYTTFFSMHTIALKANNLPPPWKVVQLCEKYNNRCIRATDHTTMEEWFVNCITLFINDFTINYMVVGENVIPKLDNNILPAMKFLLDLLNSWFLGQVKITTLFGLSSLGNISLMLLAYIPVKHMLITWEI >KGN64045 pep chromosome:ASM407v2:1:3775652:3777675:-1 gene:Csa_1G039120 transcript:KGN64045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKHSSKSSAVSSDEQPPKEVADFMIKPQSFTPPVDTSQWPILLKNYDRLNVRTGHYTPLPSGYLPLKRPLAEYIRYGILNLDKPANPSSHEVVAWIKRILRVDKTGHSGTLDPKVTGNLIVCIDRATRLVKSQQGAGKEYVCVARLHSAVPDVSKVARALETLTGAVFQRPPLISAVKRQLRIRTIYESKLLEYDADKHLVVFWISCEAGTYVRTLCVHLGLILGVGGHMQELRRVRSGILGEKDNMVTMHDVMDAQWFYDNFKDESYLRRVIMPLEVVLTSYKRLVVKDSAVNAICYGAKLMIPGLLRFENDIGAGEEVVLMTTKGEAIALGIAEMTTAVMATCDHGVVAKIKRVVMDRDTYPRKWGLGPRASMKKKLIGEGKLDKHGKPNENTPQEWMRNLVLPTGGDVLVASAFVSDSATAKPTAAEESGKDDGGRKRKLDEETEAAPAKKLKTEEVEESEKKKKKKDKESGNDDSQLPAADVQNGEAHDHDDGSEKKKKKKKKKNKEDAEE >KGN66289 pep chromosome:ASM407v2:1:22523127:22524611:-1 gene:Csa_1G595900 transcript:KGN66289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVVQIVFLMASILMAATVVGAYDILLGAYYGLVGDNLPPPWKVVQLCEQYNIRRVRLDVPDVDVLTAFRGGAIDVSFGIPNNMLTDLANNKGLVEEWFNTYVKTFVDDFRINYIIVGDKAIPSHANFILPIMMSLQDLLNANYLGQVKLTTLVGYNAALVSKDPPSSGTFDPTVRESMRGILKFLAEEGSALMVSVFPHQKYEFNGGISLNYAIFNETNPVVQDEGLEYYNLFDAMVDAFYAAIEKEMVGEVNIVVGETGWPTCGDISGTQAIAKEYNNKFKSHISSGNGTPRKPNVYLEGFIQSIFNEDKKPEGDSQCYGMFDVNMKPIYPLFSTATAENMFMSGKKN >KGN64192 pep chromosome:ASM407v2:1:4614167:4617313:-1 gene:Csa_1G042970 transcript:KGN64192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFNLTQFRTKSPITNLALNPSRTGLTRPTRLGFRVVASGGAQAEPDLSVTVNGLNMPNPFVIGSGPPGTNYTVMKRAFDEGWGAVIAKTVSLDAAKVINVTPRYARLRADSNGSAKGQIIGWENIELISDRPLEIMLKEFKQLKEEYPDRILIASIMEEYNKAAWEELIDRVEQTGVDAFEINFSCPHGMPERRMGAAVGQDCALLEEVCGWINAKATIPVWAKMTPNITDISQPARVALKSGCEGIAAINTIMSVMGIDLKTLRPEPCVEGYSTPGGYSSKAVHPIALGKVLSIAKMMKAEFNDGDYSLSGIGGVETGGDAAEFILLGANTVQVCTGVMMHGYGVVKKLCAELQDFMKLHNFSSIEDFRGASLPYFTTHTDLVRRQREAIEQRKAVKKGLQSDKDWTGDGFVKETESMVSN >KGN66577 pep chromosome:ASM407v2:1:25146270:25147209:-1 gene:Csa_1G630320 transcript:KGN66577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFSTILSPFSLFLLILLFSTQTHLSFSARDFPLRSSDIHDLLPLYGFPVGLLPDNVNSYTLSDDGTFEIQLQSSCYVHFSDLVYYGKNIKGKLSNRSLSDVSGIEVKKLFAWLPITGIKVTPDSKSIEFAVGFLSEILPVSMFESIPTCRRKACLEGKTEAM >KGN64931 pep chromosome:ASM407v2:1:10225526:10227330:1 gene:Csa_1G163160 transcript:KGN64931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTMSTAKLPTHFMYNTQSKNQLGSTIIKCPSSIGSTRNILKSFGLNSRPSFRACAMAAFKIKLVEPCGKEHEFEASDDTYILDAAEEAGIDLPYSCRAGACSTCAGKIVSGSVDQSDGSFLDDDQMANGYLLTCISLPTADCVIHTHKEGELI >KGN63933 pep chromosome:ASM407v2:1:3135394:3139812:1 gene:Csa_1G029600 transcript:KGN63933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRFGAVPFIALLVLLCGSVPVRSDGSDHRYKDGDPVPLYANKVGPFHNPSETYRYFDLPFCVPDDVKEKREALGEVLNGDRLVSAPYKLDFLQEKDSSSVCQKKLSKEDVGRFRAAVDKDYYFQMYYDDLPIWGFIGKVDKEGKDPSDFKYYLYKHIHFDIFYNKDRVIEINVRTDPNALVDLTEDKEVDVEFLYTVKWKETTTPFENRMDKYSQSSSLPHHLEIHWFSIINSCVTVLLLTGFLATILMRVLKNDFVKYAHDEESAEDQEETGWKYIHGDVFRYPKHKSLFAACLGSGTQLFTLTVFIFILALVGVFYPYNRGALFTALVVIYALTSGIAGYVATSFYCQLEGTNWVRNLLLTGCLFCGPLFLTFCFLNTVAIAYTATAALPFGTIVVIVLIWTLVTSPLLVLGGIAGKNSKAEFQAPVRTTKYPREIPPLPWYRGTIPQMAMAGFLPFSAIYIELYYIFASVWGHRIYTIYSILFIVFIILLIVTAFITVALTYFQLAAEDHEWWWRSFLCGGSTGLFIYAYCLYYYYARSDMSGFMQTSFFFGYMACVCYGFFLMLGAIGFRAALLFVRHIYRSIKCE >KGN66069 pep chromosome:ASM407v2:1:20997576:21000970:1 gene:Csa_1G569460 transcript:KGN66069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVLKCVFMIVVFISMPFSLEKSHISIANSLLDATESFSVKELERVSGEDENGGNEPFILDRVRALLGLNSLQLGNQSPSDLSPSPSPSPSPSPFTISPSPAPMAAHRVHIHEHSHPHQLRLHKSRPKYKPKRKDPREGRVRKILVAVLVSVGVAILLCSIIAFWVCKKFKSQREESMEKLSVKSEKEDKTARPKSSLDLFDLGRLGMDVEEQTHTSESEKELSVHKEGGRSEEMLDSEFDNVSVSSTKEMMYVHEEDDSKSIQFVSEGTHSSSGDKVTPVQCCSSDDEESFHSCGDSNLSNRRLSNASEISSANVITNSTCSVPTVTLASKLETQCDESNKLLTSDQSHLALFPCNSEPKMQMVPHSVGFQKNANVPSLSPPPPPPPPPPPAVMDRCSFSLSSPFSTGSTSSALLRSSSPALSDSSSVSPIPWNDLPSPQTTTKPSLPSSAIPPPPSPPPILKTSPYSFKTPPPPPSKLPQFMSFGKEANLRPKLKPLHWDKVRAAPDQSMVWDKLRWSSFELDEEMIESLFGYNQHDSMKNGDASNKSPSPSKHILEAKRLQNLTILLKALNLSTEQVCEAIEQGNGLRLRQLEALVKMVPTQEEEAKLLSYEGDIGELGCTEKFVIAILRIPFAFQRVEAMLYRETFEDEVNHLRNSFSILEEACKELRSSRLFLKLLEAVLKTGNRMNVGTSRGGARAFKLDALLKLSDVKGTDGKTSLLHFVVQEMIRSEGIRVSGSIMGKINQKNKPRTVEERENDYRRMGLDLVSGLSTELQNVKRAATIDLKVVGSSRGNLNEGMRKMEELVGKELRGNFGESMKGFVGYVKKRMEEVKKDEERVLGNVREITEYFHGNVSKEETNPLRIFVIVRDFLGMLDNVCKSFKIGC >KGN64620 pep chromosome:ASM407v2:1:7211518:7213546:-1 gene:Csa_1G071830 transcript:KGN64620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRSVDSLVVGRVIGDVLDMFTPAADVSVSYGSKHVANGGEIKPFVAADRPTVLIQAPVSNQLYTLVMVDPDAPSPSEPTFREWLHWIVVDIPEGADANKGKEVVHYMGPQPPTGIHRYVFAVFKQNTPLGGRLRPPTTRSNFKTRQFASQNGLGLPVAAVYFNSQKQPASKKR >KGN65847 pep chromosome:ASM407v2:1:18981154:18982298:-1 gene:Csa_1G533540 transcript:KGN65847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEREVCFSWLVKSPKKELLHEQQVVPTPSSIWEDDFRAFFDLTHEETPPPPLHHPDYYHFQNHVNDVMENNNKVSNLNLNLNYEEVLEAWSDRGSLWAAASSSHSNDSTHTPYMGEVPIIRMEEERRRRVKRYKEKRQARIFCKKIRYQVRKLNADRRPRIKGRFVKTDC >KGN66876 pep chromosome:ASM407v2:1:28510974:28512510:-1 gene:Csa_1G702010 transcript:KGN66876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLFFFLMVFLMGSLFFFLMDFDIMVFKGFFIFENQVILAASITHSPTIFILQCPPVSSFQKINNKWKSILQWEKERMLNSNQSW >KGN64430 pep chromosome:ASM407v2:1:6058303:6060368:1 gene:Csa_1G051680 transcript:KGN64430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLNNFLNLILPPTSLISFFFFLPIYLFFKSLSSIIRSVFSEDVAGKVVLITGASSGIGEHLAYEYAKRGAYLALVARRENRLREVAAVAQYLGSPYALVIPADISKIEDCKRCIQTTVTHFRRLDHLVNNAGVSSVNLFEEYDNLQNAVPVMDVNFWGMVYCSYYGIPHLKQSRGKIIGIASSAAWLPAPRLSFYTSSKAAVISFYETLRVEVGRDIGITIVTPGLVESEMTQGKFMSKDGHLYLDQQLRDATVSAMPIMPIDDAVKGILRSVCKGDRYATEPRWMKMAFYYKTLWPELVEWFNYLINMRGSSNSPTDTFGKRLVQLSGLKNWFYPDSVRSPDLDVETN >KGN66793 pep chromosome:ASM407v2:1:27754396:27765358:1 gene:Csa_1G690300 transcript:KGN66793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSLLDDIINRLLEVRGRVGKQVQLSESEIRQLCQFSREIFLQQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGLPPHANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFILRGNHECASINRIYGFYDECKRRFNVRLWKTFTECFNCLPVAALVDEKILCMHGGLSPDLNNLDQIRNMSRPTDVPDAGLLCDLLWSDPSKDVQGWGMNDRGVSFTFGADKVTEFLQKHDLDLICRAHQVVEDGYEFFANRQLVTIFSAPNYCGEFDNAGAMMSVDETLMCSFQILKPADKKPKLNFGSTTTTKPGNPPAGVKQSFLGTKA >KGN63946 pep chromosome:ASM407v2:1:3264769:3274362:-1 gene:Csa_1G031210 transcript:KGN63946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGDLYIMLHIGEKHGIWRDGIHLYSNISIDYTEAILGTTVKVETVEGLKDLQIPAGVQPGDRVRLSCMGIPDINKPSVRGDHLFIVNVQIPKRMSDSERTKIKELALLKASTKNDEVYTHGMPLGTFDKHTDENQGNHASSQAIKRHRSLWSSIKYFIRDLKTVLLEAFEVAFFIHRLANNGCNSNFSLLDGEKLLLDTSAQKIPLTLGGEGRSFQGFGSCKWKGFGKKQKPPKGFEDNHKYVKFQSAGARAMHKKLRARRQESRSYTMARPLFQQFHYKMLQGMVKNSRFSKLSEISFMPLKIESLVRKFK >KGN64566 pep chromosome:ASM407v2:1:6891623:6894080:1 gene:Csa_1G065370 transcript:KGN64566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSMLIILCFALFLRQAIAQPDFQIYDCVQNQGNFTTNSTYKANLNHLLSTFTTHHQINYGFYNFSYGLQNKANVIGLCRGDLTLLACTTCLNNSRTLLPLLCPNHTEAIGWYDECMLRYSNRSIFASMETSPAFRAWNPNNASDPHRFFQFATTLLQQLTQEAAFGDSRLKFATGVTSIPSFPTIYGAVQCTPDLSPQNCTTCLLGAIQRIRLCCDGKAGGRIGRPSCNIRFESYLFYKQSSVSLAPSPNPSLPPSPPPQRKASWRVAVIVVSVVVCAVIITVTICIFLTKRKRRNPATQVPSRMALEDEETVIESWQFDFDTIKIATNGFSEENKLGEGGFGVVYKAWRNWQNGTTQNIIDPTLRSGSKMEMVRCIHIGLLCVQEKVAMRPNMGTVLLMLNSYSITLPRPSQPAFILSTINSQISEHSNHNSTQELNDMSITELYPR >KGN63717 pep chromosome:ASM407v2:1:1748087:1750900:-1 gene:Csa_1G013160 transcript:KGN63717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTQANDPRQPSAVKPFVAPAVNPQDLPVDYSGFIAVVFGIAGVMFRYKLSSWLAIIFCAQSLANMRNIENDLKQISMAMMFAIMGLVTNYLSPARPGAKS >KGN65233 pep chromosome:ASM407v2:1:12986942:12987421:-1 gene:Csa_1G267780 transcript:KGN65233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKTIFIYVIWHTIEEAHLRQISWLDCRWNLNSSYFKPNIWYKAHIDVKLTSGAFGWTSPVDAKIEMPDGSKQESLIKLDRRENVGFAISLGKFIITNSTTSGVIQFGFYNHETKWKSGLIIRALVVDILTDE >KGN63937 pep chromosome:ASM407v2:1:3194902:3200144:1 gene:Csa_1G030630 transcript:KGN63937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKGLLSHSRSENNGSSDSNREQSLKRRKILEQKKVMDQLIDVAGAQKDHLSPFPSFHHFNCGGLSLYLQSGHGNKLSHSLKKYIQNLLKINMAGPYGSQWPTEEKVKHREMVSTHAHYIFVHEASNANANGMSSKSDAEKITTTLTKKDPVVAFVHFRFILEETIPVLYVYELQIEPRFQGRGLGTFLMELIELIACKNCMGAVVFTVQKANSKALNFYQSKLRYTISSISPSRVNLSMAVETSYEILCKAFNEDAKAVLEGQVKTV >KGN66447 pep chromosome:ASM407v2:1:23786262:23786992:-1 gene:Csa_1G605760 transcript:KGN66447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPRTLKIWKMGIVNYKEALHLQEKLVSARKSSKIPDMLLSLQHPPTYTLGKRRTDHNLLIPESDLAKLGAELHYSERGGDITFHGPNQSILYPIISLRDVGFGARKYVEKLEQTMIEMACLYGVKAKAGEKGETGVWVGDRKIGAIGVRISNGVTSHGLAFNVDPDLMYFKHIVPCGIVDKDVTSLRKETKEVLPSEEVLEEQLISCFVKLFGYCKTQVEKIPLTELDFGDEIENLK >KGN66869 pep chromosome:ASM407v2:1:28461111:28465213:1 gene:Csa_1G701940 transcript:KGN66869 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit alpha type MGLYERGKNGVCLKLTEQRCCCCFFFFFLYCFRQNLPGFFISIAKMFLTRTEYDRGVNTFSPEGRLFQVEYAIEAIKLGSTAIGLKTKEGVVLAVEKRITSPLLEPSSVEKIMEIDEHIGCAMSGLIADAHTLVEHARVETQNHRFSYGEPMTVESTTQALCDLALRFGEGDEESMSRPFGVALLIAGHDEKGPSLFYTDPSGTFWQCNAKAIGSGSEGADSSLQEQYNKDLTLQEAETIALSILKQVMEEKVTPSNVDIAKVSPTYHLYTPAEVEAVISRL >KGN65390 pep chromosome:ASM407v2:1:14819483:14827734:-1 gene:Csa_1G403660 transcript:KGN65390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVAAAQWLDAYIYDYLLKRKLYTSARSFLAEGKILRDPVAFDAPGGFLLEWWSVFWDLFIARINPQHSEAATSYIKSQLLKDGDLQLQQQHYLQKTQQSSTPIANRLVLNNSLNIQNPSVANEMAAKMYEENFTLPIQRDTLANVLPKKRFLKHVSQRPGSMFGVSAMNAQPLSQSQISGYKSTSIDTQYQSQQLPGSKKLKGEMKSSMNTRVSTAEGIAGSNPGTSRLPLNGRPSSGLDPLSLGQQQQPNSFIQLPHTSSRFQLEHEFIFQAPQNLGVDSANVACIRPGVPLSQNIHMKDSHLCSMDLSDVDSMAPVCHPALPHVSADMLNKHPILSQHSQNSNHIIQQQDKLTSSGITNVDGCTSNKFQANNQADMGCLMDDEPLDDVESFLSLNESDERDNLGLLSDSTKGPTLKEIHVIPANTRKVECCCFSSDGKLLASGGSDKKATVWCTKSFKVRSTLDEHSQWITDVRFSPRTLKIATSSGDGTVKVWDVDNHGQSLRTFTGHSTGVASLDFHPSKDDLICSSDISSEIRYWSIKNGSCVGIFKGGATKLRFQPNNGRTLAAAAGKVVSIIDVETQVCRLRLQGHKKKIHSVCWDPSGEYLASTSDDVAKVWKFGSGSKGDCIHELNCNGNTFHTCVFHPTNTSVLIIGSHESLELWDMTENKTRTLQAHEKLVTALAASNATGLIASASHDDCVKIWQ >KGN65439 pep chromosome:ASM407v2:1:15327682:15329133:-1 gene:Csa_1G422480 transcript:KGN65439 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase 2 MATPSPFSSLLLFLSLLSVSSVFCGNFYNDFEITWGNDRAKILNNGDLLTLSLDRGSGSGFQSKNVYLYGKIDMQLKLVPGNSAGTVTTYYLKSQGSTWDEIDFEFLGNLSGDPYTLHTNVYTQGKGDREQQFHLWFDPTYDFHTYSILWNPQGIIFSVDGTPIREFKNHESSGVLFPKNQPMRLYSSLWNADDWATRGGLVKTDWSKAPFTAAYRNFNANVCVWSGGASSCSSGTNVGGRGWLSENLDITRQQRMKWVQRNYMIYNYCTDAKRFPQGYPPECSLAS >KGN65272 pep chromosome:ASM407v2:1:13324800:13325744:1 gene:Csa_1G294030 transcript:KGN65272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGRKNWEGGLCIGWLIWAVLCVISAGGEFFKVGVVLDHNTIVGKLSNISIQMALSDFYAENLKYKTRISFIFKDAGDVVEVASAATELLRDGVEAIIGPQTTEQAMYLTEFGRKYEIPIISFTVTTPSLSPKQKPYFIREAHSDLAQVQAVNAVIQMYGWREIVPIYEDTEYGRGIIPNLADALQQNSTRLVMRTMIPLSASETEILKELKRLKDMHKTIFLLHMSGCVGRMVLSAAKKEGMFSEGYAWIVTNGLSCLVDPILVSEDLDSMQGIVGIRPYIPITQKLQKLQAEFKRRLPFSLSSSKIFKFFKA >KGN66272 pep chromosome:ASM407v2:1:22413415:22429007:1 gene:Csa_1G590280 transcript:KGN66272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPVNIIVGSHVWIEDPKLAWIDGEVFRINGEEVHVRTTNGKTVVANISKIFPKDTEAPAAGVDDMTKLSYLHEPGVLSNLATRYELNEIYTYTGNILIAINPFQRLPHLYDTHMMEQYKGTGFGELSPHVFAVAEVAYRAMINEGKSNSILVSGESGAGKTETTKMLMRYLAYLGGRSGVEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKSGRISGAAVRTYLLERSRVCQISDPERNYHCFYLLCAAPPEEREKYKLADPKSFHYLNQSKCFELDGVNDAHEYLATRRAMDVVGISEEEQEAIFRVVAAILHLGNIEFAKGEEIDSSVIKDEKSRFHLNTTAELLKCDAKSLEDALIQRVMVTPEEVITRTLDPVAALGSRDALAKTIYSRLFDWLVEKINNSIGQDPNSKSLIGVLDIYGFESFKFNSFEQFCINFTNEKLQQHFNQHVFKMEQEEYTKEQINWSYIEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFAQKLYQTFKSNKRFIKPKLSRTSFTISHYAGEVTYLADLFLDKNKDYVVAEHQALLTTSKCSFARALFPPQPDETSKSSKFSSIGSRFKLQLQSLMETLNSTEPHYIRCVKPNNVLKPAIFENSNVIQQLRCGGVLEAIRISCAGYPTRRTFYEFLLRFGVLAPEVLDGNYDDKVACQMILDKMGLKGYQIGKTKIFLRAGQMASLDAKRTEVLSNAARTIQMQIRTFIARKEFVALRKAAIHVQSFSRGVLARKLFEQLRREAAALKIQKCFKRYIARKSYLDLLSSAIKLQTGLRAMKARDEFRFRKRTKAAIIIQARLRCHMAHSYYTRLQKAALYTQCGWRRRVARKELRKLKMAARETGALKEAKDKLEKRVEELTWRLQLEKRLRTDLEEEKAQEIAKLQDSLHNMQLQVEEANAQVIKEREAAQKAIEEAPPVVKETPVFVEDTEKINSLMAEVESLKASLLSEKTSAEEARKACTDAEAKNVELTKRLQDTDQKVDQLQESVQRLEEKLSNSESENQVLRQQALTMSPTGKALSARPKSMIIQRTPENGNIPHGEAKVSLDTTLALSTVREPESEEKPQKSLNEKQQENQDLLVKCISQDLGFSGGKPVAACVIYKCLLHWRSFEVERTGIFDRIIQTIASSIEVPDNNDVLTYWLSNTSTLLLLLQHTLKASGAASLTPQRRRTTSASLFGRMSQGLRASPQSGGLSFLNGRGLGRLDDFRQVEAKYPALLFKQQLTAFLEKIYGMIRDNLKKEISPLLGLCIQAPRTSRASLVKGRSQANAMAQQALIAHWQSIVKSLNNYLKIMKANYVPPFLVRKIFTQIFSFINVQLFNSLLLRRECCSFSNGEYVKAGLSELEQWCSGATEEYAGSAWDELKHIRQAVGFLVIHQKPKKTLNEITKDLCPVLSIQQLYRISTMYWDDKYGTHSVSSEVISSMRILMTEDSNNAISSFLLDDDSSIPFSVDDISKSMKQVDVTDIDPPPLIRENSGFGFLLQRAE >KGN66345 pep chromosome:ASM407v2:1:22898964:22905143:1 gene:Csa_1G599390 transcript:KGN66345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQGLEGAQPVDLKKHPSGIVPTLQNIVSTVNLDCKLDLKAIALQARNAEYNPKRFAAVIMRIREPKTTALIFASGKMVCTGAKSEQQSKLAARKYARIIQKLGFPAKFKDFKIQNIVGSCDVKFPIRLEGLAYSHGAFSSYEPELFPGLIYRMKQPKIVLLIFVSGKIVLTGAKVREETYTAFENIYPVLTEFRKNQQ >KGN65107 pep chromosome:ASM407v2:1:11777467:11778804:1 gene:Csa_1G224380 transcript:KGN65107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYTFCPTDEELLCYYLYHKVVGGFVPPIMLSVVDIYTKEPPQIWQQCGGVDGQDVHFFTCLKKKKSRVVRKVGPNGATWSGESKATKVFSHNNTLVGDFKRFHYENPKMKKGGHHNNNYSWIMYEYTLHPNLVPEGVVHHSFVLFMLRKKILKQDKRAFSAIKQTSSCLDWPNTTKATTTQLEVHIDDLNTKRRRVEEQICIDRVEDDCFINIERFGEQLLNGYNLEEPPQLLPESRAMQMEPWSKDNTLREICPTMETEAPEQNYLTGDEDALLFARELEMSLEQQ >KGN65407 pep chromosome:ASM407v2:1:15114107:15117125:1 gene:Csa_1G418240 transcript:KGN65407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEPKPSTPLLSTKAPSSRSLPDFKKSVKLKYVKLGYHYLITHGMYLFLSPLVVVIAAQLSTFSLQDLYEVWGHLQYNLVSVILCSTLLVFLSTLYFLTRPRPVYLVNFSCYKPDESRKCTKKIFMDQSHMTGTFTEENLQFQRKILERSGLGDSTYLPEAVLNIPPNPCMAEARKEAEMVMFGAIDELLAKTSVKPKDIGILIVNCSLFNPTPSLSAMVINHYKLRGNIISYNLGGMGCSAGLISIDLAKQLLQVHPNSYALVISMENITLNWYFGNDRSKLVSNCLFRMGGAAILLSNRRSERRRSKYQLIHTVRTHKGADDKCFSCVTQEEDSTGNIGVTLSKDLMAVAGDALKTNITTLGPLVLPMSEQLLFFGTLVGKKLFKMKIKPYIPDFKLAFEHFCIHAGGRAVLDELEKNLQLSDWHMEPSRMTLYRFGNTSSSSLWYELAYTEGKGRMKKGDRTWQIAFGSGFKCNSAVWRALRTINPAKEKNPWMNEINQFPVDVPKISTI >KGN66202 pep chromosome:ASM407v2:1:21872186:21873437:-1 gene:Csa_1G575180 transcript:KGN66202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPCCDKASVKRGPWSPQEDATLTAFIHKHGTGGNWIALPHKAGLNRCGKSCRLRWLNYLRPDIKHGGFTQEEDNVICSLYSTIGSRWAVIASQLPGRTDNDVKNHWNTKLKKKFNSSFSKPPNPIVVVTDPPRYSATSTANSLKLHDDHEHNINTNFNMFSSHPPFDQIPMLNNNNNPIIPELSTSSSSISLPIEQNHLEFSGPSSDEAADILQSYFHGVYENNNQYPYEDDVMDGFDVSNYMGL >KGN64804 pep chromosome:ASM407v2:1:8755080:8755370:1 gene:Csa_1G108290 transcript:KGN64804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATMKMEVYNNFHSSIFVSVEVSEIRCSRARIPEPDVNPFSPFFEGQGSSFPSLVRVLLPVLVAFFPIPITPFHFYVFCSLTGFLGFPSPPPTSC >KGN64349 pep chromosome:ASM407v2:1:5517398:5517898:1 gene:Csa_1G047420 transcript:KGN64349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDLASLQAPLLPIRPCDGDGFDAFTKFRGSDFRGLNDQVSNFRGLKSGEILKFGVGEDMAEVIYCVYANRGVDEVVEAVMGMERGWAGGEKGREERNKKMREKNPRSKLITQTKYSNAFN >KGN65897 pep chromosome:ASM407v2:1:19492733:19493574:1 gene:Csa_1G537470 transcript:KGN65897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKTFPELGLTKEDCIEMSWIESVLYFAGFSRGQPLNVLLDRRPLTPKRFFKAKSDYVNEPIPKAGLEGIWEFFNEEEAEAAVLILSPYGGIMDKISESEIPFPHRAGNLYKIQHLVYWDEEGEDIAKRHINWIRKLYSYMAPFVSKNPRAAYINYRDLDIGTNEKNGRNTSYNEASVWGIKYFKGNFKRLVSVKTKVDPSNFFKNEQSIPSLKPWWNKRGY >KGN65437 pep chromosome:ASM407v2:1:15317686:15317853:1 gene:Csa_1G422460 transcript:KGN65437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGGNKALGRGEEAVKEKKDAVFVMKDEGFTADTSCNLPFAKFATFFNHWNSQHV >KGN65860 pep chromosome:ASM407v2:1:19040616:19041134:1 gene:Csa_1G533670 transcript:KGN65860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSSLRLPLSPWITKASSSCFRFPDPVFVSRTPPLRPALLHFFSSSTASTDAFSTRCAPDQLRKWQAFRKKKVVLRIGYVGTDYRGPHFTLLSVSLDHPLVNPSPFLINSLVLTIQGYKCNATNCHIQVSFSLHYIRKIPIDGLTMASPEYSVAVL >KGN64114 pep chromosome:ASM407v2:1:4193843:4194853:-1 gene:Csa_1G042260 transcript:KGN64114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGKFFCMVLRIHMDCNGCYRKVRRAILSIKELETHLIEQKQCRVSVCGKFSPQDIAIKIRKKTNRRVEILEIQECDTFNENNGIQGPLIINAWKCQSNYDQVETCCKHEGDTKEQEDLASPIT >KGN64644 pep chromosome:ASM407v2:1:7372521:7373967:-1 gene:Csa_1G073040 transcript:KGN64644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSQEHPQSFLNYWGNISEEDYFTSQRIKASKSFYTSPRGLKLFTRSWLPLPPTPPRALIFMVHGYGNNISWTFQATSIFLAQMGFACFALDLEGHGRSQGLKAFVPNVDSVVHDCLSFFNFLKLDPQFQGLPCFLYGESMGGAICLMIHFADPKGFDGAVLVAPMCKISDNVKPHWPIPQFLTAVAQFLPTLAIVPTADLLDKSVKVEEKKIVAEMNPMRYRGKPRLGTVVELLRVTEHLSQRLKDVNLPFIVLHGNADVVTDPNVSKTLYEEAKSEDKTIKIYEGMMHSMLYGETDENVEIVRNDILCWLNERCRTG >KGN65859 pep chromosome:ASM407v2:1:19029511:19040412:-1 gene:Csa_1G533660 transcript:KGN65859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPSTLLASHFSNNRFSTSSYLLNPLPLPTPANFNLSRRRHFRVSIPRASSEVAQQDVSSSSPSSLDIFGGKKELTGLQPIVHLLPPPLRLATSAIVVAGAVAAGYGLGLRFGKSSNAALGGAAALAAASGAAVYSFNSCVPEVAAVDLHNYVAGFDDPKNVKNEEIESIATKYGVSKQDEAFNAELCDLYCRFVSSVLPSGSQDLSGDEVDTIIKFKSALGIDDPDAAAMHMEIGRRIFRQRLETGDRDGDLEERRAFQKLIYVSTLVFGDASSFLLPWKRVFKVTDSQVEIAIRDNAQRLYISELKSVGRDLNAEKLISLKDAQRLYRLSDELAGDLFKEHTRKLVEENISVALNILKSRTRAVRGVIEVVEELDKILEFNSLLISLKNHPDANRFAPGVGPVSLLGGEYDGDRKIDDLKLLYRTYVTDSLSNGRMEEDKLAALNQLRNIFGLGTREAENITLDVTSKVYRKRLSQSVSSGDLEIADSKAAFLQNLCEELHFDPLKASEIHEEIYRQKLQQCVADGELSDEDVSALLRLRVMLCIPQQTVEAAHTDICGSLFEKVVREAIAAGVDGYDADIKKSVKKAAHGLRLTREAAMSIASKAVRKVFINYIKRARGVGNRTEAAKELKKMIAFNTLVVTELVADIKGESSDADASSEEPIKEVEEQLEEDEEWESLQTLRKIKPNKELSAKLGKPGQTEITLKDDLPERERTDLYKTYLLFCITGEVTRIPFGAQITTKKDDSEYVLLNQLGNILGLTTKETVEVHRSLAEQAFQQQAEVILADGQLTKARVEQLNELQKKVGLPAEYANKIIKNITTTKMAAAIETAVGQGRLNIKQIRELKEANVDLDSMISERLRENLFKKTVDDIFSSGTGEFDEEEVYEKIPLDLNINAEKAKRVVHELAESRLSNSLVQAVALFRQRNRQGVVSSLNDLLACDKAVPSKPLSWDVSEELADLYSVYAKSEPTPEKLSRLQYLLGIDDSTAAAIREMGDRLQPIGAEEENFVF >KGN66261 pep chromosome:ASM407v2:1:22336591:22337532:-1 gene:Csa_1G589680 transcript:KGN66261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMEDKEEPEERHVVCYLPIAIRRSPQNDDTALLTASASQFRQLLHEVSPGSHQRPLSDFPASFSGSHAAATELTASLLSFEANIERLKIEL >KGN65797 pep chromosome:ASM407v2:1:18599538:18604447:1 gene:Csa_1G528620 transcript:KGN65797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRSHLTFEEEGETKKDRKKMSSDFKLEIDGEEPLVSIRNGNVEQNERGGTVHRLLSGIRNQGSKSISNFLTNAQRRALGDRFFRGNQTVNNGLLDGDETREHGLGRKTGPLLSGTAYCISSCSMIILNKVVLSGYNFNAGISLMFYQNLISSIVIILLGLCRTVSIEKLNWKLIRLWIPVNLIFIGMLVSGMYSLKYINIAMVTILKNVTNILTAIGEVYIFRKRQNQKVWTAMFLMRERLFYSLFSANKYYDGLHLFKRAVLLFPFYLFFHGQKSYTGFIPNLYIAEAQCSFGIKRCIVSVIVEIISAISGGVTDLTFDTLGYGWQITNCVLTASYSLTLRRIMDEAKKLTRSGSLNEASMVLLNNLLSLPFGVVLIILFGEWAYVMNADVIKLPTFWVIATASGLLGLAISFTSMWFLHQTGPTTYRYSHFIIISSACS >KGN65074 pep chromosome:ASM407v2:1:11416735:11416926:1 gene:Csa_1G193700 transcript:KGN65074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDGYVVWRPREPPRASRVRQQGRLCHDIALMKGFVRMFEVCFAANECPRHLRIVDKVENNCH >KGN65366 pep chromosome:ASM407v2:1:14519472:14521081:1 gene:Csa_1G384030 transcript:KGN65366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLKKMGKVFDCFSYSSNSCSSNSCFCINSMEIEDEEDEFFDKQPLIANNNRKDNQLPTLKDVVNGNQTLAFQLKPKMVTLRVSMHCKGCARKVEKHISKMEGVSSYTIDLETKMVIIIGDILPFEVVESVSKVKNAQLWQSSIAS >KGN65421 pep chromosome:ASM407v2:1:15233298:15236081:-1 gene:Csa_1G420345 transcript:KGN65421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGWRTSSIRRQHELPKSSELIKNDKYPHIVYEEQSTQDDVCNKASLDQKLDLEEEMIRGLNQVPWTRVDVSFQKSRQRYIAHSTIQVKSYWLNSDGADVVFHMIDNFVL >KGN65682 pep chromosome:ASM407v2:1:17545993:17548668:1 gene:Csa_1G496290 transcript:KGN65682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPRSLIQRVTPYLVARIKENQRLLSSSASAYQEALPLSPSPSPSAPVDTIHMTDSCIRRMKELQDPKEEKMLRLSVETGGCSGFQYVFNLDGKTNPDDRIYEKEGVKLVVDNISYDFVKGATIDYVEELIRSAFVVSTNPSAVGGCSCKSSFMVKQ >KGN66716 pep chromosome:ASM407v2:1:26764319:26777487:1 gene:Csa_1G662800 transcript:KGN66716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHPENKISDLVGLVKSWMPWRSDPENVSRDFWMPDKSCRVCYECDSQFTFINRRHHCRRCGRVFCSRCTANFIPAPSTDATNAREDSEKIRVCNYCFKQWGKEPSSLQDGDKATSSALSLSSSSTSMGSTKSGYTCHSAISHIASTPCSTGRQYYDPYCSAAMADEHDNLRNGKTINRTTSLMTSSSSYYGYYRSDDEDDDYYYGMYRSDSESRHISQSDDYYCAVNIDEGSNLERENTSTKGSPVNFDTQSPRGNEESSEDSDENDKGSECDFPSYAMQNKNHEPMDFQNNGILWLPPEPEDEEDEKALLFEDDDDVCAPGEWGYLHSSLSSGECPMKGKSSEDYKKTAKNVVEGHFRALVSQLLEAENLPIGDAPREDGWLDIITYLSWEAAAVLKPDTSKSGGMDPGGYVKVKCVAGGKRSESIIVKGIICKKNVAHRRMTRGISKPRFFVLGGALEYQRVTNHLSSFDTLLQQELDHLKMAVAKIDAHHPNVLLVEKSVSRHAQEYLLSKNITLVLNIKRSLLERIACCTGANIIPTVDHVASLKLGYCDAFRVETFVEEHDSGDGQGGKKSTKTLMFFEGCPKPLCCTILLRGASGDELKKVKHVVQYATFAAYHLALETCFLADEGASVPELPLNSPITVALPHNPSNVDRSISAIPGFTCPLPVKSPEPEPIMEIGQSGKDAVSSRDSSIISHNVVNLNSLEPTSSLLESNATSPSFSFLKQDFSTMISSMFDSEGKRSLYSKEYSMGMMNIKEEAGEDDDPVSSSGKREASSRHANTKVLMNRNSLDANTQSPNNSTSQGPENFNTDLVTTKSSREDFPLSPSDQQNILVSLSTRCVWKGTICDRAHLLRIKYYGSFDKPLGRFLRDNLFDQNYRCHSCGMPSEAHVHCYTHPQGSLTISAKKLPDFFLPGEPEGKIWMWHRCLKCPRTNGFPPATRRVIMSDAAWGLSFGKFLELSFSNNAAASRVAGCGHFLHRDCLRFYGFGRTVACFHYAAINVQSVHIPPSMIEFHLNNLEWINKEANEVHNRAKTLFGEVCKALPLIPKNESGAEQEDFGVDELNNYIQELEQIINMEKENFEVHFNKLLSGGTTVSQPTVDIFEINKLHMHILFLSYIWVQRLNYVATLRKKHSPDGSSNDISILVEKELNSLEELVELTLASGRDEFFGGCDNDSLPAESKREAGLGREGNSGNISRLNKTDREKNIDLGGQEDDFCLSPVNLDPVDVGIGIRRANSVGEYPIMTVPGTLDAVWKGVIHPNSLIFDDSFSRTTSAILEPLAGQSDMEKCTANRIETAHLLHSALVLTRDDSVETIPNSTSMPPSAYNTKISLLNIPKSDISDYSSVFISSYRELEKQSHLRLLMHVGSSDTVVPVYDEEPTSIIAYSMLMPEYIAQMSEPAKGMEASDTARSLPVIGSVKMNSIKTCDEEGSDAYKTLRSNEETILSMPRTESLQFEDLVCMSKDLHTRVCFINETPLGPVKYTITCYFAKRFEALRKKCCPSELDFVRSLSRCRRWGAQGGKSNVFFAKTLDERFIIKQVTKIELESFIQFSKAYFIYLSQAICTGCPTCLAKVVGMYQVSSKHLKGGKECKVDVLVMENLLFRHNVTRLYDLKGSSRSRYNPDTSGKNKVLLDQNLIESMPTSPIFLGSKAKRLLERAVWNDTSFLASIDVMDYSLLVGLDEEKQELVVGIIDFMRQYTWDKHLETWVKNSGILGGPKNAAPTVISPHQYKKRFRKAMTTYFLMVPDQWPSSTVSPSESHPDLCDENSEPENSWDCKHYVEHSDW >KGN65306 pep chromosome:ASM407v2:1:13636627:13637294:-1 gene:Csa_1G305790 transcript:KGN65306 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding protein MFDVTARLTYKNVPTWHRDLCRVCENIPIVLCGNKVDVKNRQVKAKQVTFHRKKNLQYYEISAKSNYNFEKPFLYLARKLAGDPNIHFVESPALAPPEVQIDLAVQQQHEAELVAAASQPLPDDDDDAFD >KGN64399 pep chromosome:ASM407v2:1:5882933:5885607:-1 gene:Csa_1G050380 transcript:KGN64399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIKQAASMESLLPLQNERDEEIGKSSSKGKGMVGELKKVVLAYSGGLDTSVIVPWLRENYGCEVVCFTADVGQGMKELEGLEKKAIASGASKLVVKDLKEEFVKDFIFPCLRAGAIYERKYLLGTSMARPVIAKGMVDVAREVGADAVCHGCTGKGNDQVRFELACFALNPNLKVVAPWREWDITGRQDAIEYANKHNVPIPVTKESIYSRDANLWHLSHEGDVLEDPTNEPKKDMYMISTDPEDAPNEPEYVEIGIEGGVPVSINGEVLSPATLISKANHIGGKHGIGRMDMVENRLVGMKSRGVYETPGGTILFAAVRELESLTLDRESIQVKDSLALKYAELVYAGRWFDPLRESMDAFMKKITDTTTGSVSLKLYKGSVQVAGRRSLYNLYREDISSFENGQIYNQADATGFIRLYGLPTRVRAMLNKSL >KGN64012 pep chromosome:ASM407v2:1:3605570:3606960:-1 gene:Csa_1G033310 transcript:KGN64012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVITQASATVFRPCASRSRFLSGSSGKFNRALSVKPTTSSIPNAFKVEAKKGEWLPGLPSPTYLDGSLPGDNGFDPLGLAEDPENLRWYTQAELVNGRWAMLGVAGMVLPEVLTNYGIINVPKWYDAGKAEYFASSSTLFVIEFILFHYVEIRRWQDIKNPGSVNQDPIFKQYSLPPNDVGYPGGIFNPLNFEPTLEAREKEIANGRLAMLAFLGFIVQHNVTGKGPFDNFMQHISDPWHNTIVQTLR >KGN65128 pep chromosome:ASM407v2:1:12086429:12086705:1 gene:Csa_1G230510 transcript:KGN65128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLNNVDIYGTTFTHLTHLKVLQSLTKSSSLFMRKTPSTYDQESFDELAIIFMCSNCLHS >KGN65752 pep chromosome:ASM407v2:1:18307153:18309552:1 gene:Csa_1G524740 transcript:KGN65752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWALRTPYSTHYPPSSPRYSTSKLSVSSFSFNPSTPPNSNNNHLIQSLCKQGNLKQALYLLSHESNPTQQTCELLILSAARRNSLSDALDVHQLLVDGGFDQDPFLATKLINMFSELDTVDNARKVFDKTRKRTIYVWNALFRALALAGRGNDVLELYPRMNMMGVSSDRFTYTYLLKACVASECLVSFLQKGKEIHAHILRHGYGAHVHVMTTLMDMYARFGCVSYASAVFDEMPVKNVVSWSAMIACYAKNGKPYEALELFREMMLNTHDSVPNSVTMVSVLQACAAFAALEQGKLIHAYILRRGLDSILPVISALITMYARCGKLESGQLIFDRMHKKDVVLWNSLISSYGLHGYGRKAIKIFEEMIDHGFSPSHISFISVLGACSHTGLVEEGKKLFESMVKEHGIQPSVEHYACMVDLLGRANRLDEAAKIIEDLRIEPGPKVWGSLLGACRIHCHVELAERASKRLFKLEPTNAGNYVLLADIYAEAEMWDEVKRVKKLLDSRELQKVPGRSWIEVRRKIYSFTSVDEFNPQGEQLHALLVNLSNEMKQRGYTPQTKLVLYDLDQEEKERIVLGHSEKLAVAFGLINTSKGDTIRITKNLRLCEDCHSVTKFISKFADREIMVRDLNRFHHFKDGVCSCGDYW >KGN66540 pep chromosome:ASM407v2:1:24719093:24719383:-1 gene:Csa_1G627490 transcript:KGN66540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRFSWIPSWVTGKNGEKGGGDRNLSALAGELRELPYGSIFLNWREDLWEVTTAAANAWIIPYESHCSNLEVLVCQLGFPPKSKSKK >KGN64314 pep chromosome:ASM407v2:1:5323547:5326654:-1 gene:Csa_1G046100 transcript:KGN64314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRFSSKRLLGIASKDLPSPAVQIVPRFYHERVIDHYNNPRNVGSFDKNDPTVGTGLVGAPACGDVMKLQIKIDEKTGKVVDACFKTFGCGSAIASSSLATEMVKGKQMDEALTIKNSEIAKHLSLPPVKLHCSMLAEDAIKAAVKDYEAKRAKLANGAESSSVEKVSNA >KGN64921 pep chromosome:ASM407v2:1:10132322:10134535:1 gene:Csa_1G161590 transcript:KGN64921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQNNLLCSSSTMIFMAVVFTVVSLLGLFFQATQLPPPQNNGESVGLSVSSPRIRLRDGRFLAYRERGVSKNDSINRIIVSHGFGSSKDMNVLATQNVWDRLLCCFKFHNDIVTLAGAALIVPTVNYWWPSLPHSLISKDYRRQIVQWAVWLSHYAPGLLYWWITHTWIPSNAVLERNPIFFNDRDIDILKSIPGFPMLAQNKLRERGVFDTLRHDFMVAFGEWGFDPMRLSNPFPENGSSVHIWQGYEDRVVPFQLQRYVSGKLPWIQYHEVPDGGHLIVHYRGLFATILRALLLGEEFCSDPKPNLSNTVV >KGN64989 pep chromosome:ASM407v2:1:10683939:10686611:1 gene:Csa_1G172600 transcript:KGN64989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANVRIKDQQKGSPKAEVGEIDTRAPFQSVKAAVSLFGEVAVSYKDKGTIKKTKQLSSENVLEKETQLLLAQKELSKIKQQLQSSESTKSRALSELEKAKQTQEDLTAELCSVNQSMKSAMDAAEAVKVQAKKLEVAKSQKETGRGSNCAWKQELDYARTEYTIIVAELDASKQELTKIRQDFDAALEAKLAAFQLAAEAQRSANLNSDRLVELSKQIAAMHQSIEQLKHVSMEAQQDQVKILAEKGARFNEYKTAREEAEKNLMILQKEIDPELTISLEEKLKETTAEIEVLQEKMKEVHASEMSTVRALTIELNEATRTLQKISEEESFLRSLVNSLRQEVENVKSEREELHKKLDEEEKLLDAKREQSLKLQQLQVETETSRQEAKQMKQETAELKRDAEASRCFTEESVIKLQILQKEAEEAKEAEKKALEEMKSMSGKHKDDSGESLEPTSQIKLTVDEFESLSRKVRESKMLAEKEEAISTAEVEVISARQSEVLKKLEANLKAIEEIKIATEMALKGAEMAETAKMVVEGELRRWRQEEEKVAPQVTSGHIYF >KGN66837 pep chromosome:ASM407v2:1:28167157:28167655:1 gene:Csa_1G700640 transcript:KGN66837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGRDEEGDVQDDSKCCRAVIKEQWKKVAEEFSMEKEAAFIKVCGDEQQRFSVKFGGVYCEFNSRFISEPYVLGFVVVEDEQFTGRLGSLHELIERALSVDSL >KGN64821 pep chromosome:ASM407v2:1:9029948:9030309:-1 gene:Csa_1G119390 transcript:KGN64821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRTATAWKKWATWDEAHLTGWRSLTSSEQAVNNEEIGECWTINDEGRWQQLRVMREEE >KGN65972 pep chromosome:ASM407v2:1:20251473:20256616:1 gene:Csa_1G555080 transcript:KGN65972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLFHSNAKGLSTLTLISILCLLLQNLQTTGAQIGVCYGQLGNDLPSPAEVIDLYNQNNIQRMRLYAPNQDTFNALRGSSIELMLGLPNDQIQSMAATQDNANAWIQDNILNFADVNFKYIVVGNEIKTNEEAARFLVPAMQNIQNAISAVGLQGQIKVSTAFHTGILSAESFPPSHGSFDANYLPILNPTIRFLLDNNSPLLLNLYPYFSYVATPNMELDYAIFTGTSLVEDGEFNYQNLFDAILDTVYSALEKNGGGSLEVVVSETGWPTEGGEAATVDNARTYNNNLIQHVKQGTPKRQGRAIETYVFAMFDENEKTTPPEVERHWGLFSPNKQPKSFLCFTFLPVPAAAATTRFRLPSRRKFLPSAPTHRQIPSSKFHSIEAGILDSLRRMLPTDELKSISFTRSIRDGDLVIVYERHDIMKAVKVCDGSTLQNRFGVFKHSDWIGKPFGSKALSNKGGFVYLLAPTPELWTLVLSHRTQILYIADISFVIMFLEIVPGCLVLESGTGSGSLTTSLARAVAPTGHVYTFDFHEQRASSAREDFEKTGLTSLVTVGVRDIQGQGFPEEFVGLADSVFLDLPQPWLAIPSAERMLKQDGMLCSFSPCIEQVQRSVETLRSKFTDIRTFEVLLRTYEVKEGRTESNQVDGGGSVGLPPCKRRLRSKESDDMDNESSTTVMVRPCSEARGHTGYLTFARLRCLS >KGN66786 pep chromosome:ASM407v2:1:27692737:27695325:1 gene:Csa_1G690240 transcript:KGN66786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLLGFEETELRLGLPGGDGRNDGDAVKKRGFTETVDLKLNIVTDSNQGNKTTDKNVVSSFVNKDLPKPPPAKAQVVGWPPVKSLRRNIVGPPKKNKLEETEKNAVISGGGCSVGAAAFIKVSMDGAPYLRKVDLKMYGSYQELSDALRKMFASFTAGQCGAQKMRDYMNERKLIDVSNGSDYVPTYEDKDGDWMLVGDVPWDMFVDSCKRLRIMKGSEAIGLAPRALEKCKNRN >KGN64637 pep chromosome:ASM407v2:1:7328966:7332849:-1 gene:Csa_1G072480 transcript:KGN64637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAEALKMMWDTWQELLLGGAILRHGTADWNLVATELRSRIARPYACTPEVCKAKYEDLKKRFVGCKAWYEELRRKRMMELRQALEHSEDSIGSLESKLEALKSRSGSDKSLVNGSTRSESWGAVQKPTNELSASSFTQENRTTCSSIECQPAPLSTKETEIKPEPLQSLERGKASRIGKLGEVLYENQGGIIRKRSRGKRKRKDCNREVKEGSSGENNLSESANPSTVSQSKENSCCNSFEAREPSDANEASRSSAMDGVDVLMAAFNTVAEDKSASLFRRRLDSQRRSRYKKLIRQHLDIETIRSRVASHNITTKMELYRDLLLLANNALVFYSRNSREHQSAVLLRRLISSTFEKQMKSSSNMVAHNTPNKRTQTCDLIAKPRRSQPAKRNESQREANPGDVKTPKGNRRRKNNSSNPPSSLGLAKKETSTSMLKKAPGGTRKAVGGTSKSERSATGIRGRKRGKTK >KGN66594 pep chromosome:ASM407v2:1:25457051:25457356:1 gene:Csa_1G637430 transcript:KGN66594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRNIKPTHVIQEQSWCLFGRDEGQKLKKLEPQAISSSSLTGIENKEENLKDLRVVPIEIEDRKRFNKGTQNDLGPIPTERIIIYLFRIVEIQVSWHAKT >KGN66079 pep chromosome:ASM407v2:1:21025664:21027397:1 gene:Csa_1G569550 transcript:KGN66079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTENWVGWFKKWGDKDPYRSPEDVAFAVARFFQSGGVFNNYYMYHGGTNFGRTAGGPFITTSYDYNAPLDEYGNLNQPKWGHLKQLHASIKMGEKILTNSTRSDQKISSFVTLTKFSNPTSGERFCFLSNTDNKNDATIDLQADGKYFVPAWSVSILDGCNKEVFNTAKINSQTSMFVKVQNKKENAQFSWVWAPEPMRDTLQGKGTFKANLLLEQKGTTVDFSDYLWYMTNIDSNATSSLQNVTLQSFVFEKPILIKPGTNTITLLSATVGLKNYDAFYDTVPTGIDGGPIYLIGDGNVKIDLSSNLWSYKVGLNGEMKQIYNPMFSQRTNWSTINQKSIGRRMTCKCVENCGNPSQRWYHIPRSFLSDDTNTLVLFEEIGGNPQQVSVQTITIGTICGNANEGSTLELSCQGGHIISEIQFASYGNPEGKCGSFKQGSWHVINSAILVEKLCIGRESCSIDVSAKSFGLGDVTNLSARLAIQALCSKS >KGN66874 pep chromosome:ASM407v2:1:28495589:28498181:1 gene:Csa_1G701990 transcript:KGN66874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSALDEMSTTGAFVRTASTFRNLISRDGSSQFPSESGRYHLYISYACPWASRCLAYLKLKGLEKAISFTSVKPIWERTKDSDDHMGWVFPSSDTEEPGAEPDPLNGVRSLRELYELASTNYSGKYTVPVLWDMKLKTIVNNESSEIIRMLNTEFNDIAENPHLDLYPTHLQAQIDETNDWIYRGINNGVYKCGFARQQQPYDQAVKELYEALDRCEEILSKQRYLCGNTLTEADIRLFVTIIRFDEVYAVHFKCNKKLLREYSNLFNYTKDIYQTKGVGSSVNMEHIKKHYYGSHPTINPFGIIPLGPNIDYSSPHDRDRFSS >KGN65577 pep chromosome:ASM407v2:1:16583003:16585155:1 gene:Csa_1G462020 transcript:KGN65577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPLLSKKIVKKRTQKFKRPQSDRKISVKENWRRPKGIDSRVRRKFKGCTLMPNIGYGTDKKTRHYLPNGFKKFVVHNVNELELLMMHNRTYCAEIAHDVSTKKRKEIVERAAQLDVVVTNKLARLRSQEDE >KGN64856 pep chromosome:ASM407v2:1:9445753:9447323:-1 gene:Csa_1G132170 transcript:KGN64856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLYHLAIHRNFLHYSYANRIASAPVGNSQIWPLYAIKCLSHQSSGTKIFPNEVKVGDEDLNQIIAPTENASKCIHEIIDACIDKICRLGHLAAAAHLLKSLCNEKVFKSSEAYDMVLLAASERGDTPLLCEVFKVALLSCKSLSSASYMSFARAFTKTNDSKLLECVKEIIEITSQKCIVINRIIFAFSERREIDKAFQIFNQMKCLSCTPDLYTYNIILDMVGRAGRVDEILHIFVSMKEEGIAPDIVSYNTLINSLRKVGRLDISVIYFREMVAMGIEPDLLTYTALIESYGRFGNLEEALTLLKEMKLNNIRPSSYIYRSLIRNSMTMKKVELATDLLNEMKLSKSELARPEDFKRRKM >KGN66228 pep chromosome:ASM407v2:1:22053190:22053928:-1 gene:Csa_1G586900 transcript:KGN66228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSLELKSTHVYTEQIYSGFAHSCKRRMQRDIRISEPPPPPPEHRSPSATPALNSPAAPPYIRRNRSTVHSSRCSITSNPGPPSGSPDSPMSLGSRTCTRTLPAVCSTLTVEVKQKLGSRKKVKDWDWDWDRFCVVLRLHPKLGVSVSGSFHVHFRDSMKASSWELSLLVKSQFGNSVS >KGN64042 pep chromosome:ASM407v2:1:3759666:3765608:1 gene:Csa_1G039090 transcript:KGN64042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEKRHQMMQNLFGDQSEEEEEIDSEHESNPQPNYASDEGEGGAEPEGEGEVEGHGEVEIESEGELQDADPDPGESEGERDQSSQEVDVGHHREEESEGKEVDSDDREEYDQRVVTSRRHDLVESESERSEENHYIDNEDEEVDQTRSPREEEDHNSHPVAEIRDVFGDSDEEEEAEYAVGNEIKQDSARSPMEEEGSFEKSPRPDDIIPDEDARYESDARYESEEENFEVKHKEKPVGPPLELEIPLRHPPARPEKMNMIKVSNIMGIDPKPFDPKTYVEEDIFVTDESGANKRIRLENNIVRWRTVRKPDGTTKYESNARFVRWSDGSLQLLIGNEVLDINVQDAKHDQAHLFLRHGKGILQSQGRLMRKMRFIPSSLTSNSHRLLTALVDSRHKKVYKVKNCITDIDPEREKEEKERAESQTIRANVLLNRKKEKVSKKYAPVIDRRRQLSPGFLEDALEEEDETDYIDSRRSRRRFEEDLEAEARAEKRIMNAKKGTRDIPRKPSFHASKSSGRPVDFSDSDREESEYETEGEDDAPGRVEEPEQEYEEDGEEDDERDEEAEVNEVSDVDEEAEEPKHKVRDYGSSHKRKGIESDEESPPRKVATHRRMAVVYDSDDE >KGN66400 pep chromosome:ASM407v2:1:23286733:23288915:1 gene:Csa_1G600890 transcript:KGN66400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPHIFRSIAERYHHLNMFVRCKVTSSQYVASRARDPTFEKLMDKYKDFLKVVAIQDLILANPRNQSVSLDFLSRLSQKLHLNRGAPSFLRKYPHIFHIFYDPNKSLPFCKLTDTANQIFSEEADAINASLPQVVDRLVRLLSMSNSKMVPLRAIYKVWRELGLPDDFEDTVISKYSHVFQLCDAHEPNTHYLKLVDDIPRNQFRAAVEEWRVGQYCKEDCTVDETEIQYSFKHSYPPGMRLRKIFKAKVQEWQKCPYTGPYEGILDSNKYKNTSLALEKRAVSIVHEFMTLTVEKMVEVEKISHFRKWFGIELNIRDLFLDHPGIFYLSTKGKRHTVFLREAYERGCLIDPNPVYTVRRKLLDLVAMGRRGLSQSSLRQKADQIHNEDGDFPLLGNK >KGN64293 pep chromosome:ASM407v2:1:5206199:5211920:1 gene:Csa_1G045910 transcript:KGN64293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEIKRKRGRKAKDSKPEALDFPPPTTATATVAMDDVFSVSNVELMEPASTSKHHQNRRGRPKKLSKHVDNPDKFPQLSPSRRGPRAVENGEFAASGDALPSSIVSERVQPEWPGMARVMPAMDAVVKVFCVHTEPNFSLPWQRKRQYSSSSSGFVIGGRRVLTNAHSVEHYTQVKLKKRGSDTKYLATVLAIGTECDIAMLTVDDDEFWVGVSPVEFGELPALQDAVTVVGYPIGGDTISVTSGVVSRIEILSYVHGSTELLGLQIDAAINSGNSGGPAFNDKGNCVGIAFQSLKHEDAENIGYVIPTPVILHFIRDYEKNGAYTGFPILGLEWQKMENPDLREAMGMKQDQKGVRIRRIDPTGPESKVLKPADIILSFDGVDIANDGTVPFRHGERIGFSYLVSQKYTGDSATIKVLRNSETLSFNYQLATYRRLIPAHNEGRPPSYYIVAGFVFSTVSVPYLRSEYGKDYEYEAPVKLLDKLLHSMPQSPDEQLVVVSQVLVADINIGYEDIVNTQVLAFNGKPVKNLKSLANMVESCDDEFLKFDLEYQQIVVLRTSTAKAATSDILATHCIPSAMSNDLKT >KGN64299 pep chromosome:ASM407v2:1:5231753:5232015:-1 gene:Csa_1G045970 transcript:KGN64299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCSTAGPSVDFKRPVNPLDPSNFGVAQGPPKFYNSEIHTAAFSLPAFAKSAMGSKYE >KGN66021 pep chromosome:ASM407v2:1:20679759:20680548:-1 gene:Csa_1G568500 transcript:KGN66021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKNNVLFCKIMQIFYIDKETGKKCYILGARELQIQWSNNPLYWSWNRQPFLKSRFEEVAELRTIWWLEIKGSINTKLLSSKTLYFAYLLVKFADRAYGLNTHPSQATIQLNTAVTSKRKVYLHKQREYKNQIIFGGDNDHEIDEDNDDSWIEIELGEFYVHDSGDSIVEMCLKEVESQHLRGGLVVEGIQLRPKLKL >KGN65040 pep chromosome:ASM407v2:1:11138602:11139105:-1 gene:Csa_1G181530 transcript:KGN65040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDFEQVLNLFDSFWFKRKIFNKHPFPSKEIAGAESRRKPRGRKTRGAENRSQLELEFEELKGFMDLGFVFSEEDKGSILASIVPGLNWLGKREEEEEEEQKLCGEISRPYLSEAWETMEEEEEEEEEEEKVELKKPLMMKWRFPSNEIHMKVNLKWWAHAVASTIK >KGN66196 pep chromosome:ASM407v2:1:21841526:21844643:1 gene:Csa_1G575120 transcript:KGN66196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIRFILMVNKQGQTRLAQYYENLTLEERRALEGEIVRKCLARNEQQCSFVEHRNYKIVYRRYASLFFLVGVDNDENELAILEFIHLLVETMDRHFGNVCELDIMFHLEKAHFMLEEMVMNGCIVETSKSNILSPIQLMEKSS >KGN63465 pep chromosome:ASM407v2:1:202756:203425:-1 gene:Csa_1G001370 transcript:KGN63465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFVVTGAACLVHSYPLAVRLTISSHETQRPYGSAEVCCLLEAEADYSIDWKLVFDISGASNFVAQEHYLSSRPKEGRTAVRLRPDKAKLLLAGILGGGTTPCFQYR >KGN63762 pep chromosome:ASM407v2:1:1998853:2005416:1 gene:Csa_1G015080 transcript:KGN63762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPQPRPFGAEGSKSTHDFLSLYTHSSPQLDPRSTPQGSYLKTHDFLQPQERIRKASTKEETDVERPPPPAPPPSVEHILPGGIGTYSISHVSYFDQRVVLPKPEGSVFTGVRSSSSAERNDENSNCSSFAAAGSGFTLWEESSVKKGKTGKENNVGDRPHEPRASTSQWTASMERPSQSSSNNHHNTFSCLSSSQPTGTKNPTFMEMLKSAKSTSQDEELDDDGDFVIKKETSTANKGGLRIKVDGNSSDQKANTPRSKHSATEQRRRSKINDRFQMLRGLIPHSDQKRDKASFLLEVVEYIQFLQEKVQKYEGSYQEWNHEMAKLVPLRNNQRSADVYNDQSRGINSGSVPALVLAAKFIEKNSPLSPIVPGSAHNAVDSDTSSASTLKAVDHHSGRTSNAVQFPMSIPPKLSASTRDGNVVPQPPKPLSSGMDHSSLRPEIRSCEARCFNSDVAVASEMQKEQDLTIEGGTINISSVYSQGLLNTLTHALQSSGVDLSQARISVQIELGKRASRRAISPASIVKDANDMGMMHARVSGTEDSERATKKLKTTMKN >KGN66828 pep chromosome:ASM407v2:1:28097542:28097808:-1 gene:Csa_1G699570 transcript:KGN66828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNPPCNCGSKPRASVVKHVLCSLIKARIRLYLLAGALTVPFLLLYIMMLSSLELKI >KGN65332 pep chromosome:ASM407v2:1:13907024:13912755:-1 gene:Csa_1G334920 transcript:KGN65332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSVNGAESSSSCSSNSKWSYDVFLSFRGEDTRDKFISHLDLALRREGVNFFIDDKLDRGKQISKSLLKSIEGSRISIIIFSQNYASSTWCLDEVVKIIECMRSKKQTVLPVFYNVSPSEVVKQTGIFGEAFAKYETNPLMTNKIQPWKEALTTAATLSGWDLGNYWKNNEAHLIQDLVKKVSILKQTQLLNVAKHPVAIDSQLKAIEELASHGVSDNGVNMVGIHGMGGIGKTTLAKALYNKITYQFEACCFLSNVRETSEQFNGLVQLQEKLLNEIFKDNNLKVDNVDKGMNIIKDRLCSRKVLMVLDDVDKDDQLDALVGGRDWFGRGSKIIVTTRDRHLLETYSFDKIHPIQLLDCDKSLELFCWHAFKQSHPSRNYSELPELVRYCNGLPLALVILGSLLCKRDQIIWKSKLDELKNFPEPGIEAVFQISFKRLPENPPVKEIFLDICCFFVGEDVSYSKNVLKACDPYLESRIIILMDLSLVTVEDGKIQMHDLIRQMGQMIVRRKSFKPEKRSRLWVAKEAVKMLIEKSGTHKVKAIKLDLRNNGSLIVEAEAFRNMENLRLLILQNAAKLPTNIFKYLPNIKWIEYSSSSVRWYFPISFVVNGGLVGLVINGVSNKHPGIIFEDCKMLKHVDLSYWRLLEETPDFSAALNLEKLYLLSCKRLKMIHGSVASLSKLVTLDLEGCENLEKLPSSFLMLKSLEVLNLSGCIKLKEIPDLSASSNLKELHLRECYHLRIIHDSAVGRFLDKLVILDLEGCKILERLPRYISNSKSIEVMNLDSCRKIEQLFDNYFEKFPSHLKFESLKVLNLSYCQNLKEITDFSIASNLEIFDLRGCFSLRTIHKSVGSLDQLIALKLDFCHQLEELPSCLRLKSLDSLSLTNCYKIEQLPEFDENMKSLREMNLKGTAIRKLPTSIRYLIGLENLILSYCTNLISLPSEIHLLKSLKELDLRECSRLDMLPSGSSLNFPQRSLCSNLTILDLQNCNISNSDFLENLSNFCTTLKELNLSGNKFCCLPSLKNFTSLRLLELRNCKFLRNIVKIPHCLKRMDASGCELLVISPDYIADMMFRNQDLKLRNFKRELIVTYSEIPKFCNNQTTESSISFSFQHNSDMIIPALVVCVVFKVDADSFVAEAFIHFQVLFDGQKLMMPTMESWCGSKSEHMLLLRTPPSQLICLNENNRHKIEVSFQVRNYNKKAKVIIRSLGVYVVDDLFEHYK >KGN64302 pep chromosome:ASM407v2:1:5240986:5243421:1 gene:Csa_1G046000 transcript:KGN64302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATESKTATEEVKIDLFEDDDEFEEFEINEEWEMKEEGKEITQQWEDDWDDDDVNDDFSLQLRRELESNTEKK >KGN65720 pep chromosome:ASM407v2:1:18069070:18069549:1 gene:Csa_1G515490 transcript:KGN65720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTLNNSTKLFILKLFDAYGTATLRAGFILHCVSHRHDSRRRFFAFHRVIRSSLTLHYDLGLNLSKELRCTGSCLGKPKAVMVEMEKEDDYNDTNDAHKQDSHLLVVGNHKRRRKIAIMMLKGGGWKMMIFLRDVNFGRLYMLEELKR >KGN65444 pep chromosome:ASM407v2:1:15362678:15366534:-1 gene:Csa_1G423020 transcript:KGN65444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAADASSPEFIFRSKLPEIPISTHLPLHTYCFENISEFKHRPCLINAATGHIYTYGEVQATSRRVAAGLHKLGIGKGDVIMLLLQNTPEFVLAFLGASYLGAAATMANPFFMQSEIAKQAVSSGAKVIITQAAFAEKVKNLSQENEMIIKVIFIDDNDPPQFSSLIEDVAKEEELEMGDVKISPEDVVALPYSSGTTGLPKGVMLTHKGLVTSVAQQVDGENPHLHIRSDDVVLCLLPLFHIYSLNSIMMCALRVGAAILLVQKFDVNSLVELVSKYKVTFAPFVPPIVLAIAKSPAVDHFDMSSLRIVLSGAAPLGKNLEDAFRAKLPHVILGQGYGMTEAGSVMTMSLAFVKEGFGIKSGGCGTIMRNSEMKILNLQTGESLPRNQTGEICIRSSQMMKGYLNDEDATKAIIDEDGWLHTGDIGFVDDDDELFIVDRLKELIKYKGFQVAPAELEALLISHAHIADAAVIPMNDEVAGEVPVAFIVRFDGSNITEDEIKQFISKQVVFYKRINRVFFVDSIPKSPSGKILRRQLRALLAASIS >KGN65350 pep chromosome:ASM407v2:1:14247823:14248511:1 gene:Csa_1G366970 transcript:KGN65350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLTPFLFRSLVRLLLSLIVQPVASVSTLLFYSDILPQHMVSERLVRHELLDRENYLFHFLVNFLKCFW >KGN64760 pep chromosome:ASM407v2:1:8354417:8357990:-1 gene:Csa_1G089490 transcript:KGN64760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRASNHLIGLLNFITFLLSLPIIGGGVWLSSRANTTDCLKFLQWPLISIGVAIMIVSLAGFGGACYRNTFLMWFYLFVMFFVIGALVGFIIFAYAVTEKGSGRPLPNRNYFDYYLQDYSGWLRDRVADDTYWGKISSCVRDSQACRKIGRTISGVPETVDMFYRRHLTPVESGCCKPPTVCGYVYVNETMWDFGGGLVGGEPDCGRWSNDQRQLCYACDSCKAAVLASLKKSWRKVSVINIIALIILVISYVIGYAAFRNNRRIDNDEPASTARMTKSKPSWFNI >KGN65215 pep chromosome:ASM407v2:1:12868004:12868664:1 gene:Csa_1G265630 transcript:KGN65215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATHQTRPPSTPYSPLTDQQDDLQDIDDSISSNGCGCFQLFGFGSNRNRNYEGGNLLQQKQGREEESWMVKRLKKVREVSEMVAGPKWKNFIRKMGGYLKGKKERNRFQYDPESYALNFDGGFDGEEDDHHPPIGFSSRFAVPLASRE >KGN64218 pep chromosome:ASM407v2:1:4794973:4795611:-1 gene:Csa_1G043210 transcript:KGN64218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFALLTCLFIAIVIASSEVRFCGADASPDPVLDTDGKKLRISNKYYILPAIQGSVGGGSFAIGNIRKEYDRCVSTDLNIEFDATTRCVKSTVWRLGTFDQYLRQYFVTIGGTKENPGVVCKNCKVMCKDIGIFENNGIKGLVLSDTPFPVMFKKVYD >KGN66092 pep chromosome:ASM407v2:1:21096191:21098712:-1 gene:Csa_1G570170 transcript:KGN66092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSFGYRANALATFAITILVIMCAMASFSDTFNTPSPTASVKVLNINWFQKQLHGNDEVFVFVAAEYETPKNSLNQISLWDGIIPSKENAKFSIHTSNKYRFIDQGSNLKGKEFNLTLHWHVMPKTGKMFTNKIVMTGYRLPEDYR >KGN64998 pep chromosome:ASM407v2:1:10768091:10768561:1 gene:Csa_1G173180 transcript:KGN64998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGSGKRGPIKEKDEENAHYLDPQKQEIERSGRRQIGKRNCRMNDDEDEGRKKIIVMEKIVASFFLVIKVVFLVTLICDRYQIAPPSKVAHVFATVEI >KGN65226 pep chromosome:ASM407v2:1:12946374:12947531:1 gene:Csa_1G267220 transcript:KGN65226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHIHKFIPSHIYSLLLLTVAILSVFSPVLAGESSPETSDIRGGYWLSWLAQNFPPSAISTSHFTHLFYAFLEPNNVTFELIITPNDDQWMRNFTSTIHAVDSQIKTILSIGGGGSSVPIFSAMAATQTTRSAFIASTISNARFYGFDGLDLDWEFPNSTDDMSNLSLLFQEWRQAIETESSSNIGRAPLSLSAAVSYASSFQSPPRSYPADAITKFVDFVSPMCFDYFGKWTPSATGSQAQLFDKTSNLSTSYGVNSWIEAGVPPEKLVMGLPVYGRTWRLKNGCDHGIGAPAEGVGPGNDGVMIYSDVLDFNSANGATVVFDTESVSTYSFAGTTWIGYDGPSSIDEKVKFAKAHGLRGYFFWALGYDKNWTIAETGRFLNIL >KGN65844 pep chromosome:ASM407v2:1:18972372:18973651:-1 gene:Csa_1G533510 transcript:KGN65844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNATLNSGIVFTEDIADGSDSDTNSAEGSDYYEPISAIDGEESDIAESEDETYSSDPHFHQLPNGCGVENAVSSLTLNDDVERRCSDDEEEERMRVASDSAIRMAFREDETRRNAPLSPENTTRIMEAMRGISFDGSAPDWTRIVSEDRWIDQLRRLRQTPTVSNSLGN >KGN66803 pep chromosome:ASM407v2:1:27869410:27870042:-1 gene:Csa_1G695380 transcript:KGN66803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVAFLNDTTVTNFINDTKIFDDCVKESFKKLDTDNDGFLNMNELRVGFRSHPLEFELMDPVDDLSEVVCHKFQVEKSGGIDEEEFKSVIRDILLAMAQGIGNFPLQVALQQDSFLMKAVELEKAREQK >KGN65564 pep chromosome:ASM407v2:1:16443048:16448221:-1 gene:Csa_1G448950 transcript:KGN65564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSCSQTLNLRLHCHHRRSKLFRWPPRVAKPVHTRTIINSSMMCFYANSYYDVNLRVPKVHKTRRHRTCSVTEVRAFDQDSFDFDIPSLDHFSDNEGTGTGFGLPSSDGEDSDPEIILNPVSDVDLPAVSVQHNDALTVTAHRMAMLSRGRHKYRIRHGLFTNTGLIAFLMILLLYVDWCAWRTVRLPLGPFHMTGPFLTSACLASCAGYICVPLLYQFKAYQISRQERPVRHALKRKTPTMGGLFFVPIGIVVAKHFAGFSSTEVSGASVATLAFFAIGLVDDILSLIKSRKYGLSAWIKILLQVAVGIWFSFWLQDASISSPYSMKMLIPMPAPLGLVFLGKCYMWLTSFCFVSMGNGINQTDGLDGLAGGTAALAFIGMAIAVLPISSDLSIFGASMSGACVGFLLHNRFKASVFMGDTGSFALGGALAAMAACSGMFLPLFISSLVFVLETSSVILQVVYFKMTTKLEGAGRHIFQMVPFHHHLELCGIKEPFIVAGAYTISSILALLAGYVGLISA >KGN66115 pep chromosome:ASM407v2:1:21255243:21255928:1 gene:Csa_1G572360 transcript:KGN66115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAESDDSDKQLRNFRPRRKLKYHKERDHVESSQSVRSIDLSSVHPRKDACIKSCGNDDFDRAVRNFSSQRQPKSHKVLDHIKASQNVQSKKETQLQVVSSLGKRRSIFRLKQFQNELKLSNQLQLQIKILSEVENSVANWPWVSRVKDSVPQVARQVLLHGN >KGN66609 pep chromosome:ASM407v2:1:25583456:25583717:1 gene:Csa_1G641030 transcript:KGN66609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVSFEAMKGAGETAGDYNFYRFEELLGMVEKYEMKVHLSICAPPLVQALALLLFEEANRGSTSLVQGDFSH >KGN65470 pep chromosome:ASM407v2:1:15548560:15549791:1 gene:Csa_1G423280 transcript:KGN65470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTRLEPTFNPLATSAHISSSSSSSSNNNTLFTIQRLNAWQHHFQDSTTATRFPLKLIYNNTSSPMTTTGSLDKFQEAINKMLQKGDVDAIRKTMQDHEDAFKNQVKELHRLYSVQKMLMEELRKESKQNALWCPKAMNHLLFNNRENQNQTAQTTGGGLIFNLQSLRSDDPSSRERSGSCSGDNMRIISRGFDLERPAAEEDMSTGVSTVDEDQAGPSTPIVVGKMSIDGCEDEESDVELTLSIGGSLSKKRSKSFPPLTQKKREIDSSLSFKSERGDQECSDPTTPMSSSSATCDQETKRPHWLFQSLKLK >KGN66897 pep chromosome:ASM407v2:1:28813350:28814133:-1 gene:Csa_1G707620 transcript:KGN66897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVLDRGEKIELLVDKTENLHTQAQDFKTSGTKIRRKMWLQNMKIKLIVLGILIALILIIVLSVCRGFNCGGK >KGN63758 pep chromosome:ASM407v2:1:1975789:1979930:1 gene:Csa_1G015040 transcript:KGN63758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVAPLFSGCHRSPIMYKPTPTPTPPPTPISILKNSLLSTSTPKSSYFFVSARTQSHQSRSVNPVSQLSLLEEISKLCEAGDLNGALDFLQRAWKNNAGYDLAQRKEAMGMLLQKCGQYKNVEIGRKLDEMLCVSSQFSGDFVLNTRLITMYSICGYPLESRLVFDRLLNKNLFQWNALVSGYVRNELYDEAIHTFLELISVTEFQPDNFTFPCLIKACTGKCDIHLGKSVHGMAVKMGLIMDLFVGNAMIALYGKCGFLDEAVELFDKMPEQNLISWNSLIRGFSENGFWLEAYRAFRSLLESGDGLIPDVATMVTLLPVCSGEGNVDVGMVIHGMAVKLGLVHELMVCNALIDMYSKCGCLSEAAILFRKIENKSVVSWNSMIGAYSREGFVFETFDLLRKMWMEEELMEVNEVTILNLLPACLEESELLSLRALHGYSLRHSFQYKELINNAFIAAYAKCGSLVFAEHVFFGMNTKSVSSWNAVIGGHAQNGDPIKALDFYFEMTRLGILPDDFSIVSLLLACGRLGLLQYGKEIHGFVLRNGLEMNSFVAVSLLSLYFHCSKPFYGRTYFETMGDKNSVCWNAMLSGYSQNELPNEALSLFRQMLSDGLEPDEIAIASILGACSQLSALGLGKEVHCFALKNSLMEDNFVACSLMDMYAKSGFLGHSQRIFNRLNGKEVASWNVMITGFGVHGQGNKAVELFEDMKRSDKQPDRFTFLGVLQACCHAGLVSEGLNYLAQMQTLYKLEPELEHYACVIDMLGRAGRLNEALNFINEMPEEPDAKIWSSLLSSSITYVDLEMGEKFAEKLLALEANKADSYILLSNLYATAGKWDVVRMVRQKMKDLSLQKDVGCSWIELRGKVYSFIAGENSNPSSDEIRKMWNRLEKQIVEIGYTPDCSCVLHELEEVEKRKILKGHSEKVAICFGFLNTKEGTTLRISKNLRICRDCHNAAKYISKAAKREIVIRDNKRFHHFKKGICSCGDYW >KGN64503 pep chromosome:ASM407v2:1:6569775:6574134:1 gene:Csa_1G062290 transcript:KGN64503 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartic proteinase MTTLPISKTDGLPMASYHSKAAFLCLFLLVSLNIVSSVSNDGLLRVGLKKINLDPENRLAARLESKDAEILKAAFRKYNPNGNLGESSDTDIVALKNYLDAQYYGEIAIGTPPQKFTVIFDTGSSNLWVPSAKCLFSVACHFHARYKSSRSSTYKKNGTSASIRYGTGAVSGFFSYDNVKVGDLVVKNQLFIEATREPGLTFLVAKFDGLLGLGFQEIAVGSAVPVWYNMVEQGLVKEPVFSFWLNRNAEEEEGGEIVFGGVDPKHYKGKHTYVPVTQKGYWQFDMGDVLIDGKPTGYCEGGCSAIADSGTSLLAGPTADPKKICSQIKLCTFDGTRGVSMGIESVVDENAGKSSDGLRDGMCSVCEMTVVWMQNQLRQNQTKERIINYINELCDRMPSPMGQSAVDCGTLSSMPSVSFTIGDKVFDLAPEEYILKVGEGAAAQCISGFTAFDIPPPRGPLWILGDVFMGRYHTVFDFGKLRVGFAEAA >KGN65201 pep chromosome:ASM407v2:1:12768300:12768896:-1 gene:Csa_1G264520 transcript:KGN65201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKSGSSTHKFPTNGASRKCLCSPTTHPGSFRCSFHRNRHKISSSRSSSSSLSLITTADLELAKANALRSFLLQMIKPSSNDLQRRRNFHPRPSRFCLMNDHATGLAVS >KGN63859 pep chromosome:ASM407v2:1:2596810:2600644:-1 gene:Csa_1G024910 transcript:KGN63859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEKGADSSLNSPLLHISEGGLISSNGVIRTNDKNHTRQQVARELKRQLWLAGPLTLVGLLQYSLQMISVMFIGHLGELPLSGASMATSFATVTGFSLLMGMASALDTFCGQSYGAKQYHMLGIHMQRAMVVLLLVSVPLAVIWANTGEILKLLGQDHEIAAEAGKYAIWMIPTLFAYAAVFLHITICCIFIYKVGLGIRGAAIASSISYSFNVLITMLYVKFSSSCSESWTGFSVKAFQNIPTYLRLAIPSACMVCLEMWSFELTVLLSGLLPNPKLETSVLSISLNTGAVIWNFSLGMSGVGSTRVSNELGAGHPSAAKLAGCVVMTMVTIQGMLVGTFFILIRNVWGYAFSNEQEVVEYLAKMLPIVAVSEFFSGLQNVLSGIARGCGWQKIGAFVNLGSYYIVGVPFAILLAFGFHFGGKGLWFGIMSALIVQTSSLGIIAIRTNWDQEAKKATERVYDTVIPSNVVS >KGN64980 pep chromosome:ASM407v2:1:10616092:10620163:-1 gene:Csa_1G171020 transcript:KGN64980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCSYSLFLSRLRSRRFDDSTLRILESFPASKDATSLMDVNSSFKEVLRFESLSIIRETSEKTDDHKLLVIEFLVRAFALVGDIESCLALRYEALNFRVLKSFNQPWLQVSHAEWLNFAEHSLHAGFFSISIKAYEQALSSLQQSDTANYTSHGSFKRTEVMEKINRLKDHALNLAGSHSVQALTSDYLKKKVTERNRKISSSCTRKFTASTLFINGIRNYNARKLHEYRSFEGVNQGRTRSSFVIRPTCSLSSYPSD >KGN64244 pep chromosome:ASM407v2:1:4922944:4923957:-1 gene:Csa_1G044930 transcript:KGN64244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQKTYPIHQFLNLKTLLLVLFLSFVLIFLFKSNILDSPTQNPSPKLQSFSQKLTHCSSPSFCNKIPPSFSHALIHYSTSSITPQQTFKEISVAAAVLLNRSPCNFLVFGLGHDSLMWATLNHGGRTLFLEEDKSWIQQISRRFPMLESRHVIYDSKVHQADGLMDVGKGPECTAVGDPKYSVCPLAIKGLPEEVYEVKWDLIMVDAPTGFHDEAPGRMTAIYTAGMMARNREEGGSTDVFVHDVNREVEDKFSMEFLCEGYMVKQEGRLRHFTIPSHKDDLDKPFCPF >KGN64570 pep chromosome:ASM407v2:1:6910368:6913581:1 gene:Csa_1G065410 transcript:KGN64570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKTQFVLLFFVLSLAIHVSNANQIKPFSDFTSIHHFLNKTQQWLTTHQAPFHQTHLPISPPIVVAGVLCFIAASLSSAGGIGGGGLYLPILTIVAGVDLKTASSLTACMVTGGSIANVLSNFFSKSGGKCLINFDIALLTEPCMLLGVSIGVICNLSFPEWVTTILFAIFLAWSTLKTCKSGMVYWERESEGLMNNGCKLEDGLQNENEAKLVEEPLLPTQENCRSRFPSMKLGALVLVWFCFYLIYLLRGDQDGRGLLPIETCGTGYWILSSVQVPVAIAFTLWILYKQKSPQSEDSSQKELEEARPVVEPSKKLIFPVMAFLAGILGGMFGIGGGMLISPFLLQVGIVPEKTAATCSFMVFFSATMSAGQYLLLGMEHAEIAIIFAIICFIASVLGLVVVQKAIRDHGRASVIIFSVSIVMALSTVLMTTFGALNVFRDYIAGNPMGFKSPC >KGN64859 pep chromosome:ASM407v2:1:9453276:9454037:1 gene:Csa_1G132690 transcript:KGN64859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNYCFPTLSQGLLFVWPDENGWERADATTPPRNKEERSVEPDDFDKPEFSSVTIQRDLFYGYDTLMENGQRERTFSTKLEICTSGLEHFHRLQGGRTKQNLYLSRWILMVPGDFLEQIRVTQGLVPSLFLLVTISTSKDFTLFLLYSHLNNA >KGN63472 pep chromosome:ASM407v2:1:232886:233488:-1 gene:Csa_1G001440 transcript:KGN63472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPFSQQEEDAELISTLNRHATPSSSWKIRTNQLAYEEIRTERRAAIASGNLKARRLSYDDAIDMSLHLNTNFLLHGDYLDESPQDDDQMIPSSDPGEEKAEQVCLNALSYSCASSSSSSGKFVPLQMKEQMAAKAEVEENVRAVVGEIKMETRNGCKIGNWKVGLACLAIASVPMVIFSLRCLGLHDEEEQFPFLLVPT >KGN66435 pep chromosome:ASM407v2:1:23699712:23703290:-1 gene:Csa_1G605640 transcript:KGN66435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTAFLCKAGNGNLQPAVQEGYRSAALKLLTSYYKGFLTMDLEDELDNITTNEDLPIIYSRKVSMQEETIVPKQNLPPLLVPVSERLQERIFYIGASFGLTTELFSFWKKHEFLPLHISQNPNGVTGERSCMVLKQLKEHRYSMEYRFSNELYKHFSSKFIRNIPHFFRDLDYKLVLNILNPSLSRLLSMRPEESESSKSLIESADFNQLEAYINDNFDYKEVFHIATTLAQNYFNGKYAISLPDFEASILLSIGLQLKDLSSLEGSLKLESSQIRTKFYKLMKKFYEYLKEELMKKISSSFPSRSREVKMNPHDISVDDDLNDGARKGYGKSMEDENVGWQDNSNGNRGNDFQNHRYSSPSNHMGVCSPSYCNENSKQSSSPVMVSKNKRRATCSTLNSSTSEKKKRNFQFYE >KGN64101 pep chromosome:ASM407v2:1:4082353:4083693:-1 gene:Csa_1G042130 transcript:KGN64101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRTPKFLHSPNLSSQTQTQTQTQNIPTSSKFPFIKQCCKISRRNLTIGTNSLLLLLFNSQIQDPFLMSSKAEVEEEELQSPKNDDFLCTGKIPTKRAFLDISIDGVPAGRIIIGLYGNDSPAGVARFSNLVSGAAGISYRRKDFVKITSNYVQHSGVRSYGVDFELAKRNGNELVSETLKDEWERANEKCSGTKNLASTVGIIVRDPLKPPPKLKLVARKGKLEVDQEQVGTEPNGTEFTISVKDSPELDDSALVIGIVLDGMEVVEKIAQVKTVKDNTTSPYFRVAKIIGDKRAVVAERGFNRPYSKVIVTNCGLLEE >KGN63493 pep chromosome:ASM407v2:1:369875:372508:1 gene:Csa_1G002130 transcript:KGN63493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPQTHESETVNLLITALFVEYERDENSDSSAAFPYDGAFAFIFNSLSSFSLHFVMFPRFFPSAIQGFIDVITEMGEVTAAYTNGVMPARLNKVVLAYSGGLDTSVIVPWLREKYGCEVVCFTADVGQGVMELDGLEAKAKASGACQLVVKDLKDEFVEHYVFPCLRAGAIYERKYLLGTSMARPVIAKAMVDVAKEVGADAVAHGCTGKGNDQVRFELTFFALNPKLRVIAPWREWDITGREDAIEYAKRHNVPVPVTKKSIYSRDRNIWHLSHEGDVLEDPANEPKKDMYMLSVDPEDAPNQPEYVEIEIVSGLPVAVNGKKLSPASLLDELNDIGGKHGIGRVDMVENRLVGMKSRGVYETPGGTILFTAALELESLTLDRETIQVKDSLALKYAELVYAGRWFDPLREAMDGFMEKITAKTTGTVTLKLYKGSVTVTGRKSPNSLYRQDISSFENGDVYNQADAAGFIHLYGLPTRVRAMLEQGI >KGN63901 pep chromosome:ASM407v2:1:2878454:2884197:1 gene:Csa_1G025830 transcript:KGN63901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPNPRARLVSPSSAGFGLKILMENRAQRKDYLHYNHTDRCRSARWTARESYQFMYGRPWQEVLDFYSHAVNGRFSLSSLLGTEICRDHDDAETPLIYNSAELNRAAVKDKTGRWARATFKIVLSYDGNSFDGWQKQPGLNTVQGLVERSLGKFVDEKKAQMLKDKCLPLEGCALVAGRTDKGVTALRQVCSFYTWRTDVKPQDIEDSINSAAPGKLRTSSVSQVSRIFHPNFSAKWRRYLYILPLNDGENMEPDSTTWENVENREEENFVKIEASNGEKCVDGIHDNLVLSPNDQELVSADKPQTFSITRVNQLLRKLEGKLLSYKMFARDTKASRNEGPPTECFVYHARAAETRLPCLEQGVGRSVMCVELVANRFLRKMVRVLVATAIREAAAGAGEDALLELMDATCRRATAPPAPPDGLSLVDVGYTDFDSQICFILND >KGN64718 pep chromosome:ASM407v2:1:7921314:7921487:1 gene:Csa_1G077200 transcript:KGN64718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKLVDPTRLLLVILGWVRPLLIEPIGSSSVVFLLHLYSKATRRRPSSLNCSVQLF >KGN66493 pep chromosome:ASM407v2:1:24199691:24200907:-1 gene:Csa_1G614140 transcript:KGN66493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFFKSCSSKNFVGDHSLEEEEYVEKGKSLVKWKMPRVPIHKIYEERRKNHFFIFPSKNDPSIRTTEGQISFGNEGGSFKLYGQTPSSYCRRRRISFFNTMNIGLVQIGVKTLTKKIPPNASIILCLRDNRIEKLEDSLLALVESKLGDGPFYFNVFPNINLSLFFSSITNVLSVHVLVKGLDKIPKGSAPIVVTCRTCYKLNQNDFGSEALIESPVGKTVFFQIEIFEDDDDDVVQKVTMWNQVQLPSDWPPRLHIPTVPRLVFGSSKEAQVSN >KGN65759 pep chromosome:ASM407v2:1:18349394:18353259:1 gene:Csa_1G525300 transcript:KGN65759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKSKGYQASSFVADLFDVKEAPLSSASGAFATIFPSPQKGAGRNSSSSVDWLKQTNGSQPHHTRQGNSGGSLEPCHLSSSLYYGGQDGYSQATSAGPSPLPPPPHTMKKSGGQQDDPNGNNSQPASRGNWWQGSLYY >KGN66652 pep chromosome:ASM407v2:1:26088635:26092260:-1 gene:Csa_1G653330 transcript:KGN66652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEGLVGQLLVGYLGRYVKDIQKEKLKITFWNEEILLENVELILEAFDYLQLPFALKEDANLIFSAIGPKVTKSIEKLLRGFLQEGPNLEGGVHHANYKKTNHLYPWEV >KGN64650 pep chromosome:ASM407v2:1:7422284:7423510:-1 gene:Csa_1G073100 transcript:KGN64650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYCLDLEGFTASRLLAAITLFALADSLLSPDVLNCVTKGKHQQGTMDVAHLKSKKLLSLSPRSFQHPLMSTVPIAMMHPSESVAKVQVHSNALFFPPHRAYLYFFERFLSSLIGNPDFAIPFWNWDTPDGMYNMTEPYNDPNSSLYDKFRDPLLIKTEDHGFDIVECKLHWMKQRMNVTSARRFLGNIVEVGKVPTHNDMGRDPLFYAHHVNVDGMWKIWKEMGNQDHTDEGWVNSTFVFYDENQNAVRIKVSDCLDSKKLGYDYEKRIIPAASNEAKFPVKMKPKLGGESVSIGGITAQLQPV >KGN63518 pep chromosome:ASM407v2:1:526662:529358:-1 gene:Csa_1G002870 transcript:KGN63518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFGRPALKMHQLTKTDRRMLPVSDDNAMTKQILATHSPDSHKVDVKPILLIVEEVIRHATPDIIAKGNGQLDDQLGLAEMDGMLEPLAHVVQKVGAELACKCSGGDAHATTMAILNLLSNYSWDAKVVITLAAFSVTYGQYWLLAQLYTTNMLAKALALLKQLPDVIEHSNSLKPHFDALSKLIAAILNVTKCIVKFTELPSQYISSDTPAMSVALASFPTAAYWTIKSLVACTSLIESLVSLSHELIMSTTEVWELSSLAHKVKDIHGHLQMQLKLCIQYIDEKRHEEAYQNLVRISETLHLDNMKFIRAFISTREDIHPIYDGTTKMTVHLEILKRKHVLLLISDLDIPHEEVMILDNLFKEAHQRPEIRYEIVWIPIIDPAIEQHSKSKHKFEELKQLMPWFSVYDPSIIELSTIRFIKEKWNFRKKTILVALDPQGKVSSTNALHMIWIWGNLAFPFTSEREEELWKTESWRLELLIDGIDFSILDWAAEGRYICIYGGEDTEWIKEFTSKTKKVAETANVDLQMAYVGKNNAKERVRKISIMISDNKLSHYWADSTLVWFFWARLESMMYSKLNYGKTVENDPIMQEIMTLLSFDGSDKGWAIFFGRAGETTRAKGETVLSCILAFDQWKEEVEEKGFVKALADYLQQLKTPHHCNRLILPGLAGNIPENVVCAECGRAMEKYLMYRCCVE >KGN65372 pep chromosome:ASM407v2:1:14577347:14581782:-1 gene:Csa_1G385570 transcript:KGN65372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSFKDAFLMPFITISRKILCEDIISLFPFPSSSSCLSSHVPLSVSYYEDSSEVVSADLSCEQKFIDYISFRVPDDSFAEIANCVGIVRRFIHDQSCSNEDHSSSEAVLISIPDGSQCVDLSLYKDGQIVLLLNETASTSESSVGSYMMVVQVDDLPFVSMPRSPCLDNWKILQLKDNVVPLQMENEKVRNISHAVIPPLAVSASRGVACVFAARKRALVYILEEDEDEVSDAE >KGN63917 pep chromosome:ASM407v2:1:2971926:2974600:1 gene:Csa_1G025970 transcript:KGN63917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTQAAHNGSWVCQPTTYYRSRGIFFGDSPFSFAKTILLAQLSLSSFLTSLLQCLLTPLGESSFISQMLVGLALGPSFYGGDNPILEAIFPFKSFYVSETFAYFGCMVFMFLVGVKMDLSLIQKSGKKAMVIGFMAFSTPMLFNFFLSTYLKSTVEMDAHLKNTLSAIGAFQASSSFHVIACLLTDLKLLNSDIGRLALSSSMISGTLCWGGLVIGFTLRQTSMQQQDALPWMALCLVCMMILVVYILRPIMFWIIEQTNLSGRPIKEVYVFCLFLMLLFCSLFSEFVGQHFMLGPMILGLAVPDGPPLGSALVDKLDSFVSSIMLPCYFVISGARINLSTVNVRSAVIINLLAFTAFIGKVIGTMLPSLYCKMSLVDSLSLGLIMSTQGIADILGLQHGLLLYMIDQKSYSMMVVAMMVMTGTICPIVKMIYNPSKKYRCIMRRRTIEHTSATGELRLLLCIHHQDNTPSIINMLELSNPTIKSPICFYLIHLLQLTGRASPLLINHHLPGRRGSKRCNLSDQIINAFQIYQQFNYDKVIMNAFTSVSPYATMHDDVCMLALEKRVAMVIVPFHKRRTINGIVESINQIRGVNKNILSKAPCSVGILIDRVLSPSAVSSVSLTNRVDLYKVGMIFVEGPDDREALAYATRMAEHPKVALTVVRVIEPKRSSRHPTDQDLDAEMIKEFKLIMATSENKHWTYEEEIASDCVGLINVIRTMEHDSDLILVGRRHDGDSALFVGLNEWNEYPELGFIGDMLASSDSSGAVAVLVIQQQTIGGDQEFLDDFRCLMEESFSVDINPLNLPTAWPQKSSLT >KGN66506 pep chromosome:ASM407v2:1:24347389:24349194:1 gene:Csa_1G616240 transcript:KGN66506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAASVLVAIILASLPPLDSIETVMMEKVDLQSLVNNTSATNWVQTNIQAYVPNIKFQYIAVGNEVQPSDSFAAFVLPVMRNIYSAIVEANLEDQIKVSTVISANLLGSSFPPSVGSFSREANELMEPIVGFLVQNASPLLANLYPYYTYMSGTFTLDYALFNGPSVVKDGNFDYHNVFEVMVDAIYAALEKCGGTNVSIVVSESGWPSAGDGNTKVENGVAGSYYSNLIKFVQGGTQRRPGRAIETYLFAMFDENLRSPAVDKHFGLFTYDQKLKYVINLNFSLY >KGN64240 pep chromosome:ASM407v2:1:4911784:4914436:1 gene:Csa_1G044890 transcript:KGN64240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWTILLGLATLAIAYYIHWVNKWKDSKFNGVLPPGTMGLPLIGETIQLSRPSDSLDVHPFIQRKVKRYGPIFKTCLAGRPVVVSTDAEFNHYIMLQEGRAVEMWYLDTLSKFFGLDTEWLKALGLIHKYIRSITLNHFGAESLRERFLPRIEESARETLHYWSTQTSVEVKESAAAMVFRTSIVKMFSEDSSKLLTEGLTKKFTGLLGGFLTLPLNLPGTTYHKCIKDMKQIQKKLKDILEERLAKGVKIDEDFLGQAIKDKESQQFISEEFIIQLLFSISFASFESISTTLTLILNFLADHPDVVKELEAEHEAIRKARADPDGPITWEEYKSMNFTLNVICETLRLGSVTPALLRKTTKEIQIKGYTIPEGWTVMLVTASRHRDPEVYKDPDTFNPWRWKELDSITIQKNFMPFGGGLRHCAGAEYSKVYLCTFLHILFTKYRWRKLKGGKIARAHILRFEDGLYVNFTPKE >KGN64071 pep chromosome:ASM407v2:1:3919838:3925014:-1 gene:Csa_1G039850 transcript:KGN64071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSFEQTLQDGQLYRQVNSLIVAHLRDHNLTQAASAAASATMTPLNVDVPPKKLMELVAKGLAVEKDETLRGSPASALYEFGSSMPTTFGSAQPSRPSAIDFSTTQDTKGSSKNFPKHETRHLSEHKNVARCARFSADGRFVATGSADMSIKLFEVAKIKQMMLPDAKDGPVRPVIRTFYDHIQPINDLDFHPQNTVLVSGAKDHTIKFFDFSKLTAKKAFRVIQDTHNVRSISLHPSGDYLLAGTDHQIPHLYDVNTFQCFLSANVPEIGNNGAINQIRYSATGSMYVTASKDGAVRLWDGIGAKCIRSIVSAHGTAEATSAKFTKDERYVLSCGKDSSVKLWDVGTGRLVKQYVGATHIQLRCQAVFNDTEEFVLSIDEPSNEIVIWDALTAERVARWPSNHVGAPRWLEHSPTESAFISCGTDKSIRFWKESL >KGN66681 pep chromosome:ASM407v2:1:26419922:26420250:-1 gene:Csa_1G659035 transcript:KGN66681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILYQQCVQRISLHEIGVVLGKKTENKKRKHPLFINNIVKCIQFQTSTVKELGCLKGRYKMTSSDVVQVNFCHDFKG >KGN65761 pep chromosome:ASM407v2:1:18363873:18366134:1 gene:Csa_1G525320 transcript:KGN65761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQNPFEGDSWPSYFGRSDSFLSFNSPVESEIGSYEIESDRDDGENDGDDYTAELSRRMAQYMLQDDDNSSTTSFQSEIQNKSWGLSGSPISTLWSPLGSSTGSSHGSPEGPSKEPSPPSTPVVEECGELDISHNVFSKLEKMKKVSINGKSIQTSTQIGETGSSSSKDQSRTPKNQKRRQNQQQQQFMKQKGSGTIQVKQAQGSSLQANSGAKSVGPSGTGVFLPRHVNYSRPAPCPQPPQPPKKKGCSTVLIPVRVLQALQHHYDRMDDETRQKITGFTALREAAANARTTTNTIKKSHTGTATATVTTATSQIDVGLPQEWTY >KGN64884 pep chromosome:ASM407v2:1:9682128:9683629:-1 gene:Csa_1G145860 transcript:KGN64884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFQSVLQFLHNKSIFVTGATGFLAKVMVEKILRVQPNVKKLYLLIRAADEKAATQRLNTDVIGKELFRILKEKWGENFRSMISEKLVAVAGDISDELLVLKEYSKLREELYDQIDVIVNLAATTNFDERYDVALHVNTLGAKHVINFAKNCVK >KGN64187 pep chromosome:ASM407v2:1:4585793:4588915:-1 gene:Csa_1G042930 transcript:KGN64187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTQMGIRFFSLFLLGFSLLLSTVKPDLASDRTALLALRSAVGGRTLLLWNVTDQNTCSWPGIQCEDNRVTVLRLPGAALFGPLPVGIFGNLTHLRTLSLRLNALSGQLPSDLSACINLRNLYLQGNEFSGLIPDFLFQLPDLVRLNLASNNFSGEISSGFNNLTRLKTLFLEKNHLSGSIPDLKIPLDQFNVSNNQLNGSVPKGLQSFSSSSFLGNSLCGGPLEACSGDLVVPTGEVGNNGGSGHKKKLAGGAIAGIVIGSVLAFVLILVILMLLCRKKSAKKTSSVDVATVKNPEVEIQGSKPPGEIENGGYSNGYTVPATAAAVASAATVAAGTAKGEVSANGTGTKKLVFFGNAARVFDLEDLLRASAEVLGKGTFGTAYKAVLEVGSVVAVKRLKDVTITEREFREKIEAVGSMDHESLVPLRAYYFSRDEKLLVYDYMAMGSLSALLHGNKGAGRTPLNWEIRSGIALGAARGIEYLHSQGPNVSHGNIKSSNILLTKSYDARVSDFGLAHLVGPPSTPTRVAGYRAPEVTDPRKVSHKADVYSFGVLLLELLTGKAPTHSLLNEEGVDLPRWVQSVVREEWTSEVFDLELLRYQNVEEEMVQLLQLAVDCAAQYPDKRPSMSEVTKRIEELRQSSLHEAVNPQPDAAHDSDDASSR >KGN64711 pep chromosome:ASM407v2:1:7841795:7846045:-1 gene:Csa_1G077120 transcript:KGN64711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSAASLHSHTQLCLSLFLFSVFSLCFSFPTHHQNVPMQNHHHLNKPPPAATASSPSTTITKHALTSTSSSPQSLPNKNSKAPFGHYPQPHLHFYYMHCGCDGSILISTKPGSKVAAEKDAVDNKGLRPEAFESIKKAKALVESKCPGVVSCADILAIAARDFVHLAGGPYYPVKKGRWDGKISMASRIGSNLPRANSTVDQLLKLFNSKGLSADDLVVLSGAHTIGFAHCEHFTNRLYDYRGTKQPDPAIDGRLLKELKMSCPRYGGNTDIVAPFDVTTPFVFDHAYYGNLEGKLGLLATDQGLVSDARMKTMVQGLAKDKQKFFQAFAAAMDKMGSIGVKRGRRHGERRTDCSIHQS >KGN66745 pep chromosome:ASM407v2:1:27178201:27181971:-1 gene:Csa_1G673520 transcript:KGN66745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSNRKIYIEERNGRKCGKLDLDLGTLTWVEDRLNAAADASFSMGSWQRKKLDFGIIFFQVLENIGRRFTIISLDSFKGRKARVFISEGSRESGWRSLAREILNMGRREILALLLGPGIATQKSSNEIQHPKGSTRKRKEGSQLLVANLKNNRLKIEKERKHKFCAGWVTVLDVPPFLVYRIPGFLEFSFSVRFYVGWGEEKENQNRSERVGLWRFKANSGLKKGIGKPLGTVDLGGGSDLKIVRVKVDELFFKSFFGCSQEILVSNPSAFRARNLPTKEGEEHLVVFWKRSSELGHRIRVSTLEISVGPIDFRKNSLEVELGDFFKDEDGPRECSFLPVPVKKFIEPIKVSGKWVSSQLLFGGQLVRQDISGSLGESKRGGGVFSPNFVSSIIGHQTLSKTLGALLGEVKNDERWDILVSTDIKGVEAKEGKNGKDDIKLSVEEGGVKLKRKEGSDNLSRELKKLDSLGQTKRRLVKEVLRQENPDVVISMETKRSEFCSWRIASIWKRRRVEWEVLEAKGLSSGILALWNLRLCVAIEVVHGRHSITIAFLDGDGEELWIIGVYGPPRISGRNLFWEELRDLLGKFTWANSRASMRIDRVLVSEAWIERFWNPKQVRGSRVTWNHWPLMLTNGRWETFRIMEKLRILKGQLSVWNKEVFGDIQFNKKIIARIDEILARALANCLRKVLRQQFLMLRGVFWLEGRFGPSFDS >KGN65655 pep chromosome:ASM407v2:1:17303350:17306048:1 gene:Csa_1G479610 transcript:KGN65655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKCYPVVSEEYQKAIEKAKRKLRGFIAEKNCAPLMLRLAWHSAGTFCKDSKTGGPFGTMRFKSELAHGANNGLDIAVRLLEPIKEQFPILSYADFYQLAGVVAVEVTGGPDVPFHPGREDKPEPPPEGRLPDATKGSDHLRDVFYTMGLSDQDIVALSGGHTLGRAHKERSGFEGPWTTNPLIFDKSYFTELLTGEKEGLLQLASDKALLSDPVFRPLVEKYAADEDAFFADYAEAHQKLSELGFADA >KGN65471 pep chromosome:ASM407v2:1:15551609:15553517:-1 gene:Csa_1G423290 transcript:KGN65471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKSEITECSSSSSSSKPAATAPPISPIGSVEFTTDANGLEKVVLRGPRRSTAEVFLYGAQVISWKNKLGEELLFVSSKAVFSPPKPIRGGIPICFPQFLNNGMNERHGFVRTKFWRIDLDPPALPTSAPCSSCIDLILDEQDRWTWPHKYEFRHRVALGYEGELRLTSRIRNIRPDGKPFTFTFAYHPYLFVSDISEVRIEGVETLNYLDHLKDKERVTEQADAITFESEVDKVYVLTPTKIAILDHERKKTIELRKDGLLDAIVWNPWDKKSKAIEDFGNQDYKRMVCVGAAAIENFITLKPGEEWKGRMELNFVPSSYCSGQLDPQKALLGAAACS >KGN66333 pep chromosome:ASM407v2:1:22802630:22807776:-1 gene:Csa_1G597800 transcript:KGN66333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERVLNRIHSLRERLDETLIAQRNEILGLLSKIEAKGKGILQHHELIAEFETIPEENRRKLADGAFGEVLRSTQESIVLPPWVALAVRPRPGVWEYIKVNVHALVVEELQASEYLRFKEELVDGSSNGNFVLELDFEPFNASFPRPTLSKSIGNGVEFLNRHLSAKLFHGKESMQPLLDFLRVHCYKGKTMMLNDRIQTLDAFQHVLRKAEEYLVTLAPETPYSEFANKFQEIGLERGWGDTAERVLEMIQLLLDLLEAPDPCTFEKFLGRIPMVFNVVILSPHGYFAQDNVLGYPDTGGQFVFVSVHNQITRLLPDAVGTTCTQRLEKVFGTEHSHILRVPFRNEKGIVRKWISRFEVWPYLETYTEDVAQELTKELQGKPDLIIGNYSDGNIVASLLAHKLGVTQCTIAHALEKTKYPDSDIYWKTFDDKYHFSSQFTADLIAMNHTDFIITSTFQEIAGSKDTVGQYESHTAFTLPGLYRVVHGINVFDPKFNIVSPGADMSIYFPYTETEKRLTAFHPEIEELLYSEVENEEHLCVLKDRSKPIIFTMARLDRVKNITGLVEWYGKNDRLRELVNLVVVAGDRRKESKDNEEKAEMEKMYNLIKTYNLNGQFRWISAQMNRVRNGELYRYIADTKGAFVQPAVYEAFGLTVVEAMTCGLPTFATCNGGPAEIIVDGKSGFHIDPYRGDRAAEILVDFFEKSKEDPTHWDEISQAGLKRIYEKYTWQIYSERLLTLTGVYGFWKHVSNLDRLESRRYLEMFYALKYRKLADSVPPAVDE >KGN65644 pep chromosome:ASM407v2:1:17178132:17180748:1 gene:Csa_1G477540 transcript:KGN65644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYSYDASTSIDPFLHSLENFNRGFVRGGSILSQSLVLNGEKGELVKAPIQASKKRVSEEKALAALKNHSEAERRRRERINSHLSTLRGLVPCPLKRDKATLLAEVVRQVKELKKKAAEVSNGVFVPMDTDEVNVEPCGVGANGDMSFKATLCCEYRPELLSDLKQTLDSLHLKLVKAEISTLGNRVKNIFIFTSAIADNGDHPEASRHLASSVHQAISFVLEKASSPEYSPRTTLPMKRRRLSSLDTSSSSSGS >KGN65045 pep chromosome:ASM407v2:1:11177611:11177973:1 gene:Csa_1G182070 transcript:KGN65045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSFCFLASVLVFVASMSSIATATSRLDLVGDYKPIKDIADPYIQSLGEFAVKEHNKEAKTELKFKEVISGKLQIVAGTNYELQLTALEGSIINIIYETLVFTDLKNENHLIKFYSISN >KGN63888 pep chromosome:ASM407v2:1:2792747:2797445:1 gene:Csa_1G025200 transcript:KGN63888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVFTLGCSNSRIFSEREGTLFLGISAAPVLPQSARVYGHFARERGTSRRLSKKEQIDFPRKGFFGTRKVVLTVPKDSSSSNTSSSNDDSTNQTEQTPFGYTRKDVLLIGLGVTVLGFGLKSGLEYAGYDPMQAGNVVQLVLVLGLTLGWISTYMFRVSSKDMTYAQQLRDYEDKVMQKRLESLTEAELVALLEQVEEEKSQSASSEQVN >KGN64597 pep chromosome:ASM407v2:1:7086535:7089991:-1 gene:Csa_1G070620 transcript:KGN64597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPLLPQRPVFLISLFFVIFVGTTHFSFGLQINSNSTIQIIKDGDLLVSTNKRFALGFFNFNNSTTRRYVGIWYNQIPQLTLVWVANRNHPLNDTSGTLALDLHGNVIVFTPTQTISLWSTNTTIRSNDDVSIQLSNTGNLALIQPQTQKVIWQSFDYPSNVFLPYMKLGVNRRTGLSWFLTSWKALDDPGTGSFTSRIDPTGYPQLILYEGKVPRWRAGPWTGRRWSGVPEMTRSFIINTSYVDNSEEVSLTNGVTVDTVLMRMTLDESGLVHRSTWNQHEKKWNEFWSAPIEWCDTYNRCGLNSNCDPYDAEQFQCKCLPGFKPRSEENWFYRDASGGCIRKRSNATCRAGEGFVKVARVKVPDTSIAHVDKNMSLEACEQACLNNCNCTAYTSANEMTGTGCMMWLGDLIDTRTYASAGQDLYVRVDAIELAQYAQKSKTHPTKKVIAIVVVSFVALVVLVTSLFYLWDVVRKNKERSRTLSFNFIGELPNSKEFDESRTSSDLPVFDLLTIAKATDHFSFTNKLGEGGFGAVYKVWELWKLDSVMELVDSSLEESSCGYKIIIRCLQIGLLCVQEDPTDRPTMSTVIFMLGSEVSLPSPKKPAFILKRKYNSGDPSTSTEGANSVNDLTISIIHAR >KGN64004 pep chromosome:ASM407v2:1:3570442:3573646:-1 gene:Csa_1G033230 transcript:KGN64004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDHMVLCVDRLITPESLQSLQGTTAPGSSLESSSSQNSEPPVCAIAVEDVDEHDGSEEDPLIQTVECRICQEEDSIKNLEVPCACSGSLKYAHRKCVQRWCNEKGDITCEICHQNYQPGYTLPVPPPRSEDATIDVSEGWAVSETALDLNDPRLLAMAAAERHFLEAEYDEYADASANGTAFCRSAALILLALLLLRHALYLTNGDGEDDAYTFFSLLLLRAAGFLLPCYIMAWAISILQRRRQRQEAAALAATEVAFMLQAAQGQSLQFTIAPGPVVTPHQEPLQ >KGN65775 pep chromosome:ASM407v2:1:18418546:18420632:1 gene:Csa_1G527910 transcript:KGN65775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHGSFTDETKSTFSMADEDHTLANALRCTLNQDPRVTFCGYSIPHPSDNRVNIRVQTTGDPAREVLKDACQNLMVVCQHVRNTFDKAVLDFNLTKPKEKPVGDINIK >KGN64623 pep chromosome:ASM407v2:1:7232342:7232743:1 gene:Csa_1G071860 transcript:KGN64623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYLRRAWMGAASVGVMESSYASNDQYFVFQSKLQQFQQNGEGKAAQELGARSSFHKYGKGGEGGRRGKEIHRAEDSLHKVMYLNCWTQS >KGN66694 pep chromosome:ASM407v2:1:26524964:26528659:1 gene:Csa_1G660140 transcript:KGN66694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVASTALNCKIPTFSPSSSHSNNKISSSTSHFPVRFRRVSSNPSPVFRSNAVSCTLTREPSLAMEDKVHDSSLQQRPDSFGRFGRFGGKYVPETLMHALTELETAFYSLAGDQDFQKELDGILRDYVGRESPLYFAERLTEHYRRSNGEGPHIFLKREDLNHTGAHKINNAVAQALLAKRLGKKRIIAETGAGQHGVATATVCARFGLECIIYMGAQDMERQALNVFRMQLLGAEVRPVHSGTATLKDATSEAIRDWVTNVETTHYILGSVAGPHPYPMMVRDFHAVIGKETRKQALEKWGGKPDVLVACVGGGSNAMGLFHEFVNDKDVRLVGVEAAGFGLDSGKHAATLTKGEVGVLHGAMSYLLQDDDGQIIEPHSISAGLDYPGVGPEHSYLKDLGRAEYHSVTDDEALEAFKRLARLEGIIPALETSHALAYLEKLCPTLPDGTKVVLNCSGRGDKDVQTAIKYLQV >KGN66192 pep chromosome:ASM407v2:1:21794783:21798022:-1 gene:Csa_1G575080 transcript:KGN66192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPAEFYNSLPPISKAYGTLCFLATTAFQLGLYDPMLIALDYGLVFKHFQVWRLFTNFFFLGKFSINFGIRLLMIARYGVQLENGPFQRRTADFLWMMIFGSLTLLVLAAIPIFQFPVLGISLVFMLLYVWSREFPNAQINIYGLVTLKAFYLPWAMLALDVIFGSPLVPDLLGILAGHLYYFLTVLHPLAGGKNILRTPFWIQRLVSRWRIGAPPMQRAAVPDDRGTSGAFRGRSYRLNG >KGN66440 pep chromosome:ASM407v2:1:23738110:23744215:1 gene:Csa_1G605690 transcript:KGN66440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDQKRHRILMVSDFFYPNFGGVESHIYYLSQCLLKLGHKVVVMTHAYGNRSGVRYLTGGLKVYYVPWKPFLMQNTLPTFYGTLPIVRTILIREKITLVHGHQAFSTLCHEALMHGRTMGYKVVFTDHSLYGFADVGSIHMNKVLQFTLADVTEAICVSHTSKENTVLRSGLPPEKVFVVPNAVDTAMFKPALNRPSTNEIIIVVVSRLVYRKGADLLVEVIPEVCRMFPNVRFIIGGDGPKRVRLEEMREKHGLQDRVEMLGAVPHALVRSVLISGHIFLNSSLTEAFCIAILEAASCGLLTVSTRVGGVPEVLPDDMVVLAEPDPGDMVQAIKKAITMLPTIDPQEMHNRMKKLYDWHDVAKRTAIVYDHALSSSDQNLIERLSRYLSCGSWAGKLFCLVMLIDFLLWYFLKLWQPDENIEVVPDFKLTCNQDQGPMLDNHDEKT >KGN63890 pep chromosome:ASM407v2:1:2809185:2809454:-1 gene:Csa_1G025230 transcript:KGN63890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIDSNPMLAMIRPDGAVAYAKHINKQDCSWIPIPNIPRVTPSKPSKPIEGSRIQTG >KGN64183 pep chromosome:ASM407v2:1:4567075:4568054:-1 gene:Csa_1G042900 transcript:KGN64183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARTILFFAVLTLLIVSSVGFSEELDGFFKGMDEATKIPQCMQKILPCQPFFKLPEIPSSICCLPLKEMLVGDLQCFCSFFNDSEMLKVLNATQSQVLNLPKACGADDADISACDNDAQPPTGSTDAPPSPPAKTADGSKANSSRRNYNNPSNIIGYGFAAASSFVALLLSPLL >KGN64501 pep chromosome:ASM407v2:1:6554167:6554954:1 gene:Csa_1G060780 transcript:KGN64501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGDWKAAEKLVQEHENISLLDVISKDRKETALHIATRFNNTAFVKKLMPQLTENDLEAKNIYGNTPLCIAAMTGAADIAKLMVDRHEELVLKRGSGNALPLLIAARYKQFHMVSYLLKAMNSHIKKLNDTDKKEILFSVISSNDYDIALLILKENDHLALERDDNDNTPLHIMAKKSNGTIGTKNKLTDWQSSINKC >KGN64596 pep chromosome:ASM407v2:1:7080970:7084300:-1 gene:Csa_1G070610 transcript:KGN64596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPLPPKRAVFLISLFLLIFVGSYFSDGLQINSNHSTIPIIKDGDHSVSSNKNFVLGFFSLNNSTTTRYVGIWYNQIPQQTIVWVANRNQPLNDTSGTFALDSHGNVIVFSPTQTISLWSTNTTIQSKDDVLFELQNTGNLALIERKTQKVIWQSFDYPSHVLLPYMKLGLNRRTGFSWFLTSWKAQDDPGTGSFSVRINLTGYPQLILYNGSFPRWRGGPWTGKRWSGVPEMTRAFAINTSYVDNSEEIFITNGLMDDTFLMRMTLDESGLVHRTIWNQQEKTSTEVWSAPDEFCDSYNRCGLNSNCDPYNVEQFQCTCLPGFEPWSNQSWFFRNPLGGCIRKRLNTTCRSGEGFVKVVYVKVPDTSTALVDESMSLKSCEQACLSNCNCTAYTSANEMTGTGCMMWHGDLVDTRTYVNTGQDLYVRVDAIELAEYAKRKSKRYPTKKVIAIVVGSFVALVLLVTLLIYLWGTTRKKKERLRCLNLNLRESPNSEFDESRTGSDFPVFDLLTIAEATDHFSINNKLGEGCKKQMISGNILLDADLNPKIADFGMARIFGQDQIQANTNRIERYYLNLFLLLKKLITGKRNNYDFTYLNLVGHVWELWKLDNAMEIVDSSLEESSCGYEIMRCLQIGLLCVQEDPTDRPTMSTVTFMLENEVEVPSPKKPAFILKKRYNSGDSSTNTEGTNSVNGLTISIVSAR >KGN64923 pep chromosome:ASM407v2:1:10162208:10164884:-1 gene:Csa_1G162100 transcript:KGN64923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRLAQNREAARKSRLRKKAYIQQLESSRIKLSQLEQDLHRARSQGLFLGACGGVMGGNISSGAAIFDMEYARWLDEDHRLMAELRAALQGHLPDGDLRAIVDSYISHYDEIFHLKGVAAKSDVFHLITGMWMTPAERCFLWIGGFRPSKLIEMLVPQIDTLTDQQALGICNLQRSSQETEDALYQGLEQLQHSLIITIAGTAVVDGINHMALAAGKLSNLEGFIRQADMLRQQTLHQLHRILTVRQAARCFVVIGEYYGRLRALSSLWVSRPRESSCLNDESSCQTTTELQMIQNSHTHFPNF >KGN63548 pep chromosome:ASM407v2:1:656458:658107:1 gene:Csa_1G004140 transcript:KGN63548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFNLHILTTELSKSFLTLLRTKELHAFITKSHLASDPFYATRIVKLYSINAKLGYARHLFDKTPNRSVYLWNSIIRAYAKAYKFRDALSLFLTMSGTETSPDNFTYSCIIRACSENHHREWLKFVHGRVLVTGFGLDPICCSALVTAYSNLDLIEEASKVFGRIQHPDLVMWNSIICGFGSCGYWNQGLLLFSRMRNLGELPDGYTVVGVASGIAEPSLLSTGKGIHGLCLKCNFDSNEHVASALVSMYSRCNCMDSAYLVFSSLLQPDLVTWSALITGYSQAGDFRKAMLFFQRLNMQGKKMDSILIASILAATAQSTNIRHGIEIHGYVLRQGIESNEMISSSLIDMYSKCGYLSLGIRVFHVMSQKNISTYNSVIWGLGLHGLASKALEMFEELLTIGLVPNESTFSALLFACCHAGLNSVGKEIFKRMKDEFCIKYKTEHYVYIVKLLGMTGELEVAYNLVMSLPEPADSGIWGALLSCCDACGNVELAEVVAQRLIENDPEKTVYKVMLSNIYAGDGRWDDVKKLRDTMTEKERGKCPGLSWI >KGN64643 pep chromosome:ASM407v2:1:7371696:7372174:-1 gene:Csa_1G073030 transcript:KGN64643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPNRTCKAQNPDPLTQRVSFQLPTVKAEGSPERHLLLRFKELAIHSEQQRILIHRIRYPPKISSR >KGN64422 pep chromosome:ASM407v2:1:6011658:6015168:1 gene:Csa_1G051600 transcript:KGN64422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGEELTEQETQLYDRQIRVWGADAQRRLSKAHILVCGMKGAVAEFCKNIVLAGIGSLTLVDNRLVTEEALSANFLIPPDESVFGGKSVAELCCDSLKDFNPMVRVSVIKGEPSSFDEEFLKTFDVIVVSCCSLAEKKSVNEKCRKLPKRVSFYTVDCRDSCGEIFVDLQDYKYAKKKLEDTVECQLSYPSFEDAISVPWKVHPRKVSKLFYALRVIERFEEAEGRSPGETSVSDLPGVLKLKKEICESQLLNEAHIPNALVERLVTNPTEFPPVCAIIGGILGQEVIKAVSGKGDPLKNFFYFDAVDGKGTIEDISSQS >KGN66556 pep chromosome:ASM407v2:1:24924465:24925676:-1 gene:Csa_1G629130 transcript:KGN66556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSQIHLLKRCSARVLRIHPFSSTPLPNSVSDIDSHLVSLCQSLTPRNANHAFSLFHSAIASNSLPSGFTCNALMAALTRTRNYPMALSVYGKMTYANVFLGFRSLCCLIECFVYTREVNYAFGVLGLIIKQGYVVSTFVFNVMLTGLCRIGDVERAIESFHEMKRFSVLPDVITYNVLMNGLCKNEKFEEALRFLDEMEVICQPNMVTYTTMVDGLCKGGRLQIAEGLLERMKKKGLQADVVIWSVQRGTNRGVL >KGN66644 pep chromosome:ASM407v2:1:25960645:25963497:1 gene:Csa_1G652270 transcript:KGN66644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLAICRNLQRTASPSSLAAVRPLPTDARVGPISCAPPNDLAISPLGSAVRRRSLLRLFLASSVSTFVLVVFSGISFGIEAVSFDCRLSKKWQ >KGN64578 pep chromosome:ASM407v2:1:6945609:6950982:-1 gene:Csa_1G065980 transcript:KGN64578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRNQNRPPRSPAKKDVPDDVPLDKRRKIAAGRILGPAAGARGRQPFVDVNNRQGVSASDACSTEDSECGTVEFTKEEIDSLLSEKLKGKKFDLKGKVDQITDHNKRLKLCIKWFQQIEESHLLEEERLRTALESAEKKCSAIELEMKERADEFSSTVSVLRDNVASLEEKMTKEESDKLDAIECHKREKDARLAAENLQASLSSDLEKALQEKLAAEKRLASNEDLYKRAQEYNISLQQYNSKLQADLDTTSESLKRVGMEKMTVVENLSTVRGHNKTLQEQLKSLKASLEEAVKQKDTLTNDIKCLREELQQVRHDRDRLTSQVLALTADLEKLKEASGKSCIELDSLTMKTNSLEETCSSQREQIRVLDHQLTAANEKLKRADLSAFQTRSEYEEQKRYISDLQSRLADAELQITEGEKLRKKLHNTILELKGNIRVFCRVRPLLPDDGVETTVVSYPTSTEAAGRGIDLSQSGQKYPFTFDKVFNHEASQQDVFVEISQLVQSALDGYKVCIFAYGQTGSGKTYTMMGRPEASEQKGLIPRSLEQIFQASQALQSQGWKYKMQVSMLEIYNETIRDLLSTHRSGGSDITRTENGVLGKQYTIKHDANGNTHVSDLTIVDVCSIREISSLLQQAAHSRSVGRTQMNEQSSRSHFVFTMRISGVNESTEQQVQGVLNLIDLAGSERLSRSGATGDRLKETQAINKSLSCLSDVIFALAKKEDHVPFRNSKLTYLLQPCLGGDSKTLMFVNISPDPSSVNESLCSLRFAARVNACEIGIPRRQTTMRPVDSRLSYG >KGN66848 pep chromosome:ASM407v2:1:28238731:28239576:1 gene:Csa_1G701240 transcript:KGN66848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDGGDELRSNKEMLLIEDSHKKVLENSIKNYRKLHSFKKILNGFAVHTTPSEASKLREANGVKLVELDRGVRKMTTYTPEFLGLVKNNNDYKYNYSGGGDGILIGFVDSGIYPTHPSFSNNFGKEDDDELVCEEGPLFPKGCCNGKIVSARFFSAGAQAAAVLNSSIDFLSPFDAEGHGSHVASIAAGNAEVPVIVDGFFYGLATGIAPHARYSHFIHFFIQPFLDTYSFNYQL >KGN65302 pep chromosome:ASM407v2:1:13599370:13600994:1 gene:Csa_1G305750 transcript:KGN65302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDQKANIISLSLILLLILLLILARIFLKFSKAFFLICGIDVSLTVAILVYMVIRLRFTRRRKQLESQLVSEGRELRIEYSFLRKVAGVPTKFRYKELEEATDYFRALIGKGSSGSVFKGILKDGTAVAVKRIEGENRGDKEFRAEVSAIASVQHVNLVRLFGYSTNSSGPRFLVYEFVPNGSLDCWIFPKKPRHKNRNRPGGCLAWDLRYSVAIDVAKALAYLHHDCRSRILHLDVKPENILLDENYRAIVSDFGLSKLMGKDESRIMISMRGTRGYLAPEWLLENGISEKSDVYSYGMVLLELVGGQRNVSVVENGEGRSKKKWQYFPRIVSAKMKEGKLMEAVDQRLLETGAIDEREVRKLVCVGLWCIQEQAKLRPTMAMVVDMLEGRVAVEEPPDTEMLVVDLLSIDEEMMNSQEIPKIVPFRERMKDGNVPSSSSTPCSYAFSILSAR >KGN65398 pep chromosome:ASM407v2:1:14970712:14972826:1 gene:Csa_1G408700 transcript:KGN65398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYMQMEPEDKYVIPASQMALKSHHGSNDGEKTSLWKRKGRRRAKGLPMEGLKQKELDPNLEFPSSSCKSLTFPRRPNFGQLGTKCLVKANHFLAIIPESDISHYNVKITPEVTSRKMKKNILTELVKQYRTTELGMRLPVYDGGSNLYTAGLLPFISKEFTVILANEEEGTGTPREREFKVQIKFVTLASMHQLRELLAGKQVNNPQEALTIIDIVLRELHAQRYISVGRSFYSPCIKKPQHVGGGLQAWRGFYQSIRPTQMGLSLNIDMSSTAFIEAIPVIDFVAQLLNKDVYSRTLSDADRIKVKKVLRGVKVEVTHRGNVRRKYRISGLTSQPTRELIFPLDEQMNMKSVVEYFQEMYGYTIQYTHLPCLQVGNQKKVNYLPMEDLTKSK >KGN66029 pep chromosome:ASM407v2:1:20733618:20737840:-1 gene:Csa_1G568580 transcript:KGN66029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDADSWTARLSSASKRYQSALLSRSGLGMFMGFEDLEGDDDIREEFPCPFCSEYLDIVGLCCHIDEEHPIEARNGVCPVCEMRVGVDMVAHISLQHGNIFKVQRKRKSRKGGSHSTLSLLRKELQDGNLQSLFGGSSCLFTSSNAAPDPLLSSFILPLADDYGSVQPHLLAESSSVKSNSQDKSVERSIPSSPLSVQDKEEKTKRCTFVQGLLMSTILDDNL >KGN66762 pep chromosome:ASM407v2:1:27396072:27419567:1 gene:Csa_1G680090 transcript:KGN66762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHENHLLQLLLGIIQWIDPPDAVSRAIESGKCESEMLDGCRALLSIATVTSPSVFDQLLKSIRPFGTLQLLSSLMGEVVKVLMTHNSEEETWSWQARDILLDSWTALLIPLERCGQNSLLPQEGISAAANLFALIVESELKAASASALDDNIESEYFQASVSAMDERLSAYALIARAAINVTVPFLIRLFSERLSKLNQGRGIIDPTETLEEIYSLLLIIGHVLADEVEGETPLVPNAILFQFTDVMEANKHPVIALSSLIIRFCEQCLDQQTRASIFSPRLMESVVWFLSRWSSTYLLHPEEIITTNYGKEHDNEFQSQHTRKVIYSFFGEHGQGIPILDIIICIAATTLLSYPGEKDLHALTCNQLLRALVRQKHICKHLVALDSWRNLTNAFDNEKKLFLLDSAHQRSLAQTLVRSASGVRNIESSNQYVRDLMGHTATHLVEMASRKDLTSIAQQPDVMMMISCLLERLRGAACALEPRTQTSIYELGFSVMNPVLVLLAVYKDESAVVYMLLKFVVHWVDGQINYLEARETATVVDFCMRLLQLYSSHNIGKVSFYFIQLICKYYYLKFRSPVR >KGN64542 pep chromosome:ASM407v2:1:6756141:6760836:-1 gene:Csa_1G064150 transcript:KGN64542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFSFSFFPVFLHILVLLSPLIASNTHVPLQEVSNPKSFSKDFLFGTASSAYQFEGAFLSDGKGLSNWDVFTHEPGTIKDGTNGDVAVDQYHLYQEDLDLMEFIGVNSYRFSISWARILPEGRFGEVNRAGIDHYNKLIDSLLKRGIEPFVTLTHYDIPQKLEDKYGAWLSPLVQEDFRYYADICFKSFGNRVKYWVTFNEPNVQVIRGYRKGTFPPSRCSSSFGNCSSGDSEREPFVAAHNIILSHAAAVNTYRSKYQAKQGGLIGIVINAVWFEPISDSFKDILASERALSFYMNWFLDPIVFGNYPAVMEEILGLDLPNFSTEDQKKLKNGADFIGINHYTSYYAKDCLHSSCEPGQGSSKIEGFVFWTPMKEEILIGEPTEISWIYVNPQGMNKMVTYIKERYNVPIFVTENGYGQKNKPNNQTEDLLDDTGRIDYMRSYLGALETSMREGADVRGYFAWSLLDNFEWMNGYTERFGLCHVDYTTLKRTPKLSTFWYKNFIAQLLMSNNVSAIVSKKVI >KGN64715 pep chromosome:ASM407v2:1:7885479:7885745:1 gene:Csa_1G077160 transcript:KGN64715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASSTASKGKIFTLPPKRGRIKAQILESLVNSAALATFMAEEILCRPIRDLVTSARTVDAPPPYPAPPPPLIFHGVSF >KGN63882 pep chromosome:ASM407v2:1:2748914:2750996:1 gene:Csa_1G025150 transcript:KGN63882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLTLQKRLAASSLNCGKRRVWLDPDETTTISLANSRMSIRKLIKDGLIIKRLTTTHSKSRSRQRHQAKSRGRHSGNGKRRGTKEARLPTKLLWMRRMRVLRRLLRKYRDYEKIDRHIYHQMYIRVKGNVYKNKRVLMESIHKVHAEKALEKTLSIQVEAKRAINSKAIIRL >KGN63487 pep chromosome:ASM407v2:1:327461:328015:1 gene:Csa_1G002070 transcript:KGN63487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFGSILGVQNADFGKVEGCDGGGVMVNIDRLYTADQWRAMLTCAGPASCLLLLSLLSFVVDVAPSHGLFACCRGGHELNLLRLLCFLCIFNLIEWAVRCMRAGSEVDGMLEEDPAISI >KGN65671 pep chromosome:ASM407v2:1:17426879:17429869:-1 gene:Csa_1G481730 transcript:KGN65671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPIRGLRKKKKLERKLDCNGTASDSSEKDDAIDWWDDFSKRTNGLHSASKGLDRFKSIFKVSRKTFDYICLLVKDDMTAKSGHFTFLNGRPLSLCDQVAVALRRLGSGESLVTIGDSLGLNHSTVSQVTWRFVESMEERGLHHLHWPSNEVEMAQVKSKFEKIQGLPNCCGSIDTTHITMCLPASDPTSYVWLDDKKNHSMVLQVIVDAEMRFRDILTGLPGKLSDWLVFQSSNFHKLCDKGERLNGKRFELPDRSEIREYIIGDSGYPLLPYLVTPYDGKELSTSKTEFNKRHKETRLVVQRALAMLKERWRIIQGVMWRPDKHRLPRIILVCCLLHNIIIDIGDETEEGEVPLSIEHDVDYKQQVCDVFDSKGAYVRDRLSLLFI >KGN64488 pep chromosome:ASM407v2:1:6454129:6455696:1 gene:Csa_1G059190 transcript:KGN64488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLPHYYSVLILTLFLFITPSQSTKCRTSCGQIQINYPFGIDDGCGSPYYRHILDCTDSGKLELRTPSGRYPIESISYAERHIKITDPYMWNCDDGDNFRPTRPFSLDTSTHLSLSSQNDYLFFNCSEDNVIVAPKPMFCERFPERCDSSCDSASYLCRHLPDCSGGLGAASCCSYYPKATESLRLMLKYCSSYTSVYWKSIGAPDQPYDQVPEYGIRVDFDIPVSTRCLHCQDMVRGGGSCGFDTQSQGFLCLCGERNVTTFCGDHDTSQQKKKYVVISGTAAAVSAAGVFVVAAAVIWFVRRVRAKAPVTCGVQSNDNRLF >KGN64384 pep chromosome:ASM407v2:1:5793361:5793929:-1 gene:Csa_1G050230 transcript:KGN64384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSKVEETLRRKYQQVHPPQTAVAAVAAAATAKQIQCNKAKYPKFKRSSSNVEEDGASSAMLLLACIAFAS >KGN65087 pep chromosome:ASM407v2:1:11565834:11567632:1 gene:Csa_1G201770 transcript:KGN65087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMFIVKNAFKLQLYFEQQLFIRPLCSSLHFLITQLVLLQSYLYPYSQHIEEFVGLGEPKSIFTIKKGFLVLENGFSILGKSKIFQHREFVELQRKISRCERVSYLPRGSREIVLFPRLRFLATSSGRSCSLGWKSFPTLEDVHLRGFKDDGTVSLPKELTELLYRIKCLLPLSITFSNLTYVGVTDCNGLVNLFSCLVAKSLVNLKRLSISKCTKMRYIVAAPEGDADEENEEEIIFNQLNYLELYNLPKLTNFHSGKCILKYVCLERLDIEGCPEVKKIPNETVSTPKLPFVTTSSNYRRRRL >KGN64440 pep chromosome:ASM407v2:1:6145075:6147547:-1 gene:Csa_1G051770 transcript:KGN64440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METGMEEDDSASELLRDRFRLSSISIAEAEANKSGMEISEPVMTCVADLAFKYTKQLAKDLELFAQHAGRKSVNTEDVILTAHRNEHLAAILTSICNDLKTKEPQSERKRKKAPKKDDRDRGAVHIADA >KGN65539 pep chromosome:ASM407v2:1:16116563:16119191:1 gene:Csa_1G436330 transcript:KGN65539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFHILLLFLLSLSGFGGGLREVTSLGINYGQIGNNLPSPDKVLDMLTALRITKVRIYDTNPEILSAFANSKVEIIVTVENEMLAQLMDPQQALQWVTARIKPFVPATKITGIAVGNEVFTDDDLTLMETLVPAMLSIHTALTQLGLDTTIKISTPSSLAVLQESYPPSAGSFKPEITQIMSQFLQFLSTTKSPFWINAYPYFAYKDNPDSIPLQYVLLNPNPGMIDPFTNLRYDNMLYAQADAVLFAMAKMGFGGIEVRISETGWPSKGDSDETGACLENAAEYNRNLLRRQMRNEGTPLRPNLRLEIYLFALFNEDMKPGPTSERNYGLFQPDGTMVYNVGLSSFKGFSSSSSSSPSSSSSFSPSSISLTSSASTNSKGATMGYYQSLVYWMFVYLLTYQLFMRRPLYI >KGN66178 pep chromosome:ASM407v2:1:21700581:21701261:1 gene:Csa_1G574940 transcript:KGN66178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPETSREPCPDRILDDIGGAFGMGAVGGSAFHFLKGIYSSPKGSRLLGGSQAVRMNAPRIGGSFAVWGGLFSTFDCSMVYLRQKEDPWNSIIAGAATGGFLQMRQGVGASARSALFGGVLLALIEGAGIMLNKVLSQPQNAPIMIDDAGAMAGVPGYPMDQIPGLTPPGTSSGSPGSSDAGSGSWFGGLFGGGQKKDSEANRGDGETKILESFDSPPVPNFEFK >KGN65613 pep chromosome:ASM407v2:1:16916073:16918772:-1 gene:Csa_1G470290 transcript:KGN65613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLLAAKAPITFWLSPAGNDHRGSVNLKFRQSFLFVIPSSKLSFSSLAYAPALETKSYMDVELDSSRKIVEFCEVGDLKNAMELLCSSQNSNFDLGAYCSILQLCAERKSIRDGRRVRSIIESSGVMIDGILGVKLVFMYVKCGDLKEGRMVFDKLSESKIFLWNLMISEYSGSGNYGESINLFKQMLELGIKPNSYTFSSILKCFAAVARVEEGRQVHGLICKLGFNSYNTVVNSLISFYFVGRKVRCAQKLFDELTDRDVISWNSMISGYVKNGLDDRGIEIFIKMLVFGVDIDLATMVNVFVACANIGTLLLGKVLHSYSIKAATLDREVRFNNTLLDMYSKCGDLNSAIRVFERMDEKTVVSWTSMITGYVREGLSDGAIKLFDEMKSRGVVPDVYAVTSILNACAINGNLKSGKIVHDYIRENNLETNSFVSNALTDMYAKCGSMKDAHDVFSHMKKKDVISWNTMIGGYTKNSLPNEALTLFAEMQRESKPDGTTVACILPACASLAALDKGREIHGYALRNGYSEDKYVTNAVVDMYVKCGLLVLARSLFDMIPNKDLVSWTVMIAGYGMHGYGSEAINTFNQMRMTGIEPDEVSFISILYACSHSGLLDEGWKIFNIMKKECQIEPNLEHYACMVDLLARTGNLVKAHKFIKAMPIKPDATIWGALLCGCRIHHDVKLAEKVAERIFELEPENTGYYVLLANIYAEAEKWEEVQKLRKKIGQRGLKKNPGCSWIEIKGKINIFVAGDCSKPQAKKIELLLKRLRSKMKEEGYSPKTAYALLNADEREKEVALCGHSEKLAMAFGMLNLPPGKTIRVTKNLRVCGDCHEMAKFMSKSASREIILRDSSRFHHFKDGSCSCRGYW >KGN65361 pep chromosome:ASM407v2:1:14425039:14425542:1 gene:Csa_1G373520 transcript:KGN65361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTGSGKTHTMLGEIDDLEVKPSPHRGITPRIFEFLFARIHAEEEIRRDEKLKYNCKCSFLEIYNEQITDLLDPSSTNLLLREDVKKGVYVENLSEFEFKSSYFLSTFYC >KGN66884 pep chromosome:ASM407v2:1:28603401:28604911:-1 gene:Csa_1G703570 transcript:KGN66884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVSKPHSDADPRAIEITKGGKMIFYPRALTITWGNDNRYWRFLPSTNLKDPKSAVQLLQVSWLEVTCSTDKVEAGQTYKVGFNVSLQPDAFGWDDVEVFIMAKVGKKGTYFFKKTSFGKRLGTSSKKFSVPDEGLEIKIVAPQSSPGDCSLYFGLYEVWSGKWKGGLQIHDAFVEKV >KGN63892 pep chromosome:ASM407v2:1:2817033:2826192:1 gene:Csa_1G025250 transcript:KGN63892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFLSDTASAIKSRFGFQDHALSSYNPPRGSPDFLKSATKESVAQSSVIRSIGQFNDEDAVSDVTGPSSQCFELREDPSFWKDHNVQVIIRIRPLSSSEVSLQGYGKCVKQESCQTVTWTGHPESRFTFDLVADENVSQEKLFKVAGLPMVDNCVGGYNSCMFAYGQTGSGKTHTMLGDIEAGTRRHSVNCGMTPRVFEYLFTRIQKEKEARKDEKLKYTCRCSFLEIYNEQILDLLDPSSNNLQIREDSKKGVYVENLKEIEVTSARDVLQQLIQGAANRKVASTNMNRASSRSHSVFTCIIESKWDSQGVTHHRFARLNLVDLAGSERQKSSGAEGERLKEATNINKSLSTLGLVIMNLVNMSNGKSLHVPYRDSKLTFLLQDSLGGNAKTIIIANISPSSGCSLETLSTLKFAQRAKFIKNNAIVNEDASGDVIAMRLQIQQLKKEVSRLRGLVNGGGDSQDNDSLAVSFPGSPGTLKWEGLYGSMSPLTTGKRMTQRRDYEVALVGAFRREKDKDMALQALTNENQAAMQLAKQREDEIQSLKMRLRFREAGIKRLEAVASGKISAETHLLKEKEEHLKEIEVLKNQVDRNQEVTRFAMENLRLKEEIRRLKSFYEEGERDMVHDQIMVLENKLLEALDWKLMHESDPSSIQKGNSDMLADDENFLITNQDRGSPWKSSIKEENEFLRMQAIHNQDEVDTLRKKLEQCLEEKEKLKRHVDELVAKFGTKEYTEPMDGANQVELPLASTDTSTINFSDQVELKTMVDAIAAASQREANAHETAIALSKENDDLRMKLRVLIEDNNKLIELYETATSECKYENVETAQNDARVVEISNEKEAHEKAVEGLQQQLVEMHEENDKLMSLYEEAMQEKNELKKMLSSLERTKVDTRGESACIEKFVEVDDGMNKACIETLKPNEAQNLVCQSAPPEMEMLDGAEECNESTQNQENSFEEQNDGLVEELCSDINFIVKGGSGLDEEGKSVEEKDTSILENPKQMDVGTPMEIEPPPALIVEMLPEDLSIIRKKLEKADEQLSDSTTTVTILSSLEKMIIEADKLSKQVEVVEDEVQLKQKEVESFKLALSKKQESRDLAQNKFCALRYSLTNFSSSIFYFEQRETRAKVRADASKTYLNQKKKELAFLQARKEEIETRHVKIQQAEVELKSNLASLNSKLDEEIQKQENDKVLFAIDNIEKTDPQPKSWQFAGRATDLLKSAEEKTKLQNETKLAKEKLGVIRKELEDLTRKSKKVDTDIESIQLEVQKASKSVDEMELAFQGVINEKNTLLETRDVGIEEFENIILECQECMFEAGLKEAEIKILEEELQMEHRRMEELVIAKSVSVQKMMQLLEDNGRSSCFLSEKMEEMLKGIRYSVMEARSLLGEENLQHS >KGN66733 pep chromosome:ASM407v2:1:27068354:27070832:1 gene:Csa_1G665940 transcript:KGN66733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYILTVQNYMVTVNGLHECSKQEYASTGIGQCLLEKEKPSSLHLYGLCKTSFNGSYSCHWSTTLGLGRKQRVLHFKGLQRSVCIDRVDDTYEDELALNGHEIKVERNFSEKLTKKRFGSHNCSSLYLDGPFVGNDEETNNVILQKFCYKGKLMEASRVVDIMASRNQIPDFECCINMIRGFVNTDRMDKAVQVLKIMVMSGGVPDIITYNMVIGCLCKQGHLESAIELLNDMSLSGCPPDVITYNAVIRHMFDNGCFDQAVEFWKEQLRKGTPPYLITYTILIELVWKHRGTVCALEVLEEMANEGCYPDLVTYNSLINLTCKQGKFEDAALVIDNLLFHGMVPDAVTYNTLLHSLSRRGHWDEVDEILKIMSISLQPPTVVTCNVLINGLCKNGLLDSAINFLNQMFSYNCLPDIITYNTLLGALCKEGMVDEAFQLLHLLTDTACSPGLISYNTVLDGLSRKGYMDKAMSLYSQMMENGIIPDDTTHRSIIWGFCRSNKFVEAVETLKGILKGGYEVNSSFYRILVHELCLNKKVDLAIQVLEMMLSSPCKSNETIYSTIINSIASAGLKEQADELRQKLIEWKVLGKQED >KGN64788 pep chromosome:ASM407v2:1:8562000:8567048:-1 gene:Csa_1G097670 transcript:KGN64788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTSSIGSKMRNKLADITNSKTMRSHLEDENSSEASQPKKSVIDQLVKENMGLMKLIMERNKIIELSEAELEKLRASIQKLQLQNWHLAQSNSRFLAEINLGRKRIKSLEHEIECKEALLRAKRLTVEGKAEMNNRNSEWQEGEKATGQPSLAIVNTDTKSGNGNIKPPGRTRSKSMSPSTSYSTVVDKQKVVNKRHCVRRHSSRFRHQVRDLEEKLFEIEDIKLIAGEKEKNSPSSPRSEEVSALQRTSIGRPLRRAAEKIRSYKESRLNIKLRRQE >KGN65168 pep chromosome:ASM407v2:1:12565846:12566643:-1 gene:Csa_1G256770 transcript:KGN65168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNEEVGKKIEKVGEEEEEARTKKKEKKKRNRKRKEKLMGIEEEKGGEGSWFPVFSVEALTKPTKTQKSPHNSVKKIVLFRDSDEDGGIFWGLQKGN >KGN64034 pep chromosome:ASM407v2:1:3712451:3712717:1 gene:Csa_1G039010 transcript:KGN64034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPSTSEILSLFRSLLRTARQFPDYNIREYIKRRTIDSFRENQTLSDASSISSVYAGGRAQLEVAKRQAIVYSLYAPKVKSIMDVNH >KGN64678 pep chromosome:ASM407v2:1:7582014:7587928:1 gene:Csa_1G073850 transcript:KGN64678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMRQRPQTAGRGGFPNPLPPVEPYNIIPIHDLLTDHPSLQSTEVRAAAAALRTVGELRRPSFVPWNPKYDLLDWLGLFFGFQNDNVRNQREHLVLHLANSQMRLRSSPEHPDVLDRTVLRNFRKKLLRSYSLWCSYLGRKSNVRFPSRDQSEERRELLYVSLYLLIWGEAANLRFLPECLSYIYHFMAMELNQILDDYIDPDTGRPYSPAIHGDCAFLKSVVMPIYQTIKIEVESSRNGSAPHSAWRNYDDINEYFWSRRCFRSLGWPLNLSSNFFATTDKNRRVGKTGFVEQRSFWNIFRSFDKIWVLLLLFLQASIIVAWQGHQYPWITLKSRDVQVELLTVFITWSGMRLFQAVLDAGTQYSLVSRETVWLGVRMLLKCLAAVAWIIVFSVFYARIWSQKNSDGFWSDEATANIFTFLRAVFAFVIPELLALLFFVLPWIRNGLEELDWKVLYLFTWWFHTRIFVGRGLREGLVDNIKYTIFWIAVLASKFSFSYFFQIQPLVGPTKGLLNLKGPYKWHEFFGSTNIVAVVLLWTPVVLVYLMDLQIWYSIFSSFVGAIVGLFLHLGEIRNIDQLRLRFQFFASAMQFNLMPEVQELTPKLTRLKKIRDAIHRLKLRYGLGLSYKKIESSRIDTTKFALIWNEILITMREEDLISDRDFDLLELPPNYWSIRVIRWPCVLLCNELLLALSQATELADNPDENLWLKICKNEYQRCAVIEAYDSVKALLLNIVKYGSEENSIVVKIFIDLDNAIGLGKFMEAYNPNVLPEIHAKLISLVELLIGTKKDMTQAVFILQALYELSIREFPRSKKSTKQLREEGLVPRNPATDEEFIFENAVVFPSVEDRFFYRNVQRLHTILTSRDSMHNVPSNLEARRRIAFFSNSLFMNMPRAPYVEKMMPFSVLTPYYDEEVVYGKEMLRSENEDGVSTLFYLQRIYEDEWRNFMERMRKEGLEHEDDIWTKKSRDVRLWASYRGQTLSRTVRGMMYYHRALNMFSFLDKASEIDIRKGSQEIASHGSITRKHALDGLRSTQPPSMDLNRASIGEWLHRRSDYGIALMKFTYVVTCQVYGLQKAKRDPRAEEILNLMKDNESLRVAYVDEVHRGRDEVEFYSVLVKYDQEQGKEVVIYRIKLPGPLKIGEGKPENQNHAIIFTRGDALQTIDMNQDNYFEEALKMRNLLEEFNKSYGIRKPTILGVRENVFTGSVSSLAWFMSAQETSFVTLAQRVLANPLKVRMHYGHPDVFDRFWFLTRGGISKASKVINISEDIFAGFNCTLRGGNVTHHEYIQVGKGRDVGFNQISMFEAKVASGNGEQVLSRDIYRLGHRLDFFRVLSVFYTTVGYYFNTMLVVLSVYSFLWGRLYLALSGVEDAAIASSTGNNRALGAILNQQFIIQLGLFTALPMIVENSLEHGFLPAVWNFLTMQLQLASFFYTFSLGTRTHFFGRTILHGGAKYRATGRGFVVQHKSFAENYRLYARSHFVKAIELGVILIVYASRSPLATNTFTFVILSISSWFLIVSWIMAPFIFNPSGFDWLKTVYDFDDFISWLWNAGGVFTKAEQSWEAWWLEENSHLRSTGLWGKLLEIILDLRFFFFQYAIVYHLNITGNNTSIAVYFISWVSMIALVGIYIVVAYARDKYAAKEHIYYRLVQLIVIVITVLVIVILMEFTPFNVGDLVTCLLAFIPTGWGIISIAQVLRPFLQTTVVWDTVVSLARLYDLLFGMIAMAPLALLSWLPGFQSMQTRILFNEAFSRGLQISRIIAGKKTV >KGN65769 pep chromosome:ASM407v2:1:18407905:18408871:-1 gene:Csa_1G527860 transcript:KGN65769 gene_biotype:protein_coding transcript_biotype:protein_coding description:Low molecular weight heat-shock protein MSIIPITGQDGRISNPFPSNSLNRFPNFPFPLDLWHDFPLPSSFSGPFSWGATVNTHLDWTETPNAHVLRASLPGFGSEDVLVELQDDRMLQISTESGGFLSRFKIPESGKIEELSAFMDFGVLTVFVPKEEDDRSGRDVRVVEITGE >KGN66860 pep chromosome:ASM407v2:1:28362698:28364686:1 gene:Csa_1G701360 transcript:KGN66860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGFELDKEYPTLNFESDEDAVKISLFYFLELVMIMGWGTLGNLKETQNGKVVYHEKKPMNKKFLESYSLYGFSFAFKAYEIVSSINGWVVNRALHDAIRRIMQWSCTYSHLHQLQLFVPPDEDNLTFDRDTCGSLTYTKPKLDQRVGIQVRPLLERPEASADCMGFRLDNIKLDRMDSILDHMESFFTTELSTIKELLMFLVKSPRATSRDTNNRNNHEEEGDGDGNVHKDHDVDMDGTHQAGKDHEFVDDARVEEDAFKDGSMHIPVYGIGHIIYG >KGN66175 pep chromosome:ASM407v2:1:21697933:21699522:-1 gene:Csa_1G574920 transcript:KGN66175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANFVKLSIRGRHCFPEIRDLVSPQLNSLPLSVGSSLRSVSLNKSRCFSSSYRLKDEGVLSGNKLPWLAAASSVEKAKWLDKSTTKKRGDRSPWKESDFKSKRFGKNGNRSSRDEPEKRYGKEPIGSANRSTWEVSAEKFARRSIELADEKRESRRTRMDNEEQKDDDIERRGSYEEVEDEETEAIADPRWDKIKSRFRGMVDERGATERPEFQRWNKQESWGRKTWKEASESTLPKMIGEGVYGVGPVLAALSAGRREFYALYVQEGIDLNNNNKKKKDKKGFEKVLKLAEKNALTIKEISKHDLNMISDNRPHQGLVLDASPLEMVKIKELDPVSLEDDKGSLWLALDEVTDPQNLGAIIRSAYFFGASGVVLCAKNSAPLSGVVSKASAGSLELMELRYCKNMMQFLTSSAENGWRVLGASVSSKSIDLKEVLPGAPTILVLGSEGTGLRPLVERSCSQLVRIPGNIPVDVTIDTENDIETTDGRSVDEFRSFMAVESLNVSVAAGVFVHHLVGNSYKNESLETSI >KGN66841 pep chromosome:ASM407v2:1:28197960:28198735:1 gene:Csa_1G700680 transcript:KGN66841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFLMTMIEPYRAVIKEEWKKVKEELKDETKIVFPMTASRDTALHLAVYSGGEEPLRTLLVGIFEMDEAFWRNSAGNTPLHEAATVGNLAAVKLLVEYKKEDLVAENIYGETPLFRAARCGHLEIVNYILEDCEDFFSRCSRHWTNRKGNPIIHAAIQSQKFDVVLKLTEFDKSLLEMTNLEGKTALHVLANMPSAFQSGYPMKFFESIIYNRKTQNPSS >KGN63656 pep chromosome:ASM407v2:1:1389332:1394748:-1 gene:Csa_1G009600 transcript:KGN63656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELRSGFPLLLQQYRALLKKNLLLSLRNKRATFLHLFSSLFFIFLIFCIQKATESRFGSSSSMNDVRNPELHSNPSIPPCEDKYYIKLPCYDFVYSGDSSPKVRSIVSAIMAKNPGRSIPANKVLSFGTPAEVDKWLFNNPMTCPGALHFTERNGTVISYGLQTNSTAVARRGQYEDPIFKFQIPLQIAAEREIARFFIGDPNFSWVVNFMEFAHPAVNKFSAVNTIGPTFFLAIAMFGFVLQISSLITEKELKLRQAMTMMGLYDTAYWLSWLTWEGITTLIASIFTVLFGMMFQFDFFSKNNFAVVFLVFFLFQLNMVGFAFMLSAFISKSSSSTTVGFSIFIVGFLTQLVTGFGFPYADGISKAYQIIWSLFPPNLLAKALSLLSDATATPSDPGISWSSRTECIPKGSECVITINEIYSWLVGTFFLWFFLAIYFDNIIPNAAGVRKSAFYFLRPGYWTGKGGSKVEEGGIFSCLGSLPVLEPITPDDEDVLEEESTVKQQLSNGIVDPNVAVQIRGLAKTYPGAWKVKLGCCCKCTKTSPYHAVRGLWVNFAKDQLFCLLGPNGAGKTTSISCLTGITPVTGGDALIYGNSVRDSVGMANIRKIIGVCPQFDILWEVLSGQEHLHLFATIKGLPPSSIKSIAEKSLEEVKLTQSAKTRAGSYSGGMKRRLSVAIALIGDPKLVILDEPTTGMDPITRRHVWDIIEGAKKGRAILLTTHSMEEADILSDRIGIMAKGRLRCIGTSIRLKSRFGAGFVANVSFDNHNGGQTPSLNGVPNTSAGYEEIKQFFKSRLDILPKEEHKSFLTYIIPHDREKLLTKFFDELEERKGELGISDVQLSLTTLEEVFLNIAKQAELESAAADGTMMSLTLMASGDTLEIPVGARFVGIPGTETAENPSGVMVEVYWEQDDSGSLCISGHSDEMPVPPNVHPLPSLRTLSLRSASQRSRFGQTGPVYGIVYEPGQVTAYNSG >KGN64707 pep chromosome:ASM407v2:1:7817126:7820595:1 gene:Csa_1G076100 transcript:KGN64707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTWCSGSDDDESSQQLTNSSSILHHSSSAVRCPSCGHQIQLQDQGGIHDLPGLPAGVKFDPTDQEILEHLEAKAISDVGKLHPLIDEFIPTLEGENGICYTHPQKLPGVSKDGQIRHFFHRPSKAYTTGTRKRRKVHTDEEGNETRWHKTGKTRPVFIGGAVKGFKKILVLYTNYGRQKKPEKTNWVMHQYHLGNNEEEKDGELVVSKVFYQTQPRQCSSTAAITNNNNHLLQSEIKFKNSANHHRVGGGVVDYYNPATSNNTNLIISFDHNTEPEVPQLLPNLVLSGDGSSFIRFPPADTTKRKLERKL >KGN66826 pep chromosome:ASM407v2:1:28072442:28077483:-1 gene:Csa_1G699550 transcript:KGN66826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METVEKKSQPQIDSQKSKSAELESELEAPELRKLESFLRWICIMDHSNLYRASVSCIMFFVFGIAVPIASHFGLSCSDCDEDHQRPFHVVVQLSLSAVATLSFLCLSLWLRVFGLNRFLFLDKLCEASPKIRAEYFRQLQKSMKLMSFFLLPCFMAEAGYKIWWYISAAKEIPYYTNNMYISYVTSCTLELCSWLYRTSIFFFVCIFFRLICCLQMIRLEDFASSFRSETEVGTILIQHLGLRRTFTVISHRFRVFMLLSLILVTASQFISLLMTTRSKAHANLSKSGQLALCSISLVTGLFICLRSAAKITHKAQSITCLAAKWHVSAVINTFDELDTEMTPTASFVPNVVESNSDDEDGDEDEDDLDDAKLMPVFAHTISFQKRQALVTYLRNNKAGITVYGFMVDRTWLKSIFAIELALFLWLLNKTVGVS >KGN65176 pep chromosome:ASM407v2:1:12590817:12591299:-1 gene:Csa_1G257330 transcript:KGN65176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAIHRFLLPIALISLLLFSESLPAISSSDHIQDSNRPGDKDSGTSLTEKISIKRGVRSGVIAGRSTTSSSARVSSSSFFNVGSVICFNIAFVLAMFL >KGN66233 pep chromosome:ASM407v2:1:22073297:22096625:-1 gene:Csa_1G587440 transcript:KGN66233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQLLLLKSTENPDIEWCKDVKGNMYDMVVEGFQLLSRWTARIWEQCAWKFSRPCKDAISFESHETSSFSDYEKVVRHNYSAEERKALVELVSYIKSIGSMMQQCDTLVADALWEAVHAEVQDFVQNTLATMLRTTFRKKKEISRILSDMRTLSADWMANRSKSDSEAQSQRGEESKVNFFYPRPVAPTATQVHCLQFLIYEVVSGGNLRKPGGLFGNNASEIPINDLKLLENFFYKLSFFLHIFDYTATVATLTDLGFLWFREFYLETSRVIQFPIECSLPWMLVDYVLESQNAGLFESVLFPLDIYNDSAQHALVTLKQRFLYDEIEAEVDHCFDIFVSKLCDSIFTHYKSWAARELLDSSFLFAIDNGEKYSVQAMRFNALLKITRVKLLGRSIDLRSLVAQRMNKIFRENLEFLFDRFESQDLCSIVELEKLMDVLKVTHELLSKDLLIDSFCLMLNEMQENLSLVSFSSRLASQIWSEMQNDFLPNFILCNTTQRFVRSSKVPSVPVQKPSVPQAKPSFYYGTQDLNSAHQSFARLHSGFFGMTHMLSIARLLGSRSLPWLIRALLDHISNKIAILEPMIAGLQEALPRSIGLLPFDGGVAGCIRLINESLNWEAKSELRLEVLHGIKEIGSVLYLISLLDIVLRELDITHFMQTAPWLGIIPGADGQILHSQDGDSPIVNLFKSAASAIVSNPGNPNGMSYYTMSKQAEAADLLYKSNLNTGCVLEYALAFTSAALDKYCSKWSAAPKTGFIDITTSKDFYRIYSGLQIGYLEESAQTPSNNHELLGDSVAWGGCTIVYLLGQQLHFELFDFSYQLLNIAEAEDGTVVQAHKSSHYIQGWELLIEAMKKARRLNNHVFSMLKARCPLEDKIACAIKQSGAPLHRIKFENTVSAFETLPQKGAVN >KGN66096 pep chromosome:ASM407v2:1:21134928:21136876:1 gene:Csa_1G570210 transcript:KGN66096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKRKHSESLPTVSVKKDDDAPERPKRTLMGWKDKKVTKEIEVGSDHAVFRNKEKVLITCSRRINFRYWHLMLNMVSLLPHCKKDNKVELRSSKGATLNELVELKGCSSSLFFEDDNILVLFLWLQKT >KGN63863 pep chromosome:ASM407v2:1:2622563:2624583:-1 gene:Csa_1G024950 transcript:KGN63863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPNETCDVVYCYCGIRLHPLSCPEAFSLNQNGILEGNRNVKRLERNCLSSGNVNGFPGLGGCSKCLKSLYQLNKKETLNSSKPENRTTKMHHKECQLMGLTWLLAKNRTAYIRTVTSVLRAKMMSEDGSDPRSCTLNSDGMPLAVDSAEMSGSSVTIPTQTLLYLGLFWAALMYNLIMLVSTL >KGN64494 pep chromosome:ASM407v2:1:6489869:6490963:-1 gene:Csa_1G059730 transcript:KGN64494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSALTSDDPISYFPSSSPSQTSVADTVVINIIDGQGASMESKENIKNAVKLHEAALKGDWEAANNIFKKDSSWITKKITIRENTALHIAAAGKHISFVEKLVKLYSSNGFDLAIKNRDGRTALAYAAVSGIVRIAETIVDNDHKLRDPVDDAHLKYVPLLSSVFYKLKDMASYLFSQTNFNDLQTNQQLDLLLATVDSDYYGFTSKSLI >KGN65766 pep chromosome:ASM407v2:1:18397665:18398162:1 gene:Csa_1G526850 transcript:KGN65766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTLEISKRRRLLLVPCPYQGHINPMLHLATYLHHNGFSITIAHTFFNSINSNRHPDFTFVHLNDQLPNDLLVSLDVASVLLAINDNCKASLEDILANIVEDVMCVIHDEAMYFCEAVASGFGVRSLVLRTTSIAACISRLVVLQLHAEGRLPLLDQGVDYIYI >KGN66880 pep chromosome:ASM407v2:1:28545480:28547722:-1 gene:Csa_1G703040 transcript:KGN66880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIGVVSPYSAQVVTIQRKIGKKYNCNGFNVKVSSVDGFQGGVEDIIIISTVWCNTGSSIGFLSSNQRTNVALTRAR >KGN64075 pep chromosome:ASM407v2:1:3934043:3940006:-1 gene:Csa_1G039890 transcript:KGN64075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESWNYGSQGKGFLSDEMNSSTNSPLRSKYSLLGWEFKNPCSFGDNMLLTSGAQHVENQSFGELEFPQMVGKQLPDDSVSGVLNTKTDGGRNLNLVLPTSHPLHGEEESTSKLSGSIVDSNNRDSSLIDLKLGRFIDQGDAHSSKYSKRAAISSSTESSTSQKKMRSQGVNFQTAFCQVYGCNKDLSSSKDYHKRHKVCEVHSKTAKVIVNGIEQRFCQQCSRFHLLVEFDDGKRSCRKRLAGHNERRRKPQVSFHSGRAQRLLQPYNGIGDSRFQEKTLTATSFICKDILSSGLYYPEKLGENDWCKRVKVEGKSDYNSISATSLSNRHLNVKSPLLPYDFEVQIPPFQENGTSTAPTVNMLSETTCQYSHNVGGPHIDTHPLFHQTTLSSEDFGVYDAASTIQGLSGIPDSGCALSLLSSQSQSASNHPSIVHIPRAFIMSESQSNYSMSELSEKLMGVSPQASSTGITSKFASGMSEAQMGSIPTCGSSDRTVTFQIPGRVLHRSGLANPKANISYERTPTIDLLQLSSQLQRVEHQRHSMQDSA >KGN66256 pep chromosome:ASM407v2:1:22300434:22303828:1 gene:Csa_1G589140 transcript:KGN66256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIAFQLHQPHLHSFLQDIMQSFGCTYICLWSYFPQPSNCLRFSDGLFLKEFDQEQVGSLSLLLFREYQQLEFSVDDGLIPGLAYKHNDPCLKLENSELQTYASADVQKQFYLAAGIQTSIFLGSIHGEIELGFSNVSQVDIEMIISSLVPVDVVAPKVPIMELPQPSTKSKLIPSLSSIPILNPNALSSSSSSLRSLSMDSPAESPFPTADIPILQSQLQPSSMDSIFAQARNLQFPTPEALDEAMTRTILAVLSSPACSSSSSVHPPLEKLPPSYRLNVKASAFKKFALVLTPTMRLNRNLSTRQSLLKRSLAFMTNLNLMRVRERMPTTSRPTSSQLHHVISERRRREKLNDSFQALKSLLPPGTKKDKGSVLTTTREYMSSLKAQVAELSRRNQQLEAQLLQSCKEEEKEEAILFPQKEIRFRVGISQVQESTSEGQIIDLNIATRGEFSSLTNIVIGVLEFLKQLNNVRVVSMEGNTQLTPSSSSINHLTLRLIIEEMGRKLGVKEQENEWDKSAFQEAVERVVSDLAK >KGN64800 pep chromosome:ASM407v2:1:8717736:8719726:-1 gene:Csa_1G103270 transcript:KGN64800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEAAVQKKKKNDNEKNGDGGGGEGKKKEEIPFTIVLKIDMHCEGCANKITKCVKGFEGVQSVKAEIDGNKLTVMGKKIDATKLREKLSNKTKKKVDLISPQPKKEKDSKPKDKIDDDQTSSNNNKSDKKTDENKKKPKEPPVTTAVLKVPLHCQGCIEKIQRVTTKFKGVQEMSVDKQKDSVMVKGTMDVKALIGSLSERLKRTVEIVPAKKEKEKEKDNNKKEGGGGGDEKKDSTTGDGDGNGNGGGKKKKKGGNGGGGDGEEGGGGGGKMEGNKMEYMGMGGIGYGYGYGYGYGMNTGYGYGPSGIVGENLHAPQLFSDENPNACFIM >KGN65396 pep chromosome:ASM407v2:1:14917496:14917890:-1 gene:Csa_1G407200 transcript:KGN65396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASENSSVGSFRPDAAAEEDSLRWAALQRLPTYQRARKALLHGVAGDLKEIDLQKLNVKETKELLNRVVKNAESNEEFLHKLKSRIDRFQVPSFIFLFVFY >KGN66589 pep chromosome:ASM407v2:1:25338824:25341534:1 gene:Csa_1G633400 transcript:KGN66589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAGECYFNTSDYFSDWEAIADRPPNELLSLESEPDKPEQSVKEMKAQTPRRRGRGTFSYNKHELYSDKLSDSSTTDDTNEEESSHMIEGRRELKSAQYGTQHVLVLADFPPSTKTIDLERLLGNFMNSGVVIRWVNDTVALAVFQTPSTALEVLNHVRCPFTLRQLDENDTLLSSIPPRDLVPPKQRPKTSARTAQRLIAQGMGLKLPNSTTSFGSKELRKQEEDRRNRIVSRQKLRDEAWGDDDPS >KGN64532 pep chromosome:ASM407v2:1:6711296:6712146:1 gene:Csa_1G063560 transcript:KGN64532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISTSTPHPLLFPYYHYSIPHNYVHWVQTSDSHLFSADLPGVRKEEIKVEVEDSRYLIIRTEAVNGVTSPAKSFSRKFRLPVLVDVDGISAGFENGVLEITVPRSSFRRRSVVHSPDQHQLLARAA >KGN63816 pep chromosome:ASM407v2:1:2328575:2335707:-1 gene:Csa_1G023040 transcript:KGN63816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGKNHDEPLSLMDIPAKTDKNKRKPSTSAAPNDHRKKRRRLAVSSETAIPKSSDPQKLAASSRLKTICSPSRTSRKHGKRRSSQTDGHRRWVYSARDCSRFIDKFMVASYNILGVENALNHPDLYHRVPSKFLDWSFRKELICNAIKFYNAGILCLQEVDRFDDLDELFQNYGYKGVYKARTGEANDGCAVFWIDKLFSLLHQETIEFQNFGLRNNVAQLCVLKMNKSKSKSKTSRSFVIGNIHVLFNPNRGDIKLGQVRLFLEKAHSLSQRWGNVPVIIAGDLNSIPKSAIYQFLASSELDIQLHDRRKISGQLDFSSSHGAFRFCSGGTKWSNVSTSKSFGWSDEEIRIASGSENVTRLQHPLKLSSAYYGIPGSYKTRDTNGEPLVTSFHSKFMGTVDYIWHSEKLAPVRVLETLPVDALKKTGGLPNEKWGSDHLALVCELAFDDDENDS >KGN63944 pep chromosome:ASM407v2:1:3240678:3259780:1 gene:Csa_1G031190 transcript:KGN63944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKNFLSLQISDLADIARCSANTPLGDDCSMQYLLTCLEDLRVVINRRKFNALTVDTFGTRIEKLIREKYLQLCELVDDEKIDIASTVIDEDTPLEDDIVRSLRTSPIHSSKDRTSIDDFEIIKPISRGAFGRVFLAKKRTTGDLFAIKVLKKADMIRKNAVESILAERNILISVRNPFVVRFFYSFTCRDNLYLVMEYLNGGDLYSLLRNLGCLDEEVARVYIAEVVLALEYLHSLGVVHRDLKPDNLLIAHDGHIKLTDFGLSKVGLINSTDDLSGPAVSGTTLLGYDEPTMSASEHQQERRKKRSAVGTPDYLAPEILLGTGHGATADWWSVGIILFELIVGIPPFNAEHPQTIFDNILNRKIPWPQIPEEMSHDAQDLIDRLLTEDPHQRLGAIGASEVKQHMFFKDINWDTLARQKAAFVPTSESALDTSYFTSRYSWNHSDDHVYPHSELEDSSDADSLSGDSCLSNRQDEVGDECGGLTDFEPGASVNYSFSNFSFKNLSQLASINYDLLSKGLKDDPPNHDA >KGN63495 pep chromosome:ASM407v2:1:386515:389045:1 gene:Csa_1G002150 transcript:KGN63495 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylate kinase 1 MASSSGSASLEDVPSIHLMTELLRRMKCASKPDKHLILIGPPGSGKGTQSPIIKDEYCLCHLATGDMLRAAVAAKTPLGVKAKEAMDKGELVSDDLVVGIIDEAVKKPSCQKGFILDGFPRTVVQAQKLDEMLEKQGAKIDKVLNFSIDDAILEERITGRWIHPSSGRSYHTKFAPPKVAGIDDVTGEPLIQRKDDTAAVLKSRLEAFHKKTKPVVDYYSKKKIVVDLQAEKPPKDVTEEIQKVLSS >KGN65154 pep chromosome:ASM407v2:1:12486362:12491541:1 gene:Csa_1G255160 transcript:KGN65154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAPVLVLKDSLKRESGSKVHHANIQASKAVADIIRTTLCLIVSRIVVTNDGNAILRELDIAHPAAKSMIELSRTQDEEVGDGTTSVIVLAGEMLHVAEAFIEKNYHPTVICRAYNKALEDALAVLDKIAMDIDVKDRTAMLGLVKSCIGTKFTSQFGDLIADLAIDATTIVGVDLGQGVREVDIKKYIKVEKVPGGQLEDSKVLKGVMFNKDVVAPGKMRRKIVNPRILLLDCPLEYKKGENQTNAELVKEEDWGILLKMEEEYIENICAQIIKFKPDLVITEKGLSDLACHYLSRAGISAIRRLRKTDNNRIAKACGAVIVNRPDELQESDVGTGAGLFEVKKIGDEFFAFIVDCKEPKACTVLLRGASKDLLNEVERNLQDAMSVARNILKNPKLVPGGGATELTVSATLKQKSSSVEGIEKWPYEAAALAFEAIPRTLAQNCGVNVIRTMTALQGKHANGENAWTGIDGNTGEITDMKERKIWDVYNVKAQTFKTAIEASCMLLRIDDIVSGIKKKQAPGAGPTKPQIETEADADNEQILPE >KGN64379 pep chromosome:ASM407v2:1:5770902:5777768:1 gene:Csa_1G050180 transcript:KGN64379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPLFDFRDVQEKLSAQFRPWERSFQFWVRVADIYTGYKVLQLRVKFEKDVEKQETMWENQHEHAAEKIYAMCSEMGGFFLKVAQVIGKPDLAPAAWVKRLVTLCDQAPATPFDVVQRVVEKELHRSLGDVFETFDPDPLGSASIAQVHRARLKGDRDDVVVKVQHPGTEDLMMTDIRNLQAFALYMQKTDIKFDLYSVTKEIEKQIGYEFDFEREANAIERIRHFLYSNNKKSPVLVPQVMKNIVTRRVLVMEYIDGIPILNLGDEMAKRGIDASGRIALAAKQRILSSLTMAYGQMILKSGFFHADPHPGNILICKGSEVALLDYGQVKDLPDQLRLGYAKLVMDIADGDASRTAETFRELGIDTISNCENAQEELFKLAQVMFDTRLPPGKVLMQPFAEDSSIKKVGVQSFPEELFSILRTIQILRGLSVGLGINYSCSEQWRPIAEEALLLSGRLEGRKMKTTHKRGFLKRLFSRSS >KGN66363 pep chromosome:ASM407v2:1:23055132:23056321:-1 gene:Csa_1G599545 transcript:KGN66363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSIYQGGLHQISWFQFLPNESDLITLPDKRLKLSEPAFDVESAKVEHNDAATFLVLSSHVQLQKEGFLSTWTNSFVGPWDPSQGLHNPDEKIKLWLFLPGRHSSVVETAQAAVSKLRVVASGLWISPGDSEEVAAALSQALRNCIERALTGLSYMRFGDVFTKYHHMQSEELFRFLFNSCQLHKSFFYYAAVNFSTKIDDYVSERC >KGN65993 pep chromosome:ASM407v2:1:20412397:20415678:-1 gene:Csa_1G560760 transcript:KGN65993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQTLDSHQTSTTDEETEKINSESVKVAEQIHFSSPQETVEDDREKGRADNLHVPNSTLSTSEEKAVDIQAEPPAIENKQIDETSVVNLPVHDNVQLEKESTSVSEVEIATATNETLHEERPSVEPVAGEAIDLPVIAYLEKSIKEFDTADNGKSPPEEQPTKEVIEREALEVPAEATTQKVEEQPSEAVSLPKLQDESSSNIVYSEEKEEPSAHVQFVTEVAEKVEMNSEEAEENKPKISDNTILSVVENFSKGPTDAEEEPVTECVSVEKEADKEPETDIPKEPAELPKKEAPAIEVVPLEELIERVAKENLERVETPTDKGQPVELHPFKALEEVIAKEISIPTEVPKDKQQGSEIDAEQESRELIETKIRESVEVSHESEFLVEVHQAKEQVLIEKEINESFEPPKNKEQSDEAISQKEAVEVTKNEVGLSFEPPKNDEQAYEGFSSTKSGEVKEKETNDSTLDFEEVEKQEKEVIQVKDGIKEIPEVTKHVQDTYVEAEAVIDEKPLAPTDNTDLPSEGKVQKEEQPVEVVAEKEPIKMFAIEDIETVELPMEKKESVVIEKEINEDVETDEPQIENKQPVEVGKEISEDIEIIEPPIAVAKEISEVITKEVTQKVEPSTETQPLEVIAKEISEVVAKEVTEIFETPTEKEQPLVTTEETSASIEPLKNKEQPNEVISEKELVEVIAQEVCAPFDPPTNKEQADEGLLVSESSKKPDEDQPIKEFVGVDKESREKPDTTYVPDLFVEPPKKEVHPIEVLTVEQRVEVITEEINITTEPPTENGQPIEVHPPKGLEVEKETSERKEFSEDKEQTVEVQSVQESK >KGN64176 pep chromosome:ASM407v2:1:4533178:4535074:1 gene:Csa_1G042830 transcript:KGN64176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSPKSPESSGGSGRGGGRCSSSLMESLLGLLRVRIIRGVNLAVRDVRSSDPYIVVKMSNQKLKTRVIKKDINPEWNEDLTLSVTDPNALVKLTVYDHDTFSMDDKMGDAEFEIGSYIEALKMDLSGLPSGTIVTKVQPSRQNCLAEESGIVWNEGKVVQNICLRLRNVECGELEIQLQWIDLPGSKGL >KGN64761 pep chromosome:ASM407v2:1:8357453:8357920:1 gene:Csa_1G089495 transcript:KGN64761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVKHINGLRHAGDVSIIRHPVPKPTGIVLQIIVKVVTVRERATGAFLGDGVSKNDEAYEGANDEEHDKEVEPHEEGVAVACATETSERDDHDGNTDADEGPLEEFETIGGVGAAAQPYAAADDGEGKEEGYEV >KGN66463 pep chromosome:ASM407v2:1:23931739:23931945:-1 gene:Csa_1G612870 transcript:KGN66463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSHLLRSATARPDPQSPFCSLVSALCLRKHRLYPLLNRTPILQTPSTRHSRPRSSDTTPNPFLQTLP >KGN64404 pep chromosome:ASM407v2:1:5907458:5920690:-1 gene:Csa_1G050430 transcript:KGN64404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSTRRSGSLSGSNSKRSSSSEDNKPASPKRQKVVVVVVVVVDVVVVVVMDFRFQVENGCGSEKSMPAAENSKELCTPPTVDPGEHGPGGGPIAGVDVGEGVSSLKEDAAPAAVAVNTPTAEGTSLVGDKPRSSFSSWSHYAAKQNPNFETTTPWCRLLSQFGQNSNVDIFSSNFTIGSSRGCNFPLKDHRISGTLCKIKHTQREGSAVAVLESMGGKGSVTVNGLTVKKSSNCVLNSGDEVVFGALGNHAYIFQQLMNEVSVKGLDVQGGVGKFLQLGKRTGDPSAVAGASILASLSSLRQDISRWKPPSQTSSKPHQGAELPSKSVVHDAMELEIDALEANSNPEVRNDKAADSSTTNRNLHPGSNPDAVIEAGNVKLSGVNDLIGPLFRMLAQSTSCKLKLSKSICKQVMEERNQWIGELQPASTSGMSLRCAAFKEDVHAGIVDGRDLEVSFDNFPYYLSENTKNVLIAASFIHLKYKDHSKYTSELNTVNPRILLSGPAGSEIYQEMLAKALANYYGAKLLIFDSHSFLGGLSSKEAELLKDGINAAKSCSCSKQSMVSTETTKNTDQVTGEEDTPSSSNATLFTPDSQPKMEMDSIPSSSGTAKNNFLKIGDRVRFIGSASGGIYPTTSPSRGPPNGTRGKVVLTFDNNSSSKIGVKFDKLIPDGVDLGGYCEGGYGYFCNATDLRLENSGVEELDKILIDILFEAVFSESRNSPFILFMKDAEKSLVGNLDSYSTFKSRLEKLPDNVIVIGSHTHTDNRKEKSHPGGLLFTKFGSNQTALLDLAFPDSFGRLHDRGKEVPKATKLLTKLFPNKVTIHMPQDEGLLVSWKHQLERDSETLKMKGNLNQLRVVLSRSGMDCEGLETLCIKDQTLTNESAEKVVGWALSHHLMQNLEADPDSRVLLSSESIQYGISILQAIQNESKSLKKSLKDVVTENEFEKRLLADVIPPSDIGVTFDDIGALENVKDTLKELVMLPLQRPELFCKGQLTKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKYVKAVFSLASKIAPSVVFVDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDTERVLVLAATNRPFDLDEAVIRRLPRRLMVNLPDAPNRAKILKVILAKEDLSPEFDFDSVASMTDGYSGSDLKNLCVAAAHRPIKEILEKEKKERAAALADSRPVPALSGSEDIRPLNMDDFKYAHERVCASVSSESVNMTELLQWNELYGEGGSRRKKALSYFM >KGN66452 pep chromosome:ASM407v2:1:23847474:23857156:1 gene:Csa_1G611290 transcript:KGN66452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKHNDAFLSLVFLLLFIVCSKAYEPPPHHIGLLKRSSFSKDFIFGSASSAYQFEGAAKEDGKGPSIWDNYTHQHPERISDHSNADVAIDQYHRYKEDVALLKKMGLNAYRFSIAWSRILPKGKLSGGVNRIGIEYYNNLTNELLANGIEPYITLFHWDTPQALEDEYGGFRGREIVNDFQDYAELCFKEFGDRVKHWITLNEPWSFSMTGYAVGINAPGRCSSLPPNNCLGGDSGTEPYIVTHNQLLAHAAAVKVYKTKYQANQKGVIGITLVTVWMVPYSDSEADKRATIRALDFVFGWYMHPVTYGDYPPVMKELVKERLPKFSQEESASLIGSIDFLGLNYYTANYAKDNPTAPGPQPNYLTDWRAYLSLDRNGVSIGPLSGPTSWLAIYPEGLKKLLVYVKTKYKDPVIYITENGYLESDEIPFKEMMMDKGRAKYHYDHLRMVHEAIKDGVKVKGYFVWSILDNFEWSSGYSLRFGLYYIDYKNNLKRIPKLSARWFQLFLKT >KGN65320 pep chromosome:ASM407v2:1:13766937:13767619:1 gene:Csa_1G320870 transcript:KGN65320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPSPIHCNQSISNKNITFESTFQGKTKLKAFSLFSNPERIVFHETISGSCKFSNTLKALSSSPDLQKPATGFQSKNQSELIGITIKTPLKIVLKKVKGLKCSSGQVIDQNAVERGWHLEEEFDETGCGHVETWESLFGFDMKGFEIQMAGKHEKGRKVDG >KGN66742 pep chromosome:ASM407v2:1:27142181:27146201:1 gene:Csa_1G668000 transcript:KGN66742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLVTDRSEEPSRDDIRCVRADLTERCETGDLIVTIKSKSQSFQVWETRPFERGMYKSGVSVGTFFCCTSLKEYLNISCLKNLNSTFEGMPNLNQVQALIGHYGPTVFFHPDEEFFPSSVPWFFKNGALLYRNGNTKGEPIDMRGSNLPCGGENDGAYWIDLPTNDNARENLKSGNIKTARLYVHVKPALGGTFTDIVMWVFCPFNGPAAIKVSFLNIKLKKIGEHVSDWEHFTLRICNFSGELWQVYFSEHSGGKWVDASDLEFIHGNKPIVYSSKHGHASYPHPGSYLQGSVAGIGVRNDAARSKFFVDSSLKYEIIAAEYLGDGYIAEPDWLQYMREWGPTVKYNSRSEIERLIDLLPPFVQFSLEDLLALFPTELYGEEGPTGPKEKNNWFGDERC >KGN65455 pep chromosome:ASM407v2:1:15420147:15421172:-1 gene:Csa_1G423130 transcript:KGN65455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDTSESNPLTSKRNQHEDDNDFESDDESLSFSDLPMDRENSDAHTHPDSFRKNSRRSSSEPLDLFEFFTAGLITSEISPAEDLIFCGRLLPLNNDHSTRITADKSSWKEEISRKQTVFRKRSESLSGLQSSVSRSNSAKFNLKRNSRSLDYRRLYRQSNSIFSPTAEIDRNCSIKTGLKPDSLNKRTSSKPRWYLLMFGMVKFPAEMELSDIKSRQVRRSSSTLFPTNESKSKYHCGWSSGEATWRILRALSCKNHASVDVTASLTA >KGN63688 pep chromosome:ASM407v2:1:1552947:1554996:1 gene:Csa_1G009920 transcript:KGN63688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHARHLFDEMSLRRCVSLLKLKWDSFIAQSVCTQHRFCSLHSTVNNGAAVSKLCEVISCTIGGLDELESSLNKCTISLTSSLVTQVIDSSKNEAPTRRLLRFFLWSLKKLNHTLEDEDFNNAIRFFAQKKDYTAVNILLSNLKKADRAMDGQTFGFVAEAFVKMDREDEALGLFKNLEKYKCPHDQFTVVAIITALCSKGHAKRAEGVVLHHKDKISSTMSCIYRSLLYGWSIKKNTKEARRILKEMKSDGTMPDLFCYNTFLKCLCEKNVEKNPSGLVPESLNVMMEMRSYKISPNSISYNILLSCLCKTRRVKESCKILEMMKRTGCQPDCVSYYLMARVLFLTGRFGKGREIVDEMIEEGLTPDRKFYYDLIGILCGVERTNYALELFEKMKRSSLGGYGPVYDVLIPKLCRGGEFEMGRQLWEEAMAMGVSLNCSSEILDPSITKVFKPTRKIENKIVEEFNSAEKQNKAAAEKPKEKRKKGK >KGN65230 pep chromosome:ASM407v2:1:12962712:12963074:-1 gene:Csa_1G267260 transcript:KGN65230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEGKRRRKKERKKEKHKKGGIREKQRNEEWVGKREEGVTWKKEREKQRTSEEEEDDDDGDDDDDENGGLRYGQRVVVEKERERKRKNESQTFLRYCFGDSWFPRLGD >KGN63485 pep chromosome:ASM407v2:1:317992:323903:-1 gene:Csa_1G002050 transcript:KGN63485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKPKIGISSWAGNIRYHRRGIEDWLSFRTTTNCANMAPHDIRRPHKRPAISDQQKRRELSLQRQQQNRRDAQQQARSLASTLLSLSSSFDEPSTSEPVLEIELNELESGTECSLEILSEREFNEPALKELDVRQASKLKSSEARKWFSKQLLLPEWMIDVPDRLSDEWYVFARPSGKRCFVVSSNGTTISRLRNGSILHRFPSSLPNGAKTKKASGSGQTYSILDCIFHETDQTYYVIDMICWRGYSLYDCAAEFRFFWLNSKLAESGACELPSYYHKYKFSLVPVYSCDQNGLHAAYAGAAPFVKDGLLFYNKHSHYQPGNTPLALVWKDENCSQYVIDTDSNGQVPSQQQLVLELQSDGKVATSDDPPVYFGCLDGDFLGKLGLSSGNLLRFTIGDGGLTIVDGRIQGADLQYSGKVNRARAFADSYSKVMFQYAARRSPLKIDDLLASINSSNDGGGHDSEMVG >KGN64003 pep chromosome:ASM407v2:1:3568863:3569317:-1 gene:Csa_1G033220 transcript:KGN64003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLIHRVTMLLLCIWFLALQPEGTNGLTNIDLALRWDKAHIPIFNSFKFVKDVSVEDDWGRMLAFAPAPSTGLDPTQLNKRRVRRGSDPIHNKC >KGN66482 pep chromosome:ASM407v2:1:24086429:24099209:-1 gene:Csa_1G613540 transcript:KGN66482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLKSKEDMEQIGEDPKEAIVYVNGVRRVLPNGLAHLTLLEYLRDNRLTGTKLGCGEGGCGACTVMVSSYDANSKKCMHYAVNACLAPLYSVEGMHVITVEGLGSHKRGLHPIQESLASAHGSQCGFCTPGFIMSIYALLRSSKSPPSEEQIEECLAGNLCRCTGYRPIIDAFRVFAKTDDALYTNSLNTSETDEFVCPSTGKPCSCKSKSASERVDCRKGITCGNKREPLSYSEIDGSTYSDKELIFPPELFRKKLSYLTLSGFNGIKWFRPTTLQEVLELKARYPEAKLLVGNTEVGIEMRLKKMQYKILVHVMHVPELNMMNVGDDGIEIGAAVRLSELLSNLRKVTAERAAYETSFCKAFIEQLKWFAGTQIRNVASVGGNICTASPISDLNPLWMATRAKFRIINCMGKIRTTLAENFFLGYRKVDLANDEFLLSVFLPWSRRFEYVKEFKQAHRRDDDIAIVNAGMRVFLKEEGKNLVVSDASIAYGGVAPLSLSAIRTKEYLIGKIWDQMLLKNALEVLEEDILLQENAPGGMVEFRKSLTLSFFFKFYLWVSNEMERHSLIGEKVPLSHLSAVKSFQRPHVIGSQDYEIKKHGTAVGYPEVHLSARLQVTGEAEYADDIPLPPHGLHAALILSKKPHARICCIDDLEARKSAGFAGIFLSKDVPADNKIGAVIHDEELFASEFVTCVGQIIGVVVADTHENAKLAARKVHVEYEELPAILSIEDAILANSFHPNTEKCLKKGDVEFCFQSGQCDKIIEGEVQVGGQEHFYLEPNSSVVWTLDSGNEVHLVSSTQAPQKHQKYVSSVLGLPMSKVVCKTKRIGGGFGGKETRAAVYSAAASVPSFLLNQPVKLTLDRDTDMMITGQRHSFLGKYKVGFTNEGKVMALDLEIYNNGGNSLDLSLAILERAMFHSDNVYEIPNVRIQGKVCFTNFPSNTAFRGFGGPQGMLITENWIQRIAVELKKSPEEIREINFQGEGYMLHYGQQVEYSTLAPLWDQLKTSCDFANARKEVEQFNSQNRWRKRGVAMVPTKFGISFTLKLMNQAGALVHVYTDGTVLVTHGGVEMGQGLHTKVAQVAASAFNIPLSSVFISETSTDKVPNASPTAASASSDMYGAAVLDACEQIKARMEPIASQHNFSSFAELALACYAQRIDLSAHGFFITPEIGFDWTTGKGIPFRYFTYGAAFSEVEIDTLTGDFHTRSANVFLDLGHSLNPAIDVGQIEGAFVQGLGWVALEELKWGDPAHRWIPPGTLYTAGPGSYKIPSINDVPFKFNVSLLKGHPNVKALHSSKAVGEPPFFLASAVFFAIKDAIIAARKESGHDDWFPLDNPATPERIRMACLDEFTTPFAGLDFRPKLSI >KGN66734 pep chromosome:ASM407v2:1:27075187:27075884:-1 gene:Csa_1G666440 transcript:KGN66734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLERALKQGTNITFLKKEDMRILAQCHRLIAQDLCLFEPNVAHNDEWNMLVKLWNSSSAHMGYI >KGN66061 pep chromosome:ASM407v2:1:20937300:20938951:1 gene:Csa_1G569380 transcript:KGN66061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGGAAGPKGKKKGVTFTIDCSKPVEDKIMDIASLEKFLLERIKVGGKAGALGDSVSVTREKSKITVTSDSNFSKRYLKYFNIAENEGEEED >KGN66547 pep chromosome:ASM407v2:1:24832523:24833094:-1 gene:Csa_1G629040 transcript:KGN66547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRPRHQRQLSQVLPPDFISAEDPSQILDFSIGVAGAVSGQPHQPSQATANAAAAAAAATVLQRSKNPAGQEGSGAGHFSQLPKTAPST >KGN65927 pep chromosome:ASM407v2:1:19780902:19785557:-1 gene:Csa_1G538250 transcript:KGN65927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLDVTRSCLDSITQIADHLKGSILYLDAGCVESFQILGGFPLLLDHGVNVVCSLENMAALDAVIDWNPASATKLVVITSRLLSDAHRYILRCLTTHQDVRHCTIFTSISEIAHSVYPDSPLGPDAFHEYESLLVQDYEELVKKDEKKALPSEDRILEKCISSEDEGWSRLTSSEEDITQLEASSSGRDSYEDVLTSHREDVGQKLVVSVHHFPMILCPFSPRVFVLPSEGLIAEACLSPENVDSLSPGLPPLYTGMPPDGDDIPPGATLTAHFLYHFAAKMDLKMEIFSIGDLSKTVGKILTDMSSLYDVGRRKKSAGLLLVDRTLDLLTPCCHGDSLVDRMFLSLPRRKRTSPVTHVKGPETSLKKGPRICRRAPLDVRIPFAEILTEDEGKADKFRLGERIEAFLSGWNSRNSTSQNFNNSGESNRDQTLQSPIYDPELLSGCFVSSENFRGIPYMEAILDRKTKDGTVLIKKWLQETMRKENVVVNGKIRPGFPTKVELESMIKALAKSQTCWLRNKGVLQLAAAATVAIEELNSTRWDAFLSAEKILRASAEDTSQGLAAQIVDLINKSVLVVKSEASKGVLSFEDALLLTITGYILAGENFPTSGSDGPFSWQEEHFIKEAIIDAILENPVDGKLKFLHGLIEELQTNRDRMKSKGTKEMGSSQIKDDDFDDQWESWGDDDADINTTNEEVYDDMQLKLELRDRVDSLFKTLHKLSGTKKINLLLKETLNSENILNGDQYANKGVLYKLLTRILNKHDLPNLEYHSSTMGRLFKSGFGRFGLGQAKPSLADQNVILVFVIGGINGLEVREAQEALSESGRPDIELIVGGTTFLTPHDMFDLLLGDSAYV >KGN64666 pep chromosome:ASM407v2:1:7513834:7519578:1 gene:Csa_1G073730 transcript:KGN64666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNAQGSHSLAFRVMRLCRPSFQVDPPLRLDPVDLLVGEDILDDPVAANQLPRLLAPQLSDDSDSDLSYSSRFLLHDSSDAMGLNGLLVLPQAFGAIYLGETFCSYISVNNSSNFEVRDVIIKAEIQTERQRILLLDSSKSPVETIRAGGRYDFIVEHDVKELGAHTLVCTALYNDGDGERKYLPQFFKFMVANPLSVRTKVRVVKDSTFLEACIENHTKSNLFMDQVDFEPSPNWNAVIINADEHHSEHKSTTREVFKPPVLVRSGGGIHNFLYQLKCSTNGPSSPLKVEGSNILGKLQITWRTNMGEPGRLQTQQILGSPITRKELELNVVEMPDVIRLERPFTLHMRLTTQIERELGPFEVWMSLNSSDEDKVVMVNGLQKVVIPRVEPYGSTDFHLNLIATKPGVQRIAGIKVFDTREKKAYEHPSPDLEIYVDLE >KGN66114 pep chromosome:ASM407v2:1:21246233:21253495:-1 gene:Csa_1G571860 transcript:KGN66114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVMASRKLIRDAICKGAASRLFQGARHWSSASETVHKIPHTSKKGRLLTGATIGLVIAGGAYVSTVDEATFCGWLFSATKLVNPLFALLDPEVAHRLGVLAAARGWVPREKRLDPPILGLEVWGRNFSNPIGLAAGFDKHAEAVDGLLGLGFGFVEVGSVTPVPQDGNPKPRVFRLRGEGAIINRYGFNSEGIVVVAKRLGAQHGKRKLDESSSTSPSSSDDVKQGGKAGRGILGVNLGKNKNSEDASADYVQGVHTLSQYADYLVINVSSPNTPGLRVLQGRKQLKDLVRKVQEARDEMQWGEEGPPPLLVKIAPDLSKEDLEDIAAVALALRLDGLIITNTTVSRPEPADNNPLAAEAGGLSGKPLFNLSTNILKEMYILTRGKIPLVGCGGISSGEDAYKKIRAGASLVQLYTAFAYGGPALIPQIKGELAECLERDGFKSIQEAVGADCR >KGN64330 pep chromosome:ASM407v2:1:5424258:5428107:-1 gene:Csa_1G046270 transcript:KGN64330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAKQRTLFFIIVLLFSFSVFVSAVNHQGKALLSWKQSLNFSAQELNNWDSNDETPCEWFGIICNFKQEVVEIEFRYVKLWGNIPTNFSSLVTLKKLIFVGTNITGTIPKEIGDLRELNTLDLSDNGLTGEIPIEICGLLKLENVDLSSNRLVGLIPAGIGNLTILKELGLHDNQLTGQIPRSIGNLKQLKNIRAGGNKNIEGNIPPEIGNCTNLVYAGFAETRISGSLPPSLGLLKKLETLALYTTFLSGQIPPEIGNCSGLQYMYLYETLLTGSIPTSFGNLQNLLNLFLYRNRLTGTLPKELGNCYQLFDIDISMNSLTGNIPTTFSNLTLLQELNLGMNNISGQIPAEIQNWRELTHLMLDNNQITGLIPSELGTLKNLRMLFLWHNKLEGNIPSSISNCEMLEEMDLSINGLTGHIPGQIFHLKKLNSLMLLSNNLSGVIPTEIGNCLSLNRFRVSKNLLFGALPPQFGNLKNLSFLDLGDNQFSGVIPDEISGCRNLTFIDIHSNTISGALPSGLHQLISLQIIDFSNNVIEGNIDPGLGLLSSLTKLILFNNRFSGPIPSELGACLRLQLLDLSVNQLSGYLPAKLGEIPALEIALNLSWNQLNGEIPKEFAYLDRLGILDLSHNHLSGDLQTIAVMQNLVVLNISDNNFSGRVPVTPFFEKLPPSVLSGNPDLWFGTQCTDEKGSRNSAHESASRVAVVLLLCIAWTLLMAALYVTFGSKRIARRRYYGGHDGDGVDSDMEIGNELEWEMTLYQKLDLSISDVAKKLTACNILGRGRSGVVYQVNIAPGLTIAVKRFKTSEKFAAAAFSSEISTLASIRHRNIIRLLGWAVNRKTKLLFYDYWPQGNLGGLLHECSTGGYVIGWNARFKIAMGLADGLAYLHHDCVPAISHRDVKVQNILLSDEYDACLTDFGFARFTEDNLNEPSSANPLFVGSYGYIAPEYGHMLKVTEKSDVYSYGIVLLEMITGKKPADPSFPEGQHIIQWVQHHLRSQNNPIELLDPKLKIHPNAEIHEMLHVLEIALICTNHRADDRPMMKDVAALLRKIQTESTMMRIKGIKPGNRLKRFEIQSY >KGN65706 pep chromosome:ASM407v2:1:17897286:17903209:-1 gene:Csa_1G505910 transcript:KGN65706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTLISSSPFVGSSLPSLSRHLPLHTLPHRRHLTTRVNFSFHQLPPVHTFHSSLDFQAIVSRTEGLLYTLADAAVAVDSTLSAAATSTSPDTAVQKNGGWFGFISDAMEVVLKVLKGSLEAVHIPYSYGFAIILLTIIVKVATFPLTKQQVESTLAMQNLQPKIKAIQQRYAGNQERIQLETSRLYRQAGVNPLAGCFPTLATIPVWIGLYQALSNVANEGLLTEGFFWIPSLGGPTTIAARQSGAGISWLLPFVDGHPPLGWSDTAAYLVLPVLLVISQYVSMELMKPPQTDDPAQKNTLLIFKFLPLMIGYFSLSVPSGLSIYWFTNNVLSTAQQVWLRKLGGAKPVVNENAPGIITAGRAKRTPESERTGDRFRQVREDEKKNKLTKAARSEDIQTLASESDTEDGYDGETTEKGEDGLEEAYASSADKDVPAYNRQKRSKRSKRKRAV >KGN66815 pep chromosome:ASM407v2:1:27956998:27957321:1 gene:Csa_1G696480 transcript:KGN66815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLTFGCLRVIYLLNKGSVKNRGQEMGVISSAHKAMMNRQSSIVSSPIRVVYYGYVSWFCGCVCFPKKKVSPLVYECQRAFTQSPRRKTSTPESKSCPASPSLIIN >KGN65012 pep chromosome:ASM407v2:1:10928377:10938313:1 gene:Csa_1G180760 transcript:KGN65012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNEDDDHQYGVLLYYKYANIPNLDDLVSFYQSNCTSLALVGRVRLASQGVNVTVCGKLSSLKSHIAAMKLNSLFDGTDFKLASCHQPSNDIVARECGFTSLSVRVVQELVTLCSNPLSKLPEISEAGTHLSAAEFHSVLETAGLLSDEETPEHNKDVVLLDARNLYETRVGKFQTPNVVTLDPEIRQYSDLPSWIDDNSEKLQGKQILMYCTGGIRCEMASAYIKSKGSGFQNVFQLYGGIQRYLEQFPDGGFFAGKNFVFDHRIAVGSSTQDIIGTCLICGNPFDDYSSRCRCKYCRMLVLVCDNCQKKETLYVCELCRKHGKEGEVISQFQSSKSEVVLLQSELENGSQQLPWSNGCRSPRKLRVLCLHGFRQNASSFKGRTASLAKKLKTMVEFVYVDAPHELSFIYHPRDSEPWETCVTSLVQPNHPPPLESCKKKFAWLVSNNAGERTETNWEVADAPFDPLQYQKQTDGFEKSLAYLKTVFSEKGPFDGILGFSQGAAMAAAVCSRKLSLKGAVEFRFAVLCSGFPLQMPELDRGLINCPSLHIFGSDGGNDRQIANKTSRNLASCFDAGCSVIIEHDLGHIIPTRPPYIDEIKEFLQRFL >KGN64448 pep chromosome:ASM407v2:1:6181816:6184177:1 gene:Csa_1G051850 transcript:KGN64448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKHYFINCYVSFVWVFCVILLSTTIVGDYTSNNCSDIEREALISFKQGLLDPSARLSSWVGHNCCQWHGITCNPISGKVIKIDLHNSLGFAISQFVEYGDPGRPWIDLEDFIREFQKTCLRGKISYSLLELKYLYYLDLSFNDFEGASIPYFFGMLKSLRYLKLSSANFNGQIPIYLRNLTNLSYLDLSDERGFMLHVKNLQWLPSLSSLEYLNLGGVNLISVERNWMHTINRLSSLSELHLSNCGISSFDTSIAFLNLTSLRVLDLSSNLINSSIPLWLSNLTSLSTLNLNDNIFQGTIPHNFVKLKNLRVLELSGNSLSNDIGDHNPPIFSQSLCNLRFLHLAYNHYDFKLEIFLDSFSNCSRNRLESLDLEGNRIVGEIPNSLGTFKNLRFLNLSDNFLWGSLPNSIGNLSLLEHLHVSSNVLNGTIPSSFGQLSKLVYYEDYGNSWNTTITEVHLMNLTELKILQVWTKNIQTFVFNITYDWIPPFCLKILFLENCLIGSQFPTWLRTQTQLTEIVLSNVGIFGSLPNDWISKVSSQVIRLDLSNNLFNLNLSHIFTSHQKNDSGENDSIIPLRYPNLIHLDLRNNQLLGTVPLTINDSMPNLYRLDLSKNNLHGTIPSSIKTMNHLEVLSMSHNQLSGKLFDDWSRLKSLLVVDLAKNNLHGKIPTTIGLLTSLNKLMLNNNNLHGEIPNSLQNCSLLTSLDLSENRLLSGKLPSWLGVAVPKLQLLNLRSNRFSGTIPRQCSQIVYIIGNISCKITTGMD >KGN66226 pep chromosome:ASM407v2:1:22034792:22044906:-1 gene:Csa_1G586880 transcript:KGN66226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSLSRILVLFLFFHLLLCFATFPTLSISLITVTKSELVPGEINATADSNSSRSDNDDHSFANIIDRALEREFTENEQTDEVADPGSFNNSVAEKQAVLETVARVKSKKNETKEEKSFQFHDVFHLDNENRAEDMPTLIDRKDNVFIISNPKSKYPVLQLDLRLISDLVVVIVSATCGGIAFACAGQPVFTGYLLAGSLIGPGGLSFVSEMVQVETVAQFGVIFLLFALGLEFSTTKLRVVRAVAVLGGLLQIFLFMCLCGITASLCGGKSSEGVFVGAFLSMSSTAVVLKFLMERNSVNAIHGQVTIGTLILQDCAVGLLFALLPILGGTSGVLQGVLSMTKSLVVLIAFLIILTIFSRTCVPRFLKLMVSLSSQTNELYQLAAVAFCLLVAWCSDKLGLSLELGSFAAGVMISTTDLAQHTLEQVEPIRNFFAALFLASIGMLIHVHFLWNHIDILLAAVILVIVIKTVVVTTVVKGFGYNNKTSLLVGMSLAQIGEFAFVLLSRASNLHLVEGKLYILLLGTTALSLVTTPLLFKLIPAVVRIGVLLRWFSPDGFSEIGFKGDAFRTDGAKRITLVIQDAHVS >KGN64475 pep chromosome:ASM407v2:1:6369673:6371779:-1 gene:Csa_1G058100 transcript:KGN64475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQQRVEVVVEHNFISPPSYTVDDAESEPTSAAHLSNCTTQEISRTIVSDYELDSDPMEKNRAETSRRLLLYKSALKGDWKRAELVLNDYPHYVRCAITRNKETVLHVAAGAKQSVFVEELVSRMTRKDMALRDKYGNTALCFAATSRIVKIAKLMVEKNHELPLIRTFREGTPLLIAVSYKSRDMISYLLSVTDLSQLTAQERIELLIATIHSDFLGKSFYFFTKVILFLHKLNLH >KGN64246 pep chromosome:ASM407v2:1:4934505:4937071:1 gene:Csa_1G045440 transcript:KGN64246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCLEKARSKVDNSLNSLPNSEDPKTHDRKGHSSASLRSPSLGGNTPSRGLQVHRTEGEILLSPNLKAFTYSELKNATKNFRPDSLIGEGGFGHVYKGWIDEHTLEAVRPGAGMVVAVKKLKPEGFQGHKEWLSEVNYLGQLHHPNLVKLIGFCLDGDSRLLVYEYMSKGSLENHLFRRGARPLSWAIRIKVAIGAARGLTFLHDSEEPVIYRDFKASNILLDSVCHLCPSWQRLLLFRSCENLNKYK >KGN65929 pep chromosome:ASM407v2:1:19787603:19793427:-1 gene:Csa_1G538270 transcript:KGN65929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGDACGFLHQYDNSRMPICRFFRLYGECREQDCVYKHTNEDIKECNMYKFGFCPNGSDCRYRHAKLPGPPPSVEEILQKIQHLGSYNYGSSNKFFSQRGVGLPQQNEKSQFPQGPAPVTQGGIGKPSTTESANVQQQQVQQPAQQTSQTQIRSVSNGQPNQLNRTATSLPQGISSVAEILRRNETIRKGLNGVILRYFIVKSCNRENLEFCTTRGVWATQRSNEAKLNEAVDSADNVILIFSVNRTRHFQGCANMMSRIGGSVSGGNWKYAHGTAHYVAIMLMVVCTLSPSRTSNLLSEFINLCELSFQKTRHLRNTYNENLPVKISRDCQELEPSIGEQLASLLYLEPDGELMAVSIAAESKRKDEKAKGVNPDIGNENPDIVLFEDNEEEEEEESEEEEEESFGQSVGLPSQGRGRGRGIMWTPHMPMGRGARPFHGMQSFPPGMMGPDGLSYGPVTADGFPVPDIFGMAPCGFGPYGPRFSGDFMGPSSAMMFRGRPSQPGAMFTPGEFGMMMGQGRGPFMGGMGVTGTSPARPGRPVGVSPLYPPPTGPSAQNIN >KGN63997 pep chromosome:ASM407v2:1:3531829:3532062:1 gene:Csa_1G033170 transcript:KGN63997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEHTVMLHGMWASPFVKGVELALKIKVITIESVEEDLQNKTPELLSFNPIYKNVSVLIHSGKPICESLVILENIN >KGN65101 pep chromosome:ASM407v2:1:11705964:11706976:-1 gene:Csa_1G217860 transcript:KGN65101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVLTTEEAKFSTKQIAVLALTIGPIWFVSEYFTNAALARTRVATTAILFSTSGLFTLILDACLERQSLSIVNVVAVIVSMVGVVMTTIGKTGAQDEAQSSSSMYVLFRFISRKERLH >KGN66264 pep chromosome:ASM407v2:1:22358927:22360505:1 gene:Csa_1G589710 transcript:KGN66264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISSFPFLNQEEFLPIFNLFSEMDNPTATFNVNPTSKKRRRSDPNSDDFNSFSFTDENDDPTADPLLKLPCWFDPQPESQQNWLMDAQKPKPTNDFHLSDQIPKKPRRASPENPSPVKNTPAGGGGTQQRRLWVKDRSKDWWDQCNHPDFPDEEFRRAFRMSKSTFDMICKELDSTVMKKDTMLRVAIPVRQRVAVCIWRLATGEPLRLVSKRFGLGISTCHKLVLEVCSAIRKVLMPKFLNWPDESKLTKIKQEFESISGIPKVGGSIYTTHIPIIAPKNNVAAYFNKRHTERNQKTSYSITVQGVVDPSGVFTDVCIGWPGSMPDDQVLEKSLLYERASMGSLNDVFIVGNSGYPLMDWLLVPYTVQNLTWTQHGFNEKVGEIQAAAKAAFGRLKGRWTCLQKRTEVKLQELPVVLGACCVLHNICEMRKEKFDPELKFEVYDDEMMPENNGLRSVSAIQARDHIAHNLLHHGIAGTGFL >KGN65594 pep chromosome:ASM407v2:1:16735103:16737315:1 gene:Csa_1G467140 transcript:KGN65594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPYKSSSKIRNKKIPQTSNQQETIMDSPITALVPVEDSNELSNFVAPIVSCYNDRIRPLIDAVDKLRHLNIMKEGIQLPTIVVVGDQSSGKSSVLESLAGISLPRGQGICTRVPLIMRLHQHSAPQTELRLEFNGKSIATSESDVSEAINAATEELAGHGKGISNTPLTLEVKKNGVPDLTMVDLPGITRVPVHGQPEDIYDQIKDILMEYITPERSIILNVLSATVDFTTCESIRMSQVVDKTGERTLAVVTKADRAPEGLMEKVTADDVNIGLGYVCVRNRIGEESYEDARREEARLFESHQLLSKIDKSIVGIPVLAQKLVQIQASSIAKNLPDIVRSINERLSENLSELSKLPKNLTSFAEALTALMRIISSAKETLKNILIRGEFEEYKDDKNMHCTARLVEMLNNYSKELNQFSTKDSGENFLIEEIKILEESKAIGLPNFLPRTAFLVILQRKVKAVSSLPIGFVETIWNYLENIVTAVLMEHASNYHQLQLATKRAGHVLLAKMRKRSMERMMEFVEMEKLTDYTCNPEYGTEWNKLISQQESFVKQVNENLAQKITIVGYGEVEVGGLRQYEYLLPQAYDLRMRMTAYWKIVLKRLVDCMALHLEYSIDKLINEELEPEIVNEILGPGGVGIEKMLEESPSLVLKRDKLNRSVGRLREAKEVVGNILDRIATFGG >KGN64912 pep chromosome:ASM407v2:1:10001957:10004124:1 gene:Csa_1G154060 transcript:KGN64912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRIALLFLFLFFSVAVEGAPQAKKVKCNDDNYPQCYKSDHYCPADCPQTCVVDCSSCKPVCNPPPPPPRKLKSPPPPYIYSSPPPPPYIYSSPPPPPPRVYSSPPPPPYIYSSPPPPPPYINASPPPPPPSTSPPTPTPSTPTSPPPSSEGSGQKKARCKNRGYPHCYGMELSCPSSCPDHCEVDCVTCSPVCNCNRPGSVCQDPKFVGGDGITFYFHGKKDQDFCIVTDSNLHINAHFIGRRNVNMKRDFTWVQSLGILFDSHKLFIGAQKTATWNDATDRLSVSLDNETIILPNQEGATWSNSTSNKGITITRTQNTNAVEIDVPGNFKIKAVVVPITEMDSRIHNYGITQEDCFAHLDLSFKFYALSGDVNGVLGQTYSSNYVSKVKMGVAMPVFGGLNEFASSNIFATNCRVARFSGELDEKDDSSLEAEVYANMMRCGSDIEGGVVCKR >KGN66811 pep chromosome:ASM407v2:1:27930538:27934631:-1 gene:Csa_1G696450 transcript:KGN66811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVILGMIFLLIFLISYEPTAFFISGYRIHAGSVSPPRRRDVHRYVSNFDHSEGLTRGREFGGGRDLDRYRDTSPHYGRRVSGGRPFGRGVDGPRLAPGPFRGERSKNNPNVRPRDGDWYCSDPLCDNLNFARREFCNNCNRPRTGGGGSPRRGYAGPPSLHSPPRRFAAHPIERSPGRTLNEYRSPPRSWARDGSREMAAGGLAPPRYESRYSDHLRRDRVDYLEDSFRGRSKFDRPLPSADWALRDNGRDDFITERKGFERRPPSPPLPLLPQRGRWSRDVRDRSRSPIRGPIRSPLRVPLRSPLSSGLPPKDFRRDVFGERERDDRRGLGRDREGGPF >KGN65625 pep chromosome:ASM407v2:1:16987384:16990252:-1 gene:Csa_1G470410 transcript:KGN65625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFCFCRILILMALLISSSFVDSACNTSDTQFVSRAFHSVSSFNLSWIVHSSVNSTNCSVQHIILPSKNLTGIVSWRFLRNLTHLRSIDLSRNSLEGFVPNWLWGIPTLVHLDLSHNRFGGTVGFKLSNSSRGFPSSSIRVLNLSDNRFSNTVRLSGFSRLEILDLSRNNLRNLPFGLENLSNLTHLDVSRCNISGNLKPISVLHSLEYLDVSDNSMTGNFPSDFPRLNGLKFLNVSLNKFKGVINSESYKKFGKSAFVQTGITLLQIKTNSGNRGIPNPPQSSKRPHHNNTIQSHMPNKEPARKAKPKSKTKALIFALSFGVAGLFLVSVVLAIWRRKRMMKRKTKWAISTPIQVQFKMEKSGPFAFETESGSSWIADIREPSSASVVMFEKPLINLTFKDLIAATSHFGKESLLAEGRCGPVYRAVLPGDIHVAIKVLESARTVARDEAVAMFEDLSALKHSNLLPLFGYCIAGKEKLVLYEFMSNGDLHRWLHELPTGQPNVEDWSTDTWEINNNYVNGTHLSLPEKLGWATRHRIAVGIARGLAYLHHAGSKPIVHGHLVTSNILLADDFEARIGGFGLRHVEGKKGEDGVEKDVYCFGVVLMELLTGMPGSANTVVGVRKMVRDGKALEAIDPRLRVGGGESEMVESLRVAYLCTAETAVKRPTMQQVLGLLKDIHPTRTQTEPV >KGN66816 pep chromosome:ASM407v2:1:27957288:27959769:-1 gene:Csa_1G696490 transcript:KGN66816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPIAVGDTLPDGTLAYFDQDDQLQQASMHSLASGKKVVLFGVPGAFTPTCSMKHVPGFIESGDKLKAKGIDEILLISVNDPFVMKAWAKTYPENKHVKFLADGSAAYTHALGLELDLSEKGLGVRSKRFSLLVDSLRVKAANIESGGEFTVSGAEDILKAL >KGN66792 pep chromosome:ASM407v2:1:27724048:27746007:-1 gene:Csa_1G690290 transcript:KGN66792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYQFYTSKMVFPLILILGLGVLGNCMAYASPSETTLHTNNWAVLVCTSRYWFNYRHMANTLSLYRTVKRLGIPDERIILMLADDIACNPRNKYPAEVFNNENHKINLYGDNVEVDYRGYEVTVENFLRVLTGRHEAAVPRSKRLLSDEGSHILLYMTGHGGDEFLKFQDSEELQSHDLADAVKQMKEKHRFKELLIMVDTCQAATLFNQLHSPGVLAIGSSKKGENSYSHHLDPDVGVSVVDRFTYYTLAFFERLNMYDNTSLISLFNSYNPSLLMSTAYYRTDLYQRRLEEVPVTNFFGSVMETVHTDSAYKIVSRKDYNRGEPELHQVSQHNERTLISSDNPDHFSEPSTRDEHEALRSIWRSLHNKMERIEDADTLVNYGLVIMLPFLGISMWLSR >KGN66136 pep chromosome:ASM407v2:1:21379728:21380541:1 gene:Csa_1G573060 transcript:KGN66136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLGATFGLVFIAFLVLYSGSMNTNAQLCCNNHPELGECEAGVDDANDGKCWLHCIAGCEKGGFCKHLSDKNVCHCYC >KGN65553 pep chromosome:ASM407v2:1:16293739:16306354:-1 gene:Csa_1G445890 transcript:KGN65553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLKSLVPENLKQMVGSTTADDLPSSSSFLLRLFQQSQLFFQIIGDLAMDPENALCGKKKDAALELKRQGNQCFLNGDYTNALVYYSKALQVAPMNAVDMDKNLVATLYVNRASVLHKMDLQLECLRDCNRALQISSTYAKAWYRRGKANVSMDIFDDAIRDFKISKHVEVSFNGKKLIDDELKVVQHQHSRSNTANEHSKNKLDDFDDPIQVKLHVTTSIKGRGMVSPTEIPPSSLVHVEEPYAVVILKHCRETHCHYCLNELPVDKVPCPSCSIPLYCSQHCQIQAGGRMLQNVPDVQDIFKNLSDDLRKYVQEITLCSFSELRTEDVPEHKHECDGVHWPAILPSEIVLAGRIVAKFIAQRGVFTDASNIVDMLNLSHHFPEMHADSKLECIIYSIMLLSCLQQFFPSKIAINGNTTSQIAILISQIRTNSISIVRMKSFDAPGSPDKDESLSSVVPFTCNMEQVRVGQAIYTTGSLFNHSCKPNIHAYFNSRTLFIRATVFMAVGCPLELSYGPQVGQLDCKDRLQLLKDEYSFNCQCSGCSTVHISDLVINAFCCINPNCRGVVLDRSIFSCENTKTKDFLTVNDQMILEPFMQTDSFLHAGPSHCLKCGSYCDIKSSRLTVDKAGIHFTRLQQEINLNRVSETTVSDALGALISLKSTLHEYNRRIAEAEDNLSQAFSLLGKLELAAEHCKASIRILEKLYGENHIAIGNELSKLSSILISVGDHNAVDCIKRLSKIFRCYYGSNVNTMFPFLNILEEETHKFVSTHL >KGN65998 pep chromosome:ASM407v2:1:20454068:20470644:1 gene:Csa_1G560800 transcript:KGN65998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLSFRPRPLDIHKKLPIVKSVKELEDEETPTSTRNSQLLRVAAEVDNEVHQVPCKKLAPDIPTPQFVVVDTYEIDYSRTFSQPTSYLRGRGARTELGEFVEYDLDNEDEDWLHDLNKERKILAPERFESFLFKLEVLDHKARERAGIITTTLGSPVPVLLQHDNAIEALQTQAIKYSVIESVYTYWKEKRERWQKPILRRLQPPPPVNDTNPYNVFRPREKAHRLHTRRMQRRENNVQSFEKLRQVRRNLEQAKTLLEALIKREEKKRDLMESDVGLQRVHLKYKHETELLEESLALPRFLPFSCKFGSSEDEFVDLDEIAISRPPRIRTSGSLVEANAIMLPTESVKQEYRQQQLPHGWLHKMDPLEPVLLFAKPLITEKLAAATIVPPSDSSTRNSVSMGSHKFRGRIGRGGRIIFDRWNPLLQTGIDCSNSYYSPRKQAPIAYN >KGN65614 pep chromosome:ASM407v2:1:16918994:16920350:1 gene:Csa_1G470300 transcript:KGN65614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVVFLPRILIRFPNLISLRRRPTFASKDVADVYPSKNIQPSVSKSRPDGNAGNRPPRRNSLPGKARKSESSSRKTETPKDEESVKKSETNDQEEVIALFRKIQTSIAKESASSIDEESRKDENASILETLRESRKQLKGKTSKKAGAKVLRSKGKSEEKEMHDPSPPPAADFKLVRPPSKFVKRSPIPLKVDASQAIAESRELKFPSTENMKLTELKALAKSRGIKGYSKLKKNELMEILRS >KGN63446 pep chromosome:ASM407v2:1:113139:114687:1 gene:Csa_1G000700 transcript:KGN63446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSNACGWELLEETAPNLQTPHNYYHHLLPPQFQSAAASSSNYQTPQHHHSLAPDHHIYMPSSHSHPPSAEEEDPNGSKGGECKRRCYNRAKAGGRSGCKKKMMIKTQHDPADHVRARRGQATDSHSLAERVRRQKISQRMKVLQTLVPGCHKVTGKALMLDEIINYVQSLQNQVEFLSMKLASLDPVLHDFGMDFPEVLLVGTPASEILNGNGMVSHSEHAELAYNNMAPIYNTFQATGGGGGGSPILTPGNSSFINPSPLFLDHGNTSQLHLS >KGN65019 pep chromosome:ASM407v2:1:10967874:10971769:-1 gene:Csa_1G181320 transcript:KGN65019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQCIFLLSDSGEIMLEKQLTGHRVDRSICVWFWEQSLSQGDSFKLQPVIASPTHYLFQVIRAGITFLACTQVEMPPLMGIEFLCRVADVLTDYLGELNEDLVKDNFVIVYELLDEMIDNGFPLTTEPNILREIIAPPNLVSKVLSVVTGNSSNVSDTVPGAIASHVPWRTTDPKYAKNEVNVDLVEEMDAILNRNGHLIKCEIYGEVQVNSHLSGLPDLTLSFTNPSILDDVRFHPCVRFRPWESHQILSFVPPDGQFKLMSYRVRKLKNTPVYVKPQFTSDAGTCRVSVLVGIRHDPGKPIDSIDVQFQLPSCVLSADLTSNYGTVNILSNKICSWTIGKIPKDKTPSMSGTLTLVTGLQQLHVFPTFQVRFKIMGVVLSGLQVDKLDVKNLPNHPYKGFRALTRAGQFEVRS >KGN63660 pep chromosome:ASM407v2:1:1408121:1411214:-1 gene:Csa_1G009640 transcript:KGN63660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLVLALGDLHIPHRAPDLPEKFKSMLVPGKIQHIICTGNLCIKEVHDYLKTICPDLHITRGEYDEETRYPETKTLTIGQFKLGLCHGHQVIPWGDLDSLAMMQRQLDVDILVTGHTHQFTAYKHEGGVVINPGSATGAYSSITYDVNPSFVLMDIDGLRVVVYVYELIDGEVKVDKIDFKKTTTTAH >KGN64285 pep chromosome:ASM407v2:1:5154911:5156629:1 gene:Csa_1G045830 transcript:KGN64285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIMEYHQTFHNSDTYFDQSYSSSSFSTSSSSSCQTTFKGHSSYISSLTLAGKFLYSGSSDREIRSWRRNLSQNCEENQEEFQNNMVTAGHGAVKSLVVSSDKLYSAHQDHKIRVWKIFNDFDHHQKYTRLATLPTLGDRTAKLLTPNNQVQIRRHKKCTWVHHVDTVSALALSNDESLLYSVSWDRTLKIWRTSDFKCLESVAGAHDDAINAVALAGDGDVYTGSTDKRIKVWRKNPDGKRHFLVQTLEKHSSGINALALTEDGSVLFSGACDRSVLVWEKEEGGGLMELVGVLRGHSKAILCLAVVLNFVCSGSADKTIRIWKKAVAGNYVCLRVLEGHNGPVKCLAAAVDRFNPNDSSFMVYSGSLDCDIKAWQISVPLA >KGN63952 pep chromosome:ASM407v2:1:3323201:3323440:1 gene:Csa_1G031760 transcript:KGN63952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKYPNLITQYAETVTAELKKWERLDEGFDLGEKSWGVIIFKEIVERKKANKKAKSAPVIIRKPPFRRPPPQSESRTSP >KGN65850 pep chromosome:ASM407v2:1:18990330:18993586:-1 gene:Csa_1G533570 transcript:KGN65850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTQNPELVCVTGASGCIGSWLVHLLLLRGYSVHATVQNIKDEAETKHLQDLEGADARLRLFQIDLLDYDSIVPAVTGCAGVFHVASPCIVDAVQDPQRDLLDPAIKGTINVLTAAKEAGVRRVVVTSSISAMIPNPNWPANVVRNEESWTDVDYCKQKGLWYSISKTLAEKAAWDFAKEKGLDVVVINPGTVMGPVFPPRINASMQMLLKLLEGCSETYGDVFIGVVHFKDVALAHILVYENKSATGRHLCAESIARYSDYVAKAAELFPQYKVPRSIEDSQPDLVRAKDGAKKLMNLGLEFIPMEQILKDAVEDLKKKGYIS >KGN65072 pep chromosome:ASM407v2:1:11407885:11408353:1 gene:Csa_1G192690 transcript:KGN65072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSDEDAHVARLKGKYAAMVVCWLLGNGCLFSWNSMLTIEDYYGYLFPKYHSSRVLTLVYQPFALVTISMLT >KGN65283 pep chromosome:ASM407v2:1:13390785:13394348:-1 gene:Csa_1G294630 transcript:KGN65283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAGLGTILQTLTSDAATILNQAIAEAARRNHGQTTPVHVAATLLASPTAFLRQACIKSHPNSSHPLQCRALELCFSVALERLPTAQNLSAASEPPISNALMAALKRAQAHQRRGSSELPQQPLLAVKVEFEQLVISILDDPSVSRIMREASFSSPAVKGIIERSLNSSASVVNSSPIGLRSSHSSPSPNRSLYLNPRFHQGSVNQLGRPREEEVKRIVDILRRPTKRNPIVVGDSETDAMLEEFFRRINKKELSEGSLENAEIIRLEKEFASDREQIPTKLDELEDLVASQLAKSSSGSIILDLGNLEWLFDQPASSVSEAGRAAVQKIGKLLTRFNGRLWLIGTATCETFLRCQIYHPSIESDWDLHVVPVVAKAPRSGLYPRFGTKEILGSPIESLSPLKFFPTPPISQLRNESESLNYGSRITCCSQCMQKYEQELHKLINEESEKSSSGVKTDSNSSPLPHWLQKAKDHSPNAESVDSKQNKDTELMVKQRTQELQKKWNTTCLQIHPNFHQSKIFSSTGNMLTGISTMGLYNQNLLKCQPCQPRLELNKSLGRTLQLNMNPQPNQPSDHNSIRTDLILGQEKFSGNIPEQTRKDCTIEFLGQNHNSSKSEMKSLDIQSAKLLGITDVDSYKKILKVLMGKVWWQRDAASTVANTITQRKLGNRKRQGAGSKGDIWLLFAGPDKVGKRKMASAISELVSGSIMVTICLGSQRNGRGLDNNFRGRTPLDQIAEAVRKNPFSVIVLENIDEADVLFRGSLKRAIESGRLIDSYGREISLGNIIFILTTVWLPDDLKWFSDHNSFGEKELATLAGESWQLRLSLSEKQSKRRGNWLCNEERFTKTRKGTNPGLFFDLNEAANAEDDTPDGSHNSSDLTIDHEDEYGLSKMESTTASPALTELQDIVDDAIIFKPVNFNHITQDIKTSINEKFFTIIGVEGISIELQDQALQKILAGVWLSNTSLEEWAEKALVPSFNHLKACFPKTTGSTRDNPIVVTLELDRESGNRNRGDWLPSNIKVVTAVDGL >KGN63426 pep chromosome:ASM407v2:1:2952:4284:-1 gene:Csa_1G000010 transcript:KGN63426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSELACAYAALALHDDGIAITAEKIAAVVAAAGLCVESYWPSLFAKLAEKRNIGDLLLNVGCGGGAAASVAVAAPTASAAAAPAIEEKREEPKEESDDDMGFSLFD >KGN63502 pep chromosome:ASM407v2:1:425410:458275:-1 gene:Csa_1G002710 transcript:KGN63502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVNDNWERLVRATLKREQLRNAGQGHGRTPSGIVGAVPPSLGKTTNIDAILLAADEIQAEDSTVARILCEQAYRMAQNLDPNSDGRGVLQFKTGLMSVIKQKLAKKDGASIDRHRDIEHLWEFYKQYKRRHRIDDIQREEQKWRESGVISANLGELELRYSEAKKVIANLRALVEVMEALSGDADPQGVGRLIREELRRVRSSETTLSGEFVPYNIVPLDAQSLTNAIGIFPEVRATISAIRYTEHFPRLPSEFQISGQRSADMFDLLEYAFGFQEDNIRNQREHVVLMVANAQSRLGIPNNADPKLDEKAVNEVFLKVLDNYIKWCKYLRIRLAWNSLEAINRDRKLFLVSLYLLIWGEAANVRFLPECICYLFHHMAKELDAMLDHDEAIRSGNCKLENGSVSFLQKIICPIYETLVAETERNKNGKAAHSAWRNYDDFNEYFWSPTCFELGWPMRKESSFLQKPKGSKRTGKTSFVEHRTFFHLYRSFHRLWIFLAIVFQALTIFAFNKERLNLDTFKAILSIGPTFAIMNFIESSLDVLLTFGAYTTARGMAISRIVIRFFWWGLSSVFVTYVYVKVLEETNTRSSDNSFYFRIYIIVLGVYAALRLVVAMLLKLPACHTLSEMSDQSFFQFFKWIYQERYFVGRGLYEKPSDYCRYVAFWLVLLICKFVFAYFLQIQPLVQPTTIIVNLPSLEYSWHSFISKNNNNVSTVVSLWAPVVALYLLDIYIWYTLLSAIIGGVKGARGRLGEIRSLEMMQKRFESFPEAFVKNLVSKQMKSLPPNGQAPQDAPDMSKTYAAIFSPFWNEIIKSLREEDFISNREMDLLSIPSNTGSLRLVQWPLFLLSSKIFLAVDLALDCKDTQEDLWNRICRDEYMAYAVQECYYSVEKILYALVDGEGRLWVERIFREITNSISENSLVITLNLKKIPIVLQKFTALTGLLTRNETPQLARGAAKAVFELYEVVTHDLLSSDLREQLDTWNILLRARNEGRLFSRIEWPKDLEIKELVKRLHLLLTVKDSAANIPKNLEARRRLQFFTNSLFMDMPSAKPVSEMVPFSVFTPYYSETVLYSSSEIRMENEDGISILFYLQKIFPDEWENFLERIGRSHATGEGELQKSPSDALELRFWVSYRGQTLARTVRGMMYYRRALMLQSYLEKRSFGDDYSQTNFPTSQGFELSRESRAQADLKFTYVVSCQIYGQQKQRKAPEATDIALLLQRNEGLRVAFIHVEDSVASDGKVVKEFYSKLVKADIHGKDQEVYSIKLPGEPKLGEGKPENQNHAIVFTRGDAVQTIDMNQDNYLEEAMKMRNLLEEFHAKHGLRPPTILGVREHVFTGSVSSLAWFMSNQETSFVTLGQRVLASPLKVRMHYGHPDVFDRIFHITRGGISKASRVINISEDIYAGFNSTLRQGNITHHEYIQVGKGRDVGLNQIALFEGKVAGGNGEQVLSRDIYRLGQLFDFFRMLSFYFTTVGYYACTMMTVLVVYIFLYGRVYLAFAGLDEAISRRAKMLGNTALDTALNAQFLFQIGVFTAVPMIMGFILELGLLKAVFSFITMQLQLCSVFFTFSLGTRTHYFGRTILHGGAKYRATGRGFVVQHIKFAENYRLYSRSHFIKALEVALLLIIYIAYGYSEGGASTFVLLTLSSWFLVISWLFAPYIFNPSGFEWQKTVEDFDDWTSWLFYKGGVGVKGENSWESWWDEEQAHIQTFRGRILETLLTVRFFLFQFGIVYKLHLTGKDTSLALYGFSWVVLVGIVLIFKIFTFSPKKSTNFQLLMRFIQGVTAIVLVTALGLIVGFTNLSITDLFASLLAFIPTGWAILCLAVTWKKVVRSLGLWDSVREFARMYDAGMGLIIFVPIAFLSWFPFISTFQSRLLFNQAFSRGLEISLILAGNKANVET >KGN64689 pep chromosome:ASM407v2:1:7665391:7668640:1 gene:Csa_1G074940 transcript:KGN64689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNDSGDGEVSSAQAVLLGALAPGVNGPTWTTLKSAFLMLGLCLILMLALAFSSSDSWLILHVTFLVVITATLFLLLNWFLSQTGLVSVKNQMEELQLAPKSQDKSEKNE >KGN65981 pep chromosome:ASM407v2:1:20324452:20326990:-1 gene:Csa_1G560650 transcript:KGN65981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLGKYYCDYCDKQFQDTPFARKRHLQSLSHQKAKALWFDSFKDFNQSFPHSFHFRPRICNRFLSTGFCQYGDSCKYFHPNNNNDNTYNSSSYPMAGFPENYQPPNVPVNRFVDGNSSSTGSLISDRLGTSWGNLPPSLMPPPDGGYPPLPFVDWG >KGN65556 pep chromosome:ASM407v2:1:16330489:16331245:1 gene:Csa_1G446900 transcript:KGN65556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISNFPTNKPNLERDLKASHIAIVVFSPGGKAFSFGNPNVEEVVDRYLGCEWKANGNPGVRERGMLEKENEELLDLVKQLQMEKKKGEIMEKEMKSRGELMKIEDMDLNELLKLKESLEKLRKNVKIEESELEASSSLLLLANEKPVPGDG >KGN66799 pep chromosome:ASM407v2:1:27804052:27805082:-1 gene:Csa_1G690355 transcript:KGN66799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSSKSPDDRKSRFYHPYQDLHVPITKLYELPTAPEHLFFEEAARPHRSWGENLQYYTGIGYLSGALFGGARGSIQGLRAAEPGDSVKLRLNRVLNSGGQLGRRAGNSLGILGLIFAGLESGVIHLRGSDDVLNSIVAGLGTGAVYKAASGPRSAAIAGAIGGIAAAAAVAGKQAVKRYVPI >KGN65089 pep chromosome:ASM407v2:1:11575430:11577470:-1 gene:Csa_1G202280 transcript:KGN65089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKFQSFFIAIFICVIVYNHIQVEANMSKNMVLSWGNSQSKIEGDDLLLVLDKSTGSGSKSKRDFLFGSFEALIKFVPGDSAGLVTAFYLSSSGTYHDEIDYEFLGNTTGEPYTIHTNIFAEGVGHREQQFRLWFDPTADFHNYTIHWNPSTVVWYIDSIPIRVFRNYERLERRKAYPNKQGMRFYTSLWNADDWATQRGRAKTNWTNAPFTATIRQFRARACHWIGELSNNQCATNSAQNWWTSPDHSQLTDRQLAKLGQVRKKYMIYDYCRDPARKRPNGLMPPECYRRQY >KGN65780 pep chromosome:ASM407v2:1:18454220:18454447:1 gene:Csa_1G527960 transcript:KGN65780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNGRKGSFELGRKAVKELRRKKLWRPWAVEMGYQAPLDLLTAFVEMRQMPWQLNGWTVF >KGN65806 pep chromosome:ASM407v2:1:18668215:18671246:1 gene:Csa_1G530670 transcript:KGN65806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSDSKDPPPNFPPRALIVGNFCHDFLIRDAHVVTESLGGAASFISTVFDGLSVPYVTVSKVGEDFAYSTNQSPIVVSNSKTTAFRAFFDSSISGDGRRDRILKRVAACSPILPSDLPDFRFDFGMAVGVGGEVVPETLERMIEICDAVFVDVQSLIRVFDEIDGTVEHVDLKESGFFHLLPRIGFLKASAEEAPFMDVEEARKLCPVVVTNGKEGCTLYSNGSQLQIAPFPVTQVDPTGAGDSFLGGFAAGFAAGLAVADAALLGNLFGSLTVSQIGLPHFESRIVQRIKDEVERRKVQCMDSCHPGENKSIHQMPEGHEQFQKLLGTVRSECQLSLPTSPIAVEQVNGHYNS >KGN66690 pep chromosome:ASM407v2:1:26493413:26495253:-1 gene:Csa_1G659610 transcript:KGN66690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSPLHTSYVRPALPVGDRRPRLFSSFTATQPSPFPSLYCPTSSFSHHFKNRRYLPRSRPRFRLSLAFPLLA >KGN66034 pep chromosome:ASM407v2:1:20788212:20789963:-1 gene:Csa_1G569120 transcript:KGN66034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNNNNKSPYDDWNSPPSKRACFSLQTSFEDGSVAVEHGFAEILSSMMKPVVKELVRVEMEKVLEVHFPPHLLESLSFDLV >KGN66806 pep chromosome:ASM407v2:1:27895236:27895931:-1 gene:Csa_1G695410 transcript:KGN66806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSASLLEPHPLKWNKTFRVHLPSSPSPSTSGRYHLCRPFIVPRNLKIHDSSSVKYPVRCFFSEKGRSSTTSISNSSSVELVNGDKPKSPMEVIGNSIINALKALQKPAIAAVLLGLLLMYDPNSALAASGGRVGGNAFSSRSSSSSRSYSTPRMSSGFSYSAPYTSPSMFGGGGIYVGPAVGVGLGAGSSFVFILAGFAAFLLVSGFLSDRSDTSVLTASDKTSVLKLQV >KGN65481 pep chromosome:ASM407v2:1:15654076:15656969:-1 gene:Csa_1G424870 transcript:KGN65481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVFSLSQSFISSKFQLSVLIPSSFTLGHRNRSTRSGKVKFIEVDLESSSYGADSEILAIRKLDDFVQRIIVERSTPDWLPFVPGSSFWVPPRRNKPRRVVDLFDKLVEPIAKEDSPSLANARGWPCLDFFAKESISGPTRLAPVDTELETSNELEIDVKTPTSKDNSNHPED >KGN65798 pep chromosome:ASM407v2:1:18608485:18611198:-1 gene:Csa_1G529120 transcript:KGN65798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSNAPPPSAVPPLAAIDRFLYSHNVNGNNCFEKGLIGGLSPEICGGGSGGSGGEWIEVEKRRRVEEKLELGIEEDEEEEEEEEVTYGWGRKNNNNSEMGFEEMMIRSSNINEVKICSSKFKKFKKRSSANLIKGQWTEEEDRLVKQHGVRKWAQIAEKLEGRAGKQCRERWHNHLRPDIKKESWSEEEERILVETHARVGNRWAEIAKSIPGRTENAIKNHWNATKRRQNSRRKNKRPNSQNGKPHSSILQDYIKSKYNPAAVTTVPTSTFSDDPSSHFNHFFSESSDSTSNLSSAIISSPTYDDELLFMQNFFSNSSDLLSLPSADDGASMWNQSTVEFGSVDSERKAERPKTGDDGINVATSSHLCSDMYLSYLLNGTMNNSCGGGGEIQNMAELQVMAAAGEGLWENSQQVKKEMDLMEMLSFHCYP >KGN66525 pep chromosome:ASM407v2:1:24533368:24535814:-1 gene:Csa_1G618390 transcript:KGN66525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVALIYITQLIYQNFPVAITSTTFIIFLFMSFTSFFFFQCYNRIGRHTNLQPPLPPGPKPWPLVGCLPAMLSRNNSSTHEWIHSIMKQFNVEIASIRLGNTYLIPVTSPELALEFLKTYDSVFGSRSSISKDVDMLTGGCVSAILSPSGPQWRKMKRILTSEILNPSTLHRVLGQRTAEADALLHYIFNQTCKNGGGAVINVRSITQHYCGNIVRRMQFNVEIASIRLGNTYLIPVTSPELALEFLKTYDSVFGSRSSISKDVDMLTGGCVSAILSPSGPQWRKMKRILTSEILNPSTLHRVLGQRTAEADALLHYIFNQTCKNGGGAVINIRRITQHYCGNIVRRMVFNRRYYGKGREDGGPTLEEEEHNQALLTILRHFIFNIRFHALFEAF >KGN64967 pep chromosome:ASM407v2:1:10513063:10514225:-1 gene:Csa_1G169420 transcript:KGN64967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVKDCDHHHHHDCERRRLYRRIACVIFTVVLLIGLVIFLIWAILRPSKPRLILQDVTLLGLNVSSVPPAAISTTMQITISSHNPNNRIGVYYQVMDVYAAYRGQQVTLPTLLPPTYQGHNDVTVWSPFLYGEAVPVAPEFAEALNEDNNVGAMLFNIKVNGQVRWKVGSWISGRYRLNANCPAYIKFGDPKNGIAFGPAMKFQFVQGCYVDI >KGN66648 pep chromosome:ASM407v2:1:25991483:25995858:-1 gene:Csa_1G652800 transcript:KGN66648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFARRLGIGIRDFLSVPAKTILQSPTGLITGMVQGTTSLLSNTVYAFSDATTQFSKAARKGIVAFTFDDQAFSRIGQQQTGVSLNSGGVISEVLEGLTGLLQSPIRGAERHGLPGVFSGIALGITGLVAKPAASVLELTGKTAQSIRNRSRLYQMRPQRLRVRLPRPLSTMLPLRPYSWEEAIGSSVLLEAGGDDMKLSDEVLVACKALKLAGKFVVITQSLILIVSCASLVDLGKPEFRGIAADSKWVIESAIGLDTVIHADTNNDGTAVHIVGSSSDLLSRPNKSLQKRVIGRSSRAVRWTGPTPLPIFETILELELKEDAENLLKTLLSAIELAKDWGWHRGRHVLHRYDVK >KGN65532 pep chromosome:ASM407v2:1:16026053:16027008:-1 gene:Csa_1G435770 transcript:KGN65532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHSPDQSSDEIYSGGFGFWCNNFPVAKEYIHDGGFKKGVPLLEDVVVIEGATHFINQEKAGEISSLIYDFITKF >KGN66240 pep chromosome:ASM407v2:1:22163854:22172392:1 gene:Csa_1G588000 transcript:KGN66240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAQLHSLQSFSKAPSSPFHSSIPLKTVSLLLTAFDGAQTCNHSTYQIFLPNWGLNDRLYGLIVAASSSIYEEASSRKIRSLAKGLTGGAPAFVFLRNSPFLQCSCICFSHSLSAHFKQEQERNRKKKKKSVPWLLMPKTVLLFLSLLTWVGSTIGAVTYDEKAIIINDQRRILISGSIHYPRSTPQMWPDLIQKAKDGGLDIIETYVFWNGHEPSEGKYYFEERYDLVGFIKLVQKAGLYVHLLIGPYVCAEWNYGGFPIWLKFVPGIAFRTDNEPFKAAMQKFVTKIVDMMKLEKLYHTQGGPIILSQIDTCNGFYCENFKPNQIYKPKIWTENWSGWYTAFGGPTPYRPPEDVAFSVARFIQNNGSLVNYYVYHGGTNFGRTSGLFIATSYDFDAPIDEYGLIREPKWGHLRDLNKAIKSCEPALVSADPTITWLGKNQEARVFKSSSACAAFLANYDTSASVKVNFWNNPYDLPPWSISILPDCKTVTFNTAQVGVKSYQAKMMPISSFGWLSYKEEPASAYAKDTTTKAGLVEQVSITWDTTDYLWYMQDISIDSTEGFLKSGKWPLLSVNSAGHLLHVFINGQLSGSVYGSLEDPAITFSKNVDLKQGVNKLSMLSVTVGLPNVGLHFDTWNAGVLGPVTLEGLNEGTRDMSKYKWSYKKLENLNYSVHEQVGLSGESLNLYSDKGSNSVQWTKGSLTQKQPLTWYHIPRDWLSPSDNLLVIFEEIGGSPDGISLVKRT >KGN65374 pep chromosome:ASM407v2:1:14618789:14629131:1 gene:Csa_1G386580 transcript:KGN65374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKSLAHSLRNFSTVSSFCNASTISRTTYTTISTFRPKVHRSISVASRPVSVTAGSLTWDDVVHVSLPESFQDDPADLTGYFEKVKLCNRGSDTQSEFFPFVIDGQIVGYMHHGFVKHLQQYPKVFTRDDSVKFGAFLTLHESLKTPEDRTRAVGDVVKCLGEEVIPGTRNELFPVTSSFGALSFFSLERAAAPYFGIKVYGVHMNGYVEKEGKKFLWVAKRSQTKPTFPGMLDHLVAGGLPQGIPCGENLMKECQEEAGIPRSISKEAIPVGAISYTDIKGYGYKRDVQFCYDLKLPESFVPENQDGEVEGFMLLPVTNVANVIRRTQFFKPNCSLVIIDFLFRHGYIKPESSGYLELLQSLRGGTCF >KGN64147 pep chromosome:ASM407v2:1:4369676:4371417:1 gene:Csa_1G042570 transcript:KGN64147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSYSDSDDDSVPRSKLFGRRRPVYDIFGGGRVADILLWKDKKKSAGLLLGMTVLWFLFEIVEYNFVTLICHLSITSMLIFFIWCTGAEFFKWNPPRLPEAILKESTFKDVASTLHRRINQFFTKIFDIAYGKDLPLFFLAIVSLYLLSVIGSYVSFLNLLYFGFVALETLPFLYEKYEEEVDQLAGMAIYQTKKSYRNFDAKFLNKIPRGPVKDKKLR >KGN64283 pep chromosome:ASM407v2:1:5141250:5142982:1 gene:Csa_1G045810 transcript:KGN64283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLLSLIPWLRGRMKISILIMLETFRSRTVECHSLHIKHEHNTIDLTRLGCILVSLINSSLGSFDLKAIRISAKSYQIGRFSSIMQEVC >KGN64713 pep chromosome:ASM407v2:1:7866890:7870793:-1 gene:Csa_1G077140 transcript:KGN64713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKTQAKRQRIPRRGPGVAELEKILKEQESGAATDHQHTSSPHTNSTSTAATTTTHPLSLNPPRPPPPPPPPPLVQIMTPSPPPLPRDYVAWSNNLPLFPTLEFIPPPYLPTVVTEKPLFPTTRMSESQLNLAPYFLPSFQYSASSFNPDQYYNPMVNVNQGSGSSCPSATSSSAGRHFREIEHPSSQISTDFNNIWNSPEEEEKMVNAKRVIPFLEESHREEANNNNNNNIIEKMRVENNIMGTKDSSSSSSSSMETNCSPFHFHSNFRGTKRGLGGQSRMSNTKRSGRYQLGDQESNLMALGSSSSSAPNEIPTFNIFHLPQETMEVPQHRDEGGCPSDYYKLNFNSSLYESNSNTKGNREVGMISSGAGSEAEAEAEGIDLNLKL >KGN66083 pep chromosome:ASM407v2:1:21051040:21054870:1 gene:Csa_1G570080 transcript:KGN66083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSGGNYTTIDNQNVSGSVPAVPDQGQMTVKFTDSNLQTFPPSGTQGKISGGSQPPRDADDTFSKPISSSDESPQQGGGGGGGGWLRTFAVSSYKQYFDVDTSDVLERIKDSLFPFRGTFNERTADTPDLYGPFWICTTLIFVAASIGTFVTYVAHKLHNKDWNYDINLVTWSAGLFYGYVTIVPLGLYVILKYFSVPSGLVQLLCLYGYSLFVFIPALCLSVVPLESFRWVIAGVAGFMSATFVALNLRAHIKSAGERWFLIVASIFLLQLALAVILKLYLFTVAV >KGN66665 pep chromosome:ASM407v2:1:26239770:26240247:-1 gene:Csa_1G655930 transcript:KGN66665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFSLVPSSPIICFSRPAAAAISTSTTSLSQSQYSLESTFIRRAAEIADKSAGFTAPHPNYGCIVATPSGAVAGQGFLFGQGTKSAEVQAVEEAGEYCRGGTAFLNLEPSECAGDDIAVSALVQVRQLPNPSL >KGN64242 pep chromosome:ASM407v2:1:4917803:4920223:1 gene:Csa_1G044910 transcript:KGN64242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDSPNPVIQTRRSSIKTHPRYNNQQSWKQKLRENCCKRVREGRSRLLWKMRLPMSSPTYSHSLNNRQQDLIKSAFQDIFADELKKIKDESVNDYNENLPSVPEAADVLWEYEGIHDAYEGDGEEILLEMQRIFYEDLNVDLRQKESEDPIVTWEDEEDEFLARAVYEHMQLSNEKILEKFWCPMCKQGELQENNHFIHCTHCGLRLNKGNEVTLDLLRCRLADVHAEHLDRGCRLKPKFCVESRFNITALYISCEGCNTFEVVI >KGN64898 pep chromosome:ASM407v2:1:9831887:9832600:1 gene:Csa_1G148480 transcript:KGN64898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLMTEEEIVIPVDRTAEGVFNRENGAIGDPEFNRLKGDFELIARDGVAVRVSFTGGGFGVCAGNSLVSDAEVGAVHRRGGEVGDGKGFGLGFERGGVGEVLDGDKVEVLDGGVVAIDGGDVIGRRRRRRRRRRVILREESLGLAGEDGTGALPVGTGGADGVGVGDGVVSIDGLDTEADGRCGGGGSGGGGGEVRNGFEEGMGRGKGEGLQMHDDDDEEGKLENWVFKKWEILGIW >KGN65329 pep chromosome:ASM407v2:1:13881160:13884640:-1 gene:Csa_1G329900 transcript:KGN65329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSVIVMKLNLTTGLVPNLNVSVALIAFVFIKTWTLLLEKAGFVCTPFTPQENTVIQTCAVACYSIAVGGGFGSYLFALSRKTYEQAGVNMEGNAPGSTKEPGIGWITGFLSVSSFVGLLALVPLRKIMILDYKLTYPSGTATAVLINGFHTPKGDKAAKKQVHGFMKYFSFSFFWALFQWFYSGGEKCGFSQFPTFGIKAWKDSFYFDFSLTYIGAGMICPHLVNLSLLLGAVLSWGVMWPLMKELKGEWYPGSLPESSMKSLNGYKVFISIALILGDGLYHFLKILYFTGSNMYAKATNKKLKTFPDDSVPTFDDHRRNEVFLRDGIPVWVAITGYIFFSIVSIIVIPIMFSEVKWYYIVVAYTLAPSLSFCNAYGAGLTDMNMAYNYGKVALFVLAAMAGKNDGVVAGLVGCGLIKSIVSISSDLMHDFKTGHLTLTSPRSMLLSQAIGTALGCIVAPITFYMFYKAFDLANPNGEYKVPYAIIYRNMAILGVEGFSALPQHCLQLCYGFFSFAIVANLLRDLTLEKFGKWIPLPMVMAVPFLVGAYFAIDMCMGSLIVFVWHYLNREKAGLMVPAVASGLICGEGLWILPSSILALAKIHPPICMSFFSSSKS >KGN64237 pep chromosome:ASM407v2:1:4899607:4903068:1 gene:Csa_1G044860 transcript:KGN64237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSSSFPAVLTCKAAVAWGPGQPLVIEEVEVSPPQPMEIRVKVVSTSLCRSDLSAWETQAIFPRIFGHEASGVVESVGPGVTEFSEGDHVLTLFTGECKTCRHCTSGKSNMCQVLGLERKGVMHSDQKTRFSIKGKPIYHYCAVSSFSEYTVVHSGCAVKVSLAVPLEKICLLSCGVAAGLGAAWNVADISEGSTVVIYGLGTVGLSVAQGAKVRGASKIIGVDINPEKSEIAKTFGITHFVNPKECSESIQQVINRITDGGADYAFECIGDTGMITTALQSCCQGWGLTVTLGVPKVNPELTAHYGILLSGRTLRGSLFGGWKPKSDLPSLVDMYTKKEIQIDEYITHNISFEDINQAFTLMKEGKCLRCVIHLPA >KGN64374 pep chromosome:ASM407v2:1:5744628:5748857:1 gene:Csa_1G050130 transcript:KGN64374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLNLGIDLDDVIFKIYLMTSQNASLKLRKSFPSLCFSRRQKKMSSKLKACTKCTQRCLHLHRKTGNLSSVTSFFKVMFGDHFSEVLYFPPLFAATVSRLVNKKVVLEDALGEQWNITVSDCEGSLAFQEGWNAFSSEHGLETGDFLIFNYIMDLHFNVSIYTKTGCEKIEFPKKRNMRKRTSTGPLLETTNEGLTNPQASYPSVGSESNMALSQDKRIMAGSQNMNVNWNKRQKSRRNDEGRGLLCETDVVDDSYCFINQNKDVGLEDNRSPLLDLFFMEMQMVNPSTKKNTTKIVAEDELNPNCTSSSANAAIIVPLVNDTLVDIKGEKEALPLDTSANKMIDKIQCFEQANIKMSVSDTDPCHDKTIEVPFISAAKYSDTNVERFCETPLKMVKACQNVPAICNTPSTITRHDLGSNEKRTSSTEHCCSVKQEYAPNSKEVRKWDVTNIIKKESLEIKTEVNNFGDSIKQILEPKVIKEEYIEMSNQSGRDNDDDNNYENPIETPAHISCIVAKDTLSFLELPTSLHLSYSRGRRNPEKKKIVLLRDPRKRLWPILYHEMPNVKVLTSGWEAFRSGNEIQSGDECLFRIEDEVERIFEVSIRKCKN >KGN66116 pep chromosome:ASM407v2:1:21257819:21260357:-1 gene:Csa_1G572370 transcript:KGN66116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLENSKLVGIGKEIVAKLKGSPLAIRVIGSYLYSKKSEKDWLSFKENELDTIMQQKNEIQSILKISFNHLSSSLKQCFTYCALFLKDFEIDKDDLIKQWMGEGFIQPHNKKAMEDVGDEYFKELLGRSFFQDISKNQLGEIMKFKMHDFMHDLACFVGENDYVFATDDTKFIDKRTRHLSISPFISKTRWEVIKESLIAAKNLRTLNYACHNYDGDEIEIDFSNHLRLRTLNLIFSTHVPKCIGKMKHLRYINFTRCYFDFLPKVVTKLYHLETLIFRECFKLRELPSDITNLINLRHLGINSLIEGLSYMPKGMGSMTTLQTLNLFILGENEGGELSELNGLINLRGSLSIQQLQFCKPIGIESAKHLEEKSGIQKLKLYWYHLERKYEIDDEDEKVLECLKPHPNLQKIVINGYGGVKLCNWFSFDYIVNLVIIDLFNCNKLQQLPRFDQFPFLKHLKLQYLPNVEFIDNNDSVSSSLTTFFPSLEKLRIFRLPKLKEWWKRKLIDQTIPQHRRLESLNISGVSLQVFELVMEMATTNIIVGSQDSSSSTTSISLSFLSIEDIDFEFLQFHDLFSNMTHLKSLWIINCKNIKMSSSLDAVTWKGLGSLRELMLSSIPDLEYLPKSLQCVTTLQSLQIYNCPNLVSIESIRHLTTSLSVLEIHGCPNITFYPHEMSQLASLAITFQNRGWSDVRGRFLFA >KGN64353 pep chromosome:ASM407v2:1:5529653:5534820:-1 gene:Csa_1G047450 transcript:KGN64353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFSAIKTSRATPSSVVSLCWKPNSKCTHVKFRTQKAPIPFFDTLRCVQSPQSSTPSHNSRFSCSAATLSPSSITELVSFRLQRLIDEFESISEPVDRVKRLLRYASFLPPLDASARLDSNRVMGCTAQVWLEVRIDQEGKMRFAADSDSEISKGFCSCLVSVLDGAMPEDVLRLKTEDLAALNVGLTGGERSRVNTWYNVLISMQKKTKALIAELEGKSPFEPFPSLVVTADGIHAKGSYAEAQARYLFPNDSTVKELVKVLKEKKIGVVAHFYMDPEVQGVLTAAQKEWPHIYISDSLVMADMAVKMAKDGCQFVTVLGVDFMSENVRAILDQAGFGEVGVYRMSDELISCSLADAAATPSYMNYLEMASKDYPSLHVIYINTSLETKAYAHELVPTITCTSSNVMPTILQAFAQVPELNVWYGPDSYMGANIVELLQQMTKMTDEEIAKIHPKHNRDSIRSLLPRLHYYQEGTCIVHHLFGHEVVEKINEMYCDAFLTAHFEVPGEMFALAMEAKRRGMGIVGSTQNILDFIKQRVQEALDRNVNEHLQFVLGTESGMITSIVAAVRNLLNSAKSTSGGAKINVEIVFPVSSDSLTKTSSSSSPGQKSVVLGEINLPVVPGVSSGEGCSLHGGCASCPYMKMNSLSSLMKVCHELPNNKSAISSYEAKRFKLHTVTGKSVADIGCEPILHMRDFQAAKHLSEKLVHQIAKRH >KGN64970 pep chromosome:ASM407v2:1:10537453:10538101:1 gene:Csa_1G169940 transcript:KGN64970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDDKLGLCISLILLCLSTVCFCTSDINDVKILNDFREGLENPELLKWPDNGDDPCGIPPWPHVYCAGDRGPCLRILTSSLSSPIWVFEYNPFNAAVDELAKSVQLTNLSLVQSNLAGPLPEFLGTLSSLTTLKLSYNRLTGQIPKSFGQFFMQILWLNDQDIGMTGPIDVISSMSSLTQLWLHGNQFLGVIPQNIGDLSIFV >KGN65713 pep chromosome:ASM407v2:1:18003375:18005528:-1 gene:Csa_1G507460 transcript:KGN65713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPSFHDLKKQASFFFKEKIKTARLALTDVTSAELLTEEAINGNPDARTLSSISRAAFEVDDYWRIVAILHKRLVKFEKKNWRNSYNSLIILEHLLTHGPESVAKEFESEKHVITQMANFQYVDEKGFNWGLSVRKRSERILNLLDKGSLLKNEREKARKLTREILGFGSFSLRSNSQGIILQHPSSPIARYGKCNSNFDSLDNILHQDGSVVDGQRIEMLETRESVDENLLVRINKEELHRGDDDAGVCKVKPLLSGKREEEEMEMEMEKGISSDGCYDHPFIDDEPETNSSLLSRLGRDERN >KGN64965 pep chromosome:ASM407v2:1:10494259:10494916:-1 gene:Csa_1G168910 transcript:KGN64965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNIRKNKFFTTIFSSTAGCGGCQKPKLSDIVQPDKKPPTTIVRRSSSSSSTDQNGTFSLDEDYTSSASKSTGTQSPVAILIGDSIAVEKDSDDPYEDFRGSMVEMIVEKRIYSPNGLQELLNCFLHLNSPYHHEIIVKAFTQISNEFESSHRLWNMSNNTDWKRRSGGEG >KGN66620 pep chromosome:ASM407v2:1:25692276:25695537:-1 gene:Csa_1G650070 transcript:KGN66620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVLHRPESSSMVEGFNLLRLLNLENNCIAEWNEILKLGQLKSLEQIQLNNNKLSHIFYPNLNELHELFGDVESQGDCFPFQNLRCLFLGGNNIDHLASIDVLNSFPNLIDIRLSENPIADPMRGGIPRYVLVARLSKIQVINGSEVTPRERRDSEIRYGKQYFEFLSISLFCLH >KGN63895 pep chromosome:ASM407v2:1:2838019:2847861:1 gene:Csa_1G025770 transcript:KGN63895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDDMLLHFSSNSSNQSDQSLPTKMAKLEARMVGKTSSIPPPAQSQSTRTSVSSAGKFAATESLIEPSTSSDSDDGTGDGFLIQANTQKRQKLQDANDSTLPERAEAFQAVEEGKQNVVETIESKKNVDVNRKKQARGRGQSLSNRGRGSRVNDQMKSQISLSTIPPTNGQHDSLYLKDGMCKEQLRVDNRSPMEEELVSLRAKVASLEEDLRKLRQESSEYQNLYRELEKELKEIKEYEQQMKPKRTKVLSDLLISVSKAERQEARMKVRQDSLRLGNVGVIRAGTVISETWEDGQALKDLNAHLKQLLETKEAIERQRKSLKKRQPDKGDGSDAEPGAQEEDSFIQDEIYKSRLASIKREEETILRERDRYEIDKGRLIREMKRIRDEDGSRFNNFQILNCRYALLNLLGKGGFSEVYKAYDLVEHRYVACKLHGLNAQWSEEKKQSYIRHAIREYNIHKTLVHTHIVRLWDIFEIDQNTFCTVLEYCSGKDLDAVLKSTPILPEKEARIIIVQIFHGLVYLNKRTQKIIHYDLKPGNVLFDELGVAKVTDFGLSKIVEDDVGSQGMELTSQGAGTYWYLPPECFELSKTPLISSKVDVWSAGVLLYQMLFGRRPFGHDQTQERILREDTIIKARKVDFPTRPAVSNEAKDFIRRCLTYNQADRPDVLTIAQDSYLTYLKK >KGN65887 pep chromosome:ASM407v2:1:19372910:19375990:1 gene:Csa_1G537370 transcript:KGN65887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKRRSNHNHNHSHDVVIGKPNESSSSTTSSSPSSSTRAGDEPVEWEMRPGGMLVQKRTDKSESPPPTLHLRVAFGAVRVEISISSKATFGELKRVLTAETGLEVEAQKVIYRGRERENGEYLEGCGVKNRSKMELVEDPASIERRYIETKRNAKIQSAHRAISDVSMDLDKLADQVAAMEESISNGIKVPEIQITTLIEMLMMQAIKLDSIVAEGDASTQKILQGKRVQKCVEMLDVLKVTNARVKVAKPVIVTTKWETFDPHPSTNNHWTSLIDL >KGN65404 pep chromosome:ASM407v2:1:15058519:15061781:1 gene:Csa_1G411740 transcript:KGN65404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLQLSLQNFPSTPTLSSLLRPPKSGRITHLPPRLLLSRTPAFKPHTKNSKWVVRCNLVDQIPPKSTLDVGRLVDFLHEDLSHLFDEQGIDRTAYDEQVRFRDPITKHDTISGYLFNISLLRELFRPEFFLHWVKQTGPYEITTRWTMVMKFALLPWKPELVFTGNSIMGINPETGKFCSHVDLWDSIQNNDYFSVEGLWDVFKQLRFYKTPELESPKYLILKRTAKYEVRKYAPFIVVETSGDKLAGSAGFNTVAGYIFGKNSTKEKIPMTTPVFTQKFNSESPKVSIQIVLPSEKDIDSLPDPEQDIVGLRKVEGGIAAVLKFSGKPIEEIVQEKAKELRSSLIKDGLKPRNGCLLARYNDPGRTWNFIMRNEVLIWLEEFSLE >KGN63935 pep chromosome:ASM407v2:1:3149776:3152372:1 gene:Csa_1G029620 transcript:KGN63935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGNTATSASPLLPNSSEPYSCLENGNNNNNKRKRRPAGTPDPDAEVVSLSPKTLLESDRYVCEICNQGFQRDQNLQMHRRRHKVPWKLLKRESPVVRKRVFVCPEPTCLHHDPCHALGDLVGIKKHFRRKHSNHKQWVCEKCSKGYAVQSDYKAHLKTCGTRGHSCDCGRVFSRVESFIEHQDACNMGHLRQESQVQPACLSRTASSPSPSSDTNFSSTPAPSSNWHALVTPPLTLKPVDAIFLTPTGDSNNNNNSDHNLDLKLSTASNGVEGRNNYNNNKKGSSTKLELSMGSFDFEDEKKKMLKLDDGGAGDVREEAREELRVAMAEKAYAEEARKQAKRQIEMAEEEFGNAKRMRQQAQAELDKATALKQAAIKQINSTILEITCQACQKQFQAKTKTKTKTTTTTTSTSAATDHDNYSSVAFSYVSSVITTEGEVEKDQSTIIPKPPNN >KGN64841 pep chromosome:ASM407v2:1:9307746:9308437:1 gene:Csa_1G126030 transcript:KGN64841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLVLIGQTNLTGTLRAISIRVWVNISTISKMFVLVVAVHVCNGRHIMFWKKPSSNQINNFMKLGFLMTFAEVKLSSVPIRLNLDIYESFDG >KGN65505 pep chromosome:ASM407v2:1:15824062:15825428:-1 gene:Csa_1G427550 transcript:KGN65505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSHFIFFCLLFTFFYLRSMDLLHSPPPLFTSEGRQARKYLGTSFSHEVHEDEFNRPSPKDTSSNTPEYEASINSLGELVYHIDYHGVTTHPNPTPKHP >KGN65023 pep chromosome:ASM407v2:1:11000547:11003273:-1 gene:Csa_1G181360 transcript:KGN65023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSDPTIVIDRLRFTYPGIDGHPPSGSKPLIENFSLTLNAGDRCLLVGSNGAGKTTILKILGGKHMVEPHMVRVLGRSAFHDTALTVSGDLCYLGGEWRRDMAFAGFDVPIQMAVSAEKLIFGVGGVDPKRRVELINILDIDLSWKMHKVSDGQRRRVQICMGLLKAYKVLLLDEITVDLDVLARANLLKFLRRECEERGATIIYATHIFDGLEDWPSHMVYVSHGKLQISMPMDKIKEISKLSLMRTVESWLRKERDEERLRRRERKANGLPEFEERIEESRVTGDPAHSAVRVINNGWAAGRLNSTVAGEENFLFSSNRVLRQ >KGN63949 pep chromosome:ASM407v2:1:3309095:3311691:-1 gene:Csa_1G031730 transcript:KGN63949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLTTVADSGDSVNCTFGSRYLRSPPPKFKLGEQSIPKDAAYQIIHDELMLDGTPRLNLASFVTTWMEPECDNLIMASINKNYVDMDEYPVTTELQNRCVNMIARLFHAPIGDQEAVVGVGTVGSSEAIMLAGLAFKRKWQQRRKSQGKPCDKPNIVTGANVQVCWEKFARYFEVELKEVKLSEGFYVMDPVKAVELVDENTICVAAILGSTLTGEFEDVKLLNELLSKKNEETGWDTPIHVDAASGGFIAPFLYPDLDWDFRLPLVKSINVSGHKYGLVYPGVGWVVWRSNQDLPEELVFHINYLGSDQPTFTLNFSKGSSQIIAQYYQFIRLGFEGYKNVMENCRENARVLREGIEKMGRFEVVSKDVGVPLVAFTLKDSSKHTVFEISENLRRFGWIVPAYTMPADAQHIAVLRVVIREDFSRSLAERLISDIDKVLKEVEALPIRVSLAEVKAGVEDGGRVRKHVKKSEREIGEEITTYWRRLVDGKRTGAC >KGN66371 pep chromosome:ASM407v2:1:23099797:23101595:-1 gene:Csa_1G600110 transcript:KGN66371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSSSAKKFEEFEPRFDWVDHPDARVLVVHLSGFRSNQLKVQVTSTGKLRVSGERKLSSGKWLRFQKEIDIPADADTDNISAKLEQGVLYVKQPKKPSATSSNIPPVQQPKPKAQSQPPPSATKPTADPPTVRPNTPKSQNERPEPPKPAATETTVAPPTVGPNAPESQNERAQIPYIKTNVKNYAPSQNDRPQSQASGKQIPTPPKPEKATGAPARIPKPEKTSSMGSGQPVEDLGKKEKTEEKGKAHTKLRDALEKTREEGKEEEGESKMEEKDEKGKEEVGEEKRRRRRKRRSEEMGEESGRLRRREGYKQVIDVVVKELRTNMVTLALGIAAFVVLYLNLAKKEEL >KGN66859 pep chromosome:ASM407v2:1:28356415:28360385:1 gene:Csa_1G701350 transcript:KGN66859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKSSLLKRTLASLCFILALYAIINTFISSTATLKLDRSFPFSSANSVIVSDEFSSQDTDLLNSSGKSLSPVKIYLYDVPTRFTYGVIENHGIARGGKPVPDVTDLKYPGHQHMAEWFLFTDLLRPESERIGSAVVRVFDPEVADLFYVPFFSSLSLIVNPIRPATGSDQQQRKLVYSDEETQDAFMEWLEKQEYWKRSNGRDHVIIAQDPNALYRLIDRVKNSILLVSDFGRLRADQASLVKDVIVPYSHRINTYTGDIGVENRKTLLFFMGNRYRKEGGKIRDMLFNILEQEQDVIIKHGTQSRESRRAATHGMHTSKFCLNPAGDTPSACRLFDSVVSLCVPVIVSDSIELPFEDVIDYSKIAVFFDSVSAVKPEFLISKLRRISEERILDYQREMKKIKRYFEYTDSNGTVNEIWRQVSQKLPLIKLMINREKRVIHRDGDEPNCSCLCSNQTGIRARL >KGN64119 pep chromosome:ASM407v2:1:4238895:4239967:-1 gene:Csa_1G042310 transcript:KGN64119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKKTVEFDESPPDDFDPENPYKDPVAMLEMREYIVREKWIDIEKAKILREKLRWCYRIEGVNHLQKCRHLVQQYLDSTRGIGWGKDGRHPSLHGPKVEPAESE >KGN65159 pep chromosome:ASM407v2:1:12519882:12521222:1 gene:Csa_1G256190 transcript:KGN65159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIQKDRVRFNVGGRLFETTATTLANAGRNSLFGALFDDNWDLQSLNSDEFFIDRNPDCFAVLLDLLRTGELYIPANMPEKLLYREALFYGLQDHFRSAKWGQFDGNRLKLSHSATGQAPGDGTAIRAGPDGGCCIAHGSMVHVYDWMLDEHPPINLDYQRVNDVGWIDAENIVISVCERLGRGDGGMGMFCKSTGELRHKFQVSHDNQVKSCTAGALSFSSDYKIFSSCKGRSNEYGIGVWDQITGKQTDFFYEPAGWSLGDADKLQWLNGTNCLLVATLFPRKDNCYISLLDFREKKMVWSWSDIGAPLTVDERRVRDAIAMEENNAICVVNEYEDLGFLDLRSSSGGSIRWSSRSRLMKGKMPEEPCYPKLALHEGQLFSSMNDSISVLCGPDWVLTSRLRRSVGGSICDFSIGGDRLFALHSEENVFDIWETPPAPIISSFS >KGN65134 pep chromosome:ASM407v2:1:12133485:12133839:1 gene:Csa_1G232040 transcript:KGN65134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLDSKPSPAKLKSPLPPRSPSSNPLKRKVVVRLLPPSKDGDEGDNIIQKVMCR >KGN65590 pep chromosome:ASM407v2:1:16704472:16708890:-1 gene:Csa_1G467100 transcript:KGN65590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCYEEEDEESGVVGLRRSSSSSRTGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSSRGRLYEYANNSVRATISRYKKAYSDPSTAMTVSEANTQFYQQESAKLRAQIGNLQNLNRHLLGESISSLSVKDLKSLEVKLEKGISRIRSRKNELLFSEIEYMQKREIELHTNNQLIRAKIAETERSQQNTNASNNNGIATRRGEEGSMGTNLEDNNHHQYDSTNYFDPHHNHPISLQLV >KGN63896 pep chromosome:ASM407v2:1:2852209:2857055:1 gene:Csa_1G025780 transcript:KGN63896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRFLKPLMGFWLLLCCLVVATDATYLKYKDPKQPLGARIKDLMGRMTLEEKIGQMVQIERAVATPDVMKNYFIGSVLSGGGSVPAEKASAETWVNMVNEIQKGSLATRLGIPMIYGIDAVHGHNNVYNATIFPHNVGLGVTRDPELLRRIGEATALEVRATGIPYVFAPCIAVCRDPRWGRCYESYSEDHKIVQQLTEIIPGLQGAIPSNSRKGIPFVAGKQKVAACAKHFVGDGGTTRGIDENNTVIDYNGLLNIHMPAYYNSIQKGVATVMVSYSSWNGVRMHANRDLVTGFLKTKLRFKGFVISDWQGIDRITSPPHANYSYSVQAGVGAGIDMVMVPQNYTEFIDELTRQVKNNIIPMSRINDAVQRILRIKFLMGLFENPLADNSLANQLGSKEHREVAREAVRKSLVLLKNGPSADKPLLPLPKKAGKILVAGTHADNLGYQCGGWTITWQGQSGNDLTVGTTILNAVKNTVDPSTQVVYNENPDAGFVKSNEFSYAIVVVGEPPYAEISGDSTNLSISEPGPSTIKNVCSNVNCVVVVVSGRPVVMQPYVGVANALVAAWLPGTEGQGVADLLFGDYGFTGKLARTWFKTVDQLPMNVGDSHYDPLFPFGFGLTTKPNKY >KGN64817 pep chromosome:ASM407v2:1:8945260:8954955:1 gene:Csa_1G118370 transcript:KGN64817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKEKTFHCTLFSFSSSISIFLLLLLISVGFVEGQDYSTEDYDYDDEGGGGGGGGGIGGLDLQSATGDILAKLVNDRIKNFTTVFKDDIQKHFGFCISDANADWDGAFNFTRSSSAFISKCAKKNKDVMARICTAAEVKFYLDSYFSSKASSKRTNYLKPNKNCNLSSWVSGCEPGWACSSKRGQKVDYKNAKVIPSRTTNCRSCCEGFFCPHGITCMIPCPLGSYCPLAKLNKSTGICEPYHYQLPPGKINHTCGGADVWADILSSSEIFCSPGSYCPSTIQKNPCSSGYYCRTECFRMATCTPRSANQNITAYGVMLFAGLSFLLIIIYNCSDQVLSTRERRQAKSREKAVQSVRETAQAREKWKSAKDIAKKHATDLQTQFSRTFSRRKSTKQPDLKGFGQPKPGTDAALGSMPPLGGSSSSAASKGKKEKSNLTKMMQSIENDPNSEEGFNLDIGDKNIKKQAPKGKQLHTQSQIFKYAYGQIEKEKALQEQNKNLTFSGVISMANDIDIEIRKRPMIEVAFKDLTLTLKSNNRHLMRCVTGKIMPGKVSAVMGPSGAGKTTFLSALAGKVTGCTMSGMILINGQTASIHSYKKVIGFVPQDDIVHGNLTVEENLWFSARCRLSADLLKPEKVLVVERVIESLGLQAVRDCLVGTVEKRGISGGQRKRVNVGLEMVMEPSLLILDEPTSGLDSSSSQLLLKALRREALEGVNICMVVHQPSYTLFSMFDELILLAKGGLTVYHGPVKKLEEYFATLGITVPERVNPPDYFIDILEGIVKPTTTTGITYKQLPVRWMLHNGYPVPMDMLQSIEGMEASKAGENSSHGRTGATDSGDSVSFVGEFWQDVKHIVVMKRDHIQLNFLKSSDLSNRKTPSVAQQYKYFLGRVGKQRLREARTQAVDYLILLLAGICLGTLAKVSDESFGSLGYTYTVIAVSLLCKIAALRSFSLDKLHYWRESSSGMSSLAYFLAKDTIDHFNTIIKPMVYLSMFYFFNNPRSSITDNYIVLVCLVYCVTGIAYALAIFLEPGPAQLWSVLLPVVLMLIATHNNDDNKLVDSISKVCYTKWALEAFVIANAKRYSGVWLITRCGSLMQNRYDLKNWYKCLICLFATGAISRGTAFFCMVTFQKK >KGN66221 pep chromosome:ASM407v2:1:22007931:22012424:1 gene:Csa_1G586830 transcript:KGN66221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGHPDVAGKVVVVAIKATSKEVSKAALVWALTHVVQPGDHIKLLVVIPSHQSSMWVRGFSRLTSDCAIGHLRTHSGTFSDRKDDIVHSCSQMVHQLHGAYDSLKIKVRIKVLSGLVRGMVATEAKKAQSNWVILDKNLKDERKNCLEELQCNVVLMKKYHPKVLRLNLMESPKMNTREAWISSHELDVSQKCLKSYFDEPIMFTAPDVTPDSTPDVESPFTVTDIGTSSISSSDVGSSSLFSGICGSLRNDSRTAVDRGRNMSGSEYDSESEKQTPSVSYFQRCMVDIMSSRRKFQQHAMEESQNAHHRPPAPTRQGLVKKMSTLSVEPSHDVAHRSTDISSSRNIRNTVSLSRKAPLGPPPLCSMCQHKAPAFGNPPRWFTYAELEVATSGFAQTNFLAEGGFGSVHRGILSDGQVVAVKQYKLASTQGDREFCSEVEVLSCAQHRNVVMLIGFCVEGGRRLLVYEYICNGSLDSHLYGRNREPLQWSARQKIAVGAARGLRYLHEECRVGCIVHRDIRPNNILLTHDFEPLVGDFGLARWQPDGDLAVETRILGRFGYLAPEYAQSGQITEKADTYSFGVVLLELVTGRKAIDLNRPKGQQCLTEWARNLLRKNAISELVDPCLRNCYSDEEVHRMLQCASLCIKRDPYVRPRMSQVLRVLEGDIVL >KGN66108 pep chromosome:ASM407v2:1:21217950:21218249:1 gene:Csa_1G571800 transcript:KGN66108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQLEPIKQLNPKVTTKTKEIDSTYFAIRRQQDWRRMDDGGRQAGGAECLIVENFVRRIGVEGEMEKMEEFLEKGKLGEI >KGN63799 pep chromosome:ASM407v2:1:2230602:2237956:1 gene:Csa_1G020900 transcript:KGN63799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDNVDEQTKLPELKLDAKQAQGFLAFFKTLPPDSRAVRFFDRRDYYTAHSDNAVFIAKTYYRTTTALRQLGNASEALSSVSVSKNMFESIARDLLLERTDHTLELYEGSGSNWRLIKSGSPGNIGSFEDVLFANNEMQDSPAIVALFPYFRDNGCIVGLGYVDLTKRVMGMAEFIDDSHFTNVESALVGIGCKECLLPLESGKSGDIKPLHDVLTKCGVMLTERKKSEFKMRDLVQDLSRLIKGSVEPVRDLVSGFEFAPAALGALLAYAELLADESNYGNYNIQKYNLDSYMRLDSAAIRALNVLESKTDANKNFSLFGLMNRTCTAGMGKRLLHMWLKQPLLDVKEISSRLDLVQAFVEDTALCQDLRQHLKRISDIERLTHYLEKRRAGLQHIVKLYQSSIRLPFIKNALENYEGQFSSLIKEKYLEFLETCTDNDHLNKFNNLVETAVDLDQLENGEYMIASSYDDTLSKLKNVQESIEQQIQDLHRQVANDLDLPVDKALKLDKGTQFGHVFRITKKEEPKVRKKLSTHFIVLETRKDGVKFTNTKLKKLGDQYQKIVEEYKSCQKDLVHRVIETASSFNEVFRPLAELLSELDVLLGFADLASSCPTPYTRPDITSSNEGNIILEGSRHPCVEAQDWVNFIPNDCKLVRGKSWFQIITGPNMGGKSTFIRQVGVNILMAQVGCFVPCDKASISVRDCIFARVGAGDCQLRGVSTFMQEMLETASILKGATEKSLIIIDELGRGTSTYDGFGLAWAICEHLVEVIKAPTLFATHFHELTALAHGNTDLDSHGKQMAGVANFHVSAHIDSSNHKLTMLYKVEPGACDQSFGIHVAEFANFPSSVVALAREKAAELEDFSIDTTASTTNGKEIPLKRKREFSSDDMSKGVERARQFLEEFSNLPLDKMDLKEALQQVSQLRDGLKKDAVDSNWLQQFL >KGN64137 pep chromosome:ASM407v2:1:4340495:4343506:-1 gene:Csa_1G042490 transcript:KGN64137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDGSLASRSSSYHSCQHSGYVPVHFSNDEERDYPGLLKKSYTEVLKVGFSLNWSAQNCSNCETIGGRCGFERNQICLVVEPFSSIFEDLNLNFIPPPYRDIATTNLHRSKPSRFKYLFSMKILLFHLHLCFADAAHDEFKACGVNYNCGQLVNISYPFWGNDRQSFCGRREFGLSCKNNETTTIHINSWPYIVVNISQSDHRMTLARSELFDDYCPDKEIGGGALDFSPFKYSNNDLNLSLWYDCPILLEVPKYFVFECVSKGERSGRTNYALEESETTKWSPYNRECGIKIDVTITSEIFQEGKTNRTVMMERGMKEGFEVEYEDIYSTACEACKEYGGACGRNATKEFLCICNNGEVHLYVCTPPPPPPSPPGRRRILAKAFIGAFSGTGGLIIFIIIIAICYMRKNKSNKDNIEESYCLRGSTEEEKEMTRKMIIVGLHCIQTLPDDRPSMTDVIAMLEGSGDGLQIPPKPNLFGPPTFEHPQPSSSSSNEIPNDSLIL >KGN64239 pep chromosome:ASM407v2:1:4906866:4908110:1 gene:Csa_1G044880 transcript:KGN64239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNGVSSSISKRLAGKVALITGGASGIGESTVRLFVENGAKVVVADVQDDLGAVLCKELDDTGFNVSYFHCDVTDESDISNAVDYAVEKYGKLDIMFNNAGIRGDVGSTTLTADMNDFRKVFDVNVFGSFMGAKHAARVMAPAKTGCILFTSSMASVICSGNAPAYAASKHAIIGLMKTLAVELGSQGIRVNAISPYATVTPMLVPSRNVEEKKAMEAFISLSGNLQGAVMEAEDVAKAALYLGSDESKYVSGLNLVVDGGFSLTNPSFELNAKIYAQ >KGN64122 pep chromosome:ASM407v2:1:4258154:4259785:-1 gene:Csa_1G042340 transcript:KGN64122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADFTPNFQSLKPSSFPLMDIDPNQNPNLNFLDNIPILFSESFFNNQTAVPSPRFLENWGENFQGIFSHHQANQIITPTSSPVFEPKNLFGNDLHGSKKRKLENNDAYESSSGNSTPQVSENGINTKNNNGKEKRSKKGDTNDGEKPREVVHVRARRGQATDSHSVAERIRRGKINERLRCLQDIVPGCYKTMGMAVMLDEIINYVQSLQNQVEFLSMKLTAASSYHDFNSDSDAEDKLKGKEIREGNGGISMIGSSTQLGPFDLCFGSYSTLPFNTI >KGN65885 pep chromosome:ASM407v2:1:19358667:19358931:-1 gene:Csa_1G537350 transcript:KGN65885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAVTKTPKIFYGKSSIAVATLRLFKLLRVYGVDVGGSHVDSSDVVALRLKKGGELVP >KGN66767 pep chromosome:ASM407v2:1:27476560:27480507:-1 gene:Csa_1G681130 transcript:KGN66767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSRKVDLRSDTVTKPTESMRAAMAMAEVDDDVLGYDPTALELEEEMAKIMGKEEGLFVPSGTMGNLISVLVHCETRGSEVIVGDNSHIHILENGGIATIGGVHPRTVKNKDDGTMDIDLIEAAIRNPKGQLFFPTTRLICLENTHANSGGKCLSVEYIDEVGELAKKYDLKLHIDGARIFNASIALGVPVDRLVQAADSILVCLSKGLGAPVGSIIVGSKDFIAKVVI >KGN65388 pep chromosome:ASM407v2:1:14776831:14778198:-1 gene:Csa_1G399650 transcript:KGN65388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADASVAVLETLRLRGWNFSDSDEVKAVITIATALADDPSSVLDSVESELINIDLRFIGGKSLPEPALFRKSSRILGPIVLQISSVKDISRSSLDGILKASNGRRLLRFGLTDGHSEITAIEYSHIPSIPDDIPPGTKQPQRPRYFWDAAIENMNLKHGRSLNRWEEVEYLILIQGKVNLTWLGIDAKKNFTMWKNRQCQANL >KGN64352 pep chromosome:ASM407v2:1:5525795:5528819:-1 gene:Csa_1G047440 transcript:KGN64352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASANGIGSIQGKQPLFSFGVISDVQYADIPDGRSFLGTPRYYRHSILVLKRAVQSWNNHQKLKFVLNFGDIVDGFCPKDQSFSTIKKVVSEFDNFNGRVYHMIGNHCLYNLPRKELLPLLKIPNHDEGHAYYDFSPTPNFRFVVLDGYDISAIGWPRDHPKSVEALKILSQRNPNADKNSPSGLVGLGRRYLMFNGGVGKEQLKWLDGILKEATHLKQKVIVCCHLPLDPGATSFAALLWNYDEVMDLIHKYNCVKVCLAGHDHKGGYAIDSHGIHHRVLEAALECPPGTNAFGYVDVYNDGLYLTATDRMSSSKMLFDSDQTCDHENGCTTDPITST >KGN65178 pep chromosome:ASM407v2:1:12602168:12606739:-1 gene:Csa_1G257350 transcript:KGN65178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVCESGRAFRKYTVEAAATTPRQPLVPAAKNNEVATRSPSRSKNNPSSPSSLSGPRRCPSPSITRPVSASSQSVLKRAQSAERKRPSTPPSPPSPATPIHGTPADVQLLSKRVIGGRTESLWPSTMRSLSVSFQSDTISIPVCKKEKPVLSSPSDRTLRPSSNFSSKQAETQAVSRKHTPERKKSPLRGKNGYGQSENSKPVDGSRAQFVDHQRWPSRVGAKASSNSLKCTVDLTDKRVPSLHKSLRGSGLSSTRATTGEIVNKPLQKSTSGVARLSYVDGRSREEFDANSANDNSMQESAANKVVSSSVAGIKITANRVGRYDSPTLCPRPSSPSKTPVLSSVARGVSPSRLRPSTPPPQGISSSRIKPSNSTQSNASTSVLSFIADYKKGKKTASYIEGAHKLRLLYNRHLQWRCANARAEVVLHNQEVIAEKTLLGVWTTTLNLWDSVIRKRINLQQLKQELKLISIMNDQMRCLNEWAVLERIHNQSLSGVIDDLESSTLRVPVTGGAKADAGSLNGAICSAVEVMQAMGSSICSLLPRVKVMQTLVFELTIVAAQEKAMLDECIALLASRAALQVEEQSLWTHLIQMKQGLENVSQF >KGN65423 pep chromosome:ASM407v2:1:15242682:15243524:1 gene:Csa_1G420360 transcript:KGN65423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKQLQLPVFLVLLTVFMPRVLSHNFSQTPGVQVQIYKQNQVDNETIYRVSKQLCWGCISESIEFLFAHNLVRAAKFELPLAWNFQLEKYARWWAGQRKGDCKLQHSFPEDDFKLGENIFWGSGSAWRPLDAVTSWASEVKYYTYATNSCEAGQMCGHYTQIVWRNTQRMGCARVVCDNGDIFMTCNYDPPGNYLGERPY >KGN64758 pep chromosome:ASM407v2:1:8351154:8352006:-1 gene:Csa_1G089470 transcript:KGN64758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITAFAQCGRGLEALKLFESLLTEDSFVPDHICFTAVLTACNHAGLLDEAVEYFNKMRREYHLDPQIDHYACLIDLYARNGNVEKAKQMMEQMPYESNYVVLCSLLGACKVHAEVELGREVAHRLIEMDPSNAAPYLTLAHISAKAGLWTQVGEIRKEMQQKRVRKSAGWSWIEIDKKTHVFSVGDAAHPKSCEIYSKLDQLNLDMKAAEQSSKALEYDVEC >KGN65022 pep chromosome:ASM407v2:1:10995508:10998028:1 gene:Csa_1G181350 transcript:KGN65022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGDFLPPLVMLVVQFLYAGLNITSKLAMEFGMNPLVLVAYRQMFATIAIAPCAYWFERKGRPKITKPILFQILLCSLTGATANQVFYYVGLKYSTPTIACALTNVLPAATFVLAVLFRQESVGIKTSPGAAKVIGTVVCVGGAMLLSFYRGQTIELGKSGIHWKYAELMRGESSSNQGSSIWGSLCLIISSVAWAAWFVIQARVNEKFPAPYTSTALMTFMATIQCGAIAVGVEHKTLAAWSLKSSIRLVGALYAGVACSGMAFCLTSWSIQKRGPLYASVFSPFLLVIVAIFSWAFFQEKLYVGTVVGSLLIVVGLYSVLWGKTKEVKLQQHIEMTAAAEAKLDDYNNKEDLEEQSYVVSNANIPHK >KGN63960 pep chromosome:ASM407v2:1:3373123:3374824:-1 gene:Csa_1G031810 transcript:KGN63960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQAASRSKLRWGELDDDDGDLDFLLPPKKIIGPDENGVKKVIEYQFNEDGNKVKITTTTRTRKLANARLSKHAVERRSWAKFGDAVHEDVGSRLTMVSTEEILLERPRAPGSKPEEPKVAGDPLAQLGKGGAVLMVCRTCGKKGDHWTSRCPYKDLAPQGEGLDKATAPEAAAAAPGGATKGTYVPPGMRAGADRTGTDMRRRNDENSVRVTNLSEDTREPDLLELFRPFGAVSRVYVAVDQKTGMSRGFGFVNFVNREDAQRAINKLNGYGYDNLILRVEWATPRAT >KGN65157 pep chromosome:ASM407v2:1:12506928:12509783:-1 gene:Csa_1G256170 transcript:KGN65157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRFFGSILVLALFFKPLACQQPNTDGFYISEFMKKVGLNSSVYNFSAPVCSWKGVFCDKKGNVIEFVASGIGLSGAIPDNTLGKLSRLQSLDLSNNKITGFPTDFWSLGLLKRLNLSSNQISGPLGDSICNFGQLESVDISVNNFSGKIPESISSLLSLRVLKLDHNRFGESIPSGILNCQSLVSMDLSYNRLNGSLPGGFGAAFPKLESLNLAGNGIHGLDSDFSGLTALTALNISGNLFQGSVMGLFKEQLKVLDVSRNQFQGNISQVQLNSSYNWSHLLYLDLSQNHLGGEIFNILEKAQNLKYLNLAYNKFSSLEFPHVSLLSSLEYLNLSKSGLTNHIPPGISQLSHLNTLDISQNHLTGRIPSLSVKNLQILDVSQNNLSGEIPLSLLEKLPWMERFNFSYNNLTFCDSKISFKTLQAAFLGSANSCPIAANPSLFVRKPSKHEVSKLALAVTFSMICLLLAVIFLAFGCRRKSRTWVVKQASYKEEQNISGPFSFQTDSTTWVADVKQATSVSVVIFQKPLLNITFADLLSATSNFDRGTLLAEGKFGPVYRGFLPGGIHVAVKVLVHGSTLTEREAARELEAQIVRFWIGKGFWQWSK >KGN66433 pep chromosome:ASM407v2:1:23690892:23692775:1 gene:Csa_1G605620 transcript:KGN66433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLEELLAEEGFRGRRPIRKSKGPFNSHATSTSNNDSQDKRNLDSDLGGQVRTTMKPSLLRHSSNGDFHSRGMTKNLTEGGNFTYREKRDKKSSKQYVERFDGKRHVNVTEQKPCLVNLAKDKTQRGHRYISEEENENFRGIYSNEVHVRRGVKCAAKEKELYKERWSGKIIDVEKRQRNSLKKNLFGRINFHHCNETAVYLPESSYDKSKTNASTRNWKNFEDDHSQTHDTFEDDRSQAHDTFEDDRSQTHDTFEDDHSQTQDTFVDSGSLPALDEVAVQAVVSIINGHLKYFLKDKDFRLMLRQNSFNPLNFIGVEECNSSKVVANLEQAIDVVEKAAEGLSTEKNLKKALLQLSMIAGLNTNALKDGFTFGISNSKLSACAHLYLGIIFKIQNKKNSSAKHILQVFCNLPFQARNGLFPELWDDLFLPHLLHIKSWYDYEADSLVNAPKQSRKQKLLDKVYNETLDSSTCKYAVYYKDWLTGIEAPEPSIVVPAVSFEGVDQESPVNNSSATTLCNDFVSPNLMVSKKLYDAMFATSKNQGAPHTEIEWELENRDNCIRSSNSSNVSKHTQIYYSDTTKDLDQDTDEDSTGSTTENTSSFVSFFSISFPFISMSYIVINTLS >KGN66550 pep chromosome:ASM407v2:1:24867901:24872031:-1 gene:Csa_1G629070 transcript:KGN66550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKMSASASSSWERAQRLYEKNIELENRRRRSAQARIPSDPNAWQQIRENYEAIILEDYAFSEQHNIEYALWQLHYKRIEELRGHLTAGSNNAQGVPTRPDRISKIRLQFKTFLSEATGFYHDLILKIRAKYGLPLGFFSEDADNRMATDKDGKKSADMKKGLISCHRCLIYLGDLARYKGSYGDVDSKNREYTAASSYYLQAASLWPSSGNPHHQLAILASYSGDELVAVYRYFRSLAVDSPFSTARDNLIVAFEKNRHSHSQLSGIAKTPPKKESPLRFSGKGRKGEVKLATKDSSTEPPKESVLSPQDLFKSFCIRFVRLNGILFTRTSLETFTEVLSLVISNFSELLACGPEEELLFGTDTAENSLIIVRIVAILIFTVHNVNKETEGQTYSEIVQRAVLIQNAHLAVFELMGSILDRCSQLRDPLSSFFLPGLLVFVEWLACCPEIAANSEVDDKQATARSKFWNLCISFFNKLLSSGSVSLDDDEDDTCFFNLSKYEEGETENRLALWEDLELRGFLPLLPAQTILDFSRKHSGSDGNKEKVARIKRILAAGKALASVVKIDQEPIYYNSKVKMFCTGVEPQVPNDFVVPLSSSMIPSPGSAIQETQVEKTNNLAVSKPSSQLVLEGEEEDEVIVFKPLVAEKRMELADSYRSGYEGLLLGRNSSGGDLRSYGGVMTSSDDVYQSNGFESSSQAPVTAANINTLHWQTIQANASKWPLEQEACLVDSLQSLRLLENGNGMKSDLQNDVSMFNPAAHLMPIKQAVNNDVFYSDKMPVGALVQSRNDVPASFGGIIDPMTTGAFSSLQTGLRKNPVGRPVRHLGPPPGFNHVPTKHANDSLPGSEFRSENQVMDDYSWLDGYQLPSSTKDSANAVHLTSHMNAQQIGVSNMLSATINFPFPGKQVPNVQSPIGKQKGWPDFQVLEQLRQHNEQHLQPHQQLVNGGNQHFNSLPEQYPGQSIWTGRYFM >KGN65507 pep chromosome:ASM407v2:1:15849343:15849794:1 gene:Csa_1G433060 transcript:KGN65507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAMGLSKSSILLFWLSVLVVCVTSVAGARKTLFLDHNGVRDNKNSLTTTLAETTINRCKYSKNNDVNDLHCCECFFLSSKKMMMIDNNNGTNFEEKRVVPTGPNPLHN >KGN65433 pep chromosome:ASM407v2:1:15300819:15302019:-1 gene:Csa_1G421930 transcript:KGN65433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMRAMEQESRMESDGEDQEIGDLSFLPEGVIANVLSFTTPIDACTAAAVSRVFNAAAQSDFVWDRFLPPDWDVLISYRKSFDPLSSSKKDIFFSLCNNPVLIDDRNKSLSLDRQSGKKCIMLGARGLSLLWGDTSRYWSWDRHPRSRFAEVAVLLKAWWLELRGRISCKILSPRTTYAVYFVFKMKNCNYEGFNFYPADATVGIVGTENHGRRSVCLDPHLDNPRQWRRGFLPSPGPSVEMLGLEWPQERRDGWFQIELGEFESGDGADEVEIALMEVKGHSTKTGLIVEGFEIRPKHSWTLLKPWHCRVATRV >KGN66617 pep chromosome:ASM407v2:1:25680438:25682799:1 gene:Csa_1G650050 transcript:KGN66617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGFIHESIAHGCTKSFNSLVSRLSYQGAHHQVLQTYISMQKTHTQLDAYTFPSLFKACTNLNLFSHGLSLHQSVVVNGLSHDSYIGSSLISFYAKFGCIHLGRKVFDTMLKRNVVPWTTIIGSYSREGDIDIAFSMFKQMRESGIQPTSVTLLSLLPGISKLPLLLCLHCLIILHGFESDLALSNSMVNMYGKCGRIADARRLFQSIDCRDIVSWNSLLSAYSKIGATEEILQLLQAMKIEDIKPDKQTFCSALSASAIKGDLRLGKLVHGLMLKDGLNIDQHVESALVVLYLRCRCLDPAYKVFKSTTEKDVVMWTAMISGLVQNDCADKALGVFYQMIESNVKPSTATLASGLAACAQLGCCDIGASIHGYVLRQGIMLDIPAQNSLVTMYAKCNKLQQSCSIFNKMVEKDLVSWNAIVAGHAKNGYLSKGIFFFNEMRKSFLRPDSITVTSLLQACGSAGALCQGKWIHNFVLRSSLIPCIMTETALVDMYFKCGNLENAQKCFDCMLQRDLVAWSTLIVGYGFNGKGEIALRKYSEFLGTGMEPNHVIFISVLSACSHGGLISKGLSIYESMTKDFRMSPNLEHRACVVDLLSRAGKVDEAYSFYKMMFKEPSIVVLGMLLDACRVNGRVELGKVIARDMFELKPVDPGNFVQLANSYASMSRWDGVEKAWTQMRSLGLKKYPGWSSIEVHGTTFTFFASHNSHPKIEKIILTVKALSKNIRNLYVKNEICEDFVEYS >KGN66180 pep chromosome:ASM407v2:1:21710739:21713995:1 gene:Csa_1G574960 transcript:KGN66180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSLSSLSLFFFLKPISFFFLFLCFHHVNSQLYQQEHSVLLRLNQFWKNQAPITHWLSSNVSHCSWPEVQCTNNSVTALFFPSYNLNGTIPSFISDLKNLTYLNFQVNYFTGGFPTTLYSCLNLNYLDLSQNLLTGPIPDDVDRLSRLQFLSLGGNNFSGEIPVSISRLSELRFLHLYVNQFNGTYPSEIGNLLNLEELLLAYNSKLEPAELPSSFAQLSKLTYLWMSGSNVIGEIPEWIGNLTALVQLDLSRNNLIGKIPNSLFTLKNLSFVYLFKNKLSGEIPQRIDSKAITEYDLSENNLTGRIPAAIGDLQNLTALLLFTNRLHGEIPESIGRLPLLTDVRLFDNNLNGTIPPDFGRNLILRGFQVNSNKLTGSLPEHLCSGGQLLGLIAYQNNLSGELPKSLGNCDSLVIVDVHENNISGEIPAGLWTALNLTYAVMSNNSFTGDFPQTVSKNLARLEISNNKISGEIPSELSSFWNLTEFEASNNLLTGNIPEELTALSKLNNLLLDENQINGELPKKITSWKSLQRLKLNRNRLSGEIPDEFGYLPNLNDLDLSENQLSGSIPLSLGKLSLNFLDLSSNFLSGVIPSAFENSIFARSFLNNPNLCSNNAVLNLDGCSLRTQNSRKISSQHLALIVSLGVIVVILFVVSALFIIKIYRRNGYRADVEWKLTSFQRLNFSEANLLSGLSENNVIGSGGSGKVYRIPVNSLGETMAVKKIWNNRKSDHKLEKQFMAEVKILSSIRHNNIIKLLCCVSCDTSKLLVYEYMEKQSLDKWLHKKNSPPRITGSEPISGVALNWPTRFQIAVGAAQGLCYMHHDCSPPVIHRDLKSSNILLDSDFNAKIADFGLAKLLIKQGEPASVSAVAGSFGYIAPEYAQTPRINEKIDVFSFGVILLELATGKEALDGDADSSLAEWAWEYIKKGKPIVDALDEDVKEPQYLDEMCSVFKLGVICTSGLPTHRPNMNQALQILIGSRTSAPQNHGDKKQGE >KGN65384 pep chromosome:ASM407v2:1:14750346:14753225:-1 gene:Csa_1G397140 transcript:KGN65384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNILIQPSVAVIIASIIAFRAYRRKSLNLSGALAGFIVMSTHFAINYRYGAVLLVFFFTSSKLTKVGEEKKRVVDADFKEGGQRNWIQVVFNSGIATVLAVIIWKITGGQDKCLDSKDSALVTALIGGILGHYSCCNGDTWSSELGILSDATPRLITNFKPVRKGTNGAVTNAGLLAATAAGAVIGLTFVLLGFFTTKCDYGTTLKQLLVIPLAAVAGLCGSVIDSLLGATVQFSGFCTVRNKVVGKPGPTVKKISGLNILDNNGVNLVSVLLTTMLTSTACIYIF >KGN66268 pep chromosome:ASM407v2:1:22387642:22391943:-1 gene:Csa_1G589750 transcript:KGN66268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKGGFSNNLNLKLNLPKEDQSIATFLTQSGTFKDGDLLVNRDGVRIVSQSEVEAPPPIKPTDDQLSLADIDIIKVIGKGNGGTVQLVQHKWTAQFFALKVIQMKIEESHRKQIAQELKINQSAQCPYVVVCYQSFYDNGSIYIILEYMDGGSLADFLKKVKKIEEPYLAALCKQVLKGLSYLHHERHIIHRDLKPSNLLINHRGEVKITDFGVSAIMENTYEEANTFVGTYNYMSPERIVGEGYDNKSDIWSLGLILLECATGKFPYSPPGQDGGWVNFYELMEAIVEGEPPSAPADQFTPEFCSFISACVQTDPKNRLSARELLEHPFIKMYEDKDIDLSSYFNDAGSPLATF >KGN64235 pep chromosome:ASM407v2:1:4890367:4891197:1 gene:Csa_1G044840 transcript:KGN64235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNMSDEQLPVVKFCSQNLKSGTEEWVSTTKKVREALEEYGCFVALYDTVSAEVSSNMFDSLKELFDEVPLERKLQNVSEKPYHGYFGQNPLMPIHESMGIEHPILPTNINSFTNLMWPSRGNHSFRENVTAYANLVSELDKRVKSMVFESYGVGNALRSHMESTKYLMRMIKYRVPKEKEMNLGAFPHTDKSFLTILHQNEVNGLQIKTRDNKWIQYHPFSSSSTSSFIVMAGDAFFVSSISFY >KGN66612 pep chromosome:ASM407v2:1:25618014:25618965:1 gene:Csa_1G642550 transcript:KGN66612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNVFGNPITNSTLQAMPDYEGKVITRRDRAYVALNMKNAQGKDKDAQDYVEKLREEWGSGVATLCLIYNATGDTIKFVCEYSWHGHIGPSPYPSEIENGQWGAFLHVKTAVVPSGSAASCVYRGQNNNGQLCDWMVAWSNPYHRLFADNKAYTEIREQGHYEAPHDYWEYIFSLLNDLSHRDKWNGCLSNVSTGSDTNPLCVGIFTLTYP >KGN64745 pep chromosome:ASM407v2:1:8177494:8180086:1 gene:Csa_1G086390 transcript:KGN64745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSPHLFLLLFTLASLTAAAIAAVQCPLNFTLLRQFSQGSAISRSDECQYIRLGLRLVLSQYLKLTGSFLPPVNSSDSCWNSYQSIVNVSWPNFDIRSSCGFQTGWISQGCMNITTKSRFERIVPKSALDGVVANCNQSLESGSPCALCTTSLAIIQATFLTGPSIGNVTDCPAYPSIYTAAFVNHFGPTDWGTAKCLFSLNLPSSGNSRSKKRNTVVLVVLICCGVAAAAAAVAGGLFFWRKQGKVKKNDRIGRVETGFGSGLESISASTTLVKFTFDEIKRATRNFSRDNIIGRGGYGNVYKGVLLDDSEVALKRFKNCSAAGDAIFAHEVEVISSVRHVNLVAVRGYCIATTPMEGHQRIIVCDLMKNGSLYDHLFGFSDQRLSWPIRQKIALGTARGLAYLHYGAQPSIIHRDIKANNILLDENFEPKVADFGLAKFTPEGMTHLSTRVAGTMGYVAPEYALYGQLTERSDVYSFGVVLLELLSGRKALGVRSHDSQPFLVTDWAWSLVREQKAMDVIEEGMPELGSPEVVEKYVLIAVLCSHPQLYARPSMDQVVKMLETELSVPSIPERPIPLVADIDEIEKSMSSSGSAQHSGSVGFHSFALQNDHK >KGN66372 pep chromosome:ASM407v2:1:23104515:23105750:-1 gene:Csa_1G600120 transcript:KGN66372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNPSNRRGRGNTKKASTEEITNPKEIPIWGLQFQKAALTNGKWLRFQKEIDIPDDADTDKISSKLEQGILYVKQPKKPSATSSNIPPSQNERDEPLKPAATEPTVAPPTVGSNAPESQSEPRSWIIIPAPDFRSFRNERADTPKPAATKSTVYPPTVRPNAPKSQNDRPKSQASGKQIPTPPKPEEATGAPARIPKSGETSSMGCGQPVEDLAKKEKTEDKGKIHTKLRDALEKTRRRRRLRRGKKKLEKRSEEMGEESGRLRRREGYKQVVDGVVKELRTNMVTLALGVILYLNLSKKGHTEEEL >KGN66757 pep chromosome:ASM407v2:1:27352674:27354621:1 gene:Csa_1G679550 transcript:KGN66757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAPAEEDIPLRPRVKVSLCLGSETHLVNTANKGTLSEQLTSMKEDSMAILKEYITKHNVPNDVPDELVEEASEDDDEITEKPQVKAKKTKVT >KGN63868 pep chromosome:ASM407v2:1:2646254:2652609:-1 gene:Csa_1G025000 transcript:KGN63868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANWISSKLKAAESILQQIDQQAAESLKKGEKPPAVDYLEAAGKAGDILPLKDQLKKKNQVDNDYRRKLRSDLSLNVSRSQDNVISASSKPSPSSKSPTLTDRDWTELLGTPSTSPASRSNGASSIRGAKRESRRPSNAGSNMSVLDFKKTQNSANSNKSVGERKKLNRKASDVDESNASVLLGTSSRVDPINDKNVTHSEGQEMDKKEAAGNILVEAKSLEKREVGGNFDSKAFSSEDSMLAIKNGQSSETVANTDQTKRISDTNTILKDAQSHRESGVSGKYKSDEVSRSSISDDVRKEWTGSSTSDGSSGSDSDSGSASDSEIEREREEIRRRRQKIMAEKAAAKALEAIKEQEDLVARLEGEKQSLEKILEDRARKQAEEATELQTSMMETMEAVELEKQKHNETRREALAIMAKLETENAYLARTLASVQWNLELEGNRVAGLRQQIELKETAHEELKRRIASSHQAGTSTKPLAFKGIGFELEILEAEHSHITDKVLQLQEKGKKLEENIALMRKEMEEPTEVEVELKRRLGQMTDHLIQKQAQVEALSSEKATLLFRIEAVTRQLEESKSMNMSDISRDLESGKWELSGSKLRPMLEGKIDSGKKHLGSLILQLDAIYVAGMVFIRRNPTAKLWSVVYLVFLHLWVLYILMSHSHVDTHTNSGAVISLENINASSHM >KGN65654 pep chromosome:ASM407v2:1:17289111:17289507:-1 gene:Csa_1G479110 transcript:KGN65654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSTANNPPRRGRIMAKIGEDLATSAATAASYVVAALAGLIPDPPPPVHAPPPPPPPAGGGGGAGAGAGA >KGN64606 pep chromosome:ASM407v2:1:7145390:7147127:1 gene:Csa_1G071200 transcript:KGN64606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSNHLFFLCLIPLFFGHSIAVDVLKVEQSINDTQTIVSAAEKFELGFFTQPKSSDFKYLGIWYKGLPDYVVWVANRDNPVLNSSATLIFNTHGNLILVNQTGDVFWSSNSTTAVQYPIAQLLDTGNFILRESNSGPQNYVWQSFDYPFDTLLPGMKLGWDSKTGLNRKLISRRSQTDPSSGDLSYGVNTYGLPQLVVWKGNQTMFRGGPWYGDGFSQFRSNIANYIYNPSFEISYSINDSNNGPSRAVLDSSGSVIYYVWIGGDKKWDVAYTFTGSGCNDYELCGNFGLCSTVLVARCGCLDGFEQKSAQNSSYGCVRKDEKICREGEGFRKISDVKWPDSTKKSVRLKVGIHNCETECLNDCSCLAYGKLEAPDIGPACVTWFDKLIDVRFVRDVGTGNDLFVRVAASELERSVRKSIIVPVVVPIISVLIFLATISFYIVRNVRRRAKVAADNGVTITEDLIHENELEMPIAVIEAATNNFSISNKIGKGGFGPVYKC >KGN63902 pep chromosome:ASM407v2:1:2884199:2887901:-1 gene:Csa_1G025840 transcript:KGN63902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPFPSSSSPATGFIILIVISLEIIVSCSASTDADALLKFKSSLDISSNNDALGNWGSGGSSSSPCSGNKANWVGILCEKGNVWGLKLESMGLKGNIDIESLEGVPHLRTLSLMNNEFEGSLPDIKRLGALKSLYLSRNHFSGNIPGYFFSNMLSLKKVHLANNELEGQIPWSLVELHRLLELRLEGNKFSGQIPNFQQNTIKAFNLSNNDQLHGQIPPALSRLDPSSFSGIEGLCGAPLNKPCNASKVPSIGSIIMVSIAVTLALLAIGAGIVILSRCNQSSSNNEDPAHGKSPSANEQDQGAGVKSPDRGSSNGSVTGKRSADSAKLSFVREDSERFDLSDLLKASAEILGSGCFGSSYKAALTNGPVMVVKRFKQMNNVDREEFQEHMRRIGRLKHTNLLPLVAYYYKKEEKLLITDYIEKGSLAVHLHGHKAVGQPALDWPARLKIVKGVGKGLRYLYSELPSLITPHGHLKSSNVLIKANYEPLLSDYGLIPVVNQEHAHELMVAYKSPEYSQQGRITKKTDVWSFGLLILEILSGQFPANFLHQNKSGEEEDLASWVKSIPEKEWNTRVFDKEMGPNKSSEGEMMKLLRIAMACCESDFEKRLDLREAVEKIDEVKEKDGDEDFYSSYASEADIRSSRGLSDELNFTM >KGN64469 pep chromosome:ASM407v2:1:6305028:6310831:-1 gene:Csa_1G057050 transcript:KGN64469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGYKTDEETNDKDNSIWVLDHQMDQSMEEESRQLRNVHQEKDHSVYKILQFAFQSLGIVYGDLGTSPLYVFYNTFPDGVENTEDLIGALSLIIYSLTLITLIKYVFIVCKANDNGQGGTFALYSLLSRHANILTIPNHDLSDEKLTTYSRAVFREQSFAAKTKRRLEGHHVKKHAILVLVLVGSCMVIGDGILTPAISVLSAVEGINVGRAKISGDVAVLVAIVILIFLFSMQRYGTDKVGWLFAPVVFLWFILIGGIGIFNICKYDYTVLRAFSPVHIYRYFKRGGKDGWTSLGGIMLSITGTEALFADLSHFPVSSIQIAFTVVVFPCLLLAYTGQVAYLMKHPNYVVGAFYHSIPGTAVIIVMLVTTFLMTLIMILVWHSHWSLVLLFISLSLIVEGFYLSSVIQKVYQGGWVPLVIATTFFIIMYVWHYGTAKRYEIEMHTKVSMAWILSLGPSLGLVRVPGIGLVYTELASGVPHIFSHFITNLPAIHSVVVFVCLKCLPVHTVPEEERFLVKRIGPKNFRMFRCVARYGYKDLHTKDDDFEKKLFDSIFLFVRLESLMDGGSSDSDVSSLLDEQNETAFDYTLKAINSMCSSSTALQLADSIEIVNSPLHQNVSTIAPDRENDQTEVDELEFLVSSKNVGVVHFLGNTVMKARRDSRFCKRIAIDYIYAFLRKICRENSVMFNVPHESLLNVGQTFYV >KGN64555 pep chromosome:ASM407v2:1:6844829:6845425:1 gene:Csa_1G064770 transcript:KGN64555 gene_biotype:protein_coding transcript_biotype:protein_coding description:Harpin inducing protein 1-like 9 MTSKRGGSNSDIYIWFLQVLTILVLAFLAIWATLTPRTPTFTITNMDLKPYIRNDTALATTNSSFLAFNVTISNPNKMIGVFLDEINVTIGCNNESLIGSRSWSKSMAGFYLGHSVSDLKEVDLSVDDNELSLCRKVDYYLRVGVDTGVRYKIMWFITRHHGLVFEDYIEIGYGHGQMLGTTQMKLKLSFTNL >KGN66358 pep chromosome:ASM407v2:1:22991971:23020362:1 gene:Csa_1G599520 transcript:KGN66358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDGHKVVLACVISGSLFSVLGSASFFILWAVNWRPWRIYSWIFARKWPNILQGPQLDLLCGFLSLSAWILVISPIVVLIIWGCWLIVILGRDITGLAVVMAGTALLLAFYSIMLWWRTQWQSSRAVAILLLLAVALLCAYELCAVYVTAGSSASERYSPSGFFFGISAIALAINMLFICRMVFNGNGLDVDEYVRRAYKFAYSDCIEVGPLASLPEPPDPNELYPRQSSRASHLGLLYVGSVLVLVAYSILYGLTAKEARWLGATTSAAVIILDWNVGACLYGFQLLKSGVLALFVAGMSRVFLICFGVHYWYLGHCISYAVVASVLLGAAVMRHLSATDPFAARRDALQSTVIRLREGFRRKEPNSSSSSSDGCGSSMKRSSSVEAGHLGNVVESTSKSGPAAQCTVDGNNWNGVLCRVGSSQEGINSDKSMDSGRPSLALRSSSCRSIIQEPDAAMSFVDKSFDQNSSLVVCSSSGLDSQGCESSTSTSANQQTLDLNLALALQERLSDPRITSMLKRSSRQGDRELANLLQNKGLDPNFAMMLKEKSLDPTILALLQRSSLDADREHRDNTDITIIDSNSVDNMLPNQISLSEELRLHGLEKWLQFSRLVLHNVAGTPERAWVIFSLVFIIETIIVAIFRPKTVDIINAKHQQFEFGFAVLLLSPVVCSILAFLQSLQAEEMSMTSKPRKYGFIAWLLSTSVGLLLSFLSKSSVLLGLSLTVPLMVACLSLAIPIWIRNGYQFWIPRVQCMGSAGNQRTLGTKEGIVLVICMSLFSGSVIALGAIVSAKPLNDLRYKGWTGDDKSFSSPYATSAYLGWAMASAISLVVTGVLPIVSWFSTYRFSFSSAVSVAIFTVVLVMFCGASYLEVVKSRDDEVPTNGDFLAALLPLVCIPALLSLCSGLYKWKDDGWRLSRGVYAFLFIGLLLLLGAISAVIVVIKPWTIGAAFLLVLLMVVLAIGSVHHWASNNFYLTRTQMFLVCFLAFLLALAAFLVGWFEGKPFVGASVGYFLFLFLLAGRALTVLLSPPIVVYSPRVLPVYVYDAHADCGKNVSAAFLVLYGIALATEGWGVVASLLIYPPFAGAAVSAITLVVSFGFAVSRPCLTLKMMQDAVHFLSKETIIQAISRSATKTRNALSGTYSAPQRSASSAALLVGDPTVMRDRAGNFVLPRADVMKLRDRLRNEELVAGSFFCRLRYRRPFFHETTNDVDHRRQMCAHARILALEEAIDTEWVYMWDKFGGYLLLLLGLTAKAERVQDEVRLRLFLDSIGFSDLSAKKIKKWMPEDRRQFEIIQESYIREKEMEEEILMQRREEEGRGKERRKALLEKEERKWKEIEASLMSSIPNAGGREAAAMTAAVRAVGGDSVLEDSFARERVSSIARRIRVAQLARRALQTGILGAVCVLDDEPIGCGKHCGQVEASLCRSRKISVSIAALIQPESGPVCLFGTEYQKKICWEFLVAGSEQGIEAGQVGLRLITKGDRQSTVTKEWSISATSIADGRWHIVTMTIDADLGEATCYLDGGFDGYQTGLPLNVGDNIWEQGTEIWVGVRPPTDVDIFGRSDSEGAESKMHIMDVFLWGRSLTEDEIAALHSAISSSDFNMIDFAEDNWEWADSPSRVDDWDSDPADVDLYDRDDVDWDGQYSSGRKRRLERDGVIVDVDSFTRKFRRPRMETCEEINQRMLSVELAVKEALSARGEMHFTDEEFPPNDESLYVDPKNPPSKLQVVSEWMRPVELVKEGRLESQPCLFSEAANPSDVCQGRLGDCWFLSAVAVLTEASKISEVIITPSYNEEGIYTVRFCIQSEWVPVVVDDWIPCESPGKPAFATSRKGNELWVSILEKAYAKLHGSYEALEGGLVQDALVDLTGGAGEEIDMRSAQAQIDLASGRLWSQLLRFKREGFLLGAGSPSGSDVHISSSGIVQGHAYSLLQVREVDGHKLIQIRNPWANEVEWNGPWADTSPEWTDRMKHKLKHIPQSKDGIFWMSWQDFQIHFRSIYVCRIYPPEMRYSVHGQWRGYSAGGCQDYDTWHQNPQFRLRASGPDASYPVHVFITLTQGVSFSRTAAGFRNYQSSHDSMMFYIGMRILKTRGRRAAYNIYLHESVGGTDYVNSREISCEMVLEPDPKGYTIVPTTIHPGEEAPFVLSVFTKASITLDVL >KGN66040 pep chromosome:ASM407v2:1:20841763:20844241:-1 gene:Csa_1G569180 transcript:KGN66040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSSSSTGNENTKKRPVSSEEEAQEVEAEGSKRSCPNSSDHLPTGSGFLTPRSFKATLTIEFGPENPQEETIRLDSLPPLQSYGPEINLLQSVVGIFGDSLKGIVVNKEPTLGKKPLQQVIKDLNKNLNELVQSLQSVPQTVSVEDDNNNLAGTQQLANSNHNLEVHNHQFQSVEDDNNNFVGIEQLANSNHNCEVYVDQNQNVETNHFEDGEVEANGSYQNFEVPQQSQNLHEEKQYGDSCSEEWSLDEVLAEIC >KGN64407 pep chromosome:ASM407v2:1:5929318:5931662:-1 gene:Csa_1G050460 transcript:KGN64407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNPVEQTREGGEEEEDPKPSDVNPNDHGDQSQEWEIMARAWLCSFPEAKAGSMEEVEAWIDSNHASLPGNLKSMPRSDLCQRLISIQNLMRLSTQGKEDIHKQQDEGDQGDLPHARFQRTDQWIPVYSWLESLQQDEVVKSKEISDWLTENPTIRDQLCSRHSRYHLMHYIKKCHLKILKRKEKKKGSQLPDKSPLKVHKDVFMKPALPPRDSFSDLPKDSDIYLTKRKEAFRKYEILVELEKLLASKFSKSQGVNN >KGN66881 pep chromosome:ASM407v2:1:28561668:28562981:-1 gene:Csa_1G703050 transcript:KGN66881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAMKAMKAMKAMKAMKAMKAMKAMKAMKAMKAMKAMKAMKAMKAMKAMKAMKAMKAMKAMKAMKAMKAMKAMKAMKAMKAMKAMKAMKAMKAMKAMKAMKAMKAMKAMKAMSSLKQMKAMKAMKEKMIEIKALMSSYLKKQAEPSEELSNATASVLIKRINIPLTCPMSSPSI >KGN64151 pep chromosome:ASM407v2:1:4385462:4389338:-1 gene:Csa_1G042610 transcript:KGN64151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLYLFRFDLSSIGRSENPGRHLHRFRGQGHLEPSWVLRRRNVVAVKSVKAFYGGAYGLNDNKTGLICTADELHYVSVPNSDWKLALWRYPPSLRAPSRNHPLLLLSGVGSNALGYDLSPESSFARYMSNQGYDTWILEVRGLGLSTTEKIESETLEKQPLVKASVYENSEGSNVSSRDGQTSNIATQLGQWNKNLINIIDGAQQLGPFQPFVQGVTSALEEFQEQLDVYEKYDWDFDHYLEEDVPAAMEYIRNQSKPNDGKLLAIGHSMGGILLYAMISRCSFKKVDPQLASVVTLASSLDYRPSNSSLRLLLPLKDPAQNFNVPVFPIGPLLVIAHPLASRPPYVLAWLKDQVSVEDMLHPTLLEKLVLNGFGSVPAKVLLQLSSVFEKGGLRDRNGTFQYKDHLRQGNVPILALAGDQDLICPPEAVYETVKEIPRQLVSYKVLGKPGGPHYAHYDIVGSRLASSEVYPLVADFLNRHDMV >KGN66628 pep chromosome:ASM407v2:1:25763616:25767588:1 gene:Csa_1G651130 transcript:KGN66628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFNSKMGCCWFLRCIVVLVLLLSVVCSVKAIGANWGTQSSHPLPPETVVGMLRDNQIQKVKLFDADYGTLRALGKTGIEVMVGIPNDMLSTFASNEKAAEKWVSKNVSVHISENNVNIRYVAVGNEPFLATYNGSFLSTTFPALRNVQKALIKANLGNQVKVTCPLNADVYASTTTFPSGGDFRSDIHDLMLDIVKFLSDSGSPFTVNIYPFISLYSDPNFPVEYAFFDGNASPIVDGQTTYFNMFDANYDTLVWALQKNGFGNLPIIVGEIGWPTDGDRNANPIYAQRFNQGFMSHILGGKGTPMRPGPIDTYLFSLIDEDAKSIDPGNFERHWGIFYYDGRPKYQLSLGNSNGTLVGARGVHYLERKWCVMKPSAHLEDSQVAPSVSYACYHADCTSLGYGTSCSGLDARSNISYAFNSYYQRNNQAEDACKFSGLSTVTNNDPSFGSCRFDIMIEPYYGGAEGRSGFCFTRLLMLLFGFVLVMLTAL >KGN65634 pep chromosome:ASM407v2:1:17045859:17049973:1 gene:Csa_1G472480 transcript:KGN65634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLTDSHTPSSANSRQVPIREDCWSEDATSTLIDAWGRRFLDLNRGNLRQKDWQDVADSVNSLHGLTKKTHRTDVQCKNRIDTVKKKYKTERARVSASHGNFVSSWPFYARLDELIGPTVSMKKPSSPPLALPLPFRKTPPPSAAASSAIVAVSQKRPAVAMEDVSFRRNYSAAAAAAAAVALSEEEEEEEEEEEEEEEEEEEEERVSDDDEAEGEGMSRLARAIKRFGEVYERVEAEKVRQMVELEKQRMQFAKDLELQRMHMFMETQVQLERIKRGKKSTPSGLENLFSRFNVASSMTMFGLGMFGEDVSKLAKANNPFRTPCREVGQTANLRRAILSSTTKN >KGN63615 pep chromosome:ASM407v2:1:1154673:1155747:1 gene:Csa_1G005760 transcript:KGN63615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGKAAERFTLWKAMEMHLTHPTGYTTSTCKYLRALTNESGLQPPFPSTQMANDTIYLPYVLYVITGKMTASKILFLKGKRRLKLKF >KGN64560 pep chromosome:ASM407v2:1:6870483:6872518:1 gene:Csa_1G064820 transcript:KGN64560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNFLTFIFLLTLLTHAYAQNQASYPYRRCLTGNFTQNSTYHANLNLLFSTLSTNGPPANRFFKSSVGRSPNDTVYGLFQCRGDVTNATCRTFLATATRDAVRLYCPIAKGAVIWYDEIIFHYSDQPFFSIITSTPNLALLNSAEIDVDKIRFNQLVMSTLRATAALAASSVGELFATQMANFTQDRNIYTLAQCTDDLSNTDCVECLRQATSGIPGCCTDKLGGRVLLPSCFVRYEVYSFYEISIPPPATPTPNSTIPTRPLLPSSSPPPGERRSSTVLIVAIVAPITVSILLFFVGCCFLRQRAKRRNSAVKEDSVVNEMTTADSLQFDFKKIEAATNKFSEENKLGEGGFGSVFKGMLEDGQEIAVKRLSRGSLQDEDMNAKISDFGMARIVQMDQSQGNTSRIVGT >KGN66427 pep chromosome:ASM407v2:1:23595945:23599873:-1 gene:Csa_1G604912 transcript:KGN66427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAISKSSFSTTQEELAMITSEGDEATAILISHDAVMGRSPATHASLSSWVDDDVLYGILMGCVVEKDKFDAAQFSPFWNEIIANLREEDYITNLEMELLQMPKNKGNLPMVQWPLFLLASKIFLAKDIAVERRDSQDELWERITRDDYMKYAVVECYHAIKLILTEVLVGEGRMWVERVFEDIRESIENNSNDSFLNNFELSKLPLVITRLTALTGILKETETSELEKGAVKAVQDLYDVVHHDILVGDKRSES >KGN66095 pep chromosome:ASM407v2:1:21130424:21132392:1 gene:Csa_1G570200 transcript:KGN66095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTTTDKASSTMAAVSKKVLITGVSKGIGRALALELATYGHTVIGCSRDQTKLDSLQLQLSKVSPIVNHLLLSVDVKCNRSVEEFARTVVENELVPDIIVNNAGVVNKVGNMWEIDVQDFDTVIDINIKGTSNILRHFIPLMIPHNQGIIVNISSLCGRDNNQYKSVAPYCASKWGIEGLSKCIAQELPKGMAIVALDPGVIYTDMLESCWGDLASRCQTPEYWYVLFLTWFDNVSISCVYI >KGN65052 pep chromosome:ASM407v2:1:11241452:11243871:-1 gene:Csa_1G185100 transcript:KGN65052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKILANLIVMGSGILARAFVQAYRQALANASKSGVAQETMQNTVRRASKVMTEQEARQILGVTEETPWEEVAKKYDALFERNAQTGSFYLQSKVHRAKERLETLYQNKGQDAPS >KGN63990 pep chromosome:ASM407v2:1:3519582:3521930:1 gene:Csa_1G033100 transcript:KGN63990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRALQPKHVAAVIRYQNDPLNALKMFNQVKTEDGFKHTLETYKCMIEKLGLHGKFEAMEDVLAEMRKNVDSKMLEGVYIGIMRDYGRKGKVQEAVNVFERMDFYDCEPSVQSYNAIMNILVEYGYFSQAHKVYMRMKDIGIYPDVYTHTIRMKSFCITGRPTAALRLLNNMPGQGCEFNAVSYCAVISGFYKENCQIEAYHLFDEMLKQGICPDILTFNKLIHVLCKKGNVQESEKLFSKVMKRGVCPNLFTFNIFIQGLCRKGAIDEAARLLESIVSEGLTPDVISYNTLICGFCKHSKLVEAECYLHKMVNSGVEPNEFTYNTIINGFCKAGMMQNADKILRDAMFKGFIPDEFTYSSLINGLCNDGDMNRAMAVFYEAMEKGFKHSIILYNTLVKGLSKQGLVLQALQLMKDMMEHGCSPDIWTYNLVVNGNGELDKAYELFVTIEKEYKFSYSTAIFNIMINAFCEKLNVSMAEKLFHKMGGSDCAPDNYTYRVMIDSYCKTGNIDLAHTFLLENISKGLVPSFTTCGKVLNCLCVTHRLSEAVVIINLMVQNGIVPEEVNSIFEADKKEVAAPKIVVEYLLKKSHITYYSYELLYDGIRNRKLDNKKFKRSTSLVSGKRVANHHRHI >KGN65643 pep chromosome:ASM407v2:1:17169931:17170739:-1 gene:Csa_1G477530 transcript:KGN65643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEENNSSSESVSNPQVMYRCKKCRRIVATQESIITHERGKGESCFKWNKRSGNSQGIENKPADCTSIFVEPMKWMETLQDGHVEEKLVCIGCKARLGSFNWAGMQCSCGAWGMLIMGKEILNIRYLRINTDAVIVLEALAYGVQPIIRTSAKKQGG >KGN63646 pep chromosome:ASM407v2:1:1331491:1337977:1 gene:Csa_1G008520 transcript:KGN63646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKGEKPEVLEAVLKETVDLENIPIEEVFDNLRCSKEGLTTAAAEERLVIFGHNKLEEKKESKVLKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDFVGIIALLLINSTISFIEENNAGNAAAALMASLAPQAKSALTGESLPVTKGPGDGIYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDTTNQVGHFQKVFAKGVDVDTVVLMAARASRTENQDAIDTAIVGMLADPKEVSLTCTCFVARIHIICNYPEYNHEGKMHRVSKGAPEQILNLAHNKSEIERKVHAVIDKFAERGLRSLAVAYQEVPDGRKESAGGPWQFVGLLPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQDKDESIAALPVDELIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLALIWKFDFPPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLAEIFTTGIILGGYLAMMTVIFFWVAYKTNFFPRIFGVATLEKTAHDDIRKLASAVYLQVSTISQALIFVTRSRSWSFVERPGLLLVAAFLVAQLIATLIAVYANWGFAAIEGIGWGWAGVIWLYNIIFYIPLDLIKFFIRYALSGRAWDLVIEQRIAFTRQKDFGKEQRELQWAHAQRTLHGLQAPDAKMFHDRTHFTELNQMAEEAKRRAEIARLRELHTLKGHVESVVRLKGLDIDTIQQAYTV >KGN66721 pep chromosome:ASM407v2:1:26873515:26878671:-1 gene:Csa_1G665330 transcript:KGN66721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELHQKVLYETRRHASRPFPSNYPPHKANEGAKGSLLSLFRIGGRLKDKWIGYNHTQRIRRLVSLFISPNGERVAVAVGNLITILRKEDDYLEPFGIFLDTSITSFTMGAWSESCNILGVIDDTDTIYFIKSNGEEISRVTGKRLKVSLPIIGLIAKENSDTQRSYLCTFIIVASDGSIRQMEISKDPTISFLSAHTNSGLTTKSQFPNKVFCFDYSPELSLFLIVGSFSTSIPSGRNSGSCYLTLWRSGVFDLELLYSIQFDGLYFIPKGYEGQTSYSKLQVSPKAQFIATLDVTGQLFIFNLQREHFTISSFSFPGKHKSKSTDKTLNETNRIFYDILDFTWWSDHILTVARRGGLVVMIDILSGINVQEDSPMYSRPIVERGQQLEGQNFLLECLENKGISDPTKYKDHGELHDMDQRMEESINNLDISRLEWSLLSLTQRSVLEMYSILIRNQKYRDALIFSDSYGLDKDEILKSQWLHSNQGTNEMNAYLSKIKDQVFVLSECIEKVGPTEDTVKAMLDYGLKLTNRYQFLDVEDLESNEIWSFRLARLRLLQFKDRLETYLGINMGRFSVQEYSSFRMKPIKEAAINLAKNGKIGALNLLFKRHTYSMSPFLLEILSAIPETVPVQTYLQLLPGRSPPTSIAVREEDWVECQKMLNFIMKLPENHELSSQIKTEPIVKKYRGLIWPSISELAMWFMKRARDIDTLSGQLDNCLCLLDYANQKGIHELQEFYVDVSYLHQLIYSEGSDENICINLVSWEQLSSYEKFKLMLKGTNEESVIRRLVEKAVPFMRKRSADMTSVTKGQQEESDFLENLDMTESFLVKWMKELASENKLEICLLVVDEGCRDFETSEFFRNEGEAVDCALQCIYLSTVTDRWSTMADILSKLPQMQGDLTSLMSYSYSIMQVLC >KGN66189 pep chromosome:ASM407v2:1:21777162:21784265:1 gene:Csa_1G575050 transcript:KGN66189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEEDNVGNIKRQLAKIFDVSLRATVPDETDVEPLVAACAGKFGDYQCNNAMGLWSKIKGKGTQFRGPPSVGQTIMKNLPPSEIIESSSVAGPGFVNVVISKNWIAKTIQNMLIDGIDTWSPRLPFKRAVVDFSSPNIAKEMHVGHLRSTIIGDTLARMLEFSNVDVLRRNHVGDWGTQFGMLIEFLFEKFPNWEEVTETAIGDLQTFYKASKQRFDSDPAFKEKAQQAVVLLQGGEPKYRKAWAQICEISRNEFNRVYERLGVQLEEKGESFYNPYIPNVIKELTDKGLVEESQGARVIFIDGINIPLIVVKSDGGFNYASTDLAAIWYRLNEEKAEWIIYVTDVGQQQHFDMVFRAGKRAGWLPLEDNKYPKTTHVGFGLVLGEDGKRFRTRNTEVVRLVDLLDEAKNRSKAALIEREKAAEWTEAELEQIAEAVGYGAVKYADLKNNRLTNYTFNFDQMLNDKGNTAVYLLYAHARICSIIRKSGKNIEELKKTGTIVLEHEDERVLGLHLLQFAEVVEEACTNLLPNVLCEYLYNLSEIFTKKFYSNCQVVGSAQETSRLLLCEATGTVMRKCFHLLGIVPVYKI >KGN66088 pep chromosome:ASM407v2:1:21079867:21080439:-1 gene:Csa_1G570130 transcript:KGN66088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGKRLDDPTCWRSNMKDKEELASTIYGGSNYWPYRMKLTTDQLRWDSLKISEPKEFEELLSKNMNEGSYRDLAEMIPIEVSIYDVDICETFKVKLVKKEAFWFEPLPLVGEKSKKDKLCSHATAKSSGKSHKEKKEFVYSIEPFRHIIKKRGLDYQQEIGFRWSGSKSMDRFDFSVLSSPRLSLNNLMI >KGN63437 pep chromosome:ASM407v2:1:71694:88941:-1 gene:Csa_1G000610 transcript:KGN63437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKHHHLQHQEIKDLDLDLGPDESDLAPLPPTVTSRVLHMLGDIAAGPAYRFSQWLELVRKRSGKHRASAFHNRPHPPFDMPFGAEELVNQSINLPAPEQSTETNLWDRLAKASVLDIESSSFSWDRLLSLHRTEHSSSTEHSEDEMNKALEVTVNSGGVVFFALFNQLGYDDNLPKEAAAVIKISSSRMATQSERLGYEFAKWLGVQTPQARVIHNSSTEWLQIKEAAEKARDIACLEGDEVGEMTCSELLEALEFSRCLFLMSYVHGSPLLESSSAFESREIAEKLAAAIGRILLLDLVIRNEDRLPCRLLRWRGNSANLLLADRMACANMNAFEEALDSAIKRYKPKVITTLQKERRGTLVDNRSSSNSGLISEIPELCDIVESPKSSNLSVKSQISDDTMPCDFNIVAIDSGVPRRPPAGKRANDQSIYPKLVELLLNSSEFSSNLLHDITGGKLGFPSSTDANSTCGMQPSEMSYIVHEFRYGFRAALSDLQGFHIFLLTLHQKLDNSLRAFMNIINKFPLGESDKEDTGIPESHLQGSTTLHCLSPRCKERASSDGNMDGTESVPRSSSSGNKESSDLSSPLSRDSSQGKLYKGSAEPIHSLRLTAKLRDFHKFAKVDAESNKELDMWNEVLKNEAVKLCQENKFNTGFFEGSDSHGVVDAYELKVRLEHILERIALISEAANTERPSSVTPALFIGGALAARSVYTLQHLGVTHILCLCSNEIGQSDSQFPDLFEYKNFSISDDEDSNISSIFEEASDFIDGVEREGGKVLVHCFEGKSRSATLVLAYLMLRKKYTLVKAWNSLKRVHRRAQPNDGFAKTLLELDRRIHGKVSMEWQSRKPTMKVCPICGKNAGLSSSSLKLHLQKSHKKLSSGSVDSAMTMEIQKALTALRISRGGSVSPTQRQSLLILDE >KGN66566 pep chromosome:ASM407v2:1:25006644:25007183:1 gene:Csa_1G629720 transcript:KGN66566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGNSKPSQLRITNYELCMIFDNKEKTEGWSIVEKHDKDYTLNNHNHTESQVGISDDDAGGGNGSSGSDSTEASSQQTGTRPSSSSHSRKSLKRRCSDDLIVQIVSVMAANVARIADALSDRPTCLDQVFDVVQTMPGLDEDLILDACEFLSFDEKRAVMFMNLDERLRKKWLLKKLRS >KGN64022 pep chromosome:ASM407v2:1:3647870:3648977:1 gene:Csa_1G038890 transcript:KGN64022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKTNSIIRRSIFCFLQKYQYFTSVSALYAFPFSVALLLSQTFVFTSSISLLDNIYYHMKIVFDAAAFPSSLEFFIQKLSQTIFSSIFTIPFTLTFLLIAKASVIQALKETKSTSQPSFSSIKSLYSPIFLTNICNSIFILSANATVFSILFFAFACLQEFGFSSSTHFLYLSAAGAVLYSIVLANTLVISNLSLVLSGMEKLGGYLAILKACVVIRGKTSTALLLALPTNLAMAAIEALFQYRVVRAYNGVGILSLSMLFEGVIIAYLYSVFIVLDTTVCCMFFMNCKKVFWVDLEGRQALQIESAEEHNGDYMDSKVEQNLHSTS >KGN64427 pep chromosome:ASM407v2:1:6049672:6051400:1 gene:Csa_1G051650 transcript:KGN64427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELIKSFLNLVSPPITFVSLGFFLPPYVVLKYFLYLVRGIFTEDVAGKVVLITGASSGIGEHLAYQYAQRGARLALVDRRKSPLHEVADIARYYGSPDVITIPADVSKLQDSRRIIYDTINHFGRLDHLVNNAGVANMTLFEEMKDITSFNQIMDTNYWGSVYTTQFAIPYLRNNRGKIIATKAALKSMFETLRVELAPDIGITIVTPGFVESELTKGKALYSHGAMEVHQDVRDALIGAIPVETVEACAKAIVRSVCRGDRYLTEPSWYNSVYYLKVFCPEVLEWCYRIFMYTRPGSSVAEAPSKKLLDATGAKNVMYPPSIHSAEIEDD >KGN66104 pep chromosome:ASM407v2:1:21199547:21200268:1 gene:Csa_1G570780 transcript:KGN66104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNRQSKFQFFDIFYQIFHFIMRILSSKAREIVTLGNSVPHEPNASSHELTTSSNSEHSTSSLTNDHLKETNKSQELATTLKSDHSDSPLTNDHLKEPKEIDLRKENDKKSDDFVSLPSTTKRAPKKMVSINERVEDIDSIMKERRKKKGIKSKSFDFDNEYDASLKPIRSILKVGSIKDTLDLSKTP >KGN66867 pep chromosome:ASM407v2:1:28436954:28444126:-1 gene:Csa_1G701920 transcript:KGN66867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLISGSVVNNIYLQPRCCGSNYRWTVKCANSEVVEKSRRDGLYVDKRGKFRTFNRKRLSRKRCGSLRGRGWKYGSGFVDGIFPVLGPLAQQIMEFVREEVDYMGLWDSLDTLPATNSTWDDIISVAVQLRLNKKWGPIILICEWIMNKSTFRPDVIVYNLLMDAYGQRSLYKDVESTYLELLESHCIPTEDTYALLLKAYCKSGLLEKAEAVFAEMRKYGLSPSAIVYNAYIDGLVKGGNNVKAVEIFHRMKRDGCQPTTDTYTMLINVYGKESKSHMAQNIFDEMRTQRCKPNICTFTALVNALAREGLCEKAEEIFEQMQEAGYEPDVYAYNALMESYSRAGFPYGAAEIFSLMQHMGCEPDRASYNIMVDAYGRAGLHEDAQAVFEEMKRIGITPTMKSHMLLLSAYSSAGNVAKCEDIIGQMHKSGLKPDTFVMNSMLNLYGRLGQFGKMEDLFSTMQKGPCRADISTYNILINVYGRAGFVERMEELFQLLPAKNLEPDVVTWTSRIGAYSRKKLYKRCLELFEKMIDAGCYPDGGTAKVLLSACSSEEQIEQVTNVVRTMHKDLKTLLPV >KGN65160 pep chromosome:ASM407v2:1:12524959:12528272:1 gene:Csa_1G256200 transcript:KGN65160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDEEHHFDSKADAGASKTFPQQAGAIRKNGYIVIKNRPCKVVEVSTSKTGKHGHAKCHFVAIDIFNGKKLEDIVPSSHNCDVPHVNRTDYQLIDISEDGFVSLLTENGNTKDDLRLPTDDNLLTQLKDGFAEGKDLVLTVMSAMGEEQICALKDIGPKN >KGN65218 pep chromosome:ASM407v2:1:12880288:12889325:1 gene:Csa_1G266150 transcript:KGN65218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKPVIASGRTRLIQPEEDRTRHQICLLMEGFYSIGSVRPPFLLEGNSRAKGKSGSKSDTLDRKKIASSSGTKQSNGYAIGYEYPSAPNQEDLHSESRVLQNDAERPKDDSQPFILLNSKSNQIVAYVDENPPLMADNLEFTYDYGTSFVLGESSHRGLGFHDDDEHVTKQNTDDDSATQVEEQGELCTRSLSSGKETGTDERVDGRVGVETANEMVAEASPSNKYSEDMSSPRNSGFLSIGGVRLYTQDVSDEGSDDDGESSDGSSEYSEPLESDESSEDDSSVEMSCSGSDIDDEVAEDYLEGVGGSENILKSKWLVKQELLESGDDSSSSSLDDTLKKLGGIALQEASKEYGMIKTPSRNKRSVVSRDHWSALALDDMLVKNTRSTSARKQKNALQFARSWPPKASTSKATRKYPGEKKKYRKEAIAAKRRERMLNRGVDLMQINLKLEHMVLNKEDMYAFQPMHPRDCSQVRRLAAIYRLHNGCQGSGKKRFVTVTRTQHTGMPSASDQVRLEKLIGARDEDNDFSVAEGSNIKSRGGNRSREKKKTKVHGLNTLEFDQSVSSKSRSKGSAGKGSSQKMTGKKYADQPVSFVSSGVMQPESMEEKPVNDVNDADKGKDIVAVSEMIEMTTSNVNNMDISRDSIGSFELHTKGFGSKMMAKMGFVEGGGLGKDGQGMTHPIEVMKRPKSLGLGIEFSEASTSAAGDNQASGISSARTGSSALEKTKKIGAFEVHTKGFGSKMMAKMGFVEGMGLGKDSQGIINPLLPVRRPKARGLGAKS >KGN66856 pep chromosome:ASM407v2:1:28326385:28336117:1 gene:Csa_1G701320 transcript:KGN66856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLQDIGVSAAINILSALIFLLVFAVLRLQPFNDRVYFSKWYLKGLRSSPTHAGAFVRRFVNLDFRSYLKFLNWMPEAIRMPEPELIDHAGLDSAVYLRIYLIGLKIFVPIAFLAWAVLVPVNYTDDNVSIAKVTINVTASDIDKLSISNIPAKSQRFWSHLVMAYAFTVWTCYVLMKEYEKVASLRLQFLASEKRRPDQFTVLVRNVPPDPDESVTELVEHFFLVNHPDHYLTHQVVRDANELAKLVKKKKKAQNWLDFYQLKYSRNSTVRPLMKTGFLGLWGKKVDAIEFQTAEIEKLSIEIASERKRISNDPKSIMPAAFVSFKSRWGAAVCAQTQQSRNPTLWLTEWAPEPRDVYWENLAIPYVSLTVRKLIMGVAFFFLTFFFMIPISFVQSLASIEGIEKLLPALKPIIEGDFVKSFVQGFLPGIVLKIFLIFLPTILMIMAKFEGFTSLSSLERRAAARYYIFNFVNVFLGSVIAGAAFEQLSSFIKQSADQIPKTIGVAIPMKATFFITYIMVDGWAGIAGEILMLKPLVMFHLKNFFLVKTEKDREEAMNPGSLGFNTGEPRIQLYFLLGLVYATVTPLLLPFIIVFFALGFVVFRHQIINVYNQEYESAAAFWPDVHGRIIYALIFSQVILMGLLSTKKAAQSTPFLLALPVITISFHLYCKGRYEPAFIRYPIQEAMMKDTLERAREPNLNLKGYLHAAYAHPVIKESEEDDEVESNEAFETESVLVATKRQSRRNTPLPSKASAPSSPSLPEVQRNNHQP >KGN64595 pep chromosome:ASM407v2:1:7072165:7075592:-1 gene:Csa_1G070600 transcript:KGN64595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPLPPKPAVFFISLFLVIFVGTRFSIAIDTSNSTIQIIKDGDHLVSTNKNFTLGFFSLNNSTTPRYVGIWYSQIPQLTLVWVANRNQPLNHTSGTFALDPHGNVVLFTPSQTISLWSTNTTIQSNDDVSIELQNTGNLALIERHSQKVIWQSFDYPSHVFLPYMKLGLNRQTGFSWFLTSWKALDDPGTGNFSCKIDPTGYPQLILYNGNVPRWRVGSWTGEKWSGVPEMRRSFIFNTTYIDNTQEISIMDGVTTDTVLTSMTLDESGLLHRSTWSEQDNKWIDYWWAPTEWCDTYNRCDPNTNCDQYDTEQFYCKCLPGFEPRSNQSWLLSNPSGGCIRKRPNAMCRSGEGFVTVSRVKVPDTSMASADLSMSLEACAQACLNDCNCTAYASANELTRSGCLMWHGDLIDTRTFANTGQDLHVRVDAIELAQYTQNSNRPSTKKVIVIVVVSVVALVLLVTSLIYLWKLARKRRERSTSLSYDLGNTLNPNEFDESRTNSDLPIYDFLTIAKATDAFSLNNKLGKGGFGAVYKGKLTNGAEIAVKSNILLDVDLNPKIADFGLARIFGQDQIQANTDRIVGTYIRKDINVSNLLYISSKRININKKFPVSLAFICLIYIKENNGIYVSFTTFKVWELWKLDNAMELVDSSLEGSSFEYEITRCLQIGLLCVQEDPTDRPTMSTVVFMLENEANLPCPKKPAFILKRKISEGDPSTSTKSSTEGVNSVNDLTISVLAAR >KGN63642 pep chromosome:ASM407v2:1:1297918:1307476:1 gene:Csa_1G008480 transcript:KGN63642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIEGKAHESTRRARVRALPAHAMKSTQKLTWRPARDDKREKKKKEKKKKTLFHQISPFKNPSPPSQFLPSQCQISLPLLTWASHSPSPLPYMEGAAADSSDSSLHHDLPFTPPEAAEDNYYSDSELFRVSLTEAPILLLIKFHQALRLEVADLRRVTLAAAESGGYGGEFVSGLIRRVEFLKLAYKYHCAAEDEVVFPALDLHTKNVISTYSLEHESLDGLFTSISKLCEDINGENKDISKPFQELIFCLGTIQTTICQHMIKEEQQVFPLLMKEFSAREQASLVWQFICSVPMILLEELLPWMMSFLPADQQSEVVNCLRDVVPNEKLLQEVIMSWLGSTEKPWRDVEVEDIKLQSSQENGQSPVDSLHIWHGAIMKDLKEVLKCLFQVKSCTSTALSNLDTLLVQIKFLADVILFYRKASEKFFCPVFNQRSDVCLTTSDQSFLSDGHIEGLQQLLQHGAQDTIPLSIFLEKLCWDMESFVIRVSKQFTFQETKVLPVIRKSCSHKTQQQLLYLSLRTLPLGLLKCIITWFSAHLSEEELRSVLQAKSEGNFQVNNALVALLHDWFRIGYSGKTSVEQFGQDLQQIFKTRSYILDKQVEQMKEVAGTSSLSSNAQFYKGENSEEMGLLSTNKDKSFMSNSSPTVSCTAPAYGTSYSSGINLQIHFPGTVKVPCPYTKHLYEGRPHSAFNQPKPIDLIFFFHKALKKELDYFVLGSAKLVEHVGILTEFRRRFQLVKFLYQIHTDAEDQIAFPALEKKGKFQNISYSYTIDHKLEVHQFSKISFVLSEMSELHSSNFYVNADRKIFSHRQLCLELHDMCKSLHKSLSDHVDREEIELWPLFREFFTIDEQETLIGAIFGRTKAEILQDMIPWQMSYLTPSDQHDMMSMFHKVTRNTMFNEWLREWWEGYDHENVAAEVKTITPLLTSDPLEIISKYLSKEVTDVCEGNLFGKTISSTQKEHQFHVTNADKTEMFILNDEAKDFDGDQHDETFEESTKLVSHGVGDRDADGITEHETEKEQPDEGKKSSQNDHLLTISQEELEAVIRRVSRDSSLDSKSKSHLIQNLLMSRWIAKHHSQVEINITSENQGYAGQYPSYRDSLKKEFGCKHYKRNCKLLAPCCNQLYTCIHCHDEATDHSLDRKTITKMMCMNCLVVQPIRKTCSTLSCGNLSMGKYFCKICKLFDDSRDIYHCPYCNLCRVGKGLGIDYFHCMNCNACMSRALSVHICREKCLEDNCPICHEYIFTSTLPVKSLPCGHLMHSACFQEYTYTHYTCPICSKSLGDMQVYFKMLDAFLAEEKIPEEYSGKTQVILCNDCEKRGTAPFHWLYHKCSYCGSYNTRVL >KGN65032 pep chromosome:ASM407v2:1:11074181:11075766:-1 gene:Csa_1G181450 transcript:KGN65032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSTHLIILSLSFLLLFIITPTISGDVSFDEEWCIADEQVPDDELQRALDWACGKGGADCRNIQMKQPCFYPNTVRDHASYAFNSYYQKFKHKGATCYFNSAAMVTSLDPSHGSCKFEYVP >KGN64393 pep chromosome:ASM407v2:1:5860408:5861074:-1 gene:Csa_1G050320 transcript:KGN64393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKNRLLKALGAPKQARIYTAGGEPFGGKKALQPLIAEFPNIVTKYTLSREGELSPFINKSSAMAAIDYIVSLSSDVFMPSHGGNMGRAMQGHRAYVGHRKYIKPNKRAMLEYFDDASISETELGTIVRKLHKGCMGKPERRTKRKDRDIIAYPMPECMCQS >KGN66325 pep chromosome:ASM407v2:1:22703901:22705082:-1 gene:Csa_1G597720 transcript:KGN66325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAKGQRPVIGRLSELLVSRCRPAFLDTGAPSPTSHFDLSPSPTPTTLKNYSAAVGLGIVAALDTSTSAVRGCEILVKHVVCSPKLNSPRRPAPIPITFSPEQDDEEEELDNLEENYTYVTTRGPFQSSTRVYYDGGLVVSEKSPPTPVPSDITPPRRTPDFLRSCHLCDRNLEGKDIYMYRGEMAFCSTECRSSQIMKDEKKERCRSEARSGTETVVSESPYNRGIFSTGILAI >KGN66125 pep chromosome:ASM407v2:1:21337914:21339005:-1 gene:Csa_1G572950 transcript:KGN66125 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2A MSSTGGSTKGGRGKPKATKSVSRSHKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLSAVLEYLAAEVLELAGNAARDNKKNRIVPRHIQLAVRNDEELSKLLGSVTIANGGVLPNIHQTLLPKKVGKDKGDIGSASQEF >KGN64731 pep chromosome:ASM407v2:1:8024949:8031768:-1 gene:Csa_1G084300 transcript:KGN64731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAGTLPSHLSGWKGGNVNLGFSKRLESVKVFDLKSPKSRGRKVLIIRNSNPGSDIAELQPASEGSSLLVPRQKYCESINKTVRRKTRTVMVGNVALGSEHPIRIQTMTTTDTKDVAGTVEQVMRIADKGADIVRITVQGKKEADACFEIKNTLVQKNYNIPLVADIHFAPSVALRVAECFDKIRVNPGNFADRRAQFEQLEYTEEDYQKELEHIEEVFTPLVEKCKKYGRAMRIGTNHGSLSDRIMSYFGDSPRGMVESAFEFARICRKLDYHNFVFSMKASNPVVMVQAYRLLVAEMYVQGWDYPLHLGVTEAGEGEDGRMKSAIGIGTLLQDGLGDTIRVSLTEPPEEEIDPCRRLATLGMKAAELQQGVVPYEEKHRHYFDFQRRSGQLPIQKEGEEVDYRGVLHRDGSVLISVSLDQLKMPELLYKSLAAKLVVGMPFKDLATVDSILLRELPSVDDADARLALKRLIDISMGVITPLSEQLTKPLPNAMVLVNLKELSSGAYKLLPDGTRLVVSVRGDESYNDLDILKETDATMLFHDLPYSEDKVSRVHAARRLFEYLSENGLTFPVIHHIQFPNGVHRDDLVIGAGSNAGALLVDGLGDGVLLEAPDKDFDFIRNTSFNLLQGCRMRNTKTEYVSCPSCGRTLFDLQEISAEIREKTSHLPGVSIAIMGCIVNGPGEMADADFGYVGGSPGKIDLYVGKTVVKRGIAMENATEALIQLIKDNGRWAEPPTEE >KGN64777 pep chromosome:ASM407v2:1:8476530:8477842:-1 gene:Csa_1G096110 transcript:KGN64777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRHPEELRVLYHLLYVSIILALFLLFLTVSQKQAAFSSAGYASGAAVIIGLLLMPLLIAVREELMLFKLNGQTDKNSSPAVFTPEMKTSSSSTTKNNESLSPIEEIPELNSPTCCSNIVNKPERGEDFSEYLEFI >KGN66148 pep chromosome:ASM407v2:1:21452129:21455046:1 gene:Csa_1G573670 transcript:KGN66148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVISSPTLSFTSSIPKLPPSLSPSFSSSKSAFFANNATTSFFTYGDHNHSSLLRLTSFRTTTKTTHKGFTCNALFGLGVPELVVIAGVAALVFGPKKLPEVGKSIGKTVKSFQQAAKEFESELKKEPEPTEETSVEKPTSTEAEERQDLKVSNQKETV >KGN64394 pep chromosome:ASM407v2:1:5861134:5862603:-1 gene:Csa_1G050330 transcript:KGN64394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPRNIKSSFSSLNPPPFFDLLSLTILVSFFYPKKIPRTLNPYLSLFFLSVLTSLAFLGISTINFLYSSPFSDSCSPKSPISFSSTSLSSQSRLLLSLLSPVASSTHQELPKLSTGVIVPMPAHGVSSNLSYEETEFWKQPDGEGYRPCLDFSFEYRKASAKISKEKRRFLMVMVSGGLNQQRNQIADAVVIARILEAALIVPVLKVNLVWKDERQVAFHALKFAAAIQELGNQLTRRMWIEGPYIAIHLRLEKDVWVRSGCPTGLGSDYDAIIAETRNSQPEYLTGRINMSHIQRRRAGLCPLNALEIAR >KGN63793 pep chromosome:ASM407v2:1:2185856:2190912:1 gene:Csa_1G015850 transcript:KGN63793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDARRGSRIVNPKVRQVGFFTLDAPNSPDRSLSGPPNCLPSQQSLSPPTNSLSPVMIPPPRHLSDNLASRSAVLPVPAPANWRNSTDQIPVGSYNPSETLLATSPMASPSSRTGDEELDMSEDSSNSVWHRRSGSGKIASSFPGVGIHVGAKSLENSQAVPLQTLETNKPAGVGEKTGGPSTEVQNRQPPPNSKPLKAKTTKAERRALQEAQRAAKVSAKGEANKSGAAPGKAVKQPAAMKDSTAAPSVAPTDKRGGGDRTLDKERKKDAPPPRMQFDDKSRVEKAKKRAVFNQTEARNRVELFRHLPQYEQGTQLPDLVSKFFQLDSIHPAIYKVGLQYMARDICGGNARCIAMLHAFQQSIKDYSTPPQKSLGRDLTAKIGSYVSFFNECRPLSVSMGNAIRFLKNRIAKLPLNLPESEVKATLCSDINRFINEKIIIADEVIVRHAATKIRDGDVLLTYGSSSAVEMLILYAHELGKEFRVVVVDSRPKQEGKALLRRLIARGLNCTYTHINAISYVMHEVTRVFLGAAAVLSNGTVYSRVGTAAVAMVANAFHVPVLICCEAYKFHERVQLDSICFNELGDPDTISKVPERKDINDLAAKEQLQLLNLMYDATPSDYISMIVTDYGMIPPTSVPVIVREYRREHLWI >KGN66738 pep chromosome:ASM407v2:1:27095651:27102318:-1 gene:Csa_1G666970 transcript:KGN66738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSYPVLSKPFEDKYPKLPLSFQGSSQSEAMRHPIPRQAPPLVSNSGTVGHLFSSSSGFRNDFPLMQPLSQERNAQFSPFISRSANDGSLLPSHGSSHSEVQSTMVTGNLNENSASWSTDTLQDLLDFSENIPDQNGQDQNVASVLMSDDQAKRNDWPDWADQFISVDDALEPNWSEIFSDANAGDPKPEVLKSSSANFNAPPNQTNQVDSLPTVEFHSVSNSLSTSTRPRMRWTPELHEAFVEAVNKLGGSENATPKGVLKLMNVEGLTIYHVKSHLQKYRTARYKPESSEGSSGKKINHIEEMKTLDLKTSMGITEALRLQMEVQKRLHEQLEIQRNLQLRIEEQGKYLQEMFEQQRKMENKLKTSSSILENMPCADDQPKNLEQGHDAAGMSTENAEDAREDGLLAASRKHKGHEGEEVEPDEGNSSPDAKRAKSDATVL >KGN64470 pep chromosome:ASM407v2:1:6312104:6318749:-1 gene:Csa_1G057060 transcript:KGN64470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSRVETDDDCETRGSMWVLDQKLDQPMDEEAGRLSNMYKEKKFSVLLLLRLAYQSLGVVYGDLGTSPLYVFYNTFPRGISDPEDVVGALSLIIYSLTLIPLIKYVFIVCKANDNGQGGTFALYSLLCRHAKVKTIPNQHRTDEELTTYSRSKFHEQSFAAKTKGWLEKQSSRKNALLILVLVGTSMVVGDGILTPAISVLSAAGGIKVNHPHVSSDVVVLVAVVILVGLFSLQRYGTDRVGWLFAPVVLLWFFLIGGIGMFNIWKYDKTILRAFSPVYIVRYFRRRGMDGWTSLGGVLLSITGTEALFADLAHFRVAAVQIAFTVVVFPCLLLAYSGQAAYLMNNTDHVVDAFYRSIPGTAVVVVMLVTTLLMILIMILVWRCHWVIALIFTGLSLVVECSYFSAVLFKVDQGGWVPLVIAGAFLIIMYVWHYGTVKRYEFELHSKVSMAWVLGLGPSLGLVRVPGIGLVYTELASGVPHIFSHFITNLPAIHSVVVFVCVKYLPVYTVPEEERFLVKRIGPKNFHMFRSVARYGYKDLHKKDDDFEKKLFDSIFLFVRLESMMEGCSDSDEYSLYGQQTEHSRDGLLIGNHGNEASPNLDTFSSVDSIVPVRSPTRMHNTVRSSEQASNHTDSDEIEFLIRCRDAGVVHILGNTVIRARRESKFYKKIAVDYIYAFLRKICREHSVIFNVPHESLLNVGQIFYV >KGN66257 pep chromosome:ASM407v2:1:22307102:22309772:-1 gene:Csa_1G589640 transcript:KGN66257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVRIRLSRFGCKNKPFYRVMAADSRSPRDGKHLEILGYYNPLPGQDGGKRMGLNFERVKYWLSVGAQPSDPVQRILFRSGLLPPPPMVAMGRKGGPRDTRPVDPLSGRFVTPAKKPTDGSNGEADS >KGN64052 pep chromosome:ASM407v2:1:3826817:3827644:-1 gene:Csa_1G039180 transcript:KGN64052 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine-rich RNA-binding protein MAFLSRVGKIFSQSSASGIGSHLQPSKLSIFRTLRFVSGSKVFVGGLSYNSDDLTLRVAFSKYGEVVEARVVMDPDTGRCKGFGFVTFSAKEEASSAIKALDGKDLGGRRIRCNYAVEKVARGGGRYGSSRYGGGDKN >KGN63453 pep chromosome:ASM407v2:1:145779:146820:-1 gene:Csa_1G000760 transcript:KGN63453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGNSKLNPGGELVPPRIRPLHVRNKLLELRKRKNGTHLRDGALSKKVLLKDGESEEENAMHVDNRHECGSTKCLASQQHTNNNNATKDEHNSASTIPPSNNNASATKTGEQSNHILEEEPQPHIQLKATHPDDTPVLELKQDKTMNEHKCIQEGDENNKKEGEDGRPDNEENRGSFICPGSPSFRLYFVEETQDDKEKVEMKDASGMGDVSHKKSPSRDSVESTTSAKCDEGQENKAIKKGKKETTFNRVVSKKRPVSVGVKNLLNVKSCYHLSCSGNDRANLLARKAEA >KGN66211 pep chromosome:ASM407v2:1:21938379:21940714:1 gene:Csa_1G580750 transcript:KGN66211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIEIEIEQNSSVELSRIGTSETHGEDSPYFAGWKAYDEDPYNESTNPSGVIQMGLAENQVSFDLLEEYLEENCEGEGNYLNSGFRENALFQDYHGLFSFRSAMGSFMEEIRGGRAKFDPNRVVLTAGATAANELLTFILANPGDALLVPTPYYPGFDRDLRWRTGVKIVPIHCDSSNNFQITPKALEEAYNSATEMKIKVRGVLITNPSNPLGATIQRSTIEDILDFVTRKNIHLVSDEIYSGSVFSPDEFTSVAEVLESRGYKNAERVHIVYSLSKDLGLPGFRVGTIYSYNDKVVTTARRMSSFTLISSQTQRFLASMLSNRKFTENYIKMNRDRLKKRYEMIIEGLRTAGIECLEGNAGLFCWMNLSPLLKDKKTKEGEIEIWKRILKEVKLNISPGSSCHCSEPGWFRVCFANMSEKTLHVALDRIRRFMERMKKENEAN >KGN66843 pep chromosome:ASM407v2:1:28204851:28205162:1 gene:Csa_1G700700 transcript:KGN66843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEIKRGMFHATGKCYRAVIKKEWKKVEEEFTKKNNPAAIKFPVTSSNDLALHLAVYSGKEEPTRELLSLLVRNLEKKEEDIEGDFWKNNEGNTPLHEARLRQ >KGN65175 pep chromosome:ASM407v2:1:12589882:12590373:-1 gene:Csa_1G257320 transcript:KGN65175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLKPLHVLLIIAPLLILASQLNLIFAHTDSSTPSNLPQQTTNEEEHLPSSDPHLSPPLSNQRAARNNKTKAHPHELAGTKISLKRLRSSRDSIRKRRRRNHQRAWRRRNYSSHGCRLTVSLSYIWFGIFWSILHNLIYFNSPR >KGN65206 pep chromosome:ASM407v2:1:12813218:12815129:1 gene:Csa_1G264570 transcript:KGN65206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNNYALPSLLLLLLLVFFFLSNEAQGIRLGNGIIIKLVGQLEKTLEEVEGLKETTICENGHCNSGNNRKLMTVTAPPIPSSPTPSIRNNEGNYKKGISPKSSNKEESSSVKKKDNLENSEAVSKNLEAALDLIDIAEMDYSPAKRKPPIHN >KGN64342 pep chromosome:ASM407v2:1:5482433:5487526:1 gene:Csa_1G046860 transcript:KGN64342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLYVYVLEAKDLHVKDSFVKLRVGRRKAKTRIMRNCSNPVWNEEFIFKFRDVDDELVVSVYEHNDESNFFHASSGLIGRVRIPIWTVAAEDSQTLPPTWFDLRRSKTEKFIDEVAGKVLLIVSLHGKGNVINQSSATNTNTNPKPLDLVGAKSSSSKAVKWKPNKKTIVSRLERLFHKSDEDTRTDNSSESSSAMSDTEERINGHLSESSFDEAIETLQLRSNGEEMPENLSGGVLVDQVYVVSPGDLNRLLFSTGSQFRRELAEHQGITNLEEGTWSWKRGDVPCLSRIVSYRKPATKVVGAINATEEQTYVKGDGWEFAVLVNVNTPEVPFGNAFNVELLYKIMPGPELISGEETSHFVVSWGMNFLHSTMMKGMIEKGARQGLEENFVQFTNLLAQHLKSPNSTELLNKGHVLSASENNRQSNFELARQYFWNFTVLSTLFVLVYVLVHIILSKPKTTQGLEFIGMDLPDSLGELVTSGILVLQLERVYNMVSHFIQARLKRGGDHGVKGQGDGWILTIVLLEGVNISSLDSSGSSDPCVVFTCNGKKRTSSVELQTHEPQWNEILEFDAMKEPPSVLYVEVFDFDGPFDQATSLGHAEINFLKYKSTELADIWVPLEGKLAQSSQSKLHLRIFLENTDGIETIRQYLSMKGKEVGKKLHPRSPYRNSTFQKLFGLPAEEFLVSDFTCSLKRKMLLQGRLFLSARVIGFYANFFGQKTKFFFLWEDIEDIQVLHPSLSSLGSPSLVIILKKGRGLEASHGAKSQDEEGRLRFYLQSFVSFNVASRTIIGMWRTRTSTLDQKAQVAEMSNDSEER >KGN64456 pep chromosome:ASM407v2:1:6221670:6223658:-1 gene:Csa_1G056920 transcript:KGN64456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEVIVHQQRSYWWRWSKQDFLPEESFQSWSNYRTALSQTWFRFIDRLQSRSFDENEIGELRKRSENEMKRCLTWWDLTWFGFGAVIGAGIFVLTGQEANEHAGPAIVLSYVASGISAMLSVFCYTEFAIEIPVAGGSFAYLRIELGDFAAFITAGNILLESIVGTAAVARSWTSYFTSLLDRPDKSLLIHTNLKDGYNLLDPIAVAVLAIAATIAMTSTRKTSYLNWIASAINTVVILFVIIAGFIHADKSNLTPFTPFGVKGIFQAAAIVYFAYGGFDNIATMAEETKNPSKDIPLGLLGSMSIITVIYCLMALSLSMMQKYTDINPDAAYSVAFERVGMKWAKYLVALGALKGMTTVLLVGALGQARYTTHIARAHMIPPWFALVHPKTGTPINATLLIAITSGCIAFFSSLDVLASLLSVSTLFVFMMMAVALLVRRYYARGVTPRLDQLKLFILLILIIGSSMATSAYWGLYPNGWIGYVVTVPVWFLGTLGIALLLPMQRKPKVWGVPLVPWLPSLSIATNIFLMGSLGREAFERFGICTLVMLIYYVFFGLHATYDMAHQQDKLVTQKQVKEETPPSAVP >KGN65607 pep chromosome:ASM407v2:1:16864643:16865766:-1 gene:Csa_1G469740 transcript:KGN65607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDVSFNHNNHHQFYSSDPFEDSDELKPTPDSPPPTSTIAAAATTKKGRRGMKKKIVSVKINGDSPRNSSGSATPPSDSWAWRKYGQKPIKGSPYPRAYYRCSSSKGCPARKQVERNRLDPTTLVITYSCEHNHSGPVSRNNNNNNNQNNQIVVMKPGSPETVAVHQEPEVEEKFVEIGGGEESLITADEFSWFGEMETTSSTVLESSIFSGRASTGLVDHSISSDVAMLFPMGDDDVDESLFADLGELPECSLVFRRGGGRGLPVDEQPAAAQRRITPWCGTTT >KGN66121 pep chromosome:ASM407v2:1:21290380:21293390:1 gene:Csa_1G572420 transcript:KGN66121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDVIHLQTQFLRYKVSLFKEGYLNDQFKEIENLEDESNPKFVEEVVTIFFEDSHRLINELAKALEQKCIDFKKVDAYVHQLKGSSSSIGANKVQMACIAFRNYCHDMNIQGCLKCLQHVKEEFALVKNKLETLFKVEKEFLEANASNPICNK >KGN63742 pep chromosome:ASM407v2:1:1902230:1903330:-1 gene:Csa_1G014390 transcript:KGN63742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRLSNAVIGVLNCCTLILGLIGIAASLYFRIRGSSDCQKVIQDPLLILGIFLFVVSLLGLVGSFCRLNFILYLYLIVLFLLILGVLAFTIFTILVTNKGVGRTVSGKGYKEYRLGDYSNWLQKYVVNRKNWNEIRSCLIDAKICESLGNDNIPQVPDEFYKKNLSPIQSGCCKPPSECGFEFKNATFWTVPKSRKGAAVAGGDCKRWSNDQLRLCYECDACKGGVLVNVRKEWRHFSIFNGCVLGIVTIIYCIGCCATKNNKAPPKYPKYSGYAY >KGN66198 pep chromosome:ASM407v2:1:21855870:21856741:1 gene:Csa_1G575140 transcript:KGN66198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVHGSLARAGKVRGQTPKVAKQDKKKKPRGRAHKRMQYNRRFVTAVVGFGKKRGPNSSEK >KGN64793 pep chromosome:ASM407v2:1:8597235:8607896:1 gene:Csa_1G097710 transcript:KGN64793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEIQSQSDNYRSSSSSASSPVSRVPSSNFFYLRKPGSLRQPISFEDSPDWEETDIDVRIEEGGDSINAATTPASPSLSKLNSCSLPSPPLPEGAGVGRKISGAYIAWKDLTVTIKGKRKYSDKVVKSSNGYALPGTMTVIMGPAKSGKSTLLRALAGRLHRSAKMYGELFVNGTKSRMPYGSYGFVEKETTLIGSLTVREFLFYSALLQLPGFFFQKKNVVEDAIHAMSLSDYANKLIGGHCYMKGLPNGERRRVSIARELVMRPQILFIDEPLYHLDSVSALLMMVTLKKLASTGCTLVFTINQSSTEVFGLFDRICLLSNGNTLFFGETLACLQHFANAGFPCPIMQSPSDHFLRAINTDFDRIIAMCKNWQDDQGEFSSVNMDTAVAIRTLEATYKSSADAAAVETMILRLTDKEGPSLKSKGKASNLTRIAVLTWRSLLVMSREWKYYWLRLILYMLLAVCIGTVFSGLGHSLSSVVTRVAAVFVFVSFTSLLSVAGVPALMREVKIYNSEESNYHSGAFVFLLGQLLSSIPFLFLISISSSLVFYFLIGLRDEFKLLMYFVLNFFMCLLVNEGLILVMASLWRNIFWIVLTLVSAHVLMMLSAGYFRIRNALPGPVWTYPLSYIAFHTYSIQGLLENEYLGSSFAVGEVRNITGYQALHSAYEISSNTHSKWKNLLVLFLMVVAYRIIVFILLRFRVGKFMSLRKGFRCNRDIKD >KGN65827 pep chromosome:ASM407v2:1:18825521:18828558:1 gene:Csa_1G532350 transcript:KGN65827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVVVEALDSCKPFNNDIASLPQNGSLTPPSVTVSSDATLGRHLARRLVQIGVSDVFSVPGDFNLTLLDHLIAEPGLTNIGCCNELNAGYAADGYARRRGVGACVVTFTVGGLSVLNAIAGAYSENLPVICIVGGPNTNDYGTSRILHHTIGLSDFSQELRCFQTVTCFQGVINNIEEAHAQIDKAISTALIESKPVYISISCNLPGVPHSTFSREPIPFAISPRMSNKMGLEAAVEATVSFLDKAVKPVMVGGPKLRVAKACDALVELADACGYALAVMPSAKGLVPEYHSHFIGTYWGAVGTAFCGEIVESADAYLFAGPIFNDYSSVGYSLLLKKEKAIIVEPDRVMIANGPTFGCILMKDFLKELAKRLKKNTTAYENYHRIYVPDGQPLKCKPHEPLRVNIMFQHIQKMLSEETAVIAETGDSWFNCQKLKLPKGCGYEFQMQYGSIGWSVGATLGYAQSVPSKRVIACIGDGSFQVTAQDVSTMIRCQQKTIIFLINNGGYTIEVEIHDGPYNVIKNWNYTALIDAIHNDEGNCWTTKVRTEEELIEAINTATEKKSDSLCFIEVIVHKDDTSKELLEWGSRVCAANSRAPNPQ >KGN66615 pep chromosome:ASM407v2:1:25665621:25669623:1 gene:Csa_1G649550 transcript:KGN66615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFLISLLILLFFFLSLTLFILFHNHKSLFSYPNTPPGAIGLPILGESVEFLSSGWKGHPEKFIFDRLNKYKSDVFKTSIVGVPAAIFCGPICNKFLFSNENKLVTPWWPDSVNKIFPSTTQTSTKEEAKKLKKLLPQFLKPEALQRYIGIMDELAERHFNSFWKNREEVLVFPLAKSFTFSIACRLFMSVEDEIHVERLSGPFEHIAAGIISMPIDLPGTPFNRAIKASKFIRKEVVAIVRQRKQDLAEGKALATQDILSHMLLTCDENGVYMNESDITDKILGLLIGGHDTASVACTFIVKFLAELPHIYDAVYTEQMEIARAKAEGETLKWEDIKKMKYSWNVACEVLRIASPLQGAFREALSDFVFNGFFIPKGWKLYWSANSTHKNPEYFPEPYKFDPGRFEGNGPLPYTFVPFGGGPRMCPGKEYAKLEILVFMHNLVKRFKWTKLLENENIIVNPMPIPQKGLPVRLFPHQPLSL >KGN64074 pep chromosome:ASM407v2:1:3930575:3933112:1 gene:Csa_1G039880 transcript:KGN64074 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-1,4-glucan-protein synthase [UDP-forming] MADSKVSPVPLLKDELDIVIPTIRNLDFLEMWRPFFQPYHLIIVQDGDPSKVIKVPEGFDYELYNRNDINRILGPKASCISFKDSACRCFGYMVSKKKYIYTIDDDCFVAKDPSGKDINALEQHIKNLLCPATPHFFNTLYDPYREGADFVRGYPFSLREGVPTAVSHGLWLNIPDYDAPTQLVKPLERNKRYVDAVLTIPKSTLFPMCGMNLAFDRDLIGPAMYFGLMGDGQPLGRYDDMWAGWCMKVICDHLGYGVKTGLPYIWHSKASNPFVNLKKEYKGIYWQEELIPFFQAAKLPKDCTTVQECYIELSKQVKAKLGGVDEYFIKLADAMVTWIEAWDELNPSDSKAANLPNGAQK >KGN66003 pep chromosome:ASM407v2:1:20493135:20493509:-1 gene:Csa_1G560850 transcript:KGN66003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGEAIASEESDSMCSEGIELPNCSRFHLDHLDFPHYSKSFETHTNQRTRGVTNDPNNFSQTRSITSRRISIHLPTILRRIPSVETKPLLRRQIKGARDDSKGLLDSPAMNLHQARHQQTTPA >KGN65450 pep chromosome:ASM407v2:1:15388729:15388953:-1 gene:Csa_1G423080 transcript:KGN65450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYLPRINRKGFRSRNRRQGQSPESPREYEVSSNGRRGGCQVGARPILSIDCFATTVPRCTYLGTDWFLGNAKC >KGN65914 pep chromosome:ASM407v2:1:19642556:19647411:1 gene:Csa_1G537620 transcript:KGN65914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLIRKEENPKTTSSSRHHLLLRQLSVAAPLLQFTAAAAAIVFPPFAPPKLQSQWFCSDFLLLFCYWSFGFG >KGN63652 pep chromosome:ASM407v2:1:1368647:1374696:-1 gene:Csa_1G008580 transcript:KGN63652 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isochorismate synthase MSIANNSATGRHCLGFHQRLSRCRRISPAVAWRERGRRWGGEVCDSAAMDGCVGGSQEPGGTVGIIETRNFPAVQTAALATERLRRGVAELKSEAPAFTSGIIRLQVPIQQRIQAIDWLSSQPHLLPRTFFSGRSRPTHSSAHNISNGSHSFNHHHHLLSVAGVGSAVVFRRLHCFSYNDWASIKRFLSKKCPLIRAYGVIRFDSRANISPEWEPFGSFYFMVPQVEFDEFEENSMLAATVAWDHACSWTWENAIESLQSTIEQVSSNNVNLQKDLPQIPILSYTHVPSKTFWERAVDRALQVIDGSNSELTKVVLARSTQIVTGVDIDPVTWVACLQKEGNNSYQFCVQPSNAPAFIGNTPERLFHREGLGIKSDALAATRARGRTAIEDIKIEHDLFSNPKEDLEFSIVRETIKKKLEAVCDAVVVEPYKSVRKLPRIQHLYAQLTGRLRSEEDEFEILSTLHPTPAVCGLPTEEARDFIAETEVFDRGMYAGPVGWFGGGESEFAVGIRSALVKRGLGALIYAGTGIVKGSNPSSEWDELELKISQISRSLELEVVHAPN >KGN66112 pep chromosome:ASM407v2:1:21232284:21238487:-1 gene:Csa_1G571840 transcript:KGN66112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSNFMDKSFDFAVETIRTSKQPDFKSIKFSTTTTDANQRFVVFINFSSLYSSHPKMVKQSEETGQLCPLIETHNHDFHGGSSGGRATGSATFSLIFSTLVAVSGSYVFGTAIGYSSPSEAGIMTDLDLTVSEYSFFGSILTIGAMIGAIVSGKLADYIGRRGTMGFAEIFCLLGWFLIAFSKAVWWLDIGRILVGFGMGVISYVVPVFIAEITPKELRGAFTTVHQLMICFGVSLTWLIGAFVNWRTLALIGAIPSVIQLVGLPFTPESPRWLTKNGQGLDCEDALQRLRGKTKDISTEVLEIQEYTELLKQLPEPSVLDLFQRQYARSLFVGLGLMVLQQFGGVNGIAFYVTSLFTSAGFSGNIGTIALASVQIPMTALGVVLMDVSGRRPLLMISAAGTCLGSLCLALSFLFKDLQLWQSGSPMMALAGVLVYSGSFSLGMGAIPWVIMSEIFPINMKGLAGSLVTLVSWLGSWIVSYSFNFLLNWSSTGIFFIFSSICGFTVLFVAKFVPETKGRTLEEIQAAMNPLSTQS >KGN66696 pep chromosome:ASM407v2:1:26540377:26540972:-1 gene:Csa_1G660160 transcript:KGN66696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDIGVKCGWSLSYVQEVTQSEAPKSTIEQGGIPTGCCYIFVDAACSSVRNASGIGVVALDACRNVLGAVAKQLSNPFNPLLSETAAIKEGYWWS >KGN65739 pep chromosome:ASM407v2:1:18216384:18218726:-1 gene:Csa_1G523630 transcript:KGN65739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECKNQQSQLSPKYECLLFDVDDTLYPLSSGLSKQCTKNIEEYMVEELGIEKDGVVEMNQFLYRNYGTSMAGLKAIGYEFDNDHYHSLVHGRLPYNNLKRDPVLRNLLLSLPIRKVIFSNADEVHVAKVLSRLGLEGCFESIICFESLNSSNFDTSSDDGSESDSKTSTNSDIDDTPPPLPVTPVLCKPSPQAFESALKIANIDPKKTLFFDDSIRNIKTGKSSGLRTVLVGSSKRGNGIDYALESIHNIREALPELWEVDEKMKNQRLSSNIALDTSAVMA >KGN66055 pep chromosome:ASM407v2:1:20912835:20918755:1 gene:Csa_1G569320 transcript:KGN66055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGNDDDAVAEDGDYGDAGCAAGGGKSFGSVPCSICLDVVADSGDRSWAKLQCGHQFHLDCIGSAFNIKGAMQCPNCRKVEKGQWLYANGCRSFPDFNMDDWTHEEDLYDLSYSEMAFGVQWCPFGSLARLPSSFEDGDFSSTAYHDLLGQHAIFAEHTAVSSATHPCPYIAYFGPIHPSSSSSSASEASNFSGHWNGPSVPSEIPSSYAFPAVDLHYQNWEHHSPPFSTTNNRVPGADQPSVPSVAQRPATRVGSELPRSGSVLHPFLVGHSSGARVGSSVASSMIPPYPGSNARARDRVQALQAYYQQQPSTTGTIRTPAISGGRRSSNHRGLSQAPMASSSEQPSGFYFYSSASAGRSFQPENAMPNRFHAWEREHLPSFGLSQIDRDPGWGEIHQGASVSDPNIRSSSFRQRHGSERTSSQNWS >KGN64196 pep chromosome:ASM407v2:1:4641314:4646535:1 gene:Csa_1G043000 transcript:KGN64196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEKGIIVPDLSLQISPPKSNQSPSVDIWPQQMQVQQVDTELSLSNNSTSAIDVSTDRFRPIRGIPVYNNGLLSSSRYFNRNQQPTTSSSSMSPPPCLSFRAFQQQQDQAVGRYNGITMEGLRNQEQNFNHQNQNHQFVFQNQQSLHFGVSDFSSNYSNNRSRSLLMPRIQSRRNARAPRMRWTSSLHARFIRAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKNTDKQTASSEGSGEEDFLPATPTPHHEANCLLNHRRNFTNNPSSLDHPHPHLTNGISTPSINNQSNSSRRAWERSSPGSANVFGSENQSEECYELGHPNSLMGLNLNLNSPSKELSMKHNWHN >KGN64910 pep chromosome:ASM407v2:1:9980326:9982383:1 gene:Csa_1G153550 transcript:KGN64910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIAIFLFFLFLFLSAVVEGAPKAKKVKCKDKKFPQCYKSEHYCPADCLRTCVVDCSSCQPVCTPPPPPPPSPPPPPPKPRKLKSPPPPYIYSSPPPPPPRIYSSPPPPPPYIYSSPPPPPHIYSSPPPPPPTTVEPSPPLPPAPTPPSSSPPPLSPPPSSEASGQKKVRCKNRGYPHCYGMELSCPSDCPSQCEVDCVTCSPVCNCNRPGAVCQDPKFIGGDGITFYFHGKRDKDFCIVTDSNLHINAHFIGRRNVDMKRDFTWVQSLGILFDSHQLFISARKTSTWDDANDRLYISLDDETIILPNQEGATWSNSTSYEGIAITRSRKTNAVEIEVPGNFKIKAVVVPITEKESMIHKYGITQEDCFAHLDLSFKFYALSGNVNGVLGQTYGKNYVSRAKMGVAMPVLGGDKEFASSSIFATDCEVTRFTKEMDEKESYVEAAAYANMSCGSDMDGQGVVCKR >KGN65647 pep chromosome:ASM407v2:1:17215060:17219060:1 gene:Csa_1G478060 transcript:KGN65647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFGFWGRVILSLFLLLQASSSWLPFLQQAEAAVTAQPNKRSMLKGRKQANGCNLFQGRWVIDPSFPLYNSSSCPFIDSEFDCQKYGRPDSLYLKYSWRPDSCNLPRFDGVDVLKRWSGKKIMFVGDSLSLNMWESLSCMLHASVPDAKTSFLRRDSISSVVFQDYGVTLLLYRTPYLVDIVREDVGRVLKLDSIEAGKAWLGMDVLVFNSWHWWTHKGDSQAWDLIQDGATRYQDMDRLVAFYKGLTTWGRWVDLNVDPTKTKVFFQGISPTHYLGKEWNQPKRNCNGESEPLAGSMYPGGAPPAADVVNRVLSRIKVPVYLLDITTLSQLRKDAHPAGYNGEHSGTDCSHWCLPGLPDTWNQLMYAALLTM >KGN64618 pep chromosome:ASM407v2:1:7206004:7206520:1 gene:Csa_1G071810 transcript:KGN64618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNIKCELCDCRANAYCESDESSLCWSCDANVHSANFIVEKHSRILLCQICQSPTPWTATGPKLGPTLSLCQLCVLPQNVASLRFRHQDPLHSGSSTTRDFDHHPNEDDEENQVVPLSPPPVSS >KGN66293 pep chromosome:ASM407v2:1:22540943:22541534:-1 gene:Csa_1G596430 transcript:KGN66293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGISEVATAPEKIRATEKWFSCLRRIHRRRLRNARVRNSRQRHGNDCNFSLIFKTLFKAPSYSVDAVKSTVPEADYVWDDYINVGFLARAFNLLLGDENAGDVALHCLGCERR >KGN66429 pep chromosome:ASM407v2:1:23609376:23610660:-1 gene:Csa_1G604975 transcript:KGN66429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSNFPSHYYYFFQSMLGRSRHQGKTSFVEHRTFLHLYHSFHRLWIFLVMMFQALTIIAFNNGSFNMKMLLEVLSLGPTFVVMKFIESVLDILMMYGAYSTSRRLAVSRIFLRFIWFSIASASITFLYVKALQEGSKPNAERVMFRLYVIVIGIYGGVQLCLSILMRIPACHLLTNQCDRWPLVRFFKWMRQERYYVGRGMYERTTDFIK >KGN65103 pep chromosome:ASM407v2:1:11733673:11733965:-1 gene:Csa_1G220870 transcript:KGN65103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWKYKVGLILLVAVVVIWVTSAEITQVCSCTSTVFNSKFYVDELHSSSVCGDVCWDIHVGGLSCNCIHQRMHNEGF >KGN65631 pep chromosome:ASM407v2:1:17032478:17033100:1 gene:Csa_1G471460 transcript:KGN65631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNSIRCCISCILPCGALDVIRIVHSNGYVEEITGSIKASDVMKAHPKHVLKKPSSPSSSAAHDAASALPKIVIVPPEADLQRGKIYFLMPLPPDPDKPRRRKKREYSNNHHRTTTAASTASAVPDTTTNSISMTNLLVSDHYLSEILSDKASTHRERRRGRVGVWRPHLQSICESPSDI >KGN65933 pep chromosome:ASM407v2:1:19838609:19840179:1 gene:Csa_1G538800 transcript:KGN65933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDKKKKATMFIRLVSAAGTGFFYVKRKPTKITEKLEFRKYDPRVNRHVLFTEAKMK >KGN65991 pep chromosome:ASM407v2:1:20403625:20403885:-1 gene:Csa_1G560745 transcript:KGN65991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEGTTNCIDILLAILLPPLGVFLKFGCQVEFWICLVLTFFGYIPGIIYAVYAITK >KGN66557 pep chromosome:ASM407v2:1:24927723:24927978:-1 gene:Csa_1G629140 transcript:KGN66557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAEGVQECDHFQIYWNPKTFTVIVGVIWRSRSGEDPGELRHFWHAKFYVSSYVQKQSSSLKVNRFSKTD >KGN63516 pep chromosome:ASM407v2:1:518756:520101:1 gene:Csa_1G002850 transcript:KGN63516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVALGWASLKPKKRCVARDNVKDAQTDAYKRVKLAAIRKQIKTRGEGTRRNLKLKSCVLQTCTPQFRFIMEETGFHPDLIHAIFKLEWSRRSLEREKNENNPDAMDCEVDAGAGTSKKSRPMSANANALKLSSKLVQIFISEAVQRAATIAEAEGISRIEPTHLERVLPQLLLDF >KGN63820 pep chromosome:ASM407v2:1:2351788:2355924:-1 gene:Csa_1G023080 transcript:KGN63820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYYYCCSKDEDDDQALLLSLFYNLSSSFFLVFFFLSFTSILLFKSFYFLGAPLFPRNEYEYEYNAVSSNQEEEEEEEEERYGSGIYGTSFLDKEAETRMSRWQSQLPDNEEILLTDLEEPWITMESIDLDDQITTNSSVYESPQAALQLDEQYLQENDHDDQMPEELTDSEQVVRQVMKVNSCRISMDMKKKRLVEDNNKDHKRRNDDDQISYGINYMKKEEELCGGKIVIKENNNNNNEKYLVFRPNEILVNKKKKEEEEDQEIYGDSYTIGSTSKSSSEWRSSINCRDSSSGTEDPFSSSSRRSCPKWESYTVFQKYDEEMMFLDRITAQKLHETESLRSIKMCPRSISERIVYKLSTINKKGSEHVNVKQNPYHELESAYVAQICLTWEALNWNYKNFVNKKLSSSCKLRAEMDNGCPGKIAQQFQQFQVLLQRYIENEPYEHGRRPEIYARMRLLAPNLLQVPEFIDEEEIKEEGGGYGSRISSPSFLMIMEDGIRTYMNFLKADKEKPCQIVASFFKRKKRPSVDPTLLQLIKKVNQKKKMKLKDLRRAGKCLRKRKLSVEEEMEILMALIDLKVVSRVLRTADLSEQQLHWCEAKLSKVRIVDGKLHRDSTPLFFPAH >KGN66426 pep chromosome:ASM407v2:1:23577609:23589826:-1 gene:Csa_1G604850 transcript:KGN66426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMYYRKALMLQTYLERGTYGDLEAAIPCTDTTDTRGFDLSPEARAQADLKFTYVVTCQIYGRQREQQKPEASDIALLMQRNEALRIAYIDDIESLKDGKVHKEFYSKLVKADINGKDKEIYSIKLPGDPKLGEGKPENQNHAIVFTRGNAVQTIDMNQDNYFEEALKMRNLLEEFGCDHGIRPPTILGVREHVFTGSVSSLASFMSNQEASFVTLGQRVLANPLKVRMHYGHPDVFDRVFHLTRGGISKASRVINISEDIFAGFNTTLRQGNVTHHEYIQVGKGRDVGLNQIALFEGKVAGGNGEQVLSRDVYRLGQLFDFFRMMSFYFTTVGYYFCTMLTVLTVYIFLYGKAYLALSGVGETIEDRANITDNTALSAALNTQFLIQIGIFTAVPMILGFILEQGFFRAIVSFITMQLQLCSVFFTFSLGTKTHYFGRTILHGGAKYHATGRGFVVRHIKFSENYRLYSRSHFVKGLEVVLLLVVYMAYGYSSGGSLAYILVTLSSWFMAISWLFAPYLFNPSGFEWQKTVEDFREWTNWLFYRGGIGVKGEESWEAWWDSELAHIKTFEGRIAETILNLRFFIFQYGIVYKLHVQGSNTSLSVYGFSWIVLAGLIVLFKVFTFSQKMTVNFQLLLRFIQGLSFFLTLAGLAVAVAITDLSLPDVFACILAFLPTGWGILSIAAAWKPLIKRLGLWKSIRSIARLYDAGMGMLVFIPIAFLSWFPFVSTFQTRLMFNQAFSRGLEISLILAGNNPNTAL >KGN65754 pep chromosome:ASM407v2:1:18320481:18322456:-1 gene:Csa_1G524760 transcript:KGN65754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEICCKVMSESEASSSCEPSSRVARRRRMEIRRCKFVTRVDPSETETVSKRQKLEDRATSISRDCDNAVQNCDSGEEETVGRFVVGEVSTKEVSIPLSRESSCGVVVPKFGFSSVCGRRREMEDAVAVHPSLCYTEKRASDMLHFFGVYDGHGCSHVAMRCKERLHELVKDELDKDEKEDAAGAAETEAETASRWDRTMKRIFWRMDNEVVARNNEEVVANCRCELQSPDCDAVGSTAVVAIVTPDKIIVANCGDSRAVLCRNGKAIPLSSDHKPDRPDELSRIEEAGGRVIYWDGPRVLGVLAMSRAIGDNYLKPYVISEPEVTITNRTAEDECLILGSDGLWDVVPNETACGVASMCLRGKAEERSPVSPSSEAETAAEGEERGNADKACNDASMLLTKLALARHSTDNVSVVVVDLKRDAYP >KGN66058 pep chromosome:ASM407v2:1:20926865:20931571:-1 gene:Csa_1G569350 transcript:KGN66058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDNQRDLLLKESDRFPPPQGVRLSYGTAGFREDASVLLSTMYRVGILAALRALKTGKLIGLMITASHNKVSDNGVKVADPSGGMLTQDWEPFANALANASSSEDLVQLIIEFAEKENILLDGSKSAQVLVARDTRPSGEVLLDAAKHGIASIIGVVAHDLGILTTPQLHWMVHVRNKGLKGSELDYFHELSSSFRCLLNSKPNNSELKLKDWDNQLVVDGANGVGGQKLEILKEMLNGLAIEIRNSGKGEGVLNEGVGADFVQKEKIVPLGIGSQDVGIRCASFDGDADRLVYFTVPSESSNRINLVDGDKILSLFAIFIKEQLTILAARTKATKIDFQPTLGVVQTAYANGASTQYLKELGLEVVFTPTGVKYLHEKAADYDIGIYFEANGHGTILFSDNCISVLQPLCWELSDVSAGSESHKAALRLLAVTRLINQAVGDALSGLLLVEAILQHRNWSIHKWSELYQDLPSRQLKVKVVDRTAVETSNAETEVVKPPGLQEAINSEIAKYPQGRCFIRPSGTEDVIRVYAEASTQEAADDLATSVAKLVDQFLGVGSSN >KGN64812 pep chromosome:ASM407v2:1:8834209:8841380:-1 gene:Csa_1G109350 transcript:KGN64812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRFMESEKLLISRGNPRNSVYPSDRPIPTTSGRTMPNELPQKPAPSIAHRFRAQLKQRDDEFRVSGHDVVPLPTAEDIVQLYDLMLSELTFNSKPIITDLTVLADEQREHGKGIADLICARILEVPVDQKLPSLYLLDSIVKNVGHEYISYFASRLPEVFCEAYRQVHPNLHNAMRHLFGTWATVFPPSIIRKIEAQLSQLTAQESSGLTSSRASESPRPTHGIHVNPKYLRQLEHSVVDKHSQDSRGTSAIKVHDKKLASGYEEYDYDHADALEHGGPQGFHSMGSMGHDSFSLGTNKANIKLAKSSLSSRIGPHRPLQSVGDEHETVRASPSQNVYDYEGSKMIDRNEDTNKWRRKQYPDDNLNGLESTSSYNIRNGHALEGPRALIEAYGSDKGKGYLNDNPPQAEHFSINVIDNKATPVTWQNTEEEEFDWEDMSPTLADRGRNNDMLKPPVPPSRFRTRSGFERSNAMPIEPGMRSNWSSPVRLPGIDSSIVIEDVVHSTPDNWNMHNHISQTSQNLMNNKGQGRNFQMPMLGRGITSSVGEKMSPYGDKLLTNDALHRPTNIASRLGSSGLDSSMESQSIVQSMGPRHPLNLSNSCPPSRPPIFPVPRHNASQFESLNGSNSFMNCANRTFLPEQQMNNLRNKELSLTTKSPQVGNQHTGHIPLTRGNQLQGMPLKPQFLPSQDMQDNFSGSAVPPVLPHLMAPSLSQGYISQGHRPAISEGLSSSAPIGQWNLSVHNSSSNPLHLQGGPLPPLPPGPHPTSGPTIPISQKVPGQQPGTAISGLISSLMARGLISLNNQASVQDSVGLEFNPDVLKVRHESAITALYADLPRQCMTCGLRFKTQEEHSNHMDWHVTKNRMSKSRKQKPSRKWFVSISMWLSGAEALGTEAVPGFLPAEVVVEKKDDEELAVPADEDQKTCALCGEPFEDFYSDETEEWMYRGAVYMNAPDGQTAGMDISQLGPIVHAKCRTETNVVPSESFDQDEGGVSEEGNRRKRLRS >KGN64955 pep chromosome:ASM407v2:1:10424475:10424660:1 gene:Csa_1G166840 transcript:KGN64955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHQKTANGRIYATARKSEKKIPQRTRDKSVDIMITSAWRHDIVSLASEGNKERCASSTWR >KGN63588 pep chromosome:ASM407v2:1:997646:999952:1 gene:Csa_1G005490 transcript:KGN63588 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polygalacturonase-1 non-catalytic subunit beta MVHIFSFLIFFLSQLSLSFFHLTTNKQISFKFLFLFLLPFIFFLSFFPQISSASSNPFTPKASLVRYWKTHVSTDLPTPDFFLSKASPLSAVDAAALATHAAGASLSSRLESFCFSANLFCSFDSQSETSVPRSKDADFKLYGNKNFVNYGGSRLGGTDSFKNYSDGLNTAEHSFKRYGGNSSNHKEGFTSYAEDGNVANSNFVSYGAGSKSGSGEFTNYDKLVNVPNLRFTAYDLNSRSHKLSFTSYGNETNSGSQSFTSYGKNGNGVPQDFSSYSDGSNILTSTFTGYGESGKTANDSFKEYGISGNNPHTNFKNYGKGGNSEIDTFSNYRNGANVGDDSFQSYAKNSNSGRVNFANYGKSFNHGNDSFTEYGKGSMGKTTVGFKSYSVDRNFTTYAKNGVSFSVYNNFTTATGSGIHANSHVEPGKFFRESELKQGNVMVMPDIKDKMPPRSFLPRSILVNLPFSSSRISEAKEMFHARDGSTMESVITNALAECERAPSRGETKRCVGSAEDMIDFATTVLGQRVVVRTTANVNGSKEKIEIGRVKGINGGDLTRSVSCHQSLYPYLLYYCHSVPKVRIYEADILKMETKEKINRGVAICHIDTSSWGPEHGAFVALGSGPGQIEVCHWIFENDMSWNVAD >KGN65697 pep chromosome:ASM407v2:1:17760147:17766475:-1 gene:Csa_1G502360 transcript:KGN65697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVLVQPTNIPGLVVARKSPSSRGSGNAKRVVKMMSSVHSPGMRMRSFSGLRGLNSLDNMFRPGQDFHSKVAIAISSRRGGRPSRGVPRAMFERFTEKAIKVIMLAQEEARRLGHNFVGTEQILLGLIGEGTGIAAKVLKSMGINLKDARVEVEKIIGRGGGFVAVEIPFTPRAKRVLELSLEEARQLGHNYIGSEHLLLGLLREGEGVAARVLENLGADPANIRTQVIRMVGESTEAVGAGVGGGSSGNKMPTLEEYGTNLTKLAEEGKLDPVVGRQPQIERVTQILGRRTKNNPCLIGEPGVGKTAIAEGLAQRIANGDVPETIEGKKVITLDMGLLVAGTKYRGEFEERLKKLMEEIKQSDEIILFIDEVHTLIGAGAAEGAIDAANILKPALARGELQCIGATTLDEYRKHIEKDPALERRFQPVKVPEPSVDETIQILKGLRERYEIHHKLRYTDEALEAAAQLSYQYISDRFLPDKAIDLIDEAGSRVRLRHAQLPEEAKEVEKELRQITKEKNDAVRSQDFEKAGELRDREMELKAKISALIDKGKEMSKAESEAGDVGPVVTEVDIQHIVSSWTGIPVEKVSTDESDRLLKMEETLHRRVIGQDEAVKAISRAIRRARVGLKNPNRPIASFIFSGPTGVGKSELAKALAAYYFGSEEAMIRLDMSEFMERHTVSKLIGSPPGYVGYTEGGQLTEAVRRRPYTVVLFDEIEKAHPDVFNMMLQILEDGRLTDSKGRTVDFKNTLLIMTSNVGSSVIEKGGRRMGFDLDYDEKDSSYTRIKSLVTEELKQYFRPEFLNRLDEMIVFRQLTKLEVKEIADIMLKEVFDRLKGKEIDLQVTERFRDRVVDEGYNPSYGARPLRRAIMRLLEDSMAEKMLAREIKEGDSVIVDVDSDGNVTVLNGSSGAAPESLADPIPV >KGN64319 pep chromosome:ASM407v2:1:5368898:5372901:1 gene:Csa_1G046160 transcript:KGN64319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTSLLIPLSLSLILASLPLFSSTTNIHSDACRSFCGNITVDYPFALQYGCGHPGYRDLLYCMNDVLMFHIRSGSYRVLDIDYAYEALTLHDPHMSTCSNIVLGGRGNGFDIEEWRLPYLNPTADNAFLLIGCSAQSPLFQGFPNKHLVCRNISGIGCEDYYDCPAWDLLGHRKPSRVYGSGPPECCAVPFESIKAINLTKLQCEGYSSAYSLAPLRINGPDEWAYGIRVKYSVQANEDFCRACQATGGTCGYGTDSVRQLCMCGSSNSTSTCDFVMSSLPRRMTSWTAIKATIAGSLMLML >KGN65962 pep chromosome:ASM407v2:1:20129639:20132998:1 gene:Csa_1G547510 transcript:KGN65962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCSLFEMDNNNYQQAGDLTDVIRLSSAPVAGHFSSEFSLDPFSGDRQLWSHLPADNSSMNFGDPLSFPTRDPFLLPHFSPFNFASDGGGEGLAADDQPSNLLSHMLQISPSSGDGVISTPPCESLATAVGNSPRSTSVRGGGGLVLPTGGSNSLCLMENSGIQISSPRNSANKRRKSQVKKVVCIPAPAPANSRSSSGEVVPSDLWAWRKYGQKPIKGSPYPRGYYRCSSSKGCSARKQVERSRTNPNMLVITYTSEHNHPWPTQRNALAGSTRSHPSRTTTATTANKTSPKHERINDILPTTTIKEEEMDEDQMTDKATTTNDNQEEGDHQDFPYDLIFTEFADQMNDLEHDNNHDHNHHLSADPLNNNMLMFSNHGFSAGNGEGSKDPFLELYDWAENSSGSLFKEAKGG >KGN66724 pep chromosome:ASM407v2:1:26909356:26913774:-1 gene:Csa_1G665360 transcript:KGN66724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGLTGQKMQRIFKALVHESVYNDARSLIEYCCFRFLSRDSSNIHPSLSEPTFQRLIFITMLAWENPYHEHANVSEEISFQVINAILSFVPSYEFPCE >KGN64093 pep chromosome:ASM407v2:1:4029528:4030567:1 gene:Csa_1G041550 transcript:KGN64093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLNSCTLIVSLIAILAAVQVRNHGGSACQKFLQDPILTVGIFFFVVSLLGLIGSCCRLNSILYLYLIVMFFMILGLMAFTIFSLLVTNKGIGQAVSGRGYKEYRLGDYSHWLQNYVVSHDNWRRIRSCLVDSPICRSLAANFHGEQADFFKENLSPIQSGCCKPPSYCGFEFNNATFWTPPKAGPAVADSDCTTWSNIQNALCYDCKSCKGGILANIRKEWRRFAIFNSCVLAVITIIYCIGCCATKSNHKRNRYYGYP >KGN64009 pep chromosome:ASM407v2:1:3596878:3599921:1 gene:Csa_1G033280 transcript:KGN64009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMYQTRNIGHPLMNLVRLKGFPILQQLKLEERLLRNSSDNWCIINDGTDNPAIVMGVSGKPDELLDIKSVLGDQIPVIRRFTGGGTVIVDHNTVFVTFICNKDAVSGLKPFPQPIMSWSSLVYNKVFQGTDFYLRENDYVFGNRKFGGNAQSITKSRWIHHTSFLWDYEVRNMAYLKHPKRVPDYREARSHLDFLCSLKEYMPRQVFIDKTIEAIETEFATSSKQLEECENSLTGNYNPTTRMLTEQELETAAGCVSQDSELEKVSDIAL >KGN64288 pep chromosome:ASM407v2:1:5181733:5183510:1 gene:Csa_1G045860 transcript:KGN64288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGHGNHRLKLSIFHHRSSSDPKPQRPKDCPQEYLCPISKSLMADPVVVSSGQTFERLSVEVCQDLGFSPRLEEDDSRSDFSSVITNRNIRSTILKWCDNNGIEHPQPPSYTSIELVVRQLMQKEEQENRFEVSDSALIRGVADKPTGVAVHATTEVGLRLNRFQLNSPEQTEEVIRESTLLPFKTQPSSYATNSPSSSHGGIDRDSNHRGYSDSYAPNLEEESRLILKFRSNDEFEQREGVISLRKLTKSNESIRASLCTKEFLAALLPLILSRSTNVQINAVASVVNLSLEKANKLKIVRAGFVPPLIDVLDGGNTESQEHAAGALFSLSLDDENKMAIGILGALPVLMNTLRSDSERTRNDSALCLYHLTLNPSNRVKLVKLGAVPILLSLTRIEGCTSRIVLILCNIAVSVDGRSAMLDANAVSCLVGLLKEKEMDSESTRENCVVALYALSQGGFRFRGLAKEAGAVEVLREVEERGSERAREKAKRILQMMRTGGSGSVEIEGVVQHGLDMGGVSWTGRVGTGLNRYSTNTTKF >KGN66093 pep chromosome:ASM407v2:1:21102190:21104970:-1 gene:Csa_1G570180 transcript:KGN66093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKQDFMNIQACVLRVNIHCDGCKQKVRKILQKIEGVYTVKIDSEQGKVTVTGNIDPGKLIKKLEKSGKHAELWGKQISSNNMNNFNNYNNFNNQFKQMQFQNVKGGGGGGGKDNNMNNHKLQMEKAYLQHQQQQQQQQQLKGSNNITNKGGNISNNNNMHSLMVPPKDKKSVKFNLPEEESDFSGDEFDDDEFDDEFDDDDYDDEDDEDDEDDEDDEDDEDDEDDEDDEDDEDDEDDEDDEDDEDDEDDEDDEDDEDDEDDEDDEDDDFSHGHGHGHGHGHGHGHGHGHGHGHGHGHGHGHGHGHGHGHGHGHGHGHGHGHGHGHGHGHGHGHGHGHGHGHGHGHGHGHGHGHGHGHGHGHGHGHGHGHGHGHGHGHGLPNNMLPGMGKKGGSFGANEPVAYTNFNPMNGKKGGDGGYAKKGEVIDFPIVMKSKGGGNEGKGGKGGGKKDGNSSGSDSGTKSKSKGGDQKKGGKKGGGFLGLGKKGLFGFGGKNGSKKDNGGKKNKGSSNNNKSSENGGGKHNGNGAKKGGKSDGLHEFDKMDLGFHDLDIAKPSKGGKGNGNGNGGSNGNGNSNGNGNSNGNSKNISQMNQMGQMGHGNGNRGPMGHAGVSRGPPMGNYAMNPMGNIPAVQGLPAQPMMNGGGGGYYQGMGQGNPYTQQQQQYMAMMMNQQRGVGNDMFQPMMYSRPNPAVNYAPPPPMFPPVATDQYTLFFS >KGN65368 pep chromosome:ASM407v2:1:14542345:14543162:1 gene:Csa_1G385040 transcript:KGN65368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKIVFKVKDFQLETLTLFDSGADQNVIQEGLVPSKYFEKTKESLTGAGGNPLNIEFKLSKVHICKDNVCLVNTFIPVKNLNEGIILGTSFLTQIYPFYVTKEGIMSKKFDKEITFEFTQPVTPRYISNIEEEIRQFINRIAKKEKQIEFLQDDIKGCKVASAISKPLIQQKIQNFQQRLEKEVCSNLPNAFWDRKKHMVTLPYKEGFKESQIPTKARPIQMNRDLVKPGRKRAWCTKACH >KGN66408 pep chromosome:ASM407v2:1:23338815:23340517:1 gene:Csa_1G600980 transcript:KGN66408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAKKTKKTHESINNRLALVMKSGKYTLGYKTVLKTLRSSKGKLIIIANNCPPLRKSEIEYYAMLAKVGVHHYNGSNVDLGTACGKYYRVCCLSIIDPGDSDIIKSMPES >KGN66465 pep chromosome:ASM407v2:1:23946045:23948819:1 gene:Csa_1G612890 transcript:KGN66465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRCDFLLSSCKSFRQIKQVHARLITTGLILHPIPTNKLLKQLSSIFAPISYAHMVFDHFPQPDLFLYNTIIKVLAFSTTSSADSFTKFRSLIREERLVPNQYSFAFAFKGCGSGVGVLEGEQVRVHAIKLGLENNLFVTNALIGMYVNLDFVVDARKVFDWSPNRDMYSWNIMLSGYARLGKMDEARQLFDEMPEKDVVSWTTMISGCLQVGYFMEALDIFHNMLAKGMSPNEYTLASSLAACANLVALDQGRWMHVYIKKNNIQMNERLLAGLIDMYAKCGELEFASKLFNSNPRLKRKVWPWNAMIGGFAVHGKSKEAIEVFEQMKIEKVSPNKVTFVALLNACSHGNRVEEGRYYFESMASHYRVKPELEHYGCLVDLLGRAGRLKEAEEIISSMHLTPDVAIWGALLSACKIHKDAEMGERVGKIVKELDPNHLGCHVLLANIYSLTGNWNEARTLREKIAESGKKKTPGCSSIELNGMFHQFLVGDRSHPQTKQLYLFLDEMITKLKIAGYIPESGEVLLDIDDNEDRETALLKHSEKLAIAFGLMNTTPKTPIRIVKNLRVCSDCHLAIKFISKVYDREIIVRDRIRYHHFKDGTCSCNDYW >KGN63940 pep chromosome:ASM407v2:1:3208133:3208415:1 gene:Csa_1G030660 transcript:KGN63940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKTVLIVNLEVKDNHEEAAIGARLTFDLCQEIERTESWEDSIDDIVINFEKQLRRKLLYSISFY >KGN65382 pep chromosome:ASM407v2:1:14736114:14739236:1 gene:Csa_1G397120 transcript:KGN65382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVATAATSAFFPAISPSSDSGAGSTKFGSGSVNPGRSRPKSSSRGLLVKANAQAPPKINGTSVGIEGVKFDDDLTTSPPPRTFINLLPDWSMLLAAITTIFLAAEKQWMMLDWKPRRPDMLIDPFGLGRIVQDGLVFRQNFSIRSYEIGADRTASIETLMNHLQETALNHVKTAGLLGDGFGSTPEMCKKNLIWVVAKMQVVVDRYPTWGDVVQVDTWVCASGKNGMRRDWLVRDCKTGETLTRASSVWVMMNKQTRKLSKIPGEVRGEIEPYFANSPPIVEEDGRKLPKLDDDSADYIRTGLTPRWSDLDINQHVNNVKYIGWILESAPLPILESHELSTMTLEYRRECGRDSVLQSLTAVSGAGITNLADAGDIECQHLLRLENGAEIVRGRTEWRPKHATNFGMLDQIPAGNI >KGN66732 pep chromosome:ASM407v2:1:27042965:27043902:-1 gene:Csa_1G665930 transcript:KGN66732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTNSKATYKYRWTTNIEDEPLVECLLQLMEKSDWRAYNWTFKLGYLVKVQKLIKEKLLEATYNRFGWNEERKCIKVEKSMFDDWVKEHHNARGLLNKSFSYFYDLQIVIGRDRTIGDRCKTPVEMDPQTTKDIEKDDIGINLEDFDIPKPHGLELPSVKNMSSTPTSMILDARSYRQSRKRRSYSCTFCASMRETFKEIGKIVAMEERKMKIESSLHKQLYVELQTIHGMDVDNCLIVVESLLPNPTMLHTFLDYPT >KGN64487 pep chromosome:ASM407v2:1:6451016:6451303:1 gene:Csa_1G059185 transcript:KGN64487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVPSHYLHAPADLFEKSKRDWAKTEEGKVHKKVQPNEAEERRIITCTQESQPELSQMKLRKCHIQNTTTENAMEANNMIKIDEVYIELGIDTPI >KGN65627 pep chromosome:ASM407v2:1:17009055:17009936:1 gene:Csa_1G470430 transcript:KGN65627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQDMCSSSSTITTKKKQQQLQEDGFSNTHEERSRCCFEEWMQLQREDLTHLLKSLHQPTNNDTTTTTTTVIRNCISHFEHYISNRTLLAQEHPSPLFAPTWCTSLENSLLWMAGCRPSIFIRLIYALTSCSSEPLITNDDDNKNGNNTVTSIGELSPSQMTRVNGLHMRTIKAEEKLTSELASWQEELADEPIALIAAKGDCGDEVVLNNMMNEEAEMALKEHEKVMGKVIGKADELRLNTMKELVLEILKPTQALQFLVASKKLHLSLHQWGKRRDEKQRRIRCYHSISH >KGN66056 pep chromosome:ASM407v2:1:20919541:20923873:-1 gene:Csa_1G569330 transcript:KGN66056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRNSRTIYVGNLPGDIRLREVEDLFYKYGPIVDIDLKIPPRPPGYAFVEFEDVRDAEDAIYGRDGYKFDGCRLRVEFAHGGRGHSSSVDRYSRSGSSRGGVPRRTDYRVLVTGLPSSASWQDLKDHMRRAGDVCFSEVFRDRGGMAGIVDYTNYDDMKYAIRKLDDSEFRNAFSRAYVRVKEYDSRHSYSRSPSLDSRRSDYSRSPSRSPYRGRGRSQSRSRSRSRSRSRSYSGRSTSLSPRHKHSRRSRSVSEQSLSRSRSRSRSRSRSRSRSPVSSRHRASRPRSRSRSRSKSRSLSPNVRSDRSRSQSVDSRDQYSE >KGN65840 pep chromosome:ASM407v2:1:18944139:18947252:1 gene:Csa_1G533470 transcript:KGN65840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEFVNTHKSFCSFFLLISLLAFLPFLSAFPLPKTHFHQFIFSLIPFGWHGIRQLRNPWADGPEFITQCSIKPGGTYTYRFTIEGQEGTLWWHAHSRWLRATVYGALIIYPKLGSPRPFIMPKKEFPLLLGEWFDRDPISVLRQALFTGAGPNVSDAYTINGQPGDFYICSKKETMRLAVDSGETILLRIINSALNQELFFSIANHQMTVVAVDAAYTKPFATNVIMVGPGQTTDVLVTANQPPAYYYMAATAYNTAQNAPFDNTTTTAILQYNNLPPQQNPQPILAQLPNFNDTPTATRFTDQLRSPNRVSVPLHIDENLFFTVGLGLNNCTNPNSPRCQGPNGTRFTASINNVSFVFPKSNSIMQAYYQGVPGVFTADFPPFPPLQFDYTGNVSRGLWQPRRGTKAYKLKYGSSVQIVLQDTSIVTPENHPMHLHGYHFYVVGSGFGNFNPRTDPARFNLIDPPVRNTIGTPTGGWVAIRFIADNPGAWLMHCHIDSHLAWGLAMVFLVENGEGEMQSVIPPPPDLPPC >KGN64963 pep chromosome:ASM407v2:1:10480732:10482559:1 gene:Csa_1G168890 transcript:KGN64963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVPLLPAAARRLEGKAAIITGGARGIGESIAKHFFNPGAKVVIADILDDLGNSLSNHLSSSSTSFVHCNVTKETDVENVVNTAVSKYGKLDIMFNNAGIPGALKFNILENEYSDFQNVLNVNLVGAFLGTKHAAKAMIPAGQGSIVITASVCSSIGGIGPYAYTSSKHGLLGLMRNATIDLGRYGIKVNCVSPHVVPTQMTREHFKLKDGDEFPDVYSHNFKCGDILRKEDVAEVGLYLASDASRFVSGHNFVLDGGFTAGNQGLCSYQQFGN >KGN64682 pep chromosome:ASM407v2:1:7608750:7610584:1 gene:Csa_1G073890 transcript:KGN64682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPYRDVLPGHGLVFICVPTTGIEGTSAAQNLGFLNFTLNGNPNNHVFGVEFDVFENEEFSDPDDNHVGINLNSLTSFITEEAGFWSDGGPNAAGTLNRLRLNSGENYQVWIDYSDFRMNVTMAPAGMKKPRRPLINTSLNLSGIFMDEMYMGFTSSTGQLVQGHNILAWSFSNTNFSLSESLITTGLPSFLLPKDPITKSKWFIAGVTAGGFLVVCFFATILGILIADYRRKARLRAEMEDWELEYWPHRLTYQEIESATKGFAEENVIGIGGNGKVHKGILAGGSSEVAVKRISHDNDGMREFLAEISSIGRLKHRNLVSLKGWCKKEKGNFLLVYDFMENGSLDKWVFDCDERNMLSWEDRIRVLKNVATGVLYLHEGWESKVLHRDIKASNVLLDKDMNGRLGDFGLARVHGHGQVASTTRIVGTMGYIAPELIRTGRASTQSDVFGFGVLILEVMCGRRPIEEGKPPLVDWVRQLAIEGKITAALDSRLRKKGEWNEEEVGRVLHLGLVCAHVDPNNRPTMRQIVKVLEGKIELDESESESMNAYLLQRLKSEGMLCDSGVGFGKNLHPTFEDFLQSYSTSLSWTNSSVTGR >KGN64482 pep chromosome:ASM407v2:1:6401612:6408938:-1 gene:Csa_1G058160 transcript:KGN64482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKESSINDLSQEDDDTNSSSSGSQSRGKSEANYSFPDQDNNTPPAEDVFININEGEEEEEGEEEEISKNDSTSKKEESKNDSTSEKEESKNDSSSEIEESKNEGVEAEPIPNTVPENEPFIVHSRMKNGYVPPKVVLYQAAINGDWRTAKSIFDADPSAITMKITVSEDTPLHIAVFANRISFVENLVELSSSSDLEIKNENGYTALLLAASSGVVKIAEKPELATARLCLEQGCKGCQNWSDTALHVLSRKQSVIGSSSDSPWKRHVNSRTQITVVININDEEEEDEEDEEDSEEERKHDSTSEKEEIKNDSRSEEEEEEYKEESDNDGVEAELIPDPLPEKEPLILHKCPALPFLLHTLGYLLQVNNPFCKDWKGKRRRRAKPNGESSSSIEARWLETSSSDKLVAFSLLFVSTSAACDA >KGN64270 pep chromosome:ASM407v2:1:5075970:5079281:-1 gene:Csa_1G045680 transcript:KGN64270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRGKLEKVDMIVSFTRQRSIQILLIIGVLYLLLVSLEIPLVFRAGSSVVSQDSLSRPSPLESEEDLEEREAPSRPLENISRNSLQPTPSRLNQFNKIISGLALETEAFESRSEDAISEFYRSAKIASEVGKKFWDELESGKSQHLEKKKAEKGSNSSCPHSISLSGNDFLAHGGVMMLPCGLTLGSHITLVGKPRVAQPESDPQITMVKNGEESVMVSQFIMELQGLNTVEGEDPPRILHFNPRLKGDWSGKPVIELNTCYRMQWGSAHRCEGWKSKANEDTVDGQVKCEKWIRDDEGNSERSKATWWLNRLIGRTKRMDIDWPYPFAEDKLFVLTLSAGFEGYHVNVDGKHIVSFPYRTGFALEDATGLSVIGDIDVQSVLAASLPQSHPSFAPQQHLEMSRRWQAPPLPDGEIDLFIGILSAGNHFAERMAVRKSWMRHKLIRSSKIVARFFVALHARKEVNVELKKEAEFFGDIVIVPYMDNYDLVVLKTVAICEHGVHAVSAKYIMKCDDDTFVKVDSIMNEIKSVSGTGSVYIGNINYYHKPLRYGKWAVTYEEWPEEDYPPYANGPGYIVSSDIAQFVISNFERRKLRLFKMEDVSMGMWVEQFNSSKAVKYVHSFKYCQFGCIEEYSTAHYQSPRQMICLWNKLLRQAKPECCNMR >KGN64768 pep chromosome:ASM407v2:1:8425526:8427382:-1 gene:Csa_1G095540 transcript:KGN64768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELGAENCAILSGKWWPDGGVLVTCVKNFPERRGVMLGLLKGFVGLSGAIMTQFYIAIYGHDTKSLVLLLAWFPSLISLLFVYTIREIKSVKHPNEFRVFIQFLCVTVLLTILLTVIIFIQKRIHFDQSAHIAIVAAILALLFVPLLIAIREEVVLWNLNKRTRISNPFTRIKIETSQTNSPVDSPSTSQHPHPHPPQTQPTSCFSKIFNKPERGEDYTVLQAIFSIDMLIICFTMMIGVGASLTAIDNLGQIGEAQAYSSETINLLVSLMSIFNFAGRIFSGFVSEILLEKFQFPRPLMLTLILLISCLGHLLVAFPFDDSLYVASIIIGFSMGSQVPLHFAMISEIFGLKHYSTLFNFGQLSCPIGSYILNVLVTGKLYDEVARIGSNPNMLHCVGTHCYERSFLILAGLTFMVAMVSLILVKRTREFYRGDIYKKFREDMETLKTEVEFYRVDEKKTRIGNLLVDKHSIHFKK >KGN64657 pep chromosome:ASM407v2:1:7458350:7460529:1 gene:Csa_1G073650 transcript:KGN64657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMLVLIVVFVFDLVAFALAVAAEQRRTTASVVQTGNSRFCAYDSDIATGLGVGSLLILFASQVILMVASRCLCCGRGLRPGGSRAWAITLFITSWICFAIAEICLLAASVRNAYHTKYVSSIIDEQISCKMLRRGVFGAGAAFIVFTCVASELFYVSFSKAHVETSSFAKDSGIRMANL >KGN64850 pep chromosome:ASM407v2:1:9406775:9407895:-1 gene:Csa_1G132110 transcript:KGN64850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGKSYFSRSKFRFLSPGDGPDHHRNDAAFDFDESDLFNSPHSGTSPEFRRPASKSRISKRISPVDVGDRSVTTVSAASLPVNIPDWSKILRNEYIDNRRDDFEDEDGDDEGDEVEEKRFRVPPHEFLAKTRIASFSVHEGIGRTLKGRDLSRVRDAIWQKTGFED >KGN66778 pep chromosome:ASM407v2:1:27611518:27611712:-1 gene:Csa_1G690170 transcript:KGN66778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVGAVQRTRHKITHGKRHEAYVCAMQLAWCKMHILFEVHDQDEHNVSLARLARGELRPSPMSR >KGN66802 pep chromosome:ASM407v2:1:27844765:27859736:1 gene:Csa_1G695370 transcript:KGN66802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGILFSPVSFSGKHANCRDFRLPARHSLVLLKSQKFLVKSSLDKDVSDMSVNAPKGLFPPEPERYRGPKLKVAIIGAGLAGMSTAVELLDQGHEVDIYESRTFIGGKVGSFVDKRGNHIEMGLHVFFGCYNNLFRLMKKVGAEKNLLVKDHTHTFVNKGGEIGELDFRFPVGAPIHGIQAFLTTNQLGTYDKARNALALALSPVVKALVDPDAAMKDIRNLDSISFSEWFLSKGGTRASIQRMWDPVAYALGFIDCDNISARCMLTIFSLFATKTEASLLRMLKGSPDVFLSGPIKKYITDRGGRFHLRWGCREVLYDKFADGETYIAGLAMSKATNKKIVKADAYVAACDVPGIKRLIPSQWREWEFFDNIYKLIGVPVVTVQLRYNGWVTELQDLERSRQLRQAVGLDNLLYTPDADFSCFADLALTSPEDYYIEGQGSLLQCVLTPGDPYMPLLNDEIIARVARQVLDLFPSSQGLEVIWSSVVKIGQSLYREAPGKDPFRPDQKTPIKNFFLAGSYTKQDYIDSMEGATLSGRQTSAYICDSGEELMMLREKIGDIESETAKSSDELSLV >KGN65266 pep chromosome:ASM407v2:1:13257516:13257866:-1 gene:Csa_1G287000 transcript:KGN65266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIRSVAVVTFLLGVSVIVSESRVARKDLGLDLGGVGLGVGTGMGLGLGGSGSGSGSGSGSGSSSASLSHSSYAGSYVGSGSGRNRNGGSGSGSGRGNGGEGYGEGHGYGNGGEN >KGN66401 pep chromosome:ASM407v2:1:23290510:23293006:-1 gene:Csa_1G600910 transcript:KGN66401 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipid binding protein MAAGGPWILAVAVLVIMAIEGISGQAPAPGPGAVGEVDCFTTLLGMSDCLPYVTTGSNDTKPNKQCCSELAGLVENSPKCLCELLSDPDKVGFTIDVDRAMKLPTQCHVSTPSISLCSLLGYPVGSSNSPAPSPGVQPPDAGGSSTTDTPGNSGNRASSIDHLRLAFPLGLALAFIPALF >KGN63668 pep chromosome:ASM407v2:1:1463118:1463449:-1 gene:Csa_1G009720 transcript:KGN63668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLFSRKGLRFVFVVACFLLCVLDQQPQTTANGLSTIDAALKWVAFKSTNTINTHKADSSDEKNLNLAAPSPAMNFDPNQSNKRGVKKGSDPIHNRS >KGN63785 pep chromosome:ASM407v2:1:2140110:2141315:-1 gene:Csa_1G015770 transcript:KGN63785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKMEKMICESKKMIMDWGRLPTCIGTTIFSKLVISDLPICRLVCKTWNHTVLDYASAPQFQCLTGALLICTHDEAISIVDDSFKLKCNAKMQCMNFDSRKHLHANLDLELELMKSPSLLFDGNRSIHIINQCNGLLYIITVDYECHGMINHEIFNPMTNEFLQVPWTDEYRYDAIGFGCSTSTKQYKLFRVRTTLPNEAFRKRMEIDVLRFGNDNKWRSLPFFQPSSSHKFVCSAYLNGVIYWLGKLKRDRNKVVIYALDVETEKFESSTILEVGRINQEILNIYNFNKTIYATFITLVDATYKYPIQIWKMQGKNSWSIQEVLNNDTPNDHSRDLAIIKEAFEDREHMVNFRIFCFSNSIFGRMRKKIVSWYQEERSIWKIESLNFGSLSNILAGDSQD >KGN63781 pep chromosome:ASM407v2:1:2115136:2122118:-1 gene:Csa_1G015740 transcript:KGN63781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYKPLDSITVIDIEALGVGSQLAKQLHGSLGEIIRTYGNGTPATWLHISKKLLTPDLPFSFHQMMYYGCYKNFGPDPPAWIPEPENAVFTNVGKLLEKRGKEFMGSSYSDPLSSFSSFQEFSVSNPEVYWRTVLDEMNITFSKPPNCILQINDSPESKFSSPGGQWLPGAVFNPAKDCLSLNENRSLDDVAIIWRDEGYDDLPLKRLTLRELRAEVWLVAHALNSIGFEKGTAIAIDMPMNVNAVVIYLAIVLAGHVVVSIADSFAAREISTRLDISKAKAIFTQDLIIRGDKSIPLYSRVVDAQAPLAIVIPTNSTGFSMKLRDGDISWHAFLDKVKDLKGVEFDAVEQSAESFTNILFSSGTTGEPKAIPWTLVTPLKAAADAWCHMDIHKGDVVAWPTNLGWMMGPWLVYASLLNKASMALYNGSPLSSGFVKFIQDAKTTMLGVIPSIVRSWKSTNCTSGYDWSSIRCFASTGEASSVDEYLWLMGRACYKPIIEYCGGTEIGGGFVTGSMLQAQALAAFSTPAMGCSLFILGDDGSPIPQNMPGIGELALGPFMFGASSSLLNADHYDIYFKGMPIWNGMLMRRHGDLFERSPRGFYRAHGRADDTMNLGGIKVSSVEIERICNTVNNSILETAAIGVPPQGGGPERLVIAIVFKNPSETSIDLDKLKQSFNSAIQKNLNPLFRVHRVVPYPSLPRTATNKVMRRILRQQLTLEHKTKL >KGN66072 pep chromosome:ASM407v2:1:21004423:21009496:-1 gene:Csa_1G569480 transcript:KGN66072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKISGCSDDGGSFVSDAEKLENQFFNGTFESHHQQPQPQLVVPKKKRNLPGTPVPDAEVVALSPETLMATNRFLCEICNKGFQRDQNLQLHRRGHNLPWKLKQRTSGSETKRKVYVCPEPSCVHHDPGRALGDLTGIKKHFSRKHGEKKWKCEKCSKKYAVQSDLKAHTKACGSKEYKCDCGTIFSRRDSFITHRAFCDALAEEHNKLVNAHQGATTMASSTAINGPNSFQPQPLPHLLSRPGILSLPLTTLPHDLMPIPPKPLNLSAGSMFSSSISNNSATPTTFQNDNHLFSSSSALMSATALLQKAAQMGAAVSSGGNSGTPCFNSPMIHEKKGFVTTMAPSSFCGLLATNCLQRCPQDQNMLSQLPSKGKAMDMEMMIDDDNNNNNITMMNGVFDQRSLLVEAARKTTTLDLLGDEGGNNKGMKFQAQDHQSSVGLLEGLWRI >KGN66278 pep chromosome:ASM407v2:1:22462306:22463153:-1 gene:Csa_1G595820 transcript:KGN66278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSSTSTSTPHPAKSSLPMLYYGLVVVGTAAIVLVLYNFIVIKWCSDNRHRRRMAGSYEEMMNSSRSFQSSLSSFKFKKVAGAGAEEGNGNECAVCLSAFEDGEEVKKLPRCTHTFHASCIDMWLYSHSDCPLCRAPVAVAVAGRSRHEATAEQEENSGHVLLEV >KGN64466 pep chromosome:ASM407v2:1:6285702:6288084:-1 gene:Csa_1G057020 transcript:KGN64466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPTTHRRRKTTNNNSFCRAPCTLLLSPLELQTPAVTSRLHLHPSVDPQPQATPFCSGSAASQSRPLLYSMPPPPAECHVGLTSSTEDTLTQRPRLTESEVDSGRVSTQLNVVG >KGN64517 pep chromosome:ASM407v2:1:6632388:6643148:-1 gene:Csa_1G062920 transcript:KGN64517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVALQAHVSRCWRTTNLSEAQGGSLRSRLFHGNRASSTTSTTLSPLSFRGHLVAGRSFRIVASARQSDSSRRRSRKLSTAKLESSAAKGFKPKVPVGASTPERDDEEEEGSATLKSSAHTKPNQAAVKLTVGDKVDLAAKVSQKDEDVQKKIGNDAERKSSLTSKSTSVDENNAAIDNGMAGRLSGIGRRLQEKEEENEPDETVSDVLDNSEEDEPLKTEEKLTEESLKLKLEMEANAKRQEIEKLAEENFLGGIQVFVFPPVVKPDQNIELFFNRSLSILNGEQDVLIMGAFNDWKWKSFTTRLNKANIDGDWWSCQIHVPKEAYKIDFVFLNGKDVYENNDGKDFCIYVEGGMDASTFEDFLLEEKRKELERLAKERAERERQEEELKRIEAEKVASEADRAQAKVETEKRREVLKHLLKTAVKSVDNVWYIEPTLFQGGDSVRLYYNKNSGPLAQAEEIWIHGGHNNWKDGLSIIEMLVFAVTKDKCDWWYADVTVPDRALVLDWVLADGPPKKANIYDNNKRLDFHAIVPKAISEEVYWVEEEHMTYRKLQEERRLREEAIRAKAERTARMKSETKERTMKNFLLSQKHIVFTDPVDVQAGSAVTVFYNPANTPLNGKPEVWFRCSFNRWSHRKGPLPPQKMLPVDGGSHVKATVKVPLDAYMMDFVFSEREDGGIFDNKNGMDYHIPVVGGINKEPPLHIVHIAVEMAPIAKVGGLGDVVTSLSRAIQDLNHNVDIVLPKYDCLNLTNVENFHHRQNYFWGGTEIKVWFGKVEGLSVYFLEPQNGFFWTGCIYGCANDGERFGFFCHAALEFLLQGGFHPDIIHCHDWSSAPVSWLFKEQYMHYGLSKARVVFTIHNLEFGAPLIGRAMLYSDKATTVSPKYSKEVSGNPVIAPHLHKFHGIVNGIDPDIWDPYNDKFIPVSYTSENVVEGKRAAKEALQQRLGLSRSDLPLVGIITRLTHQKGIHLIKHAIWRTLDRGGQVVLLGSAPDPRIQNDFVNLANELHSSFPDRARLCLTYDEPLSHLIYAGGDLILVPSIFEPCGLTQLTAMRYGSIPVVRKTGGLYDTVFDVDHDKERAQAAGLEPNGFSFEGADPSGVDYALNRAISAWYNDRSWFHSLCKKVMEQDWSWNRPALDYLELYHAARK >KGN64153 pep chromosome:ASM407v2:1:4392636:4392959:1 gene:Csa_1G042625 transcript:KGN64153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTCHRLGHVLFQCQPHRVPTNQAFLLPWKALNCGVQYNEHNICKMRKNPIRKTWNRVLLLDCKLDAINKLCSKANRERDVSTCANDDVGLEIDEFFVTRNHGFDHM >KGN66362 pep chromosome:ASM407v2:1:23053432:23054559:-1 gene:Csa_1G599543 transcript:KGN66362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFIFAATEEAIFVHVILSAKHIRALSSAEIERVLKNSAHNSCLGLPVIVSPHGIRGRFTGCCASDVVKRIYSSSGKSRTSYGFVGLPHHVSQGGCQLKGQNCYVEVTLGCPKSMSEKPLQSNSNYTKNVSMPQVTESLTGRGDLKGSSNHLSSHKKTFIYPSEAVLVLLLQTSFARSSLKR >KGN66669 pep chromosome:ASM407v2:1:26285485:26289430:1 gene:Csa_1G656460 transcript:KGN66669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVALPDAAMDAVQKRLMFEDECILVDENDCVVGHESKYNCHLMENIESKNWLHRAFSVFLFNSKYELLLQQRSATKVTFPLVWTNTCCSHPLYRESELIEEDALGARNAAQRKLLDELGIPAEDVPVDQFIPLGRMLYKAPSDGKWGEHELDYLLFIVRDVNVNPNPDEVADVKYVTKEQLKELLRKADAGEEGLKLSPWFRLVVDNFLFSWWDHVEKGTLKEAADMKTIHKLT >KGN66569 pep chromosome:ASM407v2:1:25038131:25038800:-1 gene:Csa_1G629750 transcript:KGN66569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLKPFLQKHKCYGAGLSFLAGGVFGWTFGQEIANHWYQLYRVDTMAAQVKFMEWWRNKSEGSS >KGN63851 pep chromosome:ASM407v2:1:2554015:2556107:-1 gene:Csa_1G024830 transcript:KGN63851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGLVLRGTMRAHTDMVTAIATPIDNSDMIVTSSRDKSIIMWRLTKEEKTYGVPQRRLNGHSHFVQDVVLSSDGQFALSGSWDGELRLWDLATGNTSRRFVGHSKDVLSVAFSIDNRQIVSASRDRTIKLWNTLGECKYTIQDGDAHSDWVSCVRFSPNTLQPTIVSASWDKTVKVWNLTNCKLRVTLAGHAGYVNTVAVSPDGSLCASGGKDGVILLWDLAEGKRLYSLDAGSIIHALCFSPNRYWLCAATESSIKIWDLESKSIVEDLKVDLKTEAEKTDDTHAATANKIKVIYCTSLSWSADGSTLFGGYTDGVVRVWGIGRY >KGN65262 pep chromosome:ASM407v2:1:13228985:13229657:-1 gene:Csa_1G277980 transcript:KGN65262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLKSLVLAALLVSSLFVVSSQSRVARKDLGLDLGGVGIGVGAGVGIGLGGSGSGAGSGSGSGSGSSSSSSSSSSSSSSSSGSGSDSGSEAGSYAGSRAGSGSGGRGGEGSGYGGGYGSGYGGGHGK >KGN65358 pep chromosome:ASM407v2:1:14357968:14361366:1 gene:Csa_1G372010 transcript:KGN65358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQNNNHTHSNGKNSDSHPNIPQIKFTKLFINGEFVDSVSGKTFDTIDPRTEQVIATVAAGDKEDVDLAVKAARQAFDHGPWPRMSGAERGRIMTKLAGLIDEHKEEVAALDTIDAGKLFVLGKIMDIPGAANTLRYYAGAADKFHGEVLKMSKPLHGYTLLEPIGVVGHIIPWNFPTTMFWLKVSPALAAGCTMIVKPAEQTPLSALFYAHLAKLAGIPDGVLNVVTGYGSTAGSSIANHMDVDKLSFTGSTKVGRLVMQAASASNLKQVSLELGGKSPLLIFNDADLEKAADLALLAIFYNKGEICVAGSRVLVQEGIYDEFVKKITEKAKSWAVGDPFDPNVKYGPQVDKKQMDKILKYIEHGKREGATLVTGGKRIGNVGYYIEPTIFTNVKEDSLIAQDEIFGPVLSVIKFKTIEDGIRSANNTKYGLAAGIVTNSLDIANTVSRSIRAGTIWINCYFAFDPSCPFGGYKESGFGRDSGMHAINKYLQTKSVVIPLVNTPWL >KGN63699 pep chromosome:ASM407v2:1:1625969:1629551:1 gene:Csa_1G011510 transcript:KGN63699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEKADTDNQSWHLPILIFFILITGRIVEGQELLRDNTEVLLQLKSFLEEHNPIKRGKYSSWNLESSPCSWAGISCNQNKSQVIGIDLSNEDISGKIFHNFSALSELTDLDLSRNTLSGEIPGDLNNCRNLRKLNLSHNIIDDKLNLSGLINIETLDLSVNRIWGEIRLNFPGICRTLMFFNVSGNNLTGRTDDCFDECWNLQHVDLSSNEFSGGLWSGLARTRFFSASENKLSGEVSPAIFTGVCNLEVLDLSENALFGGAPAEVSNCGNLSSLNLWGNQFSGKIPAEMGRISGLQNLYLGKNNFSREIPESLLNLSNLVFLDLSKNHFGGDIQEIFGRFTQVRFLVLHGNFYTGGIHSSGILKLPRVARLDLSFNNFSGPLPVEISEMKSLEFLILAYNQFNGNIPSEYGNLKNLQALDLSFNRLNGSIPSSFGNLTSLLWLMLANNSLTGEIPRELGSCSSLLWLNLANNKLHGRIPSELTNIGKNATATFEINRRTEKFIAGSGECLAMKRWIPVDYPPFSFVYTILTRKSCRSIWDRLLKGYGLFPFCSKIRTLQISGYVQLTGNQFSGEIPNEIGMMKNFSMLHLSFNNFSGKLPPQLGSLPLVVLNISDNNFSGEIPMEIGDLKCLQNLDLSYNNFSGMFPRSFVNLNELNKFNISYNPLITGEVIPSGQFSTFDKDAYLGNPLLRLPSFFNTTPPKSAGNPRTAGSSKRNSRLVGMLASLSLILAFLVFGTFSLIVFLMVRSSDESRGFLLEDIKYIKDFGSSSHSSSPWFSNTVTVIRLDKTVFTHADILKATGNFSEDRVIGKGGYGTVYRGMLPDGRQVAVKKLQREGVEGEREFQAEMQILTGNGFNWPHPNLVQLYGWCLDGSEKILVYEYMEGGSLDDLILDRLRLNWRRRIDLAIDVARALVFLHHECFPSVVHRDVKASNVLLDKDGRGRVTDFGLARIMDVGDSHVSTMVAGTIGYVAPEYGQTWKATTKGDVYSFGVLAMELATARRALDGGEECLVEWAKRVMGNGRHGLSRAVIPVAVLGSGLVEGADEMCELLKIGVRCTNEAPSARPNMKEVLAMLIDIIGLRGGDEFKHIFSPPSL >KGN66605 pep chromosome:ASM407v2:1:25541991:25542829:-1 gene:Csa_1G639010 transcript:KGN66605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSTSTRNFEKGECSKESEGINTQIPEYYLTFQKIMLTNNEELNKKLDKLIGEVEEIKNKLTNRSSLMTEEENWKEMDEDRDGGNGERDDRLVNEGEDGNTENIKMDEEGDRTTIVNSENEAARNESLDDMVYNTALLKEVEQIEKEALKMKTKKLEKRHINQRGAVKNKTVKVRESDIFAYNQ >KGN66872 pep chromosome:ASM407v2:1:28481779:28484151:1 gene:Csa_1G701970 transcript:KGN66872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKGIGGGTGPTAAAAAAAAQKQKLLLQRVETDIANIVDNFTHLVNVSRVNDPPVRNSQESFMMEMRAARMVQAADSLLKLVSELKQTAIFSGFASLNDHVEQRIVEFNQQAQQTDRLLSRIGEEAAANLKELESHYYSSTQRTDQNSLT >KGN66458 pep chromosome:ASM407v2:1:23914773:23915432:1 gene:Csa_1G612330 transcript:KGN66458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEAPDSSFIPTVIRRSTFPPGFVFGSASSAYQYEGAAFEYGRTPSIWDTYTHLHPERIDDGSNADVTVDQYHRYPVDVEIIKKIGFDAYRFSISWSRVLPSKDLSIT >KGN63460 pep chromosome:ASM407v2:1:177726:181004:1 gene:Csa_1G001320 transcript:KGN63460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEDDLIDIKFRLYDGSDIGPFRYSSASTIDVLKQRIVSDWPKGKTITPKAASEIKLISSGKILENNKTVGQCKLPFGEFTGGVTIMHVVVQPSLAKAKTEKKTDNSQQKIVCSCSIL >KGN63782 pep chromosome:ASM407v2:1:2125181:2125829:-1 gene:Csa_1G015750 transcript:KGN63782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLHPLCASSQYPEPKKDQLVSIIHHILRAHRPLYLYAMESLIGSRDLYFEAPIFFSLWVHRGVPYPSLPRTARDEVMRRILRRQLAVER >KGN66553 pep chromosome:ASM407v2:1:24910637:24915950:1 gene:Csa_1G629100 transcript:KGN66553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLAPNLLRKISSSPISSTPFHRFSLSTFLNLDLLQQQLLLRFITGSASSPSLSIWRRKKEMGKEGLIVVKELKRLQSNFIRLDRFISSHVSRLLKSDLVAVLVELQRQNHVFLCMKSHANSMPIQCTR >KGN64335 pep chromosome:ASM407v2:1:5451544:5452616:-1 gene:Csa_1G046310 transcript:KGN64335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLQLNGEIPLVLHPIQNSLHFFKPISPNLISFQQKQIKRSQFNRVRVQFHQTQSSNPKKRTQDDGIPSDDVKILAKFKSRHNFIRVLEVSRRAEHPLAGSRLLLLDAPGNIHSISFLFKSLTNTYFDVFATLPPILPSGPIGILGFGAGSAARSILKLYPEVVVHGWELDPSVVAVGREFFGVSKLEKKYPDRLFIYIGNALNANVTGGFAGILVDLFSEGSLIPELEDPNTWRMLERCLMKGGRVMVNVGGSCVEAEDIRRDGKVVMEQTLKAMHQVYGKKLWVLRLGNGEDDSSLALTGDLPDIVAWKKLLPRSLRFYADMWTLYNGA >KGN65487 pep chromosome:ASM407v2:1:15708074:15710286:1 gene:Csa_1G425910 transcript:KGN65487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTLNLFTNVPVDTVVAADILKDASKAVSKILGKPESYVMILLNGGTPIVFATTEEPAAYGELISIGGLGPGVNGKLSSTIAEILQTKLQIDGSRFYIKFYDVQRSNFGYNGSTF >KGN65035 pep chromosome:ASM407v2:1:11081453:11082305:1 gene:Csa_1G181470 transcript:KGN65035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYSFICQLSRHSLDRLQPQRVGQASFRERGRAAEISSFSYGEDKMNTDITASTKPEYPVVDRNPPFTKVVGNFDTLDYLRFVTITGVSVTVGYLSGIKPGIRGPSMVTGGLIGLMGGFMYAYQNSAGRLMGFFPNDGEVARYKK >KGN64268 pep chromosome:ASM407v2:1:5067118:5068869:-1 gene:Csa_1G045660 transcript:KGN64268 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding protein MYSLFSGLQSISSAGEQHHQNTSTTATMWMGATSMAGWWAAAGPTIASFMFVWAMIQQSCPRAFRHYFEKYSLRFMNYFHPYIQISVHEYVGERLKRSEAFSAVESYLSKNSSQSATRLKAEIGQDSTNLVLSMDDHEKVTDEFQGVKVWWVLNMTGSSKSSGNSFPDPDRRYYTLTFHKRSRKLITETYLKHVLGEGKEIRVRNRQRKLFTNGSGGRWYYSQTMWSHIVFEHPATFDTIAMESEKKQEIIDDLLTFTRSKELYARIGKAWKRGYLLYGPPGTGKSTMIAAMANLLNYDVYDLELTAVKNNTQLRTLLIETTSKSIVVIEDIDCSLDLTGQRKKKEEKSTDDKEKSPKESSKKEEDDTSSKVTLSGLLNFIDGLWSASGGERLIVFTTNYVEKLDPALIRTGRMDKHIELSYCSFEAFKVLAKNYLNLETHLLFDQIKELIRCVKITPADVAENLMPKSPNDDPDKLLRKLIQTLEGVKTAAVERESQEVNPEGLTN >KGN64005 pep chromosome:ASM407v2:1:3580449:3581567:-1 gene:Csa_1G033240 transcript:KGN64005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASHFLRLLVIFLGLYNLLCSNAVPTSRSVSLLHGSLPFPHVSSNTLMVTKTEEEESHGRMVVALNDYPGSGANNRHTPRPQFRGCADC >KGN63523 pep chromosome:ASM407v2:1:546702:549784:-1 gene:Csa_1G002920 transcript:KGN63523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIQIILAAQESSWKLTIAKNVDETLNVPLVVDFATLFSSCEVMGGKSSKHRSSRRNSSSRSNSQQWSHYGYPESPYTQSRSTPQYEYAPPTPSYGGTQAPETRKRLERKYSRIDDNYNSLDQVTEALARAGLESSNLIVGIDFTKSNEWTGARSFNRRSLHHIGEEQNPYEQAIAIIGQTLSSFDEDNMIPCFGFGDASTHDQEVFSFYSDERFCNGFEEVLGRYKELVPHLRLAGPTSFAPIIEMAITIVEQSGGQYHVLVIIADGQVTRSVDTERGQFSPQEKKTIEAIVKASKYPLSIILVGVGDGPWDMMREFDDNIPARAFDNFQFVNFTNIMLKNIDRSRKEAEFALAALMEIPSQYKATLELGLLGVSRGKAIDRIPLPPPFYGPSVRKTSNSSSSRPTPPSFSGGAPGRTAPPLSSVSDAHACPICISNAKDMAFGCGHQTCCECGQDLQLCPICRSFIDTRIKLY >KGN63622 pep chromosome:ASM407v2:1:1186067:1189517:1 gene:Csa_1G006320 transcript:KGN63622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHLKLEGAINLKEDLIATACSAAIKAHKHPEKPFLLDKTRDSSIISFAGSSSPEAWFSASDSSFGETKIDTQLFPSVRSIGVDDYAVVNSAFLRRFQGILGKLKEVLRVNKSVVFTGHSAGGSIAILATIWLLEQQRNPDSNPYTNFTPTCITFGSPLVGNFIFYHALKREKWSTQFVHFVTRYDIVPRIHLAPLSSLQPQLQTILNCLNSRSLGSTVNGNVATEFFMTVMRNASAVVSNAACRLMGNTNLLLDTLQSFVKLSPYSPFGTYIFFTESEKAVVVTNPDAVLQILFYACQLSSESECDHIAQQSLKAHWGYESKMQQNLELLHAIRLDELAKLPLSLTGRNTAITEALNELGLSTRALLNLRAAGAYEEQKTRNKERMEVKKQNIEDHLNWLEVDYRAVCKVDGFGYYDVFKLQKDPIDFQANIKRLELAGIWDETVEMLKRYELPEEFEGDDEWIKLGTRFRRLVEPLDIANYYRHSKNDDTGPYLIKGRPKRYRFTQRWLEHNKKMSDPSEESTLWAKVEEIRIKTKTKMYAECSREIIELERKMKRWINEIEDDMLLKKSTFMEWWKTLPEHHRSQSCIKDDIERMVNDNNATNTM >KGN63450 pep chromosome:ASM407v2:1:129595:130200:1 gene:Csa_1G000730 transcript:KGN63450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKGGEYEKANDREIRNGNGSSNAITKGGKMVTDGGPARVGVAVLADEADGGGSRATAEHQSHHQQVMETKEEKYVEIEREGEKTEGRCNSVSKSKELESRGVVVSSVKRDTKNENGLLGGEDDPGSSQCGGNCQNSEDNLGITKMEVGDGRGVIMIMIVRTRLGLQIHIIAIAIAIAIGHVMG >KGN64277 pep chromosome:ASM407v2:1:5115845:5120536:1 gene:Csa_1G045750 transcript:KGN64277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARARTCEKKKGKKIKLNTGVVATADVKARGGNRTNLPPYSSFSFLSSFLPHNLDQDKEILHASLIMEDSEKLTALKKAYADIILNTAKEAAARIMVSERNAIRCQQELSTTKDEAFRILLRLKQMLDSKVSEAEIVSLNQKKKIEELEAQLEEAEDIVRELRVQLQEVQDELEHVRNKNVEPQDKQNLANNIVSPEAFPNSHEKIAPYDISSTLNGTCLDSWPESKNDSQMDKGQVHRDFASMVMRSKEPELYRNGCTQRVRAFERKSFDGKVCVTGQAEDVKNKVCNMDEEEGKLMRKTNTTKVDNISGERKNSNEIKALPKLLSRDTQVPILKSLRRKRKRATRYNKKKVLTVLDDTPNQCKSPDLHCSESLSVDNDDAGNFLSKKEIDSQNGLILLSTPLLSEINEIPTPSGCPDASEGDGAVINDCPLRNMTDHDTAVVGKSDFGSQESLCGENLEASTDKVDLDPVKESSIQLDMKNSDVIDEIPSQQSNNKVLKYTFQRKRKKESLSSPDGKSSVDESISKKRMKDKQSVSSESDKFSLMTESSRDNRRLAQVARQLISLSEKKWR >KGN65121 pep chromosome:ASM407v2:1:11987221:11991831:-1 gene:Csa_1G228970 transcript:KGN65121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMYTYSSFCQFFLDVLVQKRSENLAVSSGEQTDALNTENKSTLVKGNNVSAHQAPANNANLVYMEEFDASIAILNIAIVWFNLHEYTKALAVLEPLYQNIEPIDETTALHICFLLLDVGLACRDASLSADVLLYLEKAFGVTSTNQSENGSTGVPQSTNVVAKSSSVPTNASAFDSSNSDLAASVNSSENPLSRTLSEETFEYESMLSTLDIGGQNPATQTGFPSSNVLLRIPVDRSLSTVDLKLKLQLYKVRFLLLTRNLKQAKREAKHAMNIARGIDSSMALLLKAELEYARGNHRKAMKLLLASSNRTDLGISSMLNNNLGCIYNQLGKYHSSTVFFSKAVSNSTALWKDRKPTTVSQDNSLLIVYNCGVQYLACGKPLLAARCFQKASLIFYNRPLLWLRLAECCLMASEKGLLKDNLADSDRSDIKVHVVGMGKWRELVLEDGVSKNGRANSSGREDGHFSSEGQPKLSISLARQCLSNALYLLNHSETSFLHSVLSPNSSLEDRDSNEVAASRRNFKNLHCIDSKTSSTLGSSQITANGDAKEQKGATIQELVQNSLSYYDEISRRENLLIKQALLANLAYVELKLGNPLRALTIARSLVELQESSKVYTFLGHVYAAEALCLLNRPKEAADHLLYYLFGGVDFKLPFSQEDCELWRMDGTGDLEGANGGSTTANISSQEEPHHINFLRPEEARAVLLANFATVSALQGNFEEAKQFVSEALSIMPNSPEATLTAVYVDLALGKSQEAVAKLKQCSCVRFLPSGLTMKRSS >KGN63564 pep chromosome:ASM407v2:1:783490:791047:1 gene:Csa_1G004310 transcript:KGN63564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSMLSGERRWTSARRGGMTVLGKVAVPKPINLPSQRLENHGLDPNVEIVPKGTLSWGNKSTSSATNAWGSSSVSPNTDSASGSPSHLCGRPSSAGGGTRPSTAGSDRSHEPHANAWGPSSRPSSASGPVTLNHASLTSLRPHSAETKSSSSQLSRFAETSENPVAWNSAVTTEKVGTMACKSDGFSLTSGDFPTLGSEKECVGKDAESQDNGFNGGATVKERTGTSAIDDPKNVTTTVASANSWRSDNLPHNDDGSRPNVEKWLGHPQSYPGANIPPPHYDAWHGSPVNNPQGGVWYRGPPQGGPPYRTPVAPGNFPMDPFLYYPPQIPPGGLPNPQPPHGTGPMGHHPKTGDIYRPPMHDGFIHPGMPIRPGFYPGPVSYDGYYRPPMGYCNSNDRDAPFMGMPAGPAGPGVYNRFSGQGQSASEPVSSHGVSGGKGMVPDQVESGLPCDNQGPYKVLLKQQGNNGKNDEKDRINSTTTNQLVLEKADQQRVSSWENEWDHKKEVDLRRRKLGVEPYSQASANQEAQSSESMKVKSHGNTGTGDGLLEKADAAASGFSEVPKSLATSTKDSSLIQKIEGLNAKARASDVRHDAAPICSREEPDEFQSDDKHSDHVVAHEVGVGAVFPENRDFNEVIDPASSELRLSTVDRNVKIHSGAPVHRRPNRGMQGRSDHHGRGKANSQEVDGWHKRPLLDSPGMMTTPNQESSVLARDHNALGALNKVKPFSSDSHGDGPAPSTGDSKDSQAQRTKMRELAKQRTKQLQEEEEERTRKQKARALAKLEELNRRTVSGEGPNQGSEADNDAVRNKLEEPHRTLGDTSSKKPSSGNKEQAVAHIELRSLEQELSISDGAQNKNAYEVNGGGASLKHKRTGNKQKPNISSEKTEKIPHLIKESKGQIVVDDIHTVEESSNIITDSIAEPSTHARKKNNKSGKNRHKVEEALISAPSPQISKHANLTTENDKPKASQPVLDPPSDPQPPINRDESQFRELLPQLPVVETLGRGNGQWKSQHSRRVARNAQNRPGEKINGSDSVMWAPVRSVHKSEVTDETVPKNEAESVASSVKIDNQVQNIPKNKRAEREIYVPKPVAKEMAQQGTIHQDTSTISQAPDDNKADSSSQSSDNTKSAGAVSGNVGFSADHRNGDGRQPKQSKAHSSWQRRGATEHGQGLQDQPSYVSNAGNYVQKTNEYQLPEKATGSSTNEFVSQVDEWDPPEGWNDPNYSASIPPATAAIGRDQGVTGRGKRSQSKGHKGVGNNYDLNEKKHRGGDNEKISSEFEVLEADQKDVSAAAKENRGVGERSTSHWQPKSRMVQPHNHQNVDGEAAQTNKIGSRQFSHRTKTTDDLAQNQYDTSSGARTIPEEGSNVGHHVARGEKKVSSRKERPYSPNQGSIHTVEAAPVNTDVRREQQMPTFYHKGGSRYVERGQQGQSRRDGGNFYKQQGGPV >KGN66863 pep chromosome:ASM407v2:1:28373188:28376105:1 gene:Csa_1G701390 transcript:KGN66863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMANLFILKFPSFSSSLRTVSASASSNGAHPLSAGSGNGSGPMILELPLEKIRRPLMRTRANDPDKVKELMDSIQEIGLQVPIDVLEVDGVYYGFSGCHRYEAHQHLGLPTIRCKIRRGTKETLRHHLR >KGN65516 pep chromosome:ASM407v2:1:15891118:15895221:1 gene:Csa_1G434130 transcript:KGN65516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNIPLYFLRSPPSLRLPLPPANPFLSHLSYFITISLFGFGALKLTQTRTSSSPNDLNLFFTSVSAVSVSSMSVVEMEVFSNFQLLIITTLMFLGGEVFVSAVAFQLSRRFKYLNEENHVDSVRSIEMEENGTSSSTMVTIDELSISRSCSKVLGHTIIGYLLATNVLGSFLIFIYIIVVPHAKQVLESKGINFFTFSIFSTVSTFTNCGFIPTNENMIAFNNNSGLLLILIPQVLLGGCLYPVGLRLVIMAVAKVSGKKEWRYILKNESKMGYSHLLPGLHCGFLAVTVAGFIVFQLIIFCSLEWNNSDGIWDGLNPYQKFVASLFQVTNSRHTGESIVDISVISQAILVVFVVMMYLPPYTTFLPIRNNKERASIMTDGKNRRQYLVELFTFSQLSYLAIFIILICITEKQKLRDDPLNFTLLNITIEVISAYGNVGFSSGYSCKRQIKVDSSCKDAWYGFAGRWSSKGKFILILVMIFGRMKSFTMHTGKAWKLS >KGN64613 pep chromosome:ASM407v2:1:7179289:7181813:1 gene:Csa_1G071270 transcript:KGN64613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSAFPLLLLLSLVTTFFSSKIFAYGSTDTITSTNFIKHPSTIISNADSFQLGWFSPLNSTAQYVGIWYHQISIQTLVWVANKDTPLNNTSGIFTISNDGNLVVLDEYNTTIWSSNITSPTANTTARILDSGNLVLEDPVSGVFIWESFEHPSNLLLPAMKLVTNKRTQQKLQYTSWKTPSDPSKEGILEQQFWNQSKGNWEQSWSAFSTECDYYGVCGAFGVCNAKATPVCSCLTGFKPKDEDEWKRGNWSNGCERITPLQCESSARNNSRVEEDGFLHLETVKVPFLVEWSNSSSSGSDCKQECFENCLCNAYAYENGIGCMLWKKELVDVQKFENLGANLYLRLANAELQKINDVKRSENKGTVIAIVLPTTLVIFIIIVIYFCWRWKANKNEYIKNGKRLKLRKDDMIGDESELKELPLYDFEKLAIATDSFDLSKKLGQGGFGPVYKGTLLDGQEIAIKRLSRASNQGYEEFINEVIVISKLQHRNLVQLLGCCIEGEEKMLIYEYMPNSSLDAFIFGKIYRCLSSEFQDFSIFCFRITNHTNHNANILHVGSAKQKLLDWRKRFNIINGIARGLLYLHRDSRLRIIHRDLKASNILLDKDMNPKISDFGMARIFGSNEVEANTIRVVGTYRNYPTNND >KGN65122 pep chromosome:ASM407v2:1:11997772:12000093:-1 gene:Csa_1G228980 transcript:KGN65122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDARDSSSSSALNRDGSSSAVEDDGALSITAALAREAASLFQSGKYVGCVEVLNQLLQKKEDDPKVLHNIAIAEYLRDGCSNPKKLLEVLNNVKVCH >KGN65457 pep chromosome:ASM407v2:1:15430815:15436023:-1 gene:Csa_1G423150 transcript:KGN65457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSESSSMKASPFDFMSAIIKGRMDPSNSSFQSTGEGASVIFENRELVAILTTSIAVMIGCFVVLVWRRSGNRKVKTIELPKPLLGKEPEPEVDDGKKKVTIFFGTQTGTAEGFAKALSDEAKARYDKAKFRVVDLDDYGADEDEYEQKLKKESVAVFFLATYGDGEPTDNAARFYKWFTEGKERGECLQNLNYAVFGLGNRQYEHFNKIAKVVDELLETQGGKRLVKVGLGDDDQCIEDDFSAWRESLWPELDQLLRDEDDAATVTTPYTAAISEYRVVFHDPSDVTDDKKNWMNANGHAVHDAQHPFRSNVVVRKELHTPASDRSCTHLEFDISESALKYETGDHVGVYCENLTETVDEALNLLGLSPETYFSIHTDNEDGTQLGGSSLPPPFPSCTLRTALTRYADLLNSPKKSALLALAAHASNPIEADRLRYLASPAGKDEYSQSVVGSQKSLLEVMAEFPSAKPPLGVFFAAVAPRLQPRFYSISSSPRMAPSRIHVTCALVYDKMPTGRIHKGICSTWMKNSVPMEKIHECSWAPIFVRQSNFKLPSDSKVPIIMVGPGTGLAPFRGFLQERLALKESGVELGPSILFFGCRNRAMDYIYEDELNNFVETGALSELVIAFSREGPTKEYVQHKMTEKASDIWNLISQGAYLYVCGDAKGMARDVHRTLHTIVQEQGSLDSSKAESMVKNLQTSGRYLRDVW >KGN64173 pep chromosome:ASM407v2:1:4509663:4514802:-1 gene:Csa_1G042800 transcript:KGN64173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVNNGGQEREVQKNYWIEHTADLTVEAMMLDSQASYLDKEERPEVLSLLPPCDGKTVLELGAGIGRFTGELAQKAGNVIALDFIENAIKKNESTNSHHKNVKFVCADVTSSELKISDESIDLIFSNWLLMYLSDKEVESLAARIIKWLKVGGYIFFRESCFHQSGDSKRKYNPTHYREPRFYTKIFKECQMRDECGNSYELSLVSSKCIGAYVRTKKNQNQICWLWQKVISQEDRGFQRFLDNVQYKCNGILRYERVFGQGFVSTGGIETTKEFVSKLELKPGQKVLDVGCGIGGGDFYMAENFDAEVVGIDLSINMISFALERAIGRKCPVEFEVADCTTKTYPDNTFDVIYSRDTILHIQDKPALFKSFYKWLKPGGKVLISDYCRSDETPTEEFSEYIKQRGYDLHDVKAYGQMLQDAGFHDVIAEDRTDQFIRVLERELSTIEKEKEEFIQDFSVEDYNEIVDGWKAKLVRSSTGEQRWGLFIANKK >KGN64401 pep chromosome:ASM407v2:1:5891586:5895486:-1 gene:Csa_1G050400 transcript:KGN64401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLMGGSLFSSAPVGFHPSKTEAVASYCRISSLTKLHSFQELGAKTCEIHGNGLSSNSAGVLRSNKKRVIQAILQSEREIETTNGIGTGGLRGQLNKVVLAYSGGLDTSVIVPWLRENYGCEVVCFTADVGQGMKELEGLENKARASGACQLVVKDLKEEFVSDFIFPCLRAGAIYERKYLLGTSMARPVIAKAMVDVAKEVGADAVSHGCTGKGNDQVRFELAFFALNPNLNVVAPWREWDITGREDAIEYAKKHNIPVPVTKKSIYSRDRNLWHLSHEGDVLEDPANEPKKDMYMMSADPEDAPNKPEYVEIGIVGGLPVSVNGEVLSPASLLAKVNEIGGKHGIGRIDMVENRLVGMKSRGVYETPGGTILFAAARELESLTLDRETIQVKDSLALKYAELVYAGRWFDPLRESMDAFMEKITETTTGSVTLKLYKGSVHVASRRSLYSLYREDISSFENGQIYNQADAAGFIRLYGLPTRVRAMLHKEF >KGN65005 pep chromosome:ASM407v2:1:10816372:10820533:-1 gene:Csa_1G175730 transcript:KGN65005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKSNLRPSAKQPTGITGVKGTDVSVLKSLPSQTSLGNKIGSVVSGDVLNYTQKSLNQSTLIDSSNSKSSSLNHKESTESCNQIIKENSSKSDAKTEGLNGTQGLAEDSIDSKKKISECGSGKDSSVSAKVSDGTNSISKTSGSAKISDRIEMVESGKSSMCRGSTSSDISDESTCSSFSSSISKPHKANDLRWEAIQAVRARDGILGLGHFRLLKRLGCGDIGSVYLSELSGTKCYFAMKVMDKGSLASRKKLLRAQTEREILQSLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQPGKHFTEQAVKFYVAEVLLALEYLHMLGIVYRDLKPENVLVREDGHIMLSDFDLSLRCAVSPTLVKGSCSESEPLRKNSTYCVQPACIEPSCIQPSCVVPTTCFTPRLFSSKSKKDRKPKTEIGNQVTPLPELIAEPTEARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPVVSFAARDLIRGLLVKEPQHRLAYKRGATEIKQHPFFEGVNWALIRCASPPEVPKPVEIERIPSPAPPVSGKAIMARPGPDSKSSDNYLEFDFF >KGN64836 pep chromosome:ASM407v2:1:9243584:9248006:1 gene:Csa_1G124000 transcript:KGN64836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTWKKVKVALGLNMCLYGPRNLHDSLPSMASRSSDAVAPPNLLSSASFSSDCRPSATPTSSSSGLRLSKSSTRSSKRTCAICLTAMKPGKGHAIFTAECSHSFHFHCITSNVKHGNQICPVCRAKWKEIPFQSPASDLPNGMMRINQIDWPQDDSWMTVLRRIRPPPIDASRQIAALSHGPEPSLFDDDEVLDHQSDIPNGETSVVDAIDGSTGTIEVKTYPEVSAVARSAVHDNFTVLVHIKASLANQRQHCCENQSSSLLSQSSRAPVDLVTVLDVSGSMAGTKLALLKRAMGFVIQNLGPSDRLSVISFSSAARRLFPLRRMTESGRQQALQAINSLVSNGGTNIVDGLNKGTKVLLDRKWKNPVCSIMLLSDGQDTYTFGIGSSHSEADYLSLLPVSIHRNNNTALQIPVHSFGFGTDHDATAMHSISEISGGTFSFVEAERTIQDAFAQCIGGLLSVVVQQLQVRIECVHPNLQLSSLKAGNYRSNIAASSRIGTVSVGDLYAEEERDFLVTINVPVDGYDEMSLLNVKCTYRNPITNEMVTLEDIEEVKIRRPNVIGEQSVSIEVDRQRNRVHALESMAKARVAAERGDLANAVSVLENCYRALSETISGQAGDHLCTALCAELKEMKERMENQRIYEASGRAYVLSGLSSHSWQRATARGDSTRNGSLVQAYQTPSMVDMLTRSQTMLPGNPTPRPQRTLRPTMTFPARSRQR >KGN65413 pep chromosome:ASM407v2:1:15166269:15170637:1 gene:Csa_1G418790 transcript:KGN65413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTKVQRIMTQPINLIFRFLQSKARIQIWLFEQKDLRIEGRIIGFDEYMNLVLDDAEEVNVKKKSKKALGRILLKGDNITLMMNSGK >KGN66250 pep chromosome:ASM407v2:1:22258590:22261013:-1 gene:Csa_1G589080 transcript:KGN66250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKPQPVRVLYCPVCSLPAEYCEFGPDFEKCKPWLIQNAPDLYPDLLKEANAKEAGEVSNQLQSTSISSAAGDGAASSGPKQEEVKRLPGGKIKKKDKQEVIIEKVTRNKRKCITTVKGLDLFGVKLSDASKKLGKKFATGASVVKGPTEKEQIDVQGDIAYDIVDFITETWPDVRYLFIILFIYYYYFWIAMS >KGN64588 pep chromosome:ASM407v2:1:6989871:6991071:-1 gene:Csa_1G066570 transcript:KGN64588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETKFPVKRLYTSNEQCPVQLKPPQNSVLFSNSSSFQLLSNNSHEADLMSFSLDDPLETLNLIDAVQRLGLDYRFQTEIDAILERHYAIFVGQEGDGMNDDDLHEVALRFRLLRQRGYFVSSA >KGN66320 pep chromosome:ASM407v2:1:22684259:22687802:-1 gene:Csa_1G597180 transcript:KGN66320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMDASGEELSDLEVENGVKHCGFEDEPETIAIMDAVKVLLQGLGEDINREGIKKTPLRVAKALREGTRGYGQTANEIIQSALFPEDGLHKGVGQAGGAGGIVIVRDIDFFSYCESCLLPFQVKCHVGYVPSGQRVVGLSKLSRVADVFAKRLQKPQRLADQICSALQHGIKPGGVAVVLHCLHTHFPSLESFFLDSKSPGWVKVLVQSGSGAFESQDADIWMDFFSLLKFRGTYPSKAGASDSNAQHWCPSQFSSASKFSTKPEPLNLKMTTAVTSILRSLGEDPSRKELLGTPGHFVNWLMSFQNCNVEMKMDMNKLNGFANGRNHFDHNENSNLSEKQIQSQMNFLFWSQCEHHLLPFYGVVHIGFIRDDGLTPLEKSLLNSVIHFYGFKLQVQERMTRQIAEMVSSLLGTDVIVVVEGSHTCMISRGIEKFGSTTATIAALGRFSSDAAARSMFLQSIPQTTIGEL >KGN65841 pep chromosome:ASM407v2:1:18947757:18951293:-1 gene:Csa_1G533480 transcript:KGN65841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRVIPVVGGNFAQYVWRNFHGGGGDIITGKMAEGIQVVGGVGEKTGLDGPSMACRISHPKTPGVFYGSNPLEDSFSALLLDLVIVIFIIHLLHFLLRPLHQPKIVSQILGGFIIGPSVLGHNKNFRLNLFPEDVSFLVTNIGLIGFMYFLFISGVKTDLSLIKKAGKKEYFIASFSVVVPLVLNISFALLIRKSMDENLAKFSSIGAVTSSLAITAFPVVHPILHELNLLSSEVGRMSMSISIISDAVGINAVIAFEAAIQGETDAMNALWYLISLIILLGFIVFGVRKVMHWIIKRTPEGQAVEQGFIIAILLGVLTMGFLTDLFGIAILNGPLWLGMAIPDGPPLGSTLVERSETIISELLMPVSFAFVGLYTDVFEMAKAGWPTLAPLFFLALAGHFFKLGATLIPSLFFQLPLRDSLAVSFIMCLRGQVEIILLLHWIDKKIIKIPEFTMLVLMTATVTAILTPLISILYDPTKPYMVSKRRTIQHLPPQTKMKIVVCIEDQEDVAALVSLLDMSNPTAASPFSIYALHLIELVGRAAPVFIDHKKSKAPSKYTASDSIHNALKLYEEARSELVKLHTYTAVAPKRTMNQDICELGLIKRANLIFLPFSRNGQHSGVRLQDMNTSVLEHAPCSVGILVDKCNLHSPMVGQAFWNSAQHIVVLFLGGADAREALAYADRVIGNQDVYVSVIRFLAQNSRGDNEFEKKLDDGMVTWFWVKNETNERVIYREVVVRNGAETITAIQSLNDDSYDLVIVGRKQGINPVLLEGLSNWSHQNELGIVGDFVASEDFTAASSVLVLQQQILRDQGQFSSGICGKIRFDIR >KGN65243 pep chromosome:ASM407v2:1:13060379:13060804:-1 gene:Csa_1G269850 transcript:KGN65243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFEADSSGGSDEVHTDVLSESRQACYKARDAFYACMEKESDKKPTEIASVGLIYPAECKALRADYAKLCRASWVKHFDRKFCQNSRVQRLLDDKDLRRGPLILPQPYTFKPTN >KGN66045 pep chromosome:ASM407v2:1:20864170:20867264:1 gene:Csa_1G569230 transcript:KGN66045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATSSAATSNSSDSSSSSSRRRRRRKTRRDKDRDTLRIRKKSRSHSKRHRRHRRSSSDSLSSTDSESDYSRSSESEPETSSRSKRHKKNDRTKKDKERERSRSHHHKRRKHKAKEKQPEESSSPVQLSKFLAREKDDGTRRSAVSGKKILLKLDKSKEDKAEETKRNELLKFLNSSFD >KGN66810 pep chromosome:ASM407v2:1:27921873:27927472:-1 gene:Csa_1G696440 transcript:KGN66810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVGNQEMDNLSSVIPQTDSVELGNVVSSDVPAKKLARQLDFTGTGGAVLPEHPHFQSRSRCQESESPAVMVVQSQSQPQSPQQLVVLPIGTKAPLPPARKPDSPKSRSRSNVETKDATPKKQKQCKLCNCKHSRCLKLYCECFASGVYCDGCNCTNCHNNVEHEASRREAVETTLERNPNAFRPKIANSPHGTRESRDEIGELVMLGKHNKGCHCKKSGCLKKYCECFQANILCSENCKCMDCKNFEGSEERQALFHGDHANNIAYIQQAANAAITGAIGSSGYACLPVSKKRKGPELGFGPVGKDSPLNSIPQLHQANNIMSSGMSTSSPFPAAHVGSPVASGPSKFSFRSLLADLIQPNDLKELCSVLVVLTSEVAKIQAEQRSDEKQINDPQQTSRASSSGDGVQHQKAEEKAADGECGSSNQSDRSVHDSSNSNSSDMTRARPMSPGTLALMCDEQDTMFMGAGLADGSAAHDCNTSSHVPDRSLSEVYIEQERIVLTKFRDCLNKLITLGEIKETKLTSRSESEVGNQNQSNNFTSNSGCQQRSISNGVVKNVALSAPRIPPACTAAHHPNNDLPLKILPVPKNRKSKPQIDREV >KGN66231 pep chromosome:ASM407v2:1:22065886:22067506:-1 gene:Csa_1G587420 transcript:KGN66231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYLWRKYADYLFTKWEKTLLWDMVDPYRRPKSFTPLVSIYIAAFYTGVVGAAITEQLYKEKYWEDHPGEAVPLMKPKFYTGPWRVMRGEVPAHTK >KGN66413 pep chromosome:ASM407v2:1:23382449:23384803:-1 gene:Csa_1G601520 transcript:KGN66413 gene_biotype:protein_coding transcript_biotype:protein_coding description:EIN3-like protein MMMMFNEMGFCDDMDFLSASITEGDAVAPPTDPEVVVEDDYSDEEIDMDELERRMWRDKMRLKRLKEQSKVKEGIDIVKQRQSQDQARRKKMSRAHDGILKYMLKIMEVCNAQGFVYGIIPEKGKPVTGASDNLREWWKDKVRFDRNGPAAIAKYQADNAIPGRNDGCNSIGPTPHTLQELQDTTLGSLLSALMQHCDPPQRRFPLEKGVPPPWWPTGVEEWWPQLGLPKDQGLLPYKKPHDLKKAWKVGVLTAVIKHMSPDIAKIRKLVRQSKCLQDKMTAKESATWLAIINQEEILARELYPDSCPPLSSAGGNGLLVINDCSEYDVEGAEEEPSFDVQDRKPDNHSSFNLGIDRMRDRVSLRQPPYAMKGEVTTNLDFMRKRKPTSDLNMMMDQKIYTCEFLQCPYSELRLGFNDRTSRDNHQLTCPYRTSSEFSGSSFHVNEVKPVIFPQSFAPPKSNPPPVSSVPSSFDLSTLGVPEDGQKLISELMSIYDTNIQGNKNNLNTGNSATTENQNLPQLKIQPQQDDYFRNQGLMIEGNFFDGSNVSSSHQMFTRDEGQFDRFKPMNTPFENNHHHHNNNNNNNNNNFHLMFSSPFDLSTFDYKEEVSGVAAIDTLSKQQDIPLWYH >KGN65572 pep chromosome:ASM407v2:1:16503276:16505483:-1 gene:Csa_1G459500 transcript:KGN65572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCVSLSSAVSLYIPSFYKSKLSVPLSLRSSPPNAKLSLNSAAKQSSICYNPLRFAVGSEGINKKKSRGLSAVCYAMPVNTRTLQWISTISSVVLMLAKGTGIQKSFIVPLFALQAPASVISWIKGEYGIWSAFLALLVRLFFFIPGELEIPFISLLLVIVAPYQVQNLRGTQEGCIISLLIAAYLAFQHFSRAGSFQRAFDQNSIVATVAVVCITAVSFLFVI >KGN65707 pep chromosome:ASM407v2:1:17903848:17909541:1 gene:Csa_1G505920 transcript:KGN65707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSLLFNQLRAAEPFFLLAGPNVIESEEHIFRMAKHIKNISSKFGLPLVFKSSFDKANRTSAKSFRGPGMVEGLKILEKVKLAYDIPIVTDVHESIQCEAVGKVADIIQIPAFLCRQTDLLVAAAKTGRIINIKKGQFCAPSVMTNSAEKIRMAGNSNVMVCERGTMFGYNDLIVDPRNLEWMRETNCPVVADITHSLQQPAGRQLGDGGVASGGLRELIPCIARTAVAVGVDGIFMEVHDDPLNAPVDGPTQWPLRHLEALLEELVAIARVSKGKQRFNIDLTPFRD >KGN66383 pep chromosome:ASM407v2:1:23171818:23179179:1 gene:Csa_1G600230 transcript:KGN66383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTNSNTPTATAPEKSPSASPTSSRSVTHTVNGSHKFVIQGYSLAKGMGPGKHIASDDFTVGGYQWAVYFYPDGKNVEDNSTYVSIFIALASDGTDVRALFELTLVDQSGKGKHKVHSHFERSLESGPYTLKYRGSMWGYKRFLRRSTLENSDYLKDDCLQINCTVGVVVSSIERPHSQTISIPESNIGAHFGALLENLEGSDVTFDVDGEKFQAHRLILAARSPMFRSEFLHMLEESKEEIFVKDLEPNVFKALLYFIYTDSIAEDVVESSSSSSSDSDVGDHFMAKLLAAADKYGLERLRLMCESHLCKNLSVRSVAQVLSFAEEHHATELKAVCLRFAAMNLGAVMQSKGFEHLKENNPSLQSELLKILAQNCGDVCSNGSKSRSVWAQLSDGGDSNGRRVRQRT >KGN64163 pep chromosome:ASM407v2:1:4448612:4451041:-1 gene:Csa_1G042710 transcript:KGN64163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLSQQCRMMLLVIFISILLAGFVPFSFASPVIRRFQFNQLRTGWADGPAYITQCPIRTGESYTYKFSVIDQRGTLWWHAHYSWQRASVHGAFIIYPRMPYPFSTFPIEAGIPLIFGEWWNGDVEEVENEMLRSGGGPNNSDAYTINGLPGPLYPCSSTDTFISTVERGKTYLLRVINGALNDELFFAIANHTLTVVEIDAAYTKPFNTTAIMIAPGQTTTLLLNTDQIQIPDHSSEFLFPMAITPYVTSNFPFNNSTSIGFLRYKSRKMNKLKRQKKFPSNQIPENLPDMKDTAFATAFSSKLRSLNSSLYPCNVPKTVHKRVFVTISLNLQNCPSGKTCKGLNGKRFFASMNNQSFIRPDSSILESHYRKIDTNSYSTDFPEKPIRFFYYTGVNPLSRNMNTEFGTKLLAVPYGTNLEIVFQGTNFLSVENHPIHVHGHNFFVVGRGFGNFNVGKDPANYNLVDPPERNTVAVPRGGWAAIRIKADNPGVWFIHCHLEEHTSWGLAMGLIVRNGAGDSKSLLPPPDDLPLC >KGN65868 pep chromosome:ASM407v2:1:19139017:19142070:-1 gene:Csa_1G534740 transcript:KGN65868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMQITATQNSICANKSICLVSKSIYPSFHANQSRRAVVNLSANASYFKQGLPVLKYEHRRVGLKYQHTPIVSLYGSKGKGSDDGGSPWKGLDKVVESFKGRSVEDVLRQQIEKKEFYDGGDGGKRPPGGGGGSGGGDSGDGGEDSSSGSEDYSLTGIMDEILQVILATLGLVFVYIYILSGEELSRLAKDYIKYLFGGSKSVRLKRAMYNWGKFYQSLMKKKKYDQYWLEKAILSTPTWWDNPDKYMPKKAQNQKQNVASDDYDETDYLDSDYGEIDF >KGN63690 pep chromosome:ASM407v2:1:1560321:1562221:1 gene:Csa_1G010930 transcript:KGN63690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLDNISAQKRAGGGGNSLPTTAATANARRSRGFPGIPRGRQIQKTFNNIKITILCGFVTILVLRGTIGIGNLGSSEADAVNQNIIEETNRILAEIRSDGDPNDPDDPAETQINPNVTYTLGPKIVNWNQERKVWLDQNPEFPNYVNKRARILLVTGSPPKPCDNPIGDHYLLKAIKNKIDYCRLHGIEIIYNIAHLDKELAGYWAKLPLIRRLMLSHPEVEWIWWMDSDALFTDMVFEIPLEKYDNYNLVVHGYPDLMFNQKSWIALNTGSFLFRNCQWSLDLLDAWAPMGPKGPIREEAGKILTANLKGRPAFEADDQSALIYLLLSQKDQWMDKVFLENSYYLHGYWAGLVDRYEEMIEKYHPGLGDERWPFVTHFVGCKPCGSYGDYPVERCLSSMERAFNFADNQVLKLYGFRHRGLLSPKIKRIRNETTTPLDSVDQNNIRRQVLHGSNAPPTK >KGN64439 pep chromosome:ASM407v2:1:6141585:6144888:1 gene:Csa_1G051760 transcript:KGN64439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRVGNVLWMENRDDEDSSSWTKNNTDHNHLNHPVNCSVLNNKDEITSLSTFKSMLEVEDDWCISANALHNHNHHTDINDITFSQNFTDPPDNLLLPPGDSSSSCSPSSSVFNNIDPSQLRFFLPPTRTLSSLHKVVSNNPLDHGFDLGAEVGFLDVQASNASTLLNDGGGLLTGFTDLSPTSQMNTPSLCLGSQLTAQNVAPMSDNCSGLAGFQSFDENLGNALLLNRSKLLRPLESFPSVGAQPTLFQKRAALRKSLADKGSSLGVLSPDGGWFSNRIEGGIGKNEMGEENGKKRKMVYADELQDTSIDTSRFNYDSDDFTENTNTKLDESGRNVGNTSNANSTVTGGDQKGKKKGLPAKNLMAERRRRKKLNDRLYMLRSVVPKISKMDRASILGDAIEYLKELLQRINDLHNELEFSPSGAALTPGASFHPLTPTPPSLSSRIKEELCPTSFPSPNGQPARVEVRVREGRAVNIHMFCGRRPGLLLSTVRALDNLGLDIQQAVISCFNGFAMDIFRAEQCSEGQDVHPEQIKAILLDSVGFNSAT >KGN66036 pep chromosome:ASM407v2:1:20803785:20811052:-1 gene:Csa_1G569140 transcript:KGN66036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPNTMAPTNTTAPSEENKKKRSASASASSGEAQVQGDAKRSCHGSSSFPIDHSGFGSSVDNLIVGEGVNGFVEKWKEFEPAYRQKVFKDMNTMAQSILSPITMKDGAIHNLSFFQGISQNAMEIVGDFFEDYYRQMGHTYMKKEASKEHKSTTRRKIVKKKKRDEMIWKKRKTLCEKFLSNF >KGN63924 pep chromosome:ASM407v2:1:3062446:3069658:-1 gene:Csa_1G028020 transcript:KGN63924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKVMIIWSVFHGRSVANNSLNGSVPSTIWQSRMLNSLDSLTVELQNNNFSDILGSIHLPLNVSVRLQGNPACANNSLLDFCGSESEDIIDIPTNNPLGCSGPICPPSYECYSAKCPSSCLCSAPLLIGYRLKSPGFSRFSPYQHMFEEYLTSGLKVHLEQLDIGSAVWEKGPRLRMSLKVFPLYVADSNSSHMFNDSEVLRIVYKFTNWKIQDSDIFGPYELLSLTISDVYKKVFFTPSSDSTMSKGALAGIILGAIAGGAMLSAIVFIFIIRSRVRGHHISRRRHLSKTSIKIKGVKEFGYREMALATNNFHCSMVVGQGGYGKVYKGILADSMAVAIKRAQEGSLQGEKEFLTEIQLLSRLHHRNLVALIGYCDEEGEQVI >KGN65562 pep chromosome:ASM407v2:1:16409933:16410240:-1 gene:Csa_1G448930 transcript:KGN65562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSIVIGCGYSFLGLIHLFVAVPRLASLLTEDILLKAPSIEWMSSGEVSFYERSDFTGGRRERRDREGDILRA >KGN65517 pep chromosome:ASM407v2:1:15896775:15908929:-1 gene:Csa_1G434140 transcript:KGN65517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKPSWPSEEELNGIKTIVSEMSKRSKEDVRVVVSPYRICPLGAHIDHQGGNVSAMAINKGVLLGFVPSGDVQVVLRSAQFKGDVNFRVDEKLYPNHCSNKKEGTNENGHAKLQEDNNWGRYARGAVYALQEKEHCLSQGIIGYIYGSDGLDSSGLSSSAAVGLAYLLALENANNLTISPTENIEYDRLIENGYLGLRNGILDQSAILLSSYGCLLHMNCKTKDFKLIRPLDMESSLKSEKQKEYQILLAFSGLKQALTNNPGYNHRVAECQEAAKILLNASGNSHMEPLLCNVDQEAYKAHKSQLEPNLAKRAEHYFSENTRVLQGLEAWASGRLEDFGKLIADSGRSSIVNYECGAEPLVQLYEILLRAPGVCGARFSGAGFRGCCLALVDVEYATEAAEFVRTEYMKVQPELAAQINPKTAVMICEPGHCAHII >KGN66349 pep chromosome:ASM407v2:1:22921981:22922238:1 gene:Csa_1G599430 transcript:KGN66349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAKGKQRVSQPPEGFFFWVSEEEEKKRASHWTEYHVASNEWWSDKKRRLKRSQERI >KGN66499 pep chromosome:ASM407v2:1:24272001:24282187:-1 gene:Csa_1G615180 transcript:KGN66499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLAFPFRNFSLFFHCPRVISCQQSWSFLSGSHFSTHIVGDDPVLVRDFIHSALYDQNHGYFAQRSRSVGVLEHSIKFNQLEGRKAYMRYLDKIYKQSDVSWFTPVEIFKPWYAHGIAEAIMRTANLSVPLKIYEIGGGSGTCAKGIMDYIMLNAPTRVYKTMTYTSVEISPSLAEIQRQTVGDVRSHQSKFKVECRDAVDLSGWGDMEEQPCWVIMLEVLDNLPHDLIYSENQVSSWMEVWVEKQLDRESLVELYKPLQDPLIKRCVEIMNFKENDHTKNSVLSKAKGIWSKAFPKPRRSWLPTGCLSLLEVLHHVLPKMSLIASDFSYLPDVRIAGERAPLVSTKADGSSSDYESYLDAKGDADIFFPTDFLLLEQIDHYCSGWLKLQEDKKPKSGKKRRTIILDTSSFMEEFGLPSKTRLKDGYNPLLDDFKNTKFYLSVPTHNIK >KGN65387 pep chromosome:ASM407v2:1:14774852:14776807:-1 gene:Csa_1G399640 transcript:KGN65387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRLENKAPVHSGIACLGSKGLSVLGGVVPTLYEEWKMNQKYSGLSRESMRLSQGGDVDGPPPFEKLQVGAPSQKLGQKGKSSCQYFSLSAYILVLLLFLLYCLLRLLLKLLPLLSSLKIYHLEWQVLGLGSLAILDAY >KGN65777 pep chromosome:ASM407v2:1:18428482:18435745:-1 gene:Csa_1G527930 transcript:KGN65777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSSLFNLTVGRSLGTIWLCIYMLVLSESNRSVLSESKYLIGLGSHDITGPAADVNMMGYANADQIASGIHFRLRARAFIVAEPQGKRVVFVNLDACMASQIVTIKVLERLKARYGDLYTEKNVAISGIHSHAGPGGYLQYVVYIVTSLGFVRQSFNVLVDGIEKSIIQAHENLSPGSILINKGELIDAGVNRSPSAYLNNPASERSKYKYDVDKEMTLLKFIDDEWGPVGTFNWFATHGTSMSRTNALISGDNKGAAARFMEDWFKQKGTGTLHHGESEADSIPRRVSNIVPEVYKDKQELLELAASFQSQPGRPATRVLSISSRVRNVLRQADRPQFVSAFCQSNCGDVSPNTLGAFCLDTGLPCDFNHSTCGGKNELCYGRGPGYPDEFESTRIIGEKQFRKAVDLFSKASEQLTGKVDFRHSYVDFSHLEVSLNKQGGVTEVVKTCPAAMGFAFAAGTTDGPGAFDFKQGDDKGNAFWKLVRNVLKAPGNEQISCQSPKPILLDTGEMKTPYDWAPSILPIQILRIGQLVILDVPGEFTTMAGRRLRDAVKTVLTTGAKKEFNSNVHVVIAGLTNTYSQYVTTFEEYKMQRYEGASTLYGPHTLEAYIQEFKKLAQSLIDGTPVAPGPQPPDLLARQISLLPPVILDMTPLGVSFGDVKFDVPSNSSFKRGNLVKVTFWTGCPRNDLMTEGTFALVEILQKNTWVPAYDDDDFCLRFKWSRPAPLSAQSYATIEWRIPQTAVSGVYRIRHFGAAKSLLGSIRHFTGSSSAFVVA >KGN64871 pep chromosome:ASM407v2:1:9555757:9557672:1 gene:Csa_1G136780 transcript:KGN64871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAIPRWLECLLSTTFFNACPSHKQAPRSECNMFCLDCSHSSSFCFYCRSNKHHHHHVIQIRRSSYHDVVRVAEIENVLDISEVQTYVINSARVLFLNERPQPKSSTSKGGSHVCEICTRSLLDPFRFCSLGCKVIGIKTNMDSGFYLRGKNNEEVLGRRLGSKEEDEEEEEGLRVGRNEEEEEGEIYQNHTHSSHSNSRRRKGIPQRAPF >KGN63999 pep chromosome:ASM407v2:1:3535208:3539160:1 gene:Csa_1G033190 transcript:KGN63999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSAKLHPISPPSLVFSCKSRRPFSPHYAYFLKPLTIRASTTLDYSKTSSDPKSSTPLKASNWQWKFKDSLINIYYEEYEGQSSDSPKDILMIPTISDVSTVEEWRIVARELVQKDSKVNWRATIVDWPGLGFSDRPKMDYNADVMEKFLVDLINAPDGPLSSSKDDLVVFGGGHAAALTIRATNKGLVKPRGIAAVAPTWAGPLPIVFGRDSTMESRYGFLRGTLRAPAVGWMMYNILVSNENAIESQYKSHVYANPENVTPEIIESRYALTKRDGARYVPAAFLTGLLDPVKSREEFVEMFAGLDGKIPILVVSTEKSPKRSKAEMEALRGAKGVSKFVELPGALLPQEEYPTIVAEELHQFLKENFEAAD >KGN66784 pep chromosome:ASM407v2:1:27669250:27669453:-1 gene:Csa_1G690220 transcript:KGN66784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACCLLHNLINREITNVKILKDVDEVDLNYATTEGNNINYNEASNKWSQWRDDLVEAMFNGWQLCNH >KGN66478 pep chromosome:ASM407v2:1:24067277:24067767:-1 gene:Csa_1G613500 transcript:KGN66478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGFSIHRAKWLFVVTLVLLLAVARAQDGAGLAPLPAMDAGTGFQVTFSNGGILLSMFISLVVLLLH >KGN64113 pep chromosome:ASM407v2:1:4188247:4194157:1 gene:Csa_1G042250 transcript:KGN64113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDKIKGVVVITLPPPDNPSLGKSVTAFTLTDDFPEPPGESVAVDQEVQQPNNDHLTLPPNLPIQAPLSQRSIPLSRELFAGTPRKLVFVLGIALAAVYLYASNFPETIRELRRSERNDDDRPSSFLFPLYFQSELGDSSDFQLKLGRTVRVNKDDLGVRFNDVLGVPKPSKLISASLKSDSSAVFPVRGDIYPDGLYYTYIMVGEPPRPYFLDIDTGSDLTWVQCDAPCSSCGKGRSPLYKPRRENVVSFKDSLCMEVQRNYDGDQCAACQQCNYEVQYADQSSSLGVLVKDEFTLRFSNGSLTKLNAIFGCAYDQQGLLLNTLSKTDGILGLSRAKVSLPSQLASRGIINNVVGHCLTGDPAGGGYLFLGDDFVPQWGMAWVAMLDSPSIDFYQTKVVRIDYGSIPLSLDTWGSSREQVVFDSGSSYTYFTKEAYYQLVANLEEVSAFGLILQDSSDTICWKTEQSIRSVKDVKHFFKPLTLQFGSRFWLVSTKLVILPENYLLINKEGNVCLGILDGSQVHDGSTIILGDNALRGKLVVYDNVNQRIGWTSSDCHNPRKIKHLPLF >KGN64203 pep chromosome:ASM407v2:1:4709356:4714613:-1 gene:Csa_1G043060 transcript:KGN64203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEKASVSKELEAKHTKILEGLLKLPENRECADCRSKAPRWASVNLGIFICMQCSGIHRSLGVHISKVRSTTLDTWLPEQVAFMQSMGNERSNCYWEAELPPNFDRKENQTFIRAKYEEKRWVSRNRTHPAPQLGGTSSVYCDSIEIGPRSSISKKMRNFSLEEEILTKHVTRATPTVAKARGNSLDMRNHMITSAPPRGPSFVKEINPSTKNTNESPDLFKYVQHAKQDRSSVIPARWATFD >KGN63455 pep chromosome:ASM407v2:1:154784:155053:-1 gene:Csa_1G001270 transcript:KGN63455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRKKPEGGRESIGQRKKRGKSQIEWDEAEEEPGGIWAGLGRQSMSKKRDE >KGN64749 pep chromosome:ASM407v2:1:8224921:8241014:-1 gene:Csa_1G086920 transcript:KGN64749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPNFVIGGDLFGLGFRSKRNTSAKGQGRVVKSAWATRTSPFIDIPFSIHLSLYQSQFGGPCVGVVCWVTAKIVFFWHTIVRDLVGCCLEGMDLVANCKDKLAYFRIKELKDILTQLGLSKQGKKQDLVQRILDILSDEQVSKMWAKKNAVGKDQVAKLVDDTYRKMQVSGVDLATKGQGVSDSSNVQVKGETDDSLQLDTKVRCLCGNGLQTESMIKCEDPRCQVWQHISCVIVPEKPTEGNPPYPEHFYCEICRLNRADPFWVSVAHPLFPVKLITTMSTNIPTDGTNPMQSVDRSFQLTRADKDLLSKQEYDVQAWCMLLNDKVPFRMQWPQYADLQINGLAVRAINRPGSQLLGANGRDDGPIITACTKDGMNKIALTGCDARSFCLGVRIVKRRTVQQILSMIPKESDGERFQDALARICRCIGGGNTADNADSDSDLEVVAEFFGVNLRCPMSGSRMKIAGRFKPCAHMGCFDLEVFVELNQRSRKWQCPICLKNYALENVIIDPYFNRITSMMRHCGEDVTEIEVKPDGFWRVRSKSESERRDLGDLCMWHSPEGTLCVSNEEVKPKMEALKQIKQEGGSDRGLKLGIRKNSNGVWEVSRPEDINNFTNYGCHDQKIIPMSSSATGSRDGEDPSVNQDGLNFDFSNNNGIELDSLSLNVDSAYGFTEQNPIAPVGEVIVLSDSDDDNDILISSGTVFPSNHTDPSEVPFPMPPSGLTDAYPEDPTILSAGNSCLGLFNSHEDEFGMPVWPLPPGTQGGAGFQLFGSDADVSDALVDLQHNSINCSTINGYAATPEAAISPASIVPGSSIGRTDGDMNDSLVDNTLAFAGDDPSLQIFLPTRPSDAPMQSDFRDEADVSNGVHTEDWISLRLGGDAGGSNGESTTSKGLNSRQHIPSTGGEINSLSDTASLLLGMNDVRHEKASRQRSDSPFSFPRQKRSVRPRMCFSIDSESE >KGN64563 pep chromosome:ASM407v2:1:6879766:6880811:1 gene:Csa_1G064850 transcript:KGN64563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFLLHLFFFTFLVTHGSSETFMDPFRYCSNTTISPNSNFFSNLNTLSSYLSSNSSRKFYHKSSVPPVYAHFQCRGDLNATACHQCVATATSNSSQQYCPLSVEAIIWFDDCFFRYSNRSFFSIVAEQPSMILINLQEIGEEKSSFDQIVGSALNDTVVKASSRKGDLKFATKEASFGNETVYTLAQCTGDLSNSNCRKCLSNATRRFPDCCSGKKGGRILFPSCSVRYELYPFYESVSPSSVSPPPASVPPPTVSSPPAFFPPTTAPSPPASILAPPPTLSSPAPSTAAPQLLSGNLAATVALVMAIFVGSYFP >KGN64920 pep chromosome:ASM407v2:1:10114128:10121451:1 gene:Csa_1G161090 transcript:KGN64920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITPIGVAFAVGFLGWVYQSLKPSPPKICGSENGPPVTSPRVMLNDGRHLAYRIFGVSNEEAEYKIIMCHGFNSSKDMYLPASQEFMDELKICIVLYDRAGYGESDPYPSRSVKSEAFDIQELADKLHLGTKFYVIGCSIGASGIWSCLKYIPQRLLGASLVVPFANFWWPSVPSALSRQAFRKLPQSYQRTFQIAHYTPWLYHWWITQKWFPTLGADGMFSDSDLEILKRLSGGLNHNPEKVAQQGEHESLNRDILAVLGRKWEFDPIIDVNNPFPDNNGSVHIWQGCEDRVVALEFNRFIAEKLPWIQYHEVPDGGHLIIHDVEKCEAIIRALLAR >KGN64611 pep chromosome:ASM407v2:1:7176502:7176690:-1 gene:Csa_1G071250 transcript:KGN64611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNGDDMKHDKVNQVKLQELPLFDFEKLATATNHFHFNNKLGQGGFGPVYKVGIKLFFLFVD >KGN65693 pep chromosome:ASM407v2:1:17714859:17715764:1 gene:Csa_1G501830 transcript:KGN65693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGFLKKSDESFSLFTKAHFYFFFLNRYEQKIERQIRIIGKQFNKQVNDSLELVVFSFSKEDQVSL >KGN65166 pep chromosome:ASM407v2:1:12555358:12559476:1 gene:Csa_1G256750 transcript:KGN65166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPYCLPFRVAIMLSHLRFVLTLLIVVVVGITTAISEQQLQEKDRITFLPGQPTVTFSQYSGYVTVNQQQGRALFYWLTEATSLPEKKPLVLWLNGGPGCSSIAYGASEEIGPFRINKTASSLYLNKYSWNKDSNLLFLESPAGVGFSYTNTTSNLEDSGDNRTAEDALIFLIQWMSRFPQYKYREFYISGESYAGHYVPQLAKRILDYNKANSQSFINLKGFLVGNAVTDTNYDALGTVTYWWSHAMISDTTYNSILKHCNFTSDKTSQQCDEVVAYAMNHEFGNVDQYSIYTPKCPTIVPNNSVAAVGASTIRFKSSLLRRRVSGYDPCTENYAERYYNLKEVQLAMHANVTGIPYRWTACSDVLIKNWKDSQESMLPTYKELIAAGLRIWVFSGDTDSVVPVTATRFALSHLNLHIKTRWYPWYTRGQVGGWTEVYEGLTFATVRGAGHEVPLIQPQRALTLFRSFLAGKHLPKS >KGN64478 pep chromosome:ASM407v2:1:6385133:6385906:-1 gene:Csa_1G058130 transcript:KGN64478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPTNPKFPLSLFFILTAALSSADSSTTIHNQVAPNPIDQFETNLSFFMHNILGGSHPTARTVTGTIPNKAEPTAGLPFSKPKKTIFPLPGAVPLIAANRNSNEGGIANHNNKNNQPFVTAGQVPSAAVLQHVMFGSITTIDDELTEGEELGSGVMGRGQGFYFISSLDGSSHTVALTVILHRYDENEEKKDEDTISFFGVHRRGSMESPIAVVGGTGKYENASGFAVIENLRRRENQYMTDGDDTIVHFRVYLSQ >KGN66169 pep chromosome:ASM407v2:1:21632115:21646991:1 gene:Csa_1G574860 transcript:KGN66169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLHCRILLMNIRNSVRGRIKGTVFQNGFYRYADLLLRRRNLHCSASAFAMADHFLEVSLPPSVEQFLLHICKLHDQPLPDTEVLRALASVGEVAALDALHKISCSTVRNLSGFILHLVRKDSCASPQNKMVRVSPHQSPSSSCPVSQLQSPSTCSVSLHQSPSTFSVCSGQGLGTAENASLQPPTPEKSGSFSSSVLDRARISQFVALGELEFRKAFLLLSYIGGESLERVTTADQIQSLSQLTMEKFEDEVWKIFGKKYVTNEERRVYVDWDRRKTHIYHCHVALDGSCRFKGPFLNNTKTHLQRVLGDDNVLMVKFAEDKSDTPLSNHSGGSFYAYSKIARDGILLGLRRYHFFVFKDGGKEEKKKNPTTSAVKCYFVRMESDAYIDKIEPYKLSNRTVFEARSLFMHAHMVSSIASYMARFSLILSKTINLKIDLSTVNVQRIGDIPCKDIYGNVIYRDGKPLIHTDGTGFISEDLALECPMNVFKGQAKHDADLKAIWQRIPAFEGFQNKTLQLTLPGLELREPPLLIQFRLFYNGLAVKGTFLLNKQLPPRTIQIRDSMIKVEIDPDLENFETENSLELVGTSNPPKRTFLSRNLIALLNYGGVPREYFMNILVDALKDVQGVFSSKRAALRVSINNGEMDDFLVARMILAGIPLDESYLQYRLSVLLKEEKKSLKSGRLHVPECYYLMGTVDPTFTLESGEVCVILENGQINGKVLVYRNPGLHFGDIHVLTAKYVEKLVPVVGNAKYAIFFSSKGPRSVADEIAGGDFDGDMYWVSRNSQLLEYFRPCEPWRPSPSTEVVTNKKPKEFSADELENELFKLFLSTRFQPSYAKSVAADNWLALMDQFLMLGEERKEERNCIRAKILQLINIYYDALDAPKKGGKKIEVPKHLKAGTLPHFMERGKNSYVSTSILGQIFDTANMYQEEVPNIEVQKLPCFEEELPEYIFMKWKFLYELYRKDMVDAMQLDPDAKNIAAEATIKKYKEILYGAEELEGSPRSNEEVYQEALAIYQVTYDHAMSRSVRNCGFAWKVAGSALFKLYAIKHSERSFHCLPSVMREIFR >KGN63729 pep chromosome:ASM407v2:1:1814375:1814667:1 gene:Csa_1G013780 transcript:KGN63729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYHNGQKRVQCSMAANNTGVPTDHNREDEETRLEVQLKIHNHRSKSRTYETKSEGSSTVENVGKIYMDMAITKNAG >KGN66737 pep chromosome:ASM407v2:1:27090344:27094467:1 gene:Csa_1G666960 transcript:KGN66737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEFSKYAHSPAHLAVVRRDYVALRFIVSTLPRLAKAGEVNTEAESIAAELQADALSAVIDRRDVPGRETPLHLAVRLCDPISAEILMAAGADWSLQNEYGWSALQEAVCSREDTIATIIARYYQPLAWAKWCRRLPRIVASAGRIRDFYMEMTFHFESSVIPFIGRIAPSDTYRIWKRGPNLRADMTLAGFDGFRIQRSDQTFLFLGEGYSSDDKVLTLPPGSLIILTHKEKEITNALEGAGAQPTDAEVAHEVDLMSRTNMYRPGIDVTQAELLPHLNWRRQERTEVVGNWKAKIYDMLHVMVSVKSRRVPGAMTDEELFAADDEDRVARVGERDEYDDILTVEERKQLDSALHMENSDTHLEYEEQGVIVSQENASIGSCDSFESNGVAKEKKSWFSWNKKTARSNSDEPDDSKVLKKSAKSAPEGSDHKVVEPPNSSDLSFEDTRDNTKKGKDKSSKKKKKKGATSESKGESEYKKSLRPVLWLTQDFPLKTDELLPLLDILANKVKAIRRLRELLTTKLPPGTFPVKVAIPIVPTIRVLVTFTKFEELQPVEEFATPPSSPEHFQDAKVKDSEGSSSWIPWMRGSRGGQLSDGDSNKDEVDPFHIPPDYIWVDANEKKRRMKAKKAKSKKHRKHTTAKGGSTAPQVSEAMEE >KGN65857 pep chromosome:ASM407v2:1:19023524:19025107:1 gene:Csa_1G533640 transcript:KGN65857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMGGRNSGELGSGKSGKMRLKKLRKREDMSTIMVEEEDYHTGLSASVPFQWESEPGTPKANLNDRNSRSLLSPLTPPPSYFSNHLIINSSPMIHLSSKPSFNKPSFLNTVFRKLSVKPSTLQPPSPASSSSSSSTMERRRYASPRRLSFDSRVDDDDDDENEDGNLESPVSTLFFGRRSDKGCYPKLVKVFTRYSK >KGN65796 pep chromosome:ASM407v2:1:18591420:18597505:-1 gene:Csa_1G528610 transcript:KGN65796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSLMAAGALLYSFSPTPPNPSDSFKKFSLTERRPKFPSRFHLTVLPEDRCFVFQISARRHSGGRGNWRLWTDLKSNPYDVSIKPPNSVKFNSSIDKSVLDDDEEEDELGDELPWWKQFPKRWLIVSLCFSAFLLCNMDRVNMSIAILPMSAEYNWNPQTVGLIQSSFFWGYLLTQIAGGIWADTVGGKLVLGFGVIWWSVATVLTPVAAKIGLPFLLVVRAFMGIGEGVAMPAMNNILSKWVPVAERSRSLALVYSGMYLGSVTGLAFSPFLIHQYGWPSVFFSFGSLGAVWFAVWLNKAHSSPLDDPQLRPQEKKLIFASSVSKEPVKSIPWGTILSKPPVWALIVSHFCHNWGTFILLTWMPTYYHQVLKFNLTESGLLCVLPWLTMAFSANLGGWIADTLVSRGLSVTTVRKIMQSIGFLGPAFFLTQLSHVDSPAMAVLCMACSQGTDAFSQSGLYSNHQDIAPRYSGVLLGLSNTAGVLAGVFGTAATGYILQHGSWDDVFKVSVGLYLVGTVVWNLFSTGEKILD >KGN64930 pep chromosome:ASM407v2:1:10225397:10225850:-1 gene:Csa_1G163150 transcript:KGN64930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEKLTERERQRRKQGQEIKRETPRGGRGKRGDEWRICHLRGSKRRRVK >KGN66179 pep chromosome:ASM407v2:1:21703400:21704564:1 gene:Csa_1G574950 transcript:KGN66179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSCTAIAKYLLHPLHMMTSLSFLFFLKPISFFFFLLCFHHVNSQLYQQEHSVLLRLNHFWQNQAPISHWLTSNASHCSWTEVQCTNNSVTGLIFSSYNLNGTIPSFICDLKNLTHLNLHFNFITGTFPTTLYHCSNLNHLDLSHNLLAGSIPDDIDRLSRLEHLNLGANRFSGEIPVSISRLSELKQLHLYVNKFNGTYPSEIRKLLNLEELLIAYNSNLQPAELPSGLSKLKKLRYLWMTDSNLIGEIPEWIGKLRDLVILDLSRNNLTGKVPHSLSKLKKLRIVYLFKNNLTGEIPEWIESENITEYDLSENNLTGGIPVSMSRIPALSNVRLFSYMILQGFQFTANKTTGNMCSGGKLIQPEVRITE >KGN66330 pep chromosome:ASM407v2:1:22773960:22775017:-1 gene:Csa_1G597770 transcript:KGN66330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSITYSPRNSPLDLPLFQFNFFQHFTMTVLSLLLLLFSLSLSAAHGGRGAISQDLIHSSCLQASYPTLCIRTLSSYAGAVKTPRDLAQATISVSLSLAQNLSEYLSDSLRQASRQQRAAVDDCVDQIGDSVEELSNTLGVLRHLPCGDDRRKFRLEMGNAKTWVSAALTNEETCLDGFKEVDGEVKLDVKRRILKVAKVTSNALFMINRLDGGNPTGRKMLGVAVTVTNGGGFTAVE >KGN64665 pep chromosome:ASM407v2:1:7510996:7512254:1 gene:Csa_1G073720 transcript:KGN64665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEYVRGKLDEYHYLVHKRHITVTNITRRISGLEGQKRFFPPTKRIYLPDSLPSKWGGLWVWDEREECSER >KGN64256 pep chromosome:ASM407v2:1:4996673:4997907:-1 gene:Csa_1G045540 transcript:KGN64256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTSQSPSSSTAPLSHLHNRFSISRFHHISNYARRRPFSSSRSNPLTIFAMAPQKKVNKYDDAWEKKWFGAGIFYESAEDVEVDVFKKLETKKVLSNVEKAGLLSKAEELGFTLSSIEKLGVFSKAEELGLLSLLEKVASSSPSALASLALPILVAALVAIVVIPDDSVALVALQAVVGGGLALGAAGLLVGSVVLGGLQEAD >KGN66118 pep chromosome:ASM407v2:1:21263176:21266309:1 gene:Csa_1G572390 transcript:KGN66118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANCLLLPPHNLFPVGFRFHPTDEELFNHYLKNKIVGRESLVQYIRQVDICNFEPWELPSLSNDQTGDHQWFFFSAQDFKYSNGRRSNRATKTGYWKSTGKDRQIMARGTKVLIGTKKTLVFYSGRVPNGIKTNWVIHEYHLHPDPNLAQLKSFVICVLKRKFEQSDVLMFEEAEPNGLLTSTNVATGNQNQETPGSGHSLFQSDLQVSDYGVSELDPLLFSDPEPTSMDFQVINSYGTLINGHTDEDVNSVLVDDENCFHEGTPNSSTSNFNLEEMLDLIDEDQGGGFSGNTGIDTALSWKYDHASPSFFGESVCSRIQTKSIPMIKESRRSPLTSKILKFDHSDDSDRGTGNFSSQHQPKSHKVLDHVDTLQNVQSKRETQLQVVPRLSKAEAVPKQIKIVRPAATSNEDIFVYHQSKVENRRLKSIGHGSLKSGGKCSSSILTTKCIHHKLSPASYFARACLGFILLIIVARELLLSMEIDNQF >KGN63520 pep chromosome:ASM407v2:1:534041:536360:-1 gene:Csa_1G002890 transcript:KGN63520 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonucleoprotein MEWPKEVIGPKAICDILFGDKKEERPSLSSLLLILNSSPLTSLGVKALSSKTLLLLLPLLSLLSLLSLPFDSVMASSSATSLFKPLSKPDSCFLSLPSLFTGRPPHTFLSFPSKFIPFHLSSSSSYSSGFSPSKKKPHLPSVAQTSDWAQEDDTITIDPKLDNDENGGEEGGPHWENEELSETESRISDWEGEGEDGGSEAEVGGDEEEDEEGEQGPYEEPNEDAKLFVGNLPYDIDSEKLAMLFEKAGTVEIAEVIYNRETDRSRGFGFVTMSTVEEAEKAVDTFNRYDLSGRLLTVNKAAPRGSRQEREPRPFQPTFRIYVGNLPWDVDNGRLEQLFSEHGKVVDARVLYDRDSGRSRGFGFVTMADETGMNDAIAALDGQSLDGRAIRVNVAEERPRRNF >KGN66908 pep chromosome:ASM407v2:1:28982221:28986563:1 gene:Csa_1G713660 transcript:KGN66908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLQELLTREGFEGSNYPSTRKLSRPKGRSRTAPDDSVTLPIYICHDKKTIDSSKKKHDKPLVRNGSSVYSSKRVGSVSETLPCKSMEEPAIDEIAIRAVVSILSGYVGRYSKDENFREIVRKKCNPCLIRKGEMESGICSNLEMGMKSVDRLVEEGHGNERELRIKASRNSIGLLNMVITSLDSAKNSTKNGAHSHLSACAQLYLAIVNKIEKNERVSAKHLLQVFCDSPYFARTHLLPDLWEHFFLPHLLHLKVWYNQELEFVSNFECEHKDRKIKALNKVYNDHMDRGTVQFALYYIQWLKDGARAPPVPVVPSPSKSIHKASRRSSDSYFSQPSSNKNLYHAVFGPSLDQQLAELRRGNLVAAMARSSHEKEILFSDKHYENSASVQDEHSNRRMSSVLDYRSHNTESWRETVKSDYFRFFTCQNITKEYLESSNVITKNSFVKVEGRNHLLSNDFSKAITAICSSDILSECEIAVRVVTKAWLDAHGDSNIEVALSQPPVVEGMLEVLLASDDDEILELVISVLAELAARSEIIQQMILNSDPQLQVFLKLLKSSSLFLKASILLYLSKPQAKQMISVEWLPLVLRVLEFGGQLQTLFSVQCKPHEAAFYLLDQLLKGFDEDRNLENSRHLIALGGLSLLLRRLERGEIEERKNSVSIISCCIQADGSCRNYLAENLNKASLLELVVHESNKNSDRGGLALLVDLLCLSRRTRITKLLDGLKEGWSGLGIMNILSVYLQRALPEEQPLVATTLLQLDFMEDALNCSIFREEAIVTIITALNARICGEKAQDNLARALLILGGRFSCTGEPSTENWLLKLAGFKENSGDSSHSKHLYDDVVQLYEEEEEVMNWQLKAASVLFNHGHKSLLSSLSTSMTSCIRPSLAKACLITLSWMSRYLFVIREEKLCLMAPSILVPPLIKYLNHDKAVEDQVLASYSLLNLGKYTECKHIFRLFDDEALDHLRNLSLVTWTAEELILIITSGSMDPYTERENSDNQGSTRE >KGN66057 pep chromosome:ASM407v2:1:20925299:20926471:1 gene:Csa_1G569340 transcript:KGN66057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEAIELTQVHRRGNQREQSSNKTRKLERYTWWSRIGIYTVFLVCGQSAATLLGRLYYDKGGNSKWMATLVQSGGFPILLPLLCFFSQPTKSSSKQPNFLTFSFICFAFGLLLIGDNLMYSYGLLYLPVSTYSLLCATQLAFNALLSFFLNAQKFTPYILNSLVLLTVSASLLAFNSESDTTTHSSKGKYVIGFLCTLGASATYSLYLCLLQVCFEKVIKRETFSVVLDMQIYPSFVASCGCVVGLFGSGEWRGLRDEVRGYEEGRVSYFMTLVWTAVTWQVSSIGLLGLIFEVSSLFSNVISTLALPVVPILAVIFFHDKMNGVKAMALVLALWGFVSYIYQNYLDESKAKANQQSADNVSAV >KGN64281 pep chromosome:ASM407v2:1:5133163:5137832:-1 gene:Csa_1G045790 transcript:KGN64281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSKDNCRHELRTAIRQLSDRCLYSASKWAAEQLVGIEQDPAKFTPSNTRFQRGSSSIRRRFHSNEGSSTPIAGMSYVSTPVMEEDEVVDGDFYLLAKSYFDCREYKRAAHVLREQNGKKSVFLRLYALYLAGEKRKEEEVVELEGSLGKSDAVNQELVSLERELSTLRKNGMIDPFGLYLYGLVLKQKGSENLARTALVESVNSYPWNWSAWSELQSLCTTIDILNSLNLNNHWMKDFFLASAYQELRMHNESLVKYENLQGTFSFSNYIQAQIAKAQYSLREFDQVEAIFEELLRNDPYRVEDMDMYSNVLYAKECFSALSYLAHRVFMTDKYRPESCCIIGNYYSLKGQHEKSVVYFRRALKLNKNYLSAWTLMGHEFVEMKNIPAAIDAYRRAVDINSCDYRAWYGLGQAYEMMGMPFYALHYFKKSVFLQPNDSRLWIAMAQCYESEQLRMLEDAIKCYRRAANCNDREAIALHQLAKLHSELGQSEEAAFYYKKDLERMEAEEREGPNMVEALLFLATYYKAQKKFDEAEIYCTRLLDYTGPEKETAKNLLRGMRIAQSSFPSMDVELFPP >KGN63958 pep chromosome:ASM407v2:1:3368033:3369386:1 gene:Csa_1G031790 transcript:KGN63958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDPPLKPVFHGLTLETAISITKSVLLAIGFISTVILFKVAIIPKFANLLIITLPRLFFSFRSWLSPPYVFIVFNLLMFAAFASSLFRRQKDTSETNYTPISHENTNVSPSAPYKLTHEDRDFSISGRSGEIWNGIPDEDERGKEEEEEKLLKWDFPTLFTEKFSDPSSKKLCAYSCETEEEEKEGGGGGDDDSMEATWKAIMERQEKQTPQLKKSQTWDSPLPARLIRAAVREEEPVAWDRNEVRKPEKFQQTLSFRRKISMTSEELKSRAEAFIEMVNRSIRLQRQESEQRFLQAMKRSF >KGN65541 pep chromosome:ASM407v2:1:16164095:16165737:-1 gene:Csa_1G439830 transcript:KGN65541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVQSQLVFDHFAPIKSCKRTALFTGIPVINLKDPEAKIQIVKACEDFGFFKLVNHGVSDELIAALESQTLKFFHLPQSEKEKAGPPDPFGYGSKRIGPNGDVGWIEYLLLNTNPQLISQKSISIFHENPEIFRCLVEEYISAMKEMACQVLELMAEGLKIEKSALSKLLKDERSDCCFRLNHYPPCPELEALSGRNLIGFGEHTDPQIISVLRSNNTTGLHICLRDGNWVSVPPDHTSFFINVGDSLQVMTNGRFKSVKHKVLADPIKSRFSMIYFGGPPLNEKIAPLPSLLEEGEKSLYREFTWWDYKSSAYKSRLADCRLTPFEIKNLTPLLT >KGN63872 pep chromosome:ASM407v2:1:2675438:2678242:1 gene:Csa_1G025040 transcript:KGN63872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWTLGYDDNGGEFCFSEATAFHGARKLRPLVPRPLLSLRANGRRPNFSSQYYHIGNVLDEERKRDQYLLNNEKSSSSSSTTSSTPIVVSSSRWNPTVEQLRILEELYRRGTRTPSADQIQHITAQLRRFGKIEGKNVFYWFQNHKARERQKRRRQTAADQTKYSEREKEEYEIEETKTKCRWPGTPTPPSTATLLSQECTETQRRRTKLVELEEEEEEETRRESVERDETWNCQLINPTRKTQHLNSVVESHNEDRGHNVVIDDINDGDKDSKAMTLQLFPLRSTKFYKYDGEREGRRDGRSRSEIMSNSDVTKSFTLSPSCSFQFFEFLPLKN >KGN65247 pep chromosome:ASM407v2:1:13093646:13094037:-1 gene:Csa_1G269890 transcript:KGN65247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSKLGMAGSTAMILTPSHPRDLLLTVRLFLQYLRRKINPRKEKLQLAVRFERRLSLRECREMRGDWFTSFISSGRAGNSIGGRNCHRKHVEDG >KGN63738 pep chromosome:ASM407v2:1:1869532:1872628:-1 gene:Csa_1G014350 transcript:KGN63738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIRIQVATYDEKKSQGGGAGRLAGVLTSTSKFSQFLIQILSSLLLLQIRTKFFALISDLDHFQFLKFTTKSKDGILE >KGN66020 pep chromosome:ASM407v2:1:20673146:20675026:-1 gene:Csa_1G568490 transcript:KGN66020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQKSISIPFWFAVGSAGSLSPKSTAIVENIFRSIVREEVDAQLKAHSVDVEEGKEVVGNGGGSGGTNENLWLCLMNRISSTIYTANDIEAENGEELRVELFDGDHIIDCLGNRLSERKWKAMVKQALRYVPSIIPTSDSPNLQENAGMDQNEVVLNQNIDASSGNYEFQYGTFLGSFQDLFHNNPIFEDYH >KGN63674 pep chromosome:ASM407v2:1:1482728:1485111:1 gene:Csa_1G009780 transcript:KGN63674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYSASSLCFLAFTLFLIPLCHCKTVQFIFGDSLSDVGNNIYLSRSLAQANLPWYGIDFGNGLPNGRFSNGRTVADIIGDEMGLPRPPAFLDPSLTEDVILENGVNYASGGGGILNQTGGYFIQRFGLYKQIQLFQGTQELIKAKIGKEKAKEFFEEARYVVALGSNDFINNYLMPVYADSWKYNDQTFVTYLMETLRDQLKLLYGMGARQLMVFGLGPMGCIPLQRVLSTSGDCQERTNNLALSFNKAGSKLLDGLATRLPNATYKFGDAYDVVADVISNPTKYGFNNSDSPCCSFGRIRPALTCIPASVLCKDRSKYVFWDEYHPSDKANELIANELIKKFGFLRVNQTGAPSPAPESDPNPTPAPAADPSPSPLISPSPN >KGN65049 pep chromosome:ASM407v2:1:11213505:11222521:1 gene:Csa_1G183590 transcript:KGN65049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVISIAFSTNTHFLSSTICRSISSLSPSLNVLFNARRDCNRGFLSIGPFDTKLPTTKAALSEISYRKQYPKVGAKSIGPIPPAHLIQVVENAAKTGAEVVMDAVNKPRNVEYKGLTDLVTETDKMSEAAILEVVKKNFKDHLILGEEGGVIGDSSSDYLWCIDPLDGTTNFAHGYPSFAVSVGVLYRGNPAAAAVVEFVGGPMCWNTRIFTATAGINEKSDMVCCVV >KGN65477 pep chromosome:ASM407v2:1:15622893:15626403:1 gene:Csa_1G424340 transcript:KGN65477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTTQAWCPSEMQILPGSRHRTHMKKPLWIIVLVSFIIVFLISAYMYPPQTSGACYIFSSRGCKVITDWLPPAPARELTDEEVASRVVIREILNSPIVSSKTPKVAFMFLTPGSLPFEKLWGKFFNGHEDKFTVYVHASKEKPTHVSSHFLNRDIHSGQVVWGKITMVDAERRLLANALKDPDNHHFVLLSDSCVPLYSFDYIYKYLMHSNISFVDSFKDPGPHGNGRYSEHMLPEVEEKHFRKGAQWFTMKRQHALIVLADNLYYSKFRDYCRPGLEGHNCIADEHYLPTFFNMIDPTGIANWSVTHVDWSERKWHPKSYRAEDITYELLQNITSIDVSVHVTSDQKKEVQRWPCLWNGLQRPCYLFARKFYPQALDKLLYLFSNYTTI >KGN64485 pep chromosome:ASM407v2:1:6429580:6431408:-1 gene:Csa_1G058680 transcript:KGN64485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDERGIMGPKRSQFSPKPNRKPIDPEAKKSAKKNPKKDPNFPSSSKPKPQAAATNPNSNGNKTNPNSETPSSAPPPPPTPISTPKAKSQPPTPSSDHHPPLPHNLSPPRRSKSQPATPSSASKINFVRRIDNDNSTKAFPKTSPTSGSDHRQKHVKTTADPHSPGYSDSSHDIGDRLLQRLSSEGKDLDDILKGNTIDDLMGSNNRKEESSSRNVSSLAILQIYQKIASHRQGNLSVERYFKKLKKLWNDIGIYSSESVEGIAFWSELTERDKVIQFFIGLNDYYSIICSQILVNQPFPTVEEAYSEIIREEKRRELFVALGTVAAQVIQSSYQNGSSNNGDNKNLGIDQEIDTSI >KGN64413 pep chromosome:ASM407v2:1:5971872:5972822:-1 gene:Csa_1G050520 transcript:KGN64413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLAVEDCHSLVPSESLSPPSISPSQQSIPSVSFTNSKGSKNMSFTRNKLLPVLVLILSSLSILRLVRIALTTSNTSPLLAIALPSKLQHPKSGFSEKQSRTSANEAAISGKEFKLLSNLIAEKTPCNVLFFGIESQYLNLSAVNDGGNNVFLEDDSYKLSTFKVNSNHTRTYKVDYKFHAEKAYKLLKHARGNKSCAPESALRNSSKCKLALTDLPREVYEVKWDVVVVDGPRGDSPQAPGRMATIYSAAIIAREGNTTDVVVHDTDRMIEKWYSWEFLCDENLISSKGKLWIFRIRNEKNSTSFCPDKGIVIQQ >KGN66455 pep chromosome:ASM407v2:1:23881822:23884046:1 gene:Csa_1G611810 transcript:KGN66455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKAQIPTKNSALISMIADEDTVVGFLLAGVGNVDLRRKTNYLIVDSKTTVKQIEDAFKEFTTREDIAIVMISQYVANMIRFLVDSYNKPIPAILEIPSKDHPYDPAHDSVLSRVKNLFSTESVASGRY >KGN63728 pep chromosome:ASM407v2:1:1809314:1813759:1 gene:Csa_1G013760 transcript:KGN63728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLWVVATAAGAGCLAKYWQKLLKDGNTSSQMSSGNSSNGELGSLDHPFHQTEQRTKASGDIHAGEEEVLNGRDYVGSRFNVASISGFDCEKMDNLGNCQEYNGLSVSNLPLELSTTTSNDPQTFGHRSSVNVNVNDNMIDQLPCSSSRELNCFRPTMRKIGSLRHKQSYGRFIRPLSSLESCVLSHLYKDHVEMEEYFLHSFQSPSKSTMRRFVVNDGTRIVSRRVRDSFSVQVDMDASNFRKEPFIGKNRKAYGIPLLPKIQSLKTSEMIDINGGRRQSGASSASEMHNKKFLHAKDRMILFCLGISVGLISFMQNKREIDKLKELLRHTENLVQDLQEELEMKDSLTVKELSNENCESVGISENSFFGGKDQNLNPSAKSDDKELFKPNPEEDSDSLSKIEAELEAELQRLGLNTETSSTDKRFSDLHELDQEFTVDFSEGELRADMISELSPKLQRNQDASEFTSSGNYTVSPWELSVRLHEVIQSRLEARVRELETALENSERRLHHIEAKRTDSWKEFTHNEMLHSSSEESLTAQPLVMNLSGEALDAYNDAYSELMDMDDSEEETIDSPSTGDESKHSESQTTVNSHPFSVQNGKRNGSISLGRILVEEKMKNSYKMFGTMKGESNEIDGSEDESSDYDDEIEKQLIKQIVEKTRMGSPVVRNAQRWLFSMDKDDG >KGN66336 pep chromosome:ASM407v2:1:22825523:22828173:-1 gene:Csa_1G597830 transcript:KGN66336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERQRNQKPSEEQEEADEIQHGPFPVEQLQASGIAAMDVKKLKDAGLCTVESVAYSPRKELLQIKGISEAKVDKIIEAASKIVPLGFTSAGQLHAQRLEIIQLTSGSRELDKILEGGIETGSITEIYGEFRSGKTQLCHTLCVTCQLPLDQGGGEGKAMYIDAEGTFRPQRLLQIADRFGLNGADVLENVAYARAYNTDHQSRLLLEAASMMVETRFALMIVDSATALYRTDFSGRGELSARQMHLAKFLRSLQKLADEFGVAVVITNQVVAQVDGSAIFAGPQIKPIGGNIMAHASTTRLALRKGRGEERICKVISSPCLAEAEARFQISAEGVTDVKD >KGN65874 pep chromosome:ASM407v2:1:19187907:19195064:1 gene:Csa_1G535290 transcript:KGN65874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREEVISSAGTVDPTPAARYSSASSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSFSSLSTLLLFIISSSSSSSTLSSLVNLGSAGASSPAVPTNIGSVDGSIRGLGSKAASLSYVGSQPPQPSLSTGVGGSAFGMSRYSCRPWERGDLLRRLATFKPGNWFGKPKVVNSLACAQRGWMNVDVDKIECESCGASLSFELLQSWTSAEVQLAEFTKQLDSGHKVSCPWRGNSCPESLVQFPPTPQSALVGGFKDRCDGLLQFQSLPSIAASAIEQMRIFRGAQLDRLLAQSPNFTMGEINVKPEGTRELLDSSQDGAFYLYSQAQKIISLCGWEPRWHLDVQDCEEHSAQSARNGCSFAPTEAQLHLSHDAARSKKALSTSIKKDTGKGKLVVKDSRNEFRSPILDCSICGATVRILDFLTISRPANFAPNNIDIPSTSKKMGLTRGVSAASGINGWVTADDADKERIEDRDEVATTNEATLLPNTDVDLNLTMAGGLNVSQSEKNTTTEHIPNGDLGRDLMIGQPSGSEVGDRAASYESRGPSSRKRSLDKDGSSNDRALVRMHQADSVEGTVIDDEVTDDRQYSAGPSKRTRDSEFFDTFCSYQRDSAGAGPSHSMGLDLSMDGEKFNSFQQGGDQYTGIQSARDSTRASSVIAMDTVCHTADEDSMESVENYPGDVDDVHFPSSSTHGNLDNNETSELIYSNQAQQSIFLRPASEVPGEMGVSSTNDGEEIFNADTVTTQARDVFSFGISGGSVGMCASHEAEIHGADASVHRTDSVVGDVEPRIEDAENQGQTGESAPDPGLMDDIIREDPHGDSQEMFSRPVERADSGSKIDGSAKDDSVESGGKTSQSCKTVLVNSSHNADARPTHGQNKIEDPNLVPQKGESNYEIEFDPIVHHNQFCPWVNGNVAAAGSTSSSSNADAVALSGWQLTLDALDALQSLGRTGVQTLQSESAASLYKDDHHGKKLLRQHSASRSQGNLET >KGN66113 pep chromosome:ASM407v2:1:21238900:21245319:-1 gene:Csa_1G571850 transcript:KGN66113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISCCNSLNVNSPFLLTNYSQQLKSTRHPYLHQSKLQKRNSDGTTRGMKVVAYYGLKTPPYELDALEPYMSRRTLEVHWGKHHRNYVEGLNKQLSQNDILYGHTLDELLKVTYNNGNPLPEFDNAAQVWNHDFFWESMQPGGGDMPKLGVLQQIEKDFGSFTNFREKFILASLSHFGSGWVWLVLKRQEKRLAVITTSNALSPILWDDIPIVCLDLWEHAYYLDYKNDKIEYVNVFMDHLVSWNAALGRMARAECFVNLGEPKIPVA >KGN65640 pep chromosome:ASM407v2:1:17128745:17132341:-1 gene:Csa_1G476010 transcript:KGN65640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVTEMRVHMDCPGCEKQVRKALQNLEGVDDVIIDFNTQKVTVMGWAKQKKILKAVRRNGRTAELWPYPYNPQYHGFLHHYQHVLNSPQHRLNSPQHHHLPQPQSHTKPIITYKSVPSSSHKHNVSPIHESYNYNGGGADYGHYYQEPPFTMIDEEATAMFSDENPHSCVVM >KGN64088 pep chromosome:ASM407v2:1:4007357:4007836:1 gene:Csa_1G040510 transcript:KGN64088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGWCALIVAVGAWFRQPYCGARRSLEIVAETVEERKEFIIGLCVSSAIGIVTTSVQIKTKLPNSFQCLSMPILLCFVSVVFARMIESVFRTLSEILYCVGDFMCATTCFIATAISYDDAHFHTIVIVLYSIFCIICIIFIIFISITHNDPSSPSSSNI >KGN64182 pep chromosome:ASM407v2:1:4563409:4565930:-1 gene:Csa_1G042890 transcript:KGN64182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPISNGFNPFSPLFPHPQIIQQTLLSCLLDVGVRIPPTSLCAEQPISRSALILIALCVVSPIDAVGIWWFMGCISSKNVAKADASPLHKHHHYHHKTKPTTATTATVPIENNGSVVTLEPSSKAHSVTTLDHHEIKKGEDKSEDRSRDIKKSKGGGRGGLVSCPDVMFSEAQVKCYMRQLLSAIEHCHLRGIMHRDIKASNILVNNEGILKLADFGLANVINTRNKQALTSRVVTLWYRPPELLMGSTDYGLTVDLWSIGCVFAELHLGKPLLKGRTEVEQLHKIFKLCGSPPEEFWKKTKLPHAAMFRPQHAYESSLDEKCKEFAPVAVRLLESFLAIEPYKRGTASSALMSEYFKTKPYACDPSTLPKYPPNKEMDAKNREDARRKNRVNNARAKETGATQRPRRVRRNFQEFNSHKVAIKEELSAEDVQNINNQPSRRNGSNNNNTTNNLSKDQQGDVFQRDPQKKQQQLYDTTSETSQAAATAPNQGGDSAFTAPMLVSASSGFAWVKRRKEEATSTISDGLKSQISALDPSFANYTMELNKKQNGHTSIPVSTTSSGTQEYELRKQQRTKHNLPAESFHASEAYSRPFLNMSNEEEVYPKPPSSNITTNLDNDDTESNIDFSGQLLTQPHRIDELLQRNESHIRRVARKSRFEKDK >KGN63823 pep chromosome:ASM407v2:1:2366988:2371020:-1 gene:Csa_1G023600 transcript:KGN63823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFATAKLSELPFKNSFPLSSHSRTLLSNHPNFSLQILNTFSSLRTHTEHSGKRIPKTSHNSAPPSSSSSSSSWISKWPSGSSRNDRKVEKKVVRPSRHDRTDRAENQSEYLDKDKGQTAIERIVLRLRNLGIGSDDEDEDEDEEDGEDDGLDSLKARPVTGEERLGDLLQREWIRPDASLVSNEDGEDGMVLPWEREEERGEEEECGRGLKRRNMKAPTLAELTIEDEELRRLRRMGMSIRERINVPKAGTTQAVLEKIHGKWRKEELVRLKFHEELAHDMKTAHEIVERRTGGLVLWRSGSVMVVYRGSNYEGPSKIKPLTRDGDGVFIPDVSSATTSTSDNVAASVPEKTMMPIGPPMSNEGLSEEEAEYNQLLDGLGPRFVEWWGTGVLPVDADQLPPSIPGYKTPFRLLPTGMRSRLTNAEMTQMRKLAKSLPCHFALGRNRNHQGLAVAILKLWEKSLVVKIAVKRGIQNTNNKLMAEEIGNLTGGVLLLRNKYFIVIYRGKDFLPPSVAVALTERQELTKQIQDVEEKVRNKVVEATSLSINGQAPAGTLAEFYEAQSRWGREITAEEREKMVEESSRAKTARLVRRIEHKLGVAQAKKLRAEKLLSKIEASMILSSPDDDQETITDEERVMFRRVGLRMTAYLPMGIRGVFDGVVENMHLHWKHRELVKLISKQKTLAFVEETARLLEYESGGILVSIDRVPKGYALVYYRGKNYRRPIALRPRNLLTKAKALKRSVAMQRHEALSQHISELEQNIEQMKKEIGVTEDSDDENKLSSQDRPVSESFQDKEAYLSAVSDVDNDEDSNDEDSEYEEDEDDDFDFSDSESTDDPLN >KGN66563 pep chromosome:ASM407v2:1:24990962:24994304:-1 gene:Csa_1G629200 transcript:KGN66563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREILHVQGGQCGNQIGSKFWEVLCDEHGIDPTGRYTGTSDLQLERVNVYYNEASCGRYVPRAVLMDLEPGTMDSVRTGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDAVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMLLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQLYRALTVPELTQQMWDSKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIAPKGLSMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADDEEYNYEEEEEIPEHE >KGN64514 pep chromosome:ASM407v2:1:6616264:6618548:-1 gene:Csa_1G062890 transcript:KGN64514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSSFKLEHPLERRQAEAARIREKYPDRIPVIVEKAERSDIPDIDKKKYLVPADLTVGQFVYVVRKRIKLSSEKAIFIFIKNTLPPTAALMSSIYEENKDEDGFLYMTYSGENTFGF >KGN65335 pep chromosome:ASM407v2:1:13952024:13954773:-1 gene:Csa_1G338930 transcript:KGN65335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFGKSLSNQIEETLPEWRDKFLSYKDLKKKLKLLQPNSPHINRPSKKPKLDAHADSISNQVIDFVTLLEKELDKFNSFFVEKEEEYIIRLKELQDRVATAKDFDEELIQIRKEIVDFHGEMVLLENYSALNYTGLAKILKKYDKRTGALIRLPFIQKVLQQPFFTTDLLYKLVKECEAMLDRLFPANEQPTLAEAADGNEGCAPRASSTATSNNDGILGMPKELAEIEHMESVYMKSTLSALRVLKEIRSGSSTVNAFSLPPLQINGLEGTWKKVPVLEQEAIAK >KGN66808 pep chromosome:ASM407v2:1:27907918:27910373:-1 gene:Csa_1G696420 transcript:KGN66808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKAVTIRTRKFMTNRLLSRKQFVIDVLHPGRPNVSKAELKEKLARIYDVKDANAIFVFKFRTHFGGGKSTGFGLIYDSVENAKKYEPKYRLIRNGLDTKVEKSRKQMKERKNRAKKIRGVKKTKASDAAKGGKKK >KGN63620 pep chromosome:ASM407v2:1:1180448:1182094:1 gene:Csa_1G006300 transcript:KGN63620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVPDLFRRNGLVGFSDESKQRSSGSTQLHVLAVDDSFVDRKVIERLLKSSSCEVTVVESGRSALQYLGLDGETNSVQFSSALKVNLIITDYSMPEMTGYELLKKIKESSAFREIPVVIMSSENIMARIDSCLKEGAEEFIVKPVKLSDVKRLKDFIMEGENGGKKIDLKRKLHLNHDHNPLSPASPTPSMTAFDQCQGFKQRSPPSLRPSSIIHSSKKPRFLNGN >KGN66117 pep chromosome:ASM407v2:1:21261043:21261416:-1 gene:Csa_1G572380 transcript:KGN66117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESILFSLAANIATKLGSLALQDLGLLWTGIHEEIDKLRDTLSAIQAVLHDAEQKQYKSSAVKEWVSRLKDAFYDMDDLMDEFSYESFQRQVMTKHRTNNCTKQISFENGS >KGN64709 pep chromosome:ASM407v2:1:7833421:7839393:1 gene:Csa_1G076610 transcript:KGN64709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENPSSGVRGAMEENTLAILDASEATKHTPDANDDRIAFLEAVRAASLLPENKSPPTFKMCEAIFKILRFGKSLELISTSYQLLNELDRKFPRVYMTNANGSSTPHELVVVQEAWTPFVLGTDISDIERAGANDLTSGLVDPQGFHQLLQGLAEVSDVENFQALDTKVLSNMLLFHYLVNALEGDFVPRNQMYKETMNWILVRESLLNMILCSRKMNYKGLMKDCVTTLCGLGQFLAEYDNAVQSSETSVAKQPEAIDTALATAFLHFGRITCAAMLKLMIMVMELDSSKKNADMQGHTTRSDGVRTPLAEIIQDELTYDKSILSPFLQVFKDSKWKLEIIVQFFWKYISKPSVRTRRSNGPAEDATFSGVLKCISNSSSTKSITKKIGAHAIHLLLAHGFQAFLLLSAKQSVEDLSVSKEQMAGGSVVETCKNLILVFNSLRTLDKQLEISSCAKEAIFVAATIMSKKL >KGN66610 pep chromosome:ASM407v2:1:25584148:25589584:-1 gene:Csa_1G641040 transcript:KGN66610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGRSFNRIIFLLFAVLLLLPVIFAAKFEYCDRRGDYPVKVGELEVSPDPVKSGQPATFTVSASTEKNLSGGKFVVEVSLFGLHIHSESHDLCEETSCPIAPGKFSLSHSQSLPPFTPPGSYTVKIILRDSKNQQLTCINFKLKIVFGDAESAISEKYLVAES >KGN65326 pep chromosome:ASM407v2:1:13843674:13844000:1 gene:Csa_1G328380 transcript:KGN65326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFIWISSHLKYPSEFGCPRIEFSSPWNTTRNTVKDFNVVRWNPKTLDLSSWMRFFGHVTMEEIVWRAPWVPTSPLNISLRTIAIYSTFRLMGKDCLFSFIGIATYMV >KGN66071 pep chromosome:ASM407v2:1:21002089:21002421:1 gene:Csa_1G569475 transcript:KGN66071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFPKFISQIQSPLRFPIRQSNTKTTKFHLITGIIDAALTMNNRIKQLLDLNINNPNFSIAQTPIREKLDLEGKAVVLNGGIVDGESHGEIPAGVGAGVFVDGGFLFLVV >KGN64409 pep chromosome:ASM407v2:1:5943881:5945240:-1 gene:Csa_1G050480 transcript:KGN64409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCNKRRRTSTSAATGISDLFDGLPDDLVVVVLGKLSATASSPLDLVNVMITCKRFNRLALHPIVLSKAGPKAFEIQTKNWSESTHRFLKLCVSAGNVEACYTLGMIEFYCLKNRGTGASLMAKAAIKSHPLALYSLAIVQFNGSGGSKSDKNLRAGVALCGRAAYLGHIDALRELGHCLQDGYGVPQNVSEGRRLLIEANARELAYITNSSLRRLHCSRHRQSATDSGGSLLSDFGCNFPAPEAHPANQFLRDWFASGRGSIAEGLRLCSNSGCGRPETRLHEFRRCSVCGNVNYCSRGCQALDWKLRHKTECAPFDRWLIEEDELEGDDDGGVVNRIEQFDDGENVESE >KGN66251 pep chromosome:ASM407v2:1:22269261:22270261:-1 gene:Csa_1G589090 transcript:KGN66251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTSLTTWITPASLFIFINLVIATIAITSRFTAHKSPHHLHTASPLSRPPSFLERVKSFNFTLHDSNYYPNPHPAPPPPRTPSMLDRLKSITLIRSDSIRQPEAPQSAAETIVQSPEETHQNHSVTRSKSETVTLPRATSLRRRLQKSLSEKLPWVSSTAGQSETEEVVNEIERRRPATVKAEEAETKEGGEEEVDSRADDFINKFKQQLQLQRLESLLRYRNMVTGKK >KGN65965 pep chromosome:ASM407v2:1:20171244:20181452:1 gene:Csa_1G553530 transcript:KGN65965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGVIMANLNLCIPNCERYGFPTLHCTHNSHNSFWVSFFPSSVSGTDSSLSDAKNRVLRHRVHKCGSIKALSNGESDISLPSGNLLEHDFQFKPSFDEYVKVMETVRTRRYKRQLDDPNKLTMKENGSAKSAESTSISKIDNGKNKVTDVQHNVDVKNMFKRVDKKDLFNNTERIAPEKDLSGNKFDRRKVVTRSNDKVKGKMTPFGSLVNDKQHEEKRNENWSSYIEPRVTRSNSKKPIHFKANTLEVKKESSRVSDGNSMKTSEKIWAWGDDDAKPAKGVLKAGKYGIQLERSYNPGDKVGRKKTEQSYRGTSTSGKRFLEFNEKNSLEVEHAAFNNFDAFDIMDKPRVSKMEMEERIQMLSKRLNGADIDMPEWMFSQMMRSAKIRYSDHSILRVIQVLGKLGNWRRVLQIIEWLQMRERFKSHKLRFIYTTALDVLGKARRPVEALNVFHAMQEHFSSYPDLVAYHSIAVTLGQAGYMRELFDVIDSMRSPPKKKFKTGVLEKWDPRLQPDIVIYNAVLNACVKRKNLEGAFWVLQELKKQSLQPSTSTYGLVMEVMLECGKYNLVHEFFRKVQKSSIPNALTYKVLVNTLWKEGKTDEAVLAIENMEIRGIVGSAALYYDFARCLCSAGRCKEALMQMEKICKVANKPLVVTYTGLIQACLDSKDLQSAVYIFNHMKAFCSPNLVTYNILLKGYLEHGMFEEARELFQNLSEQRRNISTVSDYRDRVLPDIYMFNTMLDASFAEKRWDDFSYFYNQMFLYGYHFNPKRHLRMILEAARGGKDELLETTWKHLAQADRTPPPPLLKERFCMKLARGDYSEALSSIWSHNSGDAHHFSESAWLNLLKEKRFPRDTVIELIHKVGMVLTRNESPNPVFKNLLLSCKEFCRTRISLADHRLEETVY >KGN63596 pep chromosome:ASM407v2:1:1047102:1048015:1 gene:Csa_1G005570 transcript:KGN63596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTDVEEESPCCDADKSNSLLPTARCGCFRLSCFGSRRVATVGPSWWERIRASQVHNEGRWWTRGVRVLLKLREWSEIVAGPRWKTFIRRFNRNRSGGCGGCGSGGGGGSGVRAGKFQYDPLSYAMNFDEGSRQIGELDDDIDDFNGYRNFSARYASIPAPLKTGGTKNVSAVA >KGN64262 pep chromosome:ASM407v2:1:5023376:5028817:-1 gene:Csa_1G045600 transcript:KGN64262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKPEVLEAVLKEAVDLENIPIDEVFENLRCSKEGLTSEGAEERLKIFGHNKLEEKKESKVLKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDFVGIITLLIINSTISFIEENNAGNAAAALMARLAPKAKSALTGESLPVTKGPGDGVYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDTTNQVGHFQKARAGIQEVHFLPFNPTDKRTALTYIDHEGKMHRVSKGAPEQILNLAYNKSEIERRVHAVIDKFAERGLRSLAVAYQEVPEGRKESPGGPWQFMGLLPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQEKDESIAALPVDDLIEKADGFAGVFPEHKYEIVKRLQAMKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLALIWKFDFPPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLAEIFTTGVVLGSYLAMMTVIFFWASYKTNFFPRIFGVSTLEKTAHDDFRKLASAIYLQVSTISQALIFVTRSRSWSYVERPGLFLVVAFILAQLVATLIAVYANWSFAAIEGIGWGWAGVIWLYNIIFYIPLDPIKFAIRYALSGKAWDLMLEQRVAFTRQKDFGKEQRELQWAHAQRTLHGLQPPDTKMFTERTHFTELNHMAEEAKRRAEIARLRELHTLKGHVESVVRLKGLDIETIQQAYTV >KGN66505 pep chromosome:ASM407v2:1:24343299:24343602:1 gene:Csa_1G616230 transcript:KGN66505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDALYVALEKLGGAKVSIVISESGWPSGGGNGATIENAGTYYRNLISFVRNGTPRRPRRAIETNQNTNLVLVK >KGN64477 pep chromosome:ASM407v2:1:6378189:6381528:-1 gene:Csa_1G058120 transcript:KGN64477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPSTKSQNFSHFHPCDSRNDVVEVVVEHNVIPTPPDSQDPCNTQCNTTTEEGKEKQDGRGCGDEMVKWMVKSALKTSLRHSALNGDWKTAEAIYQKYELKPRDPITRNGETILHISAATQHKDFVKKLIGEMSQDELSLKNKNGHTALCFAAEEGSEIIAKLLVENNEKLPLIRGDEDITPLYIAVSYRREKMASYLLSVTDLNQLNDQEKTLLLIAAIHSDFYGISLEILRNNPKLATMRNGKNNDETALHVLARKQSEIFRSRREINIWKKCIGYGTCNKDDAKTLARQLVNSLWEHVLRDLDEKETLDFIKHPTGLLHDAARAGNVEFLILLIRSYPDIVWEEDDDKKSIFHIAVENRLENVFNLIHEISGPRDFSTRYKTTTKGNYNMLHLAAKLPALNHLNRVSGPALQMQRELLWYKEVEKIVLPCQREAKCNDGSIQLTPRELFTKEHTELRKHGEEWMKNTANSCMLVATLITTVVFAAAFTVPGGNDDETGDPTLQTKNWFVVFVISDAVALLSSSTSILMFLSILTSRYREDDFLRSLPSKLLSGLVLLFISIVCMVVTFSATFFLLYRHPSNIWLPMTIAAMAIIPVSCFWGLQFKLSIDTFHNTYLFRSIFKPRTRKLSSLFTSEVVDYYREKKKKM >KGN65327 pep chromosome:ASM407v2:1:13844244:13844633:1 gene:Csa_1G328390 transcript:KGN65327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHVLATENEGLRKEVKRWVQKATTSERQLEEAQRHLQRQSELEKDMNSLNIEAIQIRKKNKRLLRDIATMHSEAEAQKVCIRELKQELERVSKVVIDFQSALDEQATSSQRITTKMEALDNLAKEYKI >KGN66369 pep chromosome:ASM407v2:1:23092808:23093170:1 gene:Csa_1G600090 transcript:KGN66369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSESNDKKQSRKYFKPGELAQIRDSQINAKRNRVKSISKICLHPIVESPSIFDISNQLEFRMAKVCPNGASFFPNRKKIAAIKPDNLFSNPNLESPIIELTSDQLIIGSVDSPSEGLEI >KGN65441 pep chromosome:ASM407v2:1:15342178:15343400:1 gene:Csa_1G422990 transcript:KGN65441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSVFSTTLFLSLLFTSFFLPSSASNFHQSTDITWGDGRAQILNNGDLLSLSLDKASGSGFQSRNEYLYGKIDMQIKLVPGNSAGTVTAYYLRSEGSTWDEIDFEFLGNLSGDPYTVHTNVFSQGKGNREQQFHLWFDPTADFHTYSILWNPQRIVFYVDGTPIREFKNMESIGVAFPKNQPMRLQSSLWNADDWATRGGLIKTDWTQAPFTASYRNFNENACIWSSGQSSCGSNSSPAASDKPWYSQELDTDSEGKLKWVQKNYMIYNYCTDVNRFPQGLPPECNGTA >KGN64312 pep chromosome:ASM407v2:1:5303400:5307411:-1 gene:Csa_1G046090 transcript:KGN64312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSPVEEEAINPLTDEIKDSGVENFDDGSKEMFEKRSETRERKKSKYLSFPYINWGRKVMPAETEDIKFLKISGEGEDENAVEGQNETPSLSKCSGRFWKKWYRNITSGSDVPDNQDLMSASPAEFLSELHFTAVNCLYPNDNNNFDAVAQFFSRFRILMFHDESVNGGQNEAMAADLFFLGGKASEVKHPSSAVKSGIKKRKNQASSIMKMEDTKSKQVSGDVDLTGKAETSPAGDADEESPPSSNVESDKDRESLWREFVDNQDLMSGSPAEFLSELHFTAVDCLYPNVNNNFGTVAQFFSIFRILMFLGEKVSEDKQQQQPSSAAKSGIRKRKGQSSSIKKMEEMKSKPVSGDVDLTGNAEISPAGDAQKKTPSTSKVKSKKDKESLGRLKTKSLSALSDVNITLSSCSLLAKDSPEAGPLSPNGLPKRRKRRNNGVHPQSKPTTEIPDLNGSGAVAGLLVEDQQAVSHVAAQLKREPKRRRKRGVSKENSKASTEFINVNVNDSNKPGSFFIDLQVTASQPFAVIPEQNNLDFAGAPNQSVNDQTIGQDQSKSGGKKRKRKEKPPLADPDAVLSYSNGVGTDTSQGKDSQLTNNLPPQPKPKRRRRRKGQASLNHPNPSDSRSYIYNRVETDGEGLGSLLLLTFSSEAPLPPREQVITTFSQFGSLKESEIQLKDSTVEIVFLRSADAMEAVRSLKKNNIFGPTLLKYQLYHLSAPPKTSDSDRACTALAYPASEGTLNPSKSAESGNQAGDAPPIEFIRKNLQMMTSMLEKSGDNLSPDMRAKLECDIEGLLKKVSSMAGPSST >KGN65136 pep chromosome:ASM407v2:1:12150632:12153759:-1 gene:Csa_1G237050 transcript:KGN65136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSIQVCSWGGYTFIINLIPMHALLCIVTGCYSSRLYIAYAPFVVLGTLLAALVPVVGFNAVMTSEHFASFLVFIIIHVVALVYYIKGILSQKMFKVAVTLVVSIGLAVCCAVIAILVALVASSPTKGWSGRSLSLLDPTYASKYIPIIASVSEHQPPTWPSYFMDINVLAFLVPAGIIACFSPLSDASSFVVLYIATAVYFSGVMVRLMLVLAPAACIMSGIALSQAFEVFTRSIKFQMPGEADAQEVGDTISDGVVGQHDVVKPEKSEDTVKERSSKKNRKKEKEPVEKPSLKSQIRKRLLVLPFGTSIIALFLLVLLGAFYVVHCVWAAAEAYSAPSIVLTSHSRDGLHVFDDFREAYAWLSHNTEVDDKVASWWDYGYQTTAMANRTVIVDNNTWNNTHIATVGTAMSSPEKAAWEIFNSLDVKYVLVVFGG >KGN66622 pep chromosome:ASM407v2:1:25706331:25707033:1 gene:Csa_1G650090 transcript:KGN66622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLHKDLKPTKRDRWANLSGGDLERARLWASRLNLGRGQPSADLERRDVWLSGRFGTQTDLGREVSANNERATTKMARLCRATDLCADLVCLDQQRPLAEIKRRQQLNETNSRNLALIPS >KGN63528 pep chromosome:ASM407v2:1:575753:577319:1 gene:Csa_1G003460 transcript:KGN63528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDENGGRKLDDLESYLVSESLKMRLNGDQKWEEVIREIKKQMGLAGPLVLVSFLQYSLQLISIMFIGHLGELQLSGASMALSFAGVTGFSLLLGMGSALETLCGQSYGGKQYEMLGIHMQRAMVVLSLICIPIAVLWASIEQILTFLKQDPLISEQAGIYGKWLIPSIIPYGLLQCQLRFLQTQHLTSPLLISTAASSFIHLLVCWVLVFEFGLGIKGAAFSYLLGFGCSFFSHGLVS >KGN65934 pep chromosome:ASM407v2:1:19841393:19846875:-1 gene:Csa_1G538810 transcript:KGN65934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAVDAAGDPIPTSAVLMSSSKHIAIKCRSENVAYLQCKQKDPNPEKCLDKGHQVTRCVLSLLKDLHQRCTKEMDAYVGCMYYHTNEFDLCRKEQEAFEKGCPLE >KGN65514 pep chromosome:ASM407v2:1:15872594:15876104:-1 gene:Csa_1G433620 transcript:KGN65514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIEMEVFSNSQLIVLTVLMFIGGEIFTSMVGLHLRRLFKKNLQIPTTLEGFDSLSLIKFLGFVVLGYLLITHIVGIGMIVVYFLFISSFAKEILDEKGINLVTFSFFTCVSTLASCGFVPTNENMIVFHKNSGLLLILIPQILVGNTLYPSCLRFCIWVIGKFSKDHHNDEHRLKVDYLLKTSEEIGYIHLLPSLHSCLLVVTVFGFIFIQFVLICSMEWDSNGFSGLNSYQKVVAILFLSTNSRHAGETIVNISSLSSAILIMFIVMMYLPPYTSFLPLNEKQELEDHFRHLQRRKVRSKKAKAWQNLLFSQLSYLIIFITIICIIERKKMVEDPINFSVLNIVLEVISAYGNVGFSTGYSCKLQIHPPNDCVDKWYGFSAKWSNKAKIVLILVMMFGRLKKFNMDGGKAWKLV >KGN65765 pep chromosome:ASM407v2:1:18393506:18395506:1 gene:Csa_1G526840 transcript:KGN65765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTREVAKHGRLLLVPCPYQGHINPMLNLATYLHRNGFSITIAHTSFNSPNPNRHPEFTFICLNDCLADDLVASLDIAILLLTVNNNCKASLEEAMATVLRDVVCVIHDEIMTFCAEVASSFGVRSLVLRTNSVSTCIGRSVVLQLHAEGRLPLLDQGFMEDEVPNLHPLRYKDLPISAFSDISQSTKLVHKMHDLTTSSGVIWNTIPFLEPSEFTKFKANICNQIPIFAIGPIHKISPTSSSSSLLNEDYTCLPWLHKQPPNSVIYVSLGSVALLTNHELQEMAWGLVNSNQPFLCVVRPGSVRGSDGIGFVLEEFQKKAGDRGCIVEWAPQKEVLAHRAVGGFLSHCGWNSTLESLSEGVPMLCKPYSGDQRGNARYISCVWRVGLTLEGHELKRNEVEKGIRKLMVEEEGRKMRERAMDFKRRIEDCLREGGSCSRNLRELVDFIMSS >KGN66176 pep chromosome:ASM407v2:1:21698078:21698428:1 gene:Csa_1G574925 transcript:KGN66176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSVSIVTSTGIFPGILTSCEQDLSTKGLKPVPSLPKTRIVGAPGSTSFKSMDFEETDAPRTLQPFSAEDVKNCIMFLQYLSSINSSEPALALLTTPLNGAEFFAHKTTPDAPKK >KGN63792 pep chromosome:ASM407v2:1:2177213:2183496:-1 gene:Csa_1G015840 transcript:KGN63792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDARRGSRVVNPKIRQVGFFTLDPPNPPGRSLSGPADCTPSQQSLSPPSNSLSPVMIPPPRHLSDNLASRSAVFPLPPPANWRDSADHIHVGSYNPSEMLLATSPSGRIGDEELDMSEDSFTSVPYRRSGSGKIASSFPGVGIYMAAKASETSQAMVPNTVEANKSVGVAEKNGGASTELQNRQPPSNSKPQKAKTTKAERRALQEAQRAAKGEAKKSSNAPGKAVKQSSTMRDSTAAPSVASTDKRGGDRTHDKERKKDAPPPRMQFDDKSRVEKAKKRAVFNQTEARNRVELFRHLPQYEQGTQLPDLVSKFFQLDPIHPAIYKVGLQYMAGDICGGNARCIAMLHAFQQAIKDYSTPPQKSLGRDLTTKIGGYVSFFNECRPLSVSMGNAIRFLKNLIAKLPLNLPESEVKATLCSDIDRFINEKIIIADEVIVRHAATKIRDGDVLLTYGSSCAVEMLLLYAHELGKEFRVVVVDSRPKLEGQALLRRLIGRGLSCTYTHINAISYMMHKVTRVFLGAAAVLSNGTVYSRVGTAAVAMVANAFHVPVLICCEAYKFHERVQLDSICFNELGDPDAISKVPGRKDINHLENLAAKEQLQLLNLMYDATPSDYISMIVTDYGMIPPTSVPVIVREYRREHLWI >KGN64028 pep chromosome:ASM407v2:1:3679720:3682825:-1 gene:Csa_1G038950 transcript:KGN64028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSERVKNGVKVDDHQLFRNSTNMKKVCVIGAGASGLVAARELRKEGHKVIVFEQNDDVGGQWLYDPNVQNEHPLGRSKFLNVHSSIYSSLRIASPREIMGFSDFPFVAKKFGHDSRRFPGHYELFLYLKDFCRCFDLNQLIRFNTRVEYVGVIDHNKNSIVAGMDKWKRKQIHSHVYRVPDPFCNEVVVVVGNSQSGQDISLDLIEVAKEIHLSTKFLDNVTQGLSKVIQKYQNLHLQPQIESLEEDGRVVFVDGSSIVADTILYCTGYSYAFPFLDTKGIVVVDDDRVGPLYEHTFPPSLAPSLSFIGIPRKLIGFPFFESQAIWIAQLLSGKRTLPSYDDMMQSIKQFYHSKDIAGIPKHNTHDLAEFEYCDRYGDNVGFPHLEEWRKKLCVTAIINSSNNLETFRDSMDDDEDLQEAYQSPHFTQLEAQVFPLDHM >KGN65758 pep chromosome:ASM407v2:1:18340409:18344044:1 gene:Csa_1G525290 transcript:KGN65758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRWFSRSKLPVFASVFLQGLTRRPIRDVPLPVKSTITDFQPDSRRPIWGFRLYHDGRPRGPLWRSRKAIGKEALFVIQGLKRFKEDEEKFEKFMKSHVSRLLKLDMVAVLGELERQEEVALAVKIFRLIRKQDWYKPDVYIYKDLIIALARSKKMDDAMKLWESMREENLFPDSQTYTEVIRGFLRYGSPSDAMNVYEDMKKSPDPPDELPFRILLKGLLPHPLLRNRVKQDFEELFPDQHVFDPPEEIFSLR >KGN65716 pep chromosome:ASM407v2:1:18031710:18032157:1 gene:Csa_1G513470 transcript:KGN65716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLSPSPLSPLHSPILLSGFFMVLLTISMLFLIVLFLFIVILCTKRSAKFDGEELLENLDIEAPIFHYIGVEGSEQEYCTDRWFKVDGHCPIYRTFVCVVVVDRGGIAMASSSSLPTPFINRI >KGN65013 pep chromosome:ASM407v2:1:10942075:10942917:1 gene:Csa_1G181260 transcript:KGN65013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGKLEREGLYESHFHIILGYTHHILRSKKQEKMSVVTEEIKGKTEEVYHGDEICQEKSKELLKEIGLPNGLLPLKDIEECGIIRETGFVWLKQKKSTTHKFEKIGKLVSYATEVTATVEKNKIKKLTGVKTKELLIWVSLSDIYVDDPPTGKITFQTPAGLYRTFPVSAFQVEEPVKAVSEKKEQVVETVEVKEI >KGN65263 pep chromosome:ASM407v2:1:13231084:13231441:-1 gene:Csa_1G277990 transcript:KGN65263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLKSDVYPSGGIGGTILVGVGAGVGAGGPGIGHSGSGGEGTGSGSKSIDEL >KGN63448 pep chromosome:ASM407v2:1:121028:127886:-1 gene:Csa_1G000720 transcript:KGN63448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITIPYLTALTTYFSYGLLFAFGQFRDFFRKIFDWWNSSNLQGYAPICLGLEDFYIRRLYLRIQDCFGRPIASAPDAWFDVVERYSNDCNKTLKRTTNVSRCLNLGSYNYLGFAAADEYCTPRVIESLKRFSPSTCSARVDGGTTSLHKELEVCVANFVGKPAALVFGMGYVTNSAILPVLIGKGGLIISDSLNHNSIVNGARGSGATIRVFQHNTPSHLDKVLREQIADGQPRTHRPWKKIIVVVEGIYSMEGEICKLPEIVAICKKYKAYVYLDEAHSIGAVGKTGRGVCELLGVDTADVDIMMGTFTKSFGSCGGYIAGSKELIKYLKYTCPAHLYATSISPPAAQQIISSIKVILGEDGSSRGAQKLARIRENSNFFRSELQKMGFEVLGDNDSPVMPIMLYNPAKIPAFSRECLNQNVAVVTVAFPATPLLLARARICISASHTKDDLLKALEVISRVGDLVGIKYFPAEAQKQHSENDDPHKED >KGN63454 pep chromosome:ASM407v2:1:148497:153085:-1 gene:Csa_1G000770 transcript:KGN63454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSMNLPDGFQRRRTAVDELNIHDELHLQARSQKYGKLERGQLLTVSPYLVKRRKQHFHHLEQYGIDLILGCNGFIWIGSMNLWQPNARKSTYSIRDTAEYMQNCKCYSSVVFLSISLNIDVHEMLGSEFFVLMAEKEGDLEIGMMRGLPPGFRFEPTEEELVFEYLKCKVFSSALPASIIPEINGVWSLDPWDIPAAGGGDEEKYLFSKEAEEHNNNNNGEGGGIIGWSKSGYWKARGREKEIVSNENGVALMGMKNTLDFYTNNIRKMKKTGWVMHQYRLIIPHSHSSTNKWVLCHILSPTNKLFSHIVHPHRHRRRLHCSISSTKDVNGDHEDEHDEHVNHITTSTAFSDDEHDSVSSTASSSSTSATFTDFTSTATTTTFHF >KGN65629 pep chromosome:ASM407v2:1:17018531:17021883:-1 gene:Csa_1G470450 transcript:KGN65629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERKLNFNAPLMSVRRFSKAASSISKANEKKSENSHFSRRSTFPVSRPQFNLDQVTEPVAVPFYWEQIPGRAKNDSGSASPEVHLPHPPERTCSTPRLSFGTALDANKYSSEMEACHQDGCESSSSNAIVVRLESAKASGGRSLASENDDDDDDDDFSDARETLSLTGSFSVNNCSVSGISGYNGPMVKPSGTFRTDPQTRDFMMSRFLPAAKAMVLEPAKYSLKKKLVAVEQPRQVKKAENRRISPIKRLESTLLLQYGKDEVHGVDEVDEESDSVDDEYDNSGHISARGCGLIPNICFKNSLGLLNPVPGMRIRTEAPMSVTKKVGGSSRTLHHSYGQKMNKHAWDATYKQKSEAAVGSPRLLEVKDKWTGESKHFSSSTDLQMKGRSSPFRHSRAASPFRNEASRSPCRRQPFVVPKEVDIISKSKGDIDCHDTPSIQATNKDGVDMANILMEKTLYIDTASVAGTNPPFNSAIFDDKKKSECPNGKNETACEMRVMEESTTEEPSFLEIKCLTMVEEGRLEREAAESKIKDAIDDCLKVGHGLYEEDHTEYTNLGSADEEDYSKANYQLVKVEDPASVKVTSAISSQPPPLPKSPSESWLWRTLPSVSSKKLLAGSNFGNKLYQKPQSPRTSASTKWETIVKSSNLCHDHVRYSEELLPRVSQHSTTENFK >KGN66137 pep chromosome:ASM407v2:1:21384116:21384780:1 gene:Csa_1G573070 transcript:KGN66137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVGLISCVLVVFLVFYSGNLNANAAVCCNNNPSLGSCKPGVDDSPQANGKCWRLCTSGCTKGGFCKRVGRNGRHVCHCYC >KGN64158 pep chromosome:ASM407v2:1:4412162:4420806:-1 gene:Csa_1G042660 transcript:KGN64158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIEEEIRALELDPPDVNGVSNQDAKMEDVVESKSLEEDVQTEETVKSNEMEEVKENTSAKEKEVSLADENEVEEDLELDRKRHLNVVFIGHVDAGKSTIGGQILFLSDQVDERTIQKYEKEAKDKSRESWYMAYIMDTNEEERVKGKTVEVGRAHFETETTRFTILDAPGHKSYVPNMISGASQADIGVLVISARKGEFETGYERGGQTREHVLLAKTLGVAKLLVVVNKMDEPTVKWSKERYDEIESKMAPFLKSSGYNVKKDVQFLPISGLHGVNMKTRVDKKVCPWWDGPCFFEILDTIEGPPRNPKDPFRMPIIDKFKDMGTTVMGKVESGTVREGDSLLLMPNKIQVKVTAVMCDENKVRSAGPGENLRVRISGIEEEDIMSGFVLSSIAKPIPSVSEFIAQLQILELLDNAIFTAGYKAVLHIHAVVEECEIIELLQQIDPKTRKPMKKKVLFVKNGAVILCRVQVNNLICIEKFSDFPQLGRFTLRTEGKTVAVGKVTDISSASN >KGN63991 pep chromosome:ASM407v2:1:3522011:3523678:1 gene:Csa_1G033110 transcript:KGN63991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKRVVEARPLLYKVAYQKAKLQLEFVKRDWYLHESVTLDAKIKALSKYFSTYCKLKGTTNSIDILGSVIDHLRRESCAGLFIRICRC >KGN65221 pep chromosome:ASM407v2:1:12901885:12902913:-1 gene:Csa_1G266180 transcript:KGN65221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGAAHPNGPFHLNSLTSSPSPAIPTHFSPRTAAFTTPPNYAGSLSHLLSLKGFEPLWCPTLTVQPTPLAIKSHLLPPILHSFSAVAFTSRSGITALLDAATEIGEPLLPSHGDTFLIAALGKDSELLDHEFLTTICHNTSRIRVVVPEIATPTGLVEALGVGNHRSVLCPVPRVVGLNEPPVVPNFLRDLEAKGWVPVRVDAYETRWAGPDCARKLVERGKDEKLDAIVFTSTGEVEGLLKSLAHLGLEWDVMKRRWPEMVVAAHGPVTAAGAERLGVKVDLVSSKFDSFNGVVDALHWRWQNLE >KGN63463 pep chromosome:ASM407v2:1:198956:199156:1 gene:Csa_1G001350 transcript:KGN63463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQKHSEPMPISASASASSFCGKPRPHSARQQIHGTFLVSTLVDVATMTRRKDFLYSGLILVIFTK >KGN63731 pep chromosome:ASM407v2:1:1819741:1822412:1 gene:Csa_1G013790 transcript:KGN63731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGQKIEAIESKTHSISSTSGVDHSISSNKLASSLSSASDSSSDSSFELIVTGLDGSIGVASDSLKKDELHVVGRSDSGFVFITSDDVGTHSPKTDLPGTAKIPSQSLSRSSSSSSESSLHDHVIELPKPVEPDSKKRLPGLKHGETNNVESRKSIQTCSSTSSSSSSESESKHKLQKGRDVDNLLSKNPSSPSVVKDNRLVTDFSDDDVPTSNGQSAVTPTHRVDSSSGCETPTQYPQTQMMDRVEDPTSPAYRIPSRVFSRTKSTAPMEWSVASNESLFSIQMGNMSFTREQLCWLGKSGELCRPGESTIDMSQHLAMKTSDCGLKSKSPNGDLSATEARAAETMREVIRENSENQRKESSTLTESSSQSASISHQSEGSTKSFQFPILTGEGGKSISLKGGDLEKAKQEGKPESPETPSNPQTPKEKNSDESPKATTNTGQKRWFSCFSCCPFCS >KGN65257 pep chromosome:ASM407v2:1:13185052:13189342:1 gene:Csa_1G276450 transcript:KGN65257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPKLFCFIILSSAFLCLSYEPRNPEVEALINVKMALNDPHGVLSNWDEDSVDPCSWAMITCSPENLVIGLGAPSQSLSGSLAGAIGNLTNLRQVLLQNNNISGPIPIELGTLPLLQTLDLSNNRFSGPIPTSFAQLNGLRYLRLNNNSLSGPFPLSLAKIPQLAFLDLSFNNLSGPVPVFSARTFNVVGNPMICGSSPNEGCSGSANAVPLSFSLESSPGRLRSKRIAVALGVSLSCAFLILLALGILWRRRNQKTKTILDINVHNHEVGLVRLGNLRNFTFKELQLATDHFSSKNILGAGGFGNVYKGKLGDGTMVAVKRLKDVTGTTGESQFRTELEMISLAVHRNLLRLIGYCATSHERLLVYPYMSNGSVASRLRGKPALDWNTRKRIAIGAARGLLYLHEQCDPKIIHRDVKAANVLLDDYCEAVVGDFGLAKLLDHADSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLIELITGMRALEFGKTINQKGAMLEWVKKIQQEKKVELLVDRELGNNYDQIEVGEMLQVALLCTQYLPAHRPKMSEVVRMLEGDGLVEKWAAAHTHNDLHVNLFHSRNSCKSTYNPTNVLKNNGNEREHSSMLSLTMDDDDDERSLDSYAMELSGPR >KGN66064 pep chromosome:ASM407v2:1:20959559:20963193:-1 gene:Csa_1G569410 transcript:KGN66064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNLIDRTDLWKNKARSLQLRLRDRFRVAVDNHRRKPTIFSDGYFSFTLRLWLQRFRDFRHDLPSSTVFYRKRVGKEFNDGEESAVLRMLQAVAVPVLGNVCHVFMHGLNRVQVYGLEKLHKAVLQRPKDQPLVTVSNHVASVDDPFVIAALLPPSVLFDAQNLRWTLCATDRCFNNSVTSAFFSTVKVLPVARGDGIYQKGMDMAISKLNHGGWVHIFPEGSRSRDGGKTMGSSKRGIGRLVLDADTVPTVIPFVHTGMQEIMPIGAKIPRIGKTVTILIGDPIEFEDLFNSESEQKFSRGKLYDAVTSRVGNRLLEMKLQVEKLAHDRALDMQNHSMSGTERAAIMLQQIDWESFGIGSFTSIDYNSPTNQETQTQSDLDVPSEQQPISDWNFRMHLSREGGFISRMRGYIDPTEFMSFAARGLFRNHKTLGNSELDEANRPLKAWKRFVEANVMKRGSGTLLNITSYNNLTVLMR >KGN66912 pep chromosome:ASM407v2:1:29040739:29056670:-1 gene:Csa_1G714690 transcript:KGN66912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLLPFESTHHRISDSGSSKNSQENQDEAGRWYMSRKEIEENSPSRRDGIDLKKETYLRKSYCTFLQDLGMRLKVPQVTIATAIIFCHRFFLRQSHAKNDRRTIATVCMFLAGKVEETPRPLKDVIIVSYEIIHTKNPGAAQRIKQKEVYEQQKELILLGERVVLATLAFDLNIHHPYKPLVEAIKKFKVAQNALAQVAWNFVNDGLRTSLCLQFKPHHIAAGAIFLAAKFLKVKLPSDGEKVWWQEFDVTPRHLEEVSNQMLELYEQNRVPVAQGSEVDGSTAGGPSHPNVAKATATTEEQTSKQMSSCSAPEHSYGDNHGIPQRAAQNLGKSNGTATEGGSTITGYKVDPELTDSYHIAEMPYKDNSKDISDITRSVVEHVGEEKERNTSKSETVEAGEWRDDGVSHKSSIIVSRNVEVREGPIGQSPKEAIKMIDRDKVKAALEKRRKSRGEISRKKDVMDEDDLIERELEDGIELAAEDEKNRRSKVIDNQDDGKVREESSSVHHAGTKNHTSWGAKAESVVEEGEMLDDASPALNSRKRKAGRSPDWHNEGKKWNDSMSNNHHHALDDGNCKNRSIYSDRELKRHAHENHL >KGN64691 pep chromosome:ASM407v2:1:7674643:7675239:1 gene:Csa_1G074960 transcript:KGN64691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGAPNEDQTKASTIIQKQKCPRCDSSNTKFCYYNNYSLSQPRYFCKSCRRYWTHGGTLRNVPIGGGSRKSKRPKSTLLPSSSSSSDTTTTLTPPPPGGSGPLDLATSSYNSSAAFLSSLDVGAAYSSFGGLAWTTATPINNTIITNNHSSSSGNNQNSSFQIENSSSTLIPNHLSPFHHPE >KGN63739 pep chromosome:ASM407v2:1:1873660:1880524:-1 gene:Csa_1G014360 transcript:KGN63739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGQANYASQFGQGPPKPWPPAYQQRAGAPPPPPPPTSYVQPGPPIPSHPITQQAPAPPPQAQPLHLSQPGSHGPLPPFCQGPSIQVLPGGITNIRPYFHTFPPVHGNTQVSVFNSNAQQNVQLSHSGVQNMHHVLPPPPPLPLPPPPPPPPPPSQAPNPDLLRPPQPSTVGSLHPPSQGQALYGARTHQPLQQGGLQVFPSIPPHPTTSTFPTPSSNFLGDSHLLPMAPPPPPPSSPPPIPPSPPPPTSPSPSIPHPDSSNLLHGSDLGPSSTVHYSKDLKPSEIDQGGTPPSHLGDNGPGNDEHGNLEVDSGLMVSNVDNEKLADKDYVQVLPPSPPKPKDDRIVKKIEVLCQLIADNGPNFEDTIRQKESGNPEFEFLLGGEPGSESAIGHKYFLWMKMKYCLASKNIEITERCSLRYLRIEPQSENLTVLAASLSPANSDMEMEDDITVEQGTSHSFEIQSYECEARKEEHDARDLVQLQEPEVLRSCSPEKEKVAEEGGPKHLLNHEKFGSIASCQVHSPVRSTAGVAGHPSGNDFENSLSYLQNDKGQAGEVASSAGTISSQSTALITGGSPFRLIQDYASDENSESDEDSHRTDVHFVAISPSTPAYSKTSDKDTGDLTTLGSKGSCQVRWSYVPPCEFSMPEPGAQFHSESPKQVIDATEANVRKTGNELSYNDQHNQIDTVTGTKSLDAMNGCSVDVPQDTGKLQKETDAEKGRLGPSPVKIDEFGRLVREGGSDSDSDDSHYRRRHRSRRSRNSSESRSPVDRRRGRRSPRRRRERRSRSRSWSPRNQRDRSRSPVSRRTSQFSNENKRRDKGMVRKCFDFQRGRCYRGASCRYVHHEPNKNDGSRFHRSKHQDVHSTSKNIKIREDTMNMSREVSDLGHTKVEIQESILHNVSPKEDTHDWKTDNPTGDPDSFVSKCRSSSERTGLVQDALICLEPAEAVHVRANDDGQEPKKSYEQPSVTASSQCMSNADTEKLSGDISMSVLTSVENSVAQQSNTFVAELQSSTDLSHQMDGSFVSNLLPDQVTAVTSNKAPEWEHFPDRTSSIKPQFDTSSAIQLPLTSQILSESPVPKPLSATAPVSATDDDHSLTELPPPPPLIISHVSSAEISMPAPYNFVSQNLSFPSNSSLPIGFHPHHGMVSIQPSHFQSTSLLPPKPLYNSLAPVATNAGMPMQFHHSHLSQGRDLGSQSAMSSQPLELHSHSKLGESPLQEPYRAPPMHMDEIRSIAPVANNRPTQPFGFPSFQNEENLGRTSVEMNSSSFFPQRNFSDQSMLATNANRMQPSGDNFPPSEFRSSFSQFQPYSRFQQPLYTSQPAHDTLFHDPSQIGSISRHYPDPLSRSHPSLLPEFGGLGITTHHNPYASTFEKPLSSSFRSNFLNFGNDAPSGDIRGSTFNLNSVHVDGQGTNYVGSRQTVASPNSTKPLGKLLSGTDDDQYDPLFDSIEPSSPITKKSDRGQKLKKARESHMIARLGGSHKLLDVEENNKHKEVAAVTSTTSLENDEFGETGDAEAGAVENDLDDDANLSGEIEIDQVKSSEKSKKSKGSRSLKLFRIAIADFVKEVLKPSWRQGNMSKEAFKTIVKKTVDKVSGAMKSHQIPKSQAKINRYIDSSQRKLTKLVMGYVDKYVKT >KGN65381 pep chromosome:ASM407v2:1:14711311:14728796:1 gene:Csa_1G397110 transcript:KGN65381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQSTVHSSNSAPPEEPEYLARYLVIKHSWRGRYKRILCISAASIITLDPSTLAVTNSYDVASDYEGASPIIGRDDNSNEFNISVRTDGRGKFKGMKFSSKYRASILTALHRIRWNRLAPVAEFPVLHLRRRGSDWVPFKLKVSNVGVELIDVKSGDLRWCLDFRDMGSPAIIILPDAYGKKSAEYGGFVLCPLYGRKSKAFQASSGTSNSVIISNLTKTAKSMVGLSLSVDSSQSLTVTEYINRRAKEAVGADETPCGGWSVTRLRSAAHGTLNVPGLSLGVGPKGGLGEHGDAVSRQLILTKVSIVERRPENYEAVTVRPLSAVSSLVRFAEEPQMFAIEFSDGCPVHVYASTSRDNLLAAIRDVLQTEGQCPVPVLPRLTMPGHRIDPPCGRVHLQFGQQKSVIDLENASMHLKHLAAAAKDAVAESGSIPGSRAKLWRRIREFNACIPYSGVPSNIEVPEVTLMALITMLPAAPNLPPESPPLPPPSPKAAATVMGFISCLRRLLASTSAASHVMSFPAAVGRIMGLLRNGSEGVAAEAAGLIAVLIGGGPGDSNLVTDSKGERHATIIHTKSVLFAHQVYVVILVNRLKPMSISPLLSMAVVEVLDAMICEPHGETTQFPVFVELLRQVAGLKRRLFALFGHPAESVRETVAVIMRTIAEEDAIAAESMRDAALRDGAILRHLSHAFFLPAGERREVSRQLVALWADSYQPALDLLSRVLPPGLVAYLHTRSDGVMHEDSNLEGSYSRRQRRLLQRRGRTGRVTTSQDQNLPNSNFETGDPSRQISTGPVSIVQASVAHPSDNVIGDGTSSQRDQSVVPSSIDVTSTTINEVSEPNIESADANQESGLPAPAQVVVENTPVGSGRLLCNWPEFWRAFSLDHNRADLIWNERTRQELRETLQAEVHKLDVEKERSEDIVPGVTPVGESMTSQDSLPKISWNYSEFLVSYPSLSKEVCVGQYYLRLLLESNSTGRVQDFPLRDPVAFFRALYHRFLCDADTGLTVDGTIPDELGASDDWCDMGRLDGFGGGGGSSVRELCARAMSIVYEQHHQTIGPFEGTAHITVLLDRTDDRALRHRLLLLLKALMKVLSNVEACVLVGGCVLAVDLLTVVHEASERTAIPLESNLLAATAFMEPLKEWMFIDKENAKVGPMEKDAIRRLWSKKAIDWTTRCWASGMLDWKRLRDIRELRWALAVRVPVLTPAQIGETALSILHSMVSAHSDLDDAGEIVTPTPRVKRILSSPRCLPHIAQAMLSGEPNIVEFSAALLRAVVTRNPKAMIRLYSTGSFYFALAYPGSNLLSIAQLFSVTHVHQAFHGGEEAAVSSSLPLAKRSVLGGLLPESLLYVLERSGPAAFAAAMVSDSDTPEIIWTHKMRAENLICQVLQHLGDFPQKLSQHCHCLYEYAPMPPVTYQELRDEMWCHRYYLRNLCDEIRFPNWPIVEHVEFLQSLLVMWREELTRRPMDLSEEEACKILEISLEDVSNNDSNMRHSSENGEEIFGISRQVENIDEEKLKRQYRKLAMKYHPDKNPEGREKFLAVQKAYERLQATMQGLQGPQPWRLLLLLKGQCILYRRYGNVLEPFKYAGYPMLLNAVTVDKEDNNFLASDRAPLLVAASELLWLTCASSSLNGEELVRDSGIKLLAVLLSRCMCVVQPTTFANEPSAIIVTNVMRTFSVLSQFDSARVEMLEFSGLVNDIVHCTELELIPAAVDAALQTIAHVSVSSEFQDALLKSGVLWYLLPLLLQYDATAEDSDTKESHGVGASVQIAKNLHALRASQALSRLSGMCSDDSLTPYNQAAADALRRLLTPKVASLLKDPEPKDLLSKINANLESPEIIWNSSTRAELLKFVDQQRSSQGPDGSYDLKDSHEFVYEALSKELYVGNVYLRVYNDQPDFEISCPDVFGVALVEFIADLVHNQYFVDSDSQNKPVITSDSCSSQNKLNSSVPSPETEQLNNEASGSISQQGEPVDTMSASDGQGPEEEEALLVKNLQFGLISLKNLLTRYPNLASIFSTKDKLLPLFECFSVAVPSKCNIAQLCLGVLSLLTAYAPCLEAMVADGSGLLLLLQMLHSNPQCREGVLHVLYALASTAELAWSAAKHGGVVYILEILLPLQDEIPLQQRAAAASLLGKLIGQPMHGPRVAITLARFLPDGLVSVIRDGPGEAVVAAVDQTTETPELVWTSAMAASLSAQIATMASDLYREQMKGRVIDWDVPEQASTQQEMRDEPQVGGIYVRLFLKDPKFPLRNPKRFLEGLLDQYLSSIAATHYDTQAFNPELPLLLSAALVSLLRVHPALADHVGYLGYVPKLVSAVAYEARRETMSSGEGNNGNYEERTHEPSDGSEQSAQTPQERVRLSCLRVLHQLAASTICAEAMAATSVGTPQVVPLLMKAIGWNGGSILALETLKRVVVAGNRARDALVAQGLKVGLVEVLLGLLDWRAGGRNGLCSQMKWNESEASIGRVLAIEVLHAFATEGAHCSKVRDILDSSEVWSAYKDQKHDLFLPSNAQSAAAGVAGLIENSSSRLTYALAAPPTQTSRPPNGK >KGN63743 pep chromosome:ASM407v2:1:1904789:1908353:1 gene:Csa_1G014400 transcript:KGN63743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLPSPHTMNLLNKSLSLSPPQSFIALIPSSSSSSSSKPLRSPTIRCSVAVAPSVSTNVSKEFKLKSLKARQIIDSRGNPTVEVDLITDDLYRSAVPSGASTGIYEALELRDGDKTVYGGKGVLTAVKNINDILAPKLVGVDVRNQEEVDAIMLEIDGTPNKSELGANAILGVSLSVCRAGAGAKGLPLYRHIQELSGTKELVMPVPAFNVINGGSHAGNNLAMQEFMILPVGASSFAEALRMGSEVYHTLKGIIKAKYGQDACNVGDEGGFAPNVQDNREGLVLLIDAIEKAGYTGKIKIGMDVAASEFFTAEGKYDLNFKKQPNDGAHVHSAHGLGELYKQFVKDFPIVSIEDPFDQDDWSSWASLQSSVDIQLVGDDLLVTNPKRIAEGIQKKACNALLLKVNQIGSVTESIQAALDSKAAGWGVMVSHRSGETEDNFIADLSVGLASGQIKTGAPCRSERLAKYNQLLRIEEELGSVRYAGASFRSP >KGN64064 pep chromosome:ASM407v2:1:3875946:3879560:-1 gene:Csa_1G039290 transcript:KGN64064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDISACDTNEGLEHKMKKRKQEQFDDASEGNNTGSVCSGFEFASSMDKILFEKDPSPDATLVMCSKLESETGKNLPEICNLKGNVHEKEHNDDEKLSKDTDTENENINGSYNLILNAAEVKQNVAGYSVEMEEPSSMNAYKEDSGISEDPGGLRGHEVSDQGNIDTVAQELSKEMIDVKKDVHSREKLSDPDYPLPCNELEYDGDGSLKSLDVEQINDTFGNNASEKIVEGPVEEASVCCSFGEHDDEASTIKELIMSTPSCVPPGLENAETAKEEVVCFTDSGETSSVVNAMAEEETPPLVLDTSEKGDSIGSTTKKLLVLDVNGLLADFICYVPPGYKPDIIIRQKAVFKRPFCDDFIKFCFERFEVGVWSSRTRRNVDMVIDFLMRDYREKLLFCWDQSHCTDTTFSTVENKHKPLVLKEIKKLWKYLKPREFNASNTLLLDDSPHKALCNPANTAIFPVTYRFRDTDDTSLGPGGDLRVFLEGLSMAENVQKYVEQNRFGQRPITEKNASWKFYRRIIYFVERKNDQENNNSFQWN >KGN65701 pep chromosome:ASM407v2:1:17826200:17826605:-1 gene:Csa_1G502890 transcript:KGN65701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSMVIGTWAECARRKIEHYYEVCRGKYEVASNRNGPCSVNIVDCQNGYPYCRLFIFVDDQDFDVSHCFRRQPTSSRVSTVFASDPQPLPFLSTTHNHEEAL >KGN63804 pep chromosome:ASM407v2:1:2270254:2271984:1 gene:Csa_1G021940 transcript:KGN63804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGSAVWTKEEDKAFENAIATHWGEELEGSKGSEEMWEKIASMVPSKNMEDLKQHYQMLVDDVGAIEAGQIPIPNYASSVGEETASTKEKDHHLHPHGSSDSNKRPNSGFGSGFSGLSHDSSAHATKGGSRSEQERRKGIPWTEEEHRLFLLGLDKFGKGDWRSISRNFVISRTPTQVASHAQKYFIRLNSMNRDRRRSSIHDITSVNNGGGGDVMSHQAPITGHQTNGTNQSNPPALGPPGKHRPQQHLPGIGMYGAPVGQPVAAPPGHMASAVGTPVMLPQGIHPHPPYVMPVAYPMAPPPMHQ >KGN63996 pep chromosome:ASM407v2:1:3530482:3531449:1 gene:Csa_1G033160 transcript:KGN63996 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione s-transferase MTEENKVVLYGLWASPFVKRVELALKIKAIPFEYVEEDFLNKSPELLKFNPVYKKVPVLVHNGRPICESAIIFEYIEEVWNNNGPPLLPQDPYKRSQIRFWADFVQNQLFDGLLLAMKTEGEAQEKAIKEVKEKLKVIEEQGLKSLLAEGSPFVNGDELGYLDIGMLTVLGRYKIYEEFFGMKIMEEEEIPIVFSWLNRLIEHPIAKEGAPPKEKVLGLLHIVRRRFLPSPVTA >KGN64612 pep chromosome:ASM407v2:1:7176965:7178380:-1 gene:Csa_1G071260 transcript:KGN64612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPITITNTIPSILLLFCLLLEFSSSTDTITSTQFLKDSQSILSNRGFFELGFFSPPHSTDRFVGIWDKRVPVPTVFWVANRDKPLNKKSGVFALSNDGNLLVLDEHNKILWSSNVSNAVVNSTARLLDSGNLVLQHSVSGTIIWESFKDPSDKFLPMMKFITNSITNQKVQIMSWKTPTDPSSGNFSFGIDPLTIPEVVIWKNRRPYWRSGPWDGQVFIGIPDMNTDYLYGGNLVIENKTYSLSIANSNEAQLFFYYLNPNGTLVENQWNIKDQKWEVAWSAPETECDVYGACGAFGVCDSQRTPICSCLRGFRPQREEEWNRGVWRSGCVRSSLLECEKKNISVEIGKDQDGFLKLEMVKVPDSAGWIVASENDCRVQCLSNCSCSAYAYKTGIGCMIWRGDLIDIQQFKNGGADIYVRGAYSEIACIKTIIPNRNDFLNVSHL >KGN64867 pep chromosome:ASM407v2:1:9493899:9494938:1 gene:Csa_1G132770 transcript:KGN64867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSTTAKGEASSSKKSSKGQNETTKKTRIIRIIGRSLLSVIFLVGLAMVICWLVVFPKNPRIFVETGRVIAHNSTHNMLNATIVFTVKCYNPNKRASVHLHSMRMIVTSMGQAFSSVIPTFMQTPGNQTVLSPAVEVNFDYPFGHQEEINPELHFSAEISYSVEHWTSRPRLLLIYCNNLLLRINDTRTFENTKCNVDL >KGN65547 pep chromosome:ASM407v2:1:16243202:16245255:1 gene:Csa_1G444360 transcript:KGN65547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGENQEKYIQITESAELAKEGNTSESSQTIINQTKMTHKANYMKWLKIFVYIIFILLGQAVATLLGRLYFDKGGKSKWLGTLVQVAGFPIFFSYYIIIATNQKTNTNNNISQTEQQPTLLKLVMVYLTLGLLLAADCYLLSIGLMYIPVSTYSLISSSQLAFNAIFSFFLNSQKFTPPIINSLVLLTISSTLLVFQTESDGSANNKTSKAKYILGFLCTIAGSAGYGLVLSLTQLFFNKVIKSESFKAIIDLIVYRSFVACLAIVVGLFVSGEWRGLKKEMYEFELGKVSYFMTLIWTAIVWKVYTVGCVGLIAEVSSLFSNAVSVLGSPVVPVAAVIIFHDKMSGMKGVAMALAVWGFISYAYQQYLDDCNKSKENPRSSL >KGN64060 pep chromosome:ASM407v2:1:3857911:3858956:1 gene:Csa_1G039250 transcript:KGN64060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVTSFCSSSTRAASFIYSYPSTTTSRRKRPLHLHSMATEKPLPSAAKTVGSKKINTTVFPLGEKGPRSSISLSTSPPIKLLTRVEQLKLLSKAEKAGLLSAAEKAGLSLSSIEKLGLLSKAEELGVLSAATDPGTPGALLSLSLGLLLLGPSCVYLVPEDSVWEIVLQVAVALVSIVGGSAAFAASNLVSNLQRSN >KGN64577 pep chromosome:ASM407v2:1:6942581:6944911:-1 gene:Csa_1G065970 transcript:KGN64577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGQDQWQRTRVVKIESMESWNFNLTKAIQQDSLIVVHFTASWCMPSVAMNPFFEELALTYPDVLFLTVDVDEVKEVAAKLEIKAMPTFLVMKNGNLIDKLVGANPEEIRKRIGAYTETVHVA >KGN63928 pep chromosome:ASM407v2:1:3101443:3103241:1 gene:Csa_1G028060 transcript:KGN63928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWCLCSLSINVACELLTLSLPNIPPFFFRDRCCFEEWLEKWGKAEQALSVDCSQSGIHHFHSVLCLFNISNSRINDTAIELHFTLLKLPEESGTREALSLSL >KGN65668 pep chromosome:ASM407v2:1:17401340:17402661:-1 gene:Csa_1G481210 transcript:KGN65668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METGGKLKKGAGGRKGGGPKKKPVSRSVKAGLQFPVGRIGRYLKNGRYARRVGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKNRIIPRHVLLAIRNDEELGKLLAGVTIAHGGVLPNINPVLLPKKSEKATTKEPKSPSKAPKATKSPKKA >KGN63551 pep chromosome:ASM407v2:1:668136:670232:1 gene:Csa_1G004170 transcript:KGN63551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSYNSPCAACKFLRRKCMPGCIFAPYFPPEEPQKFANVHKIFGASNVTKLLNELLPHQREDAVNSLAYEAEARVRDPVYGCVGAISFLQRQVQRLQKELDAANADLIRFACNEIPNAGVSHQVVQPSSLGSRPRALDQYHTSSTTGYYNHLPAFSMAYPLPWNENTSGNNINEGGRDGNI >KGN63750 pep chromosome:ASM407v2:1:1925174:1933241:-1 gene:Csa_1G014470 transcript:KGN63750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTVSVGRDLLFAAIGRTYTQEEFEELCFRFGIELDDVTTEKAIIRKEKHLEEEADEDEEVIYKIEVPANRYDLLCLEGLAQALRIFNKQEDTPRYTLANISKESMHKMHVKPETSMIRPFIVCAVLRDMTFDEAIYDSFIDLQEKLHQNICRRRSLVAIGTHDLDTLEGPFTYEALPPTAINFVPLKQTKNFRADELMEFYKSDLKLRKYVPIIEKSPVYPILYDRNRTVLSLPPIINSAHSAITLKTKNVFIECTATDLTKANIVLNTMVTMFSTLCKKKFEIEPVEVIYPDGRSCIYPEISLYNMEVSLSYINKCIGVSLETEEVISLLNRMQLHAEQSVSGDKSSITISVPPTRSDVLHPCDVMEDVAIAYGYNNIPKSKPASLQPLTLNEFSDLIRGEIAMSGFTEVLTWILCSYKENFAMLKREDDKSTAVVIGNPRSTDFEVVRTSLMPGLLKIVGHNKDHPKPIKIFEVGDVSLLDDSKDVGARNHRHLAALYCGANSGFELIHGLVDRIMEVVGVPFVSVGDDTGYYIKCSDNPEFLTGRQAHIIYKGKKIGTFGIVHPEVLENFDIPDPCSLVEVNMESFL >KGN63625 pep chromosome:ASM407v2:1:1214738:1216672:-1 gene:Csa_1G007840 transcript:KGN63625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHLGITKHLIVFNNCSYVKLILPFPSFEWSSLLPSQVFECAKEIALPIALQNYRFSDLTSLCQEEDKSARLTG >KGN65264 pep chromosome:ASM407v2:1:13235328:13235969:-1 gene:Csa_1G278490 transcript:KGN65264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIKAIAVLCLVLCMSVIESEAGRVARKDLGLDLGGLGVGLGLGLGLGLGGGSGSGAGAGSGSGSGSGSYSSSSSHSSSSSYGGSGAGSEAGSYAGSYAGSRAGSGSGSRNGASGGEGHGYGEGHGYGEGGNN >KGN66415 pep chromosome:ASM407v2:1:23431854:23433626:-1 gene:Csa_1G602030 transcript:KGN66415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASALSTFSFICNFLLLLASFSASDIDQDRTDCSDQLIGLAQCLPYVSGDAKTPTIDCCSGLKQVVQKSKKCLCVLIKDKDDPNLGLKINGSLALALPHACHAPANITECISLLHLSPNSTEAKIFKESNPTSEPSSSPDTKVHGSSSVANEKSDGGMGKRWIGGTEMIVKILLGFFILSHLNLMFI >KGN63628 pep chromosome:ASM407v2:1:1235464:1249006:-1 gene:Csa_1G007870 transcript:KGN63628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQNTVKEALNALYHHPDDAFRMQADRWLQDFQRTLDAWQVADNLLHEPTSNLETLIFCSQTLRSKVQRDFEELPSEAFKPLRDSLNNLLRKFHKGPPKVRTQISIAVAALAVHVPADDWGEGGIVNWLRNEMNSHPEYVPGFLELLTVLPEEVYNYKIAARPDRRRQFEKELTSQMEVTLSILTACLSINELKEQVLEAFASWLRLKHGIPGTMLASHPLVLTALASLNSELLSEASVNVISELIHYSAAGSSSGLPVHMPLIQVIVPQVMNLKAQLRDSSKDEEDVKAIARLFADMGDSYVELIATGSDESMLIVHALLEVTSHPEYDIASMTFNFWHSLQLNLTKRDAYISFGNDASIEAERKRRLQIFCPVYESLVSLVSFRVQYPNDYQDLSYEDLKEFKQTRYAVADVLIDAALVLGGDMTLKILYVRLVEAVTSCGNSEQSEWRPAEAALFCIRAISDYVSVGETEIMPQVMGLLPKLPKQAQLLQTVCYTVGAYSKWLDASSSGQSILPSVIDILMSGMSTSEDSAAAAALAFRHICADCRRKLCGFLDGLFHIYNMTVNGESSLKVTAEDSLHLVEALSMVITELVPDQAKRALEALCVPVVAPLQEIVNQGPEVLNKKPSNELTVHIDRFAYIFRYVNHPEAVADAIQRLWPIFKAIFDIRAWDMRTMESLCRACKYAVRTSGRFMGITIGAMLEEIQALYKQHHQPCFLYLSSEVIKIFGSDPSCASYLQSLIEALFMHTTRLLTTIQEFTARPDIADDCFLLASRCIRYCPQLFIPSSVFPALIDCAMVGITVQHREASNSILTFLADVFDLANSSKSEQYISRRDAIVIPRGHVILRILVAALTGALPSSRLEPVTYTLLALTRAYRVQALEWAKESVSLIPSTAVTEKERSRFLKAISDAASGCDINALAVPIEELSDVCRRNRNVQEMVQGALRPLELNLLAVS >KGN64118 pep chromosome:ASM407v2:1:4228911:4229190:1 gene:Csa_1G042300 transcript:KGN64118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVWRNLMRIDRAKEASVRQCRVRNIYKHIKPDHESAGQHRDKHARLEAKSQGSGIR >KGN65399 pep chromosome:ASM407v2:1:14972871:14976174:1 gene:Csa_1G408710 transcript:KGN65399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDILQTVHENAYEADPYAKEFRISIDNKLTSVEARVLPSPWLKFHDTGKEKEHQPQVGQWNMMNKKVIDGSVIRYWACINFSRNVQESIARGFCQQLVQMCQISGMEFNPDPAIPIYSARPDQVKKALKFVYGAVYNKNEGKELDLLIAILPDNNGSLYGDLKRICETELGLISQCCLTKHVFKKSRQYLANVSLKINVKMGGRNTVLLDALRARIPLVSDIPTIIFGADVTHPESGEDSLPSIAAVVASQDWPEVTKYAGLVCAQPHREELIQDLFKTWKDPHRGTVAGGMIRELLLSFKKATGQKPLRIIFYRDGVSEGQFYQVLLHELDAIRKACASLEPSYQPPVTFIIVQKRHHTRLFASNHNDRSSTDKSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENNFSADEIQSLTNNLCYTYARCTRSVSVVPPAYYAHLAAYRARFYVEPDAQENAKRCCTRTTNGSCVRPLPALKERVKNVMFYC >KGN65906 pep chromosome:ASM407v2:1:19585519:19587648:1 gene:Csa_1G537540 transcript:KGN65906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIWRYGCNIFQIASRQIIRTFAHQSYRRCTTPHINLTKILHNRLDEDIEQKFSENRQVILTNDLVYTTLLNCSSDLIALSFFMWCAKQPNFFHNPAAFDYMVGVVSRLMKQYETVNGILGGLESVGNVTKAQTFLLLLRIYWRGGMYDLVFEAFDHMDRYGFTPNTFARNVIMDVLFKVGRADVALKVFKETLLPNFLTFNIVLCNLSKTKDLIGIGDTFRCMLRMGYCPNPGTFEVVLNGLCKLGRLAEAYQVWGIMTTFGISMSVNIWTIMIDGFCRLRRTEEASSLVKKMKKSGCSPNIVTYTTLIKGYIYAQRISDAFDVLSIIESEGPSPDLILYNVLIDSLAKNERYNDALSIFLSLHKRNILPDCYTFSSLLNTICLSKRLFLLPKLVDGFLVEVDLVACNSLLSYLGKAGFAALALELYNNMVNGGLMPDKYSVLGVLTGLCESRRIGEAVRLYNGILLNYTGVDAHIHTVIIDGLIKAGKFHSAIRIFRRNLLEENSLDVVSYSVAIRGLLLVGRNTEASNLYNYMKEAGINPNGHVCNVMLSTFCKEKKFALVKQMLQEMIDLGIKMSRNNFFRLYNAICRSSNGSHLVIYLLIEMKVLGLLPRKRDCETLVHNPPKDVNISEKHYKLLNGCLEYCLCGDTSSSEEYTDVAAFVG >KGN66244 pep chromosome:ASM407v2:1:22191410:22197230:-1 gene:Csa_1G588530 transcript:KGN66244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVLILQLVIFCLFIGANASELGEIAGFRNSPPPSTFSAFPPVLGFSNINGPSSVPQQNESTVPLPVMYPPIASGPLPDTIKGSIPSASPHASTESPPFNSMPQPLLPAQPNAPSISPITPEPEGSAVSSSNSPHYPPASPSPHEQTNTSSNVAPTPEPISPASKLPKDSPVSHPVFPVRPPSILPEPNISPAIAISPKAGDKKRLEAPAATPYNTLPKYMSPVNHSSEEAPSSHNHSRDTQPPILPPQKAPFHRVNLSPTSPPLPSNYKHHRSKNHISHAPAPSYPISSHTTKAPGPVISTAQHSPGRRHHSPPPKSEPSPISPLHYAPPPLVVHVSPSPSPHQKISGHTRRHFYPPKASPSKPSAKSPKMSPIHTLPPPPPNEDCLTTQCIEPYTNTPPGSPCGCVWPMQIGLRLSVSLYTFFPLVSELAAEIAVGVFVKQSQVRIIGANAANQQPDKTVILIDLVPLGEKFDNTTAFLTYQRFWHKKVSIKASYFGNYEVLYVRYPGLPQSPPSGDSGIENEPYFSNSNDARAVKPIGVDVQNRQHKNKLSGGIIAIVALSTTVAVVLCVATAWILLFKRNDGLCQPKPTPHVSLSSLNKPSGAGGSVMATMPSSASLSIGSSIAPYSGSAKTFSAPDIERATNNFDPSRILGEGGFGRVYRGILEDGTEVAVKVLKRDDLQGGREFLAEVEMLSRLHHRNLVKLIGICTEERSRSLVYELIPNGSVESHLHGVDKETAPLDWESRVKIALGAARGLSYLHEDSSPRVIHRDFKSSNILLEHDFTPKVSDFGLARTAMDEESRHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSQPPGEENLVSWARPLLTSKEGLDVIIDKSIDSNVPFENIAKVAAIASMCVQPEVSHRPFMGEVVQALKLVCNQCNTTRETSSHAGSSPESSTIDTNDDEASSSRYMLDSFHSEYLVPNSFPGLDSIDTHLSISDLLNTSIGNGKQESRSLERCFSSSPHRIGKGKRNFWERMRRYSGNSISEHGMPTKTWSGST >KGN66582 pep chromosome:ASM407v2:1:25187196:25190938:-1 gene:Csa_1G630860 transcript:KGN66582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMANEKSGGLLASWSEGLKAKISKIMAKVIELFKKTKKLAKDDPRRVVHSLKVGLAITLVSLFYYFEPLYDGLGASAMWAILTVVVVFEFSIGATLGRGLNRVLATFLAAALGFGAHFLADLAGDTAQPIMLSLSVFFLAAITTFVRFFPRIKARYDYGFLIFILTFCLVSVSGYREDEILKVAYRRALTILIGTFIAILICILICPVWAGDDLHSLVSNNIEQLANFFQGFGVEYSNEWKEDEGIVEGFKSVLTSRQTEESLVNFARWEPGHGTFKFRHPWKQYRKIGSLTRQCAYRLESLNTYLLAESQTPLHIRDQLKESCSKMSTESGKALKDLASSIRTMTLPRLPNPHIEKSKAAAKDLKAALKIRPCNSSIDLLEIVPMATVASLLIDSISCIEKIAESVGELASLANFKRFEVEKSASLKFQQEQQQKLATPAIVSGHCHVVTID >KGN64726 pep chromosome:ASM407v2:1:7975970:7977181:-1 gene:Csa_1G083760 transcript:KGN64726 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase MKKPKVQGCEPWGFTKGASLFFKPRHKPDLLLEPRTGLQAVMGTEGVDGCNTKSNHIIEVQQVLGIEAARKCIIEEIKYTMESHGMSIDIRHMMLLGDLMTFRGEVLGITRFGIQKMDKSVLMLASFEKTADHLFNASVNGRDDKIEGVSECVIMGIPMPLGTGMLKVRQRVSVPQQLPYGPPAILS >KGN64672 pep chromosome:ASM407v2:1:7550940:7553459:1 gene:Csa_1G073790 transcript:KGN64672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLQSLKPINLIAFRSEFVNFYSTVVKDNLYRRISPVGDPNISVTPLLDQWVLEGRLVQQDELRHIIKELRVYKRFKHALEISKWMSDKRYFPLSTADIAIRMNLILRVHGLEQVEDYFDNMPSQLKRYQVHIALLNCYAHEKCVDKANAFMQKIKEMGFANSPLPYNIMMNLYHQIGEFERLDSLLKEMKERGVYYDRFTYSIRISAYAAASDFRGIEKIMEQMESNPSIVLDWNCYVIAANAYNKVGLIDKSISMLKKSEGLLANVKKKGFAFNVYLKLYARNGKKDEIHRIWNLYKKEKIFNKGFISMITSLFVLDDIKGAERIYKEWETRKLSYDLRIPNLLVDAYCRAGLMEKAEVLLNEMVIVRRKFSVESWCYLASGYLQKDQLPQAVETLKLAASVCPSRLNYVKEILAAFLDGKQDVEETEKVVNLLREKDDSHPARAHDYIVGAIMTESA >KGN64214 pep chromosome:ASM407v2:1:4773360:4781264:-1 gene:Csa_1G043170 transcript:KGN64214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQCTLVSSDFQKVLDKGKESLELRLEKNSCSRGISTDSKVSSFAWRNFFDYRRAIISCLTLESDGLWRIVALPPQYLDSLNLSCLPQMNQFTAGRKLVQKGPASNGTYSFNSLRCRSLLESNKKLLDSKAIKSPKQSSGKFPCTSSCSGSALMSSDSIAISDIPVDGAKMQRYGKKNPRKKAKKKEIECKNISSDFVSAETEVSLQDSARASFLSEACGSNDSDFRDRSVLCSIAQETFLPDFEQDSVIQPLGTVDSVSSEIVDGHSSKVSSLAIKNFSGYYKVCGSENQALINVPGCIHVDVGLNSRERFIAGSCNDFCSKDYLDNISRDSKWVSLNGNCDDLNLKLNEKQGFGVDLLEERSSPSQNSARDEVDLNAEVEKANLGIRGCTVSETCSVLPGKKTKQNKKLTGSSRMNRYGGLGSSQRRTGKENRHTVWQKVQRSSSGGCSEQLDQVSPISKQFKGICNPVVGVQMPKVKDKKTGNKKQLKEKCPRRLKRKNTSGQEKIYRPTRNSCGSNTSSMVHKPPNEKLDVRSMGFDIRRSSGDPRSCFQNDSTDKCTNSESVESKQVHLDELISNKLINDGLSSQKVENDSSSLPKSCNSSNQSNPVEVKSPVYLPHLFFQKVGNDSSSLPKSCNSLNQSNPVEVKSSVYLPHLFFQATKGSSLDERSKHDTQSRSPLQNWLPSGAEGSRSITLARPDFSSLRDANTQPAEFGTLEKSIKERVNCNVLNPVSDVIEGIQHYRDRDDGPLEHECGVQKMYGYDTTTLQDHKSEFDVDEHFNCKSSCEDVSRMEQAVNNACRAQLASEAIQMETGCPIAEFERFLHLSSPVIDQRPNSSSDICPRNLPGDVIPCSNETTNISLGCLWQWYEKHGSYGLEIKAKGQENSNGFGAVNSAFRAYFVPFLSAVQLFKSRKTHVGTATGPLGFNSCVSDIKVKEPSTCHLPIFSLLFPKPCTDDTSVLRVCNQFHSSEQHLASEKKKSSEQSASLQLSGESELIFEYFEGEQPQLRRPLFDKIHQLVEGDGLQGKIYGDPTVLNSITLDDLHAGSWYSVAWYPIYRIPDGNLRAAFLTYHSLGHFVSRTSQDTNSCLVCPVVGLQSYNAQNECWFEPRDSTRTSTFTSNLNPPRILQERLRTLEETASLMARAVVKKGNLNSGNTHPDYEFFLSRRF >KGN63444 pep chromosome:ASM407v2:1:105203:107139:1 gene:Csa_1G000680 transcript:KGN63444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSKDWQRQSLVPSFVYSQTQTAVKSFPIEAPKEKIKMYSPAFYAACTTGGILSCGLTHMSVTPLDLVKCNMQIDPAKYKNISSGFGILLKEQGVKGFFRGWVPTLLGYSAQGACKFGFYEFFKKYYSDMVGAENAVKYKTFIYLAGSASAEVIADIALCPMEAVKVRVQTQPGFARGLSDGLPKFVKSEGPLGLYKGLVPLWGRQIPYTMMKFASFETIVEMLYKYAIPRPKEQCTKSLQLGVSFAGGYVAGVLCAVVSHPADNLVSFLNNAKGATAGDAVRQLGLWGLFTRGLPLRIVMIGTLTGSQWGIYDAFKVFVGLPTTGGATPAPAASK >KGN65190 pep chromosome:ASM407v2:1:12702948:12703280:1 gene:Csa_1G263450 transcript:KGN65190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATKSTSASTIRLAIVFLVCIVILAPSVTARTMAEAPSPVLVLFDEDDSSFSNCAPFILEATMCVVDVIKLPVASHPSCCKAISDLNDCAPQIYQHIPDMDVIKKICGL >KGN65281 pep chromosome:ASM407v2:1:13377055:13384002:1 gene:Csa_1G294610 transcript:KGN65281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMELKKEKLVRFYSDHKKHKKSAIGSSNEAVQLEKSPSKYYIPSSTSTFLIPENGLGGGKSKLTETFKTEKSKVFPEDHEPWSKRILDPGSKIVLKWNRVFICSCLLALFVDPLYLYLPALNGNGVSQCVRTDWKLRIVVTCFRTVADFFYLLHMIIKFRTAYVAPSSRVFGRGELVMDPKMIAKRYIRSDFFVDLIATLPLPQFVIWFIIPATRSRLTDHKNNALALIVLLQYIPRLYLIFPLSSEIIKANGVVTKTAWAGAAYNLLLYMLASHVLGATWYLLSVDRYTSCWKSFCMKKEYNPLECNLTFFDCDTFNSNDRKTWANSTLVFGKCGTDGGDFKYGIFENAMSKNVVSSNFIEKYFYCLWWGLQNLSSYGQNLETTTFIGETLFAVLIAILGLVLFAHLIGNMQTYLQSLTVRLEEWRLKRRDTEEWMRHRQLPEDLKRRVRRFVQYKWVATRGVDEETILQSLPADLRRDIQCHLCLDLVRRVPFFAQMDDQLLDAICERLVSSLSTEGTYIVREGDPVTEMLFIIRGRLESSTTNGGRSGFFNSITLRPGDFCGEELLSWALHPKSTTNLPSSTRTVKALNEVEAFALRAEDLKFVANQFRRLHSKKLQHTFRFYSHHWRTWAACFIQAAWRRHKKRMMAKDLLMKESFTLPEQVADETTQGEEQFSVVSNPSQSKMYLDVTLLASRFAANTRRGAQRMKDDLPKLQKPDEPDFSIEPDR >KGN64922 pep chromosome:ASM407v2:1:10143652:10154973:1 gene:Csa_1G161600 transcript:KGN64922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIGSGNNLNRGSAFLPSNMPSLPQCLPLEPITLGNQKNCSGELKRALGVSSGNALEDRPFGVVHLKRQPPVASKELKHFKDSVQDSSRRARERADMLSESLFKLDKYREAMSSKKRQRSEVSSSERLGGGNLSKVGSQIHRNGHDVVIYRMEDRAKSVGLNKRARSSISDVQPEARFTTMTNNTTFLEKDSDDGSIRSEEKTRKLLAGGEGLDQKIKKKRSVGAVGYRVNNGDREIKRATHTKLNSDSKLRSCDAQGHRLKSSSGVNGMNRLDGSSDPTSSDASTISKNEPESGLPLKGRTYILEQRMLKGNNRSSNRDDNSAGSPCTVIKAKVSRGPRTGSIVGLDSSPNIHSSSETHQSWESASVSKTQLTGLSSNPKHAIPTGSSLYPVTQWVGQRHKNSRSRRSKLLPPVPDHGEIPSPSQDFAASDFGPRTNMTDGSVLASSVDNNTMKFKKEVDNVSSPSGLSESEESGPGDDKVKLKDTSSGKFSLSAGDEAGSSILPARKNKVLVNEKGDGVRKQGRSGRGSTLVKPDSPLVRDKSESPFAEKPLHSMKPISGKIRSKSGRPPSKKLKDRKGSAHVGLTCRSSDITGESDDDQEELFEAAKSARNANIRACTGPFWHKVNSIFVSVSQADVANLKQQLGLAEELSERLSQMHDMEHEDLGVHITETNCSEEIRGSNFSKEFILSGSKGGRFDVGRLDKTVPLYHRVLSALIEEHDCGEYYHQSEGKHTFLQSASDDSHCGSCNLNDYEHRDRDRVESEAESTIDFQIPKNNVFDRFSCDKSAVCNSYCKPSISGFIHSGDQWQGDEDLSNCDVGHTSEICSNDSFQLQSGDFNVPSISSNCQYQMMRLNDKLLLELQSIGLYPETLPDLTEGEDLINQEIMEHKRSLYQQIGRKRRNLEKVEQSIKRAKDMEKREVEEVAMDQLVEMAYNKKMGYRGSGGSKSTIRRVSKSAARSLMQRTLARCHKFEDTGISCFNEPALQDIIFSTPPQKRDAKTVDFGGCTTATNAFYESSRQMDDRRLGTVSGPSERYDSQSDTLDKGSSNAQAINSSELVSVRGSMMIKQKKREMRIDEVAGSASSRLTPGTKGKRSDRERDPNKNHPLSNFFGSSLDGCQGVRRSRPKPRQKGSCLSASGARSENQLSEVPESLTSQSSKMGAKFSDRTRGIDPALPANFLVGSTKDADESTGLRNLQLHDLDAMEDLDVSKDLGDHQDLGSWLDIDEDGLQDHDAIGLEIPMDDLSELNMMV >KGN66152 pep chromosome:ASM407v2:1:21477465:21480723:-1 gene:Csa_1G573710 transcript:KGN66152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESMKSLSPVESNLDSSNGIHSTSMFSDSPPLPSVYTDVDVVPEHKNAELHQSMLNLEGEIEQLRLKLRASDEKRREALNKILDIKGSIRVFCRVRPFLLTDRRRICDPILVEQDKVRVRWSGTKKEFEFDKIFSKETCQEEIYSEVEPIIQSALDGRNVCIIAYGQTGTGKTYTMDGKMEQPGIVPRALEMLFRQTSVGASSTVTFSMSMLEVYMGSLRDLLAPKAASRMYEKCNLNIQTDQKGFVEIEGLTEIPIPDFEKARWWYNKGRRVRSTSWTNVNETSSRSHCLTKVTIYRCVDASKAKTEVSKLWMVDLGGSERLLKTGACGLTLDEGRAINLSLSALGDVIAALRRKRGHVPYRNSKLTQILKDSLGDGSKVLMLVHLSPCEEDVAETICSLSFAKRARAIETSRELQEDLKKQREKRIAELDESMKEAQEECQKVKNQIQKAEFLLCENKKLFSSDHHPRVETPEANHIVPVEDRKEVTRTPRKSKVPSKAIISNSLPRFMTSTMASRQRQTAAENKIVMGRVKSLRFGARSSVQFSSSQSISYSDFRIRASLQLSNKKSRYVEPDTLSTETPQVNGSEPKKDPLPLSLPLPLPQSKMVTSSDSNLRVTLSRHRRRMSDLI >KGN63580 pep chromosome:ASM407v2:1:942353:946923:-1 gene:Csa_1G004930 transcript:KGN63580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNVLAPPEIKALSFLESSRSRHFTKLQGGSSIKECRTIVHKRIHCSSQPPPPAWPGQAVVPPGYKKWDGRKPISILGSTGSIGTQTLDIVAENPEKFKVVGLAAGSNITLLADQVKTFKPQLVAVRNESLIDELKEALADLDEKPEIIPGEQGVIEVARHPDAVTVVTGIVGCAGLKPTVAAIEAGKDIALANKETLIAGGPFVLPLAHKHNVKILPADSEHSAIFQCIQGLPEGALRRIILTASGGAFRDLPVEKLKDVKVADALKHPNWSMGKKITVDSATLFNKGLEVIEAHYLFGAEYDDIEIVIHPQSIIHSMIETQDSSVLAQLGWPDMRLPIAYTITWPERLYCSEVTWPRLDLCKLGSLTFKTPDNVKYPSMDLAYAAGRAGGTMTGVLSAANEKAVEMFIDEKISYLDIFKVVELTCDKHQNELITAPSLEEIVHYDLWARDYAANLQSSSSFSPVAA >KGN64228 pep chromosome:ASM407v2:1:4842595:4848071:-1 gene:Csa_1G043300 transcript:KGN64228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVATFVPFCSNFTLKLRPSNPPSILAPLRKNQRGAKLKCFGVARPHILPSAFGEDGGLRVFVLSDLHTDYDENMNWIHSLSLDKYRDDVLIVPGDVAETISNFVSTMAMLKDRFERVFFVPGNHDLWCRREEDNYLDSIEKMSKLLDACRDLGVDTNPAILNGLGIVPLFSWYHESFDREMDLQGIRIPSLEMVCKDFHACKWPGDLSNEGASLALFFDAMNEKNNIMIEKIRRTCSQIITFSHFVPRPELCPEKRMLFYPKLPKIIGSDYLEDRIRSIHGSKETTSACHVFGHTHFCWDLVLDGIRYVQAPLAYPRERKKRMNGGEDWLPFCIFSNGRK >KGN65504 pep chromosome:ASM407v2:1:15819124:15824572:1 gene:Csa_1G427540 transcript:KGN65504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERHLKKKKEPCSYSHSHTSSSKTKRKRQPHFDFDVNTTFSLLLAAVSTPHNPYSVSLLPKCLTHLHSALLPQSPHPFQTLPSSILSLLPLLILSERQGIASCAAEIVGAASLLSLRMNEVIASDDGLVRALISVLGCSKRRVALAACNAVLDLSTTSFGRQRLVEFSAIQRLIVILVSASTGMSVSIGTVYIENSAILKAGFEGDELLISILNAAIVLINICHFEHLEKIPIYHLKAFMGFLKNQWIEVRSKMMQINEIECSQEQFDMSNISTNDLAACIFRLSMSTDQVSRVFPVKEVKTLLGLSGSNFEEFMVSHWETSPCLMQKSSRINEEADIIGSFVGSITSIEKNHSFISPMLGRLVSCSPIASDELDIHNFLEEARAELGFPLIYQQDIRVLRTDECLKREIHFFQKNFEPCCIEGPHFLKLHDALKCEEAFKEGYTIALRGMEFRHEKIAAISNTLASLFGQPSVGANMYLTPPGSQGLARHYDDHCVFVCQLAGSKQWTVFSPPRKYLPRLYDSHEFPSCLDVESPLAVGRKFFLREGDVLYIPRGFLHEARTEIGGPDGSSLHLTFGIEVEPPFEWEGFVHTAVYSWNWSHNPKQCDTLFGTMSLELLHFAIWLISVSDHNFRKACLVAACSLPSETNDRLGLNLKTVFSRLLDKISRESKFSEVLAAIKISVEKDEDPFHRMRWLRLLNVDGKESIKDDKWTMPSIGIRDLFSTCVNHREQVATTFVEVKSRFCSEVRFENAVECYKKLLKRFRKVRSQYTKGMISLH >KGN65006 pep chromosome:ASM407v2:1:10837338:10837568:1 gene:Csa_1G177730 transcript:KGN65006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVNLLKGEILKHLEKFEKVEVDGCDAELLLNLSEMVEKLIIGSENVDESATIVEDLTFVCQPLPFCEQQPTSHIG >KGN64845 pep chromosome:ASM407v2:1:9349097:9353236:-1 gene:Csa_1G132060 transcript:KGN64845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKNQLQELAQRSCFNLPSYTCIREGPDHAPRFKATVNFNGEIFECPQYCSTLRQAEHSAAEVALNALSNRGPPHSLAARILDETGVYKNLLQEIAQRVGAPLPQYTTFRSGLGHLPVFTGIVELAGITFTGEPAKNKKQAEKNAAMAAWSALKQLAKESASSSSEPENNDELEQITIARALLNYRQKEKLAMSNPNATIPFHKKLQIQTPRPTSPQRPPAPTSKILPLICQKAAPRSRAPFSANKIPIPQSQTPALEGSGPRPQKFSAGTALSYIPVQQFRTSCHGIAPPVTIRTAMPVFSAPPLPQPSKLPPQQVIRVPPIRIAPPVSIRQAIPVFAAPPVRKENPPVVKKEECPAPAAPKEDPPALSAPVTVTVPSPPTVVSTAEVEQATFTVVNSPEETKTLENLEELKI >KGN63984 pep chromosome:ASM407v2:1:3495021:3496981:-1 gene:Csa_1G033030 transcript:KGN63984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNDQLHYHQNHRSNASFPLQLLEKKEYDPQPPLEIVSKKPSPKRTSTKDRHTKVDGRGRRTRMPALCAARVFQLTRELGHKSDGETIEWLLQQAEPAVIAATGTGTIPANFNSLNTSLRRSATSISLPSQLRSSSYYHPGVMGFNSEAMNNMVQITTQLPKEDHQYHLHQSTTTGVVPPTTIPANFWMLSDPNNQLLASGVDPLWRLQSANQDGSLFRSGQPSPSGGLRLSNNILGGPAPLTLLPGKPLSLGPTAGAARRGNLNNDHDDDDDDHNGHLNIYATQNPPHGAAPDTGVS >KGN65308 pep chromosome:ASM407v2:1:13648619:13650823:-1 gene:Csa_1G306800 transcript:KGN65308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSSPSLLLSPSFHVLPSSDPPYRVLQEHPSLKLLSKCQSIRTFKQIHAHIIKTGLHNTLFALSKLIEFSAVSRSGDISYAISLFNSIEEPNLFIWNSMIRGLSMSLSPALALVFFVRMIYSGVEPNSYTFPFLLKSCAKLASAHEGKQIHAHVLKLGFVSDVFIHTSLINMYAQSGEMNNAQLVFDQSNFRDAISFTALIAGYALWGYMDRARQLFDEMPVKDVVSWNAMIAGYAQMGRSKEALLLFEDMRKANVPPNESTIVSVLSACAQSNALDLGNSMRSWIEDRGLCSNLKLVNALIDMYSKCGDLQTARELFDDMLERDVISWNVMIGGYTHMCSYKEALALFREMLASGVEPTEITFLSILPSCAHLGAIDLGKWIHAYINKNFNSVSTSLSTSLIDLYAKCGNIVAARQVFDGMKIKSLASWNAMICGLAMHGQADKAFELFSKMSSDGIEPNEITFVGILSACKHAGLVDLGQQFFSSMVQDYKISPKSQHYGCMIDLLGRAGLFEEAESLLQNMEVKPDGAIWGSLLGACRDHGRVELGELVAERLFELEPDNPGAYVLLSNIYAGAGKWDDVARIRTRLNDRGMKKVPGCTTIEVDNVVHEFLVGDKVHPQSEDIYRMLEEVDEQLKVFGFVADTSEVLYDMDEEWKEGALSHHSEKLAIAFGLISTKPGTPIRIIKNLRVCRNCHSATKLISKIFNREIIARDRNRFHHFKDGSCSCNDYW >KGN64026 pep chromosome:ASM407v2:1:3673070:3673928:-1 gene:Csa_1G038930 transcript:KGN64026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTMAKVGSFWISKKAKQEITTITAQLSSFSNTIEDKAKMVLDKIKGKPQKSLPELLREHNLPAGLFPKNIICYELDESKGKLVVHLASACEVSFKDSSIVRYATRVKAKLAKGKLSSVEGMKTKIVVWTKVTTVSVESYKSEKVWFVAGIKKSRPKDAYEMPRQALGVDDF >KGN65983 pep chromosome:ASM407v2:1:20336324:20349281:-1 gene:Csa_1G560670 transcript:KGN65983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTENPAHPNFPTRPAGTPFTATQTTSPFSSSGPVVGSDTTRFRPGAPVMPPNTMPFPPSSGPAVGSGVPGFRPMQPGRFSDPSVPPPPTSSVPATAGSFQRFPAPQFSSPSQPPPPRIPPMGQPPGAYVPPPSVSFHQQSQVPSVPMGSPPQSLGPPPTNVPQPMSDPSFPSARPNFQSSLPGYVHKQPNADLHSQQMQPPPFVSHQGPYGPPSAPASPFLSHQGGYVPPPPAAASQGLLSTDQKHHPGTGPPLGSIQGLAEDFNSLSIGSIPGSIDAGIDPKALPRPLNGDEEPKMFSEVYAMNCDKRYLRFTTSAIPSSQSLVSRWHLPLGAIVCPLAEAPSGEEVPVINFASTGVIRCRRCRTYINPYATFTDAGRKWRCNICSLLNDVPGDYFAHLDATGQRIDLDQRPELTKGSVDFVAPTEYMVRPPMPPLYFFLIDVSIAAVRSGMLEVVAQTIRSCLDELPGSTRTQIGFATFDSTIHFYNMKSTLTQPQMMVVSDLDDIFVPLPDDLLVNLSESRTVVESFLDSLPSMFQDNVNVESAFGPALKAAFMVMSQLGGKLLIFQNTLPSLGVGRLKLRGDDLRVYGTDKEHLLRLPEDPFYKQMAAEFTKFQIGVNVYAFSDKYTDIASLGTLAKYTGGQVYYYPGFQSSIHGEKLRHELARDLTRETAWEAVMRIRCGKGIRFTSFHGNFMLRSTDLLALPAVDCDKAFAMQISYEETLLTTQTVYFQVALLYTASCGERRIRVHTAAAPVVTDLGEMYRQADVGAIVSLFSRLAIEKTLSHKLEDARTSVQQRIVKALREYRNLYAVHHRLGGRMIYPESLKFLPLYGLALCKSVPLRGGFADAVLDERCALGLAMMILPVKNLLKLLYPSLIRLDEYLLKASPTQTIDLNSIEKRLPLTADSLDSRGLYLYDDGFRFIVWFGRVLSPDVSMNLLGADFAAELSKVILSDHDNVMSRKLLETLQKFRETDPSYYQLSHLVRQGEQPREGFLLLANLVEDQMGGTNGYVDWLLQIHRQVQQNA >KGN64733 pep chromosome:ASM407v2:1:8049345:8050080:1 gene:Csa_1G084320 transcript:KGN64733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >KGN65557 pep chromosome:ASM407v2:1:16349024:16353702:1 gene:Csa_1G447400 transcript:KGN65557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAAVHSSTLKPSPLDSFRSRKCSPFLVSAPKSRPRLSFISASAASTVSAPKREKDPKKRVVITGMGLVSVFGNDVDTYYDKLLSGESGVTLIDRFDASKFPTRFGGQIRGFASQGYIDGKNDRRLDDCLRYCIVAGKKALEDADLGGDKRSKIDKERAGVLVGTGMGGLTVFSDGVQALIEKGHRKITPFFIPYAITNMGSALLAIDIGFMGPNYSISTACATSNYCFYAAANHIRRGEADMMLAGGTEAAIIPIGLGGFVACRALSQRNDDPKTASRPWDKDRDGFVMGEGAGILVMESLEHAMKRGAPIIAEYLGGAVNCDAYHMTDPRADGLGVSSCILSSLEDAGVSPEEVNYINAHATSTLAGDLAEINAIKKVFKNTSEIKINATKSMIGHCLGAAGGLEAIATVKAIETGWLHPSINQFNPEPSVDFDTVANVKQQHEVNVAISNSFGFGGHNSVVAFSAFKP >KGN66798 pep chromosome:ASM407v2:1:27799359:27802648:1 gene:Csa_1G690350 transcript:KGN66798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFTPPIYPFFSFDSISLTNSVHNVKEFSITSMTGSYRRVFQRPKDYEWELISYVDRNLPLAQSDLHNLLKSQSVEISKEEKIADKSKNEDSLDSTDQSVCCKKNIQLEAGGKENENGQGTQDPQMALKLSFTLPASSYATMAIRELLKTSTSGLALPYMETDGNSEKFSRSIKREPGDKGTSENTKIFLVSQSAVSVWTNSV >KGN66886 pep chromosome:ASM407v2:1:28623964:28624665:-1 gene:Csa_1G703590 transcript:KGN66886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYFANYSSDGNTSIIRETWARLIDQTLSTGGIAEACSVLKRVGVNIYPGDGGGIPLESLCLHLEKAALERSESGVESIGNDDVARALIAVCKGATEPVLNAYDQLLLNGAILPSPKLRLRLLQSVLVVLHEWAMSISSQTVGRSATAASLVLAGKYSLDQIAIFNQGVRDKIAIAANRSIS >KGN66834 pep chromosome:ASM407v2:1:28149710:28152739:1 gene:Csa_1G699630 transcript:KGN66834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSGSRKKKGASSHASSAVNSTPIANGGVDLDSSIFLKRAHELKEEGNKRFQNKDYVGALEQYESALRLTPKTHPDRAVFHSNRAACLMQMKPIDYDTVISECTMALQVQPRFVRALLRRARAYEAIGKYELAMQDVQVLLLADPNHRDALDIAQRLRAAVGPRQEAQQDLQSRPSPAALGASAVGAPIAGLGPCLPTRPVQKKAAASIGGATVLLNSKLEKHQGVIPMENGPAEPKLQFPKVVLKPSSGPAKAPNVSEDKLKEDSLSSLSSHAQSLNQEPKVQLRSLKLVYDHDIRLAMMPVNCRFKVLREIVSKRFPSSKFVLIKYKDADDDLVTITCTSELRLAELCADSFVPKDAEVDKPASLGMLRLHVVEVSPEQEPPLLEEEDEKPVESEESKGDDSGHVSPLGESMAEATDSENDKIEKEVLKEKVGDTEDPECKEVEMDDWLFEFAQLFRTHVGIDPDAHVDLHELGMELCSEALEETVTSEEAQNLFNKAASKFQEVAALAFFNWGNVHMCAARKRIPLDESSGKDIVAEQLQTAYEWVKEKYTLAREKYEEALLIKPDFYEGLLALGQQQFEMAKLHWSFALAKKIDLSSWDFTETLELFDSAEEKMKVATEMWEKLEEQRANELKDPTASKREELLKRRKKHAGGADNEMQGIGGQHEVSANESAEQAALMKSQIHLFWGNMLFERSQVECKIGTGDWKKNLDAAVERFRLAGASEGDISVVLKNHCSNENASEGDDKKSLNIKGNVNQAKEVFIKEVNEVSSG >KGN66284 pep chromosome:ASM407v2:1:22498657:22508016:1 gene:Csa_1G595871 transcript:KGN66284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACVYIPVQNSEEEVRVALDQLPRDASDILDILKAEQAPLDLWLIIAREYFKQGKLEQFRQILEEGSSPEIDEYYADVRYERIAILNALGAYYSYLGKIETKQREKEEHFILATQYYNKASRIDMHEPSTWVGKGQLLLTKGEVEQAFAAFKIVLDGDRDNVPALLGQACVEFNRGHYSESLELYKRALQVYPDCPAAVRLGIGLCRYQLKQYGKAQQAFERVLQLDPENVEALVGLAIIDLNTNEAGRIRNGMEKMQRAFEIYPFCAMALNYLANHFFFTGQHFLVEQLTETALAITNHGPTKSHSFYNLARSYHSKGDYEKAGLYYMASAKEANKPREFVFPYYGLGQVQLKMGDLRSALSNFEKVLEVYPDNCETLKVLGHIYVQLGQAEKAQESLRKATKIDPRDAQAFLDLGELLISTDESAALDAFKTASILLKKGGQEVPIEVLNNLGVLHFEREEFELAERIFKEALGDGIWLDFIDGKVRCPAIEASASVLQYKDVELFYQLEREGRAIVLPWKKVTSLFNLARLLEQLHRIEVSSVLYRLILFKYPDYVDAYLRLASIAKARNYVQLSIELVNDALKVNDKCSNALSMLGELELKNDDWVRAKETFRAAGEATDGKDSYATLSLGNWNYFAALRNEKRNPKLEATHLEKSKELYTRVSSQFFLLFPSIWLFILLIKSQWFIHYPCMVEYF >KGN66718 pep chromosome:ASM407v2:1:26797467:26802180:1 gene:Csa_1G662820 transcript:KGN66718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSCFPCFSSQKSKKGIDKNNNNDSSGSSSSGHRTPPPPPKSPEVTTKSKPAEEIKDKQQEGEKIDAQTFTFRELATATKNFRQECLLGEGGFGKVFKATLQPSGQVVAVKQLDRNGLQGNKEFLGEVKALSLLKHPNLVKFNGYCADGDQRILVYEYMPGGSLEDCLFAIKENRKPMDWFVRIKIASGVANGLEYLHDQADPPIIFRDLKSSNILLDEDFNPKLSDFGLAKLGPGGDKSPLPSRVMGTYGYSAPEYTRGGQLTSKSDIYSFGVVMLELITGRKAIDTTKPNNEQNLVTWAQPFFRDPKRFPDLADPLLGRLFPEKDLNQAVAVAAMCLQEEAEVRPLIGDVMTALSFLSTVPDENLRPLPYPPEPEPEPEPEEENRDDDSSSESSDSDTESRKIGKDKDCASANFTSARNHETDTSSDDEDEGEKENIKSSKKKPKNKESEQKRVVFKDDIQPPAPNHTRKNSSSSSDGSSSPRSSNASENRRIDSLESIRDSEGGDSSFKLQDEPSDVVNSNDSTLEHISSRESHTGDEEYEAHNEYSSDSEEGSEHGGEEE >KGN64279 pep chromosome:ASM407v2:1:5124982:5130541:1 gene:Csa_1G045770 transcript:KGN64279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESKAAAYYDELTRKGEGAARFKRGLGFSASDSNSDVVSASKGSALPSSSSFLSSFVKASSPSKPSEFEKQAQLEAIQNKLKKKKPSSPDRQERRSRDLERDRRKSSPRRRSLSKERERHSHSRRRSSSRDRERHSRRRSRSRDRYGDKYRDKDRERSSRRRSRSRSDSDRDRRRRRSRSLSVERKRSDDDGSKGGGKQKGRKVERQKTEGVDYSRLIEGYDMMSPAERVKAKMKLQLAETARMDDTKGTGPGWERFEFDKDAPLDDEEIEAAEDDATLVKHIGQSFRFSAIEARKEEQIKAAHDEAMFGAPVRQLLSTTDDEDKVEAENERVKESCDSGMATNLLSEKIIAKQQGSWRDRARKA >KGN66623 pep chromosome:ASM407v2:1:25711275:25718321:-1 gene:Csa_1G650100 transcript:KGN66623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTASFASPSRQPSHSLHLHICVVSSRSLHAFRRQLRLRRPLLPFPSSSVASISFVAHLFDACEDLQHRPLHFFALRHLSSFEFLSTQFWIDLPNLFWLSISVFKHFGDTVQPWIEFSLVSFHGEKMQDSIQLQSQFRLGQRVHFVGDPRRTGTVAFIGTLEGYSGTWVGVDWDDNNGKHDGSINGVRYFQAKSERSGSFVRFQNLSLGISLLQALELRYRGDSTKEEEDEMYVLSASDKRVSVQFVGKDLIKDKLSRFEELTSVSLSYMGVSSLGNPGQIGSVLPSKFLDRNKVYICLH >KGN66311 pep chromosome:ASM407v2:1:22635168:22635969:-1 gene:Csa_1G597090 transcript:KGN66311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLAMDLPNSDSQTVAITAEVECVKCYSCGFTEDCTPAYISRVRDRFHGRWICGLCIEAVKDEVVRSGKLISTKEALIRHASFCKEFRSTNPMDETEHPISAMGRLLRRSLDSPRVLRSNSSNEIRLEPIVDIGDSARLQRSGSCFPSLSS >KGN63911 pep chromosome:ASM407v2:1:2936749:2939005:-1 gene:Csa_1G025920 transcript:KGN63911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQKSSERSERNESGMEVLDIQEQACRFPRIGNGRNDSNKLGQKGIVQYTPDDEEDKEFSKRVAVVTSATVNPTGRCEPGGIGGVGANRLHGWHHSSRIIRVARASGGKDRHSKVWTSKGLRDRRVRLSVATAIQFYDLQDRLGFEQPSKAVEWLIEAASDAIAELPSLSGSFPETPRQLSDEKMGSDGADQGFDSPEMELDGDPKKHQQNPRQQLSLARSACSSNSETSKGSGLSLSRSEILVNRAKARDRARERTAKEKEREQESRDAHCIPNNPSFTELLTGGINNNGANNTTNRTNSASQNNVVEPNLFDKATAMDYLASSGIIAQQPSSSSSSRPGHNQSAGFSGQIFLGNPHQLPVSIPQFNISAAENTQQDRLQHFSFVPDNLNDYNLNFTISAGLAEVSSLRGIKRTLFHRSCIANDGDDSRTVGKSPSVDTSIV >KGN66546 pep chromosome:ASM407v2:1:24826818:24827033:1 gene:Csa_1G629030 transcript:KGN66546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGYVTEIPKAISHGIEAMRGNSRVRAMMDYLCVWAGCDFPQKESRVARMTKPWSKQVVGTRREQGGRRQR >KGN63698 pep chromosome:ASM407v2:1:1616770:1619562:1 gene:Csa_1G011500 transcript:KGN63698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERMGEIDTKPIDSVQAGISLFGAIGDQNKHKITANNGYEKEREVQELVKDLANSKVQLEAKDSAHMQALLKLEQQQKVINKLSELLKIAENSRDKYDFECSEARVLLDELELKQNETTDQSLATENFQEELCIAKSELKIRQEELPGIETELSARGESEVEDITKIKFPENKENDCLSEKERTVDLIRHISELNDAIRLSKFAACEAEKEMSAALLAKDAELELAKETVVVLQKQLEETSKQAELDMGHNQLKEINFENEETEKFKNEMETLKNQLEKMELEMNEMRERETNAEVEIALLKSELHKGRSKIAALEANEAKAESSKSSLRPLYERNSSSMEEDLNLEVNERRNESATITVTSKDYQSSIENIDQTSKLSPDKTSHHNINCECTDELEKLKKDLEAATIRIGEFRSRAEQAATRAEMAEKAKEAIEDQLRKWREHKHKKKAALAAMKEVSASAPPKFNSSLYGDTNTVYQPLGKVLNLKF >KGN66052 pep chromosome:ASM407v2:1:20896746:20899322:1 gene:Csa_1G569290 transcript:KGN66052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTETFAFQAEINQLLSLIINTFYSNKEIFLRELISNSSDALDKIRFESLTDKSKLDAQPELFIHIIPDKANGTLSIIDSGIGMTKADLVNNLGTIARSGTKEFMEALAAGADVSMIGQFGVGFYSAYLVAEKVIVTTKHNDDEQYVWESQAGGSFTVTRDTSGENLGRGTKITLYLKEDQLEYLEERRLKDLIKKHSEFISYPISLWVEKTIEKEISDDEDEEEKKDEEGKVEEVDEEKEKEEKKKKKIKEVSHEWSLVNKQKPIWMRKPEEITKEEYAAFYKSLTNDWEEHLAVKHFSVEGQLEFKAILFVPKRAPFDLFDTKKKPNNIKLYVRRVFIMDNCEELIPEYLGFVKGIVDSEDLPLNISREMLQQNKILKVIRKNLVKKCLELFFEIAENKEDYNKFYEAFSKNLKLGIHEDSQNRPKIAELLRFHSTKSGDELTSLKDYVTRMKEGQNDIFYITGESKKAVENSPFLEKLKKKVEKVVVSDRVVDSPCCLVTGEYGWTANMERIMKAQALKDNSMAGYMSSKKTMEINPENPIMEELRKRADADKNDKSVKDLVLLLFETSLLTSGFSLDEPNTFGNRIHRMLKLGLSIDEESGEGDSEMPPLEDADADAEGSKMEEVD >KGN65411 pep chromosome:ASM407v2:1:15155109:15155465:-1 gene:Csa_1G418770 transcript:KGN65411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAIETKYFGAGNQNQDSSNSSSDGPCLEVSSFGGLFIITGIAFLLALIDSQTFIWRKPASVAKTYYRKYVSFKEDSHSDVKDEEMDDISKSSEVSADVDHGCLDGSAGPSKHVTEDH >KGN65294 pep chromosome:ASM407v2:1:13484694:13490079:-1 gene:Csa_1G303700 transcript:KGN65294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTLRNRGGFRVSVCGQEELEQLSRDGSQYSLTTGILPSLGARSNRRVKLRRFIISPYDRRYRIWETFLVVLVVYTAWVSPFEFGFLKKPQSPLSVTDNVVNGFFAVDIVLTFFVAYLDKTTYLLVDEPKKIALKYARTWLIFDVISTIPSELAQKISPSPLRSYGLFNMLRLWRLRRVSALFSRLEKDRNYNYFWVRCAKLICVTLFAVHCAACFYYLLAARYRDPKNTWIGASMENFLEESLWIRYVTSIYWSITTLTTVGYGDLHPVNTREMIFDIFYMLFNLGLTAYLIGNMTNLVVHGTSRTRKFRDTIQAASSFAHRNQLPLRLQDQMLAHLCLKFRTDSEGLQQQETLDSLPKAIRSSISHYLFYSLVDKVYLFRGVSNDLLFQLVSEMKAEYFPPKEDVILQNEAPTDFYILVTGAVDLLVLKNGVEQPVGEAKTGDLCGEIGVLCYRPQLFTVRTKRLSQLLRLNRTAFLNIVQSNVGDGTIIMNNLLQHLKDLKDKDPIMEGVLLETENMLARGRMDLPLSLCFATLRGDDLLLHQLLKRGLDPNESDNTGRTSLHIAASNGNENCVLLLLDYGADPNSRDSDGVVPLWDAILGGHEAVAQLLIDNGANLRSGDVGHFACTAAEQNKLQLLKEIHRYGGDVTSARNNGTTALHVAVCEDNIEIVKFLLKQGADIDKPDVHGWTPRDLADQQGHEEIKNLFQTIKESKTQSVVAIPEKQTGIRFLGRFTSEPMIRPQPQEANDGSWPGHSRPRRRTNNFHNSLFGIMSAAQSGENGNPFPDSQTSLENSGTNPARVIVSCPEIGEVGGKLVLLPESYNELLEIGLKKYGIMATKVLNKDGAAIEDIEVIRDGDHLVFVSDGRTT >KGN65460 pep chromosome:ASM407v2:1:15456454:15460045:1 gene:Csa_1G423180 transcript:KGN65460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYASQFDGNAAFSGGGFMPSQTTQAPDHSFSPAKNRDVQALLPLTVKQINDAFLSSDDKSNFVIDGVDVNNVKLVGMVRNRAGRITDVTFALDDGTGRIDCSKWVNEAADSNEVEGILDGMYVRVHGHLKSFQGKRTLNVFSIRPVTDYNEITNHFIESIYVHFYNTRLRKQQSSSMTTQPQMTNLSNTPMKVYQAPIANQAVIVGRALSRWS >KGN64339 pep chromosome:ASM407v2:1:5471901:5475020:-1 gene:Csa_1G046830 transcript:KGN64339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQATVRLITTTTQPLYERPIRRIVADVSKNLDRAWSFVSKCRHGGFLRQVFSMTTIADFRKVSSLLESSIGDMKWLLSIFDSDGTVGLPPIASNDPTLAYIWPNIATIQMGSVRNRVEAANQLTLHTRGNDRNQKIVMEEGGVPPLLKLLKEYSSPDAQIAAANVLINVASVTDRVESIVNIPGVPIIVQVLNDSPMRVQIIVAKLVSKMAELSYLAQEEFARENVTKPLVTCLSIDMVLDDPKLQLGKPSFHSVVEINKELAGKNLNTSLNSSSTSSHSDSSSRGGNQRKEKEVESSEVKLQLKVNCAEALWRLSKGSLMNSRKITETKGLLCLAKIIENEGGELQYNCLMTVMEVTAVAESKPDLRHAAFKITSPAPKAVLDQLSRMIHRDSDPVLQVPAIKSIGSLARIFPAKESQIINLLVLQMKSMDMDVAIEAVIALGKFACPENYNCVAHSKSLIEFGGVPPLMKLLKQNDQAQVPGLILLCYLALSVGNSKVLEQAHALNAMKGMARLVFSHPDLHELYAKAIHHLTLYQAGAHHIHRHSFSP >KGN65679 pep chromosome:ASM407v2:1:17509896:17511181:-1 gene:Csa_1G495270 transcript:KGN65679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKVGCSPATTESSLFTTAQSCPPSSLHHLSPEKPFFISFSDGNQAVKLYVTMISVLAQERLLGFALGSVFAGVVVFEQRKSLYQSISENYPPATQSPMRKPVLAKKYGPEFSHLWNRAVDQTFGPVIQALSSRGW >KGN64796 pep chromosome:ASM407v2:1:8654085:8655642:-1 gene:Csa_1G097740 transcript:KGN64796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAIDSVFDPLREFAKDSVRLVKRCHKPDRKGTSSQRSRFVQRLASSSWDSLVSSSSSSLSPSITSSSDLARQLRPQKEQAGQYRRMELDQLFQILTNVIINLKSLIYFLEKYKTP >KGN65115 pep chromosome:ASM407v2:1:11882205:11888385:1 gene:Csa_1G226430 transcript:KGN65115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGGTQNSFRKALGALKDTTTVSLAKVNSDYKELDIAIVKSTNHVERPAKEKHIRAIFAAISATRPRADVAYCIHALARRLSKTHNWAVALKTLVVIHRALREVDPTFHEELINYGRRRNHMLNLSHFKDDSSANAWDYSAWVRSYALFLEERLECFRVLKYDVETDRARTKDLDTAELLEQLPALQELLYRVLGCQPQGAAVHNFVIQLALSLVASESVKIYQAISDGTVNLVDKFFEMQRQDALKALDIYRRAGQQAERLSEFYEVCKSLDIGRGEKFIKIEQPPPSFLQAMEEYVREAPRVSTVRKEQVADNKLAAPKEVLAIEYKKEPGAQVEQTVAPPPAPSPPPPEPVKVEPVVTEQPDLLGLNDPVPEVTSNLDEKNSLALAIVPVADQQTSSAPSQANGTTTTGWELALVTAPSSNESVAATSKLAGGLDLLTLDSLYDDAIRRNNQNVSYNPWEPVPMHGAMMQQQPMHDPFFASSAVAAPHSVQMAAMANQQQAFMLQQQQQQMMMMTPPPQQSNPFGNPHGTNAYHYGPGMPVHASNPYGLI >KGN64754 pep chromosome:ASM407v2:1:8314172:8314857:-1 gene:Csa_1G088440 transcript:KGN64754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSAETNTASTAVVVGSSSPCAACKILRRRCRENCELGPYFPSMEPLKFTIAHRVFGASNIIKLLQDLPESQRGDAVNSMVYEASARIRDPIYGCAGSIFHLQRQINDLQGELAKAQAEVLRMHCQQANLMTLIWKELGQVPQPPMHDHQSLNDPYNIASPQSYHSYSYLFEECNVLEPMWT >KGN64123 pep chromosome:ASM407v2:1:4267872:4269227:1 gene:Csa_1G042350 transcript:KGN64123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSPKSFSSCSEIEDDNSSNGNELRRGPWTLEEDNLLIHSISTHGEGRWNLLAKRSGLRRTGKSCRLRWLNYLKPDVKRGNLSAQEQLLILDLHSKWGNSEAFQQIIRSYWMPRLLQKINQSPPSELPITSPPEMASQTSFGFEAPTTAVTQPPAMTPLQIGGDLMGSSCTSSQITQISSYQNYENYAPFVKDYCHDGGHGGGEMLNWTTTAVAGDSGYPVSHCHVAENNWMDGDFTGYMSNVDELWQF >KGN64875 pep chromosome:ASM407v2:1:9612188:9614737:-1 gene:Csa_1G144280 transcript:KGN64875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSKDADPTLGYLTRKDAEVKLPRPTRVKNKTPAPIQITAEQILREARERQEAEIRPPKQKITDPTELADYRLRKRKEFEDLIRRVRWNISVWIKYAQWEESQKDFNRARSVWERALEVDYRNHTLWLKYAEVEMKNKFINHARNVWDRAVTLLPRVDQLWYKYIHMEEMLGNVAGARQIFERWMGWMPDQQGWLSYIKFELRYNEVERARGIFERFVQCHPKVGAWIRFAKFEMKNGEITRARKVYETAVEKLADDEEAEQLFVAFAEFEERCKETERARCIYKFALDHIPKGRAEDIYRKFVAFEKQYGDKEGIEDAIVGKRRFQYEEEVRKNPLNYDSWFDYIRLEETAGNKERIREVYERAIANVPPAEEKRYWQRYIYLWINYALYEELDAADAERTRDVYKECLNLIPHSKFSFAKIWLLAAQFEIRQLNLKGARQILGNAIGRAPKDKIFKKYIEIELQLGNIDRCRKLYEKYLVWSPENCYAWSKYAELERSLCETDRARSIFELAIAQPALDMPELLWKVLQ >KGN63916 pep chromosome:ASM407v2:1:2968077:2970765:1 gene:Csa_1G025960 transcript:KGN63916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSGSLDTSANSHPSFTFSTHPFMTSSYSDLLASANIDPPSSAPLRASTTGVPKFKSLPPPSLPLSPPPMSPSSFFAIPPGLSPAELLDSPVLLSASHVLPSPTTGTFPSHSLNWKSNFGYNQQNIKEENKYSSNFSFQTQSSKLPPTSFQPSSTIAPTTQGWSFQEQRKKEDSFSSEKNMVKPEFGSMRSFSPEYGVVQNQSQNNGSGELRSDYGNNYPQQSQTVNRRSDDGYNWRKYGQKQVKGSENPRSYYKCTFPNCPTKKKVERSLDGQITEIVYKGSHNHPKPQSTRRSSLSSAGSSQAIVALNQAANEMADQSFTTQGSGQFDGVATPENSSISIGDEDFDRSSQKSKSGGDDFDEEEPEAKRWRREGDNNEGISAAGSRTVREPRVVVQTTSDIDILDDGYRWRKYGQKVVKGNPNPRSYYKCTNPGCPVRKHVERASHDLRAVITTYEGKHNHDVPPARGSGSHSLSRPFPNNEPPAAIRPLSVVTHHSNNGGHPQGLRLQRSSDSQAAFTVEMVQNGNGFSFPEFGNSMGMGSYMNQTQPNDNLFTRAKEEPRDHDMFIQSLLC >KGN65836 pep chromosome:ASM407v2:1:18911879:18912551:1 gene:Csa_1G533430 transcript:KGN65836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSVSESGGGGGASESTAEGCSSATGGGGGGAPPSRYESQKRRDWNTFLQYLKNHKPPLCLARCSGAHVIEFLKYLDQFGKTKVHASPCPYFGHPNPPAPCPCPLKQAWGSLDALIGRLRAAYEENGGRPESNPFAARAVRIYLRERLVPPPPPPPQIISLKQPQPKTTAGGGGGGDDPALPPPPSTTAASTTV >KGN64958 pep chromosome:ASM407v2:1:10449173:10450542:1 gene:Csa_1G168350 transcript:KGN64958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAFATSSVAGLGTASLSSPSSRSPRLVSGFIKPPVAARNPLSVAGASGGKFTCFERDWLRRDLNVIGFGLIGWIAPSSIPVISGKSLTGLFFESIGAELAHFPSPPALTSQFWLWLITWHLGLFITLTFGQIGFKGRTEDYFSK >KGN63706 pep chromosome:ASM407v2:1:1687641:1687987:-1 gene:Csa_1G011580 transcript:KGN63706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTTQTETDQTTIKAIGQSKRKEHMLACFSDEMLSVLLNKDLLSMGKSEESVLPKLTPEVLRELPVLKWMSKVERAIKRRR >KGN64803 pep chromosome:ASM407v2:1:8742411:8744973:-1 gene:Csa_1G108280 transcript:KGN64803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPRFLIQRLTPYLGAHIRKNQRLLSSSVSAYQEASSSLITPSAGIDTIHMSDNCIRRMKELQEPMEEKMLRLSVENGGCSGFQYVFNLDDKTNPDDRVCEKEGVKLVVDNISYDFVKGATIDYVEELIRSAFIPKSEN >KGN64561 pep chromosome:ASM407v2:1:6873028:6873231:1 gene:Csa_1G064830 transcript:KGN64561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIVLMLNSYSVTLPIPKEPALFMRSKDNNGTTIGSDHSSNKSTTKWSVNETSISELHPR >KGN65793 pep chromosome:ASM407v2:1:18569335:18575523:1 gene:Csa_1G528580 transcript:KGN65793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVEFLSPVIRTKFHLDSNCNLRCWNGLGFFRCKSRVYHQNSNRFVPNLVPFPSVKLYRLASSKNSDRLNLWGGLAGNFGSRNVKICANGRDSDSTGGSGEKSEAKPNETQGVSKNTTNSGSSSNRKREKQGKGGGWWWSKGGKWRWQPIVQAQEIGILLLQLGIVIFVMRLLRPGIPLPGSEPRTPTTFVSVPYSDFLSKINSNNVQKVEVDGVHIMFKLKSEPGTQESEIISGSKLQESDSLIRSVNPTKRIVYTTTRPSDIKTPYDKMLENAVEFGSPDKRSNGFLNSALIALFYVAVLAGLLHRFPVTFSQHTAGQIRNRKSGGAGGAKVSEQGESITFADVAGVDEAKEELEEIVEFLRNPDRYIRLGARPPRGVLLVGLPGTGKTLLAKAVAGEAEVPFISCSASEFVELYVGMGASRVRDLFARAKKEAPSIIFIDEIDAVAKSRDGKFRIVSNDEREQTLNQLLTEMDGFDSNSAVIVLGATNRSDVLDPALRRPGRFDRVVMVETPDRTGRESILNVHVTKKELPLADDVNLSDIASMTTGFTGADLANLVNEAALLAGRQNKIVVERDDFIQAVERSIAGIEKKTAKLQGSEKTVVARHEVGHAVVGTAVANLLPGQPRVEKLSILPRSGGALGFTYIPPTNEDRYLLFIDELRGRLVTLLGGRAAEEVAFSGRISTGALDDIRRATDMAYKAVAEYGLNQTIGPVSMATLSGGGIDESGGAAPWGRDQGHLVDLVQREVKSLLQSALEIALSVVRANPDVLEGLGAHLEEKEKVEGEELQQWLRMVVAPKELTIFVRGKQESLLPVQSVNSAPAS >KGN64866 pep chromosome:ASM407v2:1:9486785:9488283:1 gene:Csa_1G132760 transcript:KGN64866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSTTTTTTHGITKRTRLIRVLGRSLLGVIFLVALGMIICWLVVIPKSPRLIVETGKVIAHSSTISMLNATIAFTVKSYNPNKRASIHMDYMRMIVDNMGVRFSSAIPSFTLTPRNQTVLSSAVQVNFEYPFGYTEEINPELQFSAEVSYSIKKWMSKPRLLEIYCNHILLKINDSTAFDNTKCKVDF >KGN65856 pep chromosome:ASM407v2:1:19019961:19022358:1 gene:Csa_1G533630 transcript:KGN65856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKRKEKPEICKTTDEEKEDDEEEERGNEVNGFFSCYLLASACPRFKGHTYIGFTVNPKRRIRQHNGEIRCGAWRTKRKRPWEMVLCIYGFPTNVSALQFEWAWQHPNESLAVRSAAATFKSLSGVANKVKLAYTMLTLPAWRGLNITVNYFSTKFMKNAAGCPSLPEHMKVQVSPINELPCYSEGDQDMLENEGDWEYNREREEICGFRVYGSMKEVSNEVPQKLMDYQTGTDGRPPHVLRGCDKELETNEQVPPSSCTPSYIDVGMSYDLCACDEGLENDEREAASCGQSCIVAGTSRTEIVIDDEEENQLEGSSMNLQEQPGRENLTSGIASEISKVSRWNNGWVPTVEYEVIDVSTPSPDCRTSSHRFKRRVTSGKSEMIDLTKSPTFIQL >KGN64363 pep chromosome:ASM407v2:1:5692546:5696678:1 gene:Csa_1G050020 transcript:KGN64363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNEGFLTEEQREVLKIASQNVDVLSSSPKSPKGSLPEYHIKAPAGGKVSAPGVGVKHVRRSHSGKYIRVKKDGAGGKGTWGKLLDTDGDSHIDRNDPNYDSGEEPYQLVGSTVSDPLDDYKKSVVSIIEEYFSTGDVELAASDLGDLGKSDYHPYFIKRLVSMAMDRHDKEKEMASVLLSALYADVISPAHIRDGFFMLLESADDLAVDILDAVDILALFLARAVVDDILPPAFLARARKALSDSSKGTQAIQTAEKSYLSAPHHAELVEKKWGGSTHFTVEEVKKKIAYLLREYVENGDTFEACRCIRQLGVTFFHHEVVKRALTLAMEIRTAEPLILKLLKEAAEEGLISSSQMVKGFSRLAESLDDLALDIPSAKSLYESLIPRAISEGWLDGSFVKSSVEDADIGSKDEKLRRYKEEVVTIIHEYFLSDDIPELIRSLEDLGAPEYNPVFLKRLITLAMDRKNREKEMASVLLSALHIEIFSTEDIVNGFVLLLESAEDTALDILDASNELALFLARAVIDDVLAPLNLEDIASRLIPNCTGSETVRMARSLIAARHAGERLLRCWGGGTGWAVEDAKDKIQKLLEEYESGGVVSEACQCIRDLGMPFFNHEVVKKALVMAMEKKNDRILDLLQACFNVGLITINQMTKGFSRIKDSLDDLALDIPNASKKFTSYVEHAQKKGWLLPSFGSSAGADSSLLLSAAS >KGN64651 pep chromosome:ASM407v2:1:7423290:7423532:1 gene:Csa_1G073105 transcript:KGN64651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGSDTGCWNDRGDKLNNFFDFRWATSIVPCWCFPFVTQLRTSGDNSESANANKVIAAKSRDAVKPSKSKQYISSIKFG >KGN65102 pep chromosome:ASM407v2:1:11731273:11732984:-1 gene:Csa_1G220860 transcript:KGN65102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKTLYDFFLQYFTNAALARTSVATTTILFSTSGLFTLILNACLERQSLTIVNVVAVIVSMAGVAMTTVGKTWARDEPQSSSSGHGKHSFVGDAFALLSALTDGLYYVLLKRYAGEEAEKVDMQKFLGYVGLFTLTTLWWLFWPLRAIGIEPKFMIPQSTKVVEVVLANCFVANFVSDYFWAMGVVWTSPLVAALGASLTIPLAMVGDMVLHGRHYSLVYIFGSIQVFLGFIIANLSDWISPKLKLRKKFLNEGK >KGN66523 pep chromosome:ASM407v2:1:24523912:24525718:-1 gene:Csa_1G617390 transcript:KGN66523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPNGDLPLSSSTFMIILFYGSILVVAFILFYAKRRNKYCVGSTAAALPPGPTPWPIVGCLPTIWRKKPRYRWVHKIMEELNTEIACIRLGKIHVIPVTSPELAIEFLKTHDSVFASRPITVTTDIFSDGFLTAGVAPWGNQWKKMRRILTSEILSPARHRSMLSKRTEEADNLLRYVFSLTKTTPTSVNVRSITQHYSGNVMRRMMFNRRYYGKGRPDGGPATEEEEHIGALFTMLQHVYAFCVSDYMSCLKAFDLDGHERIVKKALNVIRKYEEPIIDERVQQWRDGKRKETEDMLDIFISLKDGNGEPLLSVKEIKAQITLPPGVAAIELSESHQLFLKDPMFALAQPRLPESLYPNFEIEKKEF >KGN66657 pep chromosome:ASM407v2:1:26147300:26148244:1 gene:Csa_1G654860 transcript:KGN66657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNKPLAILLLIISIFLPLCFSLPLIQVSPFAFLNDLQGCKKGDNVKGISKLKNFFRYYGYLNHQINATGHLIDIDANDIFDDRLESAIKTYQQYFHLNPTGSLNAETLSQLATPRCGNPDIINETTGRMLSEDIDNVSSHDHHHLPHAVSHYAFFPGRLRWPSTKYRLTYAFLPGTRADAKAPVARAFATWARNTHFKFTLVTNYRRADLKIGFYRGNHGDGYPFDGPGGTLAHAFAPTDGRFHYDSTEKWAVGAVRGRYDLQTVALHEIGHLLGLGHSTVKNAIMYPYIKSGSTKGLNVDDIKGIKVLYNRR >KGN65224 pep chromosome:ASM407v2:1:12928207:12932039:-1 gene:Csa_1G267200 transcript:KGN65224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQALTDYERQRLENIRRNDEMLAALKLQSKASELSAASKRQRVETKSEKVYPKTKPKKETPMVLRRSLRARGIPPDAKKLVDIDDLTESATKIRKSETKSMSSPRVLGPLEMVEVCSERESHPSLIESILGVLSKSLLSRSGKEELVDDVKEFKMGGRNGNFSNEVEIEGGGDGNCLKMDPIDNYSNLIKRVTEGLISDVKDPLLSSIKMEHKNDGSCLKPASLVLNADNIARVVPGRIMAVRFFPCLDSKMIVVGNKFGEVGFWNADHEGEEGNGVYLYHPHSGPISGISIQRHALSKVYTSCYDGFIRLMDVEKEMFDLVYRNEDTIFSLSQQSNDANCLYFSEGRGGLNIWDKRTGNCTMEWTLHEDRINSIDFNVGNSNIMATSSSDGTACIWDLRSVSDEKPQTLKTITHKKAIHSAYFSPSGRFLATTSFDDTVGIYGGVNFKDTSLIPHDNQTGRWISSFRAIWGWDDSYIFIGNMKRAVDVISRAYRKRVFVLQSPKISAIPCRFDAHPYDVGTLAGATSGGQVYMWTMSPDI >KGN64684 pep chromosome:ASM407v2:1:7628456:7630567:-1 gene:Csa_1G074400 transcript:KGN64684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNPIRCQPTPQSNRKFVERNRRKEMKALFSTLNSLLPNQTSMEAPRTVPDQLEDATNYIKELQKNIKKLKEKKEKLMGMEEDEEAEGRRRRRGYEDETKPKLSVHVKAHQIGSSVEVFLTTGSDYHFNLQQVLRLLQDNGAEILNVNQSMFTDRVFHKITAQVDGEGILGGGDGERICETVKKYVSEYKDGECSVEGNGGEDF >KGN64929 pep chromosome:ASM407v2:1:10220519:10223487:1 gene:Csa_1G163140 transcript:KGN64929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLQSDALREAISSIFTDSNEKKRKFTETIELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKICMLGDASHIEEAEKIGLEYMDVEGLKKLNKNKKLVKKLAKKYHAFLASESIIKQIPRLLGPGLNKAGKFPTLVTHQETLESKVNETKAMVKFQLKKVLCMGVAVGNVSMDEKQVFQNVQMSVNFLVSLLKKNWQNVRCLYLKSTMGKSYRVF >KGN66421 pep chromosome:ASM407v2:1:23538453:23538788:-1 gene:Csa_1G604070 transcript:KGN66421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATCRNSSSLSFSDQWLLATIALIIFGFLAYAVYDAAVASASEVFQRLVVVSPLLLVVAVHWLSLGRDGGYNNNHSAVGFPWGVGFLLLLLLFPWSVAILLLLLYFFFLTN >KGN66729 pep chromosome:ASM407v2:1:27000576:27003493:-1 gene:Csa_1G665900 transcript:KGN66729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLHLLQPLTFLSSHSVPLFSQYSNPISFRPSFPKKPFPLKKSLTLSFALTESDSPKSLQPNPELLLQELADSFDLSRDYFEKLPRDLRLDLNDAAFDLSNGPVVDECGQEMGEILLNLSRAWEAADTSTSHALVSKFPTLVQSLTDNYKSGFGKRLISAGRRFQSMGQYGQGELQKIAEVMNTTGKLLSALSTPKVAEEPKIETRMFKFGELQVELTAEKANIGAAIGFVFGVISWQLSQGVQSVSESSLQYANENALLLAKSLRGALLAVSYSSAVLSAFTTVGLILLARQLKSKEE >KGN64865 pep chromosome:ASM407v2:1:9484969:9485238:1 gene:Csa_1G132750 transcript:KGN64865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTARRKLGVMIVDLFSNLFSEHQRPTSYEKKTKNQAGGEGFAKKKVGTKYKIGWGATTIVEKKYVGMGVAHRGLVREEGGRKLPGVVFY >KGN64297 pep chromosome:ASM407v2:1:5227452:5228180:-1 gene:Csa_1G045950 transcript:KGN64297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDRDGAFKELESIDGADALLTSKRYSCFCFPCFGPSRSGSDELSWWERVKTKAKSTKFDSEDHHWWTGGIRSLKKLREWSEIVAGPRWKTFIRRFNRNRPATVKLGKFQYDPISYALNFDEGHNGDVDFDGDEYNTGGGFQNFSDRFAAIPPAPVKSSSSAAVNG >KGN64952 pep chromosome:ASM407v2:1:10395110:10400576:1 gene:Csa_1G166810 transcript:KGN64952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLSMVTCFTGKQITPPSRFLSRTFTSLPHFQKSKPIRAVSEIAEEDVLQAFFEERKLNSDFISKTSDMLWQRAVLKFEDVTDDRFMDTSQGLVDDNDDDGGFLKLSVTQKWISGGNSAPINKKAGNKILSDDRERKKKLNFLKYEALKRELMLLSVGIGTACSGYCLIVFSFQAAISYAVGVLSSCLYLQLLYQHADKLSKDMIPDIFTQKKTKKIGIRSEDIKNVVEKLVKGSGVALSSPRLMIPAAIYALWILSHKFLANDFFDFQLTPAMLGMFVYKAAALVQVYRENENLRLVFPENDGDST >KGN65595 pep chromosome:ASM407v2:1:16744033:16755206:-1 gene:Csa_1G467150 transcript:KGN65595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVTKSFFVLIFLVVDCFMFFGFANSKVPQEEVDVLQQITRTLGAVYWKFNSDSCVVEMFGVAEKSPRGSETNIDCDCSIENSTFCHVVRIELKNHNLPGVLPPEIVKLPYLKEVDFAYNYLHGNIPREWASTRLTTISLLVNRLTGEIPDALWNITTLTSLNLEGNQFTGAIPSQLGRLSNLQYLLLSSNQFNGTIPTTFAGLKNLTDFRINDNNLNGSIPEFIKNWILLKRLELHASGLQGPIPSKISILRNLQELRISDINGPKQDFPELTNMTGMVRLVLRNCNIAGKIPSYVWKLPAMEMLDVSFNQLTGEIPEDISMERIRFLFLTGNMLSGNLPESILMDGTNVDLSYNNLKWQGPGHHACRKNLNMNLNLFRSSSNSNTLQENLPCLKDSICSKYSKCWFVNSGGNDLTMEVNNRNILYNGDADIEGGTAKFYIDQDSYWGLSSTGDFMDDFDHQNTRYTLSLSSSNLSELYSTARRSPITLTYFHRCLENGNYSVTLHFAELQFTNDKTYKSLGRRKFDIYIQDRLVLENFDIDEKAGGAQKPTEMQFAYISVFNHVLEIRFYWAGKGTTRIPERGVYGPLISAISVYSDLKYCPIRESSKKKTVALVVGITVGLLCLATIIIVGLLWWKGSLKVIRRSKGGTDLAGIEGQLVDGTIVAIKQLSSKSRQGNREFLNEIGMISCLQHPNLVKLHGCCIEGDQLLLVYEYLENNSLARALFGPDHSRLNLDWPTRLRICIGIAKGLAYLHEESSLKIVHRDIKATNVLLDGELNPKISDFGLAKLNDEEKTHITTRVAGTIETCVCLLDWACHLQQFGNVMELVDEKLKSEIDMKEAENMVKIALLCTNASPSVRPAMSEVVNMLEGRMKIPDLIPEPSSYNEDLRFKAMRDMRRQQQSQSLSESQTQNSTMQTCESSSTSGNEFYNVNPTSRSSTT >KGN63539 pep chromosome:ASM407v2:1:615237:617749:1 gene:Csa_1G004050 transcript:KGN63539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPRRRRRPPLLLLLLQLCIFFLFFFSSYAVDFFFNSFSNESNGTDFILISDARFDSPVIRLNNDSNQNSIGRVFYHTKLTMKPTSNFSKISSFSTSFVFSILLEDATSPGFGLAFVLSNSTSPPGVIAGQYFGLFTNSTTHVVAPLLAVEFDTGRNTEFNDPDDSHIGIDLNSVLSSKIHGAGYFGSNGQFIPIQMRNGQNLRAWIEFDGANFEINVTIAPIGIPRPSIPTLSFRDPLIANYVSTEMFVGFSASKTKWVEAQRILAWSFSDTGVARDINTTNFPVFMRESPPSPLSPGSVAGIVIGCVLFVIIVSGFGFFWYWRKNRAKEEDEEIEDWELEYWPHRFSNEELSQATDKFSEENLLGSGGFGRVFKGTLPNHTEIAVKCVNHDSKQGLKEFMAEISSMGRLQHKNLVQMRGWCRKKNELMLVYDYMPNGSLNRWIFDKPTTLLSWKQRRRVLGDVAEGLNYLHHGWDQVVIHRDIKSSNVLLDSEMRGRVGDFGLAKLYQHGETPNTTRIVGTLGYLAPEIATVATPTAASDVYSFGVVVLEVVCGRRPIELAAEEEEMVLIDWVRDLYSAGRLIAAADSRIREEYETEEIELMLKLGLACCHPNPERRPTMREVVAVLIGEQPSAESVELLSGLAGGMVDDTRNVDI >KGN63939 pep chromosome:ASM407v2:1:3203619:3204008:-1 gene:Csa_1G030650 transcript:KGN63939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSTSALNQPLFLSVLPKALENTLLRKGLIYSNIFNVVDDGKKALFLTMGTVVMPVGSCVLRRNLKEEQFVDLPSIYFPSGSLRRLLEVEERDRRHLLI >KGN65581 pep chromosome:ASM407v2:1:16618724:16622108:1 gene:Csa_1G464540 transcript:KGN65581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVEDTIKATSAEDLSNSIANQNPRGRGGEEDEELEEGEIVGDDDLDSSNLTAALVHQPHPLEHSWTFWFDNPSAKSKQATWGASMRPIYTFSTVEEFWSVYNNIHHPSKLALRADLYCFKHKIEPKWEDPVCASGGKWTVNFSRGKSDNGWLYTLLAMIGEQFDCGDEICGAVVNVRSGQDKISIWTKNASNEAAQASIGKQWKEFLDYNESIGFIFHDDAKKFDRHAKNKYMV >KGN65459 pep chromosome:ASM407v2:1:15452915:15453487:1 gene:Csa_1G423170 transcript:KGN65459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKDHTLHRLSEKPFSLLQANQLFVHKILSRNSSFGRSARLPACGLPGQVPFNWEAQPGLPKNQPSDNPPPVKLPPPSSSALGLSKTPHVVPKQAPVKIWFWNKQRRKSRRAVKKSGALGSSSPRRHVDRDSEFCRKKSNDESSSSSSLSCISYSMSSNSSSASSSDRYNNRRRYKLGSLAKEFIRWAF >KGN66655 pep chromosome:ASM407v2:1:26133155:26133334:-1 gene:Csa_1G654845 transcript:KGN66655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAFKPLVDPDSIVGYIIAFLTLLCPSPRRCPISCKATVSKSNLPLTAPTTHFSVESK >KGN63431 pep chromosome:ASM407v2:1:37706:39576:-1 gene:Csa_1G000550 transcript:KGN63431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHANAMDMSIQWLVFIPQEQKFTRVIIGLDVPGTLFCSGEYNRYIKEREKLKVDPKRGKRALCSDESEEENPFPIYSARSEYDTSAMVSALTQVITSGSGSGSGSSRSLSVVEEPAASARGDNEEGVKRESRHYRGVRQRPWGKWAAEIRDPKKAARVWLGTFDTAEAAALAYDEAALRFKGTKAKLNFPERLTTPPSYPYAPYHHQDDYQNHRF >KGN66167 pep chromosome:ASM407v2:1:21612104:21614921:-1 gene:Csa_1G574840 transcript:KGN66167 gene_biotype:protein_coding transcript_biotype:protein_coding description:Guanine deaminase MADSSTVVEAIDGTISVAFGCHQEAVQDRDHKFLTQAVEEAYQGVECGDGGPFGAVVVRNDEVVVSCHNMVRRNTDPTAHAEVTAVREACKKLGKIELSDCEIYASCEPCPMCFGAIHLSRIKRLVYGAKAEAAIAVGFDDFIADAIRGTGFYQKAHLEIKKADGNGAVIAEQVFEKTKEKFQLY >KGN64189 pep chromosome:ASM407v2:1:4598345:4603069:1 gene:Csa_1G042950 transcript:KGN64189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLDENVPSSSEVEAMNKRRKRKTPKKNPLSTATEESELQNPMKGDEEEEEEGEGDAEGNVPEENMKNKKRKTKTKKEGHEDTGDGKVEEAVEGQVEKGEEKKNQKKKVKTGGSGIMSTVSFDSLELSENTLRAIKDMGFEHMTQIQDRAIPPFLAGKDVLGAARTGSGKTLAFLIPAVELLQRISFTPYNGTGVIVICPTRELAIQIHEVANELLKYHSQTLGIVTGGSSRQAEANHITRGVNLLIATPGRLLDHLQHTKNFVFKNLKCLIIDEADRILETNFEEEMKQIIKLLPKNRQTALFSATQTQKVEDLVRLSFQSTPVYIDVDDGRTKVTNEGLQQGYCVVPSAKRFIVLYSFLKRSLSKKVMVFFSSCNSVTFHADLLRHIKIDCMDIHGKQKQQKRTSTFFAFNKAEKGILLCTDVAARGLDIPAVDWIVQYDPPDEPKEYIHRVGRTARGEGSKGNALLFLIPEELQFLRYLKAAKVPVKEYEFSDKRLANVQSHLEKLVGSNYHLNKAAKDAYRTYLLAYNSHSMKDIFNVHRLDLQAIAASFCFSNPPKVNLNIDSSASKLRKKTRKVEGSRNRFSESNPYGKKNAEDERQFVRY >KGN64144 pep chromosome:ASM407v2:1:4356170:4357460:-1 gene:Csa_1G042540 transcript:KGN64144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSVLLFSFTFLFFHPHLCFADDAHDEFKACGVNYNCGELVNISYPFWGNERQSFCGRRQFRLTCKDNETTTIQIHSRQYIVVNISQSDHRMTIARAELFDDYCPNNEIEAATLDFSLFKYSSNDLNLSVWYDCPVLPGTQNLSFECGSEGEKSGRMNYALDNIEAVSLSPLSVGCRIKIEVMITSKLFKEGKRNRTMVVERGMKEGFEVEYGDFYTVACEGCKEYGGGVCSRNETEEFLCKCENGELHPFVCSPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPGMFNFFLIASYSYRLRQWSALEKLVLYG >KGN65708 pep chromosome:ASM407v2:1:17913741:17915174:1 gene:Csa_1G505930 transcript:KGN65708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAEVGDGGGGVGGGGGGPPVSSRWNPTKEQISILENLYRQGVRTPSADQIQQITVRLKDYGHIEGKNVFYWFQNHKARQRQKQKQQHHHHHFNSSFPFFNHFLHFNHNNSPFPPPPPPPPPNNVVCSPYYVHQNDVGLYPQYQNNSMVIQSGGIETKRSKSERRNCIININEESTINDNNLSYQTTETLSLFPTHPTGDLQASPQSPPPPSMVPTSASDEISVDDSAHGRQPYFEFFM >KGN66851 pep chromosome:ASM407v2:1:28251642:28258190:-1 gene:Csa_1G701270 transcript:KGN66851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDLPISVMGSNFKLNADPLSGEEDSDSTETRVGISHVRSASFHSRSGSSTPRNRQISPTHSPRLPPSRFGSFDKFSSDVQHASSFSGLNIFGLLSDHSSDKFKVDKRVKKRAGTVWYQRKRIKGILLLILLAGLFFFVNWVMLLRLQEQDDRIQQYDANAVNSNPEYSGNVSSSRISVQGKRKASRNKWPKGNYGRLLALAAHALAEGESKPEPKDLWQEPVVASSAWKPCADKRNLEPIERNIGYIMVTANGGMNQQRVAVCNAVVLARLLNSTLVVPRFLYSSVWKDVSQFSDIYQEDHFINYMNPDIHIMKELPDNLRSLDLEAIGSVVTDADITKEAMPRFYLKNILPILIKNKVVHFLGFGNRLAFDPLSFELQRLRCRCNFHALRFVPKIQETGALILQRLRQKEGYPGPLDKHLVGSYAESTIKEKKARSTKSSKYLSVHLRFEIDMVAHSLCEFGGGKEEKEELEAYRAVHFPALSLLQKTTKLPSPEDLRSEGLCPLTPEEAVLMLAALGFKRKTKIFVAGSQIYGGSTRLTALTSLYPNLVTKEKLLSTTELEPFKNFSSQLAALDFIGCTASDAFAMTDSGSQLSSLVSGYRIYYGGGRMPTIRPNKRRLASIFSRNNTIEWRIFEIRVRKAVRQTKHVLTRPRGRSVYRYPRCKECMCWTG >KGN63979 pep chromosome:ASM407v2:1:3476139:3478656:1 gene:Csa_1G032490 transcript:KGN63979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEGPSYLISRDLPSSCEQESKWVYNTFRVIEMTNKKHHLEDMEQPSAKKLCKLIDGAHNERADLNLPATLVDDQDKQHCGGDQSDSGSLIHQLGRDMSINCLLYCSRSEYGSIASLNRDFRSLITSGELYKLRRRMGIVEHWIYFSCSLLEWDAYDPNSNRWMRLPIMASNECFMSSDKESLAVGTELLVFGKETMSQVIYRYSILNNTWSSGMNMNTPRFLFGSASLGEVAILAGGCDPKGNLLNSAELYNSETGTWVTLPKMNKARKMCSAVFLEGKFYVIGGTGAGNTTLTCGEEYDLKTQTWREIPNMYPGRNAGDGAGVPVAAVEAPPLVAVVNENLYAADYAHREVKRYDKARQLWVAVGRLPERVVSTNGWGLAFRACGDRLIVIGGPRALGGRMIEIYSWAPDQGQLHWGVLASRQLGNFVYNCAVMGC >KGN63605 pep chromosome:ASM407v2:1:1107571:1110314:-1 gene:Csa_1G005660 transcript:KGN63605 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pollen-specific protein SF3 MKMAFLGTTQKCKACDKTVYLVDQLTADNKVYHKACFRCHHCKSTLKLFNYSSFEGVLYCKPHFDQLFKMTGSLEKSFEATPRTVRTDRSTNQVQTNSKLSSLFAGTQDKCVTCKKTVYPIEKVAVDSKSYHRACFRCSHGGCVISPSNYIAHEHRLYCRHHHNQLFKQKGNFSQLDKHEEIKGSD >KGN64818 pep chromosome:ASM407v2:1:8966348:8976997:1 gene:Csa_1G118870 transcript:KGN64818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHIIAPPPPHSPSQFLKKKLSGQRKKEELEREVLMLQKLLNQEEKMHEILEGVSKQQNGSAIGISNLLPPKVKEMLAELAMVESEIARLEIQITQLQKDLKFEQQQTTKSKQWSSEQQPQTNNNKPPLNWNPISKTTFDTKALHFISKAIKGDYAPLNHHFKLDTSKNNELDPRDAKDSHHPLHEVKLHERSVSRKSGLLVASSPLRDPRHPSPKQRERNPLDIPLPKSIPMLTQAEENIQNWHPNKLSESIMKCLNFIYVRLLRASRTMELEKSGPISRSLHYSSLSSRSFRVENGLNSSLSAHKELRQQDPYGIFENEESLPRDIGPYKNLVIFTSTSMDPKSISSATFIPLMRKLRVLMSNLQKVDLRPLSYQQKLAFWINMYNACIMNVNSYQLCYNKRMIFFVGISSIWSSFVSRKTSHFDEQGKKPMSINKEDDNKEAIVRKLYGLESSEPNVTFALCCGTRSSPAVRIYSGEGVGVELERSKLEYLQASVVVTSSKRVAVPELLVRSLPEFSSADMKTVVEWVCHQLPTSGSLRKSMVECFRGHPKTQPTIDTLPYDFEFQYLLPL >KGN66485 pep chromosome:ASM407v2:1:24105954:24110969:1 gene:Csa_1G613570 transcript:KGN66485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKTGGTEKKRVRRQSTAVQNAAKDPNSDTPPRKQAAKKDVFQLFSEKVRDHKDLESRWAVLQETRVEYFRGKDFVSFLRNHPELKDILDSDKNLETEDIGNALLSKNLLVRCDRVVKTVRPGKRKLSTWPAHLEIFPDQVFSEQDAFFAWTFVKRRPFWQTLLSFCWPVLTLAICLFPVYPHQCKLLILYSCAGVLLLILSLLLLRGAVFGLSYILLGKRMWFFPNILAEEATLRELFRFWPSKDEEEKPKWTTRLFYAVVAVLIILLLRHHAPDEAARARYQKRVSNIIDDVLEWSPRLALSGMMEKQQTVVNATNPDPNTAENPTSDSTGPDSIPDQPEPETIDYVEHSDQYNHDGDHTHQ >KGN64660 pep chromosome:ASM407v2:1:7471321:7472812:1 gene:Csa_1G073680 transcript:KGN64660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNICGYYTSNSLPSQGSFNSIELTCFSLFFPARVTGIVSFDVHFLPPNSIRHRDLPEGFYILRWKENGRAEADFGRYNVNTVLSRIYTLFSRSGIPIAKKHQEIMNMVDE >KGN66796 pep chromosome:ASM407v2:1:27795572:27796665:1 gene:Csa_1G690330 transcript:KGN66796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFALSSHFPSLSSSLINLLHLFAFLPQSSSLSDHYNLAPFSYPAIKSKIIFVLSEFKFIMEVLHLVQLSSSTYSKPGFSRNPFEVLMEKEVCRELASSHVCRKSHPQVSKFPEKHKTAISDDEEIERSNLMKTEKVSVKPKLLPNKKSKEVEKVFKEDRERSRQYN >KGN64790 pep chromosome:ASM407v2:1:8576208:8585574:-1 gene:Csa_1G097685 transcript:KGN64790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDVEGSPGSSMHGVTGREQTFAFSVASPIVPTDTTAKFALPVDSEHKAKVFRIWSLANPHMRTFHLSWVSFFTCFVSTFAAAPLVPIIRDNLNLTKVDIGNAGVTSVSGSIFSRLVMGAVCDLLGPRYGCAFLIMLSAPTRGPRRSHTAPITRREKILPETDVTPAFPMSTFVRLRLSRMMGTRGAAAKVDTKHVKKEIQERWKVLMWGLARDHILKTLALCSESTGNANLAVVSVGTIGEATENAKVCSLPVTPCIELPGEPSTSPILLLFWL >KGN64094 pep chromosome:ASM407v2:1:4030642:4033102:-1 gene:Csa_1G041560 transcript:KGN64094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMHIHIRASSIPRHHHPTPSLPSPSHRSDSKSQFRPISVSLPASTTLSLLALFSSPYEAKALNKDQIVSSLNEVEKTFDQVQEMGSNFFDIAQQAIESAKTVLKPGVDAALPIVKQAGEEALKVASPTISEASKKALEALQDSGIDTEPVLSAAKTVVGAAQQTGKVIEGAKPIASSTVETISTTDPLVIAEIAGVLALAYLLFPPIWSAISFNFRGYKGELSPAQTLDLISSSNYFLIDIRSEKDKDKSGIPRLPSSAKNQAIAIPSEDLPNKLRGIVRNVKKLEAELSAIKISYLKKLNKGSNIVILGSYSDSAKAVAKALTSLGFKNSWIVTDGFLGSKGWLQSRLGTDTYKFSFAEILSPSRVISSGTKRFGTTSLTSTSSQKLLPGAD >KGN63522 pep chromosome:ASM407v2:1:544419:546125:-1 gene:Csa_1G002910 transcript:KGN63522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANHKHFSAVLNVLKATIVLLLQCTKIRSVVGVSLATQTAGRWKLLMNNTGVIAMHMALTHYDTVVIFDQTSAGPSGYRLRRRFGGKICTTSPADMVDPNCYAHSVEYDISKNQVRALQISSDTWCSSGSILSNGTLLQTGGYGSGARRIRNFRPCKDHHCNWSESNKLLSNARWYATTIVLPEHDRLFVVGGKRAFNYEFVPKMGKEKSYDLPFLHRTFNSREGGNNLYPFVHLSSDGNLFIFANRDSILFNYRRNKVVKTFPRIPGGGGRNYPATGSSVMLPLDHRNKFQVVEVMVCGGSATGAYRAARRGQFMKGLRSCGRMVITGNRHKWNMENMPEPRLLHDMLILPTGNILIINGAKTGCAGWGNARNASLRPYLYKPKNQLNRRFSILRSTKIARMYHSSAIVLTDGRILIAGGNTNKNYTYINVPYPTELRLQAYHPHYTESKHNNQRPRNVTIHYARGDYGIKYGGEFRVRFKLGRRRKEDAIEFNIYSPPFATHSFSMNQRLVKLRRKIMAREGNGEWMSAIVEGPPSANVAPAGYYLFTVVYGGIPSVSRWIRIIHS >KGN63560 pep chromosome:ASM407v2:1:757308:761377:1 gene:Csa_1G004270 transcript:KGN63560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNKPVVLTGLMDDWKACSDWVDENGQPNLSFFSTHFGKSRVQVADCSTKEFTDQKRVEMSVSEFIDQWCKEPIQEHGLSSNNGLTDKSVLYLKDWHFVKEYLNYTAYSTPHFVCDDWLNLYLDSYRMHRDPDSYQEKDEISCSDYRFVYMGAKGSWTPLHADVFRSYSWSANVCGKKQWFLLPPSQSHLVFDRNMKACIYNIFDDISEYLFPGFKKATWLECIQEQNEIIFVPSGWYHQVHNLDDTVSINHNWFNSYNLCWVLDLMLRDYNEAKEYIEDIRDICDDFEGLCQRNLAANTGMNFYDFFIFLARFSLANSVVLDTLARDAENIAGIGSISPIIQQLVQNLSSIQKIALKMKFLECFSGDQGFMLDLVETHKNPKFSKLCYSLIRTCESIHNRQVLSFCSKTVATQDSRTSIVIQNFCDAVSSPQDLVKYIDTVLTEHTSIESSE >KGN66719 pep chromosome:ASM407v2:1:26831601:26849821:1 gene:Csa_1G662830 transcript:KGN66719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVEDHKRLQPNMRTYALLVECFTKYCVIREAIRHFRALRTFEGGTTALHNEGNFGDPLSLYLRALCREGRVVELLEALEAMARDNQQIPPRAMILSRKYRSLVSSWIEPLQEEAEHGFEIDYIARYIEEGGLTGERKRWVPRKGKTPLDPDADGFIYSNPMETSFKQRCLEDWKMYHRKILKTLQNEGLVALRDASEADYHRVVERLRKIIKGPDQNVLKPKAASKMIVSELKEELEAQGLPIDGTRNVLYQRVQKARRINRSRGRPLWVPPVEEEEEEVDEELDELISRIKLHEGNTEFWKRRFLGEGLYSNNVKPSEDDKSDPLDSLDDVDTIEDVAKEIEEEEAEEEEEVEQTENQDGERVIKKEVEAKKPLQMIGVQLLKDVDQPTTTSKKSRRRSSRASLEDDRDEDWFPEDIFEAFKELQKRKVFDVSDMYTIADVWGWTWERELKNRPPRRWSQEWEVELAIKIMHKVIELGGIPTIGDCAMILRAAIKAPLPSAFLKILQTTHGLGYVFGSPLYDEVITLCLDLGELDAAIAIVADLETTGILVHDETLDRVISARQTNDAMPKPDSAIDTTLNDHSLANDEAS >KGN64447 pep chromosome:ASM407v2:1:6178118:6179943:1 gene:Csa_1G051840 transcript:KGN64447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWGVLLVLILASATAVSWAEKDFEDDGEEYPSLEIPQLKDFSAFGDFLTYGFYQKSCPGVEGIIHRKVKQWFDKDNTIAAGLLRLHFHDCVVRGCDGSILLDYEGSERRAPASKTLRGFEVIDDIKAELEKKCPKTVSCADILTAAAREATVLMGGPYWMVPYGRRDGVDSIAKETELVPMGIEDITSLIELYQSLGLNVLDLVVLSGAHTIGRATCGVVQERLYNYSATGKPDPSLNPKYLNFLRRKCRWATDYADLDATTPNKFDNAYYSNLPKKMGLLSSDAALYTDSRTSPIVKALAYQPSIFRHQFAVSMAKLGNVQVLTDLFEGEIRTKCSCRNSP >KGN64833 pep chromosome:ASM407v2:1:9176404:9176706:-1 gene:Csa_1G123480 transcript:KGN64833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYIYTYNIYAACKKEGVFVVIQMKWVDGGGYMEMNGTQYKLKQCHWHSPSEHTIDGKTFDLEAHLVHESSNGMISVIGILYQIGEPDYFLSTVSCLIHN >KGN64451 pep chromosome:ASM407v2:1:6201297:6204443:1 gene:Csa_1G051880 transcript:KGN64451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKHFINCYVSLVWLLFVILPSTTTVGDYTSNNNCSSIEREALISFKQGLSDPSARLSSWVGHNCCQWLGITCDLISGKVIEIDLHNSVGSTISPSSIRFGVDEKQPWKVPEDFEQEFLKTCLRGKISSSLLELKHLNYLDLSLNNFEGAPIPYFFGMLTSLRYLNLSFANFSGQVPIYLGNLSNLKYLDLSTWNLAFFEWPSLHVQNLQWISGFSSLEYLNLGGVNLSSVQASNWMHAFNGGLSSLSELRLSQCGISSFDSSVTFLNLSSLRVLDLSGNWINSSIPLWLSNLANISTLYLSANHFQGTIPHDFIKLKNLQHLDLALNSEISVIGDHPPISPQNLCKLRLLDLSYSSFKVKLEEFLDSFSNCTRNSLESLDLSRNEFVGEIPNSLGTFENLRTLNLLGNQLWGSLPNSIGNLILLKYLDISYNSLNGTIPLSFGQLSNLVEFRNYQNSWKNITITETHLVNLTKLEMFTFKTKNKQGFVFNISCDWIPPFKLKVLYLENCLIGPQFPIWLQTQTQLVDITLTDVGISGSIPYEWISSISSQVTTLDLSNNLLNMSLSHLFIIPDHTNFVGESQKLLNDSTPLLYPNLIHLNLRNNKLWGPMPLTINDSMPNLFELDLSKNYLINGTIPSSIKTMNHIGILLMSDNQLSGEIFDDWSRLKLVLRVDLANNNLHGNIPTTIGLSTSLNVLKLENNNLHGEIPESLQNCSLLKSIDLSGNGFLNGNLPSWIGVAVSKIRLLNLRSNNFSGTIPRQWCNLHFLRILDLSNNRLFGELPSCLYNWSAFVHGDDDDNVGLGLNYYSKAAITENDSEMVGFYISMAIGFPFGINILFFTISTNEARRLFYFRVVDRVNCNILQTIAFLAIGLRRMIIWRR >KGN65600 pep chromosome:ASM407v2:1:16764990:16768562:1 gene:Csa_1G467190 transcript:KGN65600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDNRPCSPTNSHAIGASDYPNSHLGSVSDDSASPLGYPKLDSFRELNASFGPQCSPIEKSGEFRESDSESGEIGKSCDQNRGNCFYYDSPLLEDTGVWIPISVPPMSESDHEEWAKGFHLNGGCFPEGDSGWSQCFEGEKELTMWDVMVEMLLAARGKVGSLASTSNVGCRLSWISSHMVEQALNELAHSLTEANFGNIREILEAEPPRWLSDSAASSCMLCGVKFHPIMCSRHHCRFCGGIFCGDCSKGRSLLPVKFRVADPQRVCDVCNVRLESVQPYLMDKVSNAAQLPTHDLTDLSTLRSWLNFPWGQSMEHEIYKATNTVRAYNKVGSLKPEKLIPDAILGQAKGLAIITAVKVGAVVTYNVGTGLVVARREDGSWSPPSAISSIGMGWGAQIGGELTDFIIVLRTSDAVNAFSGNMHLAVGAGLSAAVGVIGRTAEADVRAGDGGFGSCYTYSCSKGAFVGCSLKGSIVTTRTQENARFYGNQSITASDILLGSLPRPPAAAMLYRALTDLYQKINK >KGN66290 pep chromosome:ASM407v2:1:22527200:22528224:-1 gene:Csa_1G595910 transcript:KGN66290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLRVTRGTNIEVSFSVPGELISNQATNHTAVEEWFVKYVVPFIGEFTINYIVVGDKVIPGLDDNILPVMRSLQDLLNSRYLGQVKITTMVGLTALGVQSPPSSGAFDPNVLENMKGILKFLWGQGSPLMLSLYPYDAYAYTGYTNNISLGYATFTSQTEQNPPIRTDGDLSYNNIFDEMVDAFYAAIDKANVGDVAIAIGETGWPTNGNYGAGPSLALTYNLNFKNHITSGKGTPMMPNIYIEGFIRSLFNENEKPEGESQFYGMFHIDFTPIYPFVFIKIIWSYGCKI >KGN66346 pep chromosome:ASM407v2:1:22908064:22912685:-1 gene:Csa_1G599400 transcript:KGN66346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVLRRSQTVLNSLCRRQFSASPDGNLTTHAGKSMNLYSAINQALHIALETDPRAYVFGEDVGFGGVFRCTTGLADRYGKDRVFNTPLCEQGIVGFGIGLAAMGNRAIAEIQFADYIYPAFDQIVNEAAKFRYRSGNQFNCGGLTIRAPYGAVGHGGHYHSQSPEAFFCHVPGIKVVIPRSPYQAKGLLLSCIRDENPVVFFEPKWLYRLAVEEVPEDDFMLPLSQADVIREGSDITLVGWGAQLSVMEQACIDAEKEGISCELIDLRTLLPWDKETVEASVRKTGRLLISHEAPVTGGFGAEISASIVERCFLRQGEQNINVLHVVRPFSSLHNPIHILLLCLCID >KGN65077 pep chromosome:ASM407v2:1:11461271:11463002:1 gene:Csa_1G194720 transcript:KGN65077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKIPARFQRIAVAFEESSGSEHSPAAESCTDLSDLVKSFMERDYYFEGGDVFDDDGGGAAEKVEDGVDEWSVSEAMGQLRRLLGSGESNQEIRRNIVAEAELACRLLEEKPSSSGFKRKLMTHFRKNGFASGLCKTKWDKFGQFPAGDYEYVDVIVDGNRYIVEVFLVGEFDIARPTSQYVSLLKAFPQIYVGKEEELKKIVKVMCRAMRESIKSKDMHIPPWRRNGFMQAKWFGSYKRTTNEVPTKKPSLKLGQNQNPFAAKRSSLGFEANLPLKTYQYCRGGFGRRNSNNNNGIKVGHLSAAFEGQGML >KGN65961 pep chromosome:ASM407v2:1:20113893:20114183:-1 gene:Csa_1G545010 transcript:KGN65961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFTRKRNEKKLVVDYEKKLVVDYEKKLVVDYEKKLVVDYEKKLVVDYEKKLVVDYEKKLVVDYEKKLVVDYPRVQMGSIW >KGN64770 pep chromosome:ASM407v2:1:8440232:8445807:-1 gene:Csa_1G096050 transcript:KGN64770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPDLELISTINEIDDQSFLSLCFGPSVSTRTWLLNNAEKFQLRPSLLFTVFLGFTKDPYPYVRKAALDGLSSLGNNVFEDGSMIEGCYCRAIELLNDMEDCVRSAAIRVVITWGLMLAAHSPERKQQLFDEIFVNLCSMTRDMNMKVRVNAFDAIRRLEIVSEDLLLQSVSKRVLSIFKGKKSLVQCSTDQLELLALNVAGAFVHGIEDEFYQVRRSACDALFNLIILSTKFAGEALSLLMDMLNDDSVSVRLQALETLHHMAMSNCLKLQEAHMHMDESDVLSVLFHMGQNHLNMVDCIIKDVSEQIDPKSEGKLEFDSVKVIAYIVLAISALASDNHTLRIPPRIFSYAATLLGRISHALGDIMDQSTIFAYLLHNSKHIGLSDLGFNSEGVSCSATCGSSVNDIPAIASLKIPAMIHEQQQKDDDAIESVKTILLKVQDIWPLIQSGVLHEALRTLRFCKEALGVFTYGTNKYNGALAFTLQYLKILKLVAKVWSLMSSKRSYPRRTGEWGFLLGKLERGLKELRSRFTGLTKEEEQHILELMLVTCILRLSNGEVCCHLTALRKLSTIASNIQHLLKEECKEPSTFVCEVQRSLSNLGTITPKSLCSSLDLREMLKSFTLGHLEISEELKHIKAELVISDNNYEKPLYFVPGLPVGIPCQIILHNVPSERKLWFRITMDNVTSQFVFLDFLSLGGCDEVREFMYTVPFYRTPKASSFIARICIGLECWFENAEVNERRGGPKCDLAYICKEKEVYLSMIHKG >KGN65645 pep chromosome:ASM407v2:1:17187879:17190673:1 gene:Csa_1G478040 transcript:KGN65645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPSLSLYYYSYIHELRFLSLIRGFLRSKSSRKRFRFPSHPSSDTLFPEIEQSFIVRKYDHDELPTSSSALQRTVKCLHFGDGDEKERAAKEIERLIKKESGNSKVRRVIVDLGVIPALVAMADSDHFAVKALIQLANHTFLNKTLMLEEGILTKLPRKDSSTHEFPELLLSLSCLANTQLFLASTEPIISYLLTILNSLESNSQSKTFCLATIFNISTILENTETLISNSVIPTLLKFSIIKEFSEKALPTLANLAVTSKGKHALETNSKFSEILIEILTWEEKPKCQELSAYIIMMLAHQSWGQREKLAKTSIIVPALLGLALLGSPLAQNRALKLLQWLKDERRARVTAHSGPQVGDGIVEVGSGFSEKEIEKGKRVMRSLVKQSLYKNMEIITRRANGGECSSSSIRRTLVSSISSKSLPF >KGN64966 pep chromosome:ASM407v2:1:10499928:10500829:-1 gene:Csa_1G168920 transcript:KGN64966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIHDLNTNHKSIQIREVWASNLESEFRLIRDLIDQFPCVSMDTEFPGVIFPQQDIPKSPNHPSHHYQFLKSNVDLLHLIQIGITLSDSDGNLPDLGTGDTRFIWEFNFKDFDVARDLHAPNSIELLRRQGIDFDRNREEGIDSSRFAELMMSSGLVCNDSVSWVTFHSAYDFGYLVKILTHQKLPKDLEQFLGVVRLFFGDNVYDMKYLMRFCQSLYGGLDRIAKTMNVNRAVGKCHQAGSDSLLTWHAFQKMRDTFFVQTEMHKHAGVLYGLEVF >KGN64320 pep chromosome:ASM407v2:1:5374017:5377780:1 gene:Csa_1G046170 transcript:KGN64320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSSSLSPISLPPSFTSHSEATSLCSTTFGFLNPPRRSCSKFRGLNSHLLPSISPSRTVVAVDVSSAGVANASGPVTSFSNLIESLINRVDLSEDEAEASLQYLLNDASEAAISAFLVLLRAKGETYEEIVGLARAMIKHSVKVDGLFDAVDIVGTGGDGANTVNISTGASILAAACGTKVAKQGNRSSSSACGSADVLEALGVVIDLGPEGVAKCVDEVGIGFMMAPKYHPAMKIVSPVRKKLKVKTAFNILGPMLNPARVPFAVVGVYSENLVSKMANALQLFGMKRALVVHSEGLDEMSPLGPGHILDVKPGKVEKFSFDPLDFGIPRCTIDDLRGGDSDCNAKVLKRVLSGEKGHIANALILNAAASLLVSCKVNTLSEGIELARETQQSGKAMKTLDLWIKLSNKL >KGN65767 pep chromosome:ASM407v2:1:18399357:18400235:1 gene:Csa_1G527350 transcript:KGN65767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEVPNLHPLRYKDLPFSVTSDVSKMAEVILKMYNITTSSAVIWNTIPWLEPSEFTQIKTRICNQVPIFPIGPIHKISPTSSSSSLLSEDSTCLSWLHKQAPNSVIYVSLGSIAILTNQELQEMAWGLANSNQPFLWVVRPGSIKGSDGIGFVLEEFQVKVGDRGCIVDWAPQKEVLAHSAVGGFWSHCGWNSTVESLSLGVPMLCRPYSGDQRGNSRYICCVWRVGLGLEGDELKRNEVEKGIRKLMVEEEGRKMRERAMDFKRMIEECLREGGSCSRNLKELVDFIMSF >KGN65968 pep chromosome:ASM407v2:1:20204470:20204952:1 gene:Csa_1G554550 transcript:KGN65968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKVSSFTHFLTSDPSPFPSLLLLLLIFTFHISKCRFFSYHHFPLLPLFYYNCVGNHKILCNPIPISSPRRLPSGGWALRAAPIALLVVVSWLSQKESAGVAARKWRSQGFRVSTISEHKAEYEEIGGCTPWVLVGMIVLLFNMAQRQALIRHCLFVRSM >KGN66889 pep chromosome:ASM407v2:1:28663072:28664737:1 gene:Csa_1G704840 transcript:KGN66889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIQEVIKYKIRVFTQLRSLALELNHFLKFFSMIDRYKHQQLRIGLVSPQQISAWANKILPTLQQVLPSTLISICRDSPPESSC >KGN66921 pep chromosome:ASM407v2:1:29139491:29143964:-1 gene:Csa_1G716250 transcript:KGN66921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLTHTHFPLPQKTMASGSSKVIRTPFPHSLPILILFLFTSFLPLPAASLPAVGLGYRIRSSHVDPAGKTLTADLDLIGTSQVYGPDLPTLTLQATFESKDRLRVRITDSTRERWEVPHHILPRPSSSLIRSLPENHVASPKASFISHPASDLIFTLHDTAPFGFSVLRRSSGDVLFDTSPAFSDSETFLVFKDQYIQLSSSLPKDRSSIFGIGEQTRKSFKLVPDKNKTLTLWNADIGSVNLDVNLYGAHPFYIDLRSPSQDGKVAAGTTHGVLLLNSNGMDIMYSGDRITYKVIGGIIDLYFFAGPSPISVVDQYTELIGRPAPVPYWSFGFHQCRYGYKNVSDIESVVARYAKASIPLEAMWTDIDYMDGYKDFTFDPINFPSQKMKIFVDNLHKNGQKYVLILDPGISTNNTYGPYIRGTKADIFMKYNGVPYLGDVWPGPVYFPDFFHPDSETFWGREIQIFRDIVPFDGLWIDMNEISNFITSSTSPLSNLDNPPYMINNARVQRPLNNKTVPASILHFGNLTEYNTHNLYGFLESRATHASLVKVTGQRPFVLSRSTFVGSGKYTAHWTGDNGATWNDLGYTIPSILNFGLFGIPMVGSDICGFSGDTTEELCRRWIQLGAFYPFARDHSDKGSIRQELYLWDSVAASARKVLALRYQLLPYFYTLMYEAHKKGTPIARPLFFSFPQDIKTHEIDSQFLLGGGVLVSPVLKEGAFSVDAYFPAGNWFSLFNYSEFVAVNSGQQINLDAPADHINVHVREGNILALHGEAMTTRAAQETPYKLLVVISNGQSSFGEVFLDDGEVVEMGREGGNWSMVRFYSETVGSKLVVKSQVINGGFALSQKLIIDKVTFVGFKRPKKMVDLGLNISKGLNLNGNSSIRKTYQYFAKFMNVEISGLSIPIWEEFILEMTPIN >KGN63867 pep chromosome:ASM407v2:1:2637472:2643380:-1 gene:Csa_1G024990 transcript:KGN63867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGTLVDGVRRWFQRRTSSSSSSTSNSNSNFSSNSDSSDPNLNYPNLHKFDYVDNGGVSGDQLLSSDLRAQSSIAHKRKPLRKQTQLGEGGILEQLPEEEDDDLDYSALKLIKVPKRINHFRNPPPPLPSALMDSHKKGGLETEFFTEYGEASRYQVQEIIGKGSYGVVGSAVDTHTDEKVAIKKINDVFEHVSDATRILREIKLLRLLRHPDIVEIKHIMLPPSRREFRDIYVVFELMESDLHQVIKANDDLTPEHHQFFLYQLLRGLKYIHTANVFHRDLKPKNILANADCKLKICDFGLARVSFNDAPSAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEMLTGKPLFPGKNVVHQLDLMTDVLGTPSSESIARIRNEKARRYLSNMRRKQPVPLTQKFPNADPLALRLLQRLLAFDPKDRPTAEEALADPYFQGLANVDREPSTQPISKLEFEFERRKLTKDDVRELIYREILEYHPQMLQEYLRSGEQTSFMYPSGVDRFKRQFAHLEEHYGKGERSTPLQRQHASLPRERIPAPKDEAGQHNDLEGRNVATSLQSPPKSQGDGSENANGNEQNGQNKPNYSARSLLKSASISASKCIGVKPRKDLEEEPISETNDEAVDGVSHKMSALHT >KGN63866 pep chromosome:ASM407v2:1:2633095:2635950:1 gene:Csa_1G024980 transcript:KGN63866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQARGNCRFSLFIGSSSRSIQTESITNKLRASSTSSPSKKTWTQKLESKNSDSTIVDSDIVKWNRKISAYMRKGQCESALSVFNGMRRRSTVTYNAMISGYLSNNKFDCARKVFEKMPDRDLISWNVMLSGYVKNGNLSAARALFNQMPEKDVVSWNAMLSGFAQNGFVEEARKIFDQMLVKNEISWNGLLSAYVQNGRIEDARRLFDSKMDWEIVSWNCLMGGYVRKKRLDDARSLFDRMPVRDKISWNIMITGYAQNGLLSEARRLFEELPIRDVFAWTAMVSGFVQNGMLDEATRIFEEMPEKNEVSWNAMIAGYVQSQQIEKARELFDQMPSRNTSSWNTMVTGYAQCGNIDQAKILFDEMPQRDCISWAAMISGYAQSGQSEEALHLFIKMKRDGGILNRSALACALSSCAEIAALELGKQLHGRLVKAGFQTGYIAGNALLAMYGKCGSIEEAFDVFEDITEKDIVSWNTMIAGYARHGFGKEALALFESMKMTIKPDDVTLVGVLSACSHTGLVDKGMEYFNSMYQNYGITANAKHYTCMIDLLGRAGRLDEALNLMKSMPFYPDAATWGALLGASRIHGDTELGEKAAEKVFEMEPDNSGMYVLLSNLYAASGRWREVREMRSKMRDKGVKKVPGYSWVEIQNKTHIFTVGDCSHPEAERIYAYLEELDLELKKDGFVSSTKLVLHDVEEEEKEHMLKYHSEKLAVAFGILSIPPGRPIRVIKNLRVCEDCHNAIKHISKITQRQIIVRDSNRFHHFSEGSCSCGDYW >KGN64997 pep chromosome:ASM407v2:1:10765889:10767584:-1 gene:Csa_1G173170 transcript:KGN64997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDDFEQSLLPKLSDSDKKNSLLRRKSNRHGSFSHSVENNNQPQNYDVVSHQRIAVSQVSFRKVFVLLATYLGGGTFCFFLVRDQITGKKTNGVVDSIYFCVVTMTTVGYGDLVPDSMVAKLLACVYVFTGMTLGGMILSKAADYIVEKQEILLVKAMCMRKKISSSEILQESEANKLKYKFIMTGILLWALIVVGILFLTVVENLEFTDAFYCVCSTITTLGYGDQSFSTTAGRVFAVIWIMSGTICLAQFFLYLAELYTERRQESLVNWVLSRSLTYSDLEEADLDHDKVVSAAEFVIYKLKEMGKINQEDVSPILDTFKKLDIDQSGCLTEADIVVIS >KGN65463 pep chromosome:ASM407v2:1:15491420:15496723:1 gene:Csa_1G423210 transcript:KGN65463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSDSLSEGAALEPLKSSMMSLEKKRNLVYEISDQPHAPELLQSWSRQEILEILCAEMGKERKYTGLTKLKIIENLLKIVGKKKSGSTEDVTDLDNQSSPCPSPNISKRQRKIDQPARLPVPVNNSPISNTRTDSNIAVYCRNSACKANINQDDKFCKRCSCCICYQYDDNKDPSLWLSCSSDPPFQSTSCRMSCHLECALKHEKSGISRGQQTGIEGTFCCVSCGKVNDLIGCWRKQLMKAKETRRVAILCYRISLSKKLLSEDEKFQDVYQIVDEAVKKLEAEVGPLAGVPVGTGRGIVNRLSSGPEVQKLCSLAIDSLDTLLSTKILHHLPSSMIQDTNLVATNFLRFEDVDATYVAVVVGTEDVSCGETIGYRLWHRKAGETDYPIEPTCTLSQPNLRFVVRGLTPSSEYYFKAISFDGTGDLGTCEVQVSTAIPREDDASCLVIERSQSPVTNFSELSNPSSVEDETNNIVPCSDQTDSQTGSFLSYCKESNKIITTNQSEDRINCTDVSGIGTAKDSVSSLDEEHVTRKSSMLPDPNVSKLEDRHSSQVQIIEGTTSMNKGSNSAIQQGTKSTPFVSSSEAGLPVTPCKMEILKDVLGRSGRSKSSTKDRDDKGSGGEELRNGSTSKKRNAERQDVDCTANGISDKDFEYYVKLIRWLECEGHIEKNFRQKFLTWYSLRATTQEVRIVKAFVDNFIEDPSALAEQLVDTFSECISSKKTCAVPSGFCMKLWH >KGN65367 pep chromosome:ASM407v2:1:14540819:14542266:1 gene:Csa_1G385030 transcript:KGN65367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNTFQEISWWHNQLTEQDRQRILTTTKTVVKTKGSSVPVQTEEPDMMNQLLYTMTKHFIGSTQIHFNLATEALLGLKCHKMSRYKWYKDTFMARNKDSDYRKELGTFYKQYGLSNRPKEEKEKKKKKNYPSRNIIFRKKKSKDHEPPRRRKHNYQKGKSKKYYSSKTKTICYKCNQSGHYANRCPLRDRINALKIDEETKQSLLYAIRSDDDDSSQTESSLEEDFINVLQEEGSSSKEEFFSQSDSSDDEGAIPCTRQCAGHINVITKDQETLFDLIEQIPDETAKRTCLLKLRQSIEEQTPRQTVHSPIMYSYQNILNRIKGETKMPIQVNDLHHEVKILKKEVANNKQRLTYLENAFQAFQESPIREEYLETSINNFE >KGN65485 pep chromosome:ASM407v2:1:15696788:15698818:-1 gene:Csa_1G425890 transcript:KGN65485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPHCDEFSHDGCRKAGRIEEKKNSGGLRCLNFPRTFPTVIMMPEGSKSNVVYRRKKLRGSSDSRFLANGTDCISLISCDGNLAEDKEQAAASQHNHEREIVGNAVPPFPVCDGKTQVSELESANGCIFGEGHGSDETPNNNLQKSLEVDSINDSCSSSKSNMELVSASLKVEVDDTGECSSSSIQVMGDAIEDISGRDLCISILRSNGLLSSTTHAPEEESDFRSDNNCFRLCKTCGSSESVLKMLICDHCEDAFHVSCCNHRMKRVSNDEWCCNSCLKKNHKILKEAISKKLTNTSSRNGSSKGESNSIALMLKDTKPYTTCIRIGKGFQAEVPDWSGPISEYVIFFNYMYIFFMQT >KGN64472 pep chromosome:ASM407v2:1:6334830:6339639:-1 gene:Csa_1G058070 transcript:KGN64472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSFKKKNGIKSNNVRIRKKRVNLKYCIRKAHHHTKLPSSSSSTFNHPININIPNNTNFIPRSSHQSPSREIQEEQYASNSFHAHLSEDTISSIIPPPSTADDGQLEVDAGGGLGSRGSDCQTIDIAAVLENQQLRNSSNAKNSDAPSPREKTFLYRSALKGEWRRVESLIERYPHYARCAITKNQETVLHVAAGAKQTGFVKELVHRMSPTDMTMINKYGNTALCFAATSGIVRIAQLIVNKNEDLPLVRGFSNLTPLFMAVSYKRKLMATYLFGVTDIYQLTPEDQIELLIASIHSDFFDISLQIIVMNPNLATMKCPKNNNESALHVMARKPLAIGSATKQLSIWRKCIMFGFNGKSYDKNMMNIFAREVVKYLWEYIVEEFEEKEMLEFIKHPTRLLHVATRAENVEFLIILINLYPDIVWEEDDEGKTIFDVAIENRLENVFNLIDEIGGLNEFAMKHRLTNRNYSMLHTVANLATPNNLNRVTGAAFQMQRELLWFKEVEKIVLPSQLMGKSNDPNPQLSKLTPRELFTENHKDLRKAGEEWMKNTANSCMIVAALITTVVFAAAFTVPGGCDDNTGNPIFQHKPWFTVFVISDAAALVSSSTSILMFMSILTSRYAEDDFLHSLPSRLLIGLTSLFVSIVCMVVTFTATFFLLYQNAKLWVPLTVAVMTILPVCCFCRLQFKLWVDTFHNTYLSRFLFKSRGRLFSL >KGN66009 pep chromosome:ASM407v2:1:20547154:20549166:-1 gene:Csa_1G561400 transcript:KGN66009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSISTSHLPSPFKPVDFSAEKNIPTSKLPQKTVLKLFDSKSITSLQYLTQLHGLVLRSGHFQDHYVSGALLKCYANPHFSNFDFALKVFSSIPNPNVFIWNIVIKGCLENNKLFKAIYFYGRMVIDARPNKFTYPTLFKACSVAQAVQEGRQIHGHVVKHGIGSDVHIKSAGIHMYASFGRLEDARKMFYSGESDVVCWNTMIDGYLKCGVLEAAKGLFAQMPVKNIGSWNVMINGLAKGGNLGDARKLFDEMSERDEISWSSMVDGYISAGRYKEALEIFQQMQREETRPGRFILSSVLAACSNIGAIDQGRWVHAYLKRNSIKLDAVLGTALLDMYAKCGRLDMGWEVFEEMKEREIFTWNAMIGGLAIHGRAEDALELFSKLQEGRMKPNGITLVGVLTACAHAGFVDKGLRIFQTMREFYGVDPELEHYGCMVDLLGRSGLFSEAEDLINSMPMKPNAAVWGALLGACRIHGNFDLAERVGKILLELEPQNSGRYVLLSNIYAKVGRFDDVSKIRKLMKDRGIKTVPGVSIVDLNGTVHEFKMGDGSHPQMKEIYRKLKIIKERLQMAGHSPDTSQVLFDIDEEEKETAVNYHSEKLAIAFGLINTLPGKRIHIVKNLRVCDDCHSATKLISQIFDREIIVRDRVRYHHFKNGTCSCKDFW >KGN63973 pep chromosome:ASM407v2:1:3438732:3442090:1 gene:Csa_1G032430 transcript:KGN63973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAGLSFTVTAAPKPFFQLQTTHHSSISSTETRMRIRSIQSKLAYSSTPLPFFSARKTQSISDSLLFSVACVSSSPSSSSSPRRYTPSTRLYISGLSFRTTEESLRNAFKSFGQLVEVNLVMDRLANRPRGFAFLRYASEEESQKAIEGMHGKFLDGRVIFVEVAKSRSELRQGLEENSS >KGN66090 pep chromosome:ASM407v2:1:21086208:21089499:-1 gene:Csa_1G570150 transcript:KGN66090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQQGHGQPPSMGAVGSGGQIAYGSNLYHPNQMSVGPTSGSVVTSVGGIQSTSQPGGAQLAQHQLAYQHIHQQQQQQLQQQLQTFWVNQYQEIEKVTDFKNHSLPLARIKKIMKADEDVRMISAEAPVLFARACEMFILELTLRSWNHTEENKRRTLQKNDIAAAITRTDIFDFLVDIVPREDLKDEVLTSIPRGSMTVGGPGDTLPYYMPSQHAPQVGAPGMIMGKPVMDPAMYAPQSHPYMAPQMWQHAQDQAPPDQ >KGN65478 pep chromosome:ASM407v2:1:15627365:15628995:1 gene:Csa_1G424350 transcript:KGN65478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMILLASLHHLLPSLTLAFYLSTAIISSTLITTKPSRLATKLIHRNSYLHPLYDQNETVEDRSKREQTSSIERFDFLESKIKELKSVGNEARSSLIPFNRGSGFLVNLSIGSPPVTQLVVVDTGSSLLWVQCLPCINCFQQSTSWFDPLKSVSFKTLGCGFPGYNYINGYKCNRFNQAEYKLRYLGGDSSQGILAKESLLFETLDEGKIKKSNITFGCGHMNIKTNNDDAYNGVFGLGAYPHITMATQLGNKFSYCIGDINNPLYTHNHLVLGQGSYIEGDSTPLQIHFGHYYVTLQSISVGSKTLKIDPNAFKISSDGSGGVLIDSGMTYTKLANGGFELLYDEIVDLMKGLLERIPTQRKFEGLCFKGVVSRDLVGFPAVTFHFAGGADLVLESGSLFRQHGGDRFCLAILPSNSELLNLSVIGILAQQNYNVGFDLEQMKVFFRRIDCQLLDE >KGN64356 pep chromosome:ASM407v2:1:5650939:5653299:-1 gene:Csa_1G049460 transcript:KGN64356 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein binding protein MESTPLTTSVPPTALPDDLYSNFPATLTSDESPAHTITPSIFRELPEPDVHILASGGLRIPAHSGILASISPVLEHVIDRPRKKRTAEKVISILGVPSDAVVSFVRFLYSSRCTAEHLEKHGIHLLALSHVYLVPQLKVMCTKDLAQRLTIESVVDVLQLARLCNAPDLYVKCMKFVADHFKFVEKTEGWKFIQDHDPWLELDILQFIDETESRKLRNRRIRKERKLYLELHEAMECLEHICSEGCTIVGPSNVDPKKEREPCSHYSTCHGLQLLIKHFATCKKRTNGVGCGRCKRMWQLLKLHSSICDHSECCKVPLCRKFKQRSSMSPADDNNKRKDDAQWKMLVRKVVSAKAISSLSLTNKKLSDLEEDRMIGHRGIGSFRLQSVRRYRS >KGN63913 pep chromosome:ASM407v2:1:2939094:2939456:-1 gene:Csa_1G025930 transcript:KGN63913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIESVYKIRYVDTIAIPIRLRRKWVTLNFELLYPPHSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSNPTKL >KGN64030 pep chromosome:ASM407v2:1:3692104:3694248:1 gene:Csa_1G038970 transcript:KGN64030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRRNLIKSRRKFRNLSAFTSLTTSISILFPTFWQGCCCCLILSHLQVVHPLVSERKRLRKSVVLHLELRDHNLKKFLGADADAVDCIDFSTLALVVNDWYASNLHFCLSSQYPNNKVEVDGEEVEQQEHNRFRASIRYSSPNIVEASTIFQFIHLQASERNRNRFDCVDLDPKHFDGMNLIGSFKLHSSWCSSSTGIVNSCNGFLCILNTKRVAMLNPMTNEYMELPSSTQYELSVYGLGYSPKTKGYKVGRHSYKNGELLVEILAFGTSLEDQDGKVQSQWRQVASMSTTYKMYRHANEKFEELWLPETGAIWKHTSYCGVFNGKLYISHYVTADSKYHVLMMNGKGLWELPFFINIPRFYKGSTRLDSYHFQLIKPWKDGKILCLFYSFLLVLYDTKTRKLEKLHQVKGIVKRSFRICQMDSIHFDSLPNILAGN >KGN64820 pep chromosome:ASM407v2:1:9012883:9017334:1 gene:Csa_1G118890 transcript:KGN64820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHSYGFEQHVAQQSRRDKLRVPQNYLRVGEVSRNSDEQLSFHNSEHLGVDLDLVRIQSFNKDAILPHDHLSLLPSEMINFSRDSNVRDMMLRQELEDPAQCSRQIVTDNSIDYWKSSHPSCDWVVNCGSNSFGGELLNQEVTDSTVYSLKPTCIGFQTSSSFNNTSNQTFNQDGQKRIGGELHLPQIYQNTLQDVVTSASIRTQGLEMTSIVQHNFTEINQTAACEGSGNELALLPVYRDQPNVLPYDSAGSWTDRTYYNCRSWIGELGSIARKTDEELRSLMSDSNPQGLALSLSSNPPSKLPTTQFEESEELQESITVLKNSQESKTIKSESLCKLPKPTSIGTKNYGKSFQDVMGVPVNPYRNTGPLGPFTGYATILKSSKFLKPAQLLLDEFCGSNGHYRFVQPCEVFEKTPGEVGVSTALNAFRNEVVKESSSCADASKFCGSNESNVSGVGSISSDSHQPEYQQKKAKLLYMLEEVCRRYKQYHQQMQMVVNSFESVAGLSSATPYISLALKTVSRHFRSLKNAISEQLKYLRKVLGEDLSSPSAGTSGSKGDANSARLKYMEQSFQKQKSGIVNIGFLESQNAWRPQRGLPERAVAILRAWLFEHFLHPYPTDTDKHMLATQTGLSRNQVSNWFINARVRVWKPMVEEIHMLETKGMEETNNKSHGTRDGSSTLENTAGWTSNEHQPLKNQGVANEMSTHHLQCFGVDSTSGDQNGLGSSAQPWDQGKQSKLNNGIQSNMERELTGFMPYQASASEVGGLGAVSLTLGLRHRVESAHHQQQRHQLQQQDDQLIRHYGSEMIHDFVG >KGN65488 pep chromosome:ASM407v2:1:15710986:15715708:1 gene:Csa_1G425920 transcript:KGN65488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLLANYASDDDEEEHHQQPPPHPPSSISNPPRSEFSETSTFRSSSFSSSLPPPKSSSLFQSLPHPKQSSKPSLPAGFFDGGKNEDLEEEDSSSVSKFGAASIKPSSLFSSLPRPKGNPTNSSTSGSSSRFDGDGDSDGNLTKSVSMFSSLPQPNSQKLQEPKSNPSSSLSQPKRVVLFKPPVNSSLMKLGEEDDDDDDEEEEEIRRRKASQSSFQTPSVTSFLSSIPAPKNSATLGVASSLGSGRRSIIETEVSSSDGFNVVNDSGSTQNIDHSTEHYDSFVNYSSGVEQNVANHRESYENAATYNFGTEQNAWNHASYDSYGNYHYSVDQNVAGEPQSASTASNAGDHGGYESYGNYGDHMQYGSEASGQPTIGDTENAVRIPEKRRRNEVPLEIVEVKQDELIKNRPRQDQVKLTGIAFGPSYQPASAKGKPSKLHKRKHQITSLYYDMKQKETELAERRARGLLTKAETQGKYGW >KGN63496 pep chromosome:ASM407v2:1:392575:397004:-1 gene:Csa_1G002160 transcript:KGN63496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFGAFSHTHRNQQKCRKWSSRVTISDMAATNDAKCKNNAVVTDFLQFLNASPTAFHAVEEAKKRLISVGYEQVSEKADWKLEAGKKYFFTRNHSTIVAFAIGKKYVAGNGFHIIGAHTDSPCVKLKPVSKVTKGGYLEVGVQTYGGGLWHTWFDRDLTIAGRVIIKEKNSGSLSYIHRLVRVEDPIMRIPTLAIHLDRGTDGFKVNTQSHLLPVLATSIKGELNKAVTKNDVQNDGEKTDPKSSPNSSKHHTLLLQLLADQLNCEPDDICDFELQACDTQPSLVGGAQKEFIFSGRLDNLCMSFCSLKALIDSTSSETSLENEPGVRMAALFDHEEVGSNSAQGAGSPVMLNALSRITNSFSSDSSLVEKAIQRSFLVSADMAHALHPNYMDKHEENHQPKLHGGLVIKNNANQRYATNAVTSFIFRELAVNHNLPVQDFVVRNDMSCGSTIGPILASGVGIRTVDVGAPQLSMHSIREMCATDDVNYSYEHFKAYYEEFSSLDQKLTVDM >KGN63658 pep chromosome:ASM407v2:1:1403987:1404614:1 gene:Csa_1G009620 transcript:KGN63658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKILPLIFLLVVATIGFSNGHEVGIYELKRGDFSIQLTNYGATILSLILSDKNGKLDDVILSFPSVDDFRQIELEELNLVSMVFSTNSRSSCLSQSGSTLKINPIVSLSSCLSQSGSTLNINPIVSRLCLDLSYGYHYCAMNSCIIID >KGN66170 pep chromosome:ASM407v2:1:21651305:21656123:1 gene:Csa_1G574870 transcript:KGN66170 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malic enzyme MESTLKEIGDGGSVLDLDPKATVGGGVEDIYGEDCATEEQLVTPWTFSVASGYSLLRDPHHNKGLAFTEKERDAHYLRGLLPPAIVTQQLQEKKLMQNIRQYQLPLQKFIAMMELQERNERLFYKLLVDNVEELLPVVYTPTVGEACQKYGSIFRRPQGLYISLKEKGKILEVLKNWPQRSIQVIVVTDGERILGLGDLGCQGMGIPVGKLSLYTALGGVRPSACLPITIDVGTNNEKLLNDEFYIGLKQRRATGEEYYELLDEFMTAVKQNYGEKVLIQFEDFANHNAFELLAKYRTTHLVFNDDIQGTAAVVLAGAVSALKLIGGTLADHTFLFLGAGEAGTGIAELIALEVSKQTKAPVEETRKKIWLVDSKGLIVHSRKDSLQHFKKPWAHEHEPVKDLLSAVKAIKPTVLIGSSGVGRTFTKEVVEAVSSINEKPLIMALSNPTSQSECTAEEAYTWSEGRAIFASGSPFDPFEYNGKTFVPGQSNNAYIFPGFGLGVVISGAIRVHDDMLLAASEALAAQVSEENYDKGLIYPPFTNIRKISANIAANVAAKAYELGLATRLPRPADLVKYAESCMYSPVYRTYR >KGN64617 pep chromosome:ASM407v2:1:7204660:7205064:1 gene:Csa_1G071800 transcript:KGN64617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGLSGKDVVLFKDFFPEMLEKLGAKGFIKELRYGFRLLMDKEKGVITAESLRKNMRFFGLVLEDEEVMWMVKEGDLDGDGALSEAEFFILMIKLSPGFMHLSSSFFHQSHSDRKFTK >KGN66101 pep chromosome:ASM407v2:1:21179725:21182134:1 gene:Csa_1G570750 transcript:KGN66101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQKLIKRSRLLIFHRNVCLIRFQLLSTFSPNKFDFENNHKQRRKIRERIPFVTDVKEVKDPCEALALFENYHEKGFKHHYPSYSSLIYKLARSRRFEAVETILGHLRNRNIRCNETLFVALIQHYGKAHLVEKGIELFHQMPSFNCFRTLQSFNVLLNTLVDCDQFSKASEIFQQAYEMDFRPNSVSYNIMIKGWIKKGGWEQACNLFDEMLEKGVQPSVVTYNSFLGVLCRKGEMDTALCLFKNMTEKGHHPNAVTYALLMEGWCFIGKYKEAKKLMFDMEFHGCKLRPVNYGVLMTHLGKTGNIDEMESLLNEMKKRRLKPDVVTYNILVNYLCKEGKVGDAYKVLVKMQVGGCDPNAATYRMMIDGYCNAGDFDGAMKILNAMLMSGHYPHLKTFASLVVGLLKGENNDDYVCFVLEEMEKRQLRFDAETWRILIMDVCGQHVEIGSHLNDLISLP >KGN66521 pep chromosome:ASM407v2:1:24501529:24505526:-1 gene:Csa_1G617370 transcript:KGN66521 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase 1 MDYVYAPGKNHLFVPGPVNIPEPVLRAMNRNNEDYRSPAVPALTKTLLEDVKKIFKSTAGTTFLIPTTGTGAWESALTNTLSPGDRIVSFLIGQFSLLWIDQQQRLNFNVDVVESDWGQGANLDVLESKLAADGGQTIKAICIVHNETATGVTNDLSKVRFLLDKYKHPALLLVDGVSSICALDFRMDEWGVDVALTGSQKALSLPTGLGIICASPKALEASKTSKSVKVFFDWKDYLKFYNLGTYWPYTPSIQLLYGLRAALDLLFEEGLDNVIARHSRLGKATRLAVEAWGLKNCTQKEEWFSDTVTAVLVPSYIDSAEIVRRAWKRYNLSLGLGLNKVAGKVFRIGHLGNLNELQLLGCLAGVEMILKDVGYPVKLGSGVAAASAYLQNNIPLIPSRI >KGN65694 pep chromosome:ASM407v2:1:17725007:17729662:-1 gene:Csa_1G501840 transcript:KGN65694 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-ketoacyl-coa synthase family protein MEPLFLLYAIPLLYLALKLWKHFDAKRDQQCYILDYQCFKPSEDRMLGTQLCRDLMKRTKNLGLEEFKFLLKAVVNSGIGEQTYGPRVVFSGKESHPSLVDSIIEVEEFFLDCLHKLFQKSGVSPSQIDILVVNISMLSTSPSLASIIINKFKMKEDIKVFNLSGMGCSASLISVDVVRRMFKSHMNSYGIVVTSESLTANWYSGNDRSMILANCLFRSGGAAILLTNKRSLKNKALLKLKCLVRTHHGAQDESYECCYQKEDDQGNLGFHLGKSLPRAAIRAFTDNLREISPKILPITEIFRLTILTIIHKISLASSSKPRWPKPMANFKTGAEHFCLHTGGKAVIDGVGKSLNLTEHDLEPARMTLHRFGNTSASSLWYVLGYMEAKKRLKKGDKVLMISFGAGFKCNSCLWEVMTELGEKKGNVVVNY >KGN66253 pep chromosome:ASM407v2:1:22280360:22283566:-1 gene:Csa_1G589110 transcript:KGN66253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMAMSSQASSEKQAMVPQEKKVIVNEKSGGKLVGILHEAGSLRIVIICHGYMSSKDDEVVLNLATSFDREGISSFRFDFSGNGESDGSFQLGNYESEADDLHAIVQYFNGATRPVCTIIGHSKGGDVVLVYASKYKDVDIVINVSGRFDMTKGIEKSLGENYEEAMEKQGFVDIKDPTGTMNYYRVTRESLMERLNTNMHQVCLHINQECRVLTVHGTEDGIIPVDDAKEFDKIISNHKLYILEGADHNYTAELHQVDLATVVLDFIKTSLQQDKETDKVNYAMPLTYKSSSVSQPLQTSEVINHIQDFPQEG >KGN66449 pep chromosome:ASM407v2:1:23811200:23811502:1 gene:Csa_1G610770 transcript:KGN66449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLINEKEKAKREINKTQSKATKKSLRNKETRKMKSWLICFALLSMLVMSHEVAAEGKFLNFSDLCLQPSPPKSCYKDERADSPIPYDRGCSPILRCRGG >KGN65091 pep chromosome:ASM407v2:1:11582474:11584094:-1 gene:Csa_1G202300 transcript:KGN65091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVISWGHSQSKIIGDSLQLVLDNSTGSGAKSKRSFLFGSFEARIKLVPGNSAGVVTAYYLSSTGTAHDEIDYEFLGNVTGQPYTIHTNIFTKGVGNREQQFRVWFDPTADFHNYTIHWNPNAVVWYIDGTPIRVFRNYENQGIAFPNKQGMKIYTSLWNADDWATQGGRVKTNWIYAPFIAGFRQFRPRACYWNGVPSIIQCATKSLANWWNSPAYARLSRPQLAKLNEIQSKYKIYDYCKDTMRFNGKMPSECYKAQY >KGN65173 pep chromosome:ASM407v2:1:12585784:12586633:1 gene:Csa_1G257300 transcript:KGN65173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSRCEIFCEILIAILIPPLGVCLRHGCCTVEFCICLLLTFLGYVPGIIYALYAIVFIDRNEYFDEYRRPLYAPA >KGN64474 pep chromosome:ASM407v2:1:6351797:6356563:-1 gene:Csa_1G058090 transcript:KGN64474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPTKQIEISSSITAKESNKKDDPECGCDHSTVNNPESKNKAGGSKEEDEGETVEVVVERQLILAASIGDDFQLEVTADQINSSCAATADNQTVDSEIPLDEETKQLRITAKKISLYHAALKGDWEKAESILKADTSWSVSNYITRDNETALHIAAGAKHVEFVEKLIDTMTLDDMVIINTHGNTALCFAATSGVVRIAELMVKKNKDLPLIRGFGNATPLFMAISYQRKQMASYLFSVTDRKQLTSQDQIELLIATIHSDFYDISLEILERNPKLAIMRDTKNNNETALHVLARKPSAISSKSEISIWKKPINSWTKGIIYGKDVMKTLAHQLVKSLWGHVLRELPEKKMLKFIKHPTILLHDAARAGNVEFLILLIQSYPNIAWEDDDDGKNVFHIAVENRLENVFSLIHEISGLKDFSAKYRTTGKEKYNMLHLAAKLAAPNHLNRVSGAALQMQRELLWFKEVEKIVLPSQRGAKCEVDSLIKLTPCELFTKEHKDLRKDGEEWMKNTANSCMLVSTLIATVVFAAAFTVPGGNDGNSGTPIFQHEFWFTIFVISDAFGLVSSSTSILMFLSILTSRYAEDDFLHSLPSKLLVGIASLFISIVCMVIAFSATFFMLYNKKNMWIPATVTAIAIVPISCFFALHFGLWIDTFHNTYLSRLLFRPHQRKLFSSSASHAVDLFRELKKRRSERKYYRWDQY >KGN63862 pep chromosome:ASM407v2:1:2615121:2620964:-1 gene:Csa_1G024940 transcript:KGN63862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPELSETYACVPSTERGRGILISGHPKTNSVLYTNGRSVMILNLDNPLEVSVYAEHGYPATVARYSPNGEWIASADVSGTVRIWGTHIGFVLKKEFKVLSGRIDDLQWSPDGMRIVACGEGKGKSFVRAFMWDSGTNVGEFDGHSRRVLSCAFKPTRPFRIATCGEDFLVNFYEGPPFRFKLSLRDHSNFVNCLRFSPDGSKFITVSSDKKGIIYDAKTGDKMGELSSDDGHKGSIYAVSWSSDGKRVLTVSADKTAKVWEISDDGNGKLEKTLTSPGTGGVDDMLVGCLWQNQHIVTVSLGGTISLFSASDLDKSPVILSGHMKNVTSLVVLKSDPKVILSTSYDGVIIKWIQGIGYSGKLQRRENSQIKCFAALEDELVTSGFDNKVWRVSIKDGQCGEAEAIDVGSQPKDLTLAAVSPELALVSIDSGVVLLRGSSIVSTINLGFTVTASVLAPDGSEAIIGGQDGKLHIYSINGDSLTEEVTLEKHRGAISVIRYSPDLSMFASGDLNREAVVWDRASREVKLKNMLYHTARINCLAWSPDNTKVATGSLDTCVIIYEIDKPASNRLTVKGAHLGGVYGLAFTDDFSVVSSGEDACVRVWKLVPQ >KGN66030 pep chromosome:ASM407v2:1:20739844:20742007:-1 gene:Csa_1G569080 transcript:KGN66030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLSIFRFPKYGVPVLANLWRENFHPLNRNHGHNCWYDQLCFRIYNLEGGRNKRWTRKSITTKAGEKAKTNPSSKPTNIRNEILQESMLASCTVNINKSEKSETQKLQYCDIQPTIIKSKEFAHLVTVITFDIETTGFSRNLDRIVEIAFQDLSGGENSTFQTLINPQCYITNSNIHGISNRMVDSPSVPRMQELIPIILQFVKSRQKPGGYVLLVAHNARTFDVPFLLSEFSRYSVDIPLNWLFFDTMTLARQLMKLSDSKITKITLQALGEYYGIKLDGKAHRALSDVRLLSSILQRLTFDLKLDISDLVERAFTPLDLINKKKK >KGN65267 pep chromosome:ASM407v2:1:13258919:13259998:-1 gene:Csa_1G287010 transcript:KGN65267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENENNGNAVAEEARAIVAVVEEKAPCKDKELGDCGGGGGGGGGGGDDDIPKASKNKNPLLEINNLEKAIATMTLILSIPVLGFIVWIFYVRESECESILKLPSFQIGIGVGLIFLFLISNAVVFLRSRYPVLGLLIVMVPLLLTFIIGLALVGAYKMESRSVAASPKWLRLKVFDQAHSQDIKTCIYDSGACDDLVSRTLMLKSYDFSLKKLSFIESGCCMPATICEMEYVNATFWRKTGGPIDPSNPYDSDCNLWDNERGNLCYNCISCKTGFLRTLQAKWRKLGIFLIVASLLLFISHLILFLSSVFKQFRI >KGN65684 pep chromosome:ASM407v2:1:17557830:17559289:-1 gene:Csa_1G496800 transcript:KGN65684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKQPRYQVMPSWKMIQWINKLYNSVTPEVVVQLIGFTNAKDMWEATHDFFGVRSRAEEDFLRQTFQTTRKGNSNMEDYLRIMKTNADNLGQAESPIPRRALISQVLLGLDEVYNPVIVVIQGKPEISWLDMQSKLLIFEKRLKHQNSQKNIGNIVQNATINMAQSRNNNEPRGSENHQFHGNNRNNFQGHRGGSNNSRGCGRGRGSKSTCQNQPFLSQFFRLTHHRRPNCSHVIKPCQGGR >KGN64097 pep chromosome:ASM407v2:1:4065066:4066447:1 gene:Csa_1G042090 transcript:KGN64097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTVMDSSSSRRHFHWTQKVGSEEEDDQCPLPTLKPSSEPAELEEVKKKNRVVSPEPQSQRPHTAAQPQRRKMPAVAVARLRSVLTVFAKNRSTLSPGLGSRVIGTLFGSRRGHVHFAFQRDPNSEPAFLVELATPISGLVKEMASGLVRIALECDKEKEGEKKAVRLLEQPLWRTFCNGKKSGFATRKDCGVKEMKILKAVEPISMGAGVLPGNYEAESESAGAESPESEACSDNEIMYMRAKFERIVGSRDSEAFYMMNPDSNGTPELSIYLLRV >KGN63456 pep chromosome:ASM407v2:1:155232:156471:1 gene:Csa_1G001280 transcript:KGN63456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSSLNSPELMNFINEEKQRAMVAEMVAKLTSVCWDKCITGTPGSKFSSSESNCLSNCAQRYMDMSIIIMKRFQNS >KGN65907 pep chromosome:ASM407v2:1:19588443:19588954:1 gene:Csa_1G537550 transcript:KGN65907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFELDSWCTGCLFTYGMEGAFSTLYSPYNSLEFHGIESYIQLQIGYEAFAAGHFCAFMIFKRITLLFGGVAAPSQWRKLKRNNSLQQPR >KGN65803 pep chromosome:ASM407v2:1:18652787:18654292:-1 gene:Csa_1G530150 transcript:KGN65803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEIINTNLDANGELVAVIFGVTGLVGKQLAKTLLSTAGWKVYGVARRPDNVSPISHPKFHFISCDLLDRRSVQQNLSPVRHVTHLFWITWAAQLRLDSPDCSDQNRAMLANALDAILPSAPALRHVSLQTGIKHYASLTRLAAGCGGGGEGEVVYCEDSPRAESGNNFYYVLEDLLRERLSCGRRMVAWSVLRPGLILGCSNRTFFNFMGSLCVYGAICKKLKMPFVFGGTAACWEEVYIDGSDARLTAEQHIWVATKAVEINATADGEAFNVCNGWSFRWKEIWGAVAEKLGVATTGAEEEKERMFSKEFHYTAAMGDKGKVWAEIVEEEGLVTTEMEELANWGFLDTLFRLPEKMVASRAKSDRLGFNVKYKMLDSMLYWIDVMRNDKLIPS >KGN66534 pep chromosome:ASM407v2:1:24652732:24657159:-1 gene:Csa_1G627435 transcript:KGN66534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSEVNSPRTFTQRSSPLHSPFSSNDSVQKEGGEPIRLHSPYGSPPKYGLDKALSDVMLYNIPPKGFFHSDSASGSVHSLSSGGSDSMHGHMKAVPMDAFRGSLSSAVSSSSQGSGNDDCDALGDVFIWGEGTGEGVLGGGTRRVGSSFDSKIDSLLPKALESVVVLDVQNIACGNRHAALVTKQGEVFSWGEEYGGRLGHGGDSDAHHPKLIDALSNLNIELVACGEYHTCAVTLSGDLYTWGDGTYNSGLLGHGKDVSHWVPKRVTGPLQGLHISSISCGPWHTALVTSAGKLFTFGDGTFGVLGHGDRDSISIPREVESLKGLRTVRAACGVWHTAAVVEVIIGNPNSNNNSSGKLFTWGDGDKGRLGHGDKEARLVPTCVAALVEPNFCQVACGHSLTVALTNSGHIYTMGSPVYGQLGNPQADGKLPARVEGKLSKSFVEELACGAYHVAVLTSRTEVYTWGKGANGRLGHGSTDDRNTPTLVEALKDKQVKSIACGTNFTAAICLHKWVSGVDQSMCSGCRLPFNFKRKRHNCYNCGLVFCHSCSSKKSLKASMAPNPNKVYRVCDNCYHKLKKFIEMDTSSQSSLSRRGSINQGSTEPVNKNDKLDLKPRSQLARLPSVESFKQVESQFSKKNKKLEFSSSRVSPIPSGGSQRGSLNISKSFNPVFGSSKKFFAASLPGSRIVSRATSPISRRPSPPRSTTPTPTLGGLTSPRIAVNDAKSTNNRLSQEVIRLRAQVSYFLTAFSPLLAFM >KGN65941 pep chromosome:ASM407v2:1:19938727:19938891:1 gene:Csa_1G540360 transcript:KGN65941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQQNLQNISDVSIPMQNVMSGRALTMLRHGRPKLLPDGVYVDRRSTSEKHCPV >KGN66423 pep chromosome:ASM407v2:1:23554573:23554794:-1 gene:Csa_1G604090 transcript:KGN66423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWEAHAIGETLQIKIEKLPEVERAFVHLDFECEHKPEHSILSRLPNTQP >KGN64378 pep chromosome:ASM407v2:1:5766216:5769780:1 gene:Csa_1G050170 transcript:KGN64378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGQEGTQQPHLVLAHKLFLLTHPDVQDIEKVRLTEEVLASVKADDMSPLYETLTSESLLALDRSVLESMRAKNEEELKKLDEKIADAEENLGESEVREAHLAKSLFFIRIGDKDKALEQLKVTESKTVAVGLKMDLVFHTLQLGFFYMDFDLISKSIDKAKNLFEEGGDWERKNRLKVYEGLYCMSTRNFKKAANLFLDSISTFTTYELFPYDTFIFYTVLTSIISLDRVSLKQKVVDAPEILTVIGKVPYLSEFLNSLYDCQYKSFFSAFAGLTEQIKLDRYLHLHFRYYMREVRTVVYSQFLESYKSVTIEAMAKAFGVSVEFIDLELSRFIAAGKLHCKIDKVAGVLETNRPDSKNALYQATIKQGDFLLNRIQKLSRVIDL >KGN64591 pep chromosome:ASM407v2:1:7042036:7042800:-1 gene:Csa_1G070080 transcript:KGN64591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLQSFLASPCPKIFIYQTKNPHKVQNNNAWLQLNDPLEKSFARKGCIHALNSSSSSNKCIISNLKIEEFGVETPARKVEILKDILTETRDDLCWERLEIIDAAQWLGIDNQFEEEIEDVLKRQYDLINAYRFDGDMDLHKAALLFRLLRQQRYLISQGSYSTLFTNELSLKVYKKHGWNPIGSLRKTVFFVRSKFSILETKRINKCYMTGKYLQKH >KGN64021 pep chromosome:ASM407v2:1:3642566:3644177:-1 gene:Csa_1G038390 transcript:KGN64021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDESRSVFDWGDFPPFTSHHSSSMVVIRDSPPKDDFSVFPPSKHENLQPPSLQEDERESSVSFQSNSPSSSFRSSHSSSSFSSFSFSDDEASHPHSPKPSDSQIQKPMVASRWLLLGVQILRPRITAMASTIWSNAAFWLFSPAGRIGLLVTLLWLYKRARKRRLRRSSEQLKMMIKEKDEKISHLMQQVAQLNRSLILAQQKVLPSNWKMKQDGNV >KGN65238 pep chromosome:ASM407v2:1:13010770:13011021:1 gene:Csa_1G268320 transcript:KGN65238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIQYPRGKAKPDCTANAPRKRSQRERRQSSRRAWVRRRNKGRVDNFPTIVGVKGGSFKNFGQIFSRLRIVVSEMIWLPISEL >KGN66497 pep chromosome:ASM407v2:1:24246033:24252628:-1 gene:Csa_1G615160 transcript:KGN66497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSKFNSSGEGNFSSPMAENGFLNSTSLLNSEKAVQELLQRSPLMETDDHLVEFSEALRTVAKALRKAAEGKAAAQAEAAEWKRKFELERTRNLQLEHKGQSPTERNGYDIKRSRNLPPQATEKSEWCCGLNGICSHEVLQDGDIDSASQKASRKYTRKASFKLSWCCNGDHSDRHKHDVVSFEKGNITTAERSSRQISLKWESQPQTVLILTKPNSISVQMICFEMVRWLREHKDLHIYVEPRVKNELLTESDYYNFVQTWKSDEEIMLLHTKVDLVVTLGGDGTVLWAASMFKGPVPPLVPFSLGSLGFMTPFHSEHYRECLDSVLKGPISITLRHRLQCHVIRDAARNEYETEEPILVLNEVTIDRGISSYLTNLECYCDRSFVTCVQGDGLILSTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLIFPEYVTIRIQVPFNSRGHAWASFDGKDRKQLAAGDALVCSMAPWPVPTACQVDSTNDFLRSIHDGLHWNLRKTQSFDGPRDA >KGN66565 pep chromosome:ASM407v2:1:25004559:25005713:1 gene:Csa_1G629710 transcript:KGN66565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDLINIIEKINPEAKSFCGRVFENYDQFCISFRYYNMEVLDFPVVVNDGKTGCEGNSLGWTSEMDHCLRRVLKQHVIPGNKGMLDNKLNPVVYDAAILNLREMFALELTKDQVEDRFKSWKREYGLLRDLLDQGDFEWDDQQKMLVAKDSVWDVSIEIIENYDELCVIAGCDIPSGSSLNAAADNLDLSVDEAINARDVCHNQSNSKIVLLGSLARGVEMGQTSPLIPRSLDNLTSLPNHI >KGN66396 pep chromosome:ASM407v2:1:23268473:23270148:1 gene:Csa_1G600860 transcript:KGN66396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDNSNSNSRHFSWFIKSCFPNPNDSSATAVAVALPIASKSCFQTTNTAITPPVAVAVAPASAISALPDDLLLECLSRVPSTSLPSVSLVCRQWARLLLSTTFVDLRRVRGQLEDTVYAVSATNYGLFAASFNFRDGGLWKVALFKAKESLFLSNFYGLLSHARLSAIGPRIYLIGRNAMFLYDTWSGMVTARSAMNFSRKKFANAVISGRIYVAGGAPTTTAVEMYDPETDSWQVVAQSARRRYGCIGAAVDGVFYVIGGLKIGGGASGGSEAHIYASSMDMYDVEARTWLRSRAVPGGGCVVAACAAAGHIYILASHAVELSFWKFDGRRKCANNSNQTSTKTAGFGEWYRIRSPPLPPQFRLDSTVRFSCIGMGETVVLIQVAGCIDDLLRRSGRSARGLKEGLVLIYETKSGEWRRGAEMPEVMQRAACVCVEC >KGN64109 pep chromosome:ASM407v2:1:4154297:4157144:1 gene:Csa_1G042210 transcript:KGN64109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAICGRVPLSPNQFFTSTKPGDKYYFHKQCRNRSALMMISVAELGKGGGLLEKPAIEKTTPGRESEFDVRKSRKTSPPYRVLLHNDNFNKREYVVQVLMKVIPGMTLDNAVNIMQEAHYNGMAVVIICAQVDAEDHCMQLRGNGLLSSIEPASDGC >KGN65584 pep chromosome:ASM407v2:1:16655832:16656150:-1 gene:Csa_1G465060 transcript:KGN65584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRREKGLFSTHTNCVGGSFPDALSPVARTTSVDPNGDGSPNIVDLTHRSTLQNTSGDSSPTFQTLFPNVVARRKIHTLL >KGN65416 pep chromosome:ASM407v2:1:15192754:15193896:1 gene:Csa_1G419310 transcript:KGN65416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFAVEVEVLARLRHKNLLGLRGYCVGTDQRLIVYDYMPNLSLLSHLHGHFASEALLDWKRRLKIALGSAQGILYLHQEVKPHIIHRDIKASNVLLDSDFEPLVADFGFAKLIPEGVSHMTTRVKGTLGYLAPEYAMWGKVSESCDVFSYGILLLELMTGRKPIERLPGGAKRTISEWVNMTINKDRFKDLADKKLKGQLNWKEFEQVMHLAIMCVQTEAEKRPTIKQVVEILKGLVATDNAGNNKMPVLMSIPSVRPYDNNNINKNDSEDHHRYQLASKEFHRGGDEQESFDYGVFSAIEEQKIIRDYPYTNHTQQPQNFWRTNA >KGN63778 pep chromosome:ASM407v2:1:2110988:2111290:-1 gene:Csa_1G015720 transcript:KGN63778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKDGCEPGIKTYDLLMGKLCAHNRLDKANSLFNEAQKRGVPVTPKAYQVDPKYVKKPKDKKVAKKRETLPEKMARKRRRLKQIRLSFVKKPRRGMRRAF >KGN64961 pep chromosome:ASM407v2:1:10463393:10465867:1 gene:Csa_1G168870 transcript:KGN64961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAENRVSREVQLSMAVSSMFPGFRFSPTDEELISFYLKKKLEGYEKSVEVIADVEIYKYEPWDLPAKSIIQSDNEWFFFSPRGKKYPNGNQTRRATDLGYWKATGKERNVKSGSNTIGTKRTLVFHTGRAPKGERTEWIMHEYNTKDKAQDFLVVCRLRRNNEFRQHSCSNRASSSQMNLSLLQAHSSEQVDSTESNQKDAAETTHVDSGDHDQKDCGSDDFYSDILKDDIINLDTAPLDGALDPLPLIFQRSDTERRSQHEGSDGFEWLPNQGSANRRIRLKKREATGGKKLESTKIGDCRNKVEKARLCSTEVCQSRLMNTDSCGSISLGYYVRVVIFVLLILMALFMSRWEVFGIPKGLC >KGN66086 pep chromosome:ASM407v2:1:21068043:21072708:-1 gene:Csa_1G570110 transcript:KGN66086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVQCYGAFMEKFLLQPSSPSDQLPLTGLTFAVKDIFDMDGHVTGFGNPEWLRTHPPANHTAPTVSTILRGGATCIGRTIMDEMAYSINGENFHYGTPQNPCASDRVPGGSSSGSAVAVGANLVDFSLGTDTGGSVRVPASYCGILGFRPSHGAVSTSGVVPMAQSMDTVGWFARDPVVLKKVGWLLLQQPEVEHQKPRQVLIAEDCFKLSSIPSERLTQAFVNSVKKLFGGHPIKEVSLGNYVEEKVPSLKHFMIEGNAGNEHSIPSLAALARSLQLLQRYEFKINHEEWVRTYNPHLGPGISERVSEAMRATDENIDLCHSIRIELRKALAALLEDFGVLAIPTVPGPPPKLNTDVSELQDFRAKAFSLLSIAGVSGFCQVSIPLGLYNGLPVSISLVANHGSDGFLLNVVHSLYNTLEEEVKASF >KGN64766 pep chromosome:ASM407v2:1:8407484:8413924:-1 gene:Csa_1G095030 transcript:KGN64766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASITTALSWSSSLLDMGLPNVAGNIEETAKFSYGRTAMVVVAQKKASKSRKIILKEDVADLGKKGQLIDVKAGYYRNYLFPMGKAQIVTPVLLKEMRIEEERIEAEKKRVIEEAQQLALIFQTVGAFKVKRKGGKGKQIFGTVTAQDLVDIIKAQLQRDIDKRIVDLPEIRETGEYVAELKLHPEVTARVRVNVFAN >KGN64165 pep chromosome:ASM407v2:1:4453795:4457938:-1 gene:Csa_1G042720 transcript:KGN64165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDKQSEFFTEYGEASRYQIQEVIGKGSYGIVGSAIDTQTGERVAIKKINDVFEHVSDAIRILREIKLLRMLHHPNIVEIKHIMLPPSQREFKDIYLVFELMKSDLHHVIKTNNDLSPRQHKFFLYQLLSGLKYIHTANVLHRDLKPKNILANADCRLKICDFGLARVSFSDAPSTIFWTDYVATRWYRAPELCGSFFSRYTPAIDIWSIGCIFAEMLTGKPLFPGKNVVHQLDLITDLFGSPEPEAIAKIRNEKARRYLGNMRKKQPVPFSRKFPNVDPMALCLLERLLAFDPKCRLTAAEALADPYFNGMGKPELEPSIQPISKLEFEFERRKLSKDDVRELIYAEILEYHPQMRQGCLRGGDHPTTFMYPSGVDRFKLQFAHLEEHHGKGERRSPLQRQNISLPRERVRPTEQNNTENSIDSERGKDKSAHLLKSASISASRCVGVIPKETYEVEETEVKNEAVDGMSQKIAVLQT >KGN65828 pep chromosome:ASM407v2:1:18831683:18834537:1 gene:Csa_1G532360 transcript:KGN65828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKEEDEVLAMEINCLKKELEISLQKSIFLEKENQELRQELNRLRSQIQSFKAQNNERKSILWKKFHSSIDISVAGADSPPLSPATVAGDKRESTKSPKQSSWDDVKESHRMTGVPASPPPPPPPPLPTKLLGGSKAVRRVPEVLELYRTLTKRDAQKENKVAHGGAPAVAFTKNMIGEIENRSAYLSAIKSEVETHGDFVNWLIKEVETIAPRDISEVERFVKWLDGKLASLVDERAVLKYFPRWPEAKADALREAAFSYRDLKGLESKVCMFRDNPKEEMNVVLKRAQALQDRVEQSVSNMERTREFNCRKYQAFQIPCQWMFDSALPTQIKMSTLRLAKEYMIRITRELQSTETPQRENLFLQGARFAYRVHQYAGGFDSETIEAFEGLKKAGLSSQRK >KGN64085 pep chromosome:ASM407v2:1:3991133:3994439:-1 gene:Csa_1G039990 transcript:KGN64085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLNILEKRTIRDRRPPRTTTVRRRSSATLSELFRRSEGSLCHSSTMADDSTNSFASSSEDRLKALISCPSASAKFGNEEEFLEGGFEESHLLIDDNPSSICVICFSEDGKAERGKLDSCDHYFCFVCIMEWARIESRCPACKGRFTVVHRIAKDPCRLRERIVNIPMRNQDQSASGSARIGDPYAETCCTVCKGMEDEGLMLLCDLCDSAAHTFCVGLGANVPEGDWYCHDCTIARAQHTDTELDTSFIKQNQTTTVEPRIAISDIVKESSAQTVSITRRGALLHSNREPPSIVPSSRRSVAQKSLPSRGGKAAGTSARTLHRCRNIHSYIRALRDNWDAVRKGSLRFPASSSSTYCGNSSKRDTGGAELINKQTNQPHAISLQATTLPESNGNSCDVEKAWRMMEIAKAKSIREGDQTLRHPVSRQITTKTKDSHTAKGQQYKIKKPDEPAKHKKEMGKQRLLELDKQLCRPVASKKNKASDEFFPTHSSSNGEFPLGKMVQTGGGDFHCENRRKPSNKIVDEVSSSSTMTQASDKHHSAREAGSDHDGKSEIRTLVKINLKLLSQGKNLGYERYKEVTRLATHTIMARCGLEPPPKPTKQYVSSSVCKHTEEEIRKLHRSTLMPDSCRKCFMWFVKDVVNAIMLEKLTVGSTS >KGN63947 pep chromosome:ASM407v2:1:3284188:3303460:-1 gene:Csa_1G031710 transcript:KGN63947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSYTQPRARMMPPNGICSPCNANLNPAPKFRLLSLSAIPNVLHFPNPPSLYLGSSSNYSGFSRLVGPPRKMDSQTRSRLTFVNYGAGATRAAATDHYSTLNVSRNATLQDIKNSYKKLARKYILDFYGASWSPVKRIRGLKIEWNCTVGGYHPDVNKDPGSEDRFKEISAAYEVLSDDEKRSLYDQLSEAGVHGDYGVMSRDSRGVDPFDIFDAFFGGSDGLFRESDGIGGINLNQRSEKIQSLDIHYALHLSFEESVFGGEKTIQFSFFETCENCDGTGAKSNSCIKLCANCHGRGGVVKTQKTPFGMMSQVSICSECGGDGKKITNLCRSCGGGGQLQSIKKMSLVIPPGVSDGATMKIQREGSSDMKRFSYPFFSYPFYRLQI >KGN66684 pep chromosome:ASM407v2:1:26447897:26448355:1 gene:Csa_1G659060 transcript:KGN66684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLICVRKNFDWFEGEIIQGWLEKHGPFDAVIDGANVGLVNERQFNFIQLKRAVYQMRELSPSKKMPLIILHKRRITDGPARNPNNMKLIETWKNCGALYATPYSVNDDWYSSFLQ >KGN64819 pep chromosome:ASM407v2:1:8987026:8993696:1 gene:Csa_1G118880 transcript:KGN64819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAASKETMMTSNQATKLFTYEFPDVDSDLSIFPVPEDDVLGVEHFLEPDFNKCFSGSVLDFNTFHSHKCLGIEHFSFAVDFDQIKIDSESLHSSLTLEGERTKQEGHDDKLRADDVCSGINKHPGAVNSRTTDDIFNLAPASSNASFLGNVDFGSYSQGFSTTDIGVDLSFAGGHNVAFDQKEGKHMISSSTGSSGCSGSTTACLDDENMSDDRPMKRKRLSSSDSLKTNFEHSESKINPFSEGNRGDTLVTEKRLRKPPRRYSEESVEQKSRSNSKKSALKASKDKSFHSESHKQQWQKKVKAAPIVHKDKSFNGGCIQVPFGLPIEEGHSAKKRTCWEPEEIKDNRILCIKDKYEVESFSAESEDENTEDECATKGNSTQKGNSRRKHHISWTLSEVMKLVEGVSEYGVGRWTEIKRLQFASSSHRTSVDLKDKWRNLLKASDTQLQNRRKVVLGRKQASQQVPESVLCRVRELAAIYPYPRENKSKESCSAPSTSSFKSTTNNMFVSLPTVM >KGN66468 pep chromosome:ASM407v2:1:23971766:23975283:1 gene:Csa_1G612920 transcript:KGN66468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALAAAGDSRAAEAAGEMAWEQLHSGPWHSVLPIWRDAYSMACLYMARFHFAGGDFKEALRVLDMGVIMGGPLFRNDLDSAIAKVSAEARSVRVLEDGRIDESSLGCQERNNEVAWNLPVKALTNTMIAKMSSLSLEGFLREYFQPGFPIIISDGMAHWPARTKWKNMDYLQKVAGGRTIPVEVGKNYLCPEWKQELITFSEFLSRIQSNDRSDDITYLAQHPLFDQINELRKDICIPDYCSVGGGELRSLNAWFGPPGTVTPLHHDPHHNILAQVLGKKYIRLYDASLSEELYPYTETMLCNSSQVDLDNIDEKAFPKVVDLEFVDCILEEGEMLYIPPKWWHYVRSLTTSFSVSFWWNNCDENSTSSSY >KGN63915 pep chromosome:ASM407v2:1:2962538:2965916:1 gene:Csa_1G025950 transcript:KGN63915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSGDIPPNQTIYIKNLNEKVKKEELKRSLYALFSQYGRILDVVALKTPRLRGQAWVAFSEVTAASNAVRQMQNFPFYEKPMRIQYAKTKSDCIAKADGSFVPREKKKKQEEKAEKKRRAEETHQSAMPNGTTTENGGSNATFRHANPSATEATPNNILFIENLPHETSSMMLQVLFQQYPGFREVRMIEAKPGIAFVEFEDDVQSSMAMQALQGFKIDPQHPMAISFAKK >KGN65700 pep chromosome:ASM407v2:1:17807980:17812174:1 gene:Csa_1G502880 transcript:KGN65700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATSTTNQDRRAMWFTRLASASRAALACSIVAYTTLYGPATLRRLVAFPAFSYLTATLIVTNAALGDAVRGCCLVVFATIQTVCPAMFLFWFIGPAKFSHITTAVTVALASVVVVLPSSTHLLAKKIALGQIVIIYVVGFIGGAHTDPLMHPLHVAATTALGAAASLIATLLPFPRLASLQVKRKSKSVVENMTERLSLMVKAILAEDRTMAAASISRAQFLSSSATKLLHSIKLYQESKQWEKFPLEICKMGWLSNSEKLEDLEMALNGMELALSKIPSYPIQNNPQNYQTLKHDLNTLENQITLSLKQANTYFPPSDSVTFPEINVDGNTATVINTLKSIQITPTSHQDLPNFFFIFCMKLLYKKTQVKTPIKFKEESKEKEIKNSTNKEKNRSTWVSSMNNQRVITALKCAISLGISVILGLIYNKENGFWGSLAVAVSIASNREPTFKVANIKVHGTMLGSIFGILSFVLFKKFLIGRLLCLLPWFVFTSFLQHSTMYGSAGGISAIVGALVVLGRTNYGSPKEFAFERMIETFIGISISVVVDIIFQPKRASKLVKIQLILSLQLLQKCINDSFCYESSTIMEKDLQGLRTQVIEVKKLIDEAEVEPNFLFLHPFHGDSHLKMFNSLSKMVGLLALNGEAMNNLKEGLWRKVGEKLEGDFEKFKEIMANGFVTFYENLRSSSLKSLKGDESKEDNCADIEMGEAQRIEVMDEIEKEKLINSFLQHLGEIVESKDGKSEEIILSLSAMAFCLNSLMKEMEEVGEAIRELVEWEKSSF >KGN63975 pep chromosome:ASM407v2:1:3451337:3453508:-1 gene:Csa_1G032450 transcript:KGN63975 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein binding protein MEALHLPSEIHPHFLASPVKDRKSDFQEMPESDIHIVTSGGLRIPAHSTVLASVSSVLENMIEQPRKHRSSEKVIQMLGVPCEAVVSFVQFLYTSRCPEEYLKKYGIHLLALSHVYLVPHLKQRCTKHLARNLSIHSVIDILQLARMCDAPDLSLSCMKMVSTHFKAVEKTEGWKFLQKHDSWLELQILQFMDESELRKKRCRRQRKEQRVYLQLSDAMECLEHICKEGCTNVGPLDVVPTKKQPCSKYSTCRGVQLLIKHFATCENRVHGGACWRCKRMWQLLRLHASICHQSDACKVPLCRQFKQKMKQENVEKEDAKWKLLVKKVLSAKTISSVCLPKRKRAVRDAMSADCIKSFRLQSDTFH >KGN66161 pep chromosome:ASM407v2:1:21571423:21573026:-1 gene:Csa_1G574780 transcript:KGN66161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQQQPRKPACCDQLEEPIKYGDVFPHVEGDLANKPVTPEDAAALQAAETVLLGKTLHGGAAATIQSAAAKNERAGLVGRGKDVGDQIVAEDVITNTDLVGAQEVVTEHRERVPIGPLSTLNPHEEGGGGITIGEALEATALTVGEKIVEWSDAAAIQAAEVRATGRMNIAPGGIAATAQSAATMNARVTQDEDKTKLADVLKDARTKLSADKPATRRDAEGVTGAEMRNDPYLTTHPTGVAASIAAAARLNQSNYSNK >KGN66070 pep chromosome:ASM407v2:1:21001540:21002660:-1 gene:Csa_1G569470 transcript:KGN66070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVRPLEIRIIKAHDLKDVNLITKMDVYAVVSISGDHLNNQKQKTTVDKDAGPNPSWDFPMTFTVDDAAVQDNRLTLKIKLLSDRSLGDREIGVVYVQIKELFDSIVHREGGVDDAGNEVKFGSFSVRLSNGKAKGTLDLAYKFGEKHNIESLPPLPPHTTEQYAQKEMEPPPVMAYPSTFPTSSSSYPPPADAYPPPPSGYPNAPPPGAYPQSHSGGYPPPPGYGYPPAGYGYGGGYQQPPPGYGYQPMKPPKKNGGGGGMGVGIGIGAGLVGGLLIGDMMSDF >KGN63659 pep chromosome:ASM407v2:1:1406135:1407700:1 gene:Csa_1G009630 transcript:KGN63659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVLLPLIFLLVVAAIGFSNGNDVGIYELRRGNVSIKLTNYGATILSVILPDKNGKLDDVVLSFPSIDDFRNDTTYFGNIVGRVANRIGGAKFGINGVFYKLIPNDGRNLLHGGKKGFGDVIWKVESYVKGNHIIFTYDSFDGEQGFPGDLQVSVTYMFLETNKLGVKMQAESLNKPTPVNLAQHSYWNLDGHSSGNILSHKIKLFASSITPVDSELIPTGKITPVARTPFDFTTPREIGSQIKSAGGYDINYVVDDTGSGHLKKVAEVTGKKSGRKMELWSNQPGVQFYTGNQLKEVKGKDGAVYKQYAGLCLETQGFPDSVNHPNFPSQIVNPGESYEHVMVYRFTAHHSD >KGN64553 pep chromosome:ASM407v2:1:6832326:6835124:-1 gene:Csa_1G064750 transcript:KGN64553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFQFANFCPFDSEFSAEGFCLSFLVGSDFPQFIFFLMEIPKLNPSSTHRELNPSEETRNSQKISVCSQSNGVHYSTNSDSFVIDMNGFSSNGGTKESTTNPRITLQRNSSRKGPQRGGDKMIANNSAPIDRDSSSPTVGATMAEKAAGPAAAVAVGSQQDHLGVPQVHHQITITTGNTAAPVERAILRRNSFRRPPSSWMLDPKKVLLLFATVSCIGSMVLIYFTLAIGKPNAEQRGFD >KGN63875 pep chromosome:ASM407v2:1:2705571:2708596:-1 gene:Csa_1G025070 transcript:KGN63875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITLLDFYHVMTAVVPLYVAMILAYGSVKWWKIFTPDQCSGINRFVALFAVPLLSFHFIASNNPYTMSFRFIAADTLQKIIVLVVLGIWTKVSRRGCLEWTITLFSLSTLPNTLVMGIPLLKGMYGDFSGSLMVQIVVLQCIIWYTLMLFMFEFRGARNLISEQFPDTAASIVSIHVESDVVSLDGRQVLETEAEIKDDGKLHVTVRRSNASRSDIFSRRSQGLSSGTPRPSNLTNAEIYSLQSSRNPTPRGSSFNHTDFYSMIGGGPLPGAASRGPTPRQSNYEEEHHGGGGGKSGARYYYHGNPAGVPPHYPTPNMGMFSPTGSRNVVSSKKSTTNGQAGQYKMDENSNTNNKDLHMFVWSSSASPVSDVFGSHDYAAPHDHKDVKLNVSPGKVEVGARQNQREDVEREEFSFGNRGMNSSSNNCQEIEGEKGGKTKTMPPASVMTRLILIMVWRKLIRNPNTYSSLVGLTWSLVSFRWHVEMPAIVAQSISILSDAGLGMAMFSLGLFMALQPRIIACGNSIATFAMAIRFLTGPAVMAAASIAVGLRGVLLHVAIVQAALPQGIVPFVFAKEYNVHPDILSTAVIFGMLIALPITLVYYILLGL >KGN64608 pep chromosome:ASM407v2:1:7158762:7160599:-1 gene:Csa_1G071220 transcript:KGN64608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNFRQNLLSLLCFWTLIPLFLKQSMAVDTLKAGQSINDTQFIVSATQKFELGFFTEPKSSNFKYLGIWYKEIPDVVVWVANRDKPIINSSATLNINGDGNLVLVNQTGEAFWFSNSSRSVKNAIAQLLDTGNFVIRDSTSESENYVWQSFDYPFDTLLPGMKLGWDLKTGLNRKLISRRSQMDLSSGKLSYGINTDGLPQLMVREGNKTMFRGWPWFGDGFRRSRSQEANFVYNTSLEISFSYSNDPDNEPSRVVLDSSGFVVHYVWSKRDENWHSSYTFEGSGCNSYELCGNFGLCSSVLVASCGCLDGFERKPNQNISDGCVRKDPETCRKGEGFRKISNVKWPDSSGEFVKIKLGTQNCEKECLNNCSCLAYGALKIPGIGASCVNWFGKLIDIRFNRDAGTGEDLFVRVAASELESSNKKSGVAVVVAMVIISILIFLALISWFIIRRVRRSARGILDKGAVMIEALIEENELEMPIGLIEGATDHFSISNKIGEGGFGPVYKVSHQKMK >KGN65117 pep chromosome:ASM407v2:1:11897562:11901461:-1 gene:Csa_1G226450 transcript:KGN65117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILYGMVARGPVVLAEFSSTQTNASAIARQIMEKMKQGDDDSNASYSHDRYIFHIKKTDGLTVLCMADEASGRRIPFAFLEDIHQRFVKTYARTILSAPAYAMNDEFSRVLSQQMDHFSNDPNADRLNRLKGEMSQVRNVMMDNIEKVLERGDRLTLLVEKTTNIQGNAVRFRRQSRRYRNTLWWKNFKLTCSLMLIFPIVLYIMLAFFCKGPLLPSCLN >KGN66871 pep chromosome:ASM407v2:1:28477968:28478999:-1 gene:Csa_1G701960 transcript:KGN66871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLLTLFLLLLLFALFPLLQALQWRTQQTNLNNFEGSSDFVNLEYHMGPVLSSPINLYIIWYGHWNPNLQDTIKDFIFSLSPPSPSSPRPSVADWWRTIELYADQTGSNITGTIRLSGEFHDSSYSQGNYLSRLAIQHVIKNSITSQNQTPLPLNPYTGLYLVLTSSDVQVQDFCRAVCGFHYFTFPSVVGATVPYAWVGHSGKQCPGVCAYPFARPEGSEAPPGSGIMGIMGAPNGDVGVDGMVSVIAHELAETSSNPLVNAWYAGDDPTAPTEIADLCMGLYGSGGGGGYVGNVYRDYWGNGYNLNGVNGRKFLVQWVWNPVQRRCFGPNALD >KGN66212 pep chromosome:ASM407v2:1:21947251:21948829:-1 gene:Csa_1G586250 transcript:KGN66212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASINVSYFFIVLFLLAFSANAELSSHFYSKSCPRLKWIVRAGMAKAVNRDKRMGASMLRMFFHDCFVNGCEASVLLDDTPTMRGEKNAFPNRNSLRGFEVIDDIKTEVEAACKETVSCADILALAARDGADLLGGPFWDVRLGRRDSRTASESEANNNLPAPSSNLSTLISMFAVKGFNANEMTAMSGAHTIGMGQCQFFRTRIYNDTNINSAFAAQRRANCPLNGGDSNLAPLDSTDIKFDNKYFIDLINQCGLFHSDQELSNGGSQDALVRTYSMNSITFRKDFENAMIKMGNLSPASGTITEIRKNCRVVN >KGN66672 pep chromosome:ASM407v2:1:26309992:26321758:1 gene:Csa_1G657480 transcript:KGN66672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHFGHLTDDGVLVDANAGSFAQSRPSKRRRNGWIPTKDSHSPILSSPSLTIPPGINPTLLLDSPVMLLNTQDLPSPTTGTFPPIHQIKDEQSLLNPVMPEDGISHGSEDSFFRFAPQGELCTLQSLLRIENQEAEIDHQALESEKTLMDFEFVPDIPKEAAVLKYEIAPSTDNSYFDGKIVNGNCENMESCLSSITTNQPCIHEESTQGDDIDTQHPLEDEQKGSYIPMGMLRTSEDGYNWRKYGQKQVKGSEYPRSYYKCTHPNCLVKKKVERSLDGQITEIIYKGAHNHAKPDPNRRAMAGSVPISGDNPEIGEGGGNHSKLEAGLTWRNSQYGVKDIKPISNCSVDGLERTPSVSVLSELSDPLLNPQEKTVGVLEPVGTPELSSTLASHDDDNGGGGDDDLTTQGSISVCTEADDAEPELKRRRKEDSSIETNLASRSVREPRVVVQIETEVDILEDGYRWRKYGQKVVKGNPNPRSYYKCTSAGCLVRKHVERASHDLKCVITTYEGKHNHEVPAARNSSQVNSGNGNAQPPASHVQPNMGLSRNSNVPKSETEIQDLATHFYPKPEFNNDYQRSGFDTFTNDMKLGAPPFCQMKFPPLRNTLPYSTFGLSSKHTATGISGSLASVVSDFPISLPLNQKLSAAGYDYTNGRPILPFQVFLAGQQLRETDRFLTPKQEHDDDNICASFQPVVDSSSGSSSSSISSVYQQIMGNFT >KGN66323 pep chromosome:ASM407v2:1:22698472:22699292:-1 gene:Csa_1G597700 transcript:KGN66323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSTIILVSALCFISLFHLASCKDQFFVEGKVYCDTCRVQFFTKVSKFLEGATVKLECKEIEGGSVTLDKEAVTDKSGKYSIEADGDHEEEVCEVSLMKSVDPDCDEISKEGYGHTSRVTITNNSGITNPVRLANPLAFLKKEKLSECKEVLRELGFDEEGLPV >KGN65065 pep chromosome:ASM407v2:1:11340485:11343006:-1 gene:Csa_1G188670 transcript:KGN65065 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglycosylase/hydrolase MKGLRGFDVIGLFVICMYVVALSIVVSGRPATFLEDFRVTWADNHVRQLDGGRGIQLVLDQSSGCGFASRRQYLFGKVSMKIKLVPGDSAGTVTAFYMNSDTDTIRDELDFEFLGNRSGQPYTVQTNIYAHGKGDREQRVNLWFDPAADFHTYSIMWTRWLIIFGVDDIPIRVYKNHEEKGIPYPKLQPMGVYSTLWEADDWATRGGLEKIDWKKAPFYAYYKDFDIEGCPVPGPANCPSNPNNWWEGTAYRTLSPAQAKNYQWVKANHMIYDYCTDKSRYPQTPPECALDNL >KGN66747 pep chromosome:ASM407v2:1:27194469:27194800:-1 gene:Csa_1G673540 transcript:KGN66747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTRNLKQIDDALQRPGRMDRVFHLQSPTQYEREKILQIAAEEFMDEELINYVDWKKIMRVMKLVNCLPMIFA >KGN66891 pep chromosome:ASM407v2:1:28679395:28689338:1 gene:Csa_1G705100 transcript:KGN66891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASDRAGTSEEIGLNGDGLSIPLGGPIYAPNLVGPLTRVPHFESSVVQELQSLEAELQLDSCQQCDEDISIDELKIFTEEQLLNMALEGSLQSHGNANNQSELQEENMNAGLLRECEEEVNGHNLEADSVSNANRSTNKITRKRKKEELSNIEEKSIAKVAEIVKIKQKQETDRAVVQLHAFKWKKDIASSSSESKERLKSLRSTNFSAKVPHVKSLSGGKHESLHHPTTVLFVEVYHKSRKMVKSQELLALGRQTLAELKDKIYCSTDTLMQKAGQQDSSGYFLVEDVFCNDLRNPSATDYSKPILDWLRNSEDEARKKWGCIITGESQQKSSVVGEVSDLHVPHFRSVSMNKARFCDLKFRLGAGYLYCHQGDCKHTIVIRDMRLIHPEDVHDRAAYPIVTFQLRTRAQKCDVCNIYRAKKVTIDDKWAQENPCYFCEDCYFLLHYSKEGNLLYNDFVVHDYLKD >KGN65058 pep chromosome:ASM407v2:1:11282514:11283464:-1 gene:Csa_1G186630 transcript:KGN65058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMNYDPPWKRRSSDAPKTVATLLLQISHNIPLPHFFTLHPPSSMNTTTSFYYTTSFSHSFHNHDDHSTPPNPTPILIQPPPLLHSSAASKIQAAYRSHRIRNLFKTIAAVDTEADQIQTLIQRQETVDAVRSNQLEKLRMNEALMTLLLRLDSVPGIDPAVREARRKVSRRIVGLQEILDAVSEAKVDFNGWDCDGLVRNWDETVAEMEAEVCRERGGDEMERFCAQYLGFRCFQRFLSGS >KGN64911 pep chromosome:ASM407v2:1:9984687:9986086:1 gene:Csa_1G153560 transcript:KGN64911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGILGTGILGRGGKSVDGIEGTIGGIVVGGTILGMNGIEDNEGTLGIMGGRLGTIGSEGNEGTPGVMGGRLGTIGSEGNEGTPGVMGGRLGTIGGKGNEGTPGIMGGRLGTIGSEGNEGTPGVMGGRLGTIGGKGNEGTPGVMGGRLGTIGGKGNEGTPGIMGGRLGTIGGKGNEGTLGIMGGRLGTIGGKGNEGTPGIMGGRLGTIGSEGNEGTPGIMGGRLGTIGSEGNEGTPGIMGGRLGTIGSEGNEGTLGIMGGRLGAIGSEGIFGIMGERSGMIGNEGNEGTPEITDGMEGNNCGR >KGN66764 pep chromosome:ASM407v2:1:27438038:27439918:-1 gene:Csa_1G680110 transcript:KGN66764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMESDSIEKKFKLKHSSAEKFYGFLRNDLGDLVKMFPDVYKTIEVVEGRTFSSGSVVHFQYHLGDQVVSEKWLIKVADDAKKCIVYEAVEGDMLNYYKMLRARVEAVNGRSNEIGESFAEWTVEFEKADENVPLPQTHLDLFVEMSKAVDAYCFSCSK >KGN66559 pep chromosome:ASM407v2:1:24947912:24954212:1 gene:Csa_1G629160 transcript:KGN66559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSEALQKRVNGEAEVNLQKQGEQHPRHSLNGRCGKSGSERKSVRSCPDDSCREDNTGVASYKDVPSSVDIDMQPDSLRKKTRKQREISFQDMGNNVDIFDDGDDDDDDDSDWEPLQKLREIVKWFCTNCTMANLDVAVHCDSCGEHKESAILKHGFFAPSFVQDSNFLKTELEMKRTDKAMVSQVSTSNSSTAIGFDERMLLHSEVEMKSHPHPERPDRLRAIAASLATAGIFPGKCYPIPAREITKEELEMVHSLENIQAVEVTSNVISWYIIFSLYHFPYRMIECISLLCK >KGN64746 pep chromosome:ASM407v2:1:8182420:8197421:1 gene:Csa_1G086890 transcript:KGN64746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKICIWRLELGNFPFIFVASAAVSISLPLTLDLSLPSECISGRRTFTHPTAGKLIKLSHSFPLSVFNLSLSLPRSPSSLQPPMVIRRHAVTFGQQVIHANHPSPKVAEISFMALRSVTRRIGSKFFPMFSSANLLHSHATSFGFKEVPEEEKSRLVGNVFSNVASNYDLMNDLMSGGLHRLWKDRLVSELNPFPGMNHLDVAGGTGDVAFRILDRINDVKRRAMQDVREDDLQEQTQVYVCDINPHMLSVGKKRATERGLGEGGSLIWVEGDAEALSFPDNSMDGYTIAFGIRNVTHIEKVLDEAYRVLRRGGRFLCLELSHVEAPLFKELYDYYSFSIIPAVGELVVGDRESYQYLVESIRKFPSQEKFASMIVDAGFQKVEYENLVGGVVAIHSGLKL >KGN63932 pep chromosome:ASM407v2:1:3130650:3130892:1 gene:Csa_1G029590 transcript:KGN63932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMKREEHLVVAAGKVVVVVTEEDEGCFRGVAAANASLLSFLLLLFLFLWEPSLLSRIELVDLRPVRYFSRHSLFFSPLF >KGN66631 pep chromosome:ASM407v2:1:25784948:25787871:1 gene:Csa_1G651650 transcript:KGN66631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALHFSLSPPSVRSSPSFPCSGRQPIATLSESKSGCFSSRSLSLSSSRSIGVSGRNGSFKVNSSLETAGATVGQVTEVNKDTFWPIVNAAGDKTVVLDMYTQWCGPCKVMAPKFQDLSEKYLDVVFLKLDCNIDNKPLAKELGIKVVPTFKILKDKKVVKEVTGAKFDELVHAIDAVRSS >KGN66651 pep chromosome:ASM407v2:1:26071117:26086796:-1 gene:Csa_1G653320 transcript:KGN66651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGRVGRLSIKIPWKKLGWDPIIIILEDIYISASQRDDGEWSSDAVEKREFAGKKAKIAAAELAKLSRRVCDNHAGQSFISYITAKILDNIQISIRNFHVMFLDMTGDLGHIKLGLKFSSLKIMKQIL >KGN65313 pep chromosome:ASM407v2:1:13716458:13720654:1 gene:Csa_1G320310 transcript:KGN65313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGTPVAGGYMRQRHSQGYASSGDDIEDDACSRFQTMSFHTPKSRTWIEVVENVLWLVSAAFIVYYGDRHSNMIYLLWHDGRVRRLPLYLGMVGIGLNIVIFIYTSMSAWSIRRFDEKWELASLSVLPIVTLLGLISFCLLSFALWPIWGFLTLPLLFTMFMACMVVFPNIMIGKFRPQSDFLRTD >KGN64415 pep chromosome:ASM407v2:1:5974811:5976674:1 gene:Csa_1G050540 transcript:KGN64415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGESQESNNASEAKPADVFRPSSMGVKKALTMIPPHIIAEAISTLTGLDLRWSGPITPAERQYVEQYVLAKYPQYAGLDGEKIDLSCLCIDEEPTDQIMADDWRKSPRNIPRESSTPSFGSNLPNLEGTQLEPSRLLDILNKKSSFPGSFISIPEIQAQNKVLKHCGLPDEEYLVLFTPSYRQAMMLVGEAYPFFRGNYYMTVIREEHDCIKEFASFKESKVIEAPETWLDLRIKGSQLSQYFRRKCKHSPKGLFSYPADVNGTRYSLHWVSEAHRNSWHVLLDATAFVVGGERLNPLLHRPDFVLCSLDNTHANPSRIICLLIRKKSFDTTMASSQAAE >KGN65763 pep chromosome:ASM407v2:1:18379966:18380976:-1 gene:Csa_1G526830 transcript:KGN65763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAATEAHNHHMHGMTPPPDSSTASPEMMHHKMMMHMTFFWGTNAEILFHRWPGERSGMYALALIFIFVLAFLVEWLTHCRLIKEDSSRAAAGLIRTLMHTVRVGLAYLVMLAVMSFNVGVLLVAIGGHCLGFFLFGSKFFKRSEAVSAYVKL >KGN66647 pep chromosome:ASM407v2:1:25980595:25983591:1 gene:Csa_1G652300 transcript:KGN66647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSSKRGVPTTSRNKVIRSLRKPSKNHMSTNSSCGYLPLPLNHYVGLKFRPTDQQLLHYLHCKIYGQPYFQGAVFDFDLYGGVEPWEIWQSFGGIDGEDLYFFTKLKRSTTNCGNLSTHVNRKIGLVNGTWSGENSASPIYVNENCEEIIGYRKRFRYENESLEEHHGEWIMHEYSMHQRYLRCEGVDSNYVLCRMRKNERVKRKLLEIQGEAKQPNKKRIKAPHISDNERCDELQPIDQRATTCETIYNLDIRPDMNTHQDISVVDRVPNMTTNQDNRNENTSLGIEDYVPCMPADDEFKDIRTLDEFCTYIQNNFDETLSTFKV >KGN64269 pep chromosome:ASM407v2:1:5070843:5072771:-1 gene:Csa_1G045670 transcript:KGN64269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLFFSSLKNSSTQAQLNHNTTTGMWMGAASMAGSWVAAGPTIASFMFVWAMIQQYCPQAVLRFFKKYWRRLMNYFHPYIQISIHEFAGERLKRSEAFIAIESYLSKNSSNTAKRLKAEIGKDSTNLVFSMDDHEKVTDEFQGVKVWWVLNRTGSSTNPDNSYPNPDKRYYTLTFHKNHRSLITEPYLKYVLSEGKEIRVRNRQRKLYTNGSGGRWSYSHTMWSHIVFEHPATFDTIGMEAKKKQEIIDDLQTFTSSKDFYARIGKAWKRGYLLYGPPGTGKSTMIAAMANLLNYDIYDLELTAVKNNTELRKLLIETTSKSIIVIEDIDCSLDLTGQRKKKEEKLKDDEKEKPSKESSHKEDESSSKVTLSGLLNFIDGIWSACGGERLIVFTTNYVEKLDPALIRTGRMDKHIELSYCSFESFLVLAKNYLNLETHPLFDQIKELIEDVNITPADVAENLMPKSPKDDLEKRIHKLIQTLQQAKEAAIVEESQEANTAESTTTYLQSQTEGERSSSSSI >KGN66922 pep chromosome:ASM407v2:1:29148743:29149009:1 gene:Csa_1G716260 transcript:KGN66922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLQSGAAAEAATAKANDGATLVMALNKETKSIVVATIGTDPLTANLSAHFYHTPAFM >KGN64219 pep chromosome:ASM407v2:1:4797141:4797758:-1 gene:Csa_1G043220 transcript:KGN64219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNFALLCFLFIVIASSEVRFCRADASPDAVLDTDGKKLRAGDQYYILSVYSRNSGGLSIGGIYGYEKCPINILPESYDYLHGLPATFSPINPKKGVVRVSTDLNIQFEANTRCGISTVWKVGKFDEYLKQYFVTMGGMKGNPGRETIENWFKVEKYGKNYKLVYCPTVCKYCKVVCKDVGLFYKNGRRVIALNDAPFPVMFKKV >KGN65028 pep chromosome:ASM407v2:1:11027857:11030454:1 gene:Csa_1G181410 transcript:KGN65028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVMKPSSRYTSYDIRSSTSSHFSDPSSSSDFNIKSPLPPNSSSSRALVKTKPSDLARAKVKPSDQNLTAMVKKFMEKRSGSKPKTLKHAAGLVISSDLIAEDLKKTARKGTNFGGLHKKLFGKGTVEKKEVKEVKALTEVKGNTRTLAMVLRSERELLSLNKDQELEITELKLVLEEKYREIEKLKDLCLKQREEIKSLKNAVLFPDVMNSQLQNMLEKQDSELKQAKQIIPTLQKQVTTLTGQLYSLAEDLAEVKADKYSGKSWLQGSISPHTPTYDHEDASNSLEFSVCDPTSPGSPDDFLLKDVNPCLTPYYATKSKEFEAMGYDSPRDEILPQNRMESGFKSCSRKLSKSSDCKQISNKANTTKTGRQSDEAKYTYGKPMRKFY >KGN65143 pep chromosome:ASM407v2:1:12250362:12250625:-1 gene:Csa_1G240600 transcript:KGN65143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVREEGLSSESGEGVGEGDWRMEREKIDQRRDNATKEDRFFYKRTMDALKGIGKSGSQL >KGN65979 pep chromosome:ASM407v2:1:20317822:20318063:-1 gene:Csa_1G555640 transcript:KGN65979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILEVTFEVLRCLSRCPPRETGDLDVFVLVAVGLLVLGTTSFNDFLTHDCM >KGN64217 pep chromosome:ASM407v2:1:4791693:4792503:-1 gene:Csa_1G043200 transcript:KGN64217 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tumor-related protein MKNFGILFYFLFILLASTQLIRFSTADASPEAVLDIDGKKLRAGVNYYILPVFRGRGGGLTLGNLQSEKCPLNVVQEQLEVMNGFPTTFHPVNPKKGVVRVSTDLNVQFEASTICVTSTVWKLDKFDESTGQWLVTIGGSRGNPGVETVDNWFKIEKHGKDYKLVFCPTVCNFCKVMCRDIGIFFKNGERALALSDTPFPVMFKKV >KGN64038 pep chromosome:ASM407v2:1:3728645:3730721:-1 gene:Csa_1G039050 transcript:KGN64038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEANREFKHFCKLCNRSFPCGRSLGGHMRSHFINSETGENPKKMNLKKAGKFLGDGTSDGYSLRKNPRKTCKLAEFSAEDRFCRECGKSFQSWKALFGHMKCHSTETERVSSNLEFDSQSDNETAGANRGKRSRKQTRYMAAEISSSFSFAAIAAAAASSSVSDQNDQEQEEVALCLMMLSRDVGGFYSTTESSDNNFMPKQVPSLVPKNHFSKVVEAIPSVYMGQLKDFHSRKLKLSEMDSGCLKFEESNSEISASAVKMNKNEEKFQQDDIFGSSSNNQTDINQPQFNSSKFNSDQRKFHELSNGELRSNSFRRSTPNELNSESYKSKGKRSKFQCNSCNKIFHSYQALGGHRASHKKTKGCLASKTENSENSIETEISNDPTFESKSTATALEVENHQESEIHMGYEKKIRKHHQCSICFKIFSSGQALGGHKRSHLINGSESRNKLPETKSNQKPEAEIRDYLDLNLPAPIDEEGSSHLGSMEPWWVGGSHSHEQALVGLI >KGN65737 pep chromosome:ASM407v2:1:18204982:18207499:1 gene:Csa_1G523610 transcript:KGN65737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNLLDDFPNSWSNNYPFHPYLSNSLFKVNRDAVDPLQIPAKSHQHQLQHHQLTNNSSSSPSSSSSEGDSPDSHDTSNTMLKYITEMLMDEAEDLKTQPCMLLDCLALQAAEKSFYDVLGQKYPPSPTADSSSCDRALGGEDESESFNGNSNSSLFHPFQNSVLSQDSFLGMQFLGHFRQGAEEASKFLPVNGRFGTIALDNDSSSSTSFPSRPVDFSWVAAENDGRSTLENGLLREKKNRLREDSDEELRSSKQSANFVDDNSLSDLFDEVLLCRGESRQSPPSCGSDESSESEANKKSRGRGKRKGKKSSRSRKQENSVEVVDLWTLLTQCAQAVSNYDQRTANELLNQIRQHSNPSGDGNQRLAHYFAKGLETRLAAGTPLYLPFASNETSAAEILKAYQMFIKACPFRRMSYFYGNRTILKLAEKVTTLHIVDFGLLYGLQWPCLIQRLSRRPGGPPKLRITGIELPQPGFRPAERVEQTGRRLAHYCKRFNVPFEHKVLAQKWETVRYEDLNVDRDELTIVTCMFRMKNVPDETVVANSPRDRVLKLIRKINPDLFIHEVTNGSFNTPFFNTRFKEALFYYSSLFDMYEATVPRDNPQRFLCEKEILGRDIMNVIACEGLERVERPETYKQWQVRNTRAGFKQVPLDQDLLKCVEKIVNTEYHQDFNIDQDGSWMLQGWKGRIIDALSCWVVA >KGN66599 pep chromosome:ASM407v2:1:25509120:25524839:1 gene:Csa_1G638460 transcript:KGN66599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVDSSMLSEVDHDLAQDQTTASPPAEMEGDQDGEQSPGGNGSPIQSPATSQPQQSSTPQVQQISVVGPRHAPTYSVVNALMDKKEDGPGPRCGHTLTAVSAVGEDGTPGYSGPRLILFGGATALEGNSAAAGTPTSAGNAGIRLAGATADVHCYDVLTNKWTRVTPLGEPPTPRAAHVATAVGTMVVIQGGIGPAGLSSEDLHVLDLTQQRPRWHRVVVQGPGPGPRYGHVMALVGQRYLMVVGGNDGKRPLTDVWALDTAAKPYEWRKLEPEGEGPPPCMYATASARSDGLLLLCGGRDANSVPLASAYGLAKHRDGRWEWAIAPGVSPSARYQHAAVFVNARLHVSGGALGGGRMVEDSSSIAVLDTAAGIWCDTKSVVTSPRTGRYSADAAGGDAAVELTRRCRHAAAAVGDLIFIYGGLRGGVLLDDLLVAEDQAAAETTSAASHAAAAAATSCIQSGRLAGKCGFNDDRSRHAIHVVAPDGAVVLGNPVAPPVNGDMYTDISTENALLQGPRRTNKGVEYLVEASAAEAEAISATLAAAKARQEQDNGEVELPDRDRGAEATPSGAQISSLIKPDSDALNNIVPSGVRLHHRAVVIAAETSGALGGMVRQLSIDQFENEGRRVSYGTPESATAARKLLDRQMSINSVPKKVIAHLLKPRGWKPPVRRQFFLDCNEIADLCESAEKIFSSEPSVLELKAPIKIFGDLHGQFGDLMRLFDEYGAPSTAGDIA >KGN64614 pep chromosome:ASM407v2:1:7183476:7189323:1 gene:Csa_1G071280 transcript:KGN64614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKTRHGISLLLLLSSTCFFSRICYGGDTITSTNFIKDPATIISNTSVFKLGFFTPSNSTHRYVGIWFEKISPQTVMWVANRDTPLNNTSGIFTISNDGNLVVLDSTNTILWSSNISSSSSSAANNTIAQILDTGNLVLKDTSSGVIKWESFEHPTDKFLPSMKLITDKRTNEHVGFTSWNSPSDPSTGNFSFLLDVRNIPEAVILNGGKTYWRSGPWNGQSFIGIPEMYSVYLSGYNLAIQDQIYTLSLATNIGAQEILYLFLSSQGNFEQRNWDDEKKQWNTSWVSHKTECDFYGTCGAFGICNAKTSPVCSCLTGFKPKQEKEWNQGNWRSGCVRKTTLKCEKQLNNNTDAKEDEFLKLGMVKVPFFAEWSFASLSIDDCRRECLRNCSCSSYAFENDICIHWMDDLIDTEQFESVGADLYLRIASADLPTNSGRNNKRIIIAIVIPVTFVIFIIAIFLTMWKRKINKHEKKLNMTSSVKKKILKQSIVDDDMIEGEIKLEELPLYDFEKVAIATNYFDLNSKLGQGGFGPVYKGKLLNGQEIAVKRLSRASKQGDSRLKIIHRDLKLAF >KGN65709 pep chromosome:ASM407v2:1:17921794:17923020:1 gene:Csa_1G505940 transcript:KGN65709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSWLYIGLGIILGFLFLGILTELYYLLCRKNKRINDTSEVEEDNHHHFSKQPSLRLIPSETQNPPQNRCGFDVEHGSGEVSLLKTTGREEEDEGEETEDDLELQGIYNLAGQPRFLFTINEETKEDLESEDGKSRKGSRNRSLSDIITAIETPFFTPMASPPLKASSPLSLNLETYNYKVHGFNPLFESSEEVEQNLKRLRSSPPPKFKFLRDAEEKLYRRLMEEAHQRKTEIPKNEQRKVINNNQKFIYSSSSSQVLPLISSPP >KGN65751 pep chromosome:ASM407v2:1:18304957:18305420:1 gene:Csa_1G524730 transcript:KGN65751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVSSFVSLKSMSVQGATKGAINQLTKYLACEWAKDNIRSNAVAPWYIKTSMVEQVLSNKAYEEEVVRPPIIHTYIPEPRFDAWANQVKYHP >KGN65518 pep chromosome:ASM407v2:1:15926758:15928429:1 gene:Csa_1G434160 transcript:KGN65518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMKMKRKDLDQINDDFSDFSLSSPARKIRRLDVGLPPIIEEEEPPEFSVLSKQPLIPEDFTVGGNGVRIEELSDASSVSPSVYAMEDRPFCDNQERAIVLFKPVNTSFFQSSPLSVSVDSDIISGFKSEFLRENCYDGRVKCGEDDEDMVIENKNLAVVPWVPRLQVPTSSTMNVPQEEEAPQLMEAEEVGEATMEIEEDNNLNNSQQGYGYGGMDGANGIHQWHHQQQHCMIPQLPQQTSSPITWFR >KGN65528 pep chromosome:ASM407v2:1:16005880:16007074:1 gene:Csa_1G435730 transcript:KGN65528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAYLLQVSTGLCIKQEREYWKGTDCCSWKGVGCDHTNGGHVVKLDLRNYEYFYSSALLSNGVDSSLFESKYLNYLGLSANFFNYTPIPNSFGGLLGLTYLNLSSTYFHGAIQPFLGNLTKLLVLDFNNKGQLNEYLDLSGVRVVESGKLDVDYLIQLLNSIPSCFSLNLSSSALQNYQLLDAPLNSSFRSKLQHLDLSYNEFDGPIPIILRNMTSLRYLNLNGCKEYGLQRLYPEEMIGFGMHTIPKALSRGDDWIWDAYNSKLVGRIKKLEVSFSSRECTSWSNSLLIWKFVKSLRLGYF >KGN66780 pep chromosome:ASM407v2:1:27615539:27615868:1 gene:Csa_1G690185 transcript:KGN66780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLNNFIYLTASNFLICVPPSFTGRHTRASYATRFLFSVGPVQMGARTAKNRPKSTLPDPDSSKTESILSLMTLTAPWKVSGFKKFNNLRRISSKLGLRMDFSGTPLPE >KGN64580 pep chromosome:ASM407v2:1:6954363:6955444:-1 gene:Csa_1G066490 transcript:KGN64580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGICIQRKDKKISNCRRERSFFEMFCKPGLPLFHLPCLQFTPLSPFPPITNLEESSESAAELEMERNYGFLVCILVLVIDAVAGLLGIEAEKAQNRVVLESLSISLGECSRKPRDDAFSRGLAASILLGLAHVIAKVLGGCKCICIRNKQNSQEPSANQLLGSLFMILSWITLAIGFSVLMAATMDNSKWKNSCEISSHGLFLGGGIVCFFHGLCTVAYYVSATAAYREEQRDSKPIPEPQRV >KGN64062 pep chromosome:ASM407v2:1:3862724:3863411:1 gene:Csa_1G039270 transcript:KGN64062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISKLKEEGAHDEAKQRKGKMKKARTCSRQGQFLRGNPRIFRVSPFFGGKDRHSKVCTIKGLRDRRIRLSIPTAIQLYDLQNKLGLSQPSKVIDWLIDVTRFEIDKLPPLPFPKDFDPNASILHHSDIGDAAFKAKNEETDHTLLVQTNDINGVCSHFEPPTFPFPFMDDQTNSAPFSF >KGN66275 pep chromosome:ASM407v2:1:22443791:22446299:-1 gene:Csa_1G590800 transcript:KGN66275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVMSLRLTPAMGFTAKISNQTRFINPKYGQKTGAARVFKSSSACAAFLANYDTSASVKVNFWNHPYDLPPWSISILPDCKTVTFNTAQIGVKSYEAKMTPISSFGWLSYKEEPASAYAKDTTTKDGLVEQVSVTWDTTDYLWYMTDIRIDSTEGFLKSGQWPLLTVNSAGHILHVFINGQLSGSVYGSLEDPAITFSKNVDLKQGVNKLSMLSVTVGLPVSFFVTIFLIIDFRYHIPRDWLSPNGNLLIILEEIGGNPQGISLVKRTAF >KGN63760 pep chromosome:ASM407v2:1:1983814:1988995:-1 gene:Csa_1G015060 transcript:KGN63760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIRSVKLREAHKAASNGKPSFCSVLWDQQAYHIVTASSSESAISIHDSLLPSTTPKIIHQHREGVTALALSPNSTCLASGSMDRSVKLYKFPGGEFETNITRFTLPIRILGFNKSGSLLAAGGDDDGIKLVNTVDGSIARVLKGHKGAVTGLAFDPNSEYLASVDSIGTVIIWELQSGSIIHNLKGIAPNTGSDPSVMNVLGWSPDGETLAVPGLRNDVVMYDRDTAEKLFSLRGDHTQPICFLSWSPNGKYMATSSLDRQILIWDVDQKLDIDRQKFDERICCMAWKPIGNALAVIDVMGKYGVWESVVPSSMKPPTEDIPKLQSRNSNGLLLFDEEDEEPSAHENSSDLGEDSYHESEIPTRKRLRKHSTNDEILDEVDSEDFSLFPKLDSRKTSHRVKRDKLDNVDEGAIGKATYSRVKQQEAFQPGATPLQPGKKRFLCYNMLGSITTFEHDGYSHIEIDFHDTGSGPRVPSMNDHFGFTMAALDENGSVFANPCKGEKNMSTLMYRPFGSWANNSEWSMRFDGEEVKVVAIGSRWVAAFTSLSYLRVFTDGGLQKHILSLDGPVVTASGFKDELAFVTHSSTCLPSNDQVLEFRILNISNGTQLLRGRLPLTPGSYLTWFGFSEEGKLSSYDSKGVLRVFTNQYGGSWVPLFSASKERKSDENYWVAGLNANKLFCVICKNPDSYPQVTPKPVLTLLNLSFPLALSDLGAEALENEFMMNDMYLKQIHGTMEEMALRGLYDTELDDEAFSIEAAQDRCILRLIASCCNGDKLVRATELVKLLSLEKSVKGAIKLVTALKLPNLAERFNAILEERLLNETKGIVETTLSRPNCNGSVLPNAPISPKAKTSEFTLPSTLKAPILSAPLFTKKQKSEGAKFESGKAEDKQTSGVNEISKMHNTNVADATKAAVSLSKVDSNLETNQHFKSSNNQNVKPGGVNQVTSNRPVNPFLKSSIK >KGN64741 pep chromosome:ASM407v2:1:8119260:8122320:1 gene:Csa_1G085370 transcript:KGN64741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSNHKLIFFFFCFVLYSCVVPKLVFGGTTTRHYNFEIKMQNVTRLCHTKSIVTVNGKFPGPRIVAREGDRLLIKVVNNVPNNISIHWHGIRQLRSGWADGPAYITQCPIQSGQSYVYNYTIIGQRGTLFWHAHISWLRSTLYGPIIILPKLGVPYPFPKPHKEMPIIFGEWWNVDTEAVISQALQTGGGPNVSDAYTMNGLPGPFYNCSTKDTLKLKVKPGKTYLLRLINAALNDELFFSIANHSLTIVDVDAVYIKPFKTNTLIIAPGQTSNVLLQTKSNFPNAKFYMLARPYVTGQGTFDNSTVAGILEYDDHQQKNPKKTLPIYKPNLPSLNDTSFVTNFTKKLRSLANSQFPANVPQKIDKKFFFTVGLGTNPCSNNKKNQTCQGPNGTMFAASINNVSFIMPNVALLQSHYKGQSNGVYSPFFPNSPLIPFNYTGNPPNNTMVGNGTKVVVLPFNTSVELIMQDTSILGAESHPLHLHGFNFFVVGQGFGNFDPNKDPQNFNLVDPVERNTVGVPAGGWVAIRFLADNPGVWFMHCHLEVHTSWGLKMAWLVLDGKLPNQKLLPPPADLPKC >KGN64846 pep chromosome:ASM407v2:1:9369657:9372729:-1 gene:Csa_1G132070 transcript:KGN64846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDNIFPSLHVLKNGRHQRIRIETVLLKSHVLSEVQRSMLDGGMHVGDVPVDSVPRYRTTSHLGQQRAPSEFPERFKESNAGMGGPGGLWHFIYRSIYLDQYVSSEFSSPISSRQQQKRLYRAYQNIYASMHDKEIGPHKTQFRRDENYVLLCWVTQDFELYAAFDPLADKALAIKICNRICQWIKDVENEVFLLGASPFSW >KGN64072 pep chromosome:ASM407v2:1:3925627:3927534:-1 gene:Csa_1G039860 transcript:KGN64072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSDKHKLLTFSATSFLFLISLAAAFDFLDPNGKIEIKWDVMYWTADGYVATVTITNSQTYRHITSPGWTLGWVWAKGEVIWSMQGAEATDQGDCSSSTFTGTIPHSCKRDPSVVDLLPGAPYNRQVAMCCKGGVLTSWGQDPSSAVSAFQLTVGHSGSSNKTVRLPKNFTLNGPGLGYTCSQAKIVPPSAFYSSDGRRKTNALMTWNVTCSYSQFLASQTPTCCVSMSSFYNSKVTPCKACACGCQEETACILTESDLQSITELNAPTNDSAPLVQCTTHNCPIQVHWHVKENYKGYWRVKITISNLNYRLNYSQWTLVVEHPNFNNTVEVYSFVYKPLTPFISKNDTALFHGLKSFNDVLLQAGPNGNVHSEIIFQKDSTFTLEHGWAFPQKVYFDGDECVMPSPDSYPFLPNLANANPILLSTLACIFLPIFFTLLS >KGN65795 pep chromosome:ASM407v2:1:18587238:18589728:1 gene:Csa_1G528600 transcript:KGN65795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANDDVFPFLPLFLFLILTYFIWFHFLARKLTGPTVWPLIGSLPALISNRRSLHDWIAGNLRATGGAATYQTCTVALPFIAKKQGFYTVTCHPRNIEHVLRTRFENYPKGPDWQAAFHDLLGQGIFNSDGEIWLIQRKTAALEFTTRTLRQAMDRWVNRTIRTRLWCILEKAAEYKTAVDLQDLLLRLTFDNICGLTFGKDPQTLSPELPANPFALAFDTATEATLQRLLYPGLLWRLEKVLGIGMERRLQKSLKVVEEYINDAVAARNKESPSDDLLSRFMKKRDDDRFSSAVLHRIALNFVLAGRDTSSVALTWFFWLVMNHPHVEEKILSEISTVLRQTRGDDIRRWIEEPLVFDEADKLVYLKAALAETLRLYPSVPEDFKYVVADDVLPDGTFVPAGSTVTYSIYSVGRMKSIWGEDCTEFKPDRWLSPTGDRFEGPKDAYKFVAFNAGPRTCLGKDLAYLQMKSVASAVLLRYRLSPVPGHRVEQKMSLTLFMKNGLRVYLHPRRLG >KGN65878 pep chromosome:ASM407v2:1:19261420:19267451:1 gene:Csa_1G536310 transcript:KGN65878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLDVSQNGPSLTLTHNQSALIFLGTGCSSAVPNAMCLIQPSDPPCRICSLALSLPPEKNPNYRCNTSLLIDYCQPDGSHHYILIDVGKTFREQVLRWFTHHRIPKVDSIILTHEHADAILGLDDIRAVQPFNAVNDIDPTPIYLSQHSMESISVKFPYLVQKKLKEGQEVRRVAQLDWKIIENHHEKPFVASGLRFIPLPVMHGEDYICLGFLFGENYRVAYISDVSRIPPSTEHVISINGAGQVDLLILDTLYKNGSHNTHFCFPQTLDAVKKLNPKRAMLIGMTHEFDHHKDNEFLLDWSKREGIPVQLAHDGLRIPVDL >KGN65446 pep chromosome:ASM407v2:1:15373914:15374327:1 gene:Csa_1G423040 transcript:KGN65446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLRIQHSRLQEAEAKAAAAEERERSINERLSQTLSRINVLEAQVSCLRAEQTQLSKTLEKERQRAAEIRQEYLAAKEEAKQFKV >KGN64309 pep chromosome:ASM407v2:1:5290503:5290715:1 gene:Csa_1G046070 transcript:KGN64309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTANRKSVKRKKLKNQEREMGTSYEKRMMNSNAIRRRKKWEDKKKKKKKNKKVLLLGEEEEEEEEGIRQL >KGN64582 pep chromosome:ASM407v2:1:6960205:6962405:1 gene:Csa_1G066510 transcript:KGN64582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAYLWKSFDEDEDRPEKPRRCGVTEMRGPHYTLSTQDVIQEIFESLGQFVDGLKFSGGSHSLLPRDFMKEVTEMAHRHDVYVSTGDWAEHLLRKGPSGFKEYVEECKLLGFDTIELNVGSLDVPEETLLRYVRLIKNGGLRAKPQFAVKFNKSDIPISGNRAFGAYIVPKPRSSELVEDVDLLIRRAERCLEAGADMIMIDADDVSKHAEFLRADIIAKIIGRLGLEKTMFEASNPQTSEWFINHYGPKVNLFVDHSQVMDLECLRGRNLGKNHSSILGSSYFRI >KGN64318 pep chromosome:ASM407v2:1:5365336:5367907:-1 gene:Csa_1G046150 transcript:KGN64318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVAEESEETPSTSTKKLPGTVNWGTATTIGVFAGMFYGGSKEAAASVSKDAEVTLKLGSTPDKREQYRLIRDAMEKRFIRVTRGSIVGGVRLGMFTAAFYGLQNLLAEKRGVHDVFNVAAAGSATAATFGLILPGSLKWRARNVAMGSVLGAAFCFPLGWIHLKLVEKANEGNEALGYTNTVQEGKSKSGVGAAIERFEENLNK >KGN63845 pep chromosome:ASM407v2:1:2525987:2526250:-1 gene:Csa_1G024280 transcript:KGN63845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKCSHPGFVVDNSVPQCTAASFGRANKMALTHPCGGSQIVKLFYSSFSPESNTFSVILTRQSPFRAVALIIIIIIFKVFSWSGPSS >KGN64985 pep chromosome:ASM407v2:1:10644326:10646362:1 gene:Csa_1G171070 transcript:KGN64985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMFPHCYFNRCIGKYGRPLALSPSKLKTLSCFLFAAKYGTPCAFVESNTAESQDWDPCTAPFTGVLQDEDLLRTTHISSSGTSSNSTGLYVLDLINCGSLEPERTLYSKMLNKCTYLRKLKQGRAIHAHIQSSTFEDDLVLLNFILNMYAKCGSLEEAQDLFDKMPTKDMVSWTVLISGYSQSGQASEALALFPKMLHLGFQPNEFTLSSLLKASGTGPSDHHGRQLHAFSLKYGYDMNVHVGSSLLDMYARWAHMREAKVIFNSLAAKNVVSWNALIAGHARKGEGEHVMRLFLQMLRQGFEPTHFTYSSVFTACASSGSLEQGKWVHAHVIKSGGQPIAYIGNTLIDMYAKSGSIKDAKKVFRRLVKQDIVSWNSIISGYAQHGLGAEALQLFEQMLKAKVQPNEITFLSVLTACSHSGLLDEGQYYFELMKKHKIEAQVAHHVTVVDLLGRAGRLNEANKFIEEMPIKPTAAVWGALLGSCRMHKNMDLGVYAAEQIFELDPHDSGPHVLLSNIYASAGRLSDAAKVRKMMKESGVKKEPACSWVEIENEVHVFVANDDSHPMREEIQRMWEKISGKIKEIGYVPDTSHVLFFMNQQDRELKLQYHSEKLALAFAVLKTPPGLTIRIKKNIRICGDCHSAFKFASRVLGREIIVRDTNRFHHFLHGMCSCRDYW >KGN64423 pep chromosome:ASM407v2:1:6021173:6022383:1 gene:Csa_1G051610 transcript:KGN64423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKLIVRKSLFPISSSPNLKDPYGCHLVTYPLEVLRLHMAVDPGFRATSKIASSMLREEGITSFTTVVLDRLFSAELLTLPVLASVMFYPLDTENERCTLQDSV >KGN64919 pep chromosome:ASM407v2:1:10088905:10091912:1 gene:Csa_1G160590 transcript:KGN64919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLRNHMAEENIFCLQSPTFIEWLKPHNPSSSSPSIPQTSVKCVPGRCLPLFTETEASAKKGEEGTPAGDGERKYLDVKAEDEDMEKVEVGLHIGLPNVGDVSYFGDEKNMNVCVKKEEIHSLKKSFSNFNTQGRFWIPTQAQILVGPMQFACSICNKSFNRYNNMQMHMWGHGSEYRKGPESLRGTQPAAMLRLPCYCCAQGCKNNINHPRAKPLKDFRTLQTHYKRKHGCKPFMCRKCGKSLAVKGDWRTHEKNCGKLWYCSCGSDFKHKRSLKDHIRSFGKGHSPCSSLDDECLTGSDDQEDHFC >KGN65740 pep chromosome:ASM407v2:1:18222896:18225013:1 gene:Csa_1G523640 transcript:KGN65740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFKNQEQQPQPSKYECLLFDVDDTLYPLSSGLSKQCTINIEEYMVEELGIEKDRVVEMNQFLYRNYGTSMAGLKAVGYEFDNDHYHSFVHGRLPYNNLKCDMVLRNILLSLPIRKVIFSNADEVHVAKVLSRLGLEGCFESIICFESLNSSNLDTSSNDGSESDSKTSTNSDTDDTPPPLSITPVLCKPSPQAFESALKIANIDPKKTLFFDDSIRNIKTGKSSGLRTVLVGSSKRGNGIDYALESIHNIREALPELWEVDEKMKNQRLSSNIALDTSAVMA >KGN66273 pep chromosome:ASM407v2:1:22429820:22433483:-1 gene:Csa_1G590290 transcript:KGN66273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQNEDHMEDIDGDSYQQAKQILKDRSKKMAQTKEMLSKQAVQTKEILSKQAVKIAKQAEEHERFINKVTHLLGVLGFGGFCFILGARPQDIPYVYCFFYVTFVPLRWIYYRFKKWHYYLLDFCYYANTIFIVDLLLYPKNEKLFMICFSFAEGPLAWAIIVWRCSLVFSSFDKIVSVLIHLIPGLVFFTIRWWNEATFEAMHPEGTSRRASWPYVEDKSYLWTWLFLVPLVAYTLWQILYFLIVNVLRRQRFLRDPEVMTSYRELSKRAQKTNNVWWKLSGLLGDQNRLLMYILFQGIFTVLTMALAVPIFLSYRLHVVFQLLKVSAAVWNGGSFLLEVMPRQVIQKEKKKTETQPLQDDQNHHPPVPAYENGADINSREPLQS >KGN66464 pep chromosome:ASM407v2:1:23939200:23942853:1 gene:Csa_1G612880 transcript:KGN66464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPIIMPNIKRSNFPRNFVVGCASSAYQYEGAAFKYGRGPSIWDTYTHQHPERIDDGSNADVAVDQYHRYREDVGIIKGIGFDAYRFSISWSRVLPSGKLSGGVNQEGIDYYNRLIDELISKGWIDDYRDFADLCFKEFGDRVKHWITFNEQYIFAAYGYATGLFAPGRGSSQQVDCFDGDTGTKKSNHIGLLPRRGCILKPLHSLGGDPGTEPYIVGHHQILAHAKAVKLYKSKYKHQNGQIGVTLNTDWYVPYSNSLEDKKATSRALDFSLGWFLHPLVYGDYPASMRHLVNKRLPKFKDDEILLVKGSYDFIGINYYTANYAKNNPNVDPHKPSLVTDPHADVSTDRDGVPIGPKVSKDSWLAVYPQGLKDLMIHIKNHYRDPHILITENGFFDYNCSNIEKLIKDEGRVKYHQQHLTKLHESIK >KGN63595 pep chromosome:ASM407v2:1:1041085:1043861:1 gene:Csa_1G005560 transcript:KGN63595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSSCLSLLELSSTDMLDFPPTPRTVPRVMTASGIFSDKDRDSEVSSSKCRGRKIIVANFLPLHSKKDPDSGKWNFSFDEDSLLLQLKDGFSPDVDVIYVGSLKVDVDIREQDEVSQKLLEEFNCAPTFIPTYLQKQFYHGFCKQHLWPLFHYMMPISPYHGSRFDRHLWQAYLSANKRFADKVMEVINLEEDYVWIHDYHLMVLPTFLRKRYSRIKLGFFLHSLFPSSEIYRTLPVRDEILRALLNADLIGFHTFDYARHFLSCCSRLLGLEYESKRGYLTLEYFGRTIYIKILPVGVHMGRLESALNQPHTSIKVKEIKENLKGKKLILGVDDIDIFKGIGLKLLAMEQLLNQHPELRGNIVLVQILNPARSNGNDVQEAKRETYEITKRINKVFGSPGYEPVILIDQPISPHEKIAYYVLAECCIVNALRDGMNLVPYEYIVCRQGTSKMDEVLEVSPDSPRTSTIVVSEFIGCSPSLSGAVRVNPWDFDAVADALYAAVSMPALEKQLRHEKHYRYVSSHDVAYWARSFSQDLERACREHYSKRCWGIGFGLGFRILSLSPSFRKLSIEHIGSAYRSASRRAIFLDYDGTVVSETSLIKSPSPEVISIINNLCMDSKNTVFIVSGRGKDSLGAWFASCKNLGIAAEHGFYLRWKRDSDWEVSPLAENFDWKRIAEPVMKLYTEATDGSYIETKDSALVWHHQDADPYFGSCQAMELLDHLENVLANEPVVVKRGQQIVEVKPQGVTKGLVAERVLSTMINSNKAPDFVLCIGDDRSDEDMFESISSKSYSSSLAGPPKIFACTVGQKPSKAKYYLDDTTDVLTLLQSLATPSTRKLRPSFETRVLFEDDI >KGN65167 pep chromosome:ASM407v2:1:12559341:12563299:-1 gene:Csa_1G256760 transcript:KGN65167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTISLSAQLPNLRGISDFKKRSNLNSMSNIVGTRLSPYGPWKVEKNNRSLCVYGLFGGKKDEEKSDDAPSKAGIFGNMQKLYETVRTAQKVVQVEAVRVQKELAAAEFDGYCEGELIKVTLSGNQQPIRTEITEAAMELGPEKLSLLVTEAYQDAHQKSVLAMKQRMSDLAQSLGMPQGLSEGLK >KGN63504 pep chromosome:ASM407v2:1:462759:464612:-1 gene:Csa_1G002730 transcript:KGN63504 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purine permease MGGGGGGGDSGGGSSSSSSHSRSRVGTRNSWNKMEKALNDAIARSVVGKYFKLEARNTCFTKELRAGLATFLTMAYIITVNANILTDSGGTCSMADCSAPVNGTATPDCMLKPNPGYENCLSKIKSDLMVGTVLSAMIGSFAMGVLANLPLGLAPAMGPNAYLAYNLVGFHGSGPIKYQTALAVFLVEACLFIAVSALGIRAKLAKFIPNSVRYACAAGIGLFIAFVGLQAHQGLGLIGPDSATLVTLTACSRTNLETGECLGGKMQSATFWLGSIGFVIMAYGLMKDLKGSMIYGIVFVTLVSWFRGTAVTYFPHSPLGDERYNYFRKVVDFHKIEKTAGVVSFNGFNTTEVWVALATLFYIDVLATTGTLYTMAEIGGFVNERGTFEGEYMAYIVDGCSSVVATLLGVSPIATYVESSAGIREGGRTGITAIVVSFCFMMSLFFTPLLSSVPPWAIGPSLVMVGVMMMKVVKEVEWGNVKESVPAFVTMVLMPLTYSIANGIVGGIGVYVALSLYDNVLRLMKWLMKMKKVVATEQNQVSATAANTELISVV >KGN64647 pep chromosome:ASM407v2:1:7403264:7403984:-1 gene:Csa_1G073070 transcript:KGN64647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRYFSTVAKSYLTSSKILSRRNNIRSISRQCDVFINHRGADTKRNIAGLLHDHFSRIGLHSFLDSKSMKPGDKLFGEIEEGIRSCKVGIAVFSPRYCESYFCLHELALMMENKKKIIPIFVDVRPSQLRVEYNYSCPKKELQRFNWALGEAKYTVGLTFDTVNGDWSELLRKASNAVIDNLIVGGGAGEMPDN >KGN63943 pep chromosome:ASM407v2:1:3229568:3230556:1 gene:Csa_1G030690 transcript:KGN63943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFKGRFFSSSKKSDSSSPDGSSPRFLGSNSPNRSDKKKNKSAAKDESQISNPSSSSFRGTVLKDASRSKDWKRKDSQAPLPIETPSKSGSISALNLGPKGKKSADVKDVASSVSPILASSLGLNRIKTRSGPLPQESFLGFKGDKGSLGSSNLSRNCGDGSSGSNSGSTWSGSSRGGKKEAACQKRLGFQDNVKSYIHDASNSENMPIGNAPSTERSPSLLGQPRIQNIESSNEAGIVFWSPILKSLSIL >KGN65096 pep chromosome:ASM407v2:1:11657223:11657573:1 gene:Csa_1G212820 transcript:KGN65096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKSLKTPTMKLILVFICCTAIFAPPAAAMKVAMAPTQPQPFPTEDISFFPCFSFVPEATKCMIDVFKHPIAAHPTCCKAISKLKSCSSSFFNGIPSADMIVIKSVCTSWGASIS >KGN64049 pep chromosome:ASM407v2:1:3804678:3817606:1 gene:Csa_1G039150 transcript:KGN64049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLHLSLLLLAAMAGHSSATWCVCKNGVSDATLQKALDYACGAGADCSLIRQNAACFLPNTVRAHCSYAVNSYFQKKGQTQGSCDFAGVAAISTTDPSAAGCSYPSSAGGNGGGVTPVTTTPTPPGATTVPGMTSPVTRPPPSSMTPTTTNNPLPNTASPTGVLGGAGTGVNPTGTGTTADESHGGIRLQRPFTKKLRPTDRIILHFTFDLFPPSLSSHFLPHLFFKAFSSSSQFSLLILQQTIIAAGGPAINAATLLVYVNTQPALYVQLKQVITYCGAECRFLYRLMADQLCYICGDVGYAELMITCTKCKVVREHLYCMPDRCDEAPNSWLCCNCTLDETKSPDGSGLQVQPKMPRHAKIGKVKFIPTEEVIKLSSGVVKVPSKLNTTFAPPQKTSKFRKVFESSMPRPLFQASKESQERSPLMPSKTCGLKKQASATCLPPMPVGPVQTLKKVKVADTPACTSSVSRHGFPLTNTGKEVPSPSNKLQDTQKQRKDALFTHEVYAYRDNRGKQVPSPSIKLQETQKQRKDTVFTHEEYAYCDNTGKDVPSPSKQKKDGSFTYQIHPSRDNKGKKVLSPFIKLEDTQKEKDTLITHQIHAYHDKKGKEVPSPSTKLEDMQKKLKDALMIQEIHAYRDYLPSLHASWKGGFQFVGTRMAGEFYDGFLAKPPCAVYGRVYELSRKIPPILQVKLVSRSDIWNDLFHDECPDLADVALYFFPCNIERSRKNNSCLFELMEREDLLIRSLVDGAEMVLFTCRQLDRLSQYIINMFNAEYLIFGVFREIKDYQSPFPVSQYGPAVSSVESNSKVHLREFTSKKTGKHDDDNAVKREIDIEGGKSSAAKDVDSTIQRLLLEFGSQKPKDSDDITSNMNAQKRDEERTPIASTDSYSLSASKVKTEHSSDTKAEGSEGIKRLETERCLKTAPTYSIGGSQSMSEQDVPKRVAEKYLQIFNAGIKKERR >KGN64304 pep chromosome:ASM407v2:1:5259528:5262431:1 gene:Csa_1G046020 transcript:KGN64304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYALSSVPIAALPCSRLLQPPLKPSACFQTFFNRRHLITTLLSVFTPSFIDFTLPCSSDLVAEARGLFQMPPVRLVNRYFLVRAGESEFDSFGIINTNPVAKTSVDSGLSEEGKKQTVKAAFKLKEMGACENGCWIWPSITQRAYQAAEIIASVNGVNRSYIVPEYSFLDARGLGAYEGKRLDSMSEVYASDTISSIFKPPPTDDGTPNESVSDVFVRVTQLMSILETQYSGDTIIIVSPDSDNLTVLQAGLIGLDLRRHHDLSFAPGEVRFVDIRSIPSYKQPPSAVYKCLNPPNCN >KGN63519 pep chromosome:ASM407v2:1:531138:534254:1 gene:Csa_1G002880 transcript:KGN63519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSITQISLSFPLAYASRYCAPSLGLFFNLSLHRRRRRPRLLSTALPPTTSSVPKTTVTTIVSFLPRFLDPHFLTGNCLLSRMERFWSGSGIGTNKDMVEKLQTYGVVRSKRVSEVMESIDRAFFVPDDVPPYVDTPVPIGYNATISAPHMHATCLQLLEKHLQPGMRALDVGSGTGYLTACFALMVGPEGRVVGVEHIPELVASSMENIKKSAAAPLLKEGSLSLHVGDGRQGWAECAPYDAIHVGAAAAEIPPALIDQLKPGGRMVIPVGNVFQDLKVVDKDSDGSVSIHDETSVRYVPLTSREAQLRDD >KGN63993 pep chromosome:ASM407v2:1:3526921:3527848:1 gene:Csa_1G033130 transcript:KGN63993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDKNKVVLYGFWACPFVKSVELALKIKGIPFAYVEEDFLNKSPELLKFNPVYKKVPVLVHNERPICESAIILEYIEEVWNNNGPSLLPQDPFKRSQIRFWVDFVKNQLYDSLLLSMKTEGEAQEKAMEEVKERLKVVEEQGLKSLLAEGSPFVNGDELGYLDIGMLTILGRYKIYEEFFGMKIMEEEEIPIVFSWLNRLIEHPIAKELGAPPKEKILGLLHITRQRFLQSPVAA >KGN63631 pep chromosome:ASM407v2:1:1258859:1259500:1 gene:Csa_1G007900 transcript:KGN63631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLVSFIFLILLPHPSSSTDPDPLQDFCVADLNATVSVTGFPCKPISEVTVDDFFYDGLSKEGNTKNPFGFGLTPGNVHALPGLNTLGLSMNRIDLAPGGMNPPHLHPRASEIVIVLKGRVLVGFLTVDNVYYHKVLKVGELFIIPRALVHFQYNVGRKKAVVLAGFNSQLPGGVLVAGNLFASNPPIPNKILSKALQVNDDVVKTIKSDFIL >KGN64117 pep chromosome:ASM407v2:1:4226374:4228706:1 gene:Csa_1G042290 transcript:KGN64117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGKEEKKMKQLKEGKSISMRKVRVLFHDPDATDYSSDEDEHVSQGAKKIVWEISFPGIHRKPTEVSSQKERADGVKFRAKTEVKESSRRTQRSSSMYKGVRRRKWGKYAAEIRDPFRGRRLWLGTYNTAEEAAVAYQRKKHEFESMQSMENYSSELSGGKFEEKKIKSLVDDTAESEEIIAMFSHPSPSSVLDLCTGSLSSNGLKNVIEEFKVDQTREHTITKKSKPVQDGAENMLEYICKDEQHISNILEEAPMSSMRMPIPPLGGREMDFQVLEDNAMICNDFDQLSNDMNYIDNCTLYNIDNSLGAIDLPPMDIEFDKEFSWFDETLSISCM >KGN64354 pep chromosome:ASM407v2:1:5601833:5605730:-1 gene:Csa_1G048950 transcript:KGN64354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMERMIEFPHTHLDRRPRKRARLGWDVVPEAPKAQVGICCGQEIANIPSFASTRAPSDHSSNPLFVKGVARNGSPPWREDDKDGHYMFALGENLTSRYKIHSKMGEGTFGQVLECWDREKKEMVAIKIVRGIRKYRDAAMIEIEVLQQLGKHDKGGNRCVQIRNWFDYRNHICIVFEKLGPSLYDFLRKNNYRSFPIDLVRDIGRQLLECVAFMHDLRMIHTDLKPENILLVSGDYVKVHDYKNLSRSPRDSSNFKRVPKSSAIKVIDFGSTTYDRQDQNYIVSTRHYRAPEVILGLGWRFPCDIWSVGCILVELCSGEALFQTHENLEHLAMMERVLGPLPQEMLKKVDRHAEKYVRRGRLDWPEGATSRDSIKAVQKLARLPNLIMQHVDHSGGELIHLVQGLLRYDPLLRLTAREALRHPFFTRDSLRR >KGN66254 pep chromosome:ASM407v2:1:22284998:22292675:-1 gene:Csa_1G589120 transcript:KGN66254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHSEAQTPVAQDQKIIVSNKNGEKLVGILHDTGSAEVVILCHGFRSNKENDISVNLAKTLENEGISAFRFDFSGNGESEGSFKYGNYHGEADDLHAIIQHWRAAGRVISAILGHSKGGDVVLLYASKYHDIDFVINVSGRYDLKKGIKERLGDDFMERIEKEGYIDVKNKKGNVEYQVTWESLKDRLNTDMHEACLLIDKECRVFTIHGTADEIIPIEDAFEFDKIIPNHKLHTVEGANHCYTSHQTELASIVLNLIKTSLLPH >KGN65363 pep chromosome:ASM407v2:1:14485246:14485942:1 gene:Csa_1G378520 transcript:KGN65363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLKNGENVNFSAPRPFGKKLKSDLKETFFPDDPFKQFRDESGAMDRVKKGFQYFIPILQWLPKYNLNMFKYDLLAGITITSLAIPQGISYAKLGILPPIIGLYSSFVPPLVYAVFGSSKHLAVGTVAACSLLISETIGAVASPEEEPTLYLHLVFTATFVTGVMQAVLGFLRYHRLDK >KGN66446 pep chromosome:ASM407v2:1:23782466:23785387:-1 gene:Csa_1G605750 transcript:KGN66446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPPTVSLLLFLTSLLLYAQSNATQIPTGSSLIAGTSSLHPWLSPSNHFAFGFQNLDNDNRYLLAIWFYKVPENNIVWFAKSDDDDNNNNPVFAPKGSKIQLTASTGLVLRNPNGEEIWKSKPITSSISFATLNDTGNFMLVDSINGSVWESFSYPTDTLLPSQKLEVGGVLSSRKSLGNFSLGKFQFRLLEDGNAVLNTINLPYGYHYDAYYISNTFDPASTQNSGSEVIFDEVGFLYVLKRNGVQVNITQFSVGNPVEAFYYKATMNFDGVLTVSSYPKNTNGVVANGSWKDLFRIPDNICLSNENPITRLGSGICGFNSICSLKSNGRPSCNCAQGYSFVDPNNEFSNCKPFIAQGCEDEDDKFNQNLYEMVDLQYTNWPMYDYERFPTMNEQTCKSSCLEDCFCVLAVFGGRDCWKKRLPLSNGRQDASITSISFLKLRKDNVSLESFPNGGGAQKKQTTIILVITVLLGSSVLMIILLCFFVLKREILGKTCTKNFSLECNPIRFAYMDIYKATNGFKEELGRGSCGIVYKGTTELGDIAVKKLDRMFEAEREKEFRTEVNAIGQTHHKNLVRLLGYCDEGNNRMLVYQFMSNGSLSTFLFNNDPKPSWKLRTQIAYEIARGLLYLHEECGTHIIHCDIKPQNILLDDNYNAKISDFGLAKLLKMDQSRTQTGIRGTKGYVAPDWFRSSPINAKVDVYSYGVLLLEIICCRRNVEMEVGDGAQGERGVLSDWAYDCYEQGRLDILIEGDTEAIDDIVRVERFVKVAIWCIQEEPSRRPTMENVMLMLAGNLEVSLPPCPYHSFSSIV >KGN64278 pep chromosome:ASM407v2:1:5122144:5124196:1 gene:Csa_1G045760 transcript:KGN64278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAASKAGDWAFKAFTAGLGVATIYLTATFSVNVYRGLSWHKAQSKINNDNTNEEAT >KGN64340 pep chromosome:ASM407v2:1:5478085:5478994:-1 gene:Csa_1G046840 transcript:KGN64340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTRFKKNRKKRGHVSAGHGRIGKHRKHPGGRGNAGGMHHHRILFDKYHPGYFGKVGMRYFHKLRNKFYCPIVNVDKLWSLVPQEVKDKASKDNVPLIDVTQFGYFKVLGKGVLPENKPVVVKAKLISKIAEKKIKENGGAVVLTA >KGN64223 pep chromosome:ASM407v2:1:4828196:4831417:-1 gene:Csa_1G043260 transcript:KGN64223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQKGFCARKKHSFFSLPPLLEASCLLCLVIFVSGILRTKAMATEEDSVEQVAAARKERLKALRAAQELLNNSDEKNSGGEDKENGATEDSDETNLNMKFRNYVPHDKELQEGKLAPPVLPKFEDPVTSEPPQLKEDPFVNIAPKKPNWDLRRDVQKKLDKLERRTQKALFKLMEEQEKQKQAAEGDNDENGAE >KGN63664 pep chromosome:ASM407v2:1:1434041:1435357:-1 gene:Csa_1G009680 transcript:KGN63664 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor MPALCAARVFQLTRELGHKSDGETIEWLLQQAEPAVIAATGTGTIPANFTSLNISLRSSGSSMSVPSQLRSSSYYNPNFSLHQQRRTLFPGFGLSSETSSTAALLNFQSTNIGNSLLQAKPEIRDTPSSLDLSDAAEEISIGRKRRPSTEQELSSSSSQHQMGSYLLQSSTGTIPASHGGAQVPANFWMLTNTNNQVMGGDPIWTFPSVNNSGLYRGTMSSGLHFMNFPAPVALVPGQQFGSGTGGGSNNNNNNNNNNSSSEGHLNILAGLNPYRSVSSSGVMEPQGSGSQSHHGGGGGGDDRHDTTSHQS >KGN64500 pep chromosome:ASM407v2:1:6541800:6542483:-1 gene:Csa_1G060770 transcript:KGN64500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRWDKEFDDKAQHNMSNRNIDVMNEPLISVSKKSNENQNLKVEGSSSKGKATDDLIVKMEETVEKMKSVWCRKDNLVSSPLLACFVAAQTLLVVCTIQLFYTSTGDHQRSEVSVKDSSTTNEAADRSVEENKIKK >KGN66374 pep chromosome:ASM407v2:1:23113104:23115683:1 gene:Csa_1G600140 transcript:KGN66374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVLKLSSSPLHFTSKFNKPQFPNQCLQIPLFPSSSSSSINRRNPIFNLCAITSSTISTQESANPFQVDAESEPGEERFDWYAQWYPIMPICDLDKRVPHGKTVMGIDVVVWWDKNESAWKVFDDLCPHRLAPLSEGRIDQWGRLQCVYHGWCFNGSGDCKFIPQAPPDGPPVHTSKRACVAVFPSIVQNDVLWFWPNSDPQYKDIMEKKKPPYIPELDDPSFTKLISNRDLPYGYEILTENLMDPAHVPYAHYGIMGRLPKNR >KGN65280 pep chromosome:ASM407v2:1:13368141:13369668:1 gene:Csa_1G294600 transcript:KGN65280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTELSCVDSNRVGFFSINMDSLLLQVNGRFFPSPPQFQPYFLAAQPPPLAQSSGFNLENKVSPSVLLIIIILAIVFFVSGLLHLLVRFLWTPPLHRDPESSDNVTAFQGQLQQLFHLHDSGVDQSFIDTLPVFHYKSIIGSKSPFDCAVCLCEFEPEDKLRLLPKCSHAFHTECIDTWLLSHSTCPLCRSSLLPDHFSPYTTCSPIVLVLESGGESSRDIVSDREITNHTNQISGSNSQMGFVGDGGFEGGSSEITKLEDSNATVVTVKLGKYKNVDAGESGSSENKNVDSRRCFSMGSFEYVMDENSSVKVAIRSTPVKKQASRKPALPLIPGYRAAMSEYDCESRREFKLNGIEPLKTEENGNNNSNGNNNKVESFSVSKIWLRGKNPKESLTDSSRRRAVSFRLPIQMNSEMNVWRWENESVELDEENQSRNSFSLESQYNQSLAKRTLQWLMGGKLNKVTHFESSSSPSPQPSSSSVSNI >KGN64395 pep chromosome:ASM407v2:1:5863610:5866352:-1 gene:Csa_1G050340 transcript:KGN64395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANSAALALEDVPSVDLMTELLRRMKCSSKPDKRLILIGPPGSGKGTQSPIIKDDYCLCHLATGDMLRAAVAAKTPLGVKAKEAMDKGELVSDDLVVGIIDEAMKKPSCQKGFILDGFPRTVVQAQKLDEVLEKQGVRVDKVLNFAIDDAILEERITGRWIHPSSGRSYHTKFAPPKTPGVDDVTGEPLIQRKDDTVAVLKSRLEAFHRQTEPVIDYYAKKGIVANLHAEKAPKEVTSEVQKVLSS >KGN64767 pep chromosome:ASM407v2:1:8417165:8424062:-1 gene:Csa_1G095530 transcript:KGN64767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMDAPLDFESEDPLLSSPVALKKRKKIIGLDDLLTDHYKDKCKLVEKESKLAKKRKNYDSDDDDFGKEAVVSQVVDECQNKMNQLGGEEDTSIWGLNVFGEQKPPPALQTPELESCQFLQTFLNNEVNSLVNLTVEKGDVFLEGLLVNGWLSTLVSLTGHVEKSLAIWTFNLMLYSSREGLRTSACDFWKDIMLTTNEVEQQHLQVDWFPSYAQLGEALDTYGYRFECSLNPGLIHTGSGRGGPPQNIRAWIKFITICCQTKVKKNIFTSSEVGRLAEAIICLFLDRQFQGITVLLCECLQSLIHYFTDEDWKACCEKIAKSLVCRIPMDLNCLRAVECISGVDPRSKYLRSTVAYQILLICFKNEATNEEEVLRVLTSITVKDKSCDLFKLYIYLVLTENWLVGSRMCEGKPLTREMWGLFLRNCSCQIASTDLRSYASKVRNKASYILQSSFDE >KGN64954 pep chromosome:ASM407v2:1:10411253:10418622:-1 gene:Csa_1G166830 transcript:KGN64954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRVLIPRGSSGGSSSTNPNRSSAPASSSSRPEQHVSIPPQPALKDEELGEIVQEQSTVDEVLDTANSESKTVKTEDVLIEGSCNDHQMEILREELSGDNEKVVKDDIMDQGEPARIVSDQLQTSERNVTENECCKGDSPQAIRGNSPPPPPPAPPLKPSSVSSSNTRRHVLGSSNAGRIGSSRGGIPWSVVSNRTSLTGSRPSSPRSHVDNEGYNSADEQNSCYVSYYDQERERQFEAEIRRVKGFEVKRMLEDGNCLFRAVADQVYGDSETYDLIRQMCIDYMERERDHFSQFITEGFTSYCKRKRRDKVYGNNAEIQALCEMYNRPIHIFSYGTEPINIFHGNYATDLPPIRLSYHHGNHYNSLVDPRRLAIGAGLGFSSLRGANVDKDKVKAALKAQQDQQLDNALLAQGRYFSDLEVTEKEIEQMVMEASRAEYLAKYSLKQQLDRRDNSTSGAEPSSSGARSSGSEGNCKRESGVQESVLSNGMRTVLSMGFSYLQVIEAYSIFGEDVDSMVCYLLETGDSSRRKGKATE >KGN64814 pep chromosome:ASM407v2:1:8852582:8854280:-1 gene:Csa_1G109370 transcript:KGN64814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHYFFLFLLSLFFFFFFSFTSSQNYPPSPGYFPSTQVQSTGFDQVFRNRWGSQHQKVDQGTLTIWLDSSSGSGFKSLHRYQSGYFGAAIKLHPGYTAGVITSFYLSNNEDYPGNHDEIDIEFLGTTSDKPYVLQTNVFMRGSGDGNIIGREMRFHLWFNPTQDFHNYAILWTPEEIIFLVDDVPIRRYERKSEATFPVRPMWVYGSIWDASSWATEDGKYKADYKYQPFIGRYNNFKLSGCTTDGAASCRPLNSGPGGGGRGRMSQQQEKAMEWVQNNYLVYNYCHDPRRDHTLTPEC >KGN63805 pep chromosome:ASM407v2:1:2274464:2274907:-1 gene:Csa_1G021950 transcript:KGN63805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSSRKWAYIRIITGTVVGGVLGFYVMHRVEVGYKEKMKERAKVEVRGFSALALEIDLIRTLQSFHIN >KGN63998 pep chromosome:ASM407v2:1:3532300:3534713:1 gene:Csa_1G033180 transcript:KGN63998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSRELHCLALFSKCKSLRTVKQIQALIFKTCLNSYPLVSGKLLLHCAVTLPDSLHYARRLFLDIRNPDVFMYNTLIRGLSDSDTPSNALQLFVEMRRKSVALPDSFSFAFLLKAAANCRALTNGLQLHCLAVGYGLDSHLFVGTTLISMYAECACLVFARKVFDEMIEPNIVAWNAIVAACFRCEGVKDAEQVFRCMPIRNLTSWNIMLAGYTKAGELQLAREVFMKMPLKDDVSWSTMIVGFAHNGNFNDAFAFFREVRREGMRPNEVSLTGVLSACAQAGAFEFGRILHGFVEKSGFLQIISVNNALIDTYSKCGNLDMARLVFDNMLRRSAVSWTAMIAGMAMHGYGEEAIRLFNEMEESNIKPDSITFISILYACSHAGLVDLGCSYFSRMVNTYGIEPVIEHYGCMVDLYGRAGKLQQAYDFVCQMPISPNDIVWRTLLGACSIHGNLYLAGQVKRQLSELDPENSGDHVLLSNIYAVAGKWKDVAALRRSMTHQRLKKTPGWSMIEVNRIIYSFVAGEKQNDIAVEAHQKLREIMSRLRIEGGYVPEVGSVLHDIEVEEKEDSVSQHSEKLAVAFGMAKLPRGRAIRVVKNLRICRDCHTVMKLISKVYEVEIVVRDRSRFHSFTHGSCSCRDYW >KGN66041 pep chromosome:ASM407v2:1:20846377:20850541:1 gene:Csa_1G569190 transcript:KGN66041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDRLGSASISARSLGDISEFSTTRIGLDIISAVRRNLGFLRTVADSHWLHSEPTITEAIRRYEELWMPLISDLMVAGSSPPMILPPLDVEWVWFCHTLNPVGYKHYCETRFSKIIGKPSIFDEENEEYAYMRCKEIWVKKYPTQSFELEESSSLRDVITVENQELLEEVKRQRNLYSKFSEPFRSEIVYLIAAKQRYKGFLYMLQRFSDECSSFVPASDILLMWLTHQSYPTVYAEDVKEMQGDLAKVVRFGETVNSKELDETKQLWHRTFGQPYEKAGGGIIMELGRVVTSNPLVYLETSHLDVNTKYKSMTSRFILEVCVFMWHKAQKRPLQQVSQEFLRLRSLRCHREFKLDQPISSLNNDLWHKAWHLCCEFGTKGVILELRHPSGHCFKGSSIKETTTFKWNDLIRAPSLTLERQLNHNLKIVASITPPVQAPYLLKCVPDKVTDDSGAMVSDVVLRMNQYRPQEGRWLSRTVLDHGGRECFVIRMRVGGGFWRRGGETPLPVKWEDRIIEIREGSWSYIAGSIGRSPEKVVGTATPKQPLEELKAAWNFSTGDELIIQWDTSTTEPSLSFSLTNPASESSVRLLKGRQKLYHVWRKVKEPQHDGNIQEEENEGGDDDGFVTMIRYTDEDPTGRATALLNWKLLVIELLPEEDAVLALLICVSILRSISEMKKEDVGNLLIRRRLRETKIGLRDWGSIMLHPSKNSTTPSPYLRPWYWNAETVMASNSVEHLMRQPASSYLPVEGGDKLYKQGIIS >KGN65524 pep chromosome:ASM407v2:1:15969211:15971757:1 gene:Csa_1G435200 transcript:KGN65524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRTCFIEVVTCGFPPLEERGKSLKLLAGQDFFGDGVLPKEETLRRADLEKKAVNDMFVILSDIWLDSEEAMGKLETILDGFENVEVVPSLFVLMGNFCSHPCNIAFNSFSSLRLQFGKLGKMIAAHPRLNEHSKFLFIPGPDDAGPSTVLPRCALPKYLTEELQMHVSNAIFSSNPCRVRFYTQEIVFFRQDLLYRMRRSCLIPPSTEETSDPFEHLVATITHQSHLCPLPLVIQPIIWNYDHCLHLYPTPHVIVLGDRSKQQAFKYTGITCFNPGSFTNDSTFVAYRPCNQEVELSAL >KGN65833 pep chromosome:ASM407v2:1:18871188:18874096:-1 gene:Csa_1G533400 transcript:KGN65833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFRSLNLFQVNQTCLRFFNFHSPPHLGPLALSFPSPPQLFSLHSHPHFPFLSTPIFPSPTFSLRFPSKIRRKHCPVSAVMFFPENPVVSDICATALSSGVALSLLQLWAETAKRGLDQKLNRKLVHISIGLAFMLCWPMFSSGYQGAILASLIPGANVMRMLLLGFGILKDEATLKSMSRYGDYRELLKGPLYYVATITFVCIFYWRTSPISIALICNLCAGDGLADIVGRRFGSEKIFYNKNKSLAGSVAMATAGFLASIGYMYYFSLFGYVEASVGMAMRFLIVSLASALVESLPISTEIDDNLTVPLTSFLVGSLVF >KGN63432 pep chromosome:ASM407v2:1:39583:43759:-1 gene:Csa_1G000560 transcript:KGN63432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQPVKKPEVEELCFAHSPEITTEDLTPDECNSTVEDQEATLIALVEHRTREVHHLQQRISYYTRQLEEAEKRLQESESLLARSQGPRYTLPSRSSQDCGFECVEAEPTSTSPIHGNGDLEAKPLLGSSHNPSIPNRSNLATTGEQEKPCMVTIGRVDDQSDMKRRKFEQKDHKELISLVRSSSSSLTAQLDASYYFTSQHKRKLRSLAPGPVNDQLFVTSALDGMINLWQIQSKGSFASLLCATNCLSQKQRRWPEGIAWHPGGNNLFSVYNADGGDSQISVLNFNRTKEKASVTFLEDKPHVKGIINDISFLPWDSVPFITGGSDHAVVLWNMRDKYNTWKPELLHRNLHSSAVMGVSGMQMKQIVLSAGSDKRLLGFDVQVGSTLFKHQLESKCMSVLPNPCDFNLFMVQTGSPENQLRLFDIRLEQKEVHSFGWKQENSESQSALIKQSWSPNGLHLTSGSSDPVIHVFDIRYNSHMPSQSLKAHQKRVFKAVWLRSLPFLVSISSDLNIGLHKMV >KGN65548 pep chromosome:ASM407v2:1:16257283:16260988:1 gene:Csa_1G445360 transcript:KGN65548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNHGELQLQFGKTEDEEKSMKVSPGKQTSSVNLIQQQPRISSTKTKGTYQRWLRIGVYIFLLLAGQSVGVMLGRLYFDKGGNSKWLATLVSLIGFPLLLPLYMIKSLNTSSPSSNITLQSNPPTSPAKLAFVYVSLGLLVALGCFLYSVGLMYLPVSTYSLICASQLAFNALFSYFFNGLVFTPFIVNSLVLLTISSSLLVFNTEHVSDGTDHLPVSRSKFITGFVCTVLASAGYGLMLSLTQLAFKKVIKKESFKAVMDMIIYQSIVASSVIFIGLFASGEWKTLKGEMDEFHLGKVSYLMILLWTTISWQLFTVGCVGLIFDVSSLFSNAISVLGLPIVPVFAVIFFHDKMNGIKIVAMILAVWGFVSYGYQNYLDDFKDSSKVENRDNSNEVSTEIVHVQP >KGN66895 pep chromosome:ASM407v2:1:28738577:28741169:-1 gene:Csa_1G707110 transcript:KGN66895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFTKPFSIFLFLICFFSIQAVSQSDECETTANSCTNKHKALRLKIIAIFSILIASVIGVGSPLVTRSIPMLHPDRNMFVILKAFAAGIILATGFMHVLPDSFDMLWSNCLKENPWHKFPFSGFVAMMSAIVTLMVDSMATSLYTKKHNEVMPENSPRGGDDHELPVVSGGHFHGHHHMDTKETNAGSQLLRYRVVAMVLELGIVVHSVVIGLSLGATNDTCTIKGLVAALCFHQMFEGMGLGGCILQAEYKWMKKAIMVFFFSVTTPFGIALGIGLSKTYKENSPVALVTVGLLNASSAGLLIYMALVDLLSADFMGPKLQGSIKLQVKSYIAVLLGAGAMSLMAKWA >KGN66172 pep chromosome:ASM407v2:1:21668611:21677622:-1 gene:Csa_1G574890 transcript:KGN66172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRNEKEEMTMGSSSSSSSSSFGVIFRYADWVDILLMFLGTIGAIGDGMSTNCLLVFASSLMNSLGNGHIQQNFMDNVNKCSLYFVYLGLVVMVLAFMEGYCWSKTSERQVLKIRHKYLEAVLRQEVGFFDSQEATTADVVNSISKDTSLLQEVLSEKVPLFIMNSSVFLSGLGFSAYFSWRLALVAFPTMLLLVIPGVTYGKYLVHVTNKRRKEYGKANGIVEQALSSIKTIYAFTAEKRVIENYKRILERTTRVGIKQGIAKGLAVGSSGLAFAIWGLIAWYGSRLVMYKGESGGRIYAAGISFILAGLSLGVALPDLKHLTEAKIAASRIFKTIDRSPLIDGEDSKGLILNNLQPHIEFDHITFAYPSRPDSFVLKDFNLKLDPGKTLALVGPSGSGKSTVISLLQRFYDPIDGVLKVDGVDIKALQLKWIRSKMGLVSQDHALFGTSIKENILFGKLDASMEEIMVAAMAANAHNFITQLPEGYETKVGERGALLSGGQKQRIVVAHKLSTIRKADVIAVVNGGGIVEIGSHNDLINRKNGHYAKLAKLQRLSSYDDVEQNIEIRASSVGRSSARSSPTFFAKSPLPMEILPQETSSPKPPSFTRLLSLNSPEWKQALTGSLSAIAFGAVQPIYALTVGGMISAFFAQSHYEMQARIRTYSMIFCSLSLVSIILNLVQHYNFAYMGEHLTKRIRLRTLEKILTFETAWFDKEQNSSGALCSRLSNEASLVKSLVADRVSLLVQTTSGVTIAMILGLVVAWKLAIVMIAVQPLTILCFYTRKVLLSSISTNFTKAQNQSTQIAVEAVYNHRIVTSFSSIEKVLQIFDKAQEAPRNEAVKKSWFAGIGMGSAQCLTFMSWALDFWFGGTLVQKGEISAGDVFKTFFILVSTGKVIAEAGSMTTDLAKGSAAVASVFEILDRKSLISDPSKDGRGSKMEKITGNIEMKKVDFWYPSRPNNMVLRQFSLEVKAGRSVGLVGKSGCGKSTVIGLILRFYDVVKGTVKVDGVDIREMDLQWYRKHVALVSQDPVIFSGSIRDNILFGKLDASENELVDAARAANAHEFISSLKDGYGTECGERGVQLSGGQKQRIAIARAIIRNPTILLLDEATSALDVQSEQVVQQALDRIMVGRTTLVVAHRLNTIKKLDSIAFVADGKVVEQGSYAQLKNQRGAFFNLANLQIQS >KGN63531 pep chromosome:ASM407v2:1:581925:585370:1 gene:Csa_1G003490 transcript:KGN63531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDENGGRKLGDLGSHLISESLKIRLNGDQKWEEVIREIKKQMGLAGPLVLVSFLQYSLQLISIMFIGHLGELQLSGASMALSFAGVTGFSLLMGMGSALETLCGQSYGGKQYEMLGIHMQRAIVVLSLICIPIAVLWASIEQILTFLKQDPLISEQAGIYGKWLIPSIIPYGLLQCQLRFLQTQHLTSPLLISSAASSFIHLLVCWVLVFEFGFGIKGAAFSTAITYWVNVIILGLYIKFSPHCQKTWTGFSIHGINNLFAFLALGVPSSLMICLEYWSYEFLVFMSGLLPNPELETSMISISMTISALIFRIAYGFGSAVSTRVSNELGAGKAMAAKLAVKVVMVLGLVQGIALGVLLISLGNKWGFVFTNEPQLIQYLSSIMPILAISNFIDAIQGTLSGTARGCGWQKTAAWVSFGAYYLVGLPCAVTFTFVLHFGGKGLWIGITCGSFLQTILLLLITFTTNWEEQAIKAKQRMMYTTSSLPTMITTPLLE >KGN66347 pep chromosome:ASM407v2:1:22915489:22915857:-1 gene:Csa_1G599410 transcript:KGN66347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGEPNGKKQSRKYFKSNELAHIRDSQINVKRNHPIMESPPIFDISDQLEFRMVKVYRNGASFFLNKKKIVAIKPVNLFLNPNLESPITELTRLLVQLIVFRKNLKFEYTNKSFIGNKNIYV >KGN65659 pep chromosome:ASM407v2:1:17320145:17320648:1 gene:Csa_1G479650 transcript:KGN65659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRPLERIGLGHVFNFISMVVSALVESKRLKIAHVHHLQGQVEAIVPISSMWLFPQLVLVGIGEAFHFPGQVGLYYQEFPMSLRCMATALISLVIGIAYYLCTALIDLFHRVTKWLPNDINQGRLDNVYWTISVIGAVNFGYYLACARYYKYQNVENDENDVSIVED >KGN65826 pep chromosome:ASM407v2:1:18820204:18824708:1 gene:Csa_1G532340 transcript:KGN65826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNPSQESVETEGISPKKVKNGSYRSVLMLAYQSLGVVYGDLSTSPLYVYKTTFSGKLSLHENDEEIYGVLSFIFWTFTLIALFKYVFIVMSADDNGEGGTFALYSLLCRHARLCILPNQEPIDDQLSAYDIKGISETRSSAALKSFFRLHPSFRRGFLLFVLFGTCMAIGDGVLTPAISVLSAVSGVKHKITGLHDNYIVLISCVLLVGLFSLQHRGTHKVAFMFAPIITAWLVCISVIGMYNIIKWNPSIYHALSPVYMLKFLRSTGVEGWISLGGVVLSITGVEAMFADLGHFSSLSIKIAFTLFVYPSLILAYLGEAAFLSKHHEDIQRSFYRAIPGLAVTFVMFVSTCLMTLVIIIVWKLRLINAIAFLMFFGSIELLYISASIIKVHEGGWIPLVLSTIFMCSMYAWYYGTMKKHEYDDENKVSMNRILSSGPSLGIVRVPGIGLIYTNLVAGVPAVFGHFVTTLPAFHQVLVFVCIKYVQVPHINEEDRLLVTRVGPKECSMFRCIVRYGYRDLLQENYNFENRLVFSLVHYVETEDQFWKKPMTEVSRGCENSKEPCEYELPLEQAFRSSNKYQAMDTADDDRGKSIHNEEAMEILRGKESGITYIFGHCSVKAKKSSSIFKKLAIDIIYAFLNQNCREQEVLLNVPHTSLLEVGMVYYV >KGN63629 pep chromosome:ASM407v2:1:1251597:1254922:1 gene:Csa_1G007880 transcript:KGN63629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKYQLLQGNRTNLPVNGFQNSTTGFRLTNQLSPAGVHLGRSPKPQKWAISEAPMLRNYKPLLPIAANSLFNHIQSSAFQQSLPPLSRFSSGYPKMATNESIKPENEDQLKHIFDQKRVLRSSVRKALKAMDPSFRSQEDNVIQSIVLEAPWFKSSQRLCAYVSCSALREVDTSRLLSEILQHPPKDGHSKKIYVPRVEDKNSHMRMFNISRMDDLIANSMNILEPAPVDGDGNEREDVMQTKDPIDLFLLPGLAFDKSGRRLGRGGGYYDTFLKNYQELAKARNWKQPLLVALSYSVQIMDEGIIPLTPNDVLVDALVSPSGVIPISSAGLDKMKV >KGN65059 pep chromosome:ASM407v2:1:11283963:11285132:-1 gene:Csa_1G186640 transcript:KGN65059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPMKKLVAVLTVAFVLRTAVPVAGMETHHVVGGDRGWDVDSDIGSWSAGRIFRVGDKIWFAYSVAQGNIVEVQRKEEYEACNVTNFTRMYSDGIDIVSLNGEGIRYFASSKAENCKNGLKLHVQVQAQAQAQTTMNDVADNDSEAVPPTPSTSSPPLFTPPTPLSYLTLLLLAHAFT >KGN63838 pep chromosome:ASM407v2:1:2458245:2460161:-1 gene:Csa_1G024230 transcript:KGN63838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYVEIWKRGFFLNWNAQDCSKCEQSGGYCRLENNKFACSCSDGLHSNSCKHGNRTRTKIIIGVCSGVGALLLTFLVLVICYRWRQLRRRRSHALPYVQRSISLNPSNPSNPPNPSSVEEVENGGTYLGVHLFSYKELEEATNHFDSNKELGDGGFGTVYFGLLKDGRAVAVKRLFESNFKRVEQFMNEVEILARLRHRNLVSLYGCTSRSSRELLLVYEYVPNGTVADHLHGKLAKSGKLPWCTRMKIAIETASALVYLHASEIIHRDVKTNNILLDNNYCVKVADFGLSRLFPLDVTHVSTAPQGTPGYVDPEYHQCYQLSDKSDVFSFGVVLVELISSMPAVDITRHRQEINLFNMAINKIQNSTLHEFVDPSLGFESDYKIQEMITSVAELAFRCLQSMKDERPTMMEVLDTLNIIKKQNAEKVTDREADISDDAVLLKNGYGSSPSSMSVSWVSSNTSTATNETISS >KGN64058 pep chromosome:ASM407v2:1:3848343:3848666:1 gene:Csa_1G039235 transcript:KGN64058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRIHVVISGEGFTSCTVREKRRFWVSVGFKLQVEAPISHSHHLQVAVILAPLLQFLAGVAVVIAVSVSVSLCNFLQNFVSISYSTFLSQLLCFAFCYYPRTLPSPT >KGN64941 pep chromosome:ASM407v2:1:10323151:10326487:-1 gene:Csa_1G165730 transcript:KGN64941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLKLFISPFFMFIVLIASTQRAQCNTLKAKISCLDCQSNYDFSGNLIMVKCERAKNLTIAITKADGSFETSLPSNMASEAAPSSPKCIAKLLGGSHQLFASRKEMVSTIIKETNSKFFTIATALKFSTCKEISRNCKAIKKESVEDSKTFDFPLPPEWGFPPTSYYIPVLPIIGIP >KGN66171 pep chromosome:ASM407v2:1:21665379:21669900:1 gene:Csa_1G574880 transcript:KGN66171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDASSDNDFTSTSSGFSSILSTEDFRSSSSSGDVSVTSTSSGEIPPLIVAEAAVETRSVDLTTPVSEPPRRKCIGRNNRAGLHWGHTSVIGRRREMEDAIAVKPGFMSSRCDHVGGCTAPGSRTSGEISPVHFFAVYDGHGGSQVAKFCSERMHEVIAEEWGKEGINDLEWQKRWEVAFSNGFQRTDNEVVSEAVATDMVGSTAVVVVLSGCQIIASNCGDSRAVLCQKNKAIPLTVDQKPDRQDELMRIERDGGKVINWMGARVLGVLAMSRAIGDRYLRPWIIPIPEISFTTRSDEDECLVLASDGLWDVMTNEEVGQVACHLLRRLRRSSSTTDDTPPAQIVANNLTEIAYGRNSSDNISVIVIDLKARKANTPRQ >KGN64675 pep chromosome:ASM407v2:1:7567512:7569821:1 gene:Csa_1G073820 transcript:KGN64675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNIKIPTAKTRSRKRVSDTDLSSSDQRKQTNDDIDLDLDLSDDLKGIVSALNQIKERAHKDDLKKNEETISSVATEMRTMIEEVKSKLEKDRQNFAKALSKSSKECENCLKDETAKFQALYEKFTKEKATHLQAIKDTISKFEEEKERLFAKYEQLRKRERSLISEQEKACAEKIAQLEESLKRKKQDDKTFSLLRKTLGSFLDTGSDEDFPADD >KGN65305 pep chromosome:ASM407v2:1:13624259:13627123:-1 gene:Csa_1G305780 transcript:KGN65305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKERRDRSASHDRYRASPFSCSSSRPIRSSPKLPLGSEDNLREWEEVRCPVCMEHPHNAVLLICSSHEKGCRPYMCDTSRRHSNCLDQFCKLFSETSTVMPVQEDVQLPTVNSSPTMESEPVVDDTPEVQSEDIELAVHPSSCENQMPPKLVCPLCRGKIKKWVVDDHARQFMNAKSRSCSCETCSFSGTYTDLRKHARKEHPLVRPSEVDPERQHNWRRLERQRDLGDLLSTLQSSFGDDRVDDSILPIDDGGWLTVFFLIRVFRPESSRRSSSWSSVSRARGQPSFRRRTTRLWGESYDGEIGSSSRDDDNDSSDDGSGPLRHHERILRQTTPDNAP >KGN64585 pep chromosome:ASM407v2:1:6974000:6974350:1 gene:Csa_1G066540 transcript:KGN64585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEEEEEEEEKARKSLEMNGCYCLSTNFAYLSLCVGFNSRPAGTFPPFPSLLLTALSLSRSLAPSLADQNKHTITFLLFVRSPPPKHTFGPSHNKPRPNKNPLLLNSFLRPKPKTN >KGN64855 pep chromosome:ASM407v2:1:9438650:9444170:1 gene:Csa_1G132160 transcript:KGN64855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSISTSLFSSTLITSSLYDVKSLRIVPSLIAVDRDPNFRLRKFCGRASGISPVRVAAPPTPPSTVDSENSEVVESDEVEVDESGNEGSSTPFSWRDNWYPVSLIEDLDPALPTPFQLLGRDIVIWFDKSRGEWVAFDDRCPHRLAPLSEGRIDEGGNLQCSYHGWSFDGCGSCVKIPQASSEGPESRAHQSPRACATRFPTLVSQGLLFVWPDENGWERADATTPPRNKEERSVEPDDFDKPEFSSVTIQRDLFYGYDTLMENVSDPSHIDFAHHKVTGRRDRAKPLPFKLDTNGPWGFSGANKGNPRISAEFVAPCYYINKVEIDSKLPLLGDQKWVIWICSFNVPMGPGKTRSIVCSARNFFQFSMPGPAWWQVVPRWHEHWTSNKVYDGDMIVLQGQEKIFLSMEGSTDVNKEYTKITFTPTQADRLVLAFRNWLRRHGKGQPEWFGASSQQPLPSTVLSKRQMLDRFEQHTPLFHHIRILLASLALASAGLAYALFELQKNFVFIDYIHAEID >KGN65778 pep chromosome:ASM407v2:1:18439991:18441808:1 gene:Csa_1G527940 transcript:KGN65778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHGPHLIWAFLSLIEVTLEIHNTIPSMAMTMTHTLCQVDQCHMHTAQLLTAQQRAAQPNDSALTSTNQTTAQHVNLLFHTRPTFIATPSSSALIQQVVNH >KGN64816 pep chromosome:ASM407v2:1:8904074:8909396:-1 gene:Csa_1G111370 transcript:KGN64816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNPYVISIALNLFFFFFFITCSILHRFWFLTGMAEVAKLLYIVVVDEEENPEKGKRSFRYTRHVLQSTLQLMGCKPRHAFKISKWVFELIRKEASTENFLPEERNILGSVSLGEKTETDGNRYYNCFDGKETFNQSPREGDNNNNIPFELYKRSRTAVVGRELFLDVICDSLAKYKYVGPNQRADLILACRIRERKESVTVLLCGTSGCGKSTLSALLGSRLGITTVISTDSIRHMMRSFVDEKQNPLLWSSTYHAGEFLDPVAVAESKARKKAKKLAGISHKHLKDETANGLISRNSDNPLIGPAKVANNLELISPKQMAIEGFKAQSEMVIDSLDRLITAWEERKESVIVEGVHLSLSFVMGLMKKHPSIIPFMIYIVNEEKHLERFAVRAKYMTLDPAKNKYVKYIRNIRTIQDYLCNRADKHLVPKINNTNVDKSVAAIQATLFSCLRRREAGEQLYDSSRNTVSVVDEEYRNQCVAYSLSSKGMFQMIQRKGSKHLMALVNTDGSVAKAWPVDSVDSNGKPLLGLKEDDSVENLMLGSLQIGKVEPVNLQFGLYGISAWPSSSGPSHAGSVDESRAEGTDTGSRYFSSCCSSPRIFDGPSKELKEDNSVHGSDEEVDDPPESGSDEDFSDDGDKMFHEEIGSVDEESTKSDEEYDDLAMQDILDSGYQSDEDDKVKTKIEHGFMDKFRLIKGLKYSQNLEPFQQNKKGTFEPLHPFPSIHTDKKNGAVC >KGN65203 pep chromosome:ASM407v2:1:12784052:12784990:-1 gene:Csa_1G264540 transcript:KGN65203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDGNFQRWGISKFVESYLRWKLPLKKHGMLPKHSFFVEVSSCQVATIPEKFYQKVEEGSIVLRKSNSFTFYKKGLVIDDDDTQPVEADVVVLATGYQGNQKLKSIFKSTTFQKYISTSPTSIMPLYRQVIQPRIPQLAVIGYAEGISDLFSSDMRCQWLVHVLDGSFKVPSIKEMEDEISSWEMNMKEYAGEYFWRSCVGINNIWYNDQLCKDMGRKYFRKKSILAELFHPYLPSDYASLSNE >KGN66671 pep chromosome:ASM407v2:1:26305657:26307050:1 gene:Csa_1G657470 transcript:KGN66671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDYELEEPNRQIQIRKPTDFFNKLVFLQADLIYNAMEFVIAPAYTLLSLFAESFQRAEETKHTVESAVRKSPSVVAQRVKVAARRMSYGAVAAGMMCMVMVLLLVVAMGVSGLGIRYWIEEPVDVKEKLKFDYTEARPRALFGTGNGNTMKMKKKNLGIPVGHTFYVCVVLLMPESQFNREFGVFQLSAELISTNGNVITSSSQPCMLRFRSAPVRLARTIITSFPVLVGISSEAQRLSFPILEHKEEKQERSAAIQVTISPRIGTSALPELYEADILINSKPPKMKELLRRWQWTCFVWTSMYLYLMFVVMFMFFWKPVMFRAMTLRPHQLIRDLDQDPRRREVEGDESSLDEMAEITVELLRKWQEMRRKRKAAMFGYGSGEEDVGSTSASSISCSRDYTAAVFEEDVGDSESVILEAS >KGN64359 pep chromosome:ASM407v2:1:5680055:5685974:1 gene:Csa_1G049980 transcript:KGN64359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVDEQCIRILPHKLVLQKKELALQWLIGSPFLSPLTIASTLKCIHHLSPPESISPDFTKEAEELRTLLLKGFYIVGALVVGNSNVDEHASQAIDAARKLNQILSHGENTEKKLLIGAVADINSADIHFFVSQSENDTSLDSVSSVVYENNPEKYIWERGCLLRCELPISMPLYIPLDSPSDVEKAYEQATESVISKLRDPQAVYVVEQVNKNTSEDPCPVILRGSQMDFQINLSKFRHLNDASQNADGMSLPCANFCSKSKTECTMFSLQNADIIQVSVLLNSSAKSEKSSAPVVEYFPATDKTRLLVVNLKTEVLCYAAKFLPLTCAVSMLIIPGLVDQLNLMKNAILPSLSKQLPQLVPYHFCPPGFLHPITVLYELTYGETEMKQVELRKALHLRLGLPFDRPVLRIASALDFSGRKENLPQKGSFLLKDVHIGIPSSGVSGGHMSLVQGSYVYHHYLQEGFNDSGWGCAYRSLQTIISWFRLQHYTSIDVPSHRQIQEALVEIGDKDDSFIGSREWIGAIELSFVLDKLLGVSCKIINVRSGAELPEKCRELAAHFENQGTPIMIGGGVLAYTLLGVDYNEASGDCGFLILDPHYTGSDEVKKIVSGGWCGWKKAVDSKGKNFFLHDKFYNLLLPQRPNMV >KGN64300 pep chromosome:ASM407v2:1:5232131:5233707:-1 gene:Csa_1G045980 transcript:KGN64300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFQNGVSSSPIKAMLHAPTIETNHNEPIEIISFSPIQNGTQNGDSFSPAFPGCYVDIAPFYPDHGQVFKLEKVIFKGKSQYQDLFVFQTSTHGKVVILDGSCSLEEEMEGFFEKHLDMLALSKSTFVISTRWWLMSVYKKHFPNIAIGYKDPRVNSYIGDGVAFIKSVPPATYDAIIIDAFQGMGVYANELSNEDLLKSIAKALKPGGVLSTPADSIWLNNFAMEDTITLCRNIFKGSVNYAWTSVPSYARQTHSK >KGN64824 pep chromosome:ASM407v2:1:9075597:9076168:-1 gene:Csa_1G119910 transcript:KGN64824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRLTLAAILMLVLITSVMLIEGTTLRSPRRLIDINKQREREINENEKAKTRRPNDSGEYNHHGCLRRDVDCWSNAKQSTD >KGN66516 pep chromosome:ASM407v2:1:24466486:24466858:1 gene:Csa_1G616340 transcript:KGN66516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLKEEERDEEDEEDEEEDEEEESEEEDEEEDQVKERQKKRKGMVNGKMDDYVLDKAWWYDGFVLI >KGN64799 pep chromosome:ASM407v2:1:8695142:8697869:-1 gene:Csa_1G103260 transcript:KGN64799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAKSMLRQSVDLLCSRSTATSEAYTQLVLECVRTNEINQAKRLQSHMEHHLFQPTDSFLHNQLLHLYAKFGKLRDAQNLFDKMLKRDIFSWNALLSAYAKSGSIQNLKATFDRMPFRDSVSYNTTIAGFSGNSCPQESLELFKRMQREGFEPTEYTIVSILNASAQLSDLRYGKQIHGSIIVRNFLGNVFIWNALTDMYAKCGEIEQARWLFDCLTKKNLVSWNLMISGYAKNGQPEKCIGLLHQMRLSGHMPDQVTMSTIIAAYCQCGRVDEARRVFSEFKEKDIVCWTAMMVGYAKNGREEDALLLFNEMLLEHIEPDSYTLSSVVSSCAKLASLHHGQAVHGKSILAGLNNNLLVSSALIDMYSKCGFIDDARSVFNLMPTRNVVSWNAMIVGCAQNGHDKDALELFENMLQQKFKPDNVTFIGILSACLHCNWIEQGQEYFDSITNQHGMTPTLDHYACMVNLLGRTGRIEQAVALIKNMAHDPDFLIWSTLLSICSTKGDIVNAEVAARHLFELDPTIAVPYIMLSNMYASMGRWKDVASVRNLMKSKNVKKFAGFSWIEIDNEVHRFTSEDRTHPESEDIYEKLNMLIGKLQEEGFTPNTNLVLHDVGEDEKFKSICFHSEKLALAFGLIKKPNGISPIRIIKNIRICNDCHEFMKFASRIIGRQIILRDSNRFHHFSTGKCSCNDNW >KGN63704 pep chromosome:ASM407v2:1:1667120:1677739:-1 gene:Csa_1G011560 transcript:KGN63704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSSGVDDQGSGWFEVKKKHRSSSKFSLQSWVGGFSGKNSSDSMCRTNLVNKNPQNDRSNSKSHPPTGGSYAVYTQSNTENCVATSIGDDEGSHSPDKCMVRQDTEFPKSSVLHIADSNAGNEECEKVSHRDMPGVVQKIKWGDLEDDSLVLNNSANGVEIKFGNIGEVDLGVSEKNEVKHDLASLVSSSVDTQVSTLVAASVRDEEASDQTLLSTNEINSCQVSHQDINREFIEDLKVISNSEATVCSVIDGSNFKDTRNENTKPVDNHSSNFDFLFCEEAGTEAKVQKAIKVHEVENPVLHEAAGEPEISSLSLPVQNAESVSTKTSGHENSGGCSDSVEETQIEQGSGTHNVQVVSAPSEGATGESKERFRQRLWCFLFENLNRAVDELYLLCELECDLEQMKEAILVLEEAASDFKELNTRVEEFEEVKKLSSQSIDGMPITMKSDHCRPHALSWEVRRMTNSPHKAEILSSSLEAFKKIRQERANMLEASKKLPGNECLSPQCMDQMKKTSTINCVVHDAPDSASKAAGNKGVDLTPGSLSGKEKNTESLGSDKVNVAQNIRSRPQNSSSINSSKPPLAVKFKREQLESDVERLVSRRERALAEGTCEKTQKPVEHSKRQATVSEKDKEKEKRNMGARKSMDAWKEKRNWEDILSSSIRISSRVSHLPGMSKKSAERVRVLHDKLMSPDKKKKTSLDVKREAEEKHARAMKIRCELENERVQKLQRTSEKLNRVNEWQAVRTMKLREGMYARHQRSESRHEAFLAQVVKRAGDESSKVNEVRFITSLNEENKKIMLRQKLHGSELRRAEKLQVMKIKQKEDMAREEAVLERKKLIEAEKLQRLAETQRKKEEAHVRREEERKASSAAREARAMEQLRRKEERARAQQEEAELMAQKLAERLSESEQRRKFYLEQIRERASMDFRDQSSPLLRRYMHKDGPSRSATNNNVDEQGPSSSDLGSGLAMGKTTLQQHMKRRIKRIRQRLMALKYEFVETTNGAENVSIGYRTSIGTARAKIGRWLQELQKLRQARKEGAASLGLIIAEMIKYLDGRELELQASRQAGLLDFIASALPASHTSKPEACQVMIHLLKLLRVVLSASANRSYFLAQNLLPPIIPMLSTALENYIKIAASINAPGNGVQSSRTSIENFESSSEVLDGSLWTITTIIGHINPEGPQLQMWDGLLELLVAYQVIQRLRDLFALYDRPQVEGSPFPSSILLSIRLLVVLTSRPGTDSTINCVLPFSENLTGVESGIAISTMSRDFPRTGFTEDGIPLESGLNGGKILQNPKMTVDQLDESCEQKIKTGMIPIDGGQREPQTDCFIEANGVNLIQIDIQDEPQDGEIVLKPYVSQGDQKQHVDVVSDEGIKNVTKMKPPIAYLLSAISDTGIVGLLSLLTAVLLQANNRLSSEQASYILPSNFEDVATGVLKVLNNLAFLDLKFMQRVLARPDLKMEFFHLMSFLLSHCSSKWAAPSDPIGLLLLESLSILGHFALFHPGNQEVLRWGKSPTILHKVCDLPFVFFSDPELMPVLASTLVAACYGCEQNKSVVQQELSIDMLLSLLRSCKNNLLVPALPSTSTQENEESNESNPNGFESRKPQTDGIIRATRNVSRITRTSLGRPGGVSSGNSNRNNKTRNQRDNRSAKASDEITLKHNQPAMEVASVMLHYRFPSSFLDRAEQFFSADISTAVDG >KGN63825 pep chromosome:ASM407v2:1:2381813:2382555:1 gene:Csa_1G023620 transcript:KGN63825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPQQQQQQIHGKMDNQITNNGNNKPILCPPPLKINKDSHLIRKTSSSSNTSSPSSSSSSTTSLANGVAAAAAKPPPQRHPVIIYTHSPKIIHTHPRDFMALVQKLTGICCCKAAVVNDDNESSSVVTTDENCCGGGGSGLMEVGQVNSCFGPAIFEPPPPPPQLANSYLTNIPIYTPNSTEFLCTNQPIFNYDDSLLFGGNRSVNDFCEYSEF >KGN66749 pep chromosome:ASM407v2:1:27220542:27229849:-1 gene:Csa_1G674540 transcript:KGN66749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLICASLPLPNKSHSQFLSPYFSTPFRTRYPIRPRRCNFIFTRKCLNLVSNGSRLQFLGFPTGPRSSKALQQRGVVDKSISEDFSVSNFVSLSIHDNKIDESMLNCIAKPVVYTLFCIAVGFVPFRTVKAPAIAAQVVADRVFDKKAYEEVESNLRGHEYSEFTRQLLEAVSYVSMSIEEARKGNCSVEQVEMALKTVKLYKVKLQEGILNYLHTQLRDLKREKVGLERRLEGVVNEVVEAKWEYERLVEKMGSSRKESKERMDRERMARLEQIMRMLEVEYNEIWERVGEIGDIIFRRETVALSFGVRELCFIERECDQLVKRFTREMRARGKDTNRMPKQVLTKLSKDYIKKELESTQRKRLEQSILPTVVDGVSLGNFLDQEGVDFARRISEGLNHSRRLQQDMEARMRKNMKKFGAEKRFVVNTPEDEVVKGFPEVELKWMFGHKEVVVPKAISLQLYHGWKKWREEAKADLKRNLLENVEFGKTYVAERQERILLDRDRVVANTWYNEEKRRWEIDPVAVPYAVSKRLVDHARIRHDWAVMYFTLKGDDKEFYLDIKEFDMLFEDFGGFDGLYMKMLACGIPSTVHLMWIPFSELDIYQQFTLVLRISQGCLNALWKTRFLSSWRSRVFEKINNVFADFMIMIVFPTVEFLVPYSIRLRLGMAWPEEIDQTVDSTWYLKCQSEAELSFRSRKRNGNWWFLLFMIRSAICGYILFHILSFTRKEVPRLLGYGPVRRNPNLRMLGRVKFYLKCRMRNIKHKRRAGVDPITHAFDGMKRVKNPPIPLKDFSSIESMKEEINEVVAFLQNPRAFQEMGARAPRVC >KGN65473 pep chromosome:ASM407v2:1:15571579:15573768:1 gene:Csa_1G423310 transcript:KGN65473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIEYQRRREKVKTLSTKKERKTLTLTRPTRHLFFTPARPFSPQLSNASAIAASTAGAAAASFPLSLHCFTLLLVTDNI >KGN63544 pep chromosome:ASM407v2:1:634874:641524:1 gene:Csa_1G004100 transcript:KGN63544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPASKKATLFSSDVCCHSSAAGSTTVPREAASVASANVVQDWNLTTNDRADDRRTSKNVAIPSLVRSVEPIPEPSTNASSKGVVPPMLRAQSRHPLDPLSAAEISVAVATVRAAGATPEVRDSMRFIEVVLLEPEKHVVALADAYFFPPFQPSLLPKTKGGPVIPTKLPPRRARIVVYNKKSNETSIWVVELSEVHAVTRGGHHRGKVISSSVVPEVQPPMDAAEYAECEAIVKEYPPFIEAMKKRGIEDMDLVMVDPWCVGYHSEVDAPGRRLAKPLIFCRTESDCPMENGYARPVEGIHVLVDMQNMVIIEFEDRKLVPLPPADPLRNYTSGETRGGVDRSDVKPLQIVQPEGPSFRVNGYYVEWQKWNFRIGFTPREGLVIYSIAYVDGSRGRRPVAHRLSFVEMVVPYGDPNDPHYRKNAFDAGEDGLGKNAHSLKKGCDCLGYIKYFDAHFTNFTGGVETIENCVCMHEEDHGILWKHQDWRTGLAEVRRSRRLTVSFICTVANYEYGFFWHFFQDGKIEAEVKLTGILSLGALQPGEYRKYGTMIAPGLYAPVHQHFFVARMDMAVDCKPGEAFNQVVEVDLKVEGPGENNVHNNAFYAEETLLKSEMQAMRDCSPLSARHWIVRNTRTVNRTGQLTGFKLLPGSNCLPLAGSEAKFLRRASFLKHNLWVTQYSRDEMFPGGEFPNQNPRVGEGLSTWVKKDRPLEETDIVLWYVFGITHVPRLEDWPVMPVDRIGFTLLPHGFFNCSPAVDVPPSTCELDSKDADPKENVVTKPIQTPIIAKL >KGN64743 pep chromosome:ASM407v2:1:8144234:8146531:-1 gene:Csa_1G085390 transcript:KGN64743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPKSLMAKNRFVCEICSKGFQRDQNLQLHRRGHNLPWKLRQRTNKEVRKKVYVCPEKSCVHHDPARALGDLTGIKKHYSRKHGEKKWKCEKCSKKYAVQSDWKAHSKICGTKEYKCDCGTLFSRKDSFITHRAFCDALAEENSRINHHPTFINNNFSPTSSSLLLQQPNFPPSSATATATATTTTVIDQSPLAHHFPNIIFDHDDDHKPRPLSISSPPQLPLWLDPPPNPNSFFSAAPAIHTFSENPTFFPENQYPFLSEALTTASSYTVAPHMSATALLQKAAQMGPTVTPTISPILFNAPTATTGRGYGMINSTAAVVGLSDGRSTMKPLMGGAKEEIGGHNLTRDFLGVGNQVVHLTPVGSNQYGDQSRRN >KGN63616 pep chromosome:ASM407v2:1:1157879:1158351:1 gene:Csa_1G005770 transcript:KGN63616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGNNTSYDWADQWDYSDSTDVVSDNKKKTGGGNSSAKYKQKVGEGLGKTKSVASNGVKKVKEGTSLGFQWIKEKYNKTAHKK >KGN66456 pep chromosome:ASM407v2:1:23896189:23900304:1 gene:Csa_1G611820 transcript:KGN66456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEAPDSSFIPTVIRRSTFPPGFVFGSASSAYQYEGAAFEYGRTPSIWDTYTHQHPERIDDGSNADVTVDQYHRYREDVDIIKKIGFDAYRFSISWSRVLPTGKLSGGVNQEGIDYYNRLINDLISKGIEPYVTIFHWDVPQALEDEYLGFLSEQIIDDYQDFAELCFKEFGDRVKHWITFNEQFIFASYGYATGLFAPGRGSSSKHFDYLCGDFEHKPHVGLVSRRGFFWKLLDCELEGNPGTEPYIVGHNQILAHAVTVKLYKSKYEYQNGEIGVTLNTDWYVPNSNHEDDKRAASRALDFSLGWFLRPLVYGDYPASMRELVKERLPKFTDDEVSLVKGSYDFLGINYYTANYAKNNPNVDPNKPSQVTDSHADVSTDRDGVSIGPKVRKDSWLAVYPEGLKDLMIHIKHHYKDPIIYITENGYLDYDSSDVEKLLKDEGRVKYYQQHLIKLHESME >KGN64031 pep chromosome:ASM407v2:1:3695075:3699917:-1 gene:Csa_1G038980 transcript:KGN64031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVRSFLLLLVVSLPAIWAQDIEHARIIINANEKVAETDYNFICATLDWWPHDKCDYNQCPWGYSSVINLNLSHPLLSKAIQAFHNLRIRIGGSLQDQVLYDVESLKTPCRPFQKISSGLFGFSKGCLHLYRWDELNHLFSRTGAIVTFGLNALYGRHKIGRIQWGGDWDSSNARDFMKYTISKGYVIESWEFGNELSGSGVAASVGAVQYGKDLIRLKSIINELYQDSNSKPSLIAPGGFFEQDWYAKLLQVSGSNVVDVITHHIYNLGPGIDPNLVKKILDPQYLSRVSETFSKLDQTIQTYGPWASAWIGESGGAYNSGGRNISNTFVNSFWYLDQLGMAAKYRTKVYCRQTLIGGNYGLLNTGTFVPNPDFYSALLWHRLMGTGVLDVNSDASPFLRSYAHCSKERAGVTALFINLSNQTRFVVSVRNSLTIKLRRVHKGSSFMQGIKKTVSWVGNKASDLSISREEYHLTPKDGFLQSQTMVLNDIPLELTEDGNIPQLNPVLNDVNSPIVIAPLSIAFIVFPNFEAPTCK >KGN63481 pep chromosome:ASM407v2:1:285447:287872:1 gene:Csa_1G001520 transcript:KGN63481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMAAAASPCASKSFSKSPSFNLTKPFSRFDLSFSSLPHNPTFRRSLRICSTSLSNPSPKPSSTAASAATVETSSITSSEIFASRFAADEPRKGADILVEALERQGVTNVFAYPGGASMEIHQALTRSSIIRNVLPRHEQGGVFAAEGYARSSGLPGVCIATSGPGATNLVSGLADALLDSVPLVAITGQVPRRMIGTDAFQETPIVEVTRSITKHNYLVLDVDDIPRIVSEAFFLASSGRPGPVLIDIPKDVQQQLAVPNWNQPMKLPGYLSRLPKPPTDSHLEQILRLISESKKPVLYVGGGCLNSSEELRRFVKLTGIPVASTLMGLGAYPCSDDLSLQMLGMHGTVYANYAVDKSDLLLAFGVRFDDRVTGKLEAFASRAKIVHIDIDSAEIGKNKQPHVSVCGDVKLALQGMNRLLEKAHMLHFDFSAWREELNEQKSKYPLTFKTFDEAIPPQYAIQLLDELTNGEAIVSTGVGQHQMWAAQFYKYKKPRQWLTSGGLGAMGFGLPAAMGAAVANPGAVVVDIDGDGSFIMNVQELATISVEKLPVKILLLNNQHLGMVVQWEDRFYKANRAHTYLGNPSNESEIFPNMLKFAEACGIPAARVTKKAELRAAMKKMLETEGPYMLDVIVPHQEHVLPMIPSGGAFKDVITEGDGRRSY >KGN63861 pep chromosome:ASM407v2:1:2613164:2613873:-1 gene:Csa_1G024930 transcript:KGN63861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPSPVTTLSPSSVFVPPSSSPSTPFPSSSLSPPSPQLFSPSIPNALLSNPLSPASKPPGLVLLFVVLVFGLVFEVYLISITSLGLVVSIAEERFGFDAIRYAAGLMADRRLSGSILTAMFLVGSSLISSEMEGLMDGVDHWMRSTAAVTTNVAVSVGDKIGLISLYGMVIIFGYVVTTVFYCECRKRDFVRVENEEDHDHIVMV >KGN63903 pep chromosome:ASM407v2:1:2890120:2892156:1 gene:Csa_1G025850 transcript:KGN63903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISSRFSVEISSTLMVVSALSSSINPNHHYRFGHSWRMRPQRRKSYCSISQETNIVEPEKNQNTSIEKQTTKKAIRESPISQKGCPPPLVNALKVSAEQNAARFHFPGHNRGRAGPSSFTQLIGLKPFMHDLPKLRELDNLFCPEGPILEAQQQAAKLFGASETWFLVGGTTCGIQAATMATCSPGDHIIFPRNAHVSVISALVLSGAIPKYIMPMYDSNWDIAGAVTPSQVDRAIKDLEMEGQKASAVFVISPTYHGICSNLSEISQICHVKGIPLIVDEAHGAHFGFQPQLPISALQQGADLAAQSTHKVLCSLTVINVAHVRESCRQRKSLWMFTNSSKHQS >KGN64211 pep chromosome:ASM407v2:1:4748496:4756929:1 gene:Csa_1G043140 transcript:KGN64211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGSSSKKDEAKGEINPEIAERKRLKKLAFSNHILSETQARPQAYLSPSATVLKHHGKDIVKKSQRKNRFLFSFSGLLAPVSGGKIGELKDLSTKNPILYLDFPQGRMKLFGTIMYPKNRYLTLQFSRGGKNVTCEDCFDNMIVFSDAWWIGTKDENPEEACLDFPKDLTMGQCGEYDFNGGAGVTSTSGVAGVTSTSKQSVQRKGINPAAENSFKGEHGDDLVGLEASVTNSIKTTPVRHSERSARKVFNFAEASSEDESAGTDADLSEGEEKNIVIHEPSIGDHASEKTEDISVESIDEDAVKIKPPFLEGNQTSISKEKKSFRAKGSAQSDTRGLVQPTLLSLFKKVEEKRTPRSSKRSSAPKVSTQKMQLSGSKQKIDQDEGSKKRRVVRGQGGKAQKKDTEYEVEDEIEDLSSSQEDTDEDWTS >KGN64604 pep chromosome:ASM407v2:1:7138241:7138599:-1 gene:Csa_1G071180 transcript:KGN64604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLYDFLEIETATDNFSPSSKVGEGGFGPGFKGNLPSGHEIAVKRLAEGSGQGQTEFKNEILLISKLQHRNLR >KGN65400 pep chromosome:ASM407v2:1:14991811:14993866:-1 gene:Csa_1G408720 transcript:KGN65400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPFDSAASSGGSSSSSSGSSSSSTVTKPQDIDGLLAGAGYRVRSSDLHNVAQRLERLESAMVNSSSEISQLASDAVHYNPSDIGSWVDSILSELDQTATLPSDLPDFPDLFSVSNQTDGSVSWTDPCVAAQHQNLGQHQLTVVTAMEEDSGIKLVHMLVTCADSIHRGDFPLAGSLIVEMQSLLSGINTECGIGKVAGYFIDALTRRVFTPHDTITSTTGFEDVLLYHHYYEACPYLKFAHFTANQAILEAFDGHDCVHVIDFNLMHGLQWPALIQALALRPGGPPLLRLTGIGPPSPDGRDSLREIGLRLAELARSVNVRFAFRGVAAARLEDVKPWMLQVSPKETVAVNSVMQLHRLLGNNQSSSAMEMVLGWIRSLNPKIMTVVEQEADHNQTGFLERFTEALFYYSTMFDSLEACCMMPEKGLAEMYLQREICNVVSCEGSARVERHEPLVKWRSRLRQAGFRALHLGSNAFKQASMLLTLFSAEGFSIEENEGCLTLGWHSRPLIAASAWQAAPPPDSNANHHPFGVIV >KGN65669 pep chromosome:ASM407v2:1:17411681:17413491:1 gene:Csa_1G481220 transcript:KGN65669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNAEKRGKRQVMIRPSSKVIIKFLLVMQKHGYIGEFEFVDDHRSGKIVVELNGRLNKCGVISPRFDVGVKEIEGWTARLLPSRQKQEGRMLEAKFLVSSTEHGFEHPLWSFDIAFK >KGN66207 pep chromosome:ASM407v2:1:21903726:21907780:1 gene:Csa_1G580220 transcript:KGN66207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLQFHHSIFLPIRLPITTIGAKISLPTNHLLPPYTLSKPLHRNFLSRATRDQKSEIYNIPSTEIEVVDEEGEDYDDGDDRFWSESGFRGREGEKDYDRDPEFAEIIGTSLDDPDKARSKMEERLRKKRNKILQPKTGSAVPVKVTFNKFDFSNSYIWFEFYNTPLAKDITLICDTIRSWHIIGRLGGCNSMNMQLSQSPLDKRPSYDAIQGANVNPTTFYNIGDFEVQDNLARIWVDIGTSEPLLLDVLINALIQISSDYVGIKQLVFGGSEFENWKEDLTTEDAGYSTHKI >KGN66722 pep chromosome:ASM407v2:1:26884096:26890865:-1 gene:Csa_1G665340 transcript:KGN66722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLDLTKDGVRVDKAKVGPFGSILFDSAVSVSSNSEMKTWVLEFVDLGGEMRRDVWYAFISEVVASHQFIREYGPEDDDESCFHVYGAHKGKERAMANATNSIARLQALQFLKKLLDDPIKLVPFSFLQNAPYGDVVRQTLAVNIWGGPLMTNLLLEENQAVQIARSSDEVYEGGHHIFDIDGSVYLRNWMRSPSWNTSTSISFWKNPSMKEGVILSKNLVVAGMSLVERAAETCNQRYQVAEKTQATIDSAMIKGIPSNIDLFKELLLPVTIIAKTFEKLRRWEQPHLSISFLAVAYTIIFRNLLSFVFPTTLLMVAAGMLTLKGLKEQGRLGRSFGKVTICDQPPSNTIQKIMAVKDAMRDVENFLQNLNVSLLKIRTIVLAGQTQITTEVALVLLSSAIILLIVPFKYVLSGLIFDLFTRELQFRQQTVKRFMKFLRERWDSVPASPKWNENGDS >KGN64096 pep chromosome:ASM407v2:1:4034151:4038330:-1 gene:Csa_1G042070 transcript:KGN64096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGRKRRKQHFRRIHAFPCGRASFKDEHSLIGGPGFSRIVYCNDPDSFEANLLNYGGNYVKTSKYTVASFFPKSLFEQFRRVANLYFLLCALLSFSPLSPYSPVSNVLPLVVVIGVTMGKEALEDWRRTKQDMEMNNRKVKVHIGDGEFVETKWMDLRVGHVVRVEKDEFFPADLILLSSSYEEAICYVETMNLDGETNLKLKNALEASSNLHDDSSFQNFKATIKCEDPNANLYSFVGSMLLEEQQHPLSPQQLLLRDSKLRNTDFVYGVVIFTGHDTKVIQNSTDPPSKRSKIEKRMDKIDERMMDGNWVKEPRANVIQKFLQLLAICHTALPEIDEKTGKISYEAESPDEAAFVIAAREFGFEFYERSQTSISLREFDPTSAKKVERSYQLLDVLEFNSTRKRMSVIIRDSKGKLLLLCKGADSVMFERLAKNRCEFEEQTKVHVNEYADAGLRTLVLAYRELKEEEFNSFHQEFIKAKNTVSTDRDDIIDQLTESVEKDLILLGATAVEDKLQNGVPECIDKLAQAGIKIWVLTGDKMETAINIGFACSLLRQGMKQIIISSETPEGKALDKVEDDHKSAAIKAFKTSVTQQITDAKALLTSSSETPETLALIIDGKSLTYALEDDVKDLFLELAIGCASVICCRSSPKQKAQVTQMVKVKTGSTTLAVGDGANDVGMIQEADIGIGISGVEGMQAVMSSDIAIAQFRYLERLLLVHGHWCYRRISSMICYFFYKNIVFGFTLFFFEMYASFSGQTVYNDWFLSLYNVFFTSLPVIALGVFDQDVSSRYCLKFSLLYQEGVQNVLFSWVRIFGWVFNGLLSSVIIFFFCVGAMDYQAFRNSGEVVGLEILVSPCTLVLFGL >KGN66222 pep chromosome:ASM407v2:1:22014215:22019819:1 gene:Csa_1G586840 transcript:KGN66222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNILWGERFLLTLILRTNVSDIGYNPTLSSLSLRRPFLRQRGLNTPSVSLNFPPMHSLFKPRRSFCSLNHFYRSSTFISGDATSETTVGRSSTLIHPTAVVHPNAVIGEDGYIGPFCTVGAFAKLGNGCQLYPGSHIFGCTELGDRCVLMTGAIVGEDIPGRTVIGCNNKIGHHAVVGIRCQDMKYKPGDECFLDIGDNNDIREHSSVHRSSKSSDVTAIGDNNLIMGSCHIAHDCKIGNNNIFANNTLLAGHVVVEDYVHTGGTTAVHQFCHVGSFSFVAAGSMVSQDVPKYMMVGGERPELRGLNLEGLRRNGFTVEEIIGLRSAYRKIFMSTDANSEGLEERLNEVEQHEKLAHISSVRSMIQSIRASFEQNRRGICKFRLWSSS >KGN65718 pep chromosome:ASM407v2:1:18061439:18063159:-1 gene:Csa_1G515470 transcript:KGN65718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPTGFVGSSSTPKIDVVIDTGYPFLNLTVDGFLKIGSLKKMCKEGAYWGAVAGAYVGMEYGVERIRGTRDWKNAMIGGALTGALVSAASNNNRDKVVIDAITGGAVATAAEFINYLT >KGN64179 pep chromosome:ASM407v2:1:4545952:4549239:1 gene:Csa_1G042860 transcript:KGN64179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVVELKLSRTNRIYRPSEHLQGQIIVNSRSSISHSGIRLALNGSVNLQVRGGSAGVIESVYGVIKPISIVNRSILVSPSGKLASGTNEIPFSVILRQPNENLGKYYETFHGTDINIQYLVTVDISRGYLHKSLSATMEFIVESDTADFLERPLSSEMVIFYITQDTQRHPLLPELRSGGFRVTGKMSTLCSLSDPLTGELIVETSAVPINSIDIHLCRVESVILGERIITETSVIQTTQIADGDVCRNITLPIYVILPRLLTCPTVFAGPFSIEFKVYIVITFQSELSKLHPKTDPRTPRLWLAIESLPMELLRCRSDD >KGN64140 pep chromosome:ASM407v2:1:4347617:4350466:-1 gene:Csa_1G042510 transcript:KGN64140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPSLPQFLFFTILWFDFHLCFSNDANEEFKACSVYYSCGVLVNISYPFWGNERQQFCGRREFELNCKDNKTTTIQINSVEYNVVNINQTDHSMTIARSDLLDDYCPKIQIKTATLGHNLFKYSSNDLNLSLWYDCPVLEGIRREMTFECGSSEGERRGRVNYALEKKDAMNWRRNMSECRVKMEVTITKEVLTEGEKNRTMVVERGMKEGFEVEYGDLYTIACEGCKEQGGACGGNTSKEFRCVCGNGNVHPYICKSSSSSSPPPPPPAAPYLDVIWLDTKTGGPYTNHRRKSAIIGGTSSGGFVLVISIIIFIYWKRKRTSNKDKIEKIIRRYSIQTPKRYSYSKLKKITDCFNNKLGQGGFSTVYKGKLPNGCDVAVKLLNESRQENGQDFINEVVSIAKTSHINIVTLIGFCYEQNKRALIYEYMPKGSLDKYIYHNRLQENDMKLDWNTLYNIVIGVARGLEYLHRGCNTRILHFDIKPHNILLDSDFCPKISDFGLAKQCEARESHVSMTGVKGTIGFIAPEVIFRNSGKVSHKSDVYSYGMLILEMVGARKKPNEGVEQKSEAYFPDWIYKDLTQSEIDGGCWWGNTKEEEEMARKMIIVGLHCIQTLPDDRPSMTNVVVMLEGSVDVLQIPPKPNMYGPPNIEQPQASFSSLSNKIPSISLIHSSREATQQ >KGN63604 pep chromosome:ASM407v2:1:1101825:1103098:1 gene:Csa_1G005650 transcript:KGN63604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCQAIDAATLVIQHPSGKEDKLYWPVTAREIMKMNPGHYVALLISTTMFTPNESNNNNQTSNETSSNSVRLTRIKLLRPADMLVLGQVYRLITTQEVMKGLSAKKQAKVKQSQLEAADKPDRRKQRTTRSSDAAAAAAGRSVSEDQIQANKHEKNNRPRTSTSTTSATARSRTWQPSLHSISEAGS >KGN65290 pep chromosome:ASM407v2:1:13467143:13467570:-1 gene:Csa_1G302170 transcript:KGN65290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTRKQVMRRKCEEEIEEKEDIESEEDEQGKEDKDEEGQGKTTLNEESNSSTKETSDQNEGSMNNKKSKEKGKKVKTTKKEEKGKEDR >KGN66579 pep chromosome:ASM407v2:1:25153357:25154001:-1 gene:Csa_1G630340 transcript:KGN66579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECLEYGIHGRSITRLEAYQGNVPTALKSSTLSKLIQSNLTNSPLFQQSPLEIQRQYQEHCLQLCIESGKALNSIAMAIRDIIPPAMAKSHTEKAKEKAEALMSLLKSSHFNGDMKMVSTTTLIYLLIDCLSCVEKIVDSVHDLVSLARPKTTHPPKQAGVMSTEQKAPHNIIITHIQIAE >KGN63819 pep chromosome:ASM407v2:1:2346843:2348644:-1 gene:Csa_1G023070 transcript:KGN63819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRWVYEQNAMAACQEMRMESVVCPKPRRLVFQTLPDNDPIPAYRWPISHSKTGNSRAGAEFLDMILTKESYGENFSHQLASSPPFFWGSPPSRASNPLVQDEQFGNGNNTIYQPCEGPPSPSTHKGGCARAKFGHRPAAVRIEGFDCLNRDARNCSISAVA >KGN66608 pep chromosome:ASM407v2:1:25573301:25576421:-1 gene:Csa_1G641020 transcript:KGN66608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMLTKHIQVHFFQLPSTSFNFHSCNHRSPPRISAALSFRNPDSRLIEARKLVDDFDPQIPLEKALTPPSSWYIDPSFFALELNHVFYRGWQAVGYVEQLKDAHDFFTGRLGNVEYVVCKDNNRKVRAFHNVCRHHASLLASGCGKKSCFVCPYHGWTYGLDGILLKATRIDGIQNFDENDFGLVPLPVATWGPFVLLNLDGKLSSKPDVDEDKVAREWLGTCADVLRLNGVDASLSYVCRREYTIECNWKVFCDNYLDGGYHVPYAHKGLASNLKLESYSTELFETVSIQSCKGGGESKGDDIGRLGSEALYAFVYPNFMINRYGPWMDTNLVLPLGPRKCLVVFDYFLEASFKNDDSFIQLSLEDSESVQNEDIILCEGVQKGLESPAYKFGRYAPSVENAMHHFHRLLHCNLTK >KGN66259 pep chromosome:ASM407v2:1:22326116:22326876:-1 gene:Csa_1G589660 transcript:KGN66259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDFNSNSYPDGRMQIQTYHGTESRTPNGSGAMGMQDFRCYSASYASSANPSRTQMGNDLKLKKGKSTNGFSSKSWSFNDPEMQRKRRVASYKVYSVEGKVKGSLRKSFRWLKERCSRVVFGW >KGN65480 pep chromosome:ASM407v2:1:15647778:15651969:-1 gene:Csa_1G424860 transcript:KGN65480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGPESIPSSSQSSSALKWQDDNLEQSQVPKLPSFPTFPNGNVQMIPIMYPALVPGSASSENQNRGAGIYAVPSFPSMGGPIIGMTTNNLIPLTYSIPTRSDTSNRTSPEGGSAVEENGRVEGQQQPQQQQPGPQRQVVVRRFQIAIQIDLLLILKLAAVIFLVHQDGSRQRLIVLVICASLVYLYQTGALTPLIRWLSQGMQRAAAPPHPPRPGVRADNALVAPPAARQEGQNAAFAEGQPGAEVENQPVNEANRGVENENVAEAGPGAGNGGLNWWGVVKEIQMIVFGFITSLLPGFHNHMD >KGN65211 pep chromosome:ASM407v2:1:12843376:12843603:-1 gene:Csa_1G265110 transcript:KGN65211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNKKVSEGSPKLPFPFFVNWRNGDFNITSLLTQLLLGFCALGVWGESLKLCF >KGN64763 pep chromosome:ASM407v2:1:8377883:8379339:-1 gene:Csa_1G089510 transcript:KGN64763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLPTAQHHQEMETNSVENMMVCQNSKDHQVRKARPQPEQALKCPRCDSTNTKFCYYNNYSLSQPRYFCKSCRRYWTQGGTLRNVPVGGGCRKNKRSSSSSSSSASSKKSQDHPFGASTALPGQLSYDHQAHDLSLAFARLHKNSCSNGSVPTFNDFDFSILGMPNGDVLNGGGFAYNSQSLYYGNDNNMGAGIESNGDQMRLQPYDHDHHHHHHNQQYSNATTTAVTVTTMKQELFGGREMNNGSDQSKILWGYPNWQMNNNNNNVIDSNTTTTTMMGAMDFDSGSARESWNSNAFTNASSWHGLLNSPLM >KGN66600 pep chromosome:ASM407v2:1:25525797:25526292:-1 gene:Csa_1G638470 transcript:KGN66600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKYLSDGFNVKAKREIEVNVEGPNPQWWVARLVGLQSEEATLMVWVSISDGLGLSKGAIFSTLVSISEVVLCT >KGN63451 pep chromosome:ASM407v2:1:133990:137600:1 gene:Csa_1G000740 transcript:KGN63451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDFARVQKELQECTKDIEASGIRVTPKSDSLSHLLGTIPGPISTPYEGGIFQIDITLPDGYPFEPPKMQFATKVWHPNISSQSGAICLDILKDQWSPALTLKTALLSVQALLSAPQPDDPQDAVVAQQYLRDYQTFAGTARYWTETFAKTSSLGVEEKVQKLMEMGFPEAQVRSSLEAVGWDENLALEKLCSG >KGN65034 pep chromosome:ASM407v2:1:11077910:11078116:-1 gene:Csa_1G181460 transcript:KGN65034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSIVMDSDHPIMVTRRNNGTRRKSNQVTELKRHEDHMAEHKRLETVNSDGDVGDLGVLGDEKGDFF >KGN65937 pep chromosome:ASM407v2:1:19899345:19900098:1 gene:Csa_1G539330 transcript:KGN65937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLSTTFPELGLIKQDCVEASWVESTLIIPIGVQPIESLEPLLNRTPTYLDSTKIKSDYVKEPISEATIEGIWQRLKAQDIETSQVIFVPYGGRMSQISESETPFPHRAGYLFKIAYVVGWKDQSLKAKKTHISWIREIYEYMAPFVSKSPRAAYTNYRDLDIGSNNKYGKTSYKRASIWGMKYFGDNFDRLVYVKTKVDPYDFFRHEQSIPTL >KGN66059 pep chromosome:ASM407v2:1:20932292:20934285:1 gene:Csa_1G569360 transcript:KGN66059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPKGKLESLRDWVSEHKLRTVGSLWLSGIAGSIAYNWSQPNMKTSVKIIHARLHAQALTLAALAGAAVVEYYEHKSGAKAERYAKFLPIDNYSHKE >KGN66260 pep chromosome:ASM407v2:1:22328712:22336576:-1 gene:Csa_1G589670 transcript:KGN66260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGDATNWDEAAYRESIYKEREIQTRTVFRTAWAPSLNGCPETIVAASSDGSVASYSIASCISKLQFGYGNTKSLLAAEPNCFLQGHDGPTYDVKFYDNGDNTLLLSCGDDGHIRGWRWRDCTDIKVPISLQGSHIEPVMDLINPQFKGPWGALSPIPENNAIATDAQSGSIFSAAGDSCAYCWDLESGKVKMVFKGHSDYLHCIVARNTVNQIITGSEDGTARIWDCKSGKCIQLIDPAKDKKLKGHFSFVRCIALDASESWLACADGRSISVWNLPSSEFISKTLTRSSLQDMVFCDNQILGVGAEPFLTRFDINGSVLSQIQCAPQSAFSVALHPSGVAAVGGYGGLVDVISQFGSHLCTFCCQYR >KGN63443 pep chromosome:ASM407v2:1:103087:104228:-1 gene:Csa_1G000670 transcript:KGN63443 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl carrier protein MASFSATSLRFCMPINQANKMNHNQLLRRSLLGRGFLNNNNAFHFRVSCAAKPETLDKVCSIVRKQLALPETSELTPESKFAALGADSLDTVEIIMTLEEEFSINIEEDNAQNITTVQEAADLIENLVVKQQS >KGN65865 pep chromosome:ASM407v2:1:19096561:19101196:-1 gene:Csa_1G533720 transcript:KGN65865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRSILRATAAASKHHFSNRNPSPILSLTSNYSAKTTKSPVKKGKKGKSEADAKAGDDPSAPAAASNDLDAALSDDKIRARRLAAEENDTSLDVGPNGRPLFTSASSLSQLTRKDAGTYFKLNMEGLNEVLPEGLPVGMVKEFEESIRSAVLVRQSFLDLRDNFRRVVDPSLLSPAGSKIRKQIVLDGPVNCGKSIALAMLVQWAREEGWLVLYVPSGRRWTHGGFFFKNPQTGLWDTPVQAEDVLRDFVKYNETQLRQLPCQISEPIPLGEGAGVGMAKGADSMRMPEGSTLYDLIDTGIKHTHVAVGVVVRLRKELSLVKDIPVLIAIDQYNNWFTFSEYEEPVTVRSTRPIHARELAMVKAFRSMMHDDMMVGAFSHSTAVGKLRQDLPDVPLGARVNFPRYSLDEAASVFHYYLRQRLIRREAFSEDGWKKIYYLSNGNGAEMRWLAPLMR >KGN64162 pep chromosome:ASM407v2:1:4442347:4445580:-1 gene:Csa_1G042700 transcript:KGN64162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVALLLPVVCMLCAATAMHLETVHFDTGGLSRDSFPKGFIFGTATSAYQVEGMADKEGRGPSIWDVFIKKPGIVANNGTGEISVDQYHKYPQDIDIMAKLNFDAYRFSISWSRIFPNGRGKVNWKGVAYYNKLINYLLKRGITPYANLYHYDLPQALEEEYKGLLSDRVVKDFADYAEFCFKTFGDRVKNWMTFNEPRVVAALGYDNGFFAPGRCSKAYGNCTAGNSGTEPYIAAHHLILSHAAAVQRYRQKYQEKQKGRIGILLDFVWYEPLTRSKADNYAAQRARDFHIGWFLHPIVYGEYPRTVQNIVGNRLPKFTKEEVKMVKGSIDLVGINQYTTYYMYDPHQRKAKVPGYQQDWNAGFAYEKNGVPIGPRAHSYWLYNVPWGMYKALMYVKKYYGNPTVILSENGMDDPGNVTLPKGLHDTTRINFYKGYLTQLKKAVDDGANVIGYFAWSLLDNFEWRLGYTSRFGIVYVDYSNLKRYPKMSAYWFKQLLERKKK >KGN64015 pep chromosome:ASM407v2:1:3618569:3621749:1 gene:Csa_1G038330 transcript:KGN64015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRGFFDLERHFAFYGAYHSNPINIFIHILFVWPIFFTGLMLFYYTPSFYSFPKCPCGFNTGLVLNFGFLFALLYGVVYILFDKRAGFLAAFMCFLCWVGASVFAFKLGWTLTWKVVLAAQLFCWTGQFIGHGVFEKRAPALVDNLTQAFLMAPFFVVLEILHSLFKYEPYSGFHANVKARIEAENKVWQDKKEKKSS >KGN65231 pep chromosome:ASM407v2:1:12963114:12965962:1 gene:Csa_1G267270 transcript:KGN65231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNVTGSVAAKFAFFPPDPPTYDVFRGDDGRLAFSGVSAERNMSVHLLDTKAGNRVVATFWKHPYARFTLLYSHGNAADLGQMHDLFVELRAHLRVNIMSYDYSGYGGSSGKPSEFNTYYDIEAVYNCLKSDYGIKQEELILYGQSVGSGPTLHLASRLQRLRGVVLHSAILSGIRVLYPVKMTFWFDIFKNIDKIRLVSCPVLVIHGTNDDIVDWSHGKRLWELAKEKYDPLWVKGGGHCNLETYPEYIKHLRRFINAMEKLSAKQTTRQLTSAPSITEVKHNKCLRFGKK >KGN66670 pep chromosome:ASM407v2:1:26302970:26303786:1 gene:Csa_1G657460 transcript:KGN66670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVTTAEVCDANPQLIVSGELRALEPIFQIYGRRQVFSGPVVTLKVFEDNVLIREFLEEKGNGRVLVVDGGGSKRCAILGGNPVVQAQNNGWSGIVVNGCVRDVDEINGCDIGVRALASHPMKAYKKRVGEKHVPITVAGTRIMDGEWLYADTDGILVSRTELSV >KGN66852 pep chromosome:ASM407v2:1:28262459:28267933:-1 gene:Csa_1G701280 transcript:KGN66852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRAFFLLFFFFIFCSIPFLRAHTDASDVQALQVMYTSLDSPPQLTGWIVSGGDPCAESWKGVTCEGSAVVSIEISGLGLNGTMGYALSSFLSLKKLDMSDNSIHDAVPYQLPPNLTSLNMAKNSLIGNLPYSLSTMASLNYLNMSHNLLSQVIGDVFTNLTTLETLDLSFNNFTGDLPKSLGTLSNVSSLFFQNNRLTGSLNILIDLPLTTLNVANNNFSGWIPQELKSVESFIYDGNSFDNSPAPPPPPFTPPPPGRSRNSPKHPGSSGGTHTAPSSEGSSSHSNKGLPVLAIVGIVLGAIIFVLIVLVAFAVCFQKRKRKNIGLRASSGRLSIGTSVNAEVQEHRVKSVAAVADIKPLPAEKMNPERLQAKNGSVKRIKAPITATSYTVASLQAATNSFSQECIVGEGSLGRVYKAEFPNGKTMAIKKIDNSALSLQEEDNFLEAVSNMSRLRHTNIVTLNGYCAEHGQRLLVYEFIGHGSLHDMLHFAEESSKTLTWNARVRVALGTARALEYLHEVCLPSVVHRNLKTANILLDEDLNPHLSDCGLAALTPNTERQISTQMVGSFGYSAPEFALSGIYTVKSDVYSFGVVMLELLTGRKPLDSSRVRSEQSLVRWATPQLHDIDALAKMVDPTLNGMYPAKSLSRFADIIALCVQPEPEFRPPMSEVVQALVRLVQRASVVKRHSSDESGFSYKTPEHDAVELPF >KGN66683 pep chromosome:ASM407v2:1:26441306:26442475:1 gene:Csa_1G659050 transcript:KGN66683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFAKTSPFFLYFTKIPVFPCQNPLRASLRTYSCRSIVFSKNILKYSCNSYFSIHTVRYLCNVASAATSSVNASSTSSSNKVSHKLRKKALKEAPESVLKYNLDMCSKYGQVVKALELYDEARSNGIQLNQHHYNVLLYLCSSPSLLETSGANNLSSVVLSRGFEIFQQMMTDKVSPNEATFTSLARIAAARDDPEMAFSLVKQMKDYHIAPRLRSYGPALFGFCRKLMPKEAYEVDSHMLASAVEPEELELSALLKLSSDVKKADKVYELLHRLRRTVRQVSEPTAKVIEDWFNSESASEVGKKHWDVDKVRECIVRRGGGWHGEGWLGSGKWKLVRTGIDDNGVCHSCAQKLVCIDIDPKETEDFASSLTKLANQRVKADFNNFQV >KGN66754 pep chromosome:ASM407v2:1:27295316:27295859:-1 gene:Csa_1G677540 transcript:KGN66754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFGTRLVIAAGEGAHVSPASSKEIYLPLRSGSSTGSSKTSSLCNPTGTKVVLSGSLVISSLIRGLS >KGN66659 pep chromosome:ASM407v2:1:26182813:26183759:-1 gene:Csa_1G654880 transcript:KGN66659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLQPFCSKKGFNTNQRFIHDPDIKDQATEGRLEIFVDIKSNVPNQFNDGVPFGDSHDPNILMMYSQGMDMSSDEMLGTCVGQTSEGRNTSNGNKRKQGGQYYEMMEVLRNAMEFANDPLK >KGN65026 pep chromosome:ASM407v2:1:11020281:11024034:1 gene:Csa_1G181390 transcript:KGN65026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLFTADHRIPTSDNFPQHVAPFPDPTDLLYAAPSSVFPPTDIINHLSNPPPPPQKLRPIRCNGRSPAGSQAENIFDGSLRSFQCVSSSPEGGFSGDQLCVANIDPCQYFNSSAKDEKPEVKHNGSFGDIIANDYFSEEETKNGGSGAAIAAENLSRSREEPQLDDDSCSTSDGGDAVFSSKKHLSHKRKRTRRSLEHFVEKLVMKVMDKQEEMHRQLIDMIEKKENERTVREEAWKQREIERIKRDEELRAQETSRSLAIISLIQNLLGHEIQISRPAENQCAEDDGGESSIQKELKCDPSGRRWPQAEVQSLISLRTSLEHKFRATGSKGSIWEEISIEMQKMGYKRSAKKCKEKWENMNKYFKRTVVTGKASIANGKTCPYFQELDILYRNGVVNTGAVFDSTNTENNSNAERSIDPFHEDAFVEGEREHIKQEEALDMVQF >KGN65007 pep chromosome:ASM407v2:1:10851135:10851635:-1 gene:Csa_1G177740 transcript:KGN65007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDGFMYKFLYTSFQNNNENLPIVLLDSCAGNKLGNSLAIVTTTDASNGYIAPYNDEGSSRSRIKMKLKEFHESTASFTALNRNYLTPFFKSRSGDDEEDDNSKNDLNSVHGFISSILCV >KGN66361 pep chromosome:ASM407v2:1:23035342:23048934:-1 gene:Csa_1G599542 transcript:KGN66361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRPRSGMPDALDQMGTGAQIQDAFKSDFTSTELIGSPWDWEDDDRGGDDIEDLLLHFGGFGDFFENDVLPFGEPPGTTESQSLMFSAPDYTDVGSSPVVVMDVSDQMLLPVGFPSFDSFNPAVPMTTEEVLSKDHEVTNNALSSVTANQTPVSSSGEFDQITKAEALMTLAPEYGAVETPTSEFSSSMFRSPYIPKTRELESSNLSTNSYIYGATPPSSPHFDRSDEKSGISSNTKPSNVLRAKNYYIHVDNVKEKHIRKSAPSKNSISTSDGLASSLSNHNAVKTTQRKTTEDSVEADCLFMSQKHVLAMEVECLMFQASMCRLRHTLQSSGSSTVSGTTQLSSDPSTITDYMANEVKKKDTSVPIRIAGEADGGILDGHLNAPVGVWRSVGVPKVPKPSNSPSMELGSSLPHNSFHEDGVLSYGQRQPLQELLDAFPLIVQQATSFVDLALDAECGDGPYGWLALQEQWRRGFSCGPSMVHAGCGGTLASCHALDIAGVELVDPLTADVYAPSVMSLLQSDMKTALKSAFGTLDGPLSVIDWCKGRGQLGDSGSTGDGLSAESIVNESKDSSSTVMQNIGEPLSPSHSSASGSSSLKGSTTMDGSKMDETSQRRSNQEICSSGSDQQLLPLRLRPTVLLLPSPAILVGYQDDWLKTSANSLQLWEKAPLEPYAVQKPINYCVICPDIDPLASAAADFFQQLGTVYETCKLGTHTPHNLGNQMDTESGKWLSSGFVLLDCPQSMKIDSSSASIVGSISDYLLSLSNGWDLTSYLRSLSKALKALKLSPSMSANPKEGSNGSCMVLYVICPFPDPLEVLQTVVESSVAVGSVMLQSDRDRRTILCSQVAKSLSCSAAVDESSASNVLVLQGFTLPKLVLQIVTVDVIFRVSSPSVNELVILKETAFTIYNKARRISRGTSNDAAQSSSLSSRSHSVLSSMSPSIPGMWKDCVGPRMTGHSLPREGEIDGTLRSGNWDNSWQSRAGTLNCDPNRIGEYYLQDDSCYMFEPLFILAEPGSLEHGVSPINPVTLGTESSKPLSDDNSGAFLQGTNSTVGMDMGSNSQLDGPEMDGFGCGHQKNPSLHCSYGWTEDWRWLVCIWTDSRGELLDSHTFPFGGISSRQDTKGLECIFVQVLQQGCMILQSCSPDTGVSKPRDLVIARIGMFYELEYLEWQKAIYSLWGSEVKKWPLQLRRCMPDGISSSTNGSSLQQQEMSLIHDRNLPSSPNPLYSPHSKTTGFMKAGIGQPAIRKQLMGGHAVVDNSRGLIQWVHSISFVAVSMEHSLQLLLQADSASPGFAAVTFLSTNLKSLTGGNQGSVHTGSSMYIEGFTPVKSLGSTSSSYILIPSPSLRFLPSNPLQLPTCLTAESPPLAHLLHSKGSAVPLSTGFAISRAVPSMRKDSRSNMKEEWPSVLSVSLIDYYGNNITQEKNVRGVIKQVGRSSTVESRDFEIETHLILESIIAELHALSWMTVSPAYLDRRTALPFHCDMVLRLRRILHFADTELSRRAEKTKR >KGN63609 pep chromosome:ASM407v2:1:1126715:1127140:-1 gene:Csa_1G005700 transcript:KGN63609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRAEGMKTCEGYSKVGPVLADGHFATHTLSHSLTDTPIYSSFSLFAFRLNGNFLQRFSSSSSSLLAYTLLLHGWTRPQLQILLFQTDPESFEAKNDDYD >KGN63474 pep chromosome:ASM407v2:1:242530:246059:-1 gene:Csa_1G001460 transcript:KGN63474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALARFSRKAIASAVATNQLRRAFSTQVSKTITPSPDRVKWDYRGQRQIIPLGQWLPTIAVDAYVAPNVVLAGQVKVCDGASVWAGSVLRGDLNKITIGFCSNVQERCVLHAAWSSPTGLPAETSVERFVTIGAYCLLRSCTIEPECIIGQHSILMEGSLVETHSILEAGSVVPPGRRIPTGELWAGNPARFVRTLTHEETLEIPKLAVAINDLSKDHFSEFLPYSQAYLEVEKFKKSLGITI >KGN65924 pep chromosome:ASM407v2:1:19750875:19755018:-1 gene:Csa_1G538220 transcript:KGN65924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHFPGDLRRPESAKSRLKDLLLQIDNQLCADCGAPDPKWASANIGVFICLKCSGVHRSLGTHVSKVGGNGSANAIYEAFIPDGYTKPGPSANHEERSNFIRSKYELQEFLKPSLRIVSNNSSKSSEEPSYFQEITDSFRSSSSSKKSPQEGMIEFMGLLKVKVIEGTNLAVRDVKTSDPFVTLTLGQQTAKTTVIKSNLNPVWNEELMLSVPLEYGPLKLQVFDHDIILSNDLMGEAEIDLQPMITSAIAFGDAEMLENMQIGKWLKSDDNALVNDSAVVIVDGKVKQEVSLKLQNVESGEVHLELEWIPIDQ >KGN65602 pep chromosome:ASM407v2:1:16778456:16779810:-1 gene:Csa_1G467210 transcript:KGN65602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNWEPVKALGQGSCASVFLARSTMDPLDYFAVKVARLRNKSSLLSWEKNILKHFIGCPEIVQCLGSELSEDEVKEYLKMILKGLSCIHRKGFVHADLKPANILAFPQNNGKMELKIADFGISRRCGEKHEVAKDASRCSKQGRGWGDPVLVLPTEKKKFPHSLSNVQCIRGFANPAS >KGN64205 pep chromosome:ASM407v2:1:4719494:4721065:1 gene:Csa_1G043080 transcript:KGN64205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METQQRTTLKNNTERKIERRGMNRLLEEEAVDEIISFASDFPSHEDDAVDLLLDFITNEHTSNGALAIDMNLVHWAINHLRAADAVVDVTNSVSSTQQNAYLESDILETREGVVDVTDSNDLLDADDEEMWMAHVRQLSLNDLSLHEGFPFRASKSTIQALPDVFTAKDFSSSWVCGVCLNDEIEANDGAKQLPCKHIFHTHCIISWLELCNSCPLCRYQLPT >KGN66146 pep chromosome:ASM407v2:1:21444526:21448723:1 gene:Csa_1G573650 transcript:KGN66146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDRVLFSVDGISDQFWPSQDPPEESSKLNRSASEWSFRRFLQEAASVSDSSVSPPPASPSNAVEIKESGERLKQSKEKQSNRNNGGIQKERKKSSGGDSEEYRAFLKSKLNLACAAVAMCRGSFRKSRDSCASSTLAQNMSHLPSQSPSKGICCSPCVQKRDGIQVSSANISSSREQTDEEDDVEGENDMNEQMDPASAKRIRRMLSNRESARRSRKRKQAHLTELETQVAELRHENSTLLKRFSDISQKYNEAAVNNRVLKADLETLRAKVQMAEETVKRITGTKSMFHAMSEVSSISIQSFEGSPSEISTDAHNSHIADISSANIQKNSLEMATVPRNKMARTASLRRVASLEHLQKRIRGSSSICHPSGKGDQQ >KGN65428 pep chromosome:ASM407v2:1:15275739:15286007:1 gene:Csa_1G421880 transcript:KGN65428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLRRNFHLSRHPLLFKPFSTAAPLPPLHLPLSHPTYILWGSNTSVGKTLVSAGLASSFLHSDSPSNFLYLKPIQTGFPSDSDSRFVFHKLSSLYRRRHARLSLLASDHVLNASLPVLNSITGCGDGSELAMCELGQYEEQRLVGEESGLGSRLICKTMYAWKEAVSPHLAAERESGVVDDALVIQSLQSCLNSGLGVNGESEGAETRAMCLVETAGGVASPGPSGSLQCDLYRSFRLPCLLVGDGRLGGISGTISAYETLKLRGYDVAAIIFADNGLENEVVLSSHLRDRVPILVLPPIPADITDDLMDWFDNSQNVFDSLKEIMLSAYSKRLNRLREMPKKAKSIFWWPFTQHKLVADEAVTVIDSRCGENFTVFKDQGDEFMTQQFDACASWWTQGPDAALQTELASDMGYAAARFGHVMFPENVYEPALECAELLLAGVGKGWASRVYFSDNGSTAIEIALKMAFRKFSIDHGIHDAQECNADAQRSELMVLALNGSYHGDTLGAMEAQAPSCYTGFLQQPWYTGRGIFLDPPTVYMHGGKWYLSLPVGLQPETLRLENAFFDSRDEVFDEKRDGSDLAEIYSAYLSQQLSNSSKSNSLLGALIMEPVIQGAGGMHMVDPLFQRVLVKECQDKKIPVIFDEVFTGFWRLGTETAAELLHCVPDIACFAKLMTGGIIPLSATLASNSVFESFIGDSKLEALLHGHSYSAHALGCTAAAKSIKWFKNSQTNLNINAEGTSLRELWDENLVYEISSHPAVKRVVALGTLFALELQADGSNAGYASLYARSLLLKLREDGIYTRPLGNVIYLMCGPCTKPDSCSQLLIKLLGGLDDFISESRENLESYHS >KGN66490 pep chromosome:ASM407v2:1:24172702:24178838:1 gene:Csa_1G613620 transcript:KGN66490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPAVFVIVPLGLLFILSGLIVNVIQAFIFVLVRPISKCLYRRINKVVAELLWLELIWLIDWWAEAKVEVYTDQATFQLMGKEHALVLCNHRSDIDWLVGWVLAQRAGCLGSALAIMKKEAKFLPVIGWSMWFSDYIFLERSWAKDESTLESSFQSLMDFSMPFWLALFVEGTRFTQQKLLASQEYAAARGLPVPKNVLIPRTKGFVSAVSHMRSFVPAIYDCTVATSPKDRPPTLLRIFRGQSFVVKVQVKRHEMQELPETADGISQWCKDLFVTKDTLLEKYMIKDSFSEKQPIDIGRPKKSLLVVLCWSCILAYGIVKFFQWSALLSSWEGIALSAGLLILIILVMQLLVHSSESTRSTPIIVSSQDTTKERLLQK >KGN66836 pep chromosome:ASM407v2:1:28163171:28163473:1 gene:Csa_1G700630 transcript:KGN66836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAHIDFSWTQTQPILENIEVDSVGTHHPNDSGKGRDLNIDILRTHPSSENNQGEDGDIEYYDHHETPLLLAAANGIIEIVQQIVEVYPQAVDYVGITKL >KGN65408 pep chromosome:ASM407v2:1:15133477:15138764:-1 gene:Csa_1G418250 transcript:KGN65408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFMLTLFFFFFFLDKKRVAIPLVCHGHSRPVVDLFYSPVTPDGFFLISASKDSNPMLRNGENGDWIGTFEGHKGAVWSCCLDTNALRAATGSADFSAKVWDALTGDVLHSFEHKHIVRACSFSEDTHLLLTGGLEKVLRIYDLNRPDAPPREVDKSPGSVRTVTWLHSDQTILSSCTDMGGVRLWDVRSGQIVQTLETKSSVTSAEVSQDGRYITTADGSTVKFWDANHFGLVKSYNMPCTVESASLEPKYGMKFIAGGEDMWIHVFDFHTGDEIACNKGHHGPVHCLRFAPGGESYASGSEDGTIRIWQTGPLTHDDSDESALVNGSIGKVKVSADEVSRKIEGFNIADEGKAREKEKEETGNE >KGN66512 pep chromosome:ASM407v2:1:24412820:24434540:1 gene:Csa_1G616300 transcript:KGN66512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSWKSLLLRIGDKSPEYATSSDFKDHIETCFGAVRRELDHYGDEVLPFLLQCVEQLPHKTPLYGTLIGLMNLENEDFVKKVVEKTHESFQDALNSGDCHKIRVLLRFLTALMSSKVLLSTSLVVVFETLLSSAATTVDDEKGNPAWQARADFYITCILSCFPWGGAELVEQVPEELERVMVGVEAYLSIRRQTLDTGLSFFEDDGEVEKTLNEKDFLEDLWGRIQMLATGGWKVDSVPRPHLLFEAQLVAGKSHEFGAIKCPEQPNPPPTLSGITYGKQKYDAELNYPQRIRRLNIFPSSKFEDVQPIDRFVVEEYLLDVLLFFNGCRKECASFMVGLPVPFRYEYLMAETIFSQLLLLPQPPFKPIYYTLVIIDLCKALPGAFPAVVAGAVRALFEKIADLDMECRIRLILWFSHHLSNFQFIWPWEEWAYVLELPKWAPQRVFVKEVLDREVRLSYWDKVKQSIENAPGLEELLPPKGGPSFKFSTEDDGEKSEQHALSAELYNMVKGRAPARELISWLDESVIPKHGLDVSLVVVVQTLLDIGSKSFTHLITVLERYGQVISRICHDQDKQVLLISEVGSYWKNNTQMTAIAIDRMMGYRLISNLSIVKWIFSPENLQLYHTSDRPWEILRNALCKTYNRISDLRKEISSLKKDVVAAEEAAARTQEELSAAESKLSLVDGEPVLGENPVRLKRLKSYAGRAKEQEISIRDSLEAKEALLARALEENEILFLSLYKSFSSILTERLPASAQTLQDLKSTNPADANAMDVEEPSAMEMDNVESRPEKSHLNGRTEHAYTVCENEQWCLTTLGYVKAFSRQYASEIWPHIEKLDAEVLLSEDSHSLFRKAVYSGLRRSLDST >KGN65068 pep chromosome:ASM407v2:1:11366269:11367223:-1 gene:Csa_1G190180 transcript:KGN65068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIDVEDDNYFMVALFFALILLLVDGFPELVMAIQRVEVFYKQKQFYFYPAWAYLCYSSLIKIPLSLGESLVWTSLTHYVIGFTPQPISLLLTPLANRRWMGKNSFLS >KGN63873 pep chromosome:ASM407v2:1:2678511:2682443:-1 gene:Csa_1G025050 transcript:KGN63873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESMMVDSDSHELDSEETAEVISLLPPYEGKTVVELGAGIGRFTSELAQRAAQVIAVDFVEDVIRKNESINGHHKNVKFQCADVTFSELDIHEDSVDFIFSNLLLMYLSDEEVKLLAERMIKWLKVGGYIFFRESCFQHYENSDKKNDVSYHREPRFYSKVFKELCFVKDDSENVFELSLLGCKPTGVFGNKKSQNQICWIWQKVRSDNDRGFQLFLDTVQYKSSGILKYERVFGHGFISPGGLETTKEFVAKLDLKPRQRVLDVGCGIGGADFYMAENFSVEVVGIDLSVNMISLALERAIGLACSVEFEVADCTKKTYPDHTFDVIYSRDTILHIQDKPSLFRSFYKWLKPGGKLFITDYCRNAGTSSPEFAEYIKQRGYDLHDVKAYGQMIEDAGFGEVVSEDRTNQFIQVLQQELEAVEEQKDRFILDFSEEGYNDIVCGWKAKLNRSLSGEQRWGLFFAKKIN >KGN65286 pep chromosome:ASM407v2:1:13418299:13422357:1 gene:Csa_1G295150 transcript:KGN65286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLFSREYVLPKFCHSTIFKVWLLIPNVSEYLVDIRRKDEELSDIGQRSPCDAKPKSPSPTPLCLLLTHNLPTSRFYCSYSPKLYFTPQQQNTNLPRFSNSPQMDHSSAGPGAGDEVTVAARSSFIDSLKGCGLSGIRIDKHELNTKVIMPQYLRFALRDSIRLKDPIAGASATTPPQNMVSPLPETPLVVFINPRSGGRHGQKLKQRLQELISEEQVFDLLDVKPHEFVQYGLRCLEILADHGDVCAKQTRQKIRIMVAGGDGTVGWVLGSLAELYKQDRNPVLPVGIIPLGTGNDLARSFGWGGSFPFAWKSAVKRSLLRATTGQVGSLDSWHVSLSMPAGEKVEPPHSLKLTEESDLNEAVHKLDDIEDSPTKLTCFEGVFYNYFSIGMDAQVAYGFHHLRNKRPYLAQGPVTNKLIYSSYSCTQGWFFTSCSNNPNLSGLKNIIRIHIKKINCAEWEKVPVPSSVRSIVALNLHNYASGRNPWGKLKPEYLEKRGFVEAHADDGLLEIFGLKQGWHTSFVMVDIISAKHIAQAAAIRIEIRGGKRKKAYLQMDGEPWKQPISKENSTFVEIKRVPFQSLMINGD >KGN64504 pep chromosome:ASM407v2:1:6574184:6575787:-1 gene:Csa_1G062300 transcript:KGN64504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFGTASALYLKRSLSSPSSFFPTSCNERVCKATMSSSSSMFQAIPMSLHRLQSSGLVEKLEMGNGFKISTFTDPVADRRLNSNILSCPDPVVAAKLYAVMEAVTDRVEMHRNVGKQRDNWNQLLLTSLNAITLGAATMAGLAAAVRTSAPITALKMSSVLLYLAATGMSVVMNKLQPSQLAEEQRNAARLFQQLHCQLQSKLSLGDLNNNQVGEAMEKVLALDKAYPLPLLGSMIEKFPITVEPATWWPQQKQIHKHKETNTKLSENGWSRKLEEEMREIVGVLKRSDLQEYLSLSQKALKMNKILAVSGPLLTLVGAIGSAFVGSCSGAWPAMVGVVAGSMASIVNALEHGGQVGMVFEMYRNNAGFFKLIEETIESNVNLRDVLKRENGEVFEIKVALQLGRSLTELRQLAASNSSSSNGREELREFASKLF >KGN66759 pep chromosome:ASM407v2:1:27358242:27358809:-1 gene:Csa_1G679570 transcript:KGN66759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKFIKDFTKNLIHSSVFAIFSAAITKFIIPTDTKIEKKFGPKKVWDSFGLKLFIPFTATTRPTPQFPPSSRRPASGKPRCHQQQQELSAALLTIVPSSVTLRRLVARHSNFRPRSFRPSVGFLRVIFSYIWRWNFSLPIIFLAP >KGN65112 pep chromosome:ASM407v2:1:11833858:11845998:-1 gene:Csa_1G225410 transcript:KGN65112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGNLKLQFLFLQLAFALCIFISSLSFGDAGITSTFIRSEWPSIDIPLDHEVFAVPQGYNAPQQVHITQGDYEGKAVIISWVTPDELEPNSVQYGTSEGGYEFTAEGAVTNYTFYKYKSGYIHHCLIADLKYDTKYYYKIGSGDSAREFWFHSPPKVDPDASYKFGIIGDLGQTFNSLSTLKHYMKSGAQTVLFLGDISYADRYLYNDVGLRWDTWGRFAEQSTAYQPWIWSAGNHEIEYMPYMGEVEPFKSYMHRYLTPYLASKSSSPLWYAIRRASAHIIVLSAYSPFVKYTPQWHWIHEEFERVDREKTPWLIVLMHVPIYNSNEAHFEEGDSMRSVFESLFVKYRVDVVFAGHVHAYERSYRISSVHNNVSADHHIVPDKSAPVYITVGDGGNQEGLAGRFRDPQPEYSAFREPSYGHSTLEIKNRTHALYHWNRNDDGKKVATDAFVLRNQYWARNRRRRKLKKHIQMVIDEEMVS >KGN65250 pep chromosome:ASM407v2:1:13126241:13131104:-1 gene:Csa_1G270410 transcript:KGN65250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEKRPRTMLSTAKLVRNEDSPELLRSEKRKFKRYGVFFSTNKVLLFCLAFRFANALLLQTYFNPDEHWQALEVAHDITFGYGHLTWEWKRGIRSYLHPLLFACLYKLLALLGIDTPLLMIKAPRLLQSIFSAVGDFYLYRLSGALFGDYVAKWALFSQLTNWFMFFCFNRTLSNSLETVLTLASLYYWPCISVAPTRISKVSRKLALFMAALSCAIRPTSAITWLYVGLLELFSAHDQLRFIFLEAAPIGSLVLGITFLLDRVMYGSWTLVPLNFLRFNVFSSGGDFYGTHKWHWYFTQGFSAMLFSFIPFSISGIITSRKWKLSGLIVWVLGIHSLLGHKEFRFVLPILPIALMFSGYSLAALRYQTSSNGKRAKSRDLHTKRPMKMNLAIIFLLTTNIPMGLYMSLVHQRGTEDVMNHLAREAVTGKVKRILFLMPCHSTPYYSTLHQNLPMQFLDCTPSVEKGSPVESERFLTNPLDFSIEFAKNWTVPSHIVLFDSEERLLMDFLISHSFKEDKRFFHAHFKVDRDLQSSVVLYVLTV >KGN65495 pep chromosome:ASM407v2:1:15758887:15759734:-1 gene:Csa_1G426960 transcript:KGN65495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYVDHAFSITDEDIMMDSPFTVNNRPPIKEIALAVSLLVFGMIGIIVGAFMASNRVGGDRVHGVFFSLLGGLLFIPGFYYTRIAYYAYKGYKGFSFSNIPPV >KGN65546 pep chromosome:ASM407v2:1:16236200:16238414:1 gene:Csa_1G444350 transcript:KGN65546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQTQENLPLITESDHIAIEASSEFNEINKEASSSESSEPIINEEKMNRKGNYMKWLKITFYIIILLIGQTVANLLGRLYFEKGGHSKWIGTLVQVAGFPILLPYYYFIAKTKHNTNTNNNNNNNIISKLTEQPRVWNLIMIYVSLGLFVAVDCYLFSVGLMYLPVSTFSLICSSQIAFNAIFSFFLNSQKFTPAIIISLVLLTISSTLLFFETESEGSVKNKESKAKNMIGFVCTIVGAAGYGLLLSLTQLFFDKMMKSESFKAIVDMIVYRSLVACVTIVVGIFVSGEWRDLKREMNEFELGKVCYFMTLVWNTLMWKIFTVGSIALIFEVSSLFSNAVGVLGLPIIPVAAVIVFHDNMSKLKVASMALAIGGFIAYVYQQYVDDFKSKKDSKSSL >KGN65995 pep chromosome:ASM407v2:1:20419884:20422355:1 gene:Csa_1G560780 transcript:KGN65995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAVYSKLPLHPNLSFFKSHYHQTPFLHPLTSLNSLLNCSRTSKHATQIHSQLITTALLSLPFLFNNLLNLYAKCGSVDQTLLLFSSAPDDSKNVVSWTSLITQLTRFKRPFKALTFFNHMRRSGVYPNHYTFSAVLSACTDTTASVHGEQMHSLVWKHGFLAENKLYDQAIFFFKTLLLENLTALDEVSFSSVFSACANAGNLEFGKQVHGVALKLGVWNLVYINNSLSDMYGKCGLFNDVAKLFSNTGARDVVTWNIMIMAYVYNHNYEDACNSFWMMRRKGSIPDEASYSSVLHSCANLAALYQGTLIHNQIIRSGFVKNLRVASSLITMYAKCGSLVDAFQIFEETEDRNVVCWTAIIAACQQHGHANWVVELFEQMLREGIKPDYITFVSVLSACSHTGRVEEGFFYFNSMIKVHGIYPGHEHYACIVDLLSRAGELDRAKRFIELMPIKPDASVWGALLSACRNHSNLIMGKEVALKLFDLEPDNPGNYVLLCNILTRNGMLNEADEVRRKMESIGVRKEPGCSWIDIKNSTYVFTVHDKSHEKTKEIYEMLEKLKELVKKKGYVAETEFAINTAEEYKEQSLWYHSEKIALAFGLLSLPAGAPIRIKKNLRTCGDCHTVMKFASEIFAREIIVRDINRFHHFTNGICSCGDYW >KGN64986 pep chromosome:ASM407v2:1:10647679:10660800:-1 gene:Csa_1G172570 transcript:KGN64986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYAYLFKYIIIGDTGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMITIDNKPIKLQIWDTAGQESFRSITRSYYRGAAGALLVYDITRRETFNHLASWLEDARQHANANMTIMLIGNKCDLAHRRAVSTEEGEQFAKEHGLIFMEASAKTAQNVEEAFIKTAATIYKKIQDGVFDVSNESYGIKVGYGGIPGPSGGRDGATAQSGGCCS >KGN66331 pep chromosome:ASM407v2:1:22781669:22786016:1 gene:Csa_1G597780 transcript:KGN66331 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase MFRPTVASSFPATVAFAMGVMLQLIILIIIPSTVVVEAHTKTIPADSSKLDEWIGHNMKEYNDRKTNETGIKALDRRLAEAEDCVQLITVRKDGRGNFSTITEAIDSIPSGNRRRVVVWIGGGVYREKITIDASKPFVTLYGQKGKRPMITFDGTASEFGTVKSATVAVESDYFVAVNLTFVNSAPMPELGGTGGQAVAMRISGDKAAFHGCHFIGFQDTLCDDRGRHFFKDCYVQGTVDFIFGNGKSLYLKTTINSVAEGTGVITAQAREDATDESGFTFAYCNITGTGDTYLGRAWKERTRVVFAYTYMGTLINTEGWSDKMHGSQPRKSMYYGEYKCKGPGATPSGRVKYARILSDVEAKAFLSMTYIHGNKWLLPPPDLSLSPSKPNIFH >KGN63566 pep chromosome:ASM407v2:1:795370:798296:1 gene:Csa_1G004330 transcript:KGN63566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLSTGEKLFIQAGIAQDLRTDGRGRLTYRPISIETDVISQANGSARVRMGGTEVIATVKAELGRPNPMQPDKGKVSISVDCSPTAEPAFEGRGGEELSTELSIALERCLLGGKSGSGAGIDLSSLIVVEGKLCWDLYIEGLVVSSEGNLLDALGAAIKAALSNTGIPKVHVAAEALDNEQPEVDVSDEEFLQFDTSGVPAIVTLTKVGKHYIVDATLEEESQMSSAVSISIDRHGHICGLTKRGGVGVDPSIILDMISVGKHVSEELLNKLDSEIVAAEADEEE >KGN64603 pep chromosome:ASM407v2:1:7134918:7137465:-1 gene:Csa_1G071170 transcript:KGN64603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLIWRFSVSIFLFFWMTMAFLSRKSLAIDSIKAGESINGNTQILVSAQQKFVLGIFNPKDSKFGYLGIWYKNIPQTVVWVANRDSPLVDSSARLTLKGQSLVLENESDGILWSPTSSKFLKDPIAQLLDNGNLVIRESGSEHYVWQSFDYPSDNLLPGMKVGWDLKTRMNWKLTSWKSSNDPSSGDFTYGMDPAGLPQLETRRGNVTTYRGGPWFGRRFSGTTPFRDTAIHSPRFNYSAEGAFYSYESAKDLTVRYALSAEGKFEQFYWMDDVNDWYLLYELPGDACDYYGLCGNFGVCTFSTIPRCDCIHGYQPKSPDDWNKRRWIGGCVIRDNQTCKNGEGFKRISNVKLPDSSGDLVNVNMSIHDCKAACLSNCSCLAYGMMELSTGGCGCLTWFNKLVDIRILPDNGQDIYVRLAASELESDKRKLTVVLCLSVASLISFLIFVACFIFWRRRTIKGNEVQSHENEAEMPLYDFSMLVNATNDFSLSNKIGEGGFGPVYKGVLPCGQEIAVKRQAEGSSQGQTELRNEVLLISKLQHRNLVKLLGFCIHQQETLLVYEYMPNKSLDYFLFGWCLT >KGN65799 pep chromosome:ASM407v2:1:18618580:18621632:-1 gene:Csa_1G529620 transcript:KGN65799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATTCFHWSQPFIPHCPASPQTLTSTVLSPCSSKRRNYTDGGSLVWRCVHRLEQSTLFGSSSTKLHRSRSCEIPKQTSRGIKRTCSASLDAFSDEEFSRRIQELALRFQHSADADETAGSSSSNDASCVNSDSLSEFVEPSWPETGHEPPDWPRPDELVPAMIERRANSFDLPVSLRMIKKKLQWEEDIRESTESSHCSVKKAFSSVVFMIRELHSYTLRLREILYFEDLQSILVRVQKESQASFVWLFQQVFSHTPTLMISIMILLANFTVYSMGNNTALASTSPPPAAMVSVVESHDQCNSKFDSTTIKTFSISSSSGKTTSIGGNNGGGGKVRPIGGGIEDDGQFNQSDEYRTILPDNASQVSSYGTTPEAESVLNREEEETNLWKSVVEEASKMRQWGDEVMDGDAFRDLISPVTANIETDDYAEYLRTELLYQTTLLQEPNNTLLLTNYAQFLYLVAHDYDRAEEYFKRAVAVEPPEAEAFDKYAAFLWQVRKDLWAAEETFLEAISADPGNSYYAANYAHFLWNTGGDDTCFPLDSPEA >KGN64956 pep chromosome:ASM407v2:1:10430207:10440005:1 gene:Csa_1G167840 transcript:KGN64956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKEIKNLTCERGPYSTKVGRSSEVYAGGGHLSSTARRAAKAASRFNFHVLIIHFILIKIPFHSHPFSSHIFKRHFLPQSEAPDLASMAPRKPREPQVFDSFYPVLALVFILVACVELCDAATVVDVYRLIQYDISGVPFGSRAATLNHHASSLHFPTGADLSRTVLIIPLCELNMTFLQECISQKKRLGGLLVLLPRILGSESLKNDDIKCPNGEGVIKGLSVELERLLVHSTIPYPVYFASEGEDIDAVLADVKNNDATGQLATATTGGYKLVVSAAEPRKLVSSTITNIQGWLPGLKSDGDASQLPTIAIVASYDTFGAAPDLSVGSDSNGSGIVALLEIARLFSLLYSNPKTRGRYNLLFGLTSGGPYNYNGTHKWLQSFDHRLRERIDYAICLNSIGSWDDKLWLHVSKPPENAYIKQIFEDFSNVAEDLGFKVDLKHKKINISNPRVAWEHEQFSRLRVTAATLSELSAAPELLERTGGLGDNRLFLDESKIAKSIKLVAESLARHIYRYEGKNIQVFADDSSLAINPTFIRSWLDLLSRTPRVAPFLSKDDPFITALKKELEVHTHDVSLQHEVFEGIFTFYGSTAAKLHVYQVASVTFDLLLLLVLGSYLVLLFCFLVITTRGLDDLISLFRRPPSRKVKTA >KGN66572 pep chromosome:ASM407v2:1:25057426:25060086:-1 gene:Csa_1G629780 transcript:KGN66572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVRVFILISGCLIVVTGTIVFIIYVLLSFAKMGAFFSCPLAKYIDVENGLESVTVKSISFGDDEVKTPVRSISFNSRDLEPMIMKSVGSGRMTLETSVSFKRRELEKVVSMEAGAVPLEDKLLVVADSPKSKVMENQSPRSENHDGIKMTMDLNPTNPKHIAAMKLQKVYKSFRTRRKLADCAVLVEQSWWKLLDFAELKRSSISFFDIEKHETAISRWARARTRAAKIDPRHRYGHNLQFYYAKWLHCQSGQPFFYWLDIGEGKEVNLVEQCPRLKLQQQCIKYLGPLERVAYEVIVEDGKFMYKLSRELLHTTGVDKHVKWIFVLSTSKALYVGKKQKGKFQHSSFLAGGATSAAGRLVVEDGILKAVWPHSGHYRPTEENFQEFISFLTENNVDLTDVKMSPDDEEENGLQMQKSSLHVRFGSTEEDWAQKFSGGPDDSLGEIMAEEMTGKESDLPDQEMSSTGKLFEQKRSINLSRKLTNLHIPDRGNLIEKLEMENKEMRSEMFVSELDTEAPKKSYLEEEKGSCEVEIIPDESILKRINSHKETKSYQLGRQLSCKWTTGAGPRIGCVRDYPVELQLRALEQVSLSPRKVAARSEFHCSPRIASMLSPRVSRPIDMLHQSNTQTASPLFKGTSAADIGNDS >KGN63708 pep chromosome:ASM407v2:1:1692489:1693034:1 gene:Csa_1G012090 transcript:KGN63708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPTRSEALSLLRSLIRTARHFSDYNIREYAKRRAVDGFRHNRNLSDPPSISSAYADGKAQLEVAKRQSAVYSLYGPKVKSIMEAHRIN >KGN65705 pep chromosome:ASM407v2:1:17894525:17896687:1 gene:Csa_1G505900 transcript:KGN65705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTVTLYHLLLSLLLFAAFQPSPLHLPSTVALGAKITNKELRSVHHWSSNSMHINGELVSKAIEKRVYKKPSVPNPKGNHLPPSTK >KGN65824 pep chromosome:ASM407v2:1:18806505:18810055:1 gene:Csa_1G532320 transcript:KGN65824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSDTERREEEEAPAAEDEDTGAQVAPIVKLEAVNVTTGEEDEDAILDLKSKLYRFDKDGNQWKERGAGTVKFLKHKETGRVRLVMRQSKTLKICANHLVLPSMTVQEHVGNEKSCVWHATDFADGELKDELFCIRFPSIDNCKTFMETFQEVAESQKKKVENKDASAAAGLLEKLSVEDEKKAEDKSEDKAEDTPVKSKEEDKPEGEAGKSDAEKKD >KGN64671 pep chromosome:ASM407v2:1:7546815:7549078:-1 gene:Csa_1G073780 transcript:KGN64671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISHSASLLLLRSKRSSLRFSFMDQKLFSKALTRYALASRSYHTTRLKKATLYAKISPLGDPRISVESELDGWVQEGKKLRVAELQRIIRDFRKRNRFSQALEVSEWMKKSGACIFSPTEHAVQLDLIGRVRGSLSAENYFNQLKEQDQTIKTYGALLNCYVRQRQVDKSLSHFQKMKELGFATSELTYNDIMCLYTRVGQHEKVPEVLAEMKENNVSPDNFSYRICISSYGARKDIEGMENVLKEMESQPHIVMDWNTYAVVANFFIKAALTDKAVDALKKSEEKLKSSNDRIGHNQLISLYATLGNKEKVLRLWNLDKTATTRIINRDYITMLESLVRLGELEEAEKVLKEWESSGNCYDFRVPNIVIIGYIDKGMCERGETLLENLKQNEKATTPNSWGALAVKYLDLGETEKALECMMTALSVNIGKGWKPNLRVITGLLNWLGDKGIVEEVEAFVSALRSVTPVNREMYHALLKVYIRADKEVKEVLNNMKADKIDEDEETKKILGT >KGN65544 pep chromosome:ASM407v2:1:16213309:16216174:-1 gene:Csa_1G442340 transcript:KGN65544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFRTTIKHLQFNRRTFVNSSSFAPFNSSSPALHSPHPPSISLRLQNPPHLHDEDPYSHLKEDPIDICSSIWLKAFASLPNSSFSHLSSFLSKFDLWVLAYQRSCADLTGNFPPRNAIHSHVLRDLLSLRNAVINGKFSWNRKANQLIRCPNDKSRTHLISKRKLRAMLESDEPCFQDRVVQEVLLNVLEPFFEARFSLKSHAFRPGRNAHSFIRAIRSNFAGYLWFLKADLSEVLSHIDENVVMGSLEKAVKDKKILRLVKSALQSPRKTRPRVEDNEEMMKKKKNKGAMKKKKILNENEPKPDPYWLRTFFNFAPEEAAKVPSYGHCGILSPLLANICLHELDLVMEDKIVEYFRPSKLDSIWKDSINDGCHNPAWPEFVPASGKEKTRKMDYIRYGGHFLVGIRGPREDAVRIRKEIIELCENMFGIRLDNSKIEIEHISRGILFLDHIICRRIIYPTLRYTSSGGTIVSQKCVGTLLSVTASLQECIRKFRRVDLVKGDRDPEPLPCNPMLYSSQAHTNSQMNKFLETMADWYRYADNRKKIVGFCAYVIRSSLAKLYAARYRLKSRAKVYRIASRNLSRPLIESSNNSAPEYSDLLRMGLVDAIEGVQFSRMSMIPSCDYTPLPRNWVPDHEKILREYIKLQDPGLYSELHSVVKRRGLSLPQEEISEIVWSYKILGSWHFKTNEGNEMEGG >KGN65223 pep chromosome:ASM407v2:1:12923799:12927519:1 gene:Csa_1G267190 transcript:KGN65223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSVSVSGGSTFQAAAPLLALSSLSPRPLRYAVLGAGFAGLSVTWHLLKLCPKDVPIRIDIYDEAGIGGGASGVAGGLLHPYSPKVKLLWKGAECWAECLKLLSVAESALHPKELDSEMDECQNMNELIVLRRGILRPAISSKNLVVLKENAQNGLDSCRIETIDEDAARNLVPELYVPLNTAFYMPQAVNINSQRYLEALFIACENLTKETSTSGFGHKELYLQKKSIDKLHGLEGTYDAVIVCLGAKMVMLPELAGKLPLRTCRGVVAHFQLHDDISNAYPGLGPSILSDAWLAIRGPRSLYMGSTWEWKSTNSSPEVSEEESSRAVAELLPKVSAIYPSIKEWSFIRARGGLRAMPPLTPHGSLPLMGCIDEIVRHNSSCKYWVFGGLGSRGLLYHGWLGKLTAQAVLSCNDELIPSELTSWKKIMNS >KGN63508 pep chromosome:ASM407v2:1:487812:491382:-1 gene:Csa_1G002770 transcript:KGN63508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAMVAEPNRKLPRPGRGGFEGHGFSEEEARVRAIAEIVNSMVDLSRKGQNVDLNALKSAACRKYGLARAPKLVEMIAALPESDRETLLPKLRAKPVRTASGIAVVAVMSKPHRCPHIATTGNICVYCPGGPDSDFEYSTQSYTGYEPTSMRAIRARYNPYVQARSRIDQLKRLGHSVDKVEFILMGGTFMSLPADYRDYFIRNLHDALSGHTSANVEEAVAYSEHGAVKCIGMTIETRPDYCLGPHLRQMLSYGCTRLEIGVQSTYEDVARDTNRGHTVAAVADCFCLAKDAGFKVVAHMMPDLPNVGVERDMESFREFFENPSFRADGLKIYPTLVIRGTGLYELWKTGRYRNYPPEQLVDIVARILAMVPPWTRVYRVQRDIPMPLVTSGVEKGNLRELALARMDDLGLKCRDVRTREAGIQDIHHKIKPDEVELVRRDYMANEGWETFLSYEDVRQDILVGLLRLRRCGRNTTCPELLGKCSIVRELHVYGTAVPVHGRDTEKLQHQGYGTLLMEEAERIARHEHRSKKIAVISGVGTRHYYRKLGYELEGPYMVKNLE >KGN66585 pep chromosome:ASM407v2:1:25233574:25240151:-1 gene:Csa_1G632370 transcript:KGN66585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLLKEMLKALCGSNQWSYAVFWKIGCQNTKLLIWEECHYQPLPSFDSSGSGSSKFPLGELEGCWGYSQSSSSFQANHGEDKLYSLIHKMTLNKHISLVGEGIVGRAAFTGNHLWILSSNYTRDAYPPEVLSELHQQFLAGMQTVAVIPVLPHGVVQLGSSFSIMENMMFVNHVKSLILHLGSVPGALLSETYDGKDPVGNFGVPVTLGMAGLTDASQNCNLMKPLSMVDNCNPQDNSLLASRSSQPSGLLLQEIRPNNHLAASSMSQDPHLTQGLAMPHQNLGLSKVSQAMKSDIPSRNNSEYGRVRAEVILPSPEARFHQQASSSSFYNSQSGVASTAGHGSQKLAGNQNLSAVSVQQDVYNCLNSSNSYNLSQLVTHGGGTIDNENSSVTINHPLFESRQSKEKKNIGSKRFSVPVSISSDSGATRKSVNGGELGGIDMQNALKSKVEEVSLFGGVENSSGKAILEAMKSSQSQSKLAPSADNDLFEALNTTWTQLESTMSLNDYMSGLSNDYSNHLGGFESPRLPHIKNEQTCALSSFGDDLFDILGLEYKNKLLTGNWNSLSESMHNENQQKSESQIMNMLEAGLTSNNSSTCRKIPESGISSMTASDQLLDAVVSRGHSAIKQSSDDSTSCRTTLTKISSSSGPSSLIYGQPSASNHVQRGVFGIPKSLGEVGTLDSSSFRSGCRQNDMSNCSQGSSVYGSQISSWVEQGDNLKRESSVSTAYSKRPDEVNKSSRKRLKPGENPRPRPKDRQMIQDRVKELREIVPNGAKCSIDALFEKTIKHMLFLQSVTKHADKLKQTGESKIISKEGGLFLKDNFEGGATWAFEVGSQTMVCPIIVEDLNPPRQMLVEMLCEERGFFLEIADLIRGMGLTILKGVMEARDDKIWARFAVEANRDVTRMEIFMSLVHLLEQTLKGNNTSMTNAIDNNHMIHNSFPQSTPISATGRPGSLH >KGN66621 pep chromosome:ASM407v2:1:25704601:25705646:-1 gene:Csa_1G650080 transcript:KGN66621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCNVSISDLKQLDLTGNLLSDWKDISIICDQLQALVAIILSNNLLSCEISGPLQLKHIRILVLNNTGITWMQVEILKHSLPAMEELHLMGNNISEVKFPWADY >KGN65181 pep chromosome:ASM407v2:1:12638626:12641693:-1 gene:Csa_1G257870 transcript:KGN65181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFFKFFPLFLTVFFLNKASAEEQSSINAASEKAALLSFRNGIVSDPHNFLKDWESSSAIHFCNWAGIKCNNSTQQVEKLDLSEKSLKGTISPSLSNLSALTILDLSRNSFEGSIPMELGFLVNLQQLSLSWNHLNGNIPKEIGFLQKLKFLDLGSNKLQGEIPLFCNGSNLSLKYIDLSNNSLGGEIPLKNECPLKNLMCLLLWSNKLVGKIPLALSNSTNLKWLDLGSNKLNGELPSDIVLKMPLLQYLYLSDNEFISHDGNSNLQPFFASLVNSSNLQELELAGNQLSGEIPSIIGDLHVNLSQLHLDDNLIYGSIPPSISNLRNLTLLNLSSNLLNGSIPSELSRLRNLERFYLSNNSLSGEIPSSLGEIPHLGLLDLSRNKLSGLIPEALANLTQLRKLLLYSNNLSGTIPSSLGKCINLEILDLSNNQISGVLPSEVAGLRSLKLYLNLSRNHLHGPLPLELSKMDMVLAIDLSSNNLSGSIPSQLGNCIALENLNLSDNSFDGSLPISIGQLPYLQSLDVSLNHLTGNIPESLENSPTLKKLNLSFNNFSGKIPDNGVFSWLTISSFLGNKGLCGSSSSSIKGLPKCKEKHKHHILSILMSSSAAFVFCMIGISLAALRSKMRKRFAVCNRRDLEEANEEEEEEMKYPRISYGQLVEATNGFSSSNLIGSGRFGDVYKGILSDNTKIAVKVLNPMRTAGEISRSFKRECQVLKRTRHRNLIKIITTCSRPDFKALVLPLMGNGSLESHLYPSQIDLVQLVSICRDVAEGVAYLHHHSHVRVVHCDLKPSNILLDEDMTALVTDFGIARLVSGGGGEDNHNNNNNNGGGGGQDDSTSISSTHGLLCGSVGYIAPEYGLGKQASTEGDVFSFGVLLLELITGKRPTDHFFEQGAGLHEWVKSQYPHQLDPIVDDAMDRYCTAAAARRGGPRPCKRLWREVIVEVIEMGLMCTQFSPALRPSMVDVAQEMTRLQEYLSHSLSSLYTRR >KGN65182 pep chromosome:ASM407v2:1:12646772:12647609:-1 gene:Csa_1G257880 transcript:KGN65182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQLFVSSSLPAPRYSQCSLCPDSCSQCILRRGNIRDCFVTEKIHGFLTMLLLVAK >KGN65456 pep chromosome:ASM407v2:1:15425238:15429588:1 gene:Csa_1G423140 transcript:KGN65456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQFLQSPSSTPTRLFQHFIRFPNSNIFRSSQFQFPNRTPITVSMATLSPDTSSEGSITHVIFDMDGLLLDTEGFYTEVQEKILARYDKTFDWSLKAKMMGRKAIEAARVFVEESGISDSLSPEDFLVEREDMLRSLFPQSELMPGASRLIRHLHAKGVPFGLATGSHRRHFELKTQRHGELFKLMHHIVLGDDPEVKQGKPSPDIFLAAAKRFADAPVDAERTLVFEDAPSGVLAAKNAGMKVIMVPDPRLDSSHHGNANQVLSSLLDFNPKEWGLPPFEDSES >KGN66398 pep chromosome:ASM407v2:1:23283550:23284392:1 gene:Csa_1G600880 transcript:KGN66398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEFPRVKNHNETYTEKISSLEWSKARKTMIEEQANAELQSLQLLYPNRFEYLKLELKSFIHLLQSQPEHPFPQPNTNLTSNRSPSPSSFGPDTQESSSCRKRRKVAEGRNNGRNGLQKEVAEYEYESETKMGRGKDRVDVVLERAAVCLCKIRRFKMALFSSAG >KGN66366 pep chromosome:ASM407v2:1:23075428:23078382:1 gene:Csa_1G599570 transcript:KGN66366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNKLTKRGFCTPFFGVHLECHFDFVMRVQVGFEMIDVFAESVGIPMNRVHCKAVFGEGFVGDIPVFLAKPQTYMNLSGESAGPLAAYYKLPLNRVLVFHDDMNLPCGVLRLQHNGGHDYHKGLKSVIYHFRGNREFARLRIGIGRPPGQMDSKAFLLQKFNNTAQERIDTALQEGVGALKLLLSHGLSESARHFNHKQKYKHIRLQTMPV >KGN63814 pep chromosome:ASM407v2:1:2316380:2317525:-1 gene:Csa_1G022530 transcript:KGN63814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSLDSRKRLRDDSNDSLFNSIGSNSKFLRLENPAASNFDAPVSHSTDSFHSHHTIQEDLLKILDDTDASIDREAGIQDLDSVIRSFEKEIEVPVTVTVPVVQPELGFLLEASDDELGLPPAAGEKEEIEEAEFSGSGGVKGGLGFEDEIVSNYCWFDNLRCEIKEWSTEEEEEVVALGGLFDHTDVAAELPTAYRSERMPCL >KGN65289 pep chromosome:ASM407v2:1:13446152:13446874:-1 gene:Csa_1G298170 transcript:KGN65289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPAVHLSVRLLPLSSTTSTHPKEPCTRSQSFGNPGPSFETLTSIRKNPFETPTTPKPSAAGRSQLRPLASIVVDDVYPPQRTPAREINLLETPTHLFETLTSIRKNPFETPHTHRNPSATGRPQLRPLASIVVDDVYPPQRTPAREVNLLEAPAHPFETLTSIRKNPFETPHTHRNPLPPDVHKSDRLLPMSSTTSTHPKEPRARSQSFGNPCPSVRNAHLHSKKSIRNPPHSQFIVGT >KGN64272 pep chromosome:ASM407v2:1:5087373:5090346:-1 gene:Csa_1G045700 transcript:KGN64272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKRSFTSFVEQELGQFPHFVVCAVLEWVLIILLFIDGIVTFLANEFAKFFELRVPCLYCTRIDHVLVNKNTDFYYNNSICEGHKKDISSLAYCHNHKKLSDIRKMCEVCLLSFATEKESDCNTYKSLVGILHKDLECFVEDDNRQVVSLPPVGKKDDGLPMDKGFGVNYSCCSCCGEPLKVKSSNSKGKNGSTFSQAPAPSPRASFTPSFRNDDKTGLELPHIRYTELKLLSDSESEFVEDDEGIHGRNLDAHPFKEDAKAVKVPLLPEPEDMHESSRTPVFGKGNKFFGIPLTDSANNSPRWAIRISRKSPLDRTEVAAETYEADMMGPGDNDSILNCLKRQVRIDRKSLMELYMELDEERSASAVAANNAMAMITRLQAEKAAVQMEALQYQRMMEEQAEYDQEALQATNDLLAKREAELDVYREKYGYLGEDEISDEDYQEFKSQSCLSSDEKSECSTPFSFNGRENNKENFNNFDVMSVPPALKIGGVNSKEESTNNQEVALPFSLGNVEGEDQTEPVSNFKGEKTYLLGRLKKTKKNNLSSDGAISSLHSSSGSVNQIDENTGKGRTSALTRELSHLNEIVRELEADGKFSKHEGKVMLADISHKLGKVQNSLDENSDS >KGN65430 pep chromosome:ASM407v2:1:15292375:15294206:-1 gene:Csa_1G421900 transcript:KGN65430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKEGRTHFSSLPEGVIANILSLTTPLDVCRSSAVSRAFHAAAQSDIVWDTFLPNDLDDFISRSKPGGLNFDPITSSKKEIFFSLCNSPLLIDNAKKSLSLDKQSGKKCIMLGARDLSIVWDDTSVYWTWESHPESRFGEVVVIFKAWWLEIRGKLSSGMLSPRTTYAAYIVFKMRERRYFGFNIDFVDAMVGIVGSEHSVKTVCLDPYLDDPLQRRRHVPQAGSNLPTNNISGLEMPKGRHDDWFETELGEFDNNGGDDEVEIILKDLRCTDSKNSLVVQGIEIRPKINPE >KGN64904 pep chromosome:ASM407v2:1:9918352:9927623:1 gene:Csa_1G153000 transcript:KGN64904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGWQRCLRSMLGHLQNKVELSYNAASNSSYRLQSSLSNGELPYLQRLLKPSSAVIAQPDFRCLQQMGISSSRMLLADKPEEAVSSPLTSALALSSGKDGDKTDQKVICKRSQVQAVLKGIKQSPKKVNLVAALVRGMRVEDALMQLQLTVKRASKTVYQVIHSAKANATHNHGMDSDRLLVAEAFVGKGLFRKRLSYHAKGRCGVEVKPECRLTVVLRETTPEEEAKIAKLKVSNFRKLTKREHQLVPHKLIETTPIWNRKGKAKANTEC >KGN63831 pep chromosome:ASM407v2:1:2412443:2414621:1 gene:Csa_1G024170 transcript:KGN63831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTARKKIHKDNGVEPSEFEETVAQALFDLENTNSELKSDLKDLYINSAIQVDVSGNRKAVVVYVPYRLRKAFRKIHLRLVRELEKKFSGKDVILIATRRILRPPKKGSATQRPRTRTLTSVHEAMLEDVVLPAEIVGKRVRYKVDGSKIMKVFLDPKERNNTEYKLESFSAVYRKLSGKDVVFEYPITEA >KGN66524 pep chromosome:ASM407v2:1:24533133:24533345:-1 gene:Csa_1G617890 transcript:KGN66524 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MKNALNVLGKYDERIIKERVQQWKNDKKIKGVEDILDILISLEDDDGNSLLSIEEIKTQIMVSVLQFCIR >KGN65304 pep chromosome:ASM407v2:1:13619571:13622153:-1 gene:Csa_1G305770 transcript:KGN65304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAESTSKTTPESGDWNKKYETQVVLNVYDLTPANNYSYWFGFGIFHSGIEVHGKEYGFGAHDFPASGVFEVEPKSCPGFIYRCSVTLGHVDMPPSEFRTFIETIASEYHGDTYHLISKNCNHFTDDVACRLTGKRIPGWVNRLARMGALCSCLLPESLQVTAVKQLPEFHEYSEEECSPESLSLSTRQVSAEVDDDEERRLLTLSPGATDVAFVKESHN >KGN66674 pep chromosome:ASM407v2:1:26331827:26332282:-1 gene:Csa_1G657500 transcript:KGN66674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNELDQKVVNNGHVTGEDQTVNCRVILVSQVAAALHERLLLEEKAHLQTKYERVYSFVGPFPTRVQIPLPGFD >KGN65540 pep chromosome:ASM407v2:1:16156871:16159238:1 gene:Csa_1G439330 transcript:KGN65540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDLSLDLEELRLLHTIAKRPRVISLITSQIRTLEQLSEQSVPRTPTPIPVSTSIAKVPINSSIVYTPLPGFSWDQDNDKVKIYISLEGIEQEKVEADYKQLSIDIKFHDVKGKNYRFAIPKLNKEIVPEKCKLLVKPTRAVITLYKASKGNWSDLNLKEDKLKPGLDKERDPMAGIMDLMKNMYDDGDDEMKRTIAKAWTDARTGKTADPLKGFP >KGN64823 pep chromosome:ASM407v2:1:9068842:9069125:1 gene:Csa_1G119900 transcript:KGN64823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSCVNRGKLVIKKRLLVERPQTSSARLRPSMSQLCPERLRLRLSYRNLGLIVAISLQFPLRYLIAFCWFMFLNNSSSSNTWMKG >KGN65451 pep chromosome:ASM407v2:1:15388781:15394351:1 gene:Csa_1G423090 transcript:KGN65451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSPPPFSDIGKKARDLLTKDYNFDHKFTLLLPNSDGMGLTATGLKRDQIFIGDISTLYKSGKTTVDVKIDTYSNVSTKVTVTDILPTTKATLSFRVPDHKSGKLDVQYFHPHAAIDSSIGLHPSPLLEFSAAIGSKNCSLGGDVGFDTTSASFTKYNAGISLNKSDFSAALMLTDKGQALKASYVHSLDPLNETMVAAEMTHKFSTSENSFTIGSSHVLDPVTLMKTRFSDKGKAAMLFQRQWRPKSLVTLSAEYDSKAIDSSPKIGLAIALKP >KGN66332 pep chromosome:ASM407v2:1:22786899:22791798:-1 gene:Csa_1G597790 transcript:KGN66332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPILSKIAIVGAGVSGIAAAKQLAHHEPIVFEASDYIGGVWKHCSYDSTKLQSFRSDYEFADFPWPNRDRVDFPSHLEILEYLNSYARHFDLRRFIQFNSKVVGVRFIGNGNSGKFGPLLSGRPAWEISVMDTLSETIQLYEVEMVVMCIGKYGDIPKLPEFPSGKGPEIFAGKVMHSLDYCKLGKEETNELLKGKRVAIIGYKKSAIDLAVECAQANQGEEGKGCTMVVRGLHWTVPHYWVWGLPFFFFFSTRSSQFLHERPNQTFLKTLIGLFLSPMRHGVSKFIESYLTWKMPLEKYGLKPDHPFLEDYASCQMAIMPENFFRQADEGKIVIKRASKWWFWNGGIEFDDGSRSEFDVVIMATGFDGKKKLTDIFPQPFRTFLQYPSGMIPLYRGTINPMIPNMAFVGYLESVANLHTSELRSIWLARLVDEKFKLPETQKMVEQINKEMEVMKRSTRFYKRHCISTYSINHSDQICEEMGWNPWRKNSWFSEAFSPYGSQDYNNINNANNKIN >KGN65066 pep chromosome:ASM407v2:1:11360165:11362084:1 gene:Csa_1G188680 transcript:KGN65066 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglycosylase MAWRSYNNGGIGFLCVCVVVISVVVSGRPTTFLEDFRVTWADSHVKELDGGRGIQLLLDRSSGCGFASRRQYLFGKVSMKIKLVPGDSAGTVTAFYMNSDTDTIRDELDFEFLGNRSGQPYTVQTNIYAHGKGDREQRVNLWFDPAADFHTYSIMWTRWLIIFGVDDIPIRVYKNHEAKGIPYPKLQPMGIYSTLWEADDWATRGGLEKIDWKKAPFYAYYKDFDIEGCPVPGPANCPSNPNNWWEAPSYQSLSPLQARNYRWVRMNHMIYDYCTDKSRYPVTPPECVAGI >KGN66199 pep chromosome:ASM407v2:1:21858222:21861744:-1 gene:Csa_1G575150 transcript:KGN66199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQRSFLNLILIFHILISLLIPSSSSPIPDELSQIPSFFLQYAQHPEVFDWMVGIRRKIHENPELGFQEFETSKLIRAELDRLGVSYEYPVAITGVVGFIGTGNPPFVAIRADMDALPLQEGVEWEHKSKVPGKMHACGHDAHVAMLLGAAKILQQNSRLIQGTVVLVFQPAEEGGGGAKKMLEAGVLDKVDAIFGLHVSSSYPFGMAISKPGPILAASGFFEAVIGGKGGHAALPQHTIDPILAASNIIVSLQHLVSREADPLDSQVVTIAKFQGGGAFNVIPDSVTIGGTFRAFSKESFIQLKQRIVEVITNQAKVQRCNATVEFNEDQKPFFPVTVNNHNLYKHFRTVAVDMLGTKNILDMQPLMGAEDFGFYGEVIPGLFYFLGMKNETVGKFEQGHSPYYIVNEDVLPYGAALQASIATRYLLEHSPKSTSTKEHSHDEL >KGN64467 pep chromosome:ASM407v2:1:6289393:6292939:-1 gene:Csa_1G057030 transcript:KGN64467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNNSAQCDIDGSSHMVERDKTGPIVSIEMPEYMNIAYSQNALNSRSVKIIEPHEGMEFESKENALSFYKEYAKSIGFSVITKASRRSRISGKFIDAKFACTKYGIKKESSSVVEVSDPVTNSNNGMGVAGKKKRGRINRSWEKTDCKACMHVKRLQSGRWAIRSFIKEHNHEVFPNESHYFRGHGNLEVGSSNTDVLQGNRARRKSKLCMKSRQSGGCTIANKQKVAVTDQVYKLQHLAIDEGDVQVMLDHFVCMQDENPNFFYSIDLNEKQSLRNVLWVDAKGRLDYASFADVVFFDTTFIKNEYRLPFAPFIGVNHHFQFVLLGCSLVADETKSTYAWLMRAWLRAMQKCSPKVILTVQDEALKEAIAEELPDSCHCYCLWDIYGKIPERLSHVIRQDENFMLMFDECVFRSWSIELFEKQWQTLVDRFELSHNSWFKSLYADRSRWIPAYMKNIFLAGISTRQRPEGINSFLDKYIQRKTSVRELLDRYSTLIRDKFEEERKADFETFHKQPALKSPSPFGKQMAALYTQTVFKKFQVEVLGVVACHPKKESEDGVIKVFRVQDFEESQDFLVEWNEATSDISCLCRSFEFNGYLCRHVMIVLQISGIHSIPSQYVLTRWTRKAKSIQKTRKGSNVESRVQRYINLYQQAFRLSDEGSLSHESYNVAFNALEEASRKCESLSGSIQPSPVVHSSHESEEVNQDKKTNKAHKKNTTTNESRQDRFEPHVVTIGNHFGWQQLGQSNSQTPALHCPDEHEGLQGAEQRSRRAPSLESCFGDQQQLMHGMHGMEQFNSITSVTDSRFQVQRMEGHLNFRPNIVSNCFDLQVGPRDMNPSTAGSTKVHSISSKQFK >KGN66658 pep chromosome:ASM407v2:1:26154333:26178614:1 gene:Csa_1G654870 transcript:KGN66658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNMETENEQLVADPLSFARSYQLEGLEKALKQNTVVFLETGSGKTLIAIMLLRSFAHQLRKPSPFVAVFLVPQVVLVTQQAEALKMHTDLSVGLYYGDMGVDFWDGAIWKREIEKHEVLVMTPAILLNGLRHSFFRLSMIKVLILDECHHARGKHPYACIMTEFYHQQLSLGKSDLPRIFGMTASPIKSKGGNSELNYWQYIQELESLLNSKVYTVSSESELANFVPISTPKFKFYIRKDIPYALYEQLANALKVLKSKHEQSLENSDLNPSNVEPTRKRISKAFLALMYCLDELGLWLTWKAAESLSWMEDDFSPWETLDIFGEAIVKSFCSDALKLLANPVKSGCSYGSIGDNLEADMAAGLMTPKVVCLIASLLEYRSIEDIRCIVFVERIVSAVALQTLLSLLLPKYTCWKTKYIAGSTSGLQTQSKKKQNEIVEEFRCGKVNIIVATSILEEGLDVQSCNLVIRFDPSSTVCSFIQSRGRARMKNSDYILMVKSGDSTTLSRLQRYLASGEIMRNESLCHASLPCVPFRSDYDEESYYVESTGAVVTLSSSVGLIYFYCSRLPSDCYFKPTPRWDKETCTLHLPKSSPLQTFSSKDDAKYSKQRACLEACKQLHKCGALTDNLVPQIVAEESVAQEIGNKPLDDEQPIYVPPEFVHCCPHNSSVVYHCYLIELKQNFHYDISAHNIVLAMRTELEFEVQSMCHDLDVDRGSFDVNFKYVGIIKLSPEQVLLSRQFQRTIFKVLLNHTWTNKLSENNEICLGDGPRVDYLLLPSTGGELISWEIVLSVLVSSQEYCVHRGISSSKDVSYDVPTKSGLVCICRLQNSVVYTPHNGHVYCITSVLSELNGNSLLKIRKNEMMAYKEYYKVRHGIDLRFEKQPLLRGKKIFPVHNYIKRFRKHKEQGESRNSLVELPPELCSIIMSPISVNTLYSYSFLPFIMHRLESMLIASNLKKLNSDHCMQNDIPTTKVLEAITTKKCQEKFNLESLETLGDSFLKYATGQHLFRTFQNDHEGLLTLKKDRIVSNAALRRRGCEHKISGFIRDEAFDPQNWDIPGDTHEVYQLREEVLFSGRKVYVQRKRKIKSKRIADVVEALIGAYLSTGGEKAAMQFLNRIGIEVNFDFVPYERPFRVDVHKLINVGHLESLLKYTFNDRSLLLEAMTHGSYMLPEIPRCYQRLEFLGDSVLDYAITVHLYNKYPGMTPELLTDMRSASVSNDCYSRTALKAQLHKSILHCSHDLHKHISSAVQNFETLSSEATYGWESEISFPKVLGDVIESLAGAIYVDSGYDKEIVFRSIRPLLEPLVSPETLKKHPRRELNELCQKENYKLKKTVTSRVNGRSYVTVEVEVNGRIFKHTEISLDRETGEKVASKEVLKSLKEAYPMLHK >KGN65744 pep chromosome:ASM407v2:1:18266006:18267709:1 gene:Csa_1G524660 transcript:KGN65744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEVKMAEYESGGGVGGEDESCAHEERVFEWETGLPDADDLTPLSQTLIPPELASAFSISTGPCRTLMDVNRASQNTLSNLRGFQAQAFSSNNFKSFNDDRTQDHDAMVVEGDEATERDAGSDSRKLRKVDCAEEADSALRTDNSMDDPSARTLKRPRLVWTPQLHKRFVDVVAHLGIKNAVPKTIMQLMNVEGLTRENVASHLQKYRLYLKRMQGSSNEGPSSPDRIFASTPVPPTLHESTNSGQANGNGHLPVPTPMPYGPPMMPMPVLGMAASGHAHSYMGMPVAHPGSAQGYPGFETHPFNMMHQRDWSGNKYSSIVPYPHPHVPPNTNDK >KGN66697 pep chromosome:ASM407v2:1:26541385:26561333:1 gene:Csa_1G660170 transcript:KGN66697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDRDRDWDFYLRTLSNSARDSNVANDPASDPNILQSVKKLYELCKAENSEDLVARVYPQFNKIFQRSVSSLSQSRTSNGLLLLAILQFFIDFGEVVLHDADPSLKTFFRSCLSREFADPIVAEAVLEFLNANLNKFLTSFPTLLPQFFPLLLKLIAWNGEKLEKPFLKIFPALISPGSFLPLFPSLMDFPILVVALEKVERCSGSLVGNSIASIQKSKAPEMLLALMDEAYTGSTIGDGGGDSESEDSNTLDVADPLFLELLKDENDGLSERHWNSPGMTAVIQAALNTAQSDRLEQLLNMTPRILDVYFSVALRVVNNSLICALIPLLMSRNSILFPNKDFSYKVRKRLLEFMLAAFQRSPAFIALLKKPIMDRLGEAYENPAKTELALQLCWAIGEHGGGGRSHKDAARELFESLELLLYENLLSSRLGLRQDSGDNSSGESFRRSSQSRLLCFVITAIAKLATYHRELLPRARVSLGKVARSRTSDSRVWTRAHDYLGLLSDPGVSLSILGPSKPAIEDSHNPGTVDWSQGRTKMVAHIPFYILREQEGPPFHDFSFSDILPRR >KGN64193 pep chromosome:ASM407v2:1:4620474:4621767:-1 gene:Csa_1G042980 transcript:KGN64193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVRDRRHLNLRLPDLSDCRPRFPLPLPPSSAPPAPAAPSAISSSDLDKLQVLGHGNGGTVYKVRHKRTSTTYALKVVHGDCDPTVRRQVFREMEILRRTDSPYVVQCHGIFEKPSGDVTILMEYMDLGSLDSLLKKNSTLSEATLAHVSRQVLNGLHYLHSHKIIHRDIKPSNLLVNKNMEVKIADFGVSKIMCRTLDACNSYVGTCAYMSPERFDPETYGGNYNGYAGDIWSLGLTLLELYLGHFPFLPAGQRPDWATLMCAICFGEPPKLPEDASEEFRSFVECCLQKESSKRWTAAQLLTHPFVCRESSRSSDNR >KGN66025 pep chromosome:ASM407v2:1:20691865:20697059:1 gene:Csa_1G568540 transcript:KGN66025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSVAMRFLNRFRTPSSNFPTSSFVASAQTSSKFWLSTGASEGDNDVEKNDGEEDDYDTFSNELQPQGVDPTRGWGYRGVHKAIICGRVGQAPVQKILRNGRTITIFTVGTGGMYDQRIFDGRDLPKPAQWHRIAVHNELLGAYAVQKLCRNASVYVEGDIETRVYNDSINGEVKNIPEICVRRDGTLRLLKPGESASNISLDDLKEGLFSNKT >KGN63649 pep chromosome:ASM407v2:1:1352263:1356029:1 gene:Csa_1G008550 transcript:KGN63649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLFIWVSSFILVATLLSKCSFSLTEDGLTLLEIKSTLNDTKNVLSNWSPADETPCKWTGISCHPEDSRVSSVNLPFMQLGGIISPSIGKLSRLQRLALHQNGLHGYIPNELANCSELRALYLRANYLQGGIPSNIGNLSYLTILDLSCNSFKGSIPSSIGRLTHLRNLNLSTNFFFGEIPDIGVLSTFGNNSFFGNQGLCGRQVNKPCRTSLGFPVVLPHAESDEAAVPPKRSSHYTKGLLIGAISTAGFVLVILVVFMWTRLVSKKERTAKSYMEVKKQKNRDTSAKLITFHGDLLYPTCEIIEKLEALSETNVVGSGGLGTVYRMVMNDSGTFAVKKIDRTQDGPDQVVERELEILGSIKHINLVKLRGYCRLPSSKLLIYDYLPAGSLDNFLHERGPEKLLDWSARLNIALGSARGLAYLHHDCCPKIVHCNIKSSNILLDGNLEPHVSDFGLAKLSVDGDSHVTTVVAGTFGYLAPEYLESGIGTEKSDVYSFGVLLLELVTGKRPSDPFFSKRGVNIVGWLNTLRGEDQLENIVDNRCQNADVETVEAILEIAARCTNGNPTVRPTMNQVLQQLEQEVMSPYPSDYSESHSDYS >KGN65380 pep chromosome:ASM407v2:1:14700439:14702042:1 gene:Csa_1G395610 transcript:KGN65380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPWVQELHIPHMDIPVTINRMIPIMPAGPIPTADSDSLYLSNLDDVVGARVFTPTVYFYELNSINSGKKPVMEMLREALSLVLVPYYPFSGRLRETRNGKVEVFFGSEQGALMVEAHSDITLNSLGNITVPNPAWEPLIFKFPNEEPYKVLEMPLLIAQVTLFKCGGFSLGLRLCHCICDGLGAMQFLSAWAATAKSGKLITNPDPCWDREFFKPRDPPIVKFPHVEFMKIDDGSTLTMTLWQSKPVQRCYRISREFQLWLKSNAQPDDMSACSTFDAMAAHVWRSWVKALDVKPVDYTLRLTFSVNARPKLENPPLKNGFYGNVLCLPCVTSTVSELVNGGLSKTTRLVREAKNKVSEEYVKSTVDYIEMDRPRRLEFGGKLTITQWTRFSIYEGADFGWGRPVYAGPIDLSPTPQVCVFLPEGTRESNGSMLVCICLPENATQKFTELLCTARVD >KGN65199 pep chromosome:ASM407v2:1:12757758:12759748:-1 gene:Csa_1G264020 transcript:KGN65199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSSRKSSGPVLRSLSPSGRFYGSCSSYSSSSSSAFASSTSSFSTRNATSFFPRSVSPSRVNLQGSSSPSASSVRFSLDRSISPNRPISVLSRASGNHQVVKKQSQQKRTCMCSPTTHPGSFRCSLHKGVQSQPSTPYSSNRLNARRSAMTNSLVRIGGVEGDILRRALASLIRPSSHSQRRRVDFCPRPSRLSIMSKADDL >KGN63977 pep chromosome:ASM407v2:1:3462664:3465232:-1 gene:Csa_1G032470 transcript:KGN63977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALLLHSSSTASVLFGEMGKLKGRNHNSILSSKKPVRSSFFRLGSNNSTNGISAFFFNPVGDPVLKEALKEPVAFAGGLFAGLLRLDLNEDPLKEWVKKTVESAGLREEVDTQGSVQEDGPTEIEIE >KGN66788 pep chromosome:ASM407v2:1:27706381:27708729:-1 gene:Csa_1G690260 transcript:KGN66788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQLILCSPSFSGCSGHSHLNLQQTHQLHAHFIKTQFHNPHPFFSQSHFTPEANYNLLISSYTNNHLPQASFNCYLHMRSNDAAALDNFILPSLLKACAQASSGDLGRELHGFAQKNGFASDVFVCNALMNMYEKCGCLVSARLVFDQMPERDVVSWTTMLGCYVRSKAFGEALRLVREMQFVGVKLSGVALISLIAVFGNLLDMKSGRAVHGYIVRNVGDEKMEVSMTTALIDMYCKGGCLASAQRLFDRLSKRSVVSWTVMIAGCIRSCRLDEGAKNFNRMLEEKLFPNEITLLSLITECGFVGTLDLGKWFHAYLLRNGFGMSLALVTALIDMYGKCGQVGYARALFNGVKKKDVKIWSVLISAYAHVSCMDQVFNLFVEMLNNDVKPNNVTMVSLLSLCAEAGALDLGKWTHAYINRHGLEVDVILETALINMYAKCGDVTIARSLFNEAMQRDIRMWNTMMAGFSMHGCGKEALELFSEMESHGVEPNDITFVSIFHACSHSGLVVEGKKYFNKMVHDFGIVPKMEHYGCLVDLLGRAGHLDEAHNIIENMPMRPNTIIWGALLAACKLHKNLALGEVAARKILELDPQNCGYSVLKSNIYASAKRWNDVTSVREAMSHSGMKKEPGLSWIEVSGSVHHFKSGDKACTQTTKVYEMVTEMCIKLRESGYTPNTAAVLLNIDEEEKESALSYHSEKLATAFGLISTAPGTPIRIVKNLRICDDCHAATKLLSKIYGRTIIVRDRNRFHHFSEGYCSCMGYW >KGN64455 pep chromosome:ASM407v2:1:6219328:6221655:1 gene:Csa_1G056910 transcript:KGN64455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNSLKSMIAAAVNKGVTEARARIFGHILNPTGQRSTHKLLRKKLIGDKVSQWYPYDIKKDDPLVMARLEQERLSKLEMLKRRGKGPPKKGQGRRAAKRNK >KGN63678 pep chromosome:ASM407v2:1:1501501:1502760:-1 gene:Csa_1G009820 transcript:KGN63678 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-expansin 3 MAFLGLLLVGLLLSLGCDSALANNNGGGWISAHATFYGGGDAAGTMGGACGYGNLYSQGYGTNTAALSTALFNNGLSCGACFEIKCVNDPKWCLQKSIVVTATNFCPPNNALPNNAGGWCNPPQHHFDLSQPVFEQIAGYKAGIVPVAYRRVSCEKKGGIRFTINGHSYFNLVLVTNVGGGGDVHGVWIKGSKTGWEAMSRNWGQNWQSNSYLNGQSLSFKVTTGDGRTVISNDVAPAQWSFGQTFSGLQFR >KGN63876 pep chromosome:ASM407v2:1:2711790:2714524:-1 gene:Csa_1G025080 transcript:KGN63876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPDAPTSPRSVGRLLLMENLLGLLRIRIVRGVNLAVRDVRSSDPYVVVKMGKQKLKTRVIKKDVNPVWNEDLTLSVSDPNLPIKLGSSKRSFLVSDGIFHGRHTSTVYDHDTFSKDDKMGYAEFDIKAFMEALKMNLKNLSSGTTITRMLPARQNCLAEESCVVWKDGKVVQDIYLRLRNVECGEVEIQLQWINLPNFKG >KGN65940 pep chromosome:ASM407v2:1:19933917:19934606:1 gene:Csa_1G540350 transcript:KGN65940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILSKTFPELGLTKEECKEKSWIESAASAANRFQIEDQPLEALLNRTPITHGSFKLKSDYVKEPMTKAAIQGIWKRLESQDIEGVTLAVIPYGGRMNQISESKIPFPHRAGILYQIGYILGWEEKGVEAEKRHLNWIREIYSYMTPFVSKSPRAAYVNYRDLDIGSNNKYGKINYKQACVFGSKYFGNNFNRLVKVKSDVDPYNFFWHEQSIPLSSDHMV >KGN65606 pep chromosome:ASM407v2:1:16855614:16858553:1 gene:Csa_1G469730 transcript:KGN65606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGYRAEDDYDYLFKVVLIGDSGVGKSNLLSRFTKNEFSLESKSTIGVEFATRSLNVDGKVVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRHSTFENVERWLRELRDHTDPNIVVMLVGNKSDLRHLVAVSTEDGKSFAEKESLYFMETSALEATNVENSFAEVLTQIYHIVSKKAMEAGDGTAAASVPPKGEKIDVSKDVSAVKKAGCCSS >KGN65145 pep chromosome:ASM407v2:1:12364050:12364744:-1 gene:Csa_1G246600 transcript:KGN65145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRATISNTKKFFRKTLWNFKSFFSNTYHRLPKVPPPFPAVSEMDKESTFHFISSQEEVQNGSIMKNNNPNAEVRLSTCTMGDSQKERVEKSEDRMKTGATHQRKMEENLEYCSWKRRMCLVAKNMKELEKLDARNVDHALDIEEILHYYSRLTSPTFLEIVDKFFVDIFTEFSAISSSQPTQLT >KGN65346 pep chromosome:ASM407v2:1:14176481:14176726:-1 gene:Csa_1G363460 transcript:KGN65346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASKSINHLTRRPGFTRCTRRRLHRGEDRRGRRVARQCRGWKQRLRGWASRTGRRLQRRIWVTANCRGEARAAARTWMA >KGN65064 pep chromosome:ASM407v2:1:11328968:11331646:-1 gene:Csa_1G188170 transcript:KGN65064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDLVLDTAIRDWVLIPLSVVMVLIGVLRYFVSKLMRSSPVPDAKVVREGQIVLRARNLRSAANFIPHKSFRSRRIYYGNEENGLLYVPKGQAQNAQAQMFSDPNMAMDMMKKNLSMIIPQTLTFAWVNFFFSGFVAAKIPFPLTQRFRSMLQNGIDLSTVDVSYVSSRSWYFLNLFGLRGLFSLILGEENAMDDTQRMMQMSGFGMDPTKVNIVSLYCIVF >KGN66110 pep chromosome:ASM407v2:1:21223549:21228490:-1 gene:Csa_1G571820 transcript:KGN66110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGAIVSGKLADYIGRRGTMGFAEIFCLLGWFFIAFSKTAWWLDIGRMLVGFGMGVISFVVPVFIAEITPKELRGAFTTVHQLMICFGISLTWLIGAFVNWRALALIGRTPIPTYVILKLKMKMVEWEVIFHYPDYVTGSVPCVIQLVGLPFIPESPRWLKLDSLTPRTVDKKAKNDRKFDCEVALQRLRGASKDVSAEILEIQEYTELLKQLPEPSVLDLFERQYARSLIAGVGLMALQQFGGVNGIGFYVKSLFTTAGFSGNIGTIALAIIQILMTSLGVVLMDVSGRRPLLMISASGTCLGCFSVALSFLLKDLQLWLSGSPMLAFFGVLTFVGSFSLGMGAIPWVIMSEIFPINMKGLAGSLVTLVSWLGSWIVSYSFNFLLNWSSAGIFFIFSSICGFTVFFVAKFVPETKGRTLEEIQAAMNPLSTKS >KGN65469 pep chromosome:ASM407v2:1:15529723:15535801:1 gene:Csa_1G423270 transcript:KGN65469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKLSLEEIKNETVDLEKIPIAEVFEQLKCGPEGLTTQEGENRLQLFGPNKLEEKKESKILKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWEDFVGIICLLVINSTISFIEENNAGNAAAALMAGLAPKTKVLRDGKWSEQEAAILVPGDIVSVKLGDIIPADARLLEGDPLKVDQSALTGESLPVTKNPGDEIFSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTHQVGHFQKVLTARAGIREVHFFPFNPVDKRTALTYIDSDGNWHRASKGAPEQILTLCNCKEDVKKKAHAVIDKFAERGLRSLAVGRQEVPEKRKESPGSPWQFVGLLPLFDPPRHDSGETIKRALNLGVNVKMITGDQLAIAKETGRRLGMGTNMYPSASLLGQHKDESIAGIPIEELIEKADGFAGVFPEHKYEIVRKLQERKHICGMTGDGVNDAPALKKADIGIAVADATDAARGASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVFGFLLIALIWKFDFSPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLKEIFATGIMLGGYLALMTVIFFWIMRETDFFPEKFNVRPIKDSPKEMMAALYLQVSIVSQALIFVTRSRSWSYFERPGLLLMGAFVIAQLVATLIAVYANWEFAKIKGAGWGWAGVVWIYSVIFYIPLDFIKFAIRYILSGKAWLNLLENKTAFTTKKDYGREEREAQWAATQRTLHGLQPAPERASLFLEKNSYRELSEIAEQAKRRAEIARLRELNTLKGHVESVVKLKGLDIDTIQQHYTV >KGN63645 pep chromosome:ASM407v2:1:1325705:1331465:1 gene:Csa_1G008510 transcript:KGN63645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGNQSMARDWVRQRQERRVVVRSFGATKAEYHERSTGDISIFLTSATANRYLALGFESIIVFGDHWRF >KGN65162 pep chromosome:ASM407v2:1:12535029:12537762:1 gene:Csa_1G256710 transcript:KGN65162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVTLTVMSFNLHDDQPPESSNSWEKRRDLCISVITSYSPAILCTQQGVKSQLDFLQQGLPGYDQFGISRKGSHDDSDEHCTIYYDKEKVELLEGGTFWLSESPSVPGSMSWGSIAPHIATWATFQLKGVEPPGFSFQIVNTKMDELNPRARRRSALLTWQHIASLPPSLPVIYCGGFNTEKESTTGRFLLGRSREKGAVGDMRDTWAIARARKNVSLIRTYHGFKGDKQGAFEFFKLILRALCLCWDRQTQDLHVDWILFRGRSLIPVLCEVVNDNIDGFYPSSHYPLFAEFMLPRTVRMLETTSQE >KGN65764 pep chromosome:ASM407v2:1:18386535:18387038:1 gene:Csa_1G526835 transcript:KGN65764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METHMTFYWGKTIEILFPGWPGRSFFSSVIVLIFVFLLAFTVEWLSHTKFTTSAMDNLTAGLVQTILYGIRVGLAFIVMLAVMSYNVGILLAAVTGYSIGFLVYGSKIFNRSKIDPNLNLDSLDLPPLNC >KGN64688 pep chromosome:ASM407v2:1:7659738:7664223:1 gene:Csa_1G074930 transcript:KGN64688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNATSQNRKENLLHEVVSLEKQLTTSILSKGILHSDVNDLYYKVCSIYEKIFTSEHEQVELQDVEYSLWKLHYKLIDEFRKRIKRSSGNGGSPKLGTTQSPNNVQRSNSNHIAEFRLFLLEATKFYQILILKIREYYGVPNEGLLYKAFSVAKGIDPKKKKKCQFLCHRLLICLGDLARYVEQHEKLDVYSHKWAAAATHYFEATMVWPDSGNPHNQLAVLATYVNDQFLAMYHCVRSSAVKEPFPDAWDNLILLFERNRSSLLPSLSGDGQFNFLRPSEKCCFEIKSQIKDDNKSLETDLFSLLIRTLGFFFINSSLEEFTSAFSSMMRWLDEFLSLDDSELNASLESYKLLDSVRTGPFRAIQIASVFIFMVQNRFSKVDLNDKQQIELTQLALVVTFIAMGRLVERCLEASKLDSFPLLPAVLIFVEWLPNVLDEVVRYGDDEKSRNSMTYFFGVYVGLLERLNVNKVEAQCSLAIPLWEDYELRGFTPLAFSHKPLDFSSHWEHMDTFELGAKHRAYRIIVAATKISNIANDSPKWIIHDKTCEVFYTLDQNELPDKKELESAKCYIVSPDLEKPTQDVFIDKVGCEEDTPDEAWHQSDLNKKSVPVEDEEVILFNPLMRYNSAPISIAGSDNVSPKSVEARAISSNECLRRATSLLIEQTQGQSDPFSFHSNATNFSRNKPFEQHNIFGKDTTGHQIPETSISTATGPPSLSAWVLNNGFTFDPDREKGTNGFVKPGLQPIDELTPTFINGLRLGDTENSALSPSCESRKSYHFPPPPYSAPAPSAPYLPDDAVWFSSTNAIISDGKIYRERDQNDTLSNSFLGSTYSNWSAPHATHEYRPLISGFTNMYPSAHRMTSSEWLRQYRENNNLDGNSNQVLPTPYNASGNLTDFQRNDTSRYDHLYQTRNQVIPNPTMNIESPLRHLGFPCGANENQKDMFFHGYERPNLYGCGATDLRSEQPPLMLHLKDKEWRLQKDAANRSAAYMGN >KGN65563 pep chromosome:ASM407v2:1:16429193:16429795:-1 gene:Csa_1G448940 transcript:KGN65563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSDIAKNHQVRKKYTIQLGENELVLKELDLLQDDTNVYKLIGPVLVKQDLAEANANVRKRIEYISAELCVSSSVFHIVF >KGN64138 pep chromosome:ASM407v2:1:4344284:4346943:-1 gene:Csa_1G042500 transcript:KGN64138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKISRPVLLFFTILLFHLHLCFADDALDEFKACGVNYNCGELVNISYPFWGNDRQSFCGRREFGLSCKDNETTAIEINSRQYIVVNISQSDHRMTIARSELFNDYCPNNEIETATLDFSLFKYSSNDLNLSVWYDCPVLPGTQNLSFECGSEGEKSGRMNYALDNIEAVSLSPLSVGCRIKIEVMITSKLFKEGKRNRTMVVERGMKEGFEVEYGDFYTVACEGCKEYGGGVCSRNETEEFLCKCENGELHPFVCRPPPGKREELVKVIVATFLGTGGLIIFIIIIANYYTRKARSNDDKIEESIRRYSALLPQQYSYSKLKKITNSFKNELGQGGFSIVYRGKLPDGRDVAVKLLNESKENGQGFINEVVSITRTSHVNIVSLLGFCYERKKRALIYEYMPRGSLDQYISHKGPHRNNIELDWNTLHSIVIGVARGLEYLHRGCITRILHFDIKPHNILLDSDFCPKISDFGLAKQCKARESHVTMTGVKGTVGFIAPEVIFRNIGNVSHKSDVYSYGMVALEMVGARKNPNDGLGQNSEEFFPDWIYKTLTQSEIDDGCLWGNTEEEKEMTRKMIIVGLHCIQTLPNDRPSMTDVIAMLEGSVDGLQIPPKPNLFGPPTFEHPQPSSSSYSSREASQKQSYLPS >KGN65251 pep chromosome:ASM407v2:1:13131130:13131635:-1 gene:Csa_1G270420 transcript:KGN65251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSMKTKDEGSVEWTSSRGANCLSSLDSLSHLTFTPSSASPFTPSHLRSSLPPSLPSSTLHFALTVAVQPARAARLGSPSQSLKSQSPPVVGI >KGN63654 pep chromosome:ASM407v2:1:1379813:1383471:1 gene:Csa_1G009580 transcript:KGN63654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAQQALATIDDDRVQSTGEGDDKQASPNPKQHIHLKDDEQRHEERMKRTKVEMREVKEENERLKKYLDEIMKDYETLKRKFHEIKNNHDDQIREEGKKSTQTSGSTINNNNNDNDDDHQVEAEVDDMVSLRLGSRFSTHHQNKNTSSSSLSLTNKILDLKQDYVIQTPSTIDHSIHSPTHSEPKDQEEAGQTTWPPSKMPKPGGLPSPATGEDEVSQQNPPKKARVCVRARCDTPTMNDGCQWRKYGQKIAKGNPCPRAYYRCTGAPTCPVRKQVQRSVDDISILITTYEGTHNHPLPVSAMAMASTTSAAASMLLSGPSSSTSSQPGLNHSFTAPATAANLHGMNMYLSNNTNSKQFYLPNSSMLSSSLNHPTITLDLTSNPPSTSSSSPFHKIPLINNNNYPPKYPFTNLDFASSQPNFMSWNNNNNAYSNITKNNAIIGMGSDFAKQLPLHTNIYQACLQQLSKPSTTPQPPALPDTIAAATKAITSDPSFQSALAAALSSIIGGGETGPSVSSLVVGGGGGGGQGSMGFEAAKSLTCSTSKSTPSSSPGDSRDNGK >KGN63576 pep chromosome:ASM407v2:1:866725:868719:1 gene:Csa_1G004907 transcript:KGN63576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLYLEKVEGSLVGKNDNLYTPKEELFRCILTLHSLLENPPGDFPDSIRQEIVNGIAKIFSLVRDEGKVSRKLIECVNTYLLKDGPNLGSSLLEIHNSVQTFVFRCWMVTHDRALKDALVLYGRLQISLTRGADDESILIEQLLDVLYKELDQSSIFSVGVPWSDANKDDKFGTLSSSHCGLVELAAAVLYRACVTSTKAISTEKRVKRDPASVHLKEALGEGKWLWNAAFCYLIQNYHSRISKDLFTYWFEAICLGFERYLGFTVVDLSFYVIKLFVLLPPLF >KGN65786 pep chromosome:ASM407v2:1:18519808:18521976:-1 gene:Csa_1G528510 transcript:KGN65786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISFHGFEVPYEKISKENILVIHTKHRLPLSFKYSSLQTNGKGRFREPTAMENDRRPGRSDARLSMEEEREMEAKTREYFNGVAPKRHTKPQRSEFSAHYVDKGNDEDNYIPELAEFQRLESDPHERLTYDGRNGKISEEFVETKYYDDLNCVDKQHHTTGTGFIKMENGDCKGFRLAPDSADAGCCHGSCRGNPATNDWIPASNDLGHFTLGLGKPNRSDN >KGN63914 pep chromosome:ASM407v2:1:2953526:2957717:-1 gene:Csa_1G025940 transcript:KGN63914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGIHGGSSNSDDGSSTFRDCFSLAWKNPYVLRLAFSAGIGGFLFGYDTGVISGALLYIRDDFKSVDSSTVLQETIVSMAIAGAIIGAAIGGWMNDRFGRRTVILIADFLFFIGAVVMAASPGPSLLIVGRVFVGLGVGMASMTSPLYISEASPPKIRGALVSTNGFLITGGQFLSYLINLAFTKAPGTWRWMLGIAGLPALLQFILMFLLPESPRWLYRKGRSEEAERILRKIYSENEVEGEIRDLKESVEAEIKEKEISEKISLIKLLKTKTVRRGLYAGVGLQIFQQFVGINTVMYYSPSIVQLAGFASNETALLLSLVTAGLNALGSIVSIYFIDRTGRKKLLVISLFGVIISLGILTAVFHETTSHSPLVRITNTPLKAYTCPDYSFADNSASWDCMKCLKASSPDCGFCASGTNKLFPGECLVANDTVKGLCHGEDRLWYTRGCPSKFGWLALIGLALYIIFFSPGMGTVPWIVNSEIYPLRYRGVCGGVAATANWISNLIVAQSFLSLTQSIGPSWTFLIFGLISVVALLFVLTCVPETKGLPIEEVEQMLEKRALHFKFWEKRTDPSDKT >KGN64369 pep chromosome:ASM407v2:1:5733077:5733485:1 gene:Csa_1G050080 transcript:KGN64369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNGESKIVGKPKDRFLVNRDHQSPISSGLSVFSFARRFPLFPNCNHLRLLLFVSLFLVPLHFCASSKVQQLL >KGN64590 pep chromosome:ASM407v2:1:7039450:7039710:-1 gene:Csa_1G070070 transcript:KGN64590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRDGSYAEYYMKEHPGISYEATQQHIQKKISEAWKTLNTEHLFSNIFPTSFTQASLNIARAVPLAYNYGRNQSIMTVEKLMEQYC >KGN66120 pep chromosome:ASM407v2:1:21280660:21283475:1 gene:Csa_1G572410 transcript:KGN66120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNICDPVYSNLTRVAMDKISDIFHNLVFKITIYVSKICDIVRSHDGARSSSPSLDFHGAGMKYSEGVTISDLKLNSPGLEENSDGISYPPIAKSVGDIKMEEKDGSVLPSPVMKENSFQERASVSSKQSSTGFSPVEGSDFTNSPSTVTSCSLSTDRTILKAVQSSKRGGASEGSNTSSNRSDLSMNILDEGPMGDSFDYEPFFQEEYCKATGLSNCRDPAEAVADDMDSSGSPHYREKSEEDGDTDDMLGGVFAFSEEG >KGN64462 pep chromosome:ASM407v2:1:6270850:6272863:-1 gene:Csa_1G056980 transcript:KGN64462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLMTMMNMNQCGSKPAWLQALMADTFFGTCLLHENRRKSEKNVFCLHCCLSICPHCLPSHRSHPLLQVRRYVYHDVIRLGDLEKLIDCSHIQPYTINGAKVIFLNYRPQSRPCKAGSSTNACFTCDRILQEPFHFCSLSCKVDHMVYQGEDLYSILHRFNESDFSYSQFEGLRVDGLEGMEEDGQMTPNSVVEDSSQHFNKSSSCSNMNDSAPTNVSSGTHIFKRKNKGTDFLPAGIVLSLSSRRKGAPQRAPLS >KGN63565 pep chromosome:ASM407v2:1:792247:795367:1 gene:Csa_1G004320 transcript:KGN63565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGFCTSNFHVWKNPMKLDMRVLQISQIIDMGFLLVESRFHPKPVHFNERVDHVLSPQAPLDLLASIWRSILRFTGDPLPFVANTFIRVINQFCVLHHILPEK >KGN64084 pep chromosome:ASM407v2:1:3982488:3987726:-1 gene:Csa_1G039980 transcript:KGN64084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPQPPRPLGTEGRKPTHDFLSLYGHSTALQQDPSQSFQGGFLKTHDFLRPLERTGKTCAKEEKTINVSTVERAAPTLGTQAHNSAAERLLPGGIGTYSISHISYFNQRVPKPEGSVFPVPQASNTDKSDDNSRCSSLSGNGFTLWEESAVKKGKTRKENLGEKPALKESPAKIEQWTVTTERPMQSSSSNHRSSFSSLSPSQPSGQQSRSFGEMLKSTVNVSSMEEELDDDKAFVIKKESSPSTAYKGDLKINICGKSSDQKANTPRSKHSATEQRRRSKINDRFQKLRELIPRSDQKRDKASFLLEVIEYIQFLQEKVRKYESSPPQGWYHEPAKLIPCRNNCNPAQCYIDQSQIAKSGPVFIFAGSDEKNMCHSPAFPRCSHNPVESEVSTSTTFREADQHPGTNNKTCYPMLDPRHFTPVISEGAKTRLHSQVGHNADNKPCEIQPLSCEMRSCTTNIVDGNNKLKEPEQRIDGGRISISGAYSQGLLKILTQALQSSGVDMSQASVAVQIELGKRTNYREIVPSPIVDDSARPSERASVGTRVIAGENMEQALRKKQKT >KGN66782 pep chromosome:ASM407v2:1:27633734:27637991:1 gene:Csa_1G690200 transcript:KGN66782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANHDLILGQNHNLALGQNQQLMLGHNHNMGLGQGHSLDLGQAHEHHLGLGSNHDHELGLGHAHDHTEGLVHSHDHDGLGHAHDHELGLGQSHDQGGDNDHNYAHESDLAMDRKPDPIGHQLSLPIQGHELALSDNNQLAVSESQELDDNLELAVDQNDELAIQTVDDLTSQSQMMVSTPSVLQARTVMAAPTYELSVGQEFPDVKSCRRALRDTAIALHFEVQTIKSDKTRFTAKCAAEGCPWRIHAAKLPGVPTFTIRTIHDTHTCGGINHLGHQQASVQWVASSMEQRLRENPNYKPKEILEEIHRVHGITLSYKQAWRGKERIMAAMRGSFEEGYRLLPQYCEQVKRTNPGSIASVYGNATDNCFQRLFISFQASIYGFLNACRPLLGLDRTYLKSKYLGTLLLATGFDGDGALFPLAFGVVDEENDENWMWFLSELHNLLEINTENMPRLTILSDRLKCIVDGVEANFPTAFHGFCMRHLSESFRKEFNNPMLGKLLWDAAYALTVIEFEAKVLEIEEMSQDAGYWIRRIPPRLWATAYFEGTRFGHLTANIIESLNSWISEASGLPIIQMMECIRRQLMTWFNERRETSMQWTSILVPTAERRVAEALEHARTYQVLRANEAEFEVISHEGTNIVDIRNRCCLCRGWQLYGLPCAHAVAALLSCRQNVHRFTESCFTVATYRKTYSQTIHPIPDKSLWKELSENDPNANKALEVIINPPKSLRPPGRPRKRRVRAEDRGRVKRVVHCSRCNQTGHFRTTCAAPI >KGN63815 pep chromosome:ASM407v2:1:2324148:2324846:1 gene:Csa_1G023030 transcript:KGN63815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSRILSPAFKIRSQAVALLYCQSYIQIQSQPIFLHHFLPLPTSAHLRRRRSRHTQSLLLLFLLLLFLLLICRSSNHRTQTLRQHPSLHSKILRHLLHPPEYHIELLDLLVGWLLRCRGRRIVVFGFLFMNFGSVFHLPLSLFLSHF >KGN65114 pep chromosome:ASM407v2:1:11863892:11877366:1 gene:Csa_1G226420 transcript:KGN65114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPKMDSGMICKYYSVDEAIENGAAPIPLSFDRTVDVQCTIDIMDHLLSCEATWHRGHSLAQTVFSCIYLLRPDRTSSHALLHSYCSVIRATCKAVIAVVSDARTHEEEDLFIMAYGLPLTGDGDDKCLSMLNAVEETICRQLRACKSPLLKNRAPEDVEPLQNSFDLEEHYCRALLCRLRFRKHFYHVLTCMRRPQGRGLELARKHIASCLLELDLIRNSSTFLSNNSFGKDDSEDTTTASGRQPLGFDSSLNCRLSAPTPPRAIKLLSWKKALDYFVKLLRDLDNICSYSLDTFLEGVFRFVVQFQKSQPDLVARSLLQFLLVQDGKLYGRDPLYAVITKAAGLPESAKNHENLKNQYIVQLGQLVINLLRVLCTNSAWQRRKLGKILQDWRVIYMQMEMAFKKDIAEIVSISNGENAWMKIFQHILIWVEEQTYWISSRFLVLGFELELYSPSDYCMVYWYLSVVLIKLVEKIHLRALMNNETGKRKGKKKGASKDIGKDFRIPPAVSFLQCQVCLAEGLVMMLAALRNEHMIAQSPSPFNSEYERFFQHFELLQKACIPDNITYDSYEQSTRLARISNLVTYNCFKDAQKIAKELKSSFSNDPEKLVELRRIEQVAEHNSVALNLIHKVGGLDPSLKISFEFNHHPYFGTALVKRS >KGN66756 pep chromosome:ASM407v2:1:27325374:27327406:-1 gene:Csa_1G678050 transcript:KGN66756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASNTNVSGDDHDRVLATAQHIVKSLNTPKEVREDMLFILSTFDNRLSSISTMINNDDSNIKNSRLDAAEKVILRWDPNSDQSRRSFNWEDSPDEAAEYLSAVDDILQLLEELSIGSESTDIVDRAENLIQMAMCQLESEFRHILIQSTIPLDAERLYGSIRRVHLSFASHYSEIDDELESFGEESRSSGRFHERGATIGEDSWVDLIHPNAAVDLSEIADRMIRSGYEKECVQVYSIVRRDALDECLMILGVERLSIEEVQKSDWKFLDEKMKKWIKAVKITVRLILEGEKRLYDQIFTGANESKEVCFNETAKGCVMQLLNFGEAVAIGKRSPEKLFRILDMYDALAGVLPDLEAMVSDEFLISEAHGVLCGLGEAAIGTFVEFENAIESENSKKAMQNAEIHPLVRYVMNYVRLLVDYSKTMNSLLEDEEVEDLPNKRDNVDNLQLESTSSPLARRLLMLLSSLESNLMEKAKLYEDVAMQFIFLMNNILYIVKKVKDSELAQLLGGNWLRRHSGQIRQYETSYLRASWSKVLSFLKDEGIGGSTSNASKVALKEKFKNFNASFEEICRVQTAWKVSDAQLRDELIISVSEKVIPAYRSFLGRFRNQLESGRHSGKYIKYTPDDLENSLSDLFEGSPVVSHHLRRKGT >KGN63500 pep chromosome:ASM407v2:1:415721:421617:-1 gene:Csa_1G002690 transcript:KGN63500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTLLNPSHFFTFPNLPTSRNSNSPHSSFQWRPLLCPPLTLPGNFSVKCFSSDEFPVDESFLEKFGPKDEETEDQARKRNWVERGWAPWEEVFTPEADFARKSLNEGEEVPLQTPEAIEAFKMLSPKYRKQKMEEMGLTEDDYYRTQCEIKGEIPEPLETTWTRPLVLQVVPPRDWPPRGWDVDREELEFIRGAHKLQAVRVDLDRLEKDVRTDTEDLCLDRYKVFLKQYKEWVAANKDRLEEESYKYDQDYHPGRRKRGKDYKEGMYELPFYYPGQICEGKVTTLHLYQGAFVDIGGVYDGWVPIKGNDWYWIRHHIKVGMPVIVEILAKRDPYRFRFPIEMRFVHPNIDHLIFNRFDYPPIFHRDEDDNPDEVRRDCGRPPIPRKDPGIKPEDETLLSNHPYVEKLWQIHVAEQMILDDWEMNPDKYRDKKLSELSDEEDFDEENSIEYTKVRYKNSLLPKKILKTSVKELDLEAALSERQVHNKLRQEAQERGEDYKITKLRRNLEMDEYDLMHWRRSFEEREALIRDISCRQALGLPLEEPGRYVDASYFGKDQYDPSNPLYRYDYWGEPKNSEKSKQERMTDAHNKSIVGKGVVWYEMSYEDAIKEQMEREASPKVAEEDDTDEDDDDDDDFDFSILQDSSVDEFPTQPHVNGTESSRISDEGMFED >KGN65807 pep chromosome:ASM407v2:1:18672243:18674950:1 gene:Csa_1G531170 transcript:KGN65807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAPRPPSHLLPSVVAICFLSLTFLLCYKVDDFAAQTKTVAGHNLDPTPWHLFPPKTFSDETRHARAVKIIHCSYLTCRYATNNATKFPFHSAVSAPKCPEFFRWIHHDLDPWARTRISMTQLEESQKFAAFRVVIVEGRLYVDMYYACVQSRAIFTIWGLVQMLRRYPGMVPDVDMMFDCMDKPSINRTENKAMPLPLFRYCTTEAHFDIPFPDWSFWGWPEVNLRSWREEFEDIKKGSKNLSWFNKFPRAYWKGNPDVDSPAREELLKCNHSRMWGAQIMRQDWAQEARDGYEQSKLSNQCNHRYKIYAEGFAWSVSLKYILSCGSMSLIISPQYEDFFSRGLDPLKNYWPIPFTNMCESIKHAVDWGNTHFPEAETIGRQGQKFMESLSMDTVYSYMFHLITEYSKLQDFKPTPPPSALEVCTDSLLCIADEKQMQFLEKSAASVSSVPPCSLNRGGSDIIYSWLQQK >KGN64207 pep chromosome:ASM407v2:1:4726836:4728306:1 gene:Csa_1G043100 transcript:KGN64207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSGGNSGDGAGGGVASHPPQLYFCYTCNRTVTITPSSSSDLLCPNCNDSFVEEMESPNPNPSPVSNPFLSFTSEAFPPFSTGGGGNGGFPIIFSTTSSSGGIGGGGSMMNDLSALLGGGSLRSSSSLQNPDGFNPLLFLQNYLQSANVQLVIQNASGEAFHPPSNFNLGDYFFGPGLEQLIQQLAENDPNRYGTPPASKSAIEGLPDIKITEELLATDSSQCAVCKDTFELDEVAKLMPCKHIYHADCIIPWLELHNSCPVCRYELPTDDPDYEQRTRGSSAPNRSQSESQPFGDSSTGGENVVGSDPNSDENSQTQQMGERRVRRIAFPWPFRGFGSAAETSNSGGGNNSGNNDEPSSRNRGSQGSSEPMQEDLD >KGN65458 pep chromosome:ASM407v2:1:15449781:15450337:1 gene:Csa_1G423160 transcript:KGN65458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEEKNSNELFVLPLDNFPSRNSSMSRSSRFYYRRTTEGVPFQWEMQPGTPKNNPPLTEVILPPISPPPAVLSLGLSKPVRAVQNKQPLFVLFGPWRKQPKPKPKKNPCPLDLNNKNERLRFDSCGSQCELMAESSCKGKSAPAGGSSGHWRLGCGPWRINPIKIGMGRRV >KGN63532 pep chromosome:ASM407v2:1:586303:586775:1 gene:Csa_1G003500 transcript:KGN63532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTPTTATATSAIFVLLSGLCFLVFNSDNLMGRWLPILGLLAVAVVALMAARATMVAWITVLVLLAFAGNRRRVLVKDGRKITADVAMYLASVIVKERGLLAVAFAALFSFLAVLRSTEIYLLSSFSA >KGN63556 pep chromosome:ASM407v2:1:733280:735902:-1 gene:Csa_1G004230 transcript:KGN63556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKANDSVRARLEKLRILRENEIPVQQQKMESFADSFYKSVESISVRSRANALSQGKLGELKARLRETEDELVKALAVKTRKEAKRLTIIDSIATSKSRTEELRNTLQDCKARRDEYATIISQQSLVLSSSERKETQESECRNEIQEAISWYKRVLDFHIEGGYGVKFSFKKINIDNPDEEYSFTIRHANDTYMLLDCNPSLSDIKELIHELNKTNGLFKLVRIMRRRFQEAAAEGAGAPALSLHQGSSVISTSAPVLSSSTEGSESSTEEINIDEVEETNKHSKNILPRKNPAIHSSGSAFSLRRSPRFKVRK >KGN64808 pep chromosome:ASM407v2:1:8788163:8797181:1 gene:Csa_1G108820 transcript:KGN64808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYIGTHGVATLHRYKYSGVDHSYVAKYVLQPFWSRFVNFFPLWMPPNMITLMGFMFLVTSAVLGYIYSPQLDSAPPRWVHFAHGLLLFLYQTFDAVDGKQARRTNSSSPLGELFDHGCDALACAFETLAFGSTAMCGRSSFWFWVLSAVPFYGATWEHFFTNTLVLPVVNGPTEGLMLIYLCHFFTTFVGAGWWTQQFGKSIPIFSWVPIFHDIPTFRVALILLAAFGVIPTVAFNVYNVYKVVQARKGNMLLALAMLYPFVVLVGGVLAWDYLSPSDIIGSYPHLVITGTGLAFGFLVGRMILAHLCDEPKGLKTGMCMSLLFLPLAIANALTARLNDGVPLVDESLVVLGYCLFTGALYLHFATSVIHEITTALGIYCFRITRKEA >KGN64489 pep chromosome:ASM407v2:1:6456061:6457632:-1 gene:Csa_1G059200 transcript:KGN64489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNSSHLLLILLFILSLINPSLQDYYTPQKKNMNVIDSCWRQTSNWAKNRKALADCAVGYGKDAIGGKFGTIYTVTDPSDNPSNPKYGTLRYGVIQDKPLWIVFGKDMVIVLKNELMVNSFKTIDGRGAKVEIAYGPCITVQGVSHVIIHGISIHDCKPGKAGLVRDTVSHIGKRKGSDGDAIAVFGSSHVWIDHCYLARCTDGLIDVIHASTSVTISNNYFSQHDKVMLLGHNDGFTDDKIMRVTIVFNRFGAGLIERMPRVRFGYAHVANNRYDEWKMYAIGGSANPTIFSEGNYFVAPQNSYAKQVTKREVNNGWKNWKWRSSRDVFLNGAYFVPSGWGSCSPIYTKAQSFPVAPGSMVPALTANSGPLRCFIGKAC >KGN65228 pep chromosome:ASM407v2:1:12954742:12956249:-1 gene:Csa_1G267240 transcript:KGN65228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTIINPFFSLTIFLLLHLHSSLGQTAPGMKGIYWFSGSEFPLSDIESSLFTHIYCAFADLNPNTNQVTISASNSENFKSFTRILRLKNPNVKTLLSIGGGGADANAFASMASQPSSRKSFIDSSISLARSNNLSGLDVDWEYPSDQDQINSFKTLCSEWRSAAEKESQSSGKPRLFLSAAVFRSSNYYGTPLPASDLATKLDWINVMCYDFYGPGWSPNFTAPPAALHGSSGRVNCDTGISSWIQSGFPANKIVIGMPFYGWAWRLVSQSKNGLYAPANGAATGTGIDGGAITYKGINEFKKRNGVNGVYNATVVTNYVSSGTTWIGYDDKQSVAAKVGYAKKKGLFGYFAWQVAADDNFSLSRIASTTWSG >KGN63554 pep chromosome:ASM407v2:1:725100:725525:-1 gene:Csa_1G004210 transcript:KGN63554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKN >KGN66486 pep chromosome:ASM407v2:1:24112521:24117512:-1 gene:Csa_1G613580 transcript:KGN66486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSKSETNNQLDSNGAPSTSIYYEPWWRGMGYNTFPLPVVGGNASSSTSLEFPNGGSESNDGQSVSNNDVNDEEDDVSKEVQATGSPHSAGSYRQDPQKMQHVSSTLPAMHGECLAQSTQLELVGHSIACASNPYQDPYYGGMMAFYGHQPLGYPMVGGPHARMPLPIEIAQDPVFVNAKQYQGILRRRQARAKAEAEKKSIKARKPYLHESRHQHAIRRSRSSGGRFAKKSEAEGKEKHSDKVNESDYRLNDGSEQQNGSSLTNKASE >KGN64538 pep chromosome:ASM407v2:1:6742879:6743188:1 gene:Csa_1G063620 transcript:KGN64538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQSSNEEPKSNCTLVHGMNTIGLKHQKEDTDSFQETPEEADTKRFLLKPFGVPILYIHLPFPQASIRFL >KGN63798 pep chromosome:ASM407v2:1:2221849:2222877:1 gene:Csa_1G020890 transcript:KGN63798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVFNSCSNNNHGNDCISFPDHSFLHFPSPFDDDGNPTNSLLLQQQSQHDIFLHHHIPLNEPSPPPPSSTFVNALRSSETINNDVFHQDLVSQRKKSSSKRDRHSKINTLHGPRDRRMRLSLPVAKEFFGLQDMLGVDKASKTVEWLLFQARHAIKKLSKDQQSFHIDGNGDTRSPSSVSDGEVVSGIIDETSTVVNNNDMISTKELEIGRKSTTKKEKRSRVGRKMPFNPLTRECREKARARARARAREKQQQIKGTSTTTKLQDVSKISSPWMSSTQMENNGIDEQLRTRNEGRIIMDHETTDDCLIMGRWSPSNSIYCNSLHNNNNGSPQEVNSKHQQT >KGN65601 pep chromosome:ASM407v2:1:16768701:16771593:-1 gene:Csa_1G467200 transcript:KGN65601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSGTRMPTWKERENNKRRERRRRAIAAKIFLGLRMYGNYKLPKHCDNNEVLKALCDEAGWTVEEDGTTYRKGCKPVERNIMGGSASASPCSSYQPSPRASYNPSPASSSFPSPKSSRYAMNGNDNGTDPNSLIPWLKNLSSGSSSASSRLPHHLFINCGSISAPVTPPSSSPTARTPRKPNDWDNHPAVAPAWAAQRFSCLPTSTPQSPGRQVLADPAWLDSIRIPQSGPSSPTFSLVARNPFGFKEAMSAGVSRNWTPTQSGTCSPTVAAGIDHTSDVPMTDGTATDFAFGSCSIGLVKPWEGERIHEECISDDLELTLGNSSTR >KGN64227 pep chromosome:ASM407v2:1:4842549:4844246:1 gene:Csa_1G043290 transcript:KGN64227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRRYAFGRADEATHPDSIRATLAEFISTFIFVFAGEGSVLALDKIFRPADYGSYGHGSYGHGSYGRGGYSYGHGYGRKGTDTGRAASDLVVIAIAHAFALFSAVAASINISGGHVNPAVTFGALIGGRISLIRAFFYWVAQILGAIIASLLLRLATGGMRPMGFFVSSGVSELHGFLLEIILTFALVYTVYATAIDPKRGSLGTIAPLAIGLIVGANILVGGAFDGACMNPARAFGPSLVGWRWDNHWIYWIGPLIGGGLAALVYEYLVIPVEPPLHTHQPLAPEDY >KGN65110 pep chromosome:ASM407v2:1:11826265:11826572:-1 gene:Csa_1G225400 transcript:KGN65110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKRTQQNQKEKTRQQNPIKIQSIKVPPSSCTEAIEVRSKGIAGEGKKKKELDKKELKNGRMMERNPVGRDEES >KGN65942 pep chromosome:ASM407v2:1:19942560:19943551:-1 gene:Csa_1G540370 transcript:KGN65942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYIYIGGQMTSEVDGKVKPVAIFYTSFLGKANKAVKILKEKFPQLGLKKEECKEASWVESVVIAANDFTVGEPVEALLNRSALIPPITSKKVKIKSDYVKEPMPKVAIEGIWNRVNNSQDIGGINVLFVPYGGRMSEISESEISFSHRAGNLFKIAYLTGWEDPSMDVETRHLNWIREIYSYMAPFVSKSPRSAYVNYRDLDIGSNSDKYGNIVTNHDQASSWGLKYYGNNFNRLVQIKTKVDPYNFFRHEQSIPIALSQEDNLVKLV >KGN64844 pep chromosome:ASM407v2:1:9321020:9327732:-1 gene:Csa_1G126060 transcript:KGN64844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENDRLQKQVSQLVCENGYMHQHLQTTNAPAATTTDAACDSVATTPQHSLRDASSPTGLLSIAKEILVAFLLKAIGTVVDWVQMPGMKVLIYFRMWLIGFAAAVVFLTLVLSSPLFQRFCTDFLLLCCHCWKSSAKMQGFRRINQLVRRQIPDANFKLIRGYPLNGDAGCSCSAPMSMENSFTIPYLFGKSYGSLCGSFLRSFSRSGNQYPEAFKNMSSQMEFVPLRKHASSTFSAFQSSRPHFFQLAETAAPFSSRGIATTSSMESALQGSPAVDSVIPPRIKFKRLDKTSRHIMQILDKEAVEEVKARREIPDIKPGYIVQLKVEVPENKRRISTLKGIVIARRNAGLNSTFRIRRVVAGVGIESLFPLYSPNIKEIKVLEKKKVRRAKLYYLRDKMNALKKQ >KGN65923 pep chromosome:ASM407v2:1:19742915:19744032:1 gene:Csa_1G538210 transcript:KGN65923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPVSQIPSIAAALILLLAALSNARDLRPSEHGLDYQNPDAIHSSSPQMQSFFRGNSWSNSDVALPKAMNTSLPPQWSNRAHRNRSGASAADGDHIRGALLVASLVCGIIGVSLLVASAFIYFFKFRKQSRSSSSSVSVSETTNIIANK >KGN65085 pep chromosome:ASM407v2:1:11551383:11559099:1 gene:Csa_1G201260 transcript:KGN65085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRLSIFGRDFTITLISRRSRHFAGTRYLKRGVNDRGHVANDVETKQIVLDEEAGSCKGKMSSVVQMRGSIPLFWSQEASKFSPKPDIICKNIIVTIFLVRASSLPSVSRPPSAPSSVAVRLLSPSDGHSSRIKAKGNAVFDGVSKWLTIVKDVLEIAQQNENPSCFNFVERYQLSRKAKKRVENIIELINEGNGFNKDNVGYPVPSPDTNSPTLPTDYQIIASRTSIVEEIKEALANPNVDTVGVCGMGGVGKTALLNEVKKLVLEKNLFDRVIQVEVGESKSVFNIQEQIKDELNMELNIECEEVRACRLRTHIAERKENMLFMLDDIWKEHDVEKEFGIPCHSESRKEGFGESSCVEDGHNIQQIAEDVVKECGGLPLALKILGKALKGKRVQIWKDALKSLKNPVTVTISGVSEQLYSCLQFSYDSTEDEAEQVLLLCSVFPDDYKIEVKDLQMYAMGMGLVKHINTWEDAGNRVIKLVDDLKSCYLLQDEQSKKGSDDCVQMHDVVHDFAKYVASKKDKMTSLTYRSGQRLEYWQEEDDDMHESYKAIYADCAKYCGNKIRIPTAFFERMKALRVLSVETMSISFEPSSWASINNLEALYGCQIVELLILIALVKVLHVLKCDDFNPSEFPPNIIESMTQLEELKFDGFKMNELSELNRLTRLFSLELRIQNVEILLNELSVEKAEKLEEFSFCVGNVDVYFTRKERYTPSLGLGINSSIHSIGGVLQIVLQKCEILVVKDSVGFTNLLCNNNHTVPYGNYNWYPRLKELQIYIHNNQNQYLDMPRGIENNPCILIFR >KGN65511 pep chromosome:ASM407v2:1:15862257:15864093:-1 gene:Csa_1G433100 transcript:KGN65511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLPNSFSLFSLFFLFTAVSPAAPTTYHRPLNLILTHPLKETVFLASSSSSPPPPPLFIPSAPPPEEKPVYSPFRPSMAVVVGVLTTTFSITFLLLLYAKHCKRGNAAVVVGYSMRPNTMMGVPSFSTRKNSGIDQTVIESLPIFRFGSLSGQKEGLECAVCLNRFEPTEVLRLLPKCKHAFHVECVDTWLDAHSTCPLCRYRVDPEDVLLVEDVNIFLHDQPPPPPQPPPPPPRESNSKDVVLNLEQGRRSGKAGSGRVSGRHSSVGEKRTGESSYRDPALLRRSLDSKRTETVSVGCFDRHRKDGLLLPEEKTNQNQNQNRLEHRIIVSPKVPVVERWSDVQGSDLLYLRSEMIISDSRRFSVASLPVELKRQRRMGMMGKHAGKGTG >KGN65903 pep chromosome:ASM407v2:1:19563108:19574550:-1 gene:Csa_1G537520 transcript:KGN65903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLSFLTSFAPLHSSETERLAAPPPVLHDGRRDTPRLHFRLRLSSSFSPHQVCLILHSPTSHRLRLSLQNLVPPQTPMATSFKNNYRCVPFLQQFYEGGAFVVSSDASFIACACGDSIKIVDSETAAIRSTVEGGESEVFTALALSPNDKLLFSAGHSRQIRVWDLSTLKCVRSWKGHDGPVMGMACHLSGGLLATAGADRKVLVWDVDGGFCTHYFTGHKGVVSSILFHPDPNKQLLFSGSEDGNVRAWDLMSKKCVATLGHESTVTSIDISEDGWTLLTAGRDKVVHVWNLHNYTCKKTVLTYEVLEAVLVIHSSSDFASCVGSFSKKREETSASSEIYFITVGERGVVRLWSSESAVCLFEQKSSDVSTKMDEGNRGFTAAVLLPSNRGLLCVTADQQFIFYSPVKTLKDEMSLIISRRLIGYNEEIVDMKFLGDDEQFLAVATNVEHIRVYDIASMSCSYILAGHTEIVLCLDSCVSSSGCTLIVTGSKDNNVRLWDVESKTCIGVGVGHMGAVGAVAFSKKRRDFFVSGSSDRTLKVWSFDGLAEDESRPVNLKAKAIVAAHDKDINSIAVAPNDSLVCSGSQDRTACVWRLPDLVSVVVLRGHKRGIWSVEFSPVDQCVVTASGDKTIKIWAISDGSCLKTFEGHMSSVLRASFLTRGTQLVSCGADGSVMLFTVKTEERIAVYDQHEDKVWALAVGKKTEMLATGGSDGAVNLWYDSTALDKEEALRKEEEGVLKGQELENAVSDADYTKAIQIAFELRRPHRLYVLFSELCSKNDSENHVGKSLSALGKEEFRLLFEYLREWNTKPKLCHVAQFVLFRAFSILPPTEISEVKGIGELLEGLIPYSQRHFSRIDRLVRSSFLLDYTLTGMSVIQPENDANDKPPVDIVMKTKTTDIKLLDEHREPENNTLSKKRKSRSKTSSKKKAKGVAYTEVAAVPLAS >KGN63961 pep chromosome:ASM407v2:1:3376292:3381838:1 gene:Csa_1G031820 transcript:KGN63961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSTSSSDELKKKDMEHRKKKNNNNNRKKGALGWVEWLRGWMYVIHEMLFQRIMASHLQNPMPLPPVNDLTCIVTGSTSGIGREIARQLAESGAHVVMAVRNPKAALDLIQKWQNEWSGMGLPLNIEVMQLDLLSLDSVVRFAEAYNARMGPLHVLINNAGIFSIGEPQKFSKDGYEEHLQVNHLAPALLSILLLPSLIRGSPSRIINVNSVMHYVGFVDTEDMNLVSSKRKFTSLVGYSSSKLAQVMFSSVLHKKLPVESGINVACVSPGIVHTNVARDLSKFVQAAYGLIPYFIFSPQEGSRSTLFAATDPQVPEYCELLKADNWPVCAFLSQDCRPTNPSEEAHNVETSYQVWEKTLEMVGLPSDVVERVLDGEQVACRYGADSAD >KGN63469 pep chromosome:ASM407v2:1:219239:221361:-1 gene:Csa_1G001410 transcript:KGN63469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKFWTLATQLHTLSGPVLMLLYPLYASVVAIESTSKLDDEQWLAYWIIYSFLTLMEMVLQPVLEWIPIWYSVKLVFVAWLVLPQFKGAAFLYERFARPHIKKYGVGGNSADAKPKNH >KGN65617 pep chromosome:ASM407v2:1:16934752:16935084:1 gene:Csa_1G470330 transcript:KGN65617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLEDTGCAQLRFMHEDKRRRTTLQLQLQRNDERHGTRCVWVVVDCKETCATTASQTEKWMRSETSRRWLRFTIEDQPRSKENGRRLQLDFDRTRTARDTTARDAAVEGE >KGN63593 pep chromosome:ASM407v2:1:1025094:1029470:1 gene:Csa_1G005540 transcript:KGN63593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDANGVLSFSDDLVFKQKKDEVLINNNGCSDHVQVSEVMEDKKDKEEEEEDNSIIINGDDPLNYPTIPGWFSEHCPQWPGQAHFLKVDKVLFQGNSAYQSMLVFQTSAYGKVFVLDGALQLTEKDECAYQEMITHLPLCSIPNPKKVLVIGGGDGGILREISRHSSIEHIDICEIDTMLIDVYKKYFPEIAVGYKDYRVNLHIIDGNVFLSSVPPGSYDAIIVDAFDPIKPDDEVMRSKLFEKVAKALKEGGVLCIQAESLWFHSLDIQILISKCKQVFKGSVQYAWTIVPAYPSGVIGFLLCSTQGPKDVDFKNPINPIDSNTNFGVATQPLKFYNSEVHSAAFCLPSFAKKAKGLRSNN >KGN64872 pep chromosome:ASM407v2:1:9574475:9576800:1 gene:Csa_1G137780 transcript:KGN64872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYSLGALKLLCRQLKDARGTPSHPTANLGGILFQRAWLQGILVSVDKENGKLILDDATGTVELSLSRDFLLRPWSLGMYVMVVGAFLFRTNELPFIVVHKIIDLSKSPNREAMWYLEVMEAYKLFYEPLVEDFL >KGN66367 pep chromosome:ASM407v2:1:23082772:23088615:1 gene:Csa_1G599580 transcript:KGN66367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQLPLKSLEPFAISSSSSSSFSIFALRRGAIAPFSYLLFSSIHHLPSLRLSIRSTSTVVRAMASHIVGYPRIGPKRELKFALESFWDGKSSADDLQKVAADLRSSIWKQMAEAGIKFIPSNTFSYYDQVLDTATMLGAVPSRYGWNGGEIGFDIYFSMARGNASVPAMEMTKWFDTNYHYIVPELSPEDKFTYASHKAVNEFKEAKALGVETVPVLVGPVSFLLLSKPAKGVEKSFSLLSLIDKILPVYKEVVSELKAAGATWIQFDEPTLVKDLDAHHLQAFTQAYSELESTLSGLNVLIETYFADVPAEAYKTLTSLKGVSGYGFDLVRGTQTVDLIKGGFPSGKYLFAGVVDGRNIWSNDLQASITTLEALENVVGKEKIVVSTSCSLLHTAVDLVNETKLDDEIKSWLAFAAQKVVEVNALAKALSGHKDEAYFSSNAAAQASRKSSPRVTNEAVQKAAAALKGSDHRRSTNVSARLDAQQKKLNLPMLPTTTIGSFPQTMDLRRVRREFKAKKISEEDYVSSIKEEINKVVQLQEELDIDVLVHGEPERNDMVEYFGEQLSGFAFTVNGWVQSYGSRCVKPPIIYGDVSRPKPMTVFWSTMAQSMTKRPMKGMLTGPVTILNWSFVRNDQPRFETCYQIALAIKDEVEDLEKAGITVIQIDEAALREGLPLRKSEENFYLNWAVHSFRITNCGVQDTTQIHTHMCYSNFNDIIHSIIDMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPSTEEIADRINKMLAVLESNILWVNPDCGLKTRKYTEVKPALLNMVAAAKLLRSQLASAK >KGN63471 pep chromosome:ASM407v2:1:227069:229281:-1 gene:Csa_1G001430 transcript:KGN63471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEDRKPEDTKLEEPPKAAPDQEKTEGESEKKESKDVIEEKPLPKPPQDIVLSVFMHCEGCARKVRRCLRGFEGVESVETDCRTHKVVVKGEKADPVKVLNRLQRKSHRRVELISPIPEPEPIAPVPEPVEKLKTEDPKPQPQIIVTVVLKVHMHCEACAQEIKRRIHRMKGVESVDPDLKSSQVSVKGAFDPAALVAYVHRRTGKHAAIVKQEPEVTPENNESEVVAVKEAEEEKKADAGDGVESEKKVEEESVVEEKPAAAPPGDGEAEEAAPGDAGQAAAEEGPKMVEVKKNEYHYYPQRYIMEMYPYAPPVIGDTSYPPPQMAVETYPPPVMMGHAYPPQMFSDENPNACSIM >KGN64139 pep chromosome:ASM407v2:1:4346663:4346956:1 gene:Csa_1G042505 transcript:KGN64139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRLTDVHNNILPRIDFNRSGFVVFTTEAEFSATAKGLAIIPPKWIGDVNQFTAVVIHTASFEFIEGIIGETEMKMKQKDCEEKEDRAGNFHFRTGL >KGN63775 pep chromosome:ASM407v2:1:2094607:2097754:-1 gene:Csa_1G015690 transcript:KGN63775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTNSTPILKDDLDIVIPTIRNLDFLEMWRPFFQPYHLIIVQDGDPSKTIRVPDGFDYELYNRNDINRILGSKASCISFKDSACRCFGYLISKKKYIFTIDDDCFVAKDPSGKEINALEQHIKNILTPSTPFFFNTLYDPYREGADFVRGYPFSLREGVPTAVSHGLWLNIPDYDAPTQLVKPMERNTRYVDAVLTVPKATLFPMCGMNLAFNRDLIGPAMYFGLMGDGQPIGRYDDMWAGWCMKVICDHLGFGVKTGLPYIWHSKASNPFTNLRKEYKGIFWQEQIVPFFQTVTLPKDCNTVQKCYIELSKLVREKLSSVDEYFIKLADAMLTWIEAWDELNPSEEATELPKFVSK >KGN66065 pep chromosome:ASM407v2:1:20963576:20966973:-1 gene:Csa_1G569420 transcript:KGN66065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKPSISLKLTIFLSLSLSVTPFALFPNYNKPPPIPKATPSDLLNLLGSKSQASSVNPGVAKELKSCFKFLVPFHPTPSNAKLSGRRSLRSTGFDDRSWREEDELVWWPPQSVLELARLGVDSGGDPGAIHRTLDPAIIPIPDIHGSQRHKCELTRTPYGRRFISEELNSYLQFLFEFIAARSSAMGFNIKLNRFDLFHGHLFLAFDNNRLGILFHAKEYPAYEKETFPCNMGYCQIGSNVSYDDSMNLRNILWLAPMPSSSTKDWEAPGVLVVLDAHPDGIIYRDIIPDYVHIARTVYEDDLGDTVVDVNYLDIGNALANYQIFIC >KGN65531 pep chromosome:ASM407v2:1:16018691:16020709:-1 gene:Csa_1G435760 transcript:KGN65531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFSSTSTSPHFVLDQKGLGFPIKPINIVKKKPNQRKEVISSALKVNSPFVFHFPKQPNKGPRITEHYTFKSRHPSHWNLLQKVASTAFDILEQAFLNNHNRNLPKNFDPHFQIVGNYAPVPEQPVSHSLPVTGVIPHWINGVYLRNGANPFFQPLSGHHLFDGDGMIHAVTIGEGRASYACRFTQTERLVQEKSIGRPVFPKAVGELHGHSGIARLLLFYARGLFGLIDHRRGTGVANAGLVYFNGRLLAMSEDDLPYQVRITPSGDLITIGRYNFEGQLNSPMVAHPKIDPDSGELFALSYNFTHKPYLKHFRLTPDGKMSPEIDIPLEIPTMIHDFAITEKFVVIPDQQVVFNLQKMLSGGSPVVYDEKKNPRFGFLPKNATDSSNLTWVDSPADTFCFHLWNAWEETESDDIVVIASCMTPPDSIFNERDEEFKAVLTEIRFNLRTGKSSSRRIISESEHVNLEVGVVNRKRVGRKTQYVYLAITDPWPKACGFAKVDVSSGEVKKYIYGDERYGGEPYFLGREMGFGCEEEDDGHIMVFVHDEKKWRSELQVVNATDLKLEACVELSSRVPYGFHGTFVHANDLIHQA >KGN64141 pep chromosome:ASM407v2:1:4351490:4352904:-1 gene:Csa_1G042520 transcript:KGN64141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQDTKWKKTVIGVCSGIGGVLLMGVASFIWFCLHKKKLARSYTPSSFLLRNNSSEPSTKELEKGENDMGLPLFSYEELEKATDRFNPAKELGDGGFGTVYYGKLSDGREVAVKRLFENNYRRVEHFMNEVEVLTRLRHPHLVTLYGCTSRICRELLLVYEFIPNGTVADHLHGNRAKPGELPWHTRLKIAIDTASALAFLHASETIHRDVKTTNILLDNNYNVKVADFGLSRLFPTQATHISTAPQGTPGYVDPEYHECYQLTNKSDVFSFGVVLVELISSKPAVDITRHRHEINLWTMAINKIRNDELHDFVDASLGFETDETVREMICAVAELAFRCLQSVKDTRPSMLEALEILKNIESRSSGKGKEEDRDISHEDDVLLKDGLVPESPDSVVVPWMSKSSTPNGSS >KGN64735 pep chromosome:ASM407v2:1:8065823:8067373:-1 gene:Csa_1G084830 transcript:KGN64735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNRICVDTCMEVDSKEEEEVPHLLDLNSRGRVNDGHHLGSSDSLFPGLIDDVALNCLAWTCQSDYTALSCLNSRFNKLVRNGDLYEWRKHLGIKEHWVYLVCDLKGWEAFDPLRKVWMTLPKMPCDECFNHADKESLAVGTELLVFGREMFDFAIWKYNSTCNSWAKCQGMNRPRCLFGSGSLGSIAIVAGGSDMNGNVLDSAELYDSSLGTWEMLPKMTTPRRLCSGFFMDGKFFVIGGMSSSTVSLTCGEEYNFQTRKWRKIEGMYPYVNRAAQAPPLVAVVDNQLYAVEYLTNLVKRYDKIKNTWNVLGRLPLRADSSNGWGLAFKACGEELLVIGGQKGPNGEAIVLNACSPKFGMRNGGLDWKFLGVKEHVGVFVYNCAVMGCL >KGN65846 pep chromosome:ASM407v2:1:18976692:18977161:-1 gene:Csa_1G533530 transcript:KGN65846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDPRGSSSVEGAMRRKGISSAMVCTIEGLVSFLGSTHIVEDWKIGCRVKRTKVGTETLIVKEEISEIAQRFMDLSSSKGKQMRDRAKNLKDICHRATWPGGSSRHNLDGFIWSISKDDTK >KGN66560 pep chromosome:ASM407v2:1:24960746:24968101:1 gene:Csa_1G629170 transcript:KGN66560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSKIGFGFIFYDSYFTPDTYANKHSAQAARLAAGLCADLASEIVSGRAKNGFALVRPPGHHAGVKQAMGFCLHNNAAVSALAAQAAGAKKVLIVDWDVHHGNGTQEIFEQNKSVLYISLHRHEFGRFYPGTGAADEVGTMGAEGYCVNVPWSRSGVGDNDYIFAFKQVVLPIASAFAPDLTIISAGFDAARGDPLGMCDVTPTGYAQMTHLLNTVSGGKLLVILEGGYNLRSISSSATAVIKVLLGESPECDLDDHLPSSAGMKTVLDVLAIQKNFWPVLESSFSKLQSMLEIFATERRGKVKPCKQRRRAVVAPICWRWGRKRLLYHLLKGQIRVRSKGC >KGN66081 pep chromosome:ASM407v2:1:21036358:21039444:-1 gene:Csa_1G570060 transcript:KGN66081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTITEEPDEPVPKPSKPQPNKSTSSSSKPPSSSATATLKTPPSPTPNPFTFWFYFTLIVSIITYFFISLPSLSPPDPKSWFLSLPNSLRHHYSKGRLLKVQISGNLSPIEVFAVENGAKGNENVVIVHGLGLSSYSFRKVLDSLGSKGVRALAFDLPGNGFSDKSTAEIDESSNGVLGRLLDVYNLIHEKGIFWAFDQIIETGQIPYEEIQKHVPKRKILKPIGLGPEDIGSILGQIIDTIGLAPVHLVLHDSALLMAGYWVAENSGFVRSLTLIDTLSKPSLPLWLLELPVVREVILGSNFVYSRLINLCCSKGNDALLDVEAHRVLLKGLGGRRAVVSMGKKLNDSFDIGEWGGLDDLKSVPMQVIWSNGWSNEWSTKGRRVAEVLPQASFVEHSGGRWAQEDVADVVADSISQFISSLPPTVRKTAEEPTPEHIHEAFDESMNSDHHHHHHHSHGIPAGYMEGYGLGSHAW >KGN65512 pep chromosome:ASM407v2:1:15864142:15866436:-1 gene:Csa_1G433110 transcript:KGN65512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPDTLTPANDSDGISDGLREHLLVVNEGSENGGVGDHVKIRIRNLTNQILRGISVDIPKGKIVGIIGPSGGGKSTTLRALNRLWEPPAGSVFLDGQDIVNLDVLGLRRKVGMLFQIPVLFEGTVADNIRYGPQLRGKKLSDDEVHKLLSLADLDSSFFSKIGSELSVGQAQRVALARTLANAPEVLLLDEPTSALDPISTENIEDVLVRLKTRWGLTIVMVSHSIKQIQRIADIVCLLVNGEIVEILPPNKLSEAKHPMALKFLELSS >KGN66595 pep chromosome:ASM407v2:1:25462664:25463470:-1 gene:Csa_1G637440 transcript:KGN66595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALMGKTQQLLNIDTIQVEYKRKQLNVTNAMTTEATVGLEKSWRGMIRNFGAIWYIPNVVEGALKLKMMVASGYNNNKWISTKYGIPADWKNGNIYDTGIQIKDHILENCPPNKCGDKPWK >KGN63844 pep chromosome:ASM407v2:1:2499599:2503360:1 gene:Csa_1G024275 transcript:KGN63844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSVKLTPINISKAATHPTFINLLKHSKIMLLPLVLVMMTMISCLEAVGTNNNNNLMNMVIKTQTFLSPSFTMTPGLVIEKFFYNINFPKSHIAIKSFHVEVVDESGNQIPLSQTYLHHWVLVRYYQHKNATNPTINTSYNELQEPNFIIASNSGVCERNILPAYYAMGSESRKLSTFLPHPYGIEVGNPKEIPTDYEERWSLNVHAIDTRGAENKLGCIECHCHLYNITKDRSGRPLTEDYKGGLRCCYDKTKCRVNALSDGEDFPERNLFVRYRVKWVDWNDFVIPVKIYLFDVTDTPKPLSDSTEASQQHHCLIEYDVEAEFCSLKNKLDDDKCNAVKKSKVMFPSSGYLIYGVAHQHIGATGATFYGQDGRVLCSSSPIHGKENEEGYVIGMTTCYPKPGSIKINKGEMVTFVSNYSSTLTHRGVMGIFHIIVADRIFKPSSTLSEEVGNNNTIVML >KGN66726 pep chromosome:ASM407v2:1:26931385:26939153:1 gene:Csa_1G665380 transcript:KGN66726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAVALSSSTATPTTKLWPPSTSQPPRFLLPIIPSNPSSNTNLLTSSPSHKWRLRISFFPAFLNKGKGNNVTALKQELLQAIEPLDRGAEATPEDQEMVDQISRKLEAVNPTKEPLKSDLLNGKWELIYTTSRSILQTERPKFLRSKLNYQGINVDSLRAQNMESWPFFNQVTADLKPLNSRKVAVQFDTFKILGLIPVKAPGRARGELEITYLDEELRISRGDKGNLFILKMIDPSYRVPV >KGN63680 pep chromosome:ASM407v2:1:1509476:1511825:1 gene:Csa_1G009840 transcript:KGN63680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKTGLFDLEKQFAFYGAYHSNPMNIFIHVLFVWPIFFTSLMYLYFTPSFYTIPKSPCGFDHGLVLNFGFLFTLMYAAYYVVFDKRAGSMAALLCFVCWVGASFIANKLGYSQTWKVVLAAQLFCWTNQFIGHGVFEKRAPALLDNLAQAFLMAPFFVVLEVLQSLFKYEPYPGFSASVQAKIKADIREWKETKEKLS >KGN64450 pep chromosome:ASM407v2:1:6194140:6197206:1 gene:Csa_1G051870 transcript:KGN64450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGAYSSNSNCSSIEREALISFKQGLLDPSARLSSWVGHNCCQWHGITCDLVSGKVTKIDLHNSLSSTISPTFMYGWNVLQPWKVYKDFVQEFQKTCLWGKISSSLLELKHLNSLDLSLNNFEGAPIPYFFGMLASLRYLNLSFANFSGQIPIYLGNLSNLNYLDLSTNWNQEYFFKWNNLHVENLQWISGLSSLQYLNLGGVNFSRVQASNWMHAVNGLSSLLELHLSHCDISSFDTSAAFLNLTSLRVLDLSRNWINSSIPLWLSNLTSISTLYLRYNYFRGIMPHDFVKLKNLQHLDLSFNFVGDHPPSFPKNPCKLRLLNLAVNSFQVKLEEFMDSFSNCTRNSLESLDLSRNRFVGEIPNSLGTFENLRTLNLFGNQLWGSLPNSIGNLILLKYLDISYNSLNGTIPLSFGQLSNLVEFRNYQNSWKNITITETHLVNLTKLEMFTFKTKNKQGFVFNISCDWIPPFKLKVLYLENCLIGPQFPIWLQTQTQLVDITLTDVGISGSIPYEWISNICSQVTTLDLSNNLLNMSLSDIFIISDQTNFVGESQKLLNDSIPILYPNLIYLNLRNNKLWGPIPSTINDSMPNLFELDLSKNYLINGAIPSSIKIMNHLGILLMSDNQLSGELSDDWSKLKSLLVIDLANNNLYGKIPATIGLSTSLNILKLRNNNLHGEIPESLQTCSLLTSIDLSGNRFLNGNLPSWIGEAVSELRLLNLRSNNFSGTIPRQWCNLPFLRILDLSNNRLSGELPNCLYNWTALVKGYGDTIGLGYYHDRIFYFRVVDRVNYNILQTIAFLTIGLRRMIIWRRRSY >KGN65893 pep chromosome:ASM407v2:1:19424611:19425954:1 gene:Csa_1G537430 transcript:KGN65893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSRSLRSHSLANPPPLAEKITEEPITSKTAQSTVTCFYQANIAGFWRNVTVLWCKNLMNHTLTITIQSLQGDFHCNYKIDVKPWHFWSKKGFKSIDLDGSQIDLYWDVRSAKFSTGPEPYSDFYVALVSDEEVVLLLGDYKKKAYKRTKSRPALLDAILIYKKENVFAKKCFSTRAKFDDRRKECDIVVEISTSGAKDPEMWISIDGIVLVQVKNLQWKFRGNQTVLVNKQSVQVLWDVHDWLFTNPGTGHGLFIFKPGPPESESDKEGSGGGGGGGAFEPSDDGSIYYSTRSMNSSHHTEFCLFLYAWKLE >KGN65502 pep chromosome:ASM407v2:1:15811949:15814205:1 gene:Csa_1G427520 transcript:KGN65502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATVSMRKILTASAAMVCAVAIASLCGASSSPESFIKNTIASHQIVIFSKSYCPYCRRAKAVFKELHKVPHVVELDQRDDGSSLQNALSVLFGRRTVPQVFIDGKHIGGSDDTLEAYESGELRKLLGIKEDHKADL >KGN64616 pep chromosome:ASM407v2:1:7194687:7196521:1 gene:Csa_1G071790 transcript:KGN64616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPKNIFPFSYLLFLLLLSFTCFSPTFCLANDTITSEIFIKDPASLISSSSSFQLGFFTPPNSTTRYVGIWYINIPSHTIVWVANRENPLKDASGIFTISMDGNLVVLDGDHTVLWSSNVSASSKTNTSARILDSGNLVLEDNASGNILWESFKHPSDKFLPTMKFITNTRTKEMIKLTSWNTSSNPSTGNFSVALEVVSIPEAVIWNNNDNVHWRSGPWNGQSFIGIPEMDSVYLSGFNLVIQNQEYTFSVPQNYSVEEFGFLFLTSQGNFVQLYWNPQERDWNFNWIAIKTECDYYGTCGAFGICDPKASPICSCLKGFKPKNENEWNQGNWGAGCVRRTPFKCINNSAEGDGFLTVERVKLPYFVQWSDLGFTEDDCKQECLNNCSCNAYAYENGIRCMLWSKSDLIDIQKFESGGATLYIRLPYAELDNTNNGKDKKWISVAIAVPVTFVILIIIVISFWWKYTTRRKKLKTTSDDEGKGILDLPKEDDMNNMIEDDIKHEDLPSYGYEELAIATNNFDTNNKLGKGGFGSVYKVRRDAFIY >KGN66403 pep chromosome:ASM407v2:1:23302261:23312586:-1 gene:Csa_1G600930 transcript:KGN66403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTIPLILMGCGGVGRQLLHHIVSCRPLHAKQGVHLRVIGVGDSRSLVVASDVLTTELNDKILLETCRIKLGGGSLSDLSNFGERKLFLNTEATKKVIDIATLLGKSTGLTCVDCSASSDTVNLLKQVVDLGCCIVLANKKPLTSTIEDFNKLIMHPRRIRHESTVGAGLPVIASLTRILSSGDPVHHIIGSLSGTLGYIMSEVEEGKPLSQVVKVAKSLGYTEPDPRDDLSGMDVARKALILARLLGQQINLSDIKVESLFPKEMGPDVMPINDFLDSGVLALDSDIQERVTKASSRGNVLRYACVIESSSSRCEVGLQEFPKDSPLGRLKGSDNVLVVYSRCYNERPLVIQGAGAGNDTTAAGVLADILDLQDLF >KGN66209 pep chromosome:ASM407v2:1:21917697:21919871:-1 gene:Csa_1G580240 transcript:KGN66209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKLAQLQSKATQASQFVLKNGCSYYKQLLEQNKQFIQEPPTVEKCNELSKQLLYTRLASIPGRYEAFHKELDYVKQLWKNRQDLKVEDAGIAALFGLECFAWFCAGEIVGRGFTFTGYYV >KGN65523 pep chromosome:ASM407v2:1:15960589:15962510:1 gene:Csa_1G434690 transcript:KGN65523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTLTLRKKVQRKAKIRGLYSIKLEALDEIVSFVSRSHGFEDEAIEFVLDNLHEESLKSPILDKDAVHRVISIMVAADKVGEESPNTITSTSALCIINAFDIPKFRYDPIKKIFLHTENLPIHGDASAKAALYRDRFLLLSQRLSRDQHFSKPAFDIGMSHFGSCEISPIQSLVGQTGRKWVMGVISQMEDGHFYLEDLTASVEINLSSAISFTGNFYDVEKSELV >KGN63478 pep chromosome:ASM407v2:1:259800:261917:-1 gene:Csa_1G001500 transcript:KGN63478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKSTNVLALPQTTQELAMEGIKHLEETIESAFQILYSMNDELCNPTLWSTTSSTATTATSGLTIASPSGPSSHSANGVVNGDASSESTSHHTDSSGGGGSGSGGALEEARVRYKNSVLALRAILAAIPNSQKAKAYESGTSGHASPADQSEIDKLEQHLSNLRKELVVKNLHIKLLIDQLRDLVADVSTWQSPCSV >KGN66381 pep chromosome:ASM407v2:1:23150286:23156204:-1 gene:Csa_1G600210 transcript:KGN66381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLCSQGCDIEKDALVLKAGDKIGSSEIGLLATVGVMTVKVYPTPVVAVLSTGDELVEPQTECLGRGQIRDSNRAMLLAAAVQHQCKIIDLGIARDDEGELEKILENAFSAGANILLTSGGVSMGDRDYVKPLLAKKGVVYFNAVFMRPGKPVTFVEIKPENTEKKESNQILAFGLPGNPVSSLVCFQLFVVPAIRRLGGWENPHLLRVRVRLSEPIKSDPIRPLFHCAIIKWKDNDGSGNPGFSAESTGHQVSSRLLNLKSANALLELPPTGNPIPAGTSVSAIVISDISSIADYANSLSFDSTVFLKSNISKNISSQVQDIVSKVAILTVSDTVASGAGPDRSGPRAVSIVQASSEKLGGVSVVATAVVSDDVSKIQDVLVKWCDIDKVDLILTLGGTGFSPRDVTPEATKPLLHKETPGLLYVMMQESLKVTPFAVLSRSAAGIRGSTLIINMPGNPNAAAECMEALLPSLKHALKQMKGDKREKHPRHVPHAEATPTNIWDQSYKLASEGISETGCSCSH >KGN63817 pep chromosome:ASM407v2:1:2339377:2341639:1 gene:Csa_1G023050 transcript:KGN63817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSTAMTGGRTARVCDSCLCKRARWFCAADDAFLCQSCDVSVHSANQLARRHDRIRLETSSFNSTDHLPPTPWLKGFTRKARTPRSNNNNNKISSSKASVFSIVPEIGNDNELGFSIDENDDEHHQFLGHQQEVPVFDPLFDDQKLLLTDELEDFGDGFLPSEVDLAEFVADVENLLGRQDDEEQQQQDHDGNTNIIVKVKDEDLVQDCINKNNNHNGYLMDWDFKEEIEEEEEEELKIKNKKNIISLRLNYDAVITAWDAQSSPYTTGNRPQFDLDDCWEEWSGVCSKGGRNVVVDDQEWRGRNNNGISNEEREARVSRYREKRRTRLFSKKIRYQVRKLNAEKRPRMKGRFVKRTTT >KGN64813 pep chromosome:ASM407v2:1:8841584:8842167:1 gene:Csa_1G109360 transcript:KGN64813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDELEILLISSSVSYSPIQRLFNRKLSHSHGIRDLKEKKFPALLHRHNYQSSIRRALPDSCPHRRCSALAPLQAPSTDAKPAAASNCKRPKIRSLARAVHRSARIHVVKTPKKIESRTPYFEQP >KGN63621 pep chromosome:ASM407v2:1:1183553:1184991:1 gene:Csa_1G006310 transcript:KGN63621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENRNGAQEASKGKANPGKATILALGKAFPPQLVLQDYLVDGYFKDTSCDDIDLKQKLTRLCKTTTVKTRYVVMSEEILKKYPELAKEGQPTIKQRLEICNKAVTEMAIEASQAAINNWGRPASDITHLVYVSSSEARLPGGDLFLARGLGLSPQTQRVMLYFTGCSGGVAGLRVAKDLAENNPGSRVLLVTSETTIIGFKPPSVNRPYDLVGVALFGDGAGAMVIGRDPFLGIERPLFELHTTTQKFIPDTQNIIDGKLSEEGISFTITRELPQIIEDNIESFCETFLQTLGLHEKEYNKIFWAVHPGGPAILNRLEKRLELLPEKLTASRRALMDYGNASSNTIVYVLEYMMEESLKMKMEGRKIEEWGLILAFGPGISFEGILARNLAV >KGN65275 pep chromosome:ASM407v2:1:13331797:13332219:1 gene:Csa_1G294060 transcript:KGN65275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKMVHVSGGIGKKRRIKRSKKKYIHKVIDYLLSDCYLFAPLLISPSPIDNSHVTPTRGIEIRGRTKDSRTLVKKFQDYLQSDCYMYASLVTPKSPRLRGIYTSKFGTIIPNILL >KGN66526 pep chromosome:ASM407v2:1:24558093:24559130:-1 gene:Csa_1G618890 transcript:KGN66526 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MEVAFIYVTQLIYQNFPVAITSKTFIIFLFISFTSFFFFQRYNHIDRDSNLQPPLPPGPKPWPLVGCLPAMLSRNNSSTHEWIHSIMKQFNVEIASIRLRNTYIIPVTSPELALEFLKTYDSVFGSRSSVSKDVDMLTSGSVSAILSPTGSQWSKMKRILTSKILNPSTLHQMLGQRTAEADALLHYIFNQTRKNGGGAVINVRSITQHYCGNIVRRMVFNRRYYGKGREDGGPTFEEEEHNQALLTIVRHVNSFSISDFMPWLKPFDLDGHQKILKNALNVLGKYDDRIIKERVQRWKNDKKIKGVQDILDILISLEDDNGNSSLSIEEIKTQITVSVLQFCIR >KGN64276 pep chromosome:ASM407v2:1:5107551:5113606:-1 gene:Csa_1G045740 transcript:KGN64276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSPYFSVPNPLRTPSTFQKRYLECQQLPFLSKLSNFSVRRRFFSDDWRLSSDVGKVRAKAKDLVLGNPSVIVEKGKYSYDVETLINKLSSLPPRGSIARCLDIFKNRLSLNDFSLVFKEFAARGDWQRSLRLFKYMQRQIWCKPNEHIYTIIISLLGREGLLEKCSEIFDEMASQGVIRSVFSYTALINAYGRNGQYETSLELLERMKRERVSPNILTYNTVINACARGDLDWEGLLGLFAEMRHEGVQPDLVTYNTLLSACAARGLGDEAEMVFKTMIEGGIVPEITTYSYIVETFGKLGKLEKVAMLLKEMESEGYLPDISSYNVLIEAHAKLGSIKEAMDVFKQMQAAGCVPNASTYSILLNLYGKHGRYDDVRELFLQMKESSAEPDATTYNILIRVFGEGGYFKEVVTLFHDLVDENIDPNMETYEGLVFACGKGGLHEDAKKILFHMNGKGIVPSSKAYSGLIEAYGQAALYDEALVAFNTMNEVGSKSTIDTYNSLIHTFARGGLYKEFEAILSRMREYGISRNAKSFSGIIEGYRQSGQYEEAIKAFVEMEKMRCELDEQTLEGVLGVYCFAGLVDESKEQFIEIKASGILPSVLCYCMMLAVYAKNGRWDDASELLDEMIKTRVSSIHQVIGQMIKGDYDDDSNWQMVEYVFDKLNAEGCGFGMRFYNTLLEALWWLGQKGRAARVLTEATKRGLFPELFRQSKLVWSVDVHRMWEGGAYTAVSLWVNKMNEMLMDGEDLPQLAAVVVGGSLEKDSTARNLPIARAVYSFLQDNVSSSFSFPGWNNSRIICQQSQLKQLLTASSSEIIALNNSPFNLPEAKISRSGINNDKYKDVDSKSSNRTGTELLTTTV >KGN65721 pep chromosome:ASM407v2:1:18072568:18073119:-1 gene:Csa_1G515990 transcript:KGN65721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSPTAVSPTTMPPPPPPPDELPVDVLSTLDLDFLNFAGLSALALMFLYFVFTCCERKYWNRNGDIEGGRLPTVTMDESPASETEDSPRRRPSSRAVISTRLFQYGVGGGVIGKNADCSICLDEFTEGEICRMLPKCKHVFHRFCIDRWLPNERNCPVCRSPVYVRLVYSFPS >KGN65331 pep chromosome:ASM407v2:1:13893033:13899184:-1 gene:Csa_1G334910 transcript:KGN65331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFILFHVQKQNQSIVRNTVESYMNTDPTIAAGLLRLHFHDCFVQGCDGSVLISGNLAERNALPNLGLRGFEVIEDAKSELEAECPGIVSCADILALAARDAVDLSKGPSWSVPTGRRDGRVSSKSEAQNLPSPLESIAVHKKKFAEKGLDEQDLLTLLELKELCPNDGDATKRVALDKDSQFKFDLSFFNNIKDGNGVLESDQRLWNDDSTRSIIQKYISPLRGLLGLRFDYNFRKSMIKMSSIEVKTGMQVEIRRKCSRFN >KGN65142 pep chromosome:ASM407v2:1:12240977:12242157:1 gene:Csa_1G240590 transcript:KGN65142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPNSGNNDIVAPFVLKTYQMVNDPLTDPFISWGSANNSFIVLDPLHFSHTLLPAFFKHNNFSSFVRQLNTYGFRKVDPDRWEFANEWFLRGQFQLLKNIVRRKQSCNKYLVGDQMGDEELVTEIGRLRKEQRALEEQLEGMKKRLETTEKRPQQMMAFLHKVVEDPEILPRIMLHKDPTRLQFAEKKRRLMISSSSSSPSTTTLTEGDEIEEDSNNQQDFGPNLSSPEVCGPWFIGEAEGNLGIPIPTVQAIDIGISSNGGERKYLTEMTVEETYPPPPPYPFSLFGCGF >KGN64493 pep chromosome:ASM407v2:1:6484537:6486419:-1 gene:Csa_1G059720 transcript:KGN64493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLDQCTISAPESTIHLATPTSHQHLTKAATQPISLQTRKKKAGIATPKDGSSHHKFSDSPNHSPSPVKTADHLLQNQLHHLSIHEVNDVVANNVHQSRSIHKSVSSDTIIEQHNSESNSPTMLLKIYELIASHRKGNTSIKSYFRNLKALWNEAAASSINLNSPQSSSNNAIEERSEFMEREKLMQFLLGLNDSYSLLCSQILAVRPSPTVDQAYSLIVGEQKTRKSKMTKKNTM >KGN66900 pep chromosome:ASM407v2:1:28844835:28866553:1 gene:Csa_1G707650 transcript:KGN66900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKDAEEVLDVQSVVEAVVANEDAADVPSYEVESLCMRCGENGITRFLLTLIPHFRKILLSAFECPHCGERNNEVQFAGEIQPRGCCYCLEVHAGDQKMLNRQVVKSESAIIKIPELDFEIPAEAQRGTLSTVEGILVRAVDGLEALQEERRKVDPQTAEAIDHFLLKLKACAKGDSSFSFILDDPAGNSFIENPFAPSKDPSLSIKSYERTPEQQALLGYYVEPSQPAEAQGGASTGGVDASNQVRGVAHGSVGAKAGHRAIAQSNSAEIAEAFFRYTAPEEVMTFPSTCGACAVSCETRMFVTKIPYFQEVIVMATTCDACGYRSSELKPGGRIPEKGKIISLCVKNVRDLSRDVIKSDTASVKVPDLELELTSGTLGGIVTTVEGLITKISESLERVHGFTFGDSLDENKRNKWLDFKTRLTKLLSLEQPWTLILDDALANSFIAPVTDDMKDDHQLTFEEYERSWEQNEELGLNDMDTSAADAAYE >KGN66165 pep chromosome:ASM407v2:1:21596785:21602183:1 gene:Csa_1G574820 transcript:KGN66165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEKSGIKKDITELIGNTPMVYLNKVVDGCFGHIAAKLETMEPCSSVKDRTAYGLIQDAEAKGLITPGKTVLIEITSGNLGIALASIAAVKGYKFIAVMPDSYSVERRILLLALGAELHITPPITELGGLQKKVEEIMKVTPNCYFLSQCENPSNPKIHYETTGPEIWKDCGGKVDGLVMGVGTGGTITGAGSFLKEQNPDIKVYAVEPAESAVLSGGQPGKHLIQGIGGGFIPRVLDLKVYDEIIQVSSEEAIETAKLLALREGLLVGISSGAIAAAGIKVAKRRESKGKLIVVIFPSGGERYLSTELFDSIRHEAENMIYV >KGN66809 pep chromosome:ASM407v2:1:27911614:27919414:-1 gene:Csa_1G696430 transcript:KGN66809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METILTDCVHHSLRHFMYRNAIFMCERLCSEFPSETNLQLLAGCFLQNNQAYAAYHILKGTQMAQSRYLFAISCFQMDLLHDAEAALCPPNEPGAEIPNGAAGHYLLGLIYRYTDRRRSAIQHFQQALSLDPLMWCAYEELCVLGAAEDASSVFGEAAVLCIQKQCLHNRFENLQTLNDDLNSASARNNNPDDVRSRQSKQAQINNLRDIPTNYHGQVNLGGPASQIANGSSNISFYNTPSPVAAQLSAIAPPPLCRNTQQNGSSLNSLGTDGSRSTVNPIIQAPRRKFVDEGKLRKISGRLFSDSGPRRSSRLAGETGANTNASGAGAANNGTTNSTKYLGGSKLNSITFRSMAVRKGQSFANENIDEGIQNEAFDDSRSNASLSVSSSSPSSDNRTLEQGANKSVGGSLTNDAKIINGASEILGLLRILGEGYRLSCLFRCQDALDVYHKLPYKHYSTGWVLSQVGKVYFELVDYLEADRAFSLARHASPHSLEGMDVYSTVLYHLKEDMKLSYLAQELISTDRLAPQSWCAMGNCYSLQKDHETALKNFQRAVQLNPRFAYAHTLCGHEYVALEDFENGIKSYQSALRVDSRHYNSWYGLGMIYLRQEKFEFSEHHFRMAFQINPRSSVVMSYLGTSLHALKRSEDAMMMMEKAILADKKNPLPMYQKANILVSLERFDEALQVLEELKEYAPRESSVYALMGKIYKRRYMHEKAMLHFGLALDLKPSAADVATIKAAIEKLHVPDEIEDNL >KGN66016 pep chromosome:ASM407v2:1:20594321:20596169:-1 gene:Csa_1G561960 transcript:KGN66016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLRKDTEIPMKPPFTNLQRIFNGRTLVPLPQINEEEQQHEYHNTQSNQRTTFSGPSSSSFAEPPNTPFNAAPALFLRIGSWQVVANSESDLVLKFDYRNKKLSWEVVLEGPSKHKIEIEWSNIIGIQAAIEDHRQGILQLELQNPPRFYKEIETRPLKLFKWEEEYDFTQGRASMNRKHFSVFAPGILGTYYKRLMKNKEMVEVSQKPFPTANSPYFHQPA >KGN64251 pep chromosome:ASM407v2:1:4971922:4977229:1 gene:Csa_1G045490 transcript:KGN64251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVLSRLLLRRNVHTPFLRTSTTAFNFFRTIHSTVFSTVEVDQISGSKPGDVLNLVQGKWIGSSGWNTIVDPLNGEPFIRVAEVNETEIQPFVKSLTKCPKHGLHNPFKSPERYLLFGDVSSKSADVLSKPEVTDFFARLIQRVSPKSYQQACAEVNVTVKFLRNFSGDQVRFLARSFAVPGDHLGQQSHGFRWPYGPVAIITPFNFPLEIPVLQLMGALYMGNKPVLKVDSKVSIVMEQMIRLLHHCGLPLEDLDFINCDGKTMNKFLMEANPSMTLFTGSSRVADKLAVDLKGRIKLEDAGFDWKVLGPDVREEDYVAWVCDQDAYACSGQKCSAQSILFMHENWSTTSLISKIKDLAERRNLTDLTIGPVLTLTTEAILDHLNKLIKIPGAKLLFGGEPLKNHSIPPVYGAIKPTALYIPLEEMMKDENYELVTKEIFGPFQIVTEYKRDQLSVVLDALERMHAHLTAAVVSNDPLFLQEVIGNTVNGTTYVGLRARTTGAPQNHWFGPAGDPRGAGIGTPEAIKLVWSCHREIIYDIGPLPSHWTVPSST >KGN66905 pep chromosome:ASM407v2:1:28936666:28937506:1 gene:Csa_1G710160 transcript:KGN66905 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phloem filament protein MSCVDKGEWSKIPVCTTPCVLDIANFAVTEHNKETGEKLTLKSVIKGWFLELGDVKLKFRLYILAANDKGVVLTYEAVVCVTEIGDFKRVKKLLSFNVGYLDEKDVFWIVIVDTKASCVQDVAKFAVAKHNEDEHDSLVYLSITKGWYRELDPYNAIFFEIHLTTKDCFGRVREFKALVLEDKPQKEKIRTLKYFEVIKKC >KGN64615 pep chromosome:ASM407v2:1:7190646:7191995:1 gene:Csa_1G071290 transcript:KGN64615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQQQQQTTPAMRRTLLVFNCFLLAVGTCGGPLLMRLYFLHGGNRVWLSTFLETGGCPIIFIPLLISYIHRRRRRAHSLNPSESTNSTEMIFMKSRLFLASGVIGIITGFVDFLYAYGVARIPVSTSALIRACQLAFTAGFAFWLVKQKFTAYSINSVVLVTAGGAILALHTSGDRRAGESNREYIEGFLTTVAASVVYGFILPLVELTYKKARQQITYTLVLEVQLIMSLFATLVCSIAMLINNDFQMIAMEAEAFGLGKAKYYVILVLSAIIWQGFFLGVIGVIFSSSSFFSGIIIAVLLPVTEILAVVTFNEKFQAEKTISLILNLWGFVSYFYGEIKHNKKKMKNLELQRRAETTTTQITNI >KGN66907 pep chromosome:ASM407v2:1:28975467:28975828:-1 gene:Csa_1G713160 transcript:KGN66907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIISRAPPFNLLLRFSPATIITCLLLSISRTSVEHQSCCTLHHYCVFRRPRHCCISKNPARVLFVKPTFLCLLSVETRTKANHPLSRALSRREWFPHT >KGN66517 pep chromosome:ASM407v2:1:24469831:24470122:-1 gene:Csa_1G616350 transcript:KGN66517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGRDKFIVEKTYKSIDNETKNSFESGVSEKKGDRNERFLQRVSAKDEDGGSLFFENEIRQWKRWR >KGN65050 pep chromosome:ASM407v2:1:11229817:11230318:1 gene:Csa_1G184590 transcript:KGN65050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERVYDLKEGVTPTHSKIDNGIKVSIKRASHIVEEVVVSLLSKIIPNGSMEGLLKGVHIGTQIISKGFCDEVAFVDQIPNPFIFECQEVLV >KGN65273 pep chromosome:ASM407v2:1:13327730:13328578:1 gene:Csa_1G294040 transcript:KGN65273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAYDTVWALAMAVEKMNYSTSHSGTATRKKLILDQIKSTTCEGITGNFSLVDENLKQSTFEVFNVVGEKEKIIGLYCPMKGVHEKSISKPIWPGGTINPPRINLIIGIPVKGFPEFVNANINNPQKSTGFCIDIFTSAVDVLDIHINYTFQPFVDKNGKSNGSYDDLLRQIDTQKYDVIVGDITIVASRAELVDFTLPYSESRVTMLVSERNDKKDQHMWIFLKPFKWNLWLLSFISFIFTGFVVWLMECRVNTDFGEGPPQQQIGLIFWFSFSTLVFAHS >KGN65791 pep chromosome:ASM407v2:1:18551436:18558463:-1 gene:Csa_1G528560 transcript:KGN65791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAVSNIFHCPKLRLSQRQFHSKFSVLQLHSSSIRLREITRERRMVICSAASAAGSSNPDSDSNPYEVLGVNPIEGFDMVKAAYTKKRREAERIGDEATAARLEKAYDKVMMAQFTNRKKGVTFGSVKVSKDIKYADNQPIVPWGPRSSKSSPRDMQINMAISAVFTAWVLIKGSAEYKPLQFLAFAFVYRIFEKLKAFEPAVSPSFTEDGEDSGRGIRMGKRLLRSLALVFGCIAVISLGYTGILNFIEFLGNYIPEFLYNNQELLVTSSSALMLYIMASYYR >KGN63584 pep chromosome:ASM407v2:1:961013:964588:-1 gene:Csa_1G004960 transcript:KGN63584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGIVMDEINEERAVNKHNGSSIHIEESYGNKSPRSGLSLQSPGSVHVDFPVDGLVDTSIEKLYENVCDMQSSDQSPSRRSFGSDGEESRIDSELNHLVGGEMREVEIIKEEEDIAEKHEHDFPVDSMNHSPSADKKEKAENSQPGSSKRPSGRKSPHLQLEHETSPKSSPRGKGLMDKPPISRKNEKNSKKNSPGAAQLKKQKDSPLRGSKMQNGSEDFEELMLDNPDLGPFLLKQARNLVSSGENLQKALLIALRAAKAFELSANGKPNLELVMCLHVTAAIYCSLGQYSEAIPLLEHSIEIPAIEEGHEHALAKFAGHMQLGDTYAMLGQLENSLVCYTTGLEVQKQVLGETDPRVGETYRYLAEAHVQALRFDEAEKFCQMALDIHKKNVGPASLEEAGDRRLMGLICETKGDHEAALEHLVLASMAMVANGQETDVAAVDCSIGDSYLSLSRYDEAVFAYQKALTVFKTTKGENHPAVGSVFVRLADLYNKTGKMRESVSYCENALRIYEKPVPGIPPEEIASGLTDIAAIYESMNEVEQAVKLLHKALKIYNDAPGQQNTIAGIEAQMGVLYYMLGNYSESYNSFNNAIPKLRNSGEKKSAFFGIALNQMGLVCVQKYAINEAVELFEEAKSILEQEYGPYHPDTLGVYSNLAGTYDAIGRLDDAIEILEYVVGMREEKLGTANPDVDDEKRRLSELLKEAGRVRSRKARSLETLLDANAHTVNSKGIQV >KGN63722 pep chromosome:ASM407v2:1:1766875:1770049:1 gene:Csa_1G013210 transcript:KGN63722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWVMPALAIGLLILAMTGHSSATWCVCRDGLPDTVLQKTLDFACGAGADCVPIHQNGGCYLPNTVKAHCSFATNSYFQRKGQAPGSCDFSGTAAVTTSDPSISGCAYPSSASPVNSGTIPVTGTPTTTNPGNGLTPTTNTPFGSTTPTGVLGGNGFGSGNNNGLEPSGVGGMNTDYNSGSRLQLTLIANVVGFLFTLLLCGHMV >KGN63877 pep chromosome:ASM407v2:1:2717104:2721185:1 gene:Csa_1G025090 transcript:KGN63877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPWEALDLDYSDVHSLLRPLKRHRSPQPLSPSSASTSTLSLPLLETCSLPPSQSQPRVDNLQSELSLSPQASICRSQRISTELEASCPSGASTRIIPGPAGAVQVAMQRRTRGDHSCVGDEEPVPTQEYIRRVIENGDEEDDDFNRSAWVCALDFVRGIGAMEGNGAVSETPLNSIKNGFIDEKVGFVVAIIKSCTSNGLGGMMVALKDPTGTIDASIHHRVISEGNFGKDLSVGAVLILQKVAVFSPTRSVHVLNVTRSNVVKVISKDSGPLIKHNSPTAIRQSDSITGDTHGVHMPQMNSDVSRESTQNIMNNLKQNSKLRGNGLDDLQTGKGIAASSRNWKWNETVGNRQSIEKEGGVIDVGISKGTPSVGCNTVHVDQDQGRGSDEPINHPMGTDPAKENGAASNTVQLPNNQEVETINEMKKTVTRTQQPLLPQWTDEQLDELFVFD >KGN64834 pep chromosome:ASM407v2:1:9189426:9214652:1 gene:Csa_1G123490 transcript:KGN64834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKDTRSSVRERNEENNNLKGKQNGEKAPARAGSTTPDSSALRRSAREASLKKIIIVTPSKSRKSDRLDKHSPRTRSDKKKHGTIVQKDMLNPLRRSERVKKQSSSTSSGSGSKKLVKSSSTSSGSVSKKSDKSSGSPYTKEKKEKKEKSIEQLILDPREAGKSPKQDEVSQNAKDKRMDARAYRALFREKLKTGNVDCREQAKMPKSNDHCGSNSCKEDLNQSSKYSEKSKELRSSCLEKSSTRDLDDSNEIDTKELRSKCLEESSTVYLDDHPETRSKTSEEVLKNDSELDFFLSSQKSSEEEVLTKLSNEDSGTVHAVNDADKKLEALERSNSMLEEKIVDDFIDSNGGCKLISLKRKRSMLHLDSNVSVRNGSESTCSSPTEAVQLLSSPCRQSDQVGTCGDQVGTCGDQVGTCGDQVGTCGDQVGTCGDQVGTCGDQVGTCGDQVGTCGDQVGTCGDQVGTCGDQVGTCGDQVGTCGDQVGTCGDQVGTCGDQVGTCGDQVGTCGDQVGTCGDQVGTCGDQVGTCGDQVGTCGDQVGTCGDQVGTCGDQVGTCGDQVGTCGDQVGTCGDQVGTCGDQVGTCGDQVGTCGDQVGTCGDQVGTCGDQVGTCGDQVETCGKCLKRQRLGNDSLKDFCSCVEIDQQQNETISIDVDRGKSMGNSISDPTGNCVWCKLEKASLDIDPNACLICKVGGKLLCCEGKECRRSFHLSCLDPPLEDVPLGVWHCPMCIRRKIKFGVYAVSKGFESIWDTRETEISDADGSQRQKQYFVKFKDLAHAHNRWLPESKLLLEASSLVSRFIKKNQYSRWKEEWAIPQRLLQKRLLLSAKLCEEHDAEFSGAELNCRYEWLVKWRGLDYKFATWELGNASFLSSLDGQGLMKNYESRCERAKLASHVSEVDEKHELQILHRKRTAVANLSQFTDKDTCGFNDNYISYVNKLYQFWHEGKNAVVIDNQDRMAKIIAFILTLQPDVLRPFLVITTSTALGLWDSELLRFAPSFNAVVYKGNKNVRKNIRDLEFYQGSYPMFQALICSLEVMMEDLDILQRISWEVIIVDECQRPIICSHLEKIKMLDGNMWLLVLSDQLKDIKDDYHNLLSVLDVNDQVENKDTLKTNGDDNISKLKERLSYHIAYISTSRFVEYWVPARISNVQLELYCAALLSNSGLLCSSFKSDLLDNIHDLLISTRKCCNHPYIVDSSMGHVITKGHPEVEYLGIGIKASGKLQLLDAMLKEMKKKGSRVLILFQSISGSGRDTIGDILDDFLRQRFGPDSYERIDGGLIYSKKQAALNKFNNLESGRFLFLLEVRACLPSIKLSSIDSIVIYDSDWTPMNDLRALQRITLDSHLDQIKIFRLYTSCTVEEKVLMLSLENKTLDGNLQNISWSCANMLLMWGASDLLADLEKFHGKEKTEDALSDSTLLEEVVNDLILLISQNGRSTDKYDSHVILEVQQIEGVYSACSQLPGQLKKLSTEEMQPFIFWSQLLCGKHPKWKYSSDRSLRNRKRVQQTDDSLNKSEYEIEESVSKRKKVSNNNVKVAQEENFTHKEKEGTSKAPKHTCQNSTSLAACEDDSYIENHLSTSSLIANDILKILKYKSVGFDEIRKLTDLRKSLHCLLKPEISQLCKILKLPIVSFPSAA >KGN64938 pep chromosome:ASM407v2:1:10297586:10300652:-1 gene:Csa_1G165210 transcript:KGN64938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAARTAARIFSRRFSSGGKILSEEEKAAENIYIKKTEQEKLEKLARKGPKPEEKLGESVADSVPSSSSASASGASSTEKISTDKQRNYAVVAGTVTILGALGWYLKSKKKPEEVHD >KGN65132 pep chromosome:ASM407v2:1:12120300:12121547:1 gene:Csa_1G231530 transcript:KGN65132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVREKEHESNWVGQRQSIDTDILDVFPFSFQHFVPTILILCPTPARTTPLLLLNPHPLSNFHNSNSSFVLTFSSINLFPYLMAMELQLGLALIPTNPIKPFDLNTHNNNPISLVFDSINHKKRSRHLDSPATFRQTLPLLLWNDHPNDGDDDDPNDPHSASSNDSDEEEEEENGLVGWPPLKKRRKSLFMKEGVGRRAVIRRPAVKNDGVFWRGLDLNNSRYVKVKMEGVGIARKVDLREHHSFDALRATLMKMFDETNSEGYKLTFQNTKGEWLLAENVTWRNFIGTVQRINLEKKSG >KGN65076 pep chromosome:ASM407v2:1:11460253:11461155:-1 gene:Csa_1G194710 transcript:KGN65076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFGNFDERRDFGVRRNEGVYLYVMWENVNLSSSSSSFLSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSFLFKFGNELKR >KGN65922 pep chromosome:ASM407v2:1:19732903:19738534:1 gene:Csa_1G538200 transcript:KGN65922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARWDEIFSLPVQNPPTLEFSSADLVWSKVEGWRDNMDRVAVIPFARVGDFVRGESSNKECPTRFHVEARRRRALKAPFKAKVDGVLEYILYWCSFGPDDHRKGGVRRPSRSTYVPKKKNAGRPNTKRGCTCHFIVKRLIAEPSIALIIYNEDKHVDKKGLPCHGPQDKKAEGTRAMFAPYISEDLRLRILSLLYVGVSVETIMQRHNESVEKQGGPCNRDDLLTHRYVRIQERSIRRSTHELDEDDAVSLSIWVEGHQSNVFFYEDFTDTDTFTLGIQTEWQLQQMIRFGNRGLLASDSRFGTNKLKYPVHSLVAFNSDYNAIPVAWIISTRFASGDAHRWMRALHSRVQTKDPSWRLAGFVVDDPLADVQTIREIFQCSVLLSFWRVRHAWHKNILKKCSENEKRAEILRQLEKTVDGVRQGDENVDSFEQMIKDQADDPEFVDYFKATWCPRLGMWTTALTSLPLASLETCAAMEFYHSQLKLRLLNEKDCAVYQRTDWLVDKLGTKVHSYFWLDEYSEKNNFSRYWKDEWMSGLTYWRRALRIPDSDVIIEGGIAKVTDQITRDRKFVVWNPGSHFGICDCQWAEMGNLCEHMCKVINMCRKKGTTRPSVSLLQYQKALTDMLHRPPHDSLIRDHAVSFAMSVQKQLNALISMGNDLELRGPFQARMIKTLENKIDREVSTGDTESFRDNVLRNKSKLNQNESDCASGQEASNNITDNSSSELVDLTVTGNRVDGATAEEECPCTEMDIDTTSICISPPRLSSVEEVVGGNSFQQSKNGVQIDMEFDILPSSYDAVCSLNKFVDNQHHHEKIEVDSSLMNDPVTAADFSVQCMMKSQNGIHNDGPEPTIAS >KGN66842 pep chromosome:ASM407v2:1:28201733:28202859:1 gene:Csa_1G700690 transcript:KGN66842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAHIDFSWRQTQATPPEITEVDSFGLPLPKEGDVDLHILTTHPSSDHNQGEIGDIEFYEHHETPLLLAAANGIIEIVQQIVEVFPQAVDYVTHDDGICIDDCVDSEVRGDEVDGEFVVYGDVFSGDHVYNNSAPSVCGISEEYLEIWPQDFQVSSHGVSCSML >KGN65360 pep chromosome:ASM407v2:1:14405034:14405273:1 gene:Csa_1G373510 transcript:KGN65360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVCLYFFNVFERSELHEFLIQAAAFVELVLFTTGFEGYAKPLVDRIDTGKI >KGN64275 pep chromosome:ASM407v2:1:5100932:5103055:-1 gene:Csa_1G045730 transcript:KGN64275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIMPMQAPGDAVMDAAGVTKEFMLFGVRVVVDPMRKSVSMNNLSQYEHPLEASIDDNSSNCKTTVSAADRKEDSPAGYASADDAVPNSGGNRERERKRGVPWTEEEHKLFLLGLQKVGKGDWRGISRNFVKTRTPTQVASHAQKYFLRRSNLNRRRRRSSLFDITTDTVKEAAEEEQIQVQDNSSQLQSLLPPPPPETCNINVYHPMIPTFPLSVCPAILPIPIPMETDASLEFNLETDTTSIGAEVLPLSGTTLEFNLNSKSTLNSGALSLNLALPSDSTNSSMKHSAFQGMSSISNGDNIISVA >KGN65733 pep chromosome:ASM407v2:1:18165514:18165968:1 gene:Csa_1G523080 transcript:KGN65733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKGFEKNWASQGQAVEREDRENQQPFFRRSVASTLLRSQIPFLNRFSSSQSSNSNSLSQILFLSPFPPPLLGFHSFAGLCV >KGN63705 pep chromosome:ASM407v2:1:1680356:1684654:1 gene:Csa_1G011570 transcript:KGN63705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSISLFLVFVSLPAILAQGATHASIIVDGAAVVAETDDNYICATIDWWPHDKCNYNRCPWGYSSAVNLNLSHPLLIKAIQAFEHLRIRIGGSLQDQVLYDVGNLKTPCHLFQKVSWGLFGFSKGCLHMSRWDDLNQLFKTTGAIVTFGLNALHGRHQIQRDKWGGEWDSTNARDFMNYTVSKGYVVDSWEFGNELSGHGVGASVDVATYAKDVIKLREIINDLYKNSNSKPSLVAPGGFFEPEWYAKLLQVSGSNVVNVVTHHIYNLGAGIDPHLTNNILDPHYLSRVSEVFNRLDQTIQVHGPWASAWVGESGGAYNSGGRHVSNTFINSFWYLDQLGLASKYNTKVYCRQTLIGGHYGLLNTSTLVPNPDFYSALLWHQLMGKIVLPIGTDASSYLRSYAHCSKGNTGVTVLLINLSNQTQFSIHVQNSKNMFLDVQENGVRREKSFLKGMKKTVAWIGNKVSDATVSREEYHLTPKDGYLQSQTMVLNGTPLELTADGDIPNLNPILRDVNTPIHMDPLSIAFVVFPNFDAPACS >KGN65678 pep chromosome:ASM407v2:1:17506480:17507248:1 gene:Csa_1G495260 transcript:KGN65678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRMLTFLFCLASFTFLSIARADGRAPHGLVYESPVAFSPMAYDFFHPSTQNPSGKDPCGDSKCSPLPLAAQVQSTPAKESKYSTTIQSSNHRVGAGGILGIVFGITFAVFLTMGVYYVLRTRQANANRAMSAAQPSA >KGN65486 pep chromosome:ASM407v2:1:15700800:15706954:-1 gene:Csa_1G425900 transcript:KGN65486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSVEDCSSDTLRFMELAIQQAKLALNNLEVPVGCVIVEDGMVIATGRNRTTETRNATRHAEMEAIDILIEAWQRDGLSTSEVADKFSKCKLYVTCEPCIMCASALSIIGIKEVYYGCANDKFGGCGSILSLHLGSGEAPTSGNGLGRGFKCTAGIMASEAVGLFRSFYEQGNPNAPKPHRPLVNHQAGQ >KGN66800 pep chromosome:ASM407v2:1:27804052:27805153:1 gene:Csa_1G690360 transcript:KGN66800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNPTFQTSKNQAKDPKRVSSPPAKLAPRVENSIETEFDRVTRLSCPEALNGTPGAAKKGAGEVANSGVILEVFAPRTVRPGGFFEEKMLGGGGELVELGDRNV >KGN64896 pep chromosome:ASM407v2:1:9810954:9811283:1 gene:Csa_1G145975 transcript:KGN64896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLIANAASTLNRAAVPFSNANTTLVFNAKPGSGITGSRARTTNRVTFLKSSWIPFSRISSPYSSAARALAIAAVSRRLLRATCSAAPAVSSKASRAALRPSLVRVCSH >KGN64338 pep chromosome:ASM407v2:1:5465395:5466827:1 gene:Csa_1G046820 transcript:KGN64338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRHSCCLKQKLRKGLWSPEEDEKLFNYITRFGVGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGMFSQQEEDLIISLHEVLGNRWAQIAAQLPGRTDNEIKNFWNSSLKKKLMKQGIDPNTHKPIINIQEIKEKKIFEDREFPQIPPVQGVLGGGGGISAVGGNQGPAFLLGGTDYYDGGLTTTPIRDHLMNSKQANLVDSLCFFEFQTGQLDSSCSYNNNNNNTNFETQYQTNVQSFGFNSVPSLTNSDHGSLSGTEFSENSGSNISNYGGFYMNNNNNNNGAVDNSTFCSWENENNKLESYFQIEVNNNNNNNNNNNGIKSEELKRVAGGGSSMFDGQLIQSRSSIDFSSYPLMSLSQHITAANFGVFHHL >KGN65667 pep chromosome:ASM407v2:1:17390273:17392507:1 gene:Csa_1G481200 transcript:KGN65667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNHFFKSPARSTPSHSPPPSHGLCQSLMEENIDVAETLITKWDCTASSYADITPLFQDDRYEANQYLKAVKDLQTAMQYFGSERMNSHHLVRAQNLMQTAMKRLQREFHRILAENRAHLDPESISNRSSRDSVFTGNSDLEDESEDDLRFANENNVSEEERISRSAIKDLKSIAEGMISAGYGKECVKIYIVGRKSIVEEGLYNLGVAKPNYHHVHRMEWEVLEVKIKNWLNAVKIAVKTFFEAEKFLSDQVFSSSASIRESVFSEITKESALTLFSFPEMAVKSKKTPEKIFLILDLYEAIFDLWPEIESMFIYESTASIRSLIDHSLTKIAESIRSMLIDFESHIQKDSSKTPVPGGGVHPLTRYVMNYIAFLSDYSGILPGIVADWPLLLHSPLPESFFGGNDSEENPLTIRMAWLILVLLSKLDSKAEIYHDAPLSYIFLANNLEYIVVKVRTSNLRFVLGDEWIESHETKVRQYASSYQRMGWSRVFLSLPENPMAEISPERARKHFHDFNIAFEEAYRHQASWIVTDSKLREHIKISLGKKLGTLYGEFYISNRSRLENLYGSESEVRFAPDDLGNYLSDLLHGDGNSGGSVSSSSSLSSSPYHFHGGRRSG >KGN66404 pep chromosome:ASM407v2:1:23314265:23314590:-1 gene:Csa_1G600940 transcript:KGN66404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWKMNTYASWSGVGGLGWVIHDSIITLIGLDSLLSRFCASISHLLIESDLSERISYLSYIDNDLIEIKGVSLCALK >KGN65039 pep chromosome:ASM407v2:1:11125351:11127729:-1 gene:Csa_1G181510 transcript:KGN65039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFYSEEEKTEDYLFKIVLIGDSAVGKSNLLARFARDEFYPNSKSTIGVEFQTQKIEINGKEIKAQIWDTAGQERFRAVTSAYYRGAVGALLVYDISRRQTFDSIGRWLNELHTHSDMNVVTILVGNKSDLKDAREVPTAEGKSLAEAQGLFFIETSALDSSNVTNAFQTVVKEIYNILSRKVMISQELKKQDVSWMENGKTTVVIQGEDQVEGEPKKGGCCSS >KGN66236 pep chromosome:ASM407v2:1:22111730:22114275:-1 gene:Csa_1G587470 transcript:KGN66236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDPRAPLPSSSSSTYLPPNDSLPKRFKVLWRVLLISNFALSAYMFASARKRHFNQLENDQVEKQSDSEIITEIPSTIMVDTTGIVTENVLEDQQQEPDQGVVSGWREKLGFSRKVN >KGN64943 pep chromosome:ASM407v2:1:10334857:10336005:-1 gene:Csa_1G165750 transcript:KGN64943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLNLPSSRFRHRNSPSSERFLASFPSPPVRSSNPSSTTALDDDSELNEDDVFWTGDFASDSVHHSHSTPSSSSSSTPRHHIHHLQHHKGFPLPETFGILAALPENEASSSLRNSSHFYHKASVSSSSSSSPSSSRMIPTIPKPPLDRLPLPISTSLKYQSAPVNVPIMSKAVVQRQLEVDVDDVDEDDGEMLPPHEIVARSLAQSPMLSCSVLEGAGRTLKGRDLRQVRNAVWRRTGFLD >KGN65621 pep chromosome:ASM407v2:1:16960332:16960811:1 gene:Csa_1G470370 transcript:KGN65621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVSLFSCPLLFAFDFVYVITNLKQRFCFLHWLLQAELGLDGIVSRRGDIYSYGVLLMETFTRKKPTDQMFCGGEMSLREWVAKSYPHSITDVFEDSALLTKNDETLKHRTEIECLTSIISLALSCTVESPEKRPSAKHVLDSLNNIKTAFMKYERS >KGN63749 pep chromosome:ASM407v2:1:1923585:1924065:1 gene:Csa_1G014460 transcript:KGN63749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTRNKNIKAKKRDRARTIAKRFRVENFTRQKLVLTEKLEETSKERMEVRALHEIKFGISFTIPDRMATEISDKKRISGLHPLRITRVPYGGPMEFHEIVKEAEKGSAPLKNQQKGKPPNPKAAYHRSCLNEWHPQVFHFISNSYCPDKFGGFID >KGN64231 pep chromosome:ASM407v2:1:4861325:4865822:-1 gene:Csa_1G044320 transcript:KGN64231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQTTPFSFTNHKTTSNLERFLHCVTPSVPWRTLPRSCLHDLNSQWQQPDKDTVQYFTLGELWDYYDEWSAYGAGIPIQLNDLETATQFYVPYLSAIQIYTSKPVAPSRNRRYDSDMAECESDSWSDDSWSDNMSRSLSNNSSRTWDAVSEDSSFDQEGSWPLREKLGYLSLQYMETSSPYWRVPFMDKITELTQTYPALTTLRSVDLSPASWMAISWYPIYHIPSQKNDKDFATCFLTYHTLSSSFQDCSMNHEGQDGCSGSETETGGQTYAKTSASTSTCLSPFGLATYRMQGDLWLMPETSDYERIVDLYHAADSWLKQLGVHHHDFNFFSLHSSL >KGN63434 pep chromosome:ASM407v2:1:53501:58781:1 gene:Csa_1G000580 transcript:KGN63434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSEVSINPNSASFNDHADSTKDTSDPPNALSPRDADIALYTELWNACAGPLVSVPRENERVFYFPQGHIEQVEASTSQVADQQMPVYNLPSKILCRVINVHLKAEPDTDEVFAQITLLPEANQDEHAVDKEPPPPPPRRFHVHSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMSRQPPTQELVAKDLHGNEWRFRHIFRGQPRRHLLQSGWSVFVSSKRLVAGDAFIFLRGENGELRVGVRRAMRQHGNVPSSVISSHSMHLGVLATAWHAISTGTLFTVYYKPRTSPSEFIVPYDQYMESIKKSYTIGMRFKMRFEGEEAPEQRFTGTIIGCEDADPKRWKDSKWRCLKVRWDETSTISRPEKVSPWKIEPALAPPALNPLPMTRPKRPRSNMVSTSPDSSVLTREGSSRVTVDPSPASAFTRVLQGQEFSTLRGNFIDGSDPDAAEKSVMWPPSLDDEKVDVVSTSKKHGADSWIPPGRSEPTYADLLSGFGTDMDSSHGVRAAMGDSALVTANSIRKHAMEHDGKFSFLGGSSWSVLPSGLSLNLVDSSQKGHIRAGDLSYQVRGNATFNGFGDHSISHCHRTEQPHGNWLMPPPSSHFDYPIHSSELMSKPMLFQNQDILKPKDGNCKLFGISLVKNPAIPDPVGLNRNMMNEADVMHPNVHQIHSSESGLKSELPRVLKLDKSVAISEADKLQQTCKSQGTSARSCTKVHKQGIALGRSVDLSRFNNYDELVAELDQLFEFGGELQAPKKNWLIVYTDDEGDMMLVGDDPWREFCGMVRKIFIYTREEVQKMNPGSLNLKGDENPSVEGEEVKETKSQAVPSMSAPESS >KGN65657 pep chromosome:ASM407v2:1:17309108:17313066:1 gene:Csa_1G479630 transcript:KGN65657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVGQNNPADFPALPTHGGQYVQYNIFGNPFEITSKYRPPIMPIGRGAYGIVCSVLNSETNEMVAVKKIANAFDNHMDAKRTLREIKLLRHLDHENVIGIRDVIPPPLRREFNDVYISTELMDTDLHQIIRSNQSLSEEHCQYFLYQILRGLKYIHSANVIHRDLKPSNLLLNANCDLKICDFGLARPTSENECMTEYVVTRWYRAPELLLNSDYTAAIDIWSVGCIFLELMNRRPLFPGRDHVHQMRLLTELLGTPSESDLGFIRNEDSKRYLRQLPPHPRQPLATVFPHVHPLAIDLVDKMLTFDPTKRITVEEALAHPYLERLHDIADEPVCSEPFSFEFEQQYLDEEQMKEMIYREALALNPEFA >KGN64572 pep chromosome:ASM407v2:1:6922342:6923608:1 gene:Csa_1G065920 transcript:KGN64572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSQEDLGWFCDNSEGNYTTNSPYKPNLNHLLSTFTTHQIDYGFYNLSYGHDHKAYAIGLCRGDVMPDSCRSCLNNSITLLTGNCPTQTNAIVWYEDCIFRYSDRSLFGTLDLSPTRFVVLPVTSNVSDIRYTQLATDMLQQLTPKAASGDSRLKYATGRVAVPNFPVLSGAVQCTPDLTSDDCSTCLLASIAQVRDAYDTVPRVRIMKPSCNIRFDINRLFQDPPASSPPPRNKARKLVLILVPIIVVVLAALLLIIIIILRARNSNKKNEIANVASLQFDFDTIRIATNGFSDANQLGLGGFGAVYKVISSSNDNLKTQFN >KGN66915 pep chromosome:ASM407v2:1:29080127:29080841:1 gene:Csa_1G714720 transcript:KGN66915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQDDMDLIASNYGFKVGHWPGTYLRLPLFVKQRSASFWNPIIEKIENDHQKSKLHSWSQSSISKGGQLTLLQATLSSLPIYYLSLYKMPSKVIAAIEQIYRSFLWKGRPDKAGMNLDRWEKIIKNALRKEVINTKYSATPHSHWPNTSFPDNIKGPWRSILHQLGLLKRKTIKVGNSNCTSFW >KGN64626 pep chromosome:ASM407v2:1:7248654:7248962:1 gene:Csa_1G071885 transcript:KGN64626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPCDKMPAVYISESFFAWRSNFAQITSKSLCIKSFPLKERSTQRRARSLHSVAVRMSFRIRSEMAGFSSESQLSAAIKPEFNSSSLSRISFHLDANTLTE >KGN64156 pep chromosome:ASM407v2:1:4406237:4408320:-1 gene:Csa_1G042650 transcript:KGN64156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPAVSQPRSSKTESYVDNKRKEDVRQANIIAARAIADAVRTSLGPKGMDKMISTASGEVIITNDGATILNKMEVLQPAAKMLVELSKSQDSAAGDGTTTVVVIAGSLLKQCLSLLSHGIHPTVISDSLHKTAIKAVDVLTAMAVPVELSDRESLIKSASTSLNSKVVSQYSTLLAPLAVDSVLSVVDPGKPDLVDLRDIKIVKKLGGTVDDTVLVKGLVFDKKVSHAAGGPTRMENAKIAVIQFQISPPKTDIEQSIVVSDYTQMDRILKEERNYILGMIKKIRATGCNVLLIQKSILRDAVTDLSLHYLAKAKILVIKDVERDDIEFITKTLNCLPIANIEHFRAEKLGYAELVEEASMGDGKIVKITGIKDMGRTATVLVRGSNQLVIDEAERSLHDALCVVRCLVNKRFLIAGGGAPEIELSRQLGAWAKVLHGMEGYCVRSFAEALEVIPYTLAENAGLNPITIVTELRNRHAQGEINTGINVRKGQITNILEENVVQPLLVSTSAITLATECVRMILKIDDIVTVR >KGN66194 pep chromosome:ASM407v2:1:21813186:21818242:-1 gene:Csa_1G575100 transcript:KGN66194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNNNTKIVNPTVIGSGGSGGGSGGGSDSLLDAKLEQHQMCGSKHCPGCGHKLEGRPDWVGLPAGVKFDPTDQELIEHLEAKVKSKDMKSHPLIDEFIPTIEGEDGICYTHPEKLPGVTRDGLSRHFFHRPSKAYTTGTRKRRKIQTECDLQGGETRWHKTGKTRPVMANGKQKGCKKILVLYTNFGKNRKPEKTNWVMHQYHLGQHEEEKEGELVVSKIFYQTQPRQCNWSERSMAAAEGSFDVSNLSRRETSAVTTGSCSSMTQADDVSPAATTGVGCSISSFSSLDIQHLKSDHFGFVPFRTTFDEVGMEEGSTERKIGSKDGRGGSGEFELRDHRHQRAATLDHHHHHHHHHQLVVAHDDHHHHSVVNHHLPTTTFHVTNPTHQISSIVISPPPLLLLDHDSYHHHHSPIILQNQPFHQEQEQQEEGESEHHKMGGRSASGLEELIMGCTSSSIKHQPESSMPSGRETDQWMKYSSFWPDPNNPNLHGHG >KGN65158 pep chromosome:ASM407v2:1:12519381:12519817:1 gene:Csa_1G256180 transcript:KGN65158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLRERVNFLKSNRSILFINSSSSPNPINLKSHLLFPPFFITQIIVFLRKVREKKKRKGEIIPLFSDILRN >KGN65520 pep chromosome:ASM407v2:1:15940902:15941462:-1 gene:Csa_1G434180 transcript:KGN65520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDLTIEPEFDRPSVFELCKQIFPHGFNFLPEDLAKTKTFYEFILVDSKSAEITHVPYKNDPLKIIYSKLRIFRVLTPSYWKQGMFVGKRFSQPFKSPSYNYRDYTKAWYIVFWLQAHNHSWFVTFCKQAYKMHFPQWFQNWWMYFGLSEEIFLVEVQRSYHLFQQSIYSSPLSKTFRFALYFQIP >KGN64208 pep chromosome:ASM407v2:1:4733839:4736554:1 gene:Csa_1G043110 transcript:KGN64208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSNSSPSGVNGHGGSNYIEHQISRMDTLAGIAIKYGVEVADIRRLNGLAADLQMFALKKLRIPLPGRHPPSPSLSDGSAVTGNGSVNEEPLHLGQPTNMLNSLQSWRLKSPNQKASPAMSTLQKYYGLSSQTLETSSVDMEMSVYRTENSNSTGDGLFSRTSVLSTPPLNIYSKTRKYAGSFWTDNDSITEHLLRTDSGDVESEKSDEKLVRRRQKAEVDNGVTTTERSLKGENSNGNYFSPSNGKSLAMRLKSGSRTALLSDSDPVWINSIPVGLGDDIITDGTSEVHKSLSTSNLRDQDNSNSTSVWSTAKWSLKPDLQALSSVAISKPLFDGLPNPITGRRNKAALD >KGN64727 pep chromosome:ASM407v2:1:7983188:8003774:-1 gene:Csa_1G084260 transcript:KGN64727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAGSVKKAVSMLGILHYRARSKDAGVVSEDLRAPYNVSNDILNPFRVLCLFQRMSDEDCELLFLSNRPEKLIITNVLVPPIAIRPSVIMDGSQSNENDITERLKRIIQQNASVSQELSTSNSQAKCLESWDMLQSEVAQLINSDVRGIPFSMQVSKPLAGFVQRLKGKQGRFRGNLCGKRVEFTGRTVISPDPNLKITEVAVPIHMARILTYPERVTRHNIEKLRQCVSNGPDKYPGARMLRHLDGSMRSLMISGRKRLADELKYGEIVERHLEDGDVVLFNRQPSLHRMSIMCHRVRVMPWRTLRFNESVCNPYNADFDGDEMNMHVPQTEEARTEAILLMGVQNNLCTPKNGEILVASTQDFLTSSFLITRKDTFYDRAAFSLMCSYMGDGMDLVDLPTPALVKPIELWTGKQLFSVLVRPHASMKVYLNLTVKEKSYSKVKGNEKERETMCPNDGFVYFRNSELISGQVGKATLGNGNKDGLYSVLLRDYKAHAAAVCMNRLAKLSARWIGNHGFSIGIDDVQPGDQLVKKKQTTILEGYRDCDKQINLFNTGNLPPEAGCDAAQSLESKITQILNGIREATANVCMQNLHWRNSPLIMSQCGSKGSPINISQMVACVGQQSVGGRRAPDGFIDRSLPHFRRKAKTPAAKGFVANSFYSGLTATEFFFHTMGGREGLVDTAVKTADTGYMSRRLIKALEDLSIHYDSSVRNAGGCIVQFCYGDDGMDPAQMEGKSGAPLNFERLFLKAKATCPSDGNKILSPSEFSETVEDRLSKDDASPECGCSPAFVGSLKIFLNKYVEAQKKSWGTLLADNESAVDKSIISSSDNDNIVIRNKVVQNIAGVTHRQLQVFLDTCLSRYHTKKIEAGTAIGAIGAQSIGEPGTQMTLKTFHFAGVASMNVTLGVPRIKEIINGAKRISTPIVTAALTHDDNVNIARMVKARIEKTNLGQIAKCIQIVMSSRSALIEIKLDMEKIRDAELYVDANVVKQAILVTPKLKLKHEHINVLDDRKLRVLPQDADRNKLHFNLHFLKNMLPGVVVKGIKTVGRAVIKEEKDKARNAKKFSLLVEGLVYFFFLLQI >KGN63830 pep chromosome:ASM407v2:1:2406892:2407988:1 gene:Csa_1G024160 transcript:KGN63830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPCKNPSPSPSPSPSSSGSYSFIEDDQSEAVRRGPWSVDEDSLLIHSISVHGEGRWNLLAIRSGLRRTGKSYGAIGNFRYTIYIAITNHLKFSKRDQTTIYSNWSLILNISTNSPEFKDIINRFWIPRLLHQINDSSSSSSSPPPPPHTAATHPTPQFSDSDSLPAADGKRRHFEQNSTSSESVEISQVSDPFSDVPSWNYGGGETAAANFEYTIGDSLLQHTDWIDDDDSFNGCLWNFDGLWQF >KGN65753 pep chromosome:ASM407v2:1:18312845:18316876:1 gene:Csa_1G524750 transcript:KGN65753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALLESAWQYLITNFSDFQLACIGSFIIHESVFFLSGLPFILLERAGWLSKYKIQAKNNSPAAQGKCISRLLLYHFGVNLPVMLVSYPVFKRMGMRSTLPLPSWKVVFGQIIFYFIIEDFVFYWGHRILHTKWLYKNVHSVHHEYATPFGLTSEYAHPAEILFLGFATIIGPALTGPHLFTLWLWMVVRVLETVEAHCGYDFPWSPSNFIPLYGGAYFHDYHHRLLYTKSGNYSSTFTYMDWIFGTDKGFRNLEAIKKAEN >KGN66232 pep chromosome:ASM407v2:1:22068843:22072681:-1 gene:Csa_1G587430 transcript:KGN66232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRSIKNRKKAKRKSKLGSSNGVAASSSSIPSVPAKVWRPGVDKLEEGEELQCDPTAYNSLHAFNISWPSLSFDIVRDTLGLVRTEFPHTIYFVAGTQAEKASWNSIGIYKISNISGKRRELLPSKPVTDDTDMDSDSSDSDEDDEGEENGGYKLPVWQLRKVAHEGCVNRIRAMQQNPHICASWADGGHVQIWDFSSHLNTLAGSEPTVSPGDSSVFNQAPLTLFKHKDEGYALDWSPLVPGRLLSGDCKSFIHLWEPSSATSWNVDTAPFVGHSASVEDLQWSPTEPHVFSSCSADGNVAIWDVRSGKSPAASFKAHNADVNVISWNRLASCMLASGSDDGTFSIHDLRLLKEGDSVVAHFEYHKQPITSIEWSPHEASTLAVSSADNQLTIWDLSLEKDEEEEAEFKAKTQEQVNAPEDLPPQLLFVHQGQNDLKELHWHAQIPGMIVSTAADGFNILMPSNIQTTLPSDAAA >KGN64579 pep chromosome:ASM407v2:1:6952608:6954100:1 gene:Csa_1G066480 transcript:KGN64579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQAMASMAGLCGSSQAVLEGSLQISGSSRLSVASTIRPSVPRSGLIIKAQQVPVEPETSRRAVLGLVAAGLASGSFVQAVLAEAKPIKVGPPPPPSGGLPGTLNSDEPRDLDLPLKDRFFLQPQSPAMAVARAKESAKDIINVKGQIEKKAWPFVRDDLRLKAEYLRYDLKTIISAKPKEEKQALKDLTGKLFQDINNLDYAAKIKSSSEAEKYYAQTVSTLNDVLSKIG >KGN65703 pep chromosome:ASM407v2:1:17848274:17854185:-1 gene:Csa_1G503400 transcript:KGN65703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSQASLLLQKQLKDLCKNPVDGFSAGLVDESNIFEWSVTIIGPPDTLYEGGFFNAIMSFPSNYPNSPPSVKFTSEIWHPNVYPDGRVCISILHPPGDDPNGYELASERWTPVHTVESIVLSIISMLSSPNDESPANVEAAKEWRDRREDFKKKVSRCVRKSQEML >KGN65817 pep chromosome:ASM407v2:1:18762358:18762657:-1 gene:Csa_1G532250 transcript:KGN65817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYSKAHLALFLLVSFVLVTSARIIPHSENQEAAYMIIDYPEPRHHPPPPSPPSFEVNVVKDRHIKKNP >KGN66861 pep chromosome:ASM407v2:1:28366198:28366931:-1 gene:Csa_1G701370 transcript:KGN66861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALIKEIDPTYDEHAYLDRSLEISRAESYVEGPPALTSHYVKYALAYTYVDLPLLLLMFTIFLLETTMNEFLDRMQSSFTAKLSAINELLMVLVKDKAVDSQQCYGGGEGLSFNASEVENRVDDVFMDVPTPNIDDQHVDDKTRTSISEDLGDGIVSR >KGN65095 pep chromosome:ASM407v2:1:11630663:11634164:-1 gene:Csa_1G207820 transcript:KGN65095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIPLEHDYIGLTESVPSLENSEKSSDKRNSAGLNLKATELRLGLPGSESPGRDDGFEDKNGFLHKSSVSGAKRGFSIAIDRASAKWVLPASAGSEADSSTNGGLFSPRGVNENKTQPPASAVSGVKDGISPSAKPLHEEKPQLSPPAAKAQVVGWPPIRSFRKNSMATQPPKNTDDADGKLGSGCLYVKVSMDGAPYLRKVDLKTYVSYVDLSSALEKMFSSFTIGHYGSNGVPNRDALNESRLMDLLHGSEYVLTYEDKDGDWMLVGDVPWEMFTESCTRMRIMKSSEAIGLAPRAMEKCKNRN >KGN64783 pep chromosome:ASM407v2:1:8507030:8507440:1 gene:Csa_1G097640 transcript:KGN64783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRREMNGRGRGRGKERAERDENGESRWGEEMRIEEGVKGDGRKASENLKSLGKGESPLLIHMLHPIMASAAF >KGN63848 pep chromosome:ASM407v2:1:2538483:2540744:1 gene:Csa_1G024800 transcript:KGN63848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKGSLFSEKIKLLLGLVVLQLCYAGFHIVSRVALNIGVSKVVYPVYRNAIALALLSPFAYFLEKNERPPLTFSLLLQFFLLALLGITANQGFYLLGLNYASPTFASAMQNSVPAITFVMASVLRLEQVNFRRIDGLAKILGTIGSVGGATVITLYRGPPLLHNNYLTQGSSILEMDDPTIKVQNWRWGCIYLLGHCLSWAGWMVFQGSLLKKYPAKLTLTSYTCFFGLIQFLVIAGFVETDYQYWKIQSVEELFTILYAGIVASGIVFSLQTWCIHKSDPVFVAVFQPLQTVLVAIMAFLILGDRLFSGGVIGAVLITLGLYLVLWGKSKEKALEEEDKCLKHPLLDDQKEEQENVVLDIA >KGN64705 pep chromosome:ASM407v2:1:7775985:7782473:1 gene:Csa_1G075590 transcript:KGN64705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSVHFTPSFFNLSSPFIRPLSFFRPSPPPVLRRAAPPLVVSRPPCSFSRAIIRQKHPASLFPSSRRWSAVFRQLGAAFISWFRRKLEFWVQSEVRQSDSLNKRRTMGSKAMFKWAKTVTPTHVQQLIQAERDIKKALIIFDSATAEYANGFKHDLNTFSLMISKLISANQFRLAETLLDRMKEEKIDVTEDILLSICRAYGRIHKPLDSIRVFHKMQDFHCKPTEKSYISVLAILVEENQLKSAFRFYRDMRKMGIPPTVTSLNVLIKAFCKNSGTMDKAMHLFRTMSNHGCEPDSYTYGTLINGLCRFRSIVEAKELLQEMETKGCSPSVVTYTSIIHGLCQLNNVDEAMRLLEDMKDKNIEPNVFTYSSLMDGFCKTGHSSRARDILELMIQKRLRPNMISYSTLLNGLCNEGKINEALEIFDRMKLQGFKPDAGLYGKIVNCLCDVSRFQEAANFLDEMVLCGIKPNRITWSLHVRTHNRVIHGLCTINNSNRAFQLYLSVLTRGISITVDTFNSLLKCFCNKKDLPKTSRILDEMVINGCIPQGEMWSTMWEAKQNISIPFEMIRLVPFISLYLDIFVALQVAFGVKPRGLPLAFTMHGTYH >KGN63925 pep chromosome:ASM407v2:1:3075015:3075506:-1 gene:Csa_1G028030 transcript:KGN63925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVNSTGEDFYKTIKVPKFVNFTVSDHYIPDDWYWFRSRVGCKETHPEEMDPDVIYKNFVVWRNLSTYNSSEVVEVLVAASPDYFHFQADSEFKTKASSCRGNDHSKAQEA >KGN65503 pep chromosome:ASM407v2:1:15815021:15817772:1 gene:Csa_1G427530 transcript:KGN65503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATVVTMRMRMIPTVRAMASSSPESLFVKKTIASHQTVIFSKSYCPYCETAKTVFKDLNKVPHVVELDQRDDGSAIQDALSALVGRHTVPQVFIDGKHIGGSDDTVEAYESGELGKLLGIEE >KGN66687 pep chromosome:ASM407v2:1:26467837:26470593:1 gene:Csa_1G659090 transcript:KGN66687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLRWLLGKLIPFSCLRVCWVRSSVPGQKWVSSFFSSKSLDCHALLLSFLLILCFNPSHSVELDPEDEASLLAFKSSLQDPNKNLSSWVGSNCSDWAGIACENKTGRVVSIKLTEMNLSGQINSGFCNLSFLEHLVLSQNNFSCSIPSCLGNLIRLRTVDLSRNRFRGVVPETLMKLENLEELVLVGNQDLGGPIPSWIGNFSTKLQKLDLGFNSFSGELPESLLNSTSLKHLDLQNNYLKGNVYDFHQPLVSLNLMSNRFSGTLPCFSACTRSLTVLNLANNSIFGGVPTCIASLRALVQLNLSSNHLTYKMSPRLLFAEQLLVLDLSNNDLYGPLPSMIVETIEKSGLVLLDLSHNRFSGGIPSKITELRSLQALFLSHNLLVGEIPARIGNLTYLQVIDLSYNYLSGSIPLNIVGCFQLLALILNNNNLSGEIQPELDALDSLKILDISNNMISGEVPLTLAGCKSLEIVDFSSNNLSGNLNDAITKWSNLRYLSLARNKFIGNLPSWLFAFEVIQLMDFSSNKFSGPIPDVNFNISSNFNSGDTSRPSNEAFATKEVVNFKVSTVVDVGSELQFNYDLSSAVGIDLSNNLLHGSIPEGLYSLEGLQYLNLSYNSLEGQVPGLEKMQSIRALDLSHNYLSGEIPGNISILEDLTLLDLSYNCFSGLVSEKQGFGRFPGAFAGNPDLCVESSGEGCRSSGIPTVPGKISDGETEGPISVWIFCLSAFVSFYFGTVSLLCSARARNYFLHTKA >KGN66015 pep chromosome:ASM407v2:1:20588760:20591956:1 gene:Csa_1G561950 transcript:KGN66015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIFTFRKQVCNRIFTLVRNVFISKHRFIPVLQYSSATAQNFALWNRGITDFNKIFGFPFTTLRLFSTQIAFEPSTSDGLTVEGILGNRWTILDESESDWRSHAAAIAQSIHLIKKRLQWKKLMMRLELLSVELNKADLWDDPAHAGKISREHGSIMSKIKEINAFERELLEHIDMIKLAREENDTELESESLNALLTMRRNSREKELEALLSGENDSCSCYIEVQAGAGGTESMDWAAMVMQMYILWAQRRGFKVTVMEEMPGEIAGIKRATIKLDGEYAFGYAKSEVGVHRLVRISPFDSNKRRHTSFAAVAVIPITGDGSTHVQINESDLRIERYRSGGAGGQSVNTTDSAVRIVHIPTGITATCQNERSQHQNKAAAMAVLQSRLNQLEMTRQAQMNAQHTQSLSDITWGNQIRSYVLHPYQMVKDLRTNYEVSDPDSVLEGDLDSFILNYLSASLDNDGDQL >KGN66830 pep chromosome:ASM407v2:1:28117056:28117514:1 gene:Csa_1G699590 transcript:KGN66830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECEGEIKYFRSGAGQKRLERRGELDDEKEQKPAAEYWCVVVRWKGKNASKGGSVGEIPRRSLKLPVFKNILPLPLPSFLISFDPHRFIFS >KGN64663 pep chromosome:ASM407v2:1:7492571:7495485:-1 gene:Csa_1G073700 transcript:KGN64663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIEFYGITAPSMSCICFGSSTLEKERISTRADKELDIDLLENVKQISFNELRSASDDFHSNNRIGRGGFGTVYKGTLRNGVQVAIKKLSIESKQGAREFLTEIKTISNIRHPNLVELIGCCSQKASRILVYEYLENNSLDHALLDPKKISVHLDWRKRSSICIGTARGLQFLHEEAVPHIVHRDIKASNILLDKDFNPKIGDFGLAKLFPDDITHISTRIAGTTKCVTIVHDIA >KGN64716 pep chromosome:ASM407v2:1:7893634:7902078:1 gene:Csa_1G077180 transcript:KGN64716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSAPEKLTLPLLQSKMKCDPEGYECELVLLYNQFKSSMELFKQQASLHFTSVGGIGSDPSVAKDLSDRAMFLAHVTHLYQKHLIEFPKQLADLLNSSSKSLPSGLRCHIAQALILLINRKMVDIQENLALFVELQTLGDRTLRKLTFSHVIHSIKRMNQKHKNEAKNRALQKILFVLLQQEDEAKAKRSLITLCELHRRKVWFDERTANAICTACFHSSPRIMIAALSFLLDYEKIEDGEDDSDEESGEDDVASQTPQVILSKELVYKAHNKGTSASKKKKKAKLERVRRSIKRQQRMSSERSSSSYSPLNHLIDAQGFAEKLFSRLRACNERFEVKMMMLKVIARAVGLHRLILLSFYPFLQKYVQPHQRDITDLLAAAVQACHDMVPPDAVEPLFKQIVNQFVHDRSRTEAIAVGLNVVREICMRMPLLMTEDLLQDLALYKKSHEKAISIAARSLIGLFREYCPSLLAKKDRGRPTDPKAKPKAYGEVAVASNIPGIELLREADGDNSDDDNGDENSEAIASGSDDDLNEVVDSIASGSDDDDLDQVVDSSDADDNQMSSDEEELADGDSAPEVDSDPGTDDENVNNSSGMETEEDEELEDSSEEQDTEYKREAMSDEIVETGSLEATTSSQDSKPKKRKHCDFDQQLVTADSSLRALKRLASTAVEKSSDPTDGILSNEDFQRIKDLKAKKDAKSALAQHGLLRNGSDAKRTASKVPNTDELSKKRVDPAKLEVHIRRRVTKEEKLALVKAGREERGKYQARAAVKQKKTGGLSNRQKEHKKAMPLAAKRSKVAKSRLDKKKKNQRSGKQFRGKKAWKQ >KGN64960 pep chromosome:ASM407v2:1:10463014:10463335:1 gene:Csa_1G168860 transcript:KGN64960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMTNKVRFKTEEEGVLVKQLYKLLTTWMNPKLHRYFPPTQKLVAIAMAPTEPSISDQTVQICLTTYTFFRRLIQPPTRHPHSSILQNASTKSPPTSNPRTR >KGN65467 pep chromosome:ASM407v2:1:15510527:15519308:-1 gene:Csa_1G423250 transcript:KGN65467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITGGKSYVSAPPAFSNDAKRLLVCTGTSVSIFSTSTGLQIASLKGHKAFVTSVTVVPASSAASKILCFCWTTSLDGTIRYWDFSIPELMKTIDIRLPVYSMVIPSLLGQLLERDVKSRDLFAYVSVQNIGVKDGKPVPVRGQILKCNLTKSRLATGVILAETQQPEYLTTSSSGSFFGIRNKRKIHVWKVPNGQFEKLGAKKITLHHTKDLTVLAFHPTQRTVAAGDVTGRILIWRGFGNRTFPVSGEEAGKKSFDSDEDRPGVRGNDDADSCSTRHWHPTEVIALSFSSDGAYLYSGGKEGVLVVWQLDTEKRKYLPRIGSPLLYFTDSPDPLLASVSCADNQIHLLKMPSMEILKSISGIKLPCSFPDVCQGSNNGFAFNQNDGLVALRSENYSIQFYSLFDDCGICEVQICERNHQPGEELTVVITSVVLSLDGSLMTTAEIRIPEGGIGGLICLKFWDSELENKKFSLSTVVYEPHRDAGISALAFHPNRRMVVSTSYGGDFKIWVCNGGLPKVQGEKNSSWMCHSVGSYKKKSMTAATFSADGSVLAVAAETVITLWDPEQNILVAVIGETLTPIVNLSFAGDSQFLVSVSQGSKPQLSVWTVSKLSISWSYKLHIEALACAVDMSSFAVLALIPESVRLQFSDSTFQGRDGMILHFNANDPVPLSTWSVRKAQGGGLAFLRSEKSNISSDEKSGHPWLVYINGDHEYTLFDPSGKEGQELSLTKQGSYHALEETGGKFGYEAIYGELPEFVSKMDQTLSAPSVPSQRPWETIFSGSSHELPPLTKLCSAFLESLLERRTVTTE >KGN64258 pep chromosome:ASM407v2:1:5009323:5012559:-1 gene:Csa_1G045560 transcript:KGN64258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLQLKPIHHHLHHYGGRPCHNNEPYQPSYIENIQVPSGCMLNHSFVSLLPSCHLLNGKRGISARSLGLFNDWRRRRNRGSDRIGHRSIVASSIAGTPVSDGSKPEKGFVSPPLSDILWPSAGAFAAMALLGKMDQILAPKGLSMTIAPLGAVCAVLFATPSAPAARKYNIFLAQIGCAAIGVLAFTLLGPGWLARSSALAASMAFMIYTGSTHPPAASLPILFIDGAKMQQLNFWYALFPGAAGCILLCLIQELVVLLKEKIKF >KGN64232 pep chromosome:ASM407v2:1:4878801:4881794:1 gene:Csa_1G044820 transcript:KGN64232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPWEEKRALIESTIIEMGLQDCADTVIGNWHLRGISGGEKRRVSIAIEILMRPRLLFLDEPTSGLDSASAFFVTQTLRALSRDGRTVIASIHQPSSEVFELFDQLYLLSGGKTVYFGQASEAYEFFAQAGFPCPALRNPSDHFLRCINSDFDKVKATLKGSMKLRFESSDDPLERITTAEAMRTLIDFYRSSQHCYAALEKVEEISKYKGTVLDLGGSQASFFMQAFTLTKRSFINMSRDFGYYWLRLVIYVVVTICIGTIYLNVGTGYNSILARGSCASFVFGFVTFMSIGGFPSFAEDMKVFHRERLNGHYGVGSFVISNTISAMPFLLLITFLSGTICYFMVRLHPGFEHYLFFVLCLYASVTVVESLMMAIASVVPNFLMGIIIGAGIQGIFMLVSGYFRLPNDIPKPFWRYPMSYISFHFWALQGQYQNDLLGLSFDNQSPLLPKLPGEYILKVVFQIDLNRSKWVDLSVLFGMIVIYRLIFIIMIKINEDVTPWIRGYIARRRMQQKNGIVNTTVAPDGLTQSPSLRSYVANHSTRPSRR >KGN65939 pep chromosome:ASM407v2:1:19915742:19916512:1 gene:Csa_1G539350 transcript:KGN65939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVDDHIGGKISAQGDKINPIALFFSLFLGKADELMAILNKTFPQLGLTKEECKETSWIESVVYTGNGLQIEDQPLEVLLNRTPLATGNIKMKSDYVKEPIPKATIEEIWQRLESQDIEGANLVFVPYGGRMSQISDSEIPFSHRAGNLYKIGYLTGWFEPGVNAEKTHLNWIRDIYGYMTPFVSKSPRAAYVNYRDLDIGSNSKYGKTSYKRARVWGLKYFGNNFNRMVYVKNKVDPYNFFRHEQSIPVLLKHKI >KGN66480 pep chromosome:ASM407v2:1:24077740:24078297:-1 gene:Csa_1G613520 transcript:KGN66480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKAYSQEYTYKHPWERVTCASWRKFADPENKRTLSHILEVDTLNRKVDAESGKLYTTRAITIHAPGPWFVRKIVGQDICHCVESTVVDARSQSMQLTTRNISLQKFIEVVEKIRYEPHPENPNGWTICKQETSIQIKPLSALASMAEKVEQRCAEKFVQNSAKGREVMERICKYLEAESSGFAI >KGN65728 pep chromosome:ASM407v2:1:18117737:18119380:-1 gene:Csa_1G522540 transcript:KGN65728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKTAILLCIASLISTMWPAAARIPGIYSGGPWESAHATFYGGSDASGTMGGACGYGNLYSQGYGVNTAALSTALFNNGLSCGACFEIKCANDPRWCHSGSPSIVITATNFCPPNFALPSDNGGWCNPPRPHFDLAMPMFLKIAEYRAGIVPVAYRRVGCRKPGGIRFTIHGFRYFNLVLVTNVAGAGDIVKVSVKGTRTGWMSMSRNWGQNWQSNAVLVGQALSFRVTGSDRRTSTSINVAPSDWQFGQTFTGKNFRV >KGN65432 pep chromosome:ASM407v2:1:15297143:15299221:-1 gene:Csa_1G421920 transcript:KGN65432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEIKGKAMEGEQLSDFSSLPEGVVAKILSLTTPPDACVSSAVSKIFHAAAQSDIVWNQFLPTDWELFISRSNPNKLKFDPIFSPKKDIFFSLCYFPVLIDDGNKSFSLEKWSGKKCIMVGARDLSITWGENSDSSWEDHPDSRFAEVAVLLFEWWFEIRGRLSCRMLSPKTVYAAYFLFKLGERSYSGFNIDPTNATVGIIGHENHPKSVCLDPYVDQPLYLDQLIQWPQWPQWRRQFERRMAGLERPHKRHDGWFEIELGEFIGGDHGDDELEMALKEVKGSSSKGGLVVEGIEIRPKKLSRLHA >KGN64993 pep chromosome:ASM407v2:1:10745176:10752545:1 gene:Csa_1G173130 transcript:KGN64993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLSSDSINPRDVCIVGVARTPMGGFLGSLSSFSATQLGSIAIECALKRANVDPSLVQEVFFGNVLSANLGQAPARQAALGAGIPNSVICTTINKVCASGMKATMIAAHTIQLGINDVVVSGGMESMSNTPKYLQEVRKGSRFGNDAVVDGMLKDGLWDAYNDFPMGACAEICASQYSITREEQDAYAIKSFERGLAAQNNGSLSWEIAPVKVPSVRGKPSSTFDKDESLRQFDAAKLKKLRPSFKKDGGTVTAGNASIISDGAAALVLVSGKKALELGLEVIAVIKGYADAAQAPELFTTTPALAIPKAISNACLHHSQIDYYEINEAFSVVALANQKILGLDPDRVNAHGGAVSLGHPLGCSGARILVTLLGVLRQKNGKYGVGAVCNGGGGASALVVELMPGARVRNSKL >KGN63766 pep chromosome:ASM407v2:1:2027207:2029351:1 gene:Csa_1G015600 transcript:KGN63766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVMNVMSSKRQRRPNVRLGEIGDISAAWACGFSHSTREKLAHKEWKHELDQTVENEDNPIVFGELEPSSPKLTVSELGVSPQISTELQLHEENKNNPNSSDLPLECPISTELIDVTKPRLKFSDVTRKCRDKKRRGRSKNVGYAILPGSWSSKHSSDGYSMDEKECEGTGNSANGFGDSSDHQTPTTSKEECGIDQPTRQERELNNAARFTSEKARHKSGNMLVKMRLEDDGTNVVSRWLEGVGFGKYAGVFEMHEVDEEALPLLTIEDLKEIGVFSVGTRRKLYNAIRQLREGGEEEEEEEAAV >KGN64499 pep chromosome:ASM407v2:1:6538262:6539089:-1 gene:Csa_1G060760 transcript:KGN64499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGNKNFSNDELICSKSNSVKSSQVKGSSSLATKDLILKTEETIKKVKSECWKKDNLATGSLLGCFESAQTLLVACTAVLPTENKKALPPSSGSSLTAPLDDLKVKVNEMVNMLKSEGHLVINGPLLACFVSAQTLLMACTTELLPTTSGRQ >KGN65852 pep chromosome:ASM407v2:1:19002540:19007429:1 gene:Csa_1G533590 transcript:KGN65852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGMYDQGGDAAPSYGAPGGGGGYGGGGGGGGYGGGGGAGYGGKGGDGGYGGGGRGGGGGGGGGRGYGGRGGGGGYGGRGGGGGYQGGDRGGGYQGGDRGGRGAGRGGGGGRGGSGRDGDWVCPNPGCGNLNFARRVECNKCGAPSPGGASDRSGGGGGGGGYNRGGGDSGYGGSRNGRGGSFHGGRSGTHDGGRNEGGSRGGNSYGGHQGGEDSGYGQVPPSSAPSSGGLAGSYPPSYHGGSSDYGTDAVPPPASYSGTTYPPTYGGPTGGYGGDSLGDGRGAAARGGPSAGYDGGYNAGGRGGYNAGGRGGSYNASGGRAGGYGSTPAAEPAQVKQCDDNCDDSCDNSRIYVSNLPPDVTTDELRELFGGIGQIGRIKQKRGYKDQWPWNIKIYTDEMGNNKGDACVSYEDPSAAHSAGGFYNNYDLRGYSINVAMAEKSAPRAPPAYNHGGGGRGGYGGGGDRRRDSYRDGGSGPDRHQHGGNRSRPY >KGN65258 pep chromosome:ASM407v2:1:13201075:13206253:1 gene:Csa_1G277450 transcript:KGN65258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGVNRYARHLRSAFSQKTCNRTDVLRRVQRQRQLIHTNSESLFIVKPDRNRFINLRTLSLDAAKLSNGDYNVAGPLVEYERRIAAGDLVDGDSCQVGTLRELQRLYDELVNSAEACRLDRYAVSEKSGRSRWLWSRFIPQSSYSPVKGLYLYGGVGTGKTMLMDLFFDQLPSNWRKKRIHFHDFMLNVHSRLQRHKGVADPLEVVAGEISDEAILLCLDEFMVTDVADALILNRLFGHLFSNGVILVATSNRAPDKLYEGGLQRDLFLPFISTLKERCVTHEIGSATDYRKLTSAEQGFYFVGKDSSIFLKQKFQQLIGKSIPGPQEVEVVMGRVLQVPLGANGCAYFPFEELCDKPLGAADYFGLFKKFHTLALDGVPIFGLHNRTAAYRFVTLVDVMYENKARLLCTAEGSPQELLEKIVTISDAQQIAPRTSTRSRKNDVSDLCVDNELGFAKDRTISRLTEINSKEYLEQHAELVAEKRNWQNGDDQDASQP >KGN63573 pep chromosome:ASM407v2:1:852429:857974:1 gene:Csa_1G004890 transcript:KGN63573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNGDGDCKGLSEVATSDPLDSSSPWGIQNVDGSSIGSPASSRYSSCGESEFERYCSANSAMGTPSMRSTITVFNDCTDSEFGYGRNFGFSDDGGLENFSLGGSERNSLDTNVVDYRKIELRDEATSEEPSTKYRSNGLDLYGADELIDSLEANGEVLCWKVESSSGLLCGVDMTNRLEKGEGSKNGKEGFIMKKEVCELGTEVDAVLGEVTNEAVHAGCLEGCTVENDMKSGQRFEEPLLPCMVENESDGELEMEDDRSENEYSGSEDSIYNFMHNNARVMSEPNLTNENPLLINSSVAFGSDDWNDFECETKGLSLKSSTEDSIQERKQHNLNSFALILNGNPIGNGMMRTDGTQMLLDCQKDRASTNFPKKVNSSLGDCATVPTIERPKEMIQVRDIPMCKVQSFEDLEDIANSTFLTEADSSYGVELDRDTKDIFVVNNQAGDANETAYNSECLVSNITEIGTGAEKFTLKPQMCAVDGNSVEQPRTPETEDNSGTVNQGLDSQGLGNVIAKVDPLGDILTNRLSTHGSDCCEDMSHSTCIPESKGHLLPVELAKLELNDFYDEVVNEMEEILLESSDSPRARFTNRYKLSQSIPSLPLRDGGSTASISGINCSDPNNPENLKIDGVEVIGARQKRGDVSFSERLVGVKEYTVYKIRVWSGKKQWEVERRYRDFYSLYCQLKSSFADRGWSLPSPWSSVDNRSRKLFGSASPDIVAERSVLIQECLCSILESRFSPTNPSPLVWFLSSQESNSSSPTSDTVVPHSNANSSASDSQKLSSLGNSISLIVEIRPYKSTKQILELQHYTCAGCYRQFDDQKTLMKGFVQSFGWGKPRLCDYTSQMFCSSCHTNEMAVIPARVLHHWDFTLYPVSQLAKSYLDSIHDQPMLCVSAVNPSLFSKVPALLHVMGVRKKIGDMISYVRCPFRRSINRGLGFRRYLVESSDFFALRDLVDLSKGAFAVLPTILETVSRKILEHIEEKCLVCCDAGVSCGARQACSAPLSLIFPFQETEMERCPSCESLFHKPCFAKLTKCHCGSRLRADETGRLSRKVSHGLGTDSDGEENGAVYSFLGKSTSISPLRSLSGLFVKSIHTTKEHKDSENIILMGSLPTGSL >KGN66470 pep chromosome:ASM407v2:1:23979020:23992939:-1 gene:Csa_1G612930 transcript:KGN66470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKYLVPSDSIPQIPKPFRRNRWKLSTVELNGKLDPKYRQELSGLLVQSYVEVGAFPHLYHIDGVFCPTSMNRITEGAQGHQLPFKKQGISAVDFDNKGIYLVSATKTGCLTVHDFESLYLQTNETGLSENENKQLLHLSLNEQLDFVRWNPDNQDEVVCTSMKSKELKIFDIGYISSKPVEVLRVRQRINNMGSDNHKGLSDIAFFSDNSRLLASDTCGVINMWDRRIGILPCLELTSNSCCTLNRIQLNVENQIIFGAGKHGVIYIWDLRGGRTSGAFQNHKEVCHPPLKSFKLASLIEKIGTLKEQTNIMPKEIHSIDFNPACPYQLAFHLDDGWSGILDVYNFQVTHIHCPPPAWINDSNIPTDQLFLRKPSWLPTDSIYVVGSSSDEGIHLLDFHPDSRSPSHVEYNDELCGAEAEHKKRQNRFVKLSEGVTACAAHPLNGTIFAGTKNSSLIMISQKSQSC >KGN63904 pep chromosome:ASM407v2:1:2892542:2892754:1 gene:Csa_1G025855 transcript:KGN63904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKRRENIKECVGKVCGELISPYPPGIPVMIPGEIISEEAVDYLLHLKGKVASISGASDPKLSSLLVCNV >KGN65482 pep chromosome:ASM407v2:1:15665992:15669204:1 gene:Csa_1G424875 transcript:KGN65482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMKQFFSEIKGLKVKELPAYVKPMLSIDAAKKAVERSLDNYNAKYIQTSSIDPLLHVCFGGMIFSYLVALPEERRHLEHQQHAKEHGGH >KGN64669 pep chromosome:ASM407v2:1:7532370:7540886:1 gene:Csa_1G073760 transcript:KGN64669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLLQWKLLPLLTLLASIFLFFYLKDPSDNECSLLPHKHFWITSKRIVTPQGVISGAVEINGGKIVSIVKEEEKHGKIMGNHVVDYADAVVMPGLVDVHVHLDDPGRSEWEGFPSGTKAAAAGGVTTLVDMPLNNFPSTTSEETLKLKIKAAEGRIYVDVGFWGGLVPENAFNASALENLLKAGALGLKSFMCPSGINDFPMTNITHIKEGLSVLAKYKRPLLVHSEIEQSSPSPVQLEGSQDDPRTYSTYLATRPPSWEEAAVRELLKVTSNTRPGGPAEGAHIHVAHLSDSGSTLELIKEAKRSGDSVSVETCTHYLAFSEEDIKDGDTRFKCAPPIRDKANKEKLWDALMEGHIDMLSSDHSPTVPHLKLPDSGDFLKAWGGVSSLQFDLSATWSHAKKRGVTMEQIALWWSERPAKLAGLELKGAIAIGKHADIVAWAPDEEYDVNDIPVYLKHPSISAYMGMKLSGKVLATFVRGQLVYEEKHAPAACGTPILARVTD >KGN64305 pep chromosome:ASM407v2:1:5264008:5266858:1 gene:Csa_1G046030 transcript:KGN64305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRGVDFKWYDGFFLSMLATSIIIVTINWRRYNTCTYPLHIWIVVDYTSVFAFRLLLFIDNALSAGMGLDFGWQQRYARFCGRVVVLSILSLLLYPFLCAWTVIGTLWFRDAKDCLPEEGQKWGFLIWLLFSYCALLCIACMSVGKWLVRRQAHLFRAQQGIPVSEYGVLVDMIRVPDWAYEAAGLETRAIGQDATGYHPGLFLTSAQREAVEALIQELPKFRLKAVPTDCSECPICLEEFHVGNEVRGMPCAHNFHVECIDEWLRLNVKCPRCRSSVFPNLDLSALSNLHSDTEQTSHNAFVTTQPSSLSRSTPTHAAHTENSLQTDTALDALENGNSPMPSASPH >KGN63828 pep chromosome:ASM407v2:1:2391254:2391541:-1 gene:Csa_1G024140 transcript:KGN63828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDTLQSVGDKHQTDDTKYYQSSSFSLYNHTHPIENFSFFNFTFLIFSKNQDPNSSHAILTFVAIAKTDRTDSFCYSVTSSHSSKFGESARWVCR >KGN64362 pep chromosome:ASM407v2:1:5692344:5692812:-1 gene:Csa_1G050010 transcript:KGN64362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEQPKENTWRSGILLCLARISSQDRRHETDLQIAATERRKKMECEKENRNGRKWKTLDQKSKARRFIGPRSEFVWFLLPVERESCTRGAIALAQLPVVQFSPR >KGN63734 pep chromosome:ASM407v2:1:1851574:1853321:1 gene:Csa_1G014310 transcript:KGN63734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRNNSKDQKGCEAKEEGVLVRSSSNNNNDGKYSFPKAPTSSSRQWSGFRNPRIVRVSRSFGGKDRHSKVCTIRGLRDRRIRLSVPTAIQLYDLQDKLKLGQPSKVIDWLLDVTKLDIDNLPPLQIPPGFSPFHQQMLFPQYVDPHHHYYTSSSSSSSSSSSSSRFCNSLPPPFMLDVKSTDAYQTTMTVEKTKLWDMDLAVLQDKAGSATTAATTTKGKWIDGSNNDSNSTQQVEDYNEQISSQKLLSMAICSSNLPNMMNNNNSTPYNNYNSSHDHNHRQQPSCLSLSQFGNNNNSNGVFPSQLVETAAQGSNSSSSSSSLFFTPYASYITNPFESHIHFLSSNSHALSNPFMSSSLHPFATSQNLKPFPPPSFNLKQLFHAADTNNAGGSAGAGSSAKDGAAGNS >KGN66237 pep chromosome:ASM407v2:1:22118620:22120914:-1 gene:Csa_1G587970 transcript:KGN66237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPYRDSFPSDHDDLDLHYSSSHHLFFPILTPQASSSSSSSLSFTALDHSMISDDPLARSIELKHEGGVIMGCNNDQSIGNHEDHMEETGLRFTIWKQIDKRETSSCCENNNNDSTHNDSVKWSSSSSSSKIKFMINSNQTETTLTRTIESGRNVQDLNNSPSPSSFEQTNKRTSTTTLHDGGAIIRTCSDCNTTKTPLWRSGPRGPKSLCNACGIRQRKARRAMAEAAAAAANGGAVVVKTNKVVQHKITTKPATTLKRKYKDEVVVVGGDKKGGGRKKLCFEEIKMGGRLSEISSSYQRVFPQDEREAAILLMTLSYGLLHG >KGN65781 pep chromosome:ASM407v2:1:18459271:18466407:1 gene:Csa_1G528460 transcript:KGN65781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKQLKEMSVQEYLDKYMLSRKIEEAVNAAVRAKTPDPVLFISNHMKKAIPSVITKIKARQILDSRGIPTVEVDLYTNKGVFHASVPSGDPAGMYEAVELRDGDKGTYLGNSVTKAVKNINEKISEALIGRDPTQQYEIDQAMKDLDTTEKKGELGANAILAVSIAVCRAGAAEKEVPLYKHIADLAGKTNLILPVPAFTVLSGGKHAGNNLAIQEIMILPIGASKFEEALKMGSETFHHLKAVITEKHGAHGCNFGEDGGFAPNISSFKEALDLVEEAINRGGYNERIKIAIDVAATNFCMGTKYDLDFKAPNKSVQNFKSGKDMIDMYKELCADYPIVSIEDPFDREDWDHTKHFSSLGICQVVGGDLLMSNKKRIERAIDEFTCNALLLKVNQIGTVTEAIEVVKLAKDAQWAVVASHRCGETDDTFLADLSVGLSTCQIKAGAPCRGERLAKYNQLLRIEEELGDQAIYAGEDWRAAC >KGN64899 pep chromosome:ASM407v2:1:9864965:9866079:1 gene:Csa_1G150980 transcript:KGN64899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDFVSCFSEHSINISHPSCSSYSNAAVAAVSAVVSPPSPSVKTAVTSLYATTLSAAHNQTMMISVTWMKTHSAQSLAINFTQSPAAIAFKLDTTARFFKKNKGSKSYDFQSSKIEILWDLAAAIYDGGPEPISGFYVLITVDSEIALILGEIPDSSRFNEDPEGRKWWLISRTEHCSGNTLFSTRAKFSENGVIHEISIRCSGEEEGGKRQTPGLWVWIDKKAVIRVKRLQWNFRGNQSIFVDGLLVDLLWDVHDWFFGSAMNGFGVFMFRRRSGLESRLWLEEEKNIISNNHHIQKNLDFSLLIYASKTS >KGN64065 pep chromosome:ASM407v2:1:3879621:3880550:-1 gene:Csa_1G039300 transcript:KGN64065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCPREERRRYIQQKERNFELPSNDIQCHTLLFCPANSYSSLLVHLIPCSIWSFAIAFVGENSFKEILESSQRT >KGN63486 pep chromosome:ASM407v2:1:324569:327445:-1 gene:Csa_1G002060 transcript:KGN63486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMGEESPRSPEAKVGMQVEDLWDIQEPQLSPTEKLNACFESIPVSAFPPAPLHQGIEIRSDSSLAEAVQILAEHKILSAPVVDVDAPDHASWIDRYIGIVEFAGIAVWILHQSEPPSPRSRSGGNALAAATNGAISPLEQQVLGPESAAATPGSFFESLTSSELYKSTQVRDISGSFRWAPFLALQTSNSFLTMLLLLSKYKMKSIPVVDLGEGKIENIITQSAVIHMLAECAGLQWFERWGTKTVSELGLPMMSPASIVKVYEDEPVLQAFKLMRKKRVGGIPVIEKGGRAVGNISLRDIHFLLTAPEIYHDYRSITARNFLTAVRDYLEKHEESSPMLSNMITCKKDNTIKDLILMLDSKKIHRVYVVDDDGNLEGVITLRDIISRLVHEPRGYFGDFFDGVLPLPQNSRV >KGN66481 pep chromosome:ASM407v2:1:24079957:24081323:-1 gene:Csa_1G613530 transcript:KGN66481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQLPSPNSLTSTSSLSFFNRPPKSDLRCAARLTGRRSPRCTATLQADLKGQPALIGVALSDFLHGFRRQSSRSTPNHLSPSSR >KGN63475 pep chromosome:ASM407v2:1:247573:248331:-1 gene:Csa_1G001470 transcript:KGN63475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADWGPVIIAVVLFVLLSPGLLFQIPAKGRVVEFGNMQTSGASILVHAIIYFGLITIFLIAIGVHIYTG >KGN65863 pep chromosome:ASM407v2:1:19068707:19069126:1 gene:Csa_1G533700 transcript:KGN65863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKLLVQDIYKLRGLYQFSFPSTTLVLTCEEKEIRRVRYASQLHLGTCNCIRVSSRNNYNNTTHFPTQYTAFGKDAPFFAEQQFQSSDFRGKAAGGLSHSQQNFPLPIPGLPNILPVSTSHLKFLNPSLFFISALFSIN >KGN65093 pep chromosome:ASM407v2:1:11615492:11621656:1 gene:Csa_1G207310 transcript:KGN65093 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation factor Ts MSVISPSSISNVSLVPIANHTGKTNSSTRFSFSRKPTKHTFHNQRFLLPLSTSVRLFPNCTKNLFCSHGRRIRIFSATGTDVAVEESDSPVSGEESTQNSELTSGAISTNEETPVKSDVAPTQTKRSRPVRKSEMPAVNNEELIPGATFTGKVRSIQPFGAFVDFGAFTDGLVHVSRLSDSYVKDVASVVSVGQEVKVRLIEANAEAGRISLSMRENDERKESPASNDKPGSSRKSAPKARGPRRDEVKKSSNFVKGQDLQGTVKNITRSGAFISLPEGEEGFLPSSEETFEGFGNLMGGSTLEIGQEVNVRVLRIARGRVTLTMKKDEDNDKSDSQFIQGKVYAATNPFLLAFRKNNDIATFLDERESIEEAANKSVVQKVTEIVEGIVDADQIEADDKVEKSVPPAVDEAVKEDEPERSADSSAVAQDDSKSILSTSEGVVDGVVDAENKEAEGNSEIKASDDNQLPNDLAVDKSEVLDDSSSDVLVTQDEGESTLSTSDNIVDAVTDTTEKKAGESSEVKQSEDEQSEEVRVVEAAQPIDGPETDGQVAVPDDEANKLVSSESSVSEELVAGEDSVAAEKESEQSRKDLENEIVSASSSEKEEDKPESDSNGSITSLGQSGEEVAESQVDIESPAENPEVVSSAPVIEEKIATAPERSADPPEEVAPKAAISPALVKQLRDDTGAGMMDCKKALAESGGDIAKAQEFLRKKGLASAEKKASRATAEGRIGSYIHDGRIGVLIEVNCETDFVSRGDIFKELVDDLAMQVAACPQVQYVVTEDVPEEIVNKEREVEMQKEDLLSKPEQIRSRIVEGRIGKRLEELALLEQPYIKNDKIVLKDWVKQTIATIGENMKVKRFVRYNLGEGLEKKSQDFAAEVAAQTAAKPAAAPAVKEEQPSVEEAKETAPKAAAVAVPAALVKKLREETGAGMMDCKKALSETGGDLEKAQEYLRKKGLSSADKKSSRLAAEGRIGSYIHDSRIGVLIEVNCETDFVGRNGRFKELVDDLAMQVVACPDVRYVSIEDIPESIVKKEREMELQREDLQNKPENIREKIVDGRISKRLGELVLLEQPFIKDDSILVKDLVKQTVASLGENIKVRRFVRFTIGETVADANEKTKA >KGN66496 pep chromosome:ASM407v2:1:24228670:24232620:-1 gene:Csa_1G615150 transcript:KGN66496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPLSMNSTIAKFRTKLSSISQGDVCKVLAFLGLTLFMIYVFIFSPPNYQPSDLLTTLKQKFPIINTSPLSTSLSLTDPPTNASHIMFSIVGSMNTWKYKRYYSESWWRPNVTRGHVFLDRSPSAEFLPWSDSSAPFRVNEDIRGFAVYPRIKWPDQVRIFRTVMESFREGDKDTRWFVMTDDDTIIFVDNLVKTLGKYDHKKHWYIGMNSECVKSNFDFSFDMAFGGAGYALSYPLAALVAKRLDGCIERYPHLRVSDQMLFFCLSDLGFTITHEIGFHQIDLRGDASGYLSYHPQTPLLSLHHIDLINPIYPNMDRPAAIRHLMKAGAVDQSRLLQQTICYHRPLNWTFSMSWGYSAHIYEAIMSRNYLKRPLETFAPFERTHAPVFMFNTRWGVLDNPCEAPHVLFFESIERDGEDRIVTTYLRKWARNLPSCASSGNHSAESISKIRVFSSAKIPLEAGGAECCDVRMLDANVTEVNYRPCYSGEVMA >KGN66901 pep chromosome:ASM407v2:1:28869631:28878051:1 gene:Csa_1G707660 transcript:KGN66901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSEEEDDFPSIESIIPQSKVDSLYQSHTEQGIRRLCCELMDLKDAVENLCGNMKTKYLAFLRISEEAVEMEHELAELQKHISSQRILVQDLITGVCHELEQWNQSDDTDEVKDGAKSYDPQDSLSKLEDGRNMAFLENIDILLAEHKTEEALEALDAEERNSPELKATGEVSSEVSLYKSAFLKSKAMLEEQLIEISEQPFVDPLELRKALTGLLRLGKGSLAHQLLLKSFGSRLQRSTSAFLPSCAACPKTFSATLSKLVFSAISLATKESASIFGDDPIYTNRVVQWAEWEIEFFVRLVKENAPSSEIVSALRAASICIHASLNFCSLLETQGLKLSKLLLVLLRPFMEEVLELNFRRARRGILDLAEPDDNFVLSSRFASSLSPFLTSSDSLLVVSGMKFMHIVDDILEQLTSSAIFHFGGNVLNRISQLFDKYMDALRRTLPGPSDDENLTELKEATPFRVETDSEKLAILGIAFTIMDELLPDAVMTIWKRQDELVQKNESTETAVYNSGSSVELKDWKRHLQVSFDKLRDHFCLQYVLSFIYSREGKTRLDAWIYITGDGEDLHWGSDPRPSLPFQALFAKLQQLATVAGDVLLGKEKIQKILLARLTETFLIWLSDDQDFWGVFEDNSINLLPIGLQQLILDMHFTVEIARFAGYPSRQIHQIASAIIARAIRTFSARGIDP >KGN65925 pep chromosome:ASM407v2:1:19759921:19767267:-1 gene:Csa_1G538230 transcript:KGN65925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVMHRDSLNKQMDTSKYVRYTPEQVDALERVYAECPKPSSLRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKEASRLQTVNRKLTAMNKLLMEENDRLQTQVSRLVYENGYMRQQLHTASGTTTDNSCESVVMSGQQHQQQNPTKHTQKDANNPAGLLAIAEETLAEFLSKATGTAVDWVQMIGMKPGPDSIGIVAVSRNCNGVAARACGLVSLEPMKVAEILKDRLSWFRDCRCVDVLSVISTGNGGTIELLYMQTYAPTTLAAARDFWTLRYTTSLEDGSLVICERSLTSSTGGPSGPPPSSFVRAEMLPSGYLIRACEGGSLIHIVDHVDLDVWSVPEVLRPLYESTKILAQRTTVAALRYVRQIAQEASGEVQLGGGRQPAVLRTFSQRLCRGFNDAVNGFVDDGWSLMDSDGVEDVTVVINSSPNKFLGSQYNTSLYPTFGGVLCAKASMLLQNVPPALLVRFLREHRSEWADYGVDAYSAACLKASAYAVPCARPGGFPGGQVILPLAHTVENEEFLEVVRLEGHAMFPEEAALGGRDMYLLQLCSGVDENTVGACAQLVFAPIDESFADDAPLLPSGFRVIPLESKAEMPGATRTLDLASTLEVRPGTNRPGCETDVTNYNLRSVLTIAFQFTFENHMRDSVAAMARQYVRTVVGSVQRVAMAIAPSQLGSQIGPKSLPASPEALTLAQWITRSYRIHSGAELFQVESQSGDAILKQLWHHSDTILCCSVKTNASPVFTFANQAGLDMLETTLVSLQDITLEKILDDAGRKILCSEFSKIMQQGFAYLPAGICVSSMGRPVSYEQAIAWKVLNDDDVHHCLAFMFVNWSFM >KGN66360 pep chromosome:ASM407v2:1:23030971:23031376:1 gene:Csa_1G599540 transcript:KGN66360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAAKRFQGLMVTMMVVVLIAISSSSAIVAAQEAPAPSPASDASLSIPTLMASFVPLVFGFFFI >KGN65434 pep chromosome:ASM407v2:1:15308777:15314850:1 gene:Csa_1G422430 transcript:KGN65434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQTRYMERTNSMREKRGLEGGEDELPERKRPALASVIVEALKVDSLQKLCSSLEPILRRVVSEEVERALAKIGPARISGRSSPKRIEGPDGRNLQLHFRSRLSLPLFTGGKVEGEQGAAIHVVLVDSNTGHVVTSGQEALTKLDIVVLEGDFNNEDDEDWTEEEFESHVVKEREGKRPLLTGDLQVTLKEGVGTLGDLTFTDNSSWIRSRKFRLGLKVASGFCEGVRIREAKTEAFTVKDHRGELYKKHYPPALNDDVWRLEKIGKDGSFHKRLNKNGIFTVEDFLRMVVRDSQKLRSILGSGMSNKMWEALLEHAKTCVLSGKLHIYYPEEARNVGVVFNNIYELNGLITGEQYFPADSLSDSQKVYVDTLVNKAYENWNQVVEYDGKSLLSSKQPKKSTASRNDFQGGHLDLSNTLDHGSLARMPVSVQPQQPVVDSGLSVAGYNDSTATRYSTQPQFVNSTSRPQFDNSPYTSNELMGNSNQVHIARNDNSTFGLALGPPQASSSGFQALGSSMQESNLNPFDWSNNRDKGVDDFFSEDEIRMRSHEMLENEDMQQLLRMFSMGGHASVNGHDEGFSFPSFMPSPMPNFDDRNRSGKAVVGWLKIKAAMRWGFFIRRKAAERRAQIVELDDE >KGN65642 pep chromosome:ASM407v2:1:17163988:17164354:1 gene:Csa_1G477520 transcript:KGN65642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCLILAENNTTTKIQNTSVTIAPTYTAINTSFGHPLDTSLSIKLDDKKFGLWRGMVLAVLRGQKVDGYVLGAKSQPPQSLKSNDEASSSTSVLIQPLKNRQQQIKLFLDGYMVQ >KGN66705 pep chromosome:ASM407v2:1:26673289:26677639:-1 gene:Csa_1G661230 transcript:KGN66705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMHYFQEHGHRVRLATHANFKDFVLSTGLEFFPLGGDAKVLADYMVKNKGFLPSGPSEIHAQRNHLKDIIFSLLPACQDDDPESKIPFKADAIIANPPAYG >KGN65811 pep chromosome:ASM407v2:1:18714517:18719453:1 gene:Csa_1G531700 transcript:KGN65811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTPVSAARQCLTEEAARALDDAVSVARRRCHAQTTSLHAVSALLSLPSSALRDACSRARSCAYLPRLQFRALDLSVGVSLDRLPSSKPTDEPPVSNSLMAAIKRSQANQRRHPESFHLHQIHNQQQTPSILKVELKYFILSILDDPIVSRVFGEAGFRSCDIKLAIMHPPLTHHASRFPRSARCPPIFLCNLTDSDLGHRNFPFPFSGGYGNGDDDANTRRIGEILVRKTGRNPLLIGVYAADALRSFTDCLQRCKTESLPAEISGLRVICIEKEISEFVSGNGSKETMRSKFEEIFGMIQQCSGPGIVVNYGELSGFFKEEEEEEEEEEEEEEDEEEVHNGMSFVVSQLTDLLKLYNGKVWLIGAVGTYKMHEKFLAKFSAIEKDWDLHLLPITSKPMVDVFGAKSSFMGSFVPFGGFFPSQSNFPSQLSSPNQSFTRCHQCTDKFEQEVAAIWKPGSSTVLGHHSESSLHMSPTEIDAKCKEFDMYKTRDDRSAMSDKVIGLQKKWNDICRLHQRQLFPKLDISHTMHGVSFESPRFALDHERSGEEPSSVTGDRFVIGHPCLSRDLQNNLNTKQTRQISEISDSHTDNFQSNIVSGASPGEAESLRIFSKPVVPKGHLHSDKPLPSSFISVTTDLGLGTLYASAGENKRKIVDLESQKVSIQHLTGSNKTEYSRPSNNNPGQSSGFSDLSAGQVLDIREFKSLWNALNEKVSWQGKATSSIVETILRCRTGGGKRRSSNSRGDIWLTFLGPDMMGKRKISFALAELMFGSRENLISVDFGSQDRDRRHNSLFDCQGLNGYDERFRGQTVVDYVAGELRKKPSSVVLLENVDKADVRAKSCLSQAIATGKFLDSHGRQFTINNTIFLTTLPNKVKKTSNLDSEEQTEFSEDRILAARNCQMQITVQGFTSDVSKCKNTNVRITSAPRGSSNLSIFKKRKLDNEFTELKKASSSSMSFLDLNLPLEEVEDESNEGDCDSDSASEGSEAWVDEFLEQVDEKIMFKPYNFDEAAEKLVKEINLQFRRVFGSEVVLEIDYKIIVQILAAKWLSEKKNAMEEWLELVLHRSFVEAEHKYQMGCGSVIKLVCKEDCVMEDQAAGIFLPAKIKLN >KGN64607 pep chromosome:ASM407v2:1:7153642:7155507:-1 gene:Csa_1G071210 transcript:KGN64607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLSYQKRSSSSSYRISKVQQKGSTKISTADDSIKQHQSINDTLLIVSAAQTFELGFFNEPKASNLRYLGIWYKGIPDVVVWVANRDNPILNSNATLTFNGDGNLVLINQTGFRFWSSNSTSSIQNPIAQLLDTGNLVLRDLNSGSENYEWQSFDYPSDTLLPGMKLGWDSKTGLNRKLTSWRSPNNPSSGEFSFSINTNGLPQFLVSKRNKTMYRGWPWYDHDFGQGYGNGFDYNLVFNTSMEISFSYNYSARTRIVMDSSGFVNRYVWSDVEEGWRNEFTFNGAGCNDYDLCGDFGICDAVVTASCGCLDGFKPISTQNFSNGCVRKDQDICKVGDGFKRISNVKWPDSTGELVKMKLGVQDCAGECLNNCSCLAYGTVGIPKIGSVCVNWFHKLIDVRYVRDVGSGDDLFVRVAASELKSVQSDDGKRNAAESDDEKRNVAVVVAVPIVSVTIFLALLVGGCFLIKRRANGKPFSSFCQYRLNLFINCTILNLYRIAIFATKSHVGNDEVVIVMEPPIHENKLEMPIGVVEAATENFSISNKIGEGGFGAVYMVLF >KGN65303 pep chromosome:ASM407v2:1:13614259:13619450:1 gene:Csa_1G305760 transcript:KGN65303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFAGSSVAAGGRAAKKAIEFGKTYVVRPKGKHQATVVWLHGLGDNGSSWSQLLETLPLPNIKWICPTAPTRPIALFGGFPSTAWFDVEDLSEDGPDDLEGLDASAAHVAYLLSTEPADIKLGVGGFSMGAATALYSATCHAVGKYGNGNPYPANLSAVVGLSGWLPCSKTLKTNMEQKNAGNSGAGSLPILLCHGKVDDVVLYKFGEKSSEALRSSGFKDVTFKSYNSLGHYTVPEEMDEVCAWLTSKLGL >KGN66142 pep chromosome:ASM407v2:1:21408196:21414950:-1 gene:Csa_1G573610 transcript:KGN66142 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium/hydrogen exchanger MTPILSYVVSKWQALSTSDHASVVSINLFVALLCACIVIGHLLEETRWMNESITALFIGLFTGIIILLVSGGKSSHLLLFSEDTFFIYLLPPIIFNAGFQVKKKQFFVNFMTIMMFGAIGTLVSCSVISLGAFQLFKKMDVGSLEIADILAIGAIFAATDSVCTLQILNQDETPLLYSLVFGEGVVNDATSVVLFNAIQSLDLSKVDATVGLHFIGSFFYLFSTSTLLGVFVGLLSAYVIKKLYFGRHSTDREVALMILMAYLSYMLAELLDLSGILTVFFCGIVMSHYTWHNVTESSRVTTKHAFATLSFISETFIFVYVGMDALDIEKWSFVSDSPGTSVAVSAILLGLVMVGRAAFVFPLSFISNLAKKSASEKITFREQVIIWWAGLMRGAVSIALAYHQFTRAGHTQLRGNAMMITSTITVVLFSTMVFGLLTKPLINCLIPQPKHTTSMLSDPGTPKSFSVPLLGSAQESELDLPRPSSIRALLATPTHTVHRYWRKFDDAFMRPMFGGRGFVPFVPGSPTERGRH >KGN65038 pep chromosome:ASM407v2:1:11106016:11110768:1 gene:Csa_1G181500 transcript:KGN65038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPGKLFIGGISWDTDEDRLREYFRNFGEVVEVMIMRDRATGRARGFGFVVFADPVAAARVVLEKHVIDGRTVEAKKAVPRDDQNILSRNNTGILGSPGPTRTKKIFVGGLASTVTESDFKKYFDQFGTIVDVVVMYDHNTQRPRGFGFITYESEESVEKVLYKTFHELNGKMVEVKRAVPKESSPVPNRNQLAGYPFNFGRVGSYLNGYNQGYNPTAVGGYGLRSDGRFSPVTVGRGGLSPISPGYGMGLNLETGLNPNYGTGPNVSSNLSYGRVMSPSYSGNLNRYGSPNPMVYSGGGGNGSILSSSVQNLWGNVSTSAGTNSSHLRTFPGSGGVHTGTSSLNNIGGLWGASASLGHGENAGSSFNTVNLDFGNGDASFTSGTTVGYARSIGTNVSSASLYSAPNIYDEVHGNNDEGNTFYGHSSWQSLPTELEDSSSIGFGLGNAASDVISRNNAGYTVGYGVSNRQSNRGIAA >KGN66085 pep chromosome:ASM407v2:1:21063433:21066518:-1 gene:Csa_1G570100 transcript:KGN66085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWAIALHGGAGDIPLSLPSDRRQPREETLRRCLQIGVQALKSQKPPLDVVELVVRELENCPHFNAGRGSVLTTKATVEMEASIMDSTKRCGAVSGLTTVVNPISLARLVMEKTPHIYLAFDGAEAFAREQGVETIDSSYFITPQNIERLQQAKEANRVQIDYTQPLPQATEQETENPSGDSQIGTVGCVAVDNHGNLASATSTGGLVNKMVGRIGDTPLIGAGTYANHLCAISATGKGESIIRSTVARDVAALMEFKGLSLEEAAASVVESVPKGNVGLIAVSASGEVAMPLTTTGMFRACATEDGYSEISIWSSKHD >KGN64121 pep chromosome:ASM407v2:1:4244454:4251668:-1 gene:Csa_1G042330 transcript:KGN64121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARDGGPRGRKRGFFNGEGVMEFFHHLMAEKPLLPFLIPLVLIAWSIERWVFSLSNWVPLAVAVWATLQYGSYQRQLIVDELNTKWRRIITNTSPETPLEPCAWLNKLLMEVWPNYFNPKLSTKFTSTVNKRLKDRKSRLIEKIELLDFSLGSCPPSLGLSGTRWSTCGDERIMHLSFDWDTNEMSILLQAKLGKPFMGTARIVINSLHIKGDLVLMPILDGRAVLFSFVTTPDVRIGVAFGSGGSQSLPATELPGVSSWLVKIFTDTLVRTMVEPRRRCFSLPAVDLRKKAVGGIVYVTVISARKLYRSSLKGSPTRRQQSYSANNGSFGEHLTDKDMQTFVEVELEKLSRKTDARSGSDPQWNTTFNMILHEDTGTLRFHLYEYNPSHVKHDYLASCEVKMKYAADDSTTFWAIGPDSSVVAKYADFCGKEVEMDIPFEGAHCGELRVRLVLKEWMFSDGSHSSNRYHVSSQQSLYGASSFLSSTGRKINITVVEGKDLPTKDKNGKCDPYVKLQYGKALQRTRTAHSFNPTWNQKFEFDEIAGGEYLKLKCLTEDIFGNDNTGSARVNLEGLVEGSVRDVWIPLEKVNSGELRLQIEAIRVDDNEGSKGSSLAPTNGWIELVLIEARDLVAADIRGTSDPYVRVQYGKLKKRTKIMYKTLSPQWNQVLEFPDNGSPLLLHVKDHNALLPTSSIGDCVVEYQGLPPNQMFDKWIPLQGVKRGEIHIQITKRVPELDKRSSLDSKTSLDSEFPMNKAHQVSSQMKQMMNKLQTFIEDSNLEGLATAMSELESLEDLQEEYMVQLENEQMLLINKIKELGQEFLNSSPSLSRRSSGY >KGN63976 pep chromosome:ASM407v2:1:3460566:3461919:-1 gene:Csa_1G032460 transcript:KGN63976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLALQYDSDDDSFKANLLHMTPDLLDPNYEPVHESEREANHQAACGILYYITFDVKEAGAAPELPMTTFQARVLYGIRVIEVELCRPEPPPKN >KGN65312 pep chromosome:ASM407v2:1:13700841:13705977:-1 gene:Csa_1G319810 transcript:KGN65312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWKKDDVEKLKHPPAEYSFIDQDHWDEFVASRLKEDFEKKSEDGKLKRSLYKYNHRTSRKGYANLVEELRASSSSDQIDRSIVWKHASFDRKGEFPDKETMDVANLIDDLMGNQKGRSLSDGDDVLTQAYLHTIMVQGRSSSLFKFMDAGSVSYLSYKQSCAQLLNARLLGAEYDQVVLFPYNYGNHWTLVVVNPTRVLHIGLTR >KGN64668 pep chromosome:ASM407v2:1:7528188:7531034:1 gene:Csa_1G073750 transcript:KGN64668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGGEERDDGVMATDFFWSYTDEPHASRRRQILSQYPQIRELFGPDPWAFFKISVVVLLQLWTATTLHSADWLKILAIAYFFGSFLNHNLFLAIHELSHNLAFSTPDYNKWLGIFANLPIGVPMSITFQKYHLEHHRFQGVDGMDMDVPSQTEAHLVTNVVSKAIWVIFQLFFYALRPLFLKPKPPGHWEFINFIIQIALDVAMVYFWSWKSFAYLILSTFVGGGMHPMAGHFISEHYVFNPKQETYSYYGPLNLLTWSVGYHNEHHDFPRIPGSKLYKVKEIAPEYYNELDSYKSWSQVIYMYIMDKTVGPFSRMKRMPRKSE >KGN65484 pep chromosome:ASM407v2:1:15681099:15684611:-1 gene:Csa_1G424890 transcript:KGN65484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYPHNYRKEKSKDNKNIAYNRTFTWHDMEKMHARINDTDAIGEPLEMDSSESFRMHEQSTNKPCRLSTIGNWLQCQQVIDGVGGGNGGICGKWRRAPLFEVQTDDWECFCSILWDPTHADCAVPQELETGQVSKQLKYIEMLRPRLASKRRKLDEVKSRSDVQNLTEDTEHKP >KGN64033 pep chromosome:ASM407v2:1:3706754:3710565:1 gene:Csa_1G039000 transcript:KGN64033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCCLRVEREKERERAYMSHCVVPKWNLSHERQVQVEEEEEKRSFHVPAEKNQHSTTTKPLVPFYQQMAKQGITELTWQNGQLALHGIDGLQPTIPPKPTWNRANDTLESVVNQAKLQTQGPNLIQQGEPVVHTGRTLAPSGANGKWVERGNNQEPTARKRTRSTSDYGGKNVSTSNNNNNNNSNTMQVDHGDHSVCGSASAAFCRDNETTLMTWASFDSPRSLKTKSIDEDSACHVESENQEEEQDTKRVANRSHSARRSRAAAIHNQSERRRRDRINEKMKALQKLVPNASKTDKASMLDEVIEYLKQLQAQVQFMSVRSMQQMIMPIGMQQQLQMSLLARMGMGVGLGMGMGMLDMSGMARSAQQTLPPLIHPTSVPTTPPAFVPPHFLLPPSIPRQDPTQAKPATNGSVDPFCAFLAQTMNMDIYNKMAAFYRQQVNQTTNAMSSPTQSNNMQGS >KGN65222 pep chromosome:ASM407v2:1:12906461:12923281:1 gene:Csa_1G267180 transcript:KGN65222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPDGETNPTTEVSDNFRPTSFVPVERLMGPSLMNDQDAGSSTRTSEKDPRRIARKYQLELCKKALEENIIVYLGTGCGKTHIAILLIYELSHLIRSSQNGICVFLAPTVALVQQQAKVIEDSLDFKVRVYCGGSKILNSHYDWEREMEEYEVFVMTPEIFLRNLYHCYIKMDCVELLIFDECHHAQVKSDHSYAEIMRVFYKANDPKRPRIFGMTASPVVGKGACHQQNLSRSINSLEKLLDAKVYSVENREELHAFVSSPLVNIYYYGPVANGSSSSFMSYSSRLEDVKRKCIVALGQVKSEHEVLLATKKLLFRMHENILFCLESLGVWGALQACKILLSGDNSERSELIEAAERNPKNDSLSDRYLNQAAEIFASGCKKDGGISDMLNVDILEDPFFSKKLLRLIGILSSFRQQLNMKCIIFVNRIVIARSLSYILQNLNFLAYWKCDFLVGVHSKLRSMSRKTMNHILTKFRSGELNLLIATKVGEEGLDIQTCCLVIRFDLPETVSSFIQSRGRARMPQSEYAFLVDSGNEKELGLINEFRKDENRMNREIYSRSSNETFDSHEESIYRVASTGASITSGRSISLLHEYCSKLPHDDYFDPKPQFSYYDDLGGTVCHVNLPSNAPIPQIVSRSQSSKDAAKKDACLKAVEELHKLGALSDYLLPMRGRGSANEQESGLNSSDSDSSEDETSRRELHEMIFPAALKESWTGSGYLVLYCYHIKCTPDPRDRNYKEFGLFVKAPLPQEAERMGLELHLARGRSVMVNLIPSGVVELLEEEITQAESFQEMFLKVILDRLEFVQEYIPLRNNASRSVSSSYLLLPMIFHDNEGSLFIDWNVIRRCLSSKIFQNDACLIVKGTASSDTHLMLYDGHRRSSDIENSLVYVPYKGEFFFVTNIERGKNGHSQYKNSGFSSHFEHLKTKFGIHLNYPEQPLLRAKPLFLLHNWLHNRKREDSEARHLEEYFIELPPEVCQLKIIGFSKDIGSSISLLPSIMHRLENLLVAIELKCRLAAAFPAGAEVTANRILEALTTEKCQERISLERLEILGDSFLKFAVARYLFLTHDKFDEGELTRRRSYLVKNFNLLKLATRKNLQVYIRDQPFEPSQFYLLGRPCPRICNEETSKDIHSHDDATNNAKANETKCSKGHHWLQKKTISDVVEALVGAFLVDSGFKAAIAFLKWIGIQVEFEASLVTDALMASNAYVLLADSIDISALQNSLGHRFLHKGLLLQALVHPSYHKHGGGCYQRLEFLGDAVLDYLITSYLYSAYPKLKPGQLTDLRSVFVRNEAFANVAVDRFFYKFLLCDSTSLLSDIKSYVHFIKAPPFERDSLEQPRCPKALGDLVESSVGAVLVDTGFDMNCVWKIMLSFIDPIMSFSGFQLSPIRDITEFCQNCGWKLKFNSSKMEGYYSVKAEVKGGNFHATASAANRRKKDAAKIAANLILTKLKAKGFIPEVNSLEEILKSSKKMEPKLIGYDETPSITIDQVDNGHRTLNVLEFSSEHSDPRMHCVVDNSEPVRITRISKMLVSSSRTAGEQLKPAFEGHDSPTDLQSSSGRSGKTTARSRLYEVCAANHWNRPSFDCMNEEGPSHLKMFTYKVVLEIEEAPDTIFEFFGAPHLKKKAAAEHAAEAALWYLEKGGYWLGQTDTISDD >KGN65662 pep chromosome:ASM407v2:1:17336075:17336371:-1 gene:Csa_1G480170 transcript:KGN65662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVLTLIGATIIPFRGRWCSVKPFQSIDDNNSSESGEKAPSWLIEAIKSFFDQENSKKKGVGSEADAKALTLADTVLNIDNGCRASSKSRIESDKKTN >KGN63774 pep chromosome:ASM407v2:1:2088067:2093861:1 gene:Csa_1G015680 transcript:KGN63774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESWSYVSEGKGCMSDEMNSPTSSLARNKDSLLGWEFKNPCSFGSTMLPTSQQVDNQGFGELVFPEMIGKQLPDNSVCDILSSKVVGGRFLNPAMNSSIAFLGEDESTSKLSSSIVDSSSRDSSFIDLKLGRFADQRDAHGYKFFKGAPILSSSESSMPSKRVRASGLNSQTYFCQVYGCNKDLSSCKDYHKRHKVCEVHSKTAKVIVNGIEQRFCQQCSRFHLLAEFDDGKRSCRKRLAGHNERRRKPQVAINSGRTGRFLQSYNGSRLQGTALTATSFICQEILPNGLLHPEKYGTSDWCRTVKIEDKNEYMPLSAVHVPSGHLHSKSLFSPYDIETQVPPFHDNGPNASATNIFKENSNQYPLSVGGVNSNSRSYFHNPSLGSEYFSVYSAASTDRLSGLSDSGCALSLLSSQTQNSSTHSSGIPIGRPLVLLDGQNHYSMSQLSEKLMGVSSQVSVSGVSNKFNSSGLNTSEGCTLGPISTPETSDAVNFEISNRIFHGSNLADPGEGGPTIDLLQLSSQLQRVEHQRQAMQVKQESNAFCCLRIT >KGN65191 pep chromosome:ASM407v2:1:12711355:12712989:1 gene:Csa_1G263950 transcript:KGN65191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTNPSGTVQDVASKGEVHERYIHIESDRGARNAPLMAAPVIDIALLSSSSKSGPELEKLRHGLQSWGCFQAINHGMTSEYLDEVRRLTKQFFGLSMEEKLKYLKEELEMEGYGNDMILSNQQILDWTDRLYLTVYPHQSRRFKYWPTNLQRFREVIDEYTTNVKLISEKIFKAMARSLDLDESSFLKQYGEQIKLGARFNFYPRCRNPDLVLGVKPHADGSAITILLQDKEVEGLQFMKDNEWFNASIVPDALLVNVGDQVEITSNGIFKSPVHRVLTNSERERISLAVFYLPDAEKEIEPLEELISETQPRLYKSVKNFVGLSFEYYQQGQRPMEAARI >KGN65515 pep chromosome:ASM407v2:1:15877581:15878307:-1 gene:Csa_1G433630 transcript:KGN65515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTNPLCSLNLCIIYILIFRYLPPYTSFMPIKSIEEEENEMEGNRKRRSRTKRAKFMDNFVFSQLSYLIIFTFIICITERHNMKQDPLNFNIFNIVFEVISAYGNVGFSMGYSCGRRVHPQSNCVDKSFGFSGKWSDNGKLVLIVVMIFGRLKKFNMNRSQRAWKLL >KGN66710 pep chromosome:ASM407v2:1:26719978:26720157:-1 gene:Csa_1G662500 transcript:KGN66710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTGKDTVLSWKSRVQILRDCALALRYLHQRVDGCIVHRDIKVTSYSHPTFFLSFSFQN >KGN64698 pep chromosome:ASM407v2:1:7724178:7724792:1 gene:Csa_1G075030 transcript:KGN64698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTREGHYRGVRKRPWGRYAAEIRDPWKKTRVWLGTFDTPEEAALAYDGAARSLRGSKAKTNFPPPLPGLSLDLNVSGPWPTPTSAPPCSSSSSSPSPSSTRFLLGDFLRHGVRNDICNLNVDASPVLVDSSASGSSTASASFIGHVRRGLPFDLNEPPPVWL >KGN66607 pep chromosome:ASM407v2:1:25561961:25570566:-1 gene:Csa_1G641010 transcript:KGN66607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSGKWFKALVGSKKSEKSQSSEHDENARTVTNKFWHRRKHSVDIDADKLQHEFNSNFTPFVEVSNALSEPEAASIPSDPFQTYDALHNQQIDIEEQAAIRIQTMFRGFLARRALRALKGLVRLQALVRSHAVRKQAAITLRCMQALVRVQARVRARRVRIALESETAQQKLQQQLENEARVREIEEGWCDSVGSVEDIQAKLLKRQEAAAKRERAMAYALAHQWQAGSRQQAALSQFEPDKSSWGWNWLERWMAVRPWENRFLDINLRDGVMIRENGSSEGKSNTKSHLKTTGKKTNLVTDQSNVSSQKTAASL >KGN65118 pep chromosome:ASM407v2:1:11921967:11924652:1 gene:Csa_1G227450 transcript:KGN65118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTMVKKADLITFGQRKWTNRDKYMAAFFIFLHFICILAPFHFNWNAFWVAFALYIITGCFGITISYHRNLSHRSFRLPKWLEYFFAYCGALAFQGDPIDWVSTHRCHHQFVDTENDPHSPIQGFWFSYFIWILDSNALTRRVCPQYFIDHKDTEKTIFTVVLKYGRPNNVGDLEKQFFYRFLRKTYFLHQLALAILLYAVGGTPFLIWGAFVRTIGTIHVTFMVNSICHTFGNQPWNTGDLSRNTWWMCLISFGEGWHNNHHAFEYSARQGLEWWQIDISWYIIWFLQVIGLATEVKVPSQSHKQRLQALDQTKRKGL >KGN66089 pep chromosome:ASM407v2:1:21080833:21083734:-1 gene:Csa_1G570140 transcript:KGN66089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEERRNLDREIPTCGSVIGGKHNKCVIFPVKSSMIAESMERSNKNWIVQTKPVYESDLIVQVGEFCFHLHKLPMVARSKYLNRMIIQNSSHEVQNNNSVSTIQLNNLPGGPMAFELVMKFCYGWKVDLTAKNVAPLYCATHFLEMTDDLAQGNLTSKAEAFLSFVISSSWKDTFKVLKSCESISRCANQLHIPKRCSEAIARKACMNPESFCNSDYNVDNVRLEVVADNWWFKDVLILRIDHFIEVIESGKQRGMKFEVAESCIAHWTMRWFARLMSEFENLMLNNSTDKLKAMAIESLIRLLPEEENSVTSTFLLHILKLGSVMKIDSQLLTRIESRLAMMLEKCHASDLLVKNYGDDDGVYDVAIVTRIVKFYASHISDNAQSNLSDVGRLVDAYLMLVAQDQNLKADGFLVLAEALPQDARVCCNNLYRAIDMYLKAHPELTEEERTCLCRSLKYHKLSQAAREHITKNNRLPLKYVTSFILLEQVSMTKPRTPFGLVDQQMRNRVVVGESKCPGTSWTMNSQNEINMMKREVATMKGQLNDIQMCKTKLQGQVKKGFNYKKNLASVRKIVCFGFNNSKFRSFDFAPLSA >KGN66611 pep chromosome:ASM407v2:1:25607133:25609719:-1 gene:Csa_1G642540 transcript:KGN66611 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ MFGRAPKKSDSTRYYEILGVSKNASQDDLKKAYKKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDQYGEDALKEGMGGGGGHDPFDIFQSFFGGSPFGGGSSRGRRQRRGEDVVHPLKVSLEDLYLGTSKKLSLSRNVICSKCNGKGSKSGASMSCSGCQGTGMKVTIRHLGPSMIQQMQHPCNECKGTGETISDKDRCSQCKGEKVVQEKKVLEVIVEKGMQNGQKITFPGEADEAPDTVTGDIVFVLQQKEHPKFKRKGEDLFVEHTLSLTEALCGFQFALTHLDGRQLLIKTNPGEVVKPDSFKAINDEGMPVYQRPFMKGKLYIHFSVDFPDSLSPEQIKALEAVLPSKPSSQLTDMELDECEETSMHDVNIEEEMRRKQQAEAYEEDEDMHGGAQRVQCAQQ >KGN66356 pep chromosome:ASM407v2:1:22969057:22971631:-1 gene:Csa_1G599500 transcript:KGN66356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFWMCKIHCPSSFCLCQPAPHIYSTGSIPLDLENSSHLPSEVESVIGTSVSIVETLESKQECRDESGDKVQENEYGIKSSLRKSSLRSGVSKEKHVKRVQWMDFSGKELVEIREFEASEAEDSDYESEDNRSCICTIL >KGN66197 pep chromosome:ASM407v2:1:21845890:21848374:-1 gene:Csa_1G575130 transcript:KGN66197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTILIEQPHFEGEDEKKVGITELKELVLDGGFVVPNAKTEGFAAPEMNAFGHSFRDYEAESERQKGVEEFYRQNHIHQTYEFVEKMREEYKKMDREEMSIWECCELLNDVVDDSDPDLDEPQIQHLLQTAEAIRKDYPDEDWLHLTALIHDLGKVLLLPSFGGLPQWAVVGDTHPVGCAFDESIVHHKYFKENPDWENPTYSTKNGIYSEGCGLDNVKISWGHDDYMYLVAKENGSTLPSAGLFIIRYHSFYPLHRAGAYKHLMNEEDAQNLKWLHIFNKYDLYSKSKELIDVEKVKPYYISLINKYFPEKLRW >KGN63768 pep chromosome:ASM407v2:1:2046254:2046757:1 gene:Csa_1G015620 transcript:KGN63768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERIGMHDQVRRDLCKVIFIVFLLNRPHIRIVHSWFQPQSRFRLGLGTWWWLMVVLNCNPPPPCSTMLLTTAKLVSPALFRHNQNIVWFPLHIKWSNGASLDAQFQDS >KGN64827 pep chromosome:ASM407v2:1:9111900:9112226:-1 gene:Csa_1G120430 transcript:KGN64827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFLLRGCGGQRDHVCVIQAFTLASSFQAVLFIIVLMKSYYAIEGCFVNTPPGRLACEMASKDGMACKQRASTVEGMGMPPLGISIQARMTSLMTTSRLNVNFFMLQ >KGN64629 pep chromosome:ASM407v2:1:7269679:7273715:-1 gene:Csa_1G071910 transcript:KGN64629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSETESMLMEEEDENRSNPTGEATAETTMNDDQQSYSWPVIQFNSPPRRTYHFYNQFRTSPNPNNFFKGVKWSPDGSCFLTSSEDNSLRIFNLPDYGDDVSVNVAAEEDSFTSNLVVGEGESVYDFCWYPYMSASDPVTCVFASTTRDHPIHLWDAASGELRCTYRAYDAMDEITAAFSIAFNPAGTKIFAGYNKLVRIFDLHRPGRDFGQHSTLQGNKEGQTGIISAIAFSPTHSGMLALGSYSQTTGIYREDNMELLYVLHGQEGGITHIQFSKDGNYLYTGGRKDPYILCWDIRKSVDVVYKLYRSSENTNQRIFFDIEPCGQHLGTGGQDGFVHVYDLQTGQWVTSFQAAQDTVNGFSFHPYMPMTVTSSGHRRFIGPDNDDEDLCLSGDENCASLWSFDCASLGNGDAINGN >KGN64700 pep chromosome:ASM407v2:1:7730711:7733403:-1 gene:Csa_1G075050 transcript:KGN64700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVFLVELEGRSYRCRFCNNNVALADDVLSRTFNCSQGRAYLFSNVVNITSGPKEERLMLSGMHIVEDIFCCICGQILGWKYVTTHDKYQKYKEGKFVLERWRIVEEMMEDLNGDAHPGSSDMENP >KGN65637 pep chromosome:ASM407v2:1:17099149:17107221:1 gene:Csa_1G475980 transcript:KGN65637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLRVQVKNEFGLGKSDLYVDSNNEDPKAVLDAVAVAGLVGILRQLGDLAEFAGEVFHGLQEEVMTTASRSHKVMLRVKQIEAALPSLEKAILAQTSHIHFAYTAGSEWHPRIRTEQNHFIYHDLPRFIMDAYEECRDPPQLHLLDKFDTGGPGSCLKRYSDPTFFKRMSTSGKISLEKVRSDKKAHKIKRKRSSVRNGKTVHGASGSNANSSLQFTSFSNEGASRSQTATADRRIKSDAGDSSNSFDSGRGSGYAGSVLKLDSSLQTKEQEFRESSSSSLMQFSDAVDSVLADEQSRIIDDKYQCALEDQIDSSFSSHVTWDEKEEIFKPKQEVREKIAVVESRGQEDAREMAETLQLRTHLYVSEMAEFVHLRSQKDVREMEEIVQPRTKQNVREMAEMVKPRTQQDVRGMAEIVQSRSQKDAREMEEIVQSRPEQNVGEMAEFVHLRSQQGVREMEEIVQPRTKQSVREMAEIVKPRTRQDVRGMAEIVQSRSLKDVREMEEIVQSRTEQNVGEMPEIVQPRTQQDVREMAEAVPLREVEEIGQPRPEQYVRKITEIVQPRTQKDVGEMAEIMQPRAEQVFREMAEILPSRTQQDVRNMAEIVQPRTQQGGLEKPKMVEHGSQQGGRDQVEMVESRSQQHDKVKDQEYKVPLPESTQEPHETEGFYLINDEPMNMLANNGHPLESIYDGNMFDEIESETDNYMDALNTIESESETDLDCQTKREVEPCSSNIKCEVVDPTHDLLESSLGPDILILNPSNEPQESFDKGIVSSLPNLVSSDSFFHDQRLESTMKISSPDCPLVTDLHGKESSTMESDVSDSFPPDSNSSLEDQPGIKLLNRVHESETASFSSNLSDKFWTNGGLLGLQPSKPPSWAVPNAACEDSSKVEKRGPSDLAYVVSSNPQEIKLNNLPKDVINSEKDSTSNKSSLHHDDQKYDTSGRVSTSTPSQELSRGNSNAKNGSFSVDRSSDGSTYAYMNDVVKRNVIAAGIASPAVPNVNGMHTQTILEKDENSNQNSGLSHQLLVNGFHRKLTLIHDERFETTSMTTDGPGKRNANQDTVLQTMYERTSKEHLGCDSSMDSCPPSPPLDHMKISFHPVSGFEISKMKLRFPDGSEGRGSTKDIFPSFQLAPEESISVHEIGSESDDDTFCRSSPCMSDDCLSDHSKSNSDLWESDDTPETTGNNLYDLRHMSHVESLSTSFELEGITKNGIMMDDESGNLNGKVMDESLSGSLLDLPCFDIVNPVTSGRIDSFALEGDSSYCAFQTGHNDVDATNLLRPQCLDSPTPAPPPLPPAQWCVSKTSLDVSDDLKDLSAHPKQVEPIAFVQQITHASDATKPNGKKPEQVVVDSQKELNHRRNDQVMDSREDFLQQIREKSFNLRRTVTEKPSTPAGPAAHVKVTAILEKANAIRQAVGSDNGEDDDSWSDA >KGN65205 pep chromosome:ASM407v2:1:12803836:12805851:1 gene:Csa_1G264560 transcript:KGN65205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPTIKPSFPLSPSFNHPSRSPISWSFSRPISDSSPSSLISTSSRHRRQLSASVSFNPSGNFDLSFQDDDDDSSKVAPPMPPTEGRFDVVIDNDAIRCLDLSSFQTTTGIVSPLATEPKQFLERTIGFTINYTREDPYDRRELSEYPDIRLWFVRLDSVYPWLPVLLDWRAGELARYAAMLVPHQISMRMGIVFNPEALELFVMKKVFIVYSWLKQHDIPKPRLKAANMARMLGFGIGDELFDLIDQHPVAPP >KGN64426 pep chromosome:ASM407v2:1:6048770:6049399:1 gene:Csa_1G051640 transcript:KGN64426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLTKIETSSSSKSNHSPVNNIIINILLLCCFLTALLWILPSSSLFHPSNYPINFPTPTFVFILGNLIVVLLIGESKVFSSTTPDPFGFDICFYDGSFRETLVSEGDHKRTSESFDEEDEWEIESVNSEELSKRADDFIARVNMQRKIEAMMVMYCCDMMTMKKRYTKKYY >KGN65801 pep chromosome:ASM407v2:1:18638568:18641002:1 gene:Csa_1G530130 transcript:KGN65801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALSVPSISLQNFSTLNNNLLFRNHQILSTIDKCSSSKQLKEVHARMLRTGLFFDPFSASKLFTASALSSFSTLDYARNLFDQIPQPNLYTWNTLIRAYASSSDPFQSFVIFLDLLDKCEDLPNKFTFPFVIKAASELKASRVGTAVHGMAIKLSFGMDLYILNSLVRFYGACGDLSMAERLFKGISCKDVVSWNSMISAFAQGNCPEDALELFLKMERENVMPNSVTMVGVLSACAKKLDLEFGRWVCSYIERKGIKVDLTLCNAMLDMYTKCGSVDDAQKLFDEMPERDVFSWTIMLDGYAKMGDYDAARLVFNAMPVKEIAAWNVLISAYEQNGKPKEALAIFNELQLSKIAKPDEVTLVSTLSACAQLGAIDLGGWIHVYIKREGIVLNCHLISSLVDMYAKCGSLEKALEVFYSVEERDVYVWSAMIAGLGMHGRGKAAIDLFFEMQEAKVKPNSVTFTNVLCACSHAGLVDEGRVFFHEMEPVYGVVPEMKHYACMVDILGRAGFLEEAMELINEMSTTPSASVWGALLGACSLHMNVELGELASDQLLKLEPRNHGAIVLLSNIYAKTGRWEKVSELRKLMRDTELKKEPGCSSIEANGNVHEFLVGDNTHPLSSNIYSKLEEIATKLKSVGYEPNKSHLLQLIEEDDLKEQALSLHSEKLAIAFGLVTLAPSQPIRVVKNLRICGDCHAFAKLVSRVYDRDILLRDRYRFHHFRDGHCSCMDYW >KGN66873 pep chromosome:ASM407v2:1:28488484:28490858:1 gene:Csa_1G701980 transcript:KGN66873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSTNPRQLALNGGRGPAVFIPLMQFLASLRILRPHGFLQKLCSFQQGSSASASLAFFSSTHFDSISSPHHDFSSSSSLQSPLKKICSLVLDTYLRQPHLRFSPSKLNLDMDAASLTHEQAISAVALLASEEGSMVALSFFYWAVGFPKFRYFMRLYIVCTMSLVGKCNLERAHEVVECMVGVFAEIGKLKEAVDMILDMRNQGLVLTTRVMNRIILVAAEMRLVEYAGNVFDEMSARGVYPDSCTYKYIIVGYCRNGNVLEADRWICEMMERGFVVDNATLTLIITAFCEKSLVNRAVWFFHKVTKMGLSPNLINYSSMISGLCKRGSVKQAFELLEEMVKNGWKPNVYTHTSLIHGLCKKGWTERAFRLFLKLIRSDNYKPNVHTYTAMISGYCKEEKLSRAEMLFERMKEQGLVPNTNTYTTLIDGHCKAGNFSKAYELMELMSNEGFFPNTCTYNSIVDGLCKRGRAEEAFKLLNTGFQNQIEADGVTYTILISEQCKRADMNQALVFLNKMFKVGFQPDIHLYTTLIAAFCRQNMMKDSEKLFDEVIKLGLAPTKETYTSMICGYCREKKVSLAVKFFQKMSDHGCAPDSISYGALISGLCKESRLDEARQLYDTMIDKGLSPCEVTRVTLTYEYCKTEDFASAMVILERLNKKLWIRTVHTLIRKLCCEKKVALAALFFHKLLDKEVNVDRVTLAAFNTACIESNKYALVSDLSERISKGIG >KGN63591 pep chromosome:ASM407v2:1:1011085:1014569:-1 gene:Csa_1G005520 transcript:KGN63591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAARRGISSLLSRSILASSSSSTVNGFGSLLQSHGRVSRFLGRGYGFSTSALAEEELITPPVQINYTQNLINGQFVDSASGKTFPTYDPRTGEVIAHVAEGDAEDVNRAVSAARKAFDEGPWPRMSAYERSRILLRFADLIEKHTPELSALETWNNGKPYEQSLKSELPLLVRLFHYYAGWADKIHGLTVPADGNHHVQVLHEPIGVAGQIIPWNFPLIMFAWKVGPALACGNTVVLKTAEQTPLTALYVAKLLHEAGLPPGVLNIVSGYGPTAGAALASHMDVDKLAFTGSTSTGKVVLELAAKSNLKPVTLELGGKSPFIVCEDADVDKAVELAHFALFFNQGQCCCAGSRTFVHERVHEEFVEKSKARAQRRVVGDPFKKGVEQGPQIDSDQFEKVLRYIKSGIESNATLECGGGRLGSKGYFIEPTVFSNVKDDMLIAKDEIFGPVQSILKFKDIDEVIHRANSTRYGLASGIFTSNIDTANTLIRGLRTGTVWVNCFDIFDAAIPFGGYKMSGIGREKGIYSLQNYLQVKAVVTPLKNPAWL >KGN66390 pep chromosome:ASM407v2:1:23216850:23225680:1 gene:Csa_1G600790 transcript:KGN66390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISSSLRLPFPVIQWKRRSINLPHHNRTCLWSTNNSFQQPPPRLKEVSTCFSRRPVESSVSGQEKKIDKEVESEEYVLERLFSNLNQVTFKREPGSLSSAIFLVAGTTIGAGILAIPAVTQESGFLASAITCTCCWVYMVVTGLLIAEVNVNTMCELGSGGVSLVSMAMRTLGTVGVQVSCWSYILIHYALLVAYVARSSDILTNFLGIPLWESATLFSLIFGGVCYFGSQRFIGAINGALVLGIIVSFAGLVAVASGGLHWDALLRANFEAVPMSIPIIALSFVYQNVVPVLCTNLEGNLTKVRTSIVLGTAIPLVLFLVWNGVILGTISNPEMGSDNILDPLQQLRSSNGAVGPIVEVFSLLAIATSYIGFVLGLSDFLADLLKLPSGESKPLPLLLTLVPPLILSLLDPEIFFKSLDLAGTYGVLLLFGIIPAAMSWSDRYSKPPPSVKLPEVVPGGRFTLALVIGGAGWVIFSELLENLGHL >KGN65165 pep chromosome:ASM407v2:1:12544529:12547577:-1 gene:Csa_1G256740 transcript:KGN65165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCSTCNYSLSIISSCSVKWVAFPSKFESRRISFQNKSEFLKPNTCPGLSLLDSLQHLSNFDFKALTKSKISSWKIPCSYSCVIKCDHPQNADFPRYYSKKEKKPFPVPIVELRRAARERMKNSKGQPRMRVPPPKNGLLVKSMIPIAYKVFNARITLINNLKKLLKVIPVHACGFCNEIHVGPVGHPFKSCRGKNASLRKGLHEWTKATLEDIFLPVEAYHLYDRLGRRISHQERYSIPRIPAVVELCIQAGVDLPDYPAKRRRKPVIRISKSEYIDADESELPDPEPEVPLKPLLTEIPDSDAVAPSDVEDIAWLADQTIQAWEQMRRGAKRLIKMYPVRVCGYCPEVHVGSSGHKAQNCGAFKHQQRNGQHGWQRAVLDDLIPPRYVWHVPDVNGPPLQRELRNFYGQAPAIVEMCIQAGAAIPDEYKSTMRMDVGIPLDIKEAEMVV >KGN64252 pep chromosome:ASM407v2:1:4978439:4985353:1 gene:Csa_1G045500 transcript:KGN64252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQHLHHPQQWHPRPIQPTVCPICTMSHFPFCPPHPSFNQNPRYPFGPDHSFQTSGFDSHRSPMRMPPPYMANPDDGFADQRPWIRNSANSYGHVPFHPHREGFFPPPYDYGGNEFVNDAERSYKRPRVDDVGSEGGVHELNQNQDTGRSSFEDERRLKLIRDHGIVPSGPPEGGSNSLPRMNLGSNGEANRRSLENSVGSGDPEDVGSSRILETNNFHDSGNGSNNGRTQHFHENGRIDKRWPSQNEEFSHARYDQVGGSHWHPQHKPHSVHPEATEDNYLAHRHEVHYSDDRQAFSWVDERNNSKMAVFDRDYQPPPRSEMNPIHMRSFSSHGNAHHGTRNLNFGAGYAPRLSGGGRFLENGSSIEDSRFFCEQPPLPASPPPPMPWEAHLHASAESVAYSSQAKPPSLFPVPVSTSTITSSAYSSAPEHRSFHHHKPMPHVSSSPMMEDSLALHPYSKKFAADGKPFGLNQLPPQKPKVIDASQLFKPPHRSTRPDHIVVILRGLPGSGKSYLAKMLRDVEVENGGDAPRIHSMDDYFMTEVEKVDEVDAKSSNSIKGKKPITKKVMEYCYEPQMEEAYRSSMLKAFRKTLEEGIFTFVIVDDRNLRVADFAQFWAIAKSSGYEVYILEATYKDPAGCAARNVHGFNLDDIQKMARQWEEAPPLYLQLDIKSLCHGDDLKESGIQEVDMDMEDEDDGSPSFQETMSEKTALPSLRHDASEDDEKRWDAEPDHLREEVKELGRSKWSNDLDDDDTERTDGRNGHSNALSGLIQAYAKEGKSVSWMDQVRNTGFSIGAAKKANRLSLVIGPGPGYNLKSNPLAEEEYRGSTQNSNESKKHSRFEERLRAESESFKVVFDKRRQRIGGLDWEEE >KGN66107 pep chromosome:ASM407v2:1:21214786:21215975:-1 gene:Csa_1G571790 transcript:KGN66107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEEEVNLCQIQEWYPKFKSFSIKTLIHHLPESFVHYLLDDSSPFVLPLSISNDDALPNRVFNPVDEPDFQLKQESDDDSDQPTSPPPSFPDLESDVKHSISSLGGSVFPKLNWSAPKDSAWISPNGTLKCSSFSEIALLLRSSDSLVHDLCHAYDSCTDKSSSRPSKFFLALRKWYPSLRPEMEFRCFVKNRNLIGISQREVTTFYPALVEKKERLREVILEFFIDHVKANFELENYTLDVYVTRNESVKIVDFNPWGAFTLPLLFDWEELEEAQKEEIDLRIVECRRAVRPGLKTAVPFDYLDMSSGSGWDQFLKNADQEFQQQTRDDND >KGN64029 pep chromosome:ASM407v2:1:3689044:3691039:1 gene:Csa_1G038960 transcript:KGN64029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANENSDIPLAMEEVSEAEVSQEESFDIPVIAVANISEPEDITEEIIDIIDIIDIPATIEVNEPDIVDIPATIEVSEPESCKVEVIMDINSNIPKIRPRVLSRYLLPYTGSCHDFCKYGSKHDLEGKPASPISRKAKLVGGNGQDLRRTVVSLAKQNKESNSRKSSLEYNPSNVTDLKEDIISSPEIVTPSPKRLLPSTKEVQAAAVHYSRTKLNLSLSKVSSFAGQGGSRTKRNKEIRKGKKKEGDGSLSSSNSTSRSLEMNVSAEEDITALVPEVGSRTPRTRVKRVAIADKKNIGRNGLKSQTHPIKCKPDPSNNEDVEEKTLYMIEPSTKDETEEISQNSVHTTESSQPQSSSTTDNNLKHEQEAAANSIVPPMSVKKNVVKRARNGTSAKILCTSPTASKVFKGIRPKRFGMVQRSETRSAPSSPLSSRFQSEPIHVEHRGSTSGNDVKKSENSKVDHRLKTKGMTLTDSENGDCQSRKLKFRKGKTVELQPETSSPRRLKFRHVRLLGETQSPKGDSRKRNIMGKDGNQNGKEGENSSLRQQDKDLKKKRSFRDGKLISSRFKSERVVLRHQDSKGKKEILNLFNNVIEETASKLAKTRKSKVKALVGAFETVISLQDTKPAATTSVA >KGN65129 pep chromosome:ASM407v2:1:12091096:12094210:1 gene:Csa_1G231010 transcript:KGN65129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKRCGCWGVLTRTVSGVCKSSVSRDSPNTIPRTSLVYDSATETRYLNASNRDLCTLNEVELVSDNANPSQSDNKKSSKLLQFTFYELKAATGNFRPDSILGEGGFGFVFKGWIEENGTAPAKPGSGITVAVKSLKLDGLQGHREWVAEVAFLGQLHHPNLVKLIGYCNEDDQRLLVYEFMSRGSLENHLFRRTIPLPWSNRIKIALGAAKGLAFLHSGPVPVIYRDFKTSNILLDSDYNAKLSDFGFAKAGPQGDKTHVSTRVIGTYGYAAPEYVMTGHLTSKNDVYSFGVVLLEIVTGRRSMDKKRPSGEQNLVTWARPYLADKRKLYQIVDPRLEFNYSIKGVQKVSQLACSCLSRDPKLRPTMDEVVKILTPLQDLNDLAILTSHCRSSSQGRRKKKPEGLTYTQSFRASPLNTGKQHVR >KGN64491 pep chromosome:ASM407v2:1:6470466:6471487:-1 gene:Csa_1G059210 transcript:KGN64491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSIKKDIPIIEQGLTIPEVCVEDAAPRQYNTKTEEEDGLSQEADRLRKAAIKGDWKTANSIFSKYPLAVNLKIGPSKTTALHIASVCHQFSFVEKLVKLTSGSDLANKVEGFTALSFVAASGVVRIAKLMVDKNRELPNIINDDKTFPLLMAVVFKRKDMVSFLFRKIKFEALETGGQIQLLICTLLADYYGSDFLNPLILYSIYIINNLS >KGN66076 pep chromosome:ASM407v2:1:21019111:21022688:1 gene:Csa_1G569520 transcript:KGN66076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRLMFENSCDIGVFSKLTNAYCLVAIGGSENFYSTFETEIRGVIPVVKASIGGTRIIGRLCAGNKNGLLVPHTTTDQELQHLRNSLPDQVVVQRIQERLSALGNTIACNDHVALAHSDLDRETEELIADVLGVEVFRQTVAGNVLVGSYSSFTNRGGLVHPHTSVEDLDELSTLLQVPLVAGTVNRGSEVIAAGLTANDWTAFCGSDTTATELSVIENVFKLREAQPSSIVEEMRKSLIDSHV >KGN63480 pep chromosome:ASM407v2:1:271442:276392:1 gene:Csa_1G001515 transcript:KGN63480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDANNQAQSSPYPPPQAPVPAPPFHHLLQQQQQQLQMFWSFQRQEIEQVNDFKNHQLPLARIKKIMKADEDVRMISAEAPILFAKACELFILELTIRSWLHAEENKRRTLQKNDIAAAITRTDIFDFLVDIVPRDEIKDEAGLGGMVGATASGVPYYYPPMGQPAGAPGGMMIGRPAMDPTGVYAQPPSQAWQSVWQTAAEDGSYASGASSGQGNLDGQS >KGN64198 pep chromosome:ASM407v2:1:4659348:4661788:-1 gene:Csa_1G043020 transcript:KGN64198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLADLSSPSLPCFEPLSNCFENNGTNNKRKRRPAGTPDPDAEVVSLSPKTLLESDRYVCEICNQGFQRDQNLQMHRRRHKVPWKLLKRTETTTVVRKRVFVCPEPSCLHHNPTHALGDLVGIKKHFRRKHSNHKQWVCEKCSKGYAVQSDYKAHLKTCGTRGHSCDCGRVFSRVESFIEHQDNCKLGHHHQQQQSEQKIPCLSRTASSPTPSPSSDTNFSFLNQNQNQNPKFTSTHHHHHNHGADKYSKKTTIIPQRIIGHNHQNLDLQLSTNSTTSIHVSVSPKRHENYSTHLQLSIGSCNYGEKLHDHHHDNNNNSNDRDHHNDDDDDEKLGFLKLKEEAKEQMRMAMEEKAMAEEARNEAKKQIEMAEKELVNAKRMRQQAQAELQRALVLKEHAIKKINSTILQITCQVCRQHFHPFPKI >KGN64873 pep chromosome:ASM407v2:1:9583230:9597539:-1 gene:Csa_1G138280 transcript:KGN64873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKYRARLRPRDVRSVDPSLFLTNSMPTLLVREHAILLNLGSLRAIAMQDCVLIFDHNRPGGQAFIESLLPRLNPKNMNGVPAMPFELEVVEAALLSRTQRLEQRLMKVEPRVQALLEVLPNKLTADVLEQLRISKQTLVELGSRAGALRQMLLDLLEDPLEIRRICIMGRNCTLNKRNDDVECTLPLDKQIADDEEEEIEMLLENYLQRCESCHGQAERLLDSAKEMEDSIAVNLSSRRLEVSRVELLLQVGTFCVAVGALVAGIFGMNLRSYLEEHVFAFWLTTAGIIVGAVVAFFLMYSYLRDRRIL >KGN64928 pep chromosome:ASM407v2:1:10212436:10216370:-1 gene:Csa_1G163130 transcript:KGN64928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAVVISEPGEAEVLQLQEVDDPIINEDEVLIKVEATALNRADTLQRKGFYPAPKGSSPYLGLECSGIIQALGKNVSRWKVGDQVCALLSGGGYAEKVAVPAGQLLPIPPGVSLKDAASLPEVACTVWSTVFMTSRLSRGETLLVHGGSSGIGTFAIQIAKYQGAKVFVTAGSEEKLAVCKDLGADVCINYKTEDFVARVKEETDGKGVDVILDSVGASYFQRNLESLNLDGRLFIIGTMGGAVTQLDIRSLFAKRATVQAAGLRTRSLENKAVIVSEVEKIVWPAIAAGKVKPVIFKYLPLSQAAEAHQLMESSKHIGKILLTP >KGN65681 pep chromosome:ASM407v2:1:17525296:17531595:-1 gene:Csa_1G495290 transcript:KGN65681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESAQSQQRKGGLVPISPSQTPRSNDKATRDLRSGDSNSSNKHDKEKGVNVQVIVRCRPLSDDETRLHTPVVISCHESRREVSAIQTIANKQIDRTFAFDKVFGPASQQRELYELAVSPIVYEVLEGYNCTIFAYGQTGTGKTYTMEGGARKKNGEFPSDAGVIPRAVKQIFDILEAQNAEYNMKVTFLELYNEEITDLLAPEETSKFIDDKSKKPIALMEDGKGGVFVRGLEEEIVCSANEIYKILERGSAKRRTAETLLNKQSSRSHSIFSITIHIKECTPEGEEMIKCGKLNLVDLAGSENISRSGAREGRAREAGEINKSLLTLGRVINALVEHSGHVPYRDSKLTRLLRDSLGGKTKTCIIATISPSIHCLEETLSTLDYAHRAKNIKNKPEINQKMMKSALIKDLYSEIDRLKQEVYAAREKNGIYIPRDRYLNEEAEKKAMAEKIERMELDSESKDKQLMELQELYDSQQLLTEELSDKLDRTEKKLEETEHAFFDLEEKHRQANATIKEKEFLIINLLKSEKALIEHAFELRAELENAASDVSGLFDKIERKDKIEDRNKSLVQKFQFQLTQQLELLHKTVAASVTQQEQQLRDMEEDMQSFVSTKAKATEELRERIGNLKVTYGSRVKALNDITGELEGNFQSTFGDINSEVSKHSSALENLFNGIASEAEALLSDLQNSLHKQEEKLTAYAQKQHQAHARAVETTRSVSKVTSNFIRTMDMHASKLTHIVEDGQSVNEQKLSELEKKFEECAANEEKQLLAKVAELLASSNARKKQLVQSAINDLRESATSRTNMLQQEMSTMQDCTSSVKTEWAMHLEKAESHYHEDTSAVEHGKKDMEEVLQNCLNKAKMGAQQWRTAQESLLSLENNSVASVDSIFRDGTESNQALCARFSSAASAALEDVDSANKNLLSSVDHSLELDNEACGNLNSMITPCCEELRDLKGGHYHKIVEITEHAGTCLLTEYTVDEPSCSTPRKRSFNLPSVASIEELRTPAFDELLKSFWDLKYSKQSNGDVKHLAGTHEATQSVRDSRLPLTAIN >KGN65853 pep chromosome:ASM407v2:1:19008684:19012221:-1 gene:Csa_1G533600 transcript:KGN65853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRSHQPNALKRREVPLSRSPPDDRRSHHRLSHSSSVGASSRAHQAILLEDRISAQRREIQTLLSDNQHLAATHVALKQELASAEQELRHLSATAAKVKAERDAEVREVYEKSLKMDAEVRAMDAMMAELVQIRADIQRLSAVKKELNSELQAIRDDLTKASSESQPLPSIKAEIDRMHHEIQRGRAAIEYEKRTHASNLEQAEAMEKGMVSMSQEVEKLRAELANAEKRARAAAAVTSPFSGYTAAYGHPDIRYGGSSYPPDPYGMHQVQGGSGIDIVSQYARAPPTHGPPYNIQPTPPQHMQ >KGN63583 pep chromosome:ASM407v2:1:956216:960180:-1 gene:Csa_1G004950 transcript:KGN63583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEKPSSSSSTLVDQPVVPGDVVLDLSNMANETIKLGGGLRQDQDAISVSKVGKLRFSKPNKYWVESSQKRYVPCAEDCVLGIVLDSRADNFLVDIKGPSLAFLPVLAFEGGTRRNIPKFEMGALLYVRVVKVNPGMNPELACTDASGKAAGFGLLKDGYMFECSTGLSRMLLSSPSCPVLESLGKKLSFETAVGLNGRVWVNADSPSTIIVVSNAILNSETLSGVQQRIMVDKLLANLKLSS >KGN65453 pep chromosome:ASM407v2:1:15408048:15412407:1 gene:Csa_1G423110 transcript:KGN65453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAECSGLKLPTSANKEGKRKGKGEGKERKKKESLLQERERGSEDYDFASSSSFSFFIRPLIDSSFFSNELRSCCVIRALWFDMSVARSFDLWQKDAFFSAAEEVQESADILESTYRTWLREKRTKSMVENLDEFTRELRTALGTAKWQLEEFEKAVRLSHRKHGDATKLERHRQFIDAIENQIFCVEASLREYFIEEGKQPLKWVNLNEEERDDLAAFLTGTTPTIRGPKDEHSEPVSSYEESIHETCNRRREASSNQSSLDISDKVEEIQNAQFVMKLQDNEISRSRDEVVCHTERTTNGRRVWSSPNFDTLTIVIPDEDERRNPMPMVEPTPKEKGSRTNLWRQTGREFLPAKIAGHVCSQLYSRFLVRRQFQSSRNMQRGCSVQLTLALMLTIFLLVPFVLYST >KGN63942 pep chromosome:ASM407v2:1:3219575:3226333:-1 gene:Csa_1G030680 transcript:KGN63942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDTDEENAWQEAIRRMLPAGAPLPDEEHLDYSIAVEYQGPPVAHELPKIDPLDLESLSILSPSVVPISDVSSIPIVYPIAPRVSRFNRLSNGAVPRSPVKSQRSSSVPRVQLELHSGERSKFGNGVDEVFSSELSAQHLNSESEPAIVEGKLANTVTFVTPRDSEDDEEDVFSSPRSCTTDVMTSPALSHSRDKRAKKKGICSRCGRGNRLKEREACLVCDAQYCSNCLLKTMGSMPEGRKCVGCIGRPIDELKRAVLGKCSRILSRVCSPLEIKQIMKAEKECPANQLRPEQLIVNERQLRPEELAEILGCAIPPQKLKPGRYWYDKDSGLWGKEGEKPDRIISSKLNVGGKLRADASKGSTKVFINGREITKVELRVLKLANVQCPRDTHFWVYDDGSYEEEGQNNIKGNIWGKASTRLLCSLFSLPVPPVNLHAPKDDPTTISGRSVPDYLEHGRIHKLLLFGIEGSGTSTLFKQARFLYGNKFSPEELQNIKLMIQSNVYKYLSTLLEGRERFEEEAIIEKKTGAVIEEKLDKKAGTGPTQILCQLSGIVGKQLRRKQCLSARKVDEFWQEKKSEELEVDDKDGFTLGPLLSLKEQLDKDKDGESLRRWKEKLLGCLERVNKGNLKSSFIPLELFLMNLWKSILHCLYRKIKVVMFYLLVKRDLDINFG >KGN66313 pep chromosome:ASM407v2:1:22647534:22652661:1 gene:Csa_1G597110 transcript:KGN66313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDFAKAVDDGLRLSKRLYFGKDRAVAPPRSFPTMDRMDHSFLPTAPMVYAVIHDPGIVDNPDIPSYQPHVHGRCDPPALIPLQMNAVELQADCYLDKAIIRITGSWRVHCVMGSRSCDCRIAIPMGEQGSVLGCEVDDPRKSYRTSLIALEDKSKNASEKPERVDGGFLTPNIFTLTIPQVDGGTTLSITMTWSQKLLFNSSGDLCLDVPFTFPHYVIPAGKKMSKKEKIVLNINVGSAVEVSCKTTSHPLKESMRKPGKLSFVYESEVLVWSKSNLSFSYSISSSQISGGILLQSPPVDDADQREMFCMYLYPGKDKGKVFRKKIVFVVDVSGSMQGKALDDVKNVLSTALSKLPPEDMFNIIAFNEDTRQFSESMEMATMDAVERALQWIKMNFVARGGTDILLPLTKATEMLNDGGNGDSVPIIFLVTDGAVHNERHICDVMQKNRTKKQSIHPRIYTFGIGTFCNHYFLRMLAMIGRGQYDAAYDLDLVEPQLQNLYKRAASTIFVNIAVDTFDDLDEVEVYPSSIPDLSSESPMTVSGRYRGKFPEVVKARGLLANLDNIVLDLNVQEAKDIPIDKLFAKDQIEQLTAEAWCSENKQLVEMVKKMSTKMGVLSEYTQMIIFQNVDKVIESIKVQQKKNAYEKMVAPKGDKMLLLPLFGVGFGNLEATSDNTPLGNGERKPEAAEIFAKAASNCCGKLCSFCCCPCCIEACSRMNNQCAILLTQLCTALTCFGCFDCCLEMCCDNRSAS >KGN65359 pep chromosome:ASM407v2:1:14385884:14386203:-1 gene:Csa_1G373010 transcript:KGN65359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVADSSGVRRCSDEVEEGNQKGSSDVQMVGCEKRKREIRRVAVELRSVGRGRGKLRGSVVWERERWEGNVGGKK >KGN63619 pep chromosome:ASM407v2:1:1171295:1175173:-1 gene:Csa_1G006290 transcript:KGN63619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANLHLPLPDSSSNAYSRKQKSLGLLCSNFLRLYDRDDIQLISLDNAASRLGVERRRIYDIVNVLESVGILCRKAKNQYRWIGYSGIPKALRKLKEEDSKGNSSSAIDANDRSSLIDDEEETCSDLTSVSHDSISVISKSSTSVRCVASENRREKSLALLTQNFVKLFVCSSAHLISLDEAAKLLLGNGESVSIMRSKVRRLYDIANVLAALHLIEKTQTDGTRKPAFKWLGWRSLVENCRPGKLLRCDSNKRSFGSDITNNHLKRNRLPYSFDLNKSPNSQQQRHVQLETCGVEVTGGELEKDLNSKSFKFGPFSPSVRVEARASKIEAKRSRKNWVSLASTYCPQYQNQASKCLHQI >KGN64382 pep chromosome:ASM407v2:1:5786312:5788028:1 gene:Csa_1G050210 transcript:KGN64382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMNNNSFKIDIISTEIIKPSSPTPSTHQHHKLSFLDQFAPGSYTPLLFFYPGGGNHRDRCRRLKESLAETLSRFYPLAGTLVEAYLVECNDEGVAFSEARVSGRLSEVMENPNDVVTYHRLLPFHPDAVLERECILGVQYNVFECGGAVIALCITHKIVDGTSTTMFTKAWASTCRGDNEYPIVPNFDATDLFPAMEIRGGNKRHPRMQKIVTRRFVFNKSNIAALKKQASSAALFLNQRPPSRVESVSGFLWKRFIALYHKKTPTKAKRFAVIQAVNLRNRMNPPLPPNSFGNIWWFATADVPIDEEQDFPSLVGKVREAIREIDDEYTKTLQDTEKSLRAKMKMGERVYSGEVEMACFTSWCNFPVYETDFGWGKPTWVCTPGRPYKNVVLFVNTSDGEGIEAWVNLEESDMALFENDCELLSFTSLF >KGN65046 pep chromosome:ASM407v2:1:11183856:11184215:1 gene:Csa_1G183070 transcript:KGN65046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSLIFMASLLLFIVSMSSVAATRAQLDLLGGYKPIKDIADPYIQSLGEFAVNEHNKQAKTELKFQKVIGGKLQIVAGTNYDLRLTALEGTVSRTYGTLVFTDLKKQNHLILFHGSTN >KGN65794 pep chromosome:ASM407v2:1:18577688:18583218:1 gene:Csa_1G528590 transcript:KGN65794 gene_biotype:protein_coding transcript_biotype:protein_coding description:Catalytic MASVHATITPAAAVGKSGNRSSPTKSLNTAFLPGFDVVGRVASACKDLHPSSITLAPRATLTFDPPETSTEKAKDRKHTIDPSSPDFLPLPSFEQCFPKSTKEHTEVVHEETGHVLKVPFRRVHLSGDEPNFDNYDTSGPQNINPRIGLPKLRKDWVDRRDKLGSPRYTQMYYAKQGIITEEMLYCATREKLDPEFVRSEVARGRAIIPSNKNHLELEPMIVGRNFLVKVNANIGNSAVASSIEEEVYKVQWATMWGADTVMDLSTGRHIHETREWILRNSSVPVGTVPIYQALEKVNGIAENLTWEIFRETLIEQAEQGVDYFTIHAGVLLRYIPLTAKRMTGIVSRGGSIHAKWCLAHHKENFAYEHWDDILDICNQYDISLSIGDGLRPGSIYDANDTAQFAELLTQGELTRRAWEKDVQVMNEGPGHIPMHKIPENMQKQLEWCNEAPFYTLGPLTTDVAPGYDHITSAIGAANIGALGTALLCYVTPKEHLGLPNRDDVKAGVIAYKIAAHAADLAKGHPHAQSWDDALSKARFEFRWMDQFALSLDPMTAMSFHDETLPSEGAKVAHFCSMCGPKFCSMKITEDVRKYAEEHGYGSAEEALQEGMDAMSAEFLAAKKTISGEQHGETGGEIYLPASYVDSQKR >KGN65161 pep chromosome:ASM407v2:1:12529674:12533476:1 gene:Csa_1G256210 transcript:KGN65161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPESFGTHQLGSSNAATEGQEDDEEEMDDSDDESEVDLGGEGGGTGSEFKPTELTTGSSLNEALVTGSLSETLTVASSAENGPSDGLQPDEGAELKQAPSSHSEPLAVEATQTDKVQEQNRLQLTIFKGPDSDQSPTSVTQSISSYASSNLSEHKLSPKRVQKICKPEPSQKNFFNHKTPSSVPNARTPASDGYNWRKYGQKQVKSPKGSRSYYKCTYSECFAKKIECCDDSGQTTEIVYKSQHSHDPPRKISTPKESKLVPYVEPVVKKIIAEHSRRVINDSDSPTPSKEPLREAAIVVFERKRQHSNDSNGNDEYKIKDENDDEPGTKQIVKKSSAGNSGTPLKPGKKPKFVVHAAGDVGISGDGYRWRKYGQKMVKGNPHPRNYYRCTSAGCPVRKHIESAVENPNAVIITYKGVHDHDTPVPKKRHGPPSALLVAAAAPASMSSNAQPKKTDVVESQISSTQWSVDAEGELTGEALELGGEKAMESARTLLSIGFEIKPC >KGN64120 pep chromosome:ASM407v2:1:4240471:4244148:1 gene:Csa_1G042320 transcript:KGN64120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKQVSGAGLPESIAGMSKNQLYDIMSQMKTLIEQNQQQAKQILIQNPLLTKALFQAQIMLGMVRPPQVPSIQPSASQHSQPSTQATQQSNLQPTQTSAPQISLQEQTSAPPLAPSRKQYQNQPSMPISSTTLPTANIQPRPTPLIPLQTPQHPKGFDIPQANPISVPQPSQIPSVSPILPSAAQPPLLHQPQISTASMQLQQPLQTAEIHHLPPQAQLPPHSRPPTGPNFHQHYPPQMGHNMNYQPPGIPQHVSQPMFHSGTKLPPGLGNSFPQGQSGLPSQPPPPQSMYQAGGSKLGTEFMNQVGTSKPADRGPWMPGPPENPTLPQQLSGPPPIPSVPGGQMGPNNQPRPAPPLSQEMEKMLLQQVMSLTPEQINLLPPEQRNQVLQLQKILRQ >KGN65261 pep chromosome:ASM407v2:1:13224648:13226157:-1 gene:Csa_1G277970 transcript:KGN65261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTLDEELFPSTPGKFKIEQRSYGINRQFYRFFASTSTMFLWALFLIALTVSYLSFQSFVDSGTRYLSASWGGLQWEKQVRTSAEITRSGGMSVLVTGASGFVGTHVSLALKRRGDGVVGLDNFNNYYDPSLKKARKALVNRHGVFVIEGDINDARLLDKLFDIVAFTHVMHLAAQAGVRYAMENPHSYVHSNIAGLVTLLEACKSANPQPSIVWASSSSVYGLNEKVPFSELDRTDQPASLYAATKKAGEEITHTYNHIYGLSITGLRFFTVYGPWGRPDMAYFSFTRNILQGKPITVYRGKNEVDLARDFTYIDDIVKGCLGSLDTSGKSTGSGGKKKGSAPYRIFNLGNTSPVTVPALVNILEEHLKTEAKKNIVDMPGNGDVPFTHANISSARIEFGYKPTTDLRTGLKKFVRWYLSYYGYEHGTPVN >KGN63651 pep chromosome:ASM407v2:1:1365757:1368770:1 gene:Csa_1G008570 transcript:KGN63651 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hypersensitive-induced response protein MGNLFCCVKVDQSTVAIRETFGKFDEVVEPGCHCLPWFLGAQIAGTLSLRLQQLDVRCETKTKDNVFVNVVASIQYRALADKANDAFYKLSNTRSQIQAYVFDVIRASVPKLNLDDAFEQKNDIAKAVEDELEKAMSAYGFEIVQTLIVDIEPDEHVKRAMNEINAAARLRVAANEKAEAEKILQIKRAEGEAEAKYLSGLGIARQRQAIVDGLRDSVLGFSVNVPGTTAKDVMDMVLVTQYFDTMKEIGAASKSTSVFIPHGPGAVRDVASQIRDGLLQGAATSH >KGN66380 pep chromosome:ASM407v2:1:23143991:23148039:-1 gene:Csa_1G600200 transcript:KGN66380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSKLTKRGFCTAISSRPWLFVGLGNPGEKFRGTRHNVGFEMIDVFAESVGIPMNRVHCKAVFGEGFVGDIPVFLAKPQTYMNLSGESAGPLAAYYKLPLNRVLVFHDDMTLPCGVLRLQHNGGHGYHNGLKSVISHFRGNREFARLRIGIGRPPGQMDPKAFLLQKFNNTAQERIDTALQEGVGALKLLLSHSLFESARHFNHEQKYKHIRLQTMPV >KGN66539 pep chromosome:ASM407v2:1:24707694:24708363:1 gene:Csa_1G627480 transcript:KGN66539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNAVSPCLLSISISRCHRRPSTVKLVFTNGPTKFLAGDHHFAGEIMFQFPDMMVCHAESFFIGHPIPSLSIDDQLIAGETYLILPIDRFASVDVLSTSSLATIGAGNMSVEKFSGPCPFEYVRGTNGKVLIKVMPEFIVRLISQAAMDGGESEGGGGGFLCSTPELKKHYDQLVGSTKGQVWSPKLETISEYKIRYSPCRFVGFKLKQKEG >KGN63769 pep chromosome:ASM407v2:1:2047634:2049566:-1 gene:Csa_1G015630 transcript:KGN63769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNEFSDLVVDNMKKSRKVEKNKLKVDDIEFFKRRNYVVQESDAGPSESEASGDRMSRYSSKSSVKSSIEDELPELVVFLQETNYEFVKDICIDEAVTSREKCFEESCHTENDHVPCMLKYNAGETDAESTEESNDSESTISSTDSTQTLDKNFNEVYEKQKFHSDAIDEVPSEVPHKKSVPKLFLDRKVTGKKEASSTNSIAISLNKILDENKNKGKAVARSNIEHGSICRNSGLSQSTVEGGSSNWTAGCGHCRDCTMAEYYTPENETGLYSSHCSSAGDPSLNSVRRPSLSSYLGSGSLRSNSSTNSSHSFAFPILPTEWNGSPERMAKADPLQTRRRKLWRLCFPCCNF >KGN65930 pep chromosome:ASM407v2:1:19794000:19799852:-1 gene:Csa_1G538280 transcript:KGN65930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSNSDGEGIRRRSCGCGKEDFLPEESFQSWGNYANALKATPFRLMNRLTARSAEQTELVEMKARSEHEMKKNLTWWDLIWFGVGAVIGAGIFVLTGLETRKHAGPAVVLSYVVSGVSAMLSVFCYTEFAVEIPAAGGSFAYLRVELGDFVAFIAAGNILLEYVIGGAAVARSWTSYFATLCNHRPNDFRIHIPSFAHDYNRLDPIAVVVIIVICIFAVMSTKGSSRFNYIASILHVIVILFIVIAGLTKANPKNFTPFAPFGPRGIFVASAVLFFAYVGFDAVSTLAEETKNPGKDIPIGLVGSMVITTSAYCILAVTLCLMQSYQQIDEDAPFSVAFEAVGWSWAKYIVAAGAIKGMTTVLLVSAVGQARYLTHIARTHMVSPWFAKVNERTGTPVNATTSMMAATAVIAFFTTLEILSNLLSISTLFIFMLVAVGLIVRRYYVSGETTPLNRNKLIICLILIVGSSIGTAAYWASSDDGWIGFAVTLPIWFLSTLALWLGVPQAKKPRVWGVPLVPWLPSLSIAINIFLLGSIDKASFERFGIWTGVLLVYYLLFGLHASYDTAMESKTRTIESNSSV >KGN66182 pep chromosome:ASM407v2:1:21734986:21736652:1 gene:Csa_1G574980 transcript:KGN66182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYQPDSHSGFLHLSSSSSSSSSSSSSTPPHINLFLELLSFFEDPVRHKNSPLLLRSSVIEIFNEAKSLFSLAFPIVLTALILYSRSILSMLFLGHLGDLELAAGSLAIAFANITGYSVLSGLALGMEPLCSQAFGAHRPKLLCLTLHRSVIFLLVSSLPISILWLNISKILLFLHQDPTITKLAHTYLIFSLPDLLCNSFIHPIRIYLRAQGITHPLTLASLAGTIFHLPINLLLVSHFRFGIAGVAAASAATNFVVLLFLILYIVASGIFVPTWSPPTRECLTGWTPLLKLAAPSCVSVCLEWWWYEIMIILCGLLANPKATVASMGVLIQTTSLIYIFPSSLGFAVSTRVGNELGANRPGKAKLSAVVAVFLAGIMGVSATTFAVSMRNIWARIFTNDLEILRLTSTALPILGLCEIGNCPQTVGCGVLRGSARPSTAARINLSAFYLVGMPVAVGLGLLLGVGFSGLWLGLLSAQVSCAGLMLYVIGSTDWDLQAERSKELTSDVVFGNVDDDDVGETIPLNSVVVVVVTENTKP >KGN65310 pep chromosome:ASM407v2:1:13684617:13685247:1 gene:Csa_1G308810 transcript:KGN65310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELQRSAVSFRRQGSSGLVWDDRFLSGELKPTTKRLHEDQHNVVFLSGELRPSRSVRSGGAPTFERHRSSAAYKMDTISPSPSPSPSRGDPSSPKFAGCGFCGVFGRPVGGNRQHKSNKRRSE >KGN65474 pep chromosome:ASM407v2:1:15573837:15588493:1 gene:Csa_1G423320 transcript:KGN65474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKQLVRKREVSEAEELEVFTEQSNYENLLMQLQSRHKDVAASCMKRQRQEEGKSDTEDDEDNCSESSSALEEEEEEEEEEEEVTDDESRRSPSSGNSMYEPVLNVETEDDADSSDTDQENELEFGSHSGPSTSDITSSFNKHMEHKLSEGEVENFLKMKWKYTWAVPAVGMPNCKWSGTGECFLKELDMKPSSYDLKLRLYEHWLDTYKSSRGTDFHSSRQRFFFSLCNSYRDILYCNKKPFYLKGLEEDSSIMDSYIMHSLNHVFKARDLIAKNDSKVAKHQDCAEILSGEKFLDHGFTRPKVLILLPLASIAFRVIKRLVHLTPSANKVTVEYLDRLFKDFGNGDDGKNQDMVELSLNDQSSSSQKSSKPSDFQALFGGNNEDLFMIGIKFTRKSIKLFSDFYSSDIIVASPLGLITKLGEIEKNKEKDVDYLSSIEVLIIDHADIIAMQNWSHVNTVIEHMNKIPSKQHGTDVMRIRQWYLDGHARFYRQSVVLGFHSNPDINGFFVRYCNNFEGKVKLLCEYKGVLPKVVLQVRQVYERFDSDSIADVDDARLEYFSKKVFPKINESSQGGVMLFISSYFEFVRVRNFLKAQNASFCLLGEYTKQSDISRARNWFFEGKRKIMLYTERAHFYHRYKIRGIQNLIMYSPPERKEFYPEIVNMLDESQSMTCRVLFSPFDQLPLERIVGTVPAKKMTTSEKKVFIFC >KGN66789 pep chromosome:ASM407v2:1:27710669:27712587:1 gene:Csa_1G690270 transcript:KGN66789 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase MTNPKVFLDILIGRVKAGRIVMELFADTTPKTAENFRALCTGEKGIGRSGKPLHYKGSKFHRIIPDFMCQGGDFTKSNGTGGESIYGLKFADENFKLKHTGPGMVSMANAGPNTNGSQFFISTSGPLSWLDGKHVVFGKVVDGYDVVEKMNEKGTESGDPKVPVVIEDCGQITES >KGN65198 pep chromosome:ASM407v2:1:12751491:12752542:1 gene:Csa_1G264010 transcript:KGN65198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWFSWLSRTGLDPLYTYEYGLLFARNALKPEDIPRFNHHFLQKIGISIAKHRLEILKLAKSHTHQPNLNNPLISAFFKTKICLRNCLRRLISPSPALEKPIISPEPPPSSLDEPKVKVKEVLKPPRRRSKHVSLSGPLDRTHEKFVMSSKSLKLSGPLDRKERAPSSPSPSPLPPMFTRSPRTSGPLDGRISDWSLSNKSPKMNGPPQGRMMRLIPPSRSPRVSGPLDGRDGSPRICCRCNRERMESEDDYHSLWVSLFYDMKPT >KGN66310 pep chromosome:ASM407v2:1:22634526:22634747:-1 gene:Csa_1G597080 transcript:KGN66310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKGPQEDVERMNRRNEIMHYSPRELDARPKVLSSSAYVDSVGTFLRFFWSISKPTTRRFLYIFRPSLKPLIS >KGN65318 pep chromosome:ASM407v2:1:13751994:13753521:1 gene:Csa_1G320360 transcript:KGN65318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNRGISEFVVMVADMKPLEILLYLPLLAEDKRMCPICSSHLSKHSTEPAELQTYYSLFCDNKRRKPIEVVANTQQLKDVGNCDLSMMGFLVQSGLLP >KGN64508 pep chromosome:ASM407v2:1:6589797:6590399:-1 gene:Csa_1G062340 transcript:KGN64508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSAFKYLWLVVLCALIWASEPRKLVITNGGEIESEKTLPFQFFPGYGGGLGGGGGFGGGGGGGLGGGSGFGSGGGGGFGSGIGGLGSGGGGGFGGGGGGGILGGGAGGGAGGGFGGGLP >KGN63647 pep chromosome:ASM407v2:1:1339414:1342194:-1 gene:Csa_1G008530 transcript:KGN63647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFFHSGNETFEKLGAIGTLANLLIYLTSVFNMKSITAATILNIFNGSTNLVTLVGAFLCDTYFGRYKTLGFAIIASFLGLLVIHLTAAVKTLHPPHCIADLCKGPTAGQMTFLLFGFGLMIIGAGGIRPCNLAFGADQFNPNTEAGKKGINSFFNWYVFTYTFAMMVSITVIVYVQTNVSWALGLGIPAILMLIACILFFVGSKIYVKVKATGSPMTSVAQVLVVAIKKRKLKQPDQPWLSLFEYTPPGSINSKLSYSDQFRFLDKAAIITAEDQIKEDGSAADPWKLCSMQQVEEVKCLVRVLPVWLAGVLFFATQAQQNTYAIFQALQSNRRIGNFTIPAASYTIFAMLSLSIWLPIYDRIVVPFLLKFTKKEGGITILQRLGIGIFLTTMAVLLSGLVEDRRRIIALTKPSLGIEPRKGAISAMSASWLIPQLTLYGLADGFGAVSQLEFYYKQFPENMRSIGGSMFFCAIAGGSYLNGLLIIVVHRMSEGSKSGDWLPEDLNKGRLDYFYYFLTGIGLVNLCYFLICSKWYKYKGAPQNASEIHLISKQPEKNSV >KGN64264 pep chromosome:ASM407v2:1:5041991:5047288:-1 gene:Csa_1G045620 transcript:KGN64264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCNACVRPETTEDEKSVNRKKNEKERKSNPYTRETATRSPAPLRVLKDVMPLSHRTRISDKYILGLELGRGEFGITYLCTDRETKEALACKSISKRKLRTAVDIEDVRREVAIMSNLPEHPNIVKLKATYEDNENVHLVMELCEGGELFDRIVARGHYTERAAANVARTIAEVVRMCHANGVMHRDLKPENFLFANKKEHSPLKAIDFGLSVFFKPGEKFSEIVGSPYYMAPEVLKRNYGPEVDIWSAGVILYILLCGVPPFWAETEQGVALAILRGVLDFKREPWPQISESAKSLVRQMLEPEPKKRLTAQQVLDHPWLQNAKKAPNVPLGDIVRMRLKQFSVMNRFKKKALRVIAEHLSVEEVEVIRDMFSLMDTDNDGKVSFEELKAGLKKVGSQLAEPEMKMLMEVADVDGNGVLDYGEFVAVTIHLQRMENDEHFRRAFMFFDKNESGFIELDELRVALSDEAGETDSDVLNEIMREVDTDKDGQISYDEFVAMMKTGTDWRKASRQYSRERFKSLSLNLMKDGSLQLHDGLTGQAVVV >KGN64664 pep chromosome:ASM407v2:1:7501359:7510814:1 gene:Csa_1G073710 transcript:KGN64664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQVSNATEGEEQPQVQPLELPKVSDKTEPLPAQEEVMEKPDDAMDEDLVTPATVFRIKLKQPRSNLQHKMSVPELCRNFSAVAWCGKLNAIACASETCARIPSSNANPPFWIPIHIVIPERPTECAVFNVIADSPRDSVQFIEWSPTSCPRALLIANFHGRTTIWTQPSRGPANLVRDASCWQLEHEWRQDIAVVTKWLSGLSAYRWLSSKSNSSNSKPNFEEKFLPQQSQTSARWPNFLCVCSVFSSGSVQLHWSQWPPSQNSSPPKWFCTSKGLLGAGPSGIMAADAIITDSGAMHVAGVPIVNPSTVVVWEVTPGPGNGFQATPKTTTNNGVPAPLNPPNWSGFAPLAAYLFSWQEFLLSELKQGKKQTDQDVNDTIPLHCSPVSNFSAYVSPEAAAQSATTTTWGSGVTAVAFDPTRGGSVIAIVIVEGQYMSPYDPDEGPSISGWRVQRWESSLQPVVLHQIFGNPTASFSGQAPTQTVWLSKVDTSISPTSDFKSNQSAVSGPNSDLRKLSGAYIDKAKKVCFDPFDLPSDVRTLARIVYSAHGGEIAVAFLCGGVHIFSGPNFAPVENYQINVGPAIAAPAFSSTSCCSASVWHDVNKDCTMLRIIRVLPPAAPSNQLKANSSTWERAIAERFWWSLLVGVDWWDAVGCTQSAAEDGIVSPNSVIAVLDADFHSLPSSQHRQQYGPSLDRIKCRLLEGTNAQEVRAMVLDMQARLLLDMLGKGIESALINPSALLSEPWHASGETLSGIDPEAMAVEPALIPSIQAYVDAVLDLASHFITRLRRYASFCRTLASHAVTAGTGSNRNIVASPTPSSASPATSQGAQSSTTSTTGSTQMQAWVQGAIAKISSTTDGVTSSTPNPISGSSTFMPISINTGTFPGTPAVRLIGDCHFLHRLCQLLLFCFFFRRTQLSRYIGISQRNADANSQKPQPSVPGKVEEINSNSVKPVQNIIKPDESQIVRASQLVTGAKGAEEGLAGRSRIGTGNAGQGYTSEEVKVLFLILIDLCRRTASLPHPLPVSQVGSSNIQVRLHYIDGNYTVLPEVVEASLGPHMQNMPRPRGADAAGLLLRELELHPPAEEWHRRNMYGGPWSDVDDLGPNDDNSKLSNSSDPLDLNSLENCNVYYGANGLWPRKRRMSERDAAFGLNTSIGLGAFLGIMGSRRDVVTAVWKTGLEGVWYKCMRCLRQTSAFASPGATNDTTQNERETWWISRWAYGCPMCGGTWVRVV >KGN66324 pep chromosome:ASM407v2:1:22699774:22703423:1 gene:Csa_1G597710 transcript:KGN66324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWPDLIKKSKEGGLDTIETYVFWNAHEPVRRQYDFSANLDLVRFIKTIQNEGLYAVLRIGPYVCAEWNYGGFPVWLHNLPGIEELRTTNPVFMNEMQNFTTLIVDMMKQENLFASQGGPIILAQIENEYGNVMTSYGDAGKAYVNWCANMADSQNVGVPWIMCQQDDAPEPTINTCNGWYCDQFTPNNAKSPKMWTENWTGWFKSWGGRDPVRTPEDLAFSVARFFQLGGTFQNYYMYHGGTNFDRMAGGPYITTTYDYNAPLDEYGNLNQPKFGHLKQLHAALKSIEKALVSGNVTTTDLTDSVSITEYATDKGKSCFFSNINETTDALVNYLGKDFNVPAWSVSILPDCQEEVYNTAKVNTQTSVMVKKENKAENEPEVLEWMWRPENIDNTARLGKGQVTANKLIDQKDAANDASDYLWYMTSVNLKKKDPIWSNEMTLRINVSGHIVHAFVNGEHIGSQWASYDVYNYIFEQEVKLKPGKNIISLLSATIGLKNYGAQYDLIQSGIVGPVQLIGRHGDETIIKDLSNHKWSYEVGLHGFENRLFSPESRFATKWQSGNLPVNRMMTWYKTTFKPPLGTDPVTLDLQGLGKGMAWVNGHSIGRYWPSFIAEDGCSDEPCDYRGSYTNTKCVRDCGKPTQQWYHVPRSWLNEGDNTLVLFEEFGGNPSLVNFKTIAMEKACGHAYEKKSLELSCQGKEITGIKFASFGDPTGSCGNFSKGSCEGKNDAMKIVEDLCIGKESCVIDISEDTFGATNCALGVVKRLAVEAVC >KGN64082 pep chromosome:ASM407v2:1:3973965:3974525:-1 gene:Csa_1G039960 transcript:KGN64082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFQSKPNELKSQCPRCNSLHTKFCYYNNYNYSQPRHFCKTCRRYWTLGGLLRNIPVGGGTRKSKKNSKAKRATFTDSACNSNSDLDMLSSPLKLNNQAGDFQWNGREFEAGDPAAEEGLFGLDQLPTSSGDNNGSSWLNFYGLTHPFNN >KGN65559 pep chromosome:ASM407v2:1:16368960:16372428:-1 gene:Csa_1G447420 transcript:KGN65559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNFYEMELIAMSVCNAFLLPLVQGSAPGELQKARSQRSIEDSHEKLSHQKRLDIQLHGLLLWISMGFLMPVGILTIRMSGRMARGSTLLKVFFYLHVVLQTLSLLLATAGAVMSIRNFENLFNNNHQRIGLALYLAIWAQAVIGIFRPQRGKKERNAWFLMHWILGTIISIVGIINIYTGLNAYHKKTSRSIGLWTVLFTAEISFIGFFYLFQDKWEFLQKQGVVLGESEAVSHSDEQVETRRQNSKELLPNPCTKQNALRNLFD >KGN65431 pep chromosome:ASM407v2:1:15295144:15296906:-1 gene:Csa_1G421910 transcript:KGN65431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKESLEKQISNEREWEKRSESEGKEMVEFSSLPEGVIANILSLTSPLDNCRLSAVSWTFHAAAQSDVAWERFIPSDYHLLISRMNPTSSSKKDIFFSLSDTPLLIDDGKQSFSLDIWSGKKCIMLGARDLSISGSDTSSLWKWVPHQGSRVGLRNRFGEVVVLLDICLLEVSGKISCRMLSPATTYAAYFVFKMKKRKYYGFNLDPVEAMLGVVGDDCHGKNVCLDPDLDSPSWLHQFLPWAESNQNMSEFEQPNWRSDGLFEIELGEFQTNGKDDEVEVILREDNRCSPKSGLVVVGIDIRPKTSPA >KGN65063 pep chromosome:ASM407v2:1:11309932:11311666:-1 gene:Csa_1G187170 transcript:KGN65063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEVESAASLPETVEVVKVEKKSSEEQPVIEADPEVEPANLEEPKPEALEPDQVEESTEAPVEESKVEEGQEKKEETVVATESEEKEEAVPEAEESAKVEESPAAEVEAEAKPQVEEEKAIEEEKPTEVAEEKSQE >KGN66618 pep chromosome:ASM407v2:1:25685620:25687304:-1 gene:Csa_1G650060 transcript:KGN66618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARAQPNLEGKMGNLHKTTFGYLGEIADQAKVTENKHPTKKEENCLADEGNNWKTTGIPFTIVHNWLSSLNHYVRMVMSNLDGNHEETLRLHPRFEELKSFYGIEDNSASVGPAGPQKLSSGLISITLKCVGASIGEKPPVTKKLPPTTSVGKLKMLCESFFKLKSIKLKLYLQEEDSPMPILLEDDMTSLMDLGVGNESNILVDEES >KGN65904 pep chromosome:ASM407v2:1:19580019:19581492:-1 gene:Csa_1G537530 transcript:KGN65904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLPWKTTRASRISQIVADLHSPKRASSLVVETGFPTSIVDLFVKHRDRLRKHSARRKSKKKKKITKNEFHDSIAQLSPLELDSIGSSGSCVLRGNLEIEDLDEARKCSGRGCEPIRDRTETCVVGGDAASGNGFCLFVLKMFVVAVLALSAKKLVVGITLSAFLLFLLEFLGTRIVRFFKPCIHGEAALRSLIQKVSKHLWIGKDDPVIQVSRNCEGESVPKVSLNAFLNESIDSPELSSSVEEIQLVEPEIDTDVTPKGNEDEKRRLDGGNLEVCENGRGIRRKQNRRMFDKLVRRKSGSEANEKKNNTEELMFRSGGLDKDSIEEQGNEALEKDQEQDGETISICCKDEQDSGKPSGFDEQWQTMKVAESSCEIHKIKIKREQSLSYAFLFLIVLVGLFGGRFVAVVLATASCFMIKLNEIARRKSLNPPLKISQ >KGN66576 pep chromosome:ASM407v2:1:25133155:25134766:-1 gene:Csa_1G630310 transcript:KGN66576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDKQQSNSNQPATTDSSSQILPTTTPSTPPSVRKKLTLIPLIFLIYFEVAGGPYGEEPTVQAAGPLLAIIGFIVFPFIWSVPEALITAELSTAFPGNGGFVIWAERAFGPFWGSLMGTWKLLSGVINIAAFPVLCIDYIKKIAPPLESGWPRRIAVLASTLILAALNYIGLTIVGYVAVVLAFLSLLPFILMTFIAIPKIKPHRWLILGDKERDWNLYLNTLFWNLNFWDNVSTLAGEVENPQKTFPKALFVSVIFTCLSYLIPLLAVIGAVDVEQSAWGSGFHAQAAGIIAGKWLKFLLEIGSTLSAIGLFEAQLSSSAYQILGMAEIGVLPKFFGSRAKWFKTPWIGIVICTAISLAVSYMDFTDIVASANFIYSLGMLLEFSSFVWLRWRHPGIKRPFKVPLKLPGLIMMCLIPSGFLVVVMVFTHKNVLLVSGGMTVGGILWFGLMKICKKKKILEFNPEPEAIVELL >KGN63833 pep chromosome:ASM407v2:1:2421649:2423141:1 gene:Csa_1G024190 transcript:KGN63833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSTKCPPGISLSNDLVLSEILPIQPRESSEFEFCVSGCFEYESSSADELFFNGVIIPTQNHQGFVHNKRTHQRESSPILPSALPPLPPAVANENSKKENTEELVHVVNSESEKKSRSKSFWGFRRSNSVTYDSRKNSFCSLPLLSRSNSTGSVQTPKRTPLKDVKTQNPMLQKQHSVSESNSKSSFLTSSFSNSASNPYSKLQKAQKKNQGGFYGSNLYVNPILNVPPPYITKETANIFGLSSFLRGRKEKKSR >KGN64360 pep chromosome:ASM407v2:1:5687352:5687626:-1 gene:Csa_1G049990 transcript:KGN64360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTVKGRPNRSTNGGHGLAERLGFNGDAARTLPDGDIRLQLRGSGGERWTASERMADGDGG >KGN65959 pep chromosome:ASM407v2:1:20095412:20097618:-1 gene:Csa_1G542500 transcript:KGN65959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSELEARENSETKEKYGHCLSYILPTTGDHEVHWPSPSELYKQLCDGTSLNNGSKIYWFHRKGRGNAYFIFPKAISIAWINECQYWRWISIEIFGKKIDVAELVKVRWLDVRVRIKEYMLSAGVTYELLCHLLLKSCASGWHEPINFGLTLPNGTKFVNSESLECKPRDVWFTIKVEEFKIGDKHGCLNAKEYEFSMYNHNQHWKSGLIFKSFEIRPKQPCCKS >KGN64696 pep chromosome:ASM407v2:1:7712842:7713240:1 gene:Csa_1G075010 transcript:KGN64696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIHRKKPHMSSTTSTWLNSLSFSGYLNSKDRDDPSLGSIETHDRKIKVVDHGFEFSPTCGGSSVSDYGERSSAYIVRNNSIKRGSKKIWEEKDESRWSGWRIFWLFVLPCRECRARKPSVVQPRPIQVPSP >KGN64002 pep chromosome:ASM407v2:1:3565712:3568326:1 gene:Csa_1G033210 transcript:KGN64002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEKSMLPTRLFLYLITVSMFLLILSSVFILQSNYNSFFPSSVLKFIVVNNTSNYLKPNVEDEPMELPTQPGEAKEAVTDRDVDYPVSNFVKDEVSVENQSDLGCDPAKARLRVFMYDLPPLYHFGLLGWKGEKDQIWPYVSNRSQIPPYPGGLNLQHSMEYWLTLDLLSSNVPDMDHTCTAVRVKDSSQADVIFVPFFSSLSYNQHSKSHGKEKINVNKILQQKLIDFLFGQKEWRRTGGKNHLVIAHHPNSMLDARKKLGSAMFVLADFGRYPAAIANIEKDIIAPYRHIVKTVPSSKSATFDERPILVYFQGAIYRKDGGVVRQELYYLLKDEEDVHFTFGSVKGNGINKAGQGMASSKFCLNIAGDTPSSNRLFDSIASHCVPVIISDDIELPYEDILDYSEFCVFVRAADSIRKGYLLNLLRGIGRERWTKMWDRIKEIVHEFEYQYPSQSGDAVDMIWQAVSRKVSKIKSNRNRKNRYSRSQLLLKNS >KGN63457 pep chromosome:ASM407v2:1:158986:160393:1 gene:Csa_1G001290 transcript:KGN63457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSFMNHNPWIFAFGLLGNIFSFIVFLAPVPTFIRVCRKKSTEGFQSIPYVVALFSALLLIYYSTLNADEFFLMTINSVGCFIETIYIALYIAYAPKKARRAYRARVIGFICGGLSVSVFAAPLSIMKRVIRTRSVEYMPFSLSFFLTLSAVMWLCYGLFLKDLYVALPNTLGFTFGMAQMILYAIYRNAKPLPSEEKLPQHKADIETQIVITATPTNPDDHQGDEHQNQDQVINVPPPPPQSNTNHAPSVCNNNDKYCMDNNMAPPPMVKCEA >KGN64496 pep chromosome:ASM407v2:1:6507249:6507982:-1 gene:Csa_1G059750 transcript:KGN64496 gene_biotype:protein_coding transcript_biotype:protein_coding description:p55 MGGELNLAHGIFNPPSSPSKKNTPKFPGRSSVEPQTPDPVHGKKRPAPVSPNDDFQHDRPPTQKRKTDNAEEGSSSDVPMKDLIEKVEDMVKMLKRVGRKKDKLVSDPLLACFVSAQALLTACTAELISRSTRKAKPLVHQHSDLSINYGSTTTAAGGSGETKKIKKV >KGN64181 pep chromosome:ASM407v2:1:4556343:4560455:-1 gene:Csa_1G042880 transcript:KGN64181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSLAKSITKFRPCFSLSGHSSTPLPSSSSSFSSAAVVSSTPDPNSSSLSQPQQKQRESRLSKWLLFLPGALTFGLGTWQIFRRQEKIEMLDYRRKRLLMEPVNINNLLSLEDKLDDLEFRRVICKGVFDEKKSIYVGPRSRSISGVTENGHYVITPLMPIPGLPDSVQSPVLVNRGWAPRTWKEKALEVNQQGSEQSSDIVPSLVQGGERSSWWKFWSKKTESLENEITPITPVEVIGVVRTSEKPSIFVPANDPGSRQWFYVDVPAIARSSGLPEDTIYVEDINENVNPSDPYPIPKDVNTLIRSSVMPQDHLNYTLTWYSLSAAVTFMAFKRLRQKTSRR >KGN65047 pep chromosome:ASM407v2:1:11195049:11201802:-1 gene:Csa_1G183570 transcript:KGN65047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLFKPKPRTPVDVVRQTRDLLIYTDRNPDTKETKREEKQMLELSKNVRELKSILYGNSESEPVAEACAQLTQEFFRDNTLRLLIKCLPKLNLETRKDATQVVANLQRQQVQSRLIASDYLEANIDLMDTLVAGYENTEMALHYGAMLRECIRHQSVAKYVLESQHMKKFFDYIQLPNFDIAADAAATFKELLTRHKSTVAEFLSKNYDWFFAEYNSKLLESTNYITRRQAVKLLGDILLDRSNSAVMTRYVSSRENLRILMNLLRESSKSIQLEAFHVFKLFVANQNKPADIVGILVTNRSKLLRLFADFKTDKEDEQFEADKAHVVREIAALEPKGP >KGN64403 pep chromosome:ASM407v2:1:5903743:5906110:-1 gene:Csa_1G050420 transcript:KGN64403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSFSDVKSAAGLQKLDEYLLSRSYISGYQASKDDIAVYEALSKPVSSEYVNVSRWYNHIAALVRASASFGEGSGVKFDSDAIATPPAADAKASADDEDDDDDMDLFGEETEEEKKAAEERAAAVKASAKKKESGKSSVLMDIKPWDDETDMKKLEEAVRSVQMEGLLWGASKLVPVGYGIKKLQIMLTIVDDLVSVDNLIEEYLTVEPINEHVQSCDIVAFNKI >KGN66676 pep chromosome:ASM407v2:1:26347354:26348986:-1 gene:Csa_1G658010 transcript:KGN66676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLQVADHDLNALLLPPTNFSMVEDGIFRSGFPQPSNFSFLRSLNLRSIIYLCPEPYPEENLKFLKANNIKLFQFKIEGKKEPFVSIPKDAILEALKVLIDVRNHPILIHCKRGKHRTGSLVGCLRKFQNWCLTSVFEEYQRFAGIKSRATDLQFIETFDAGSLRQCVYSIIYQYQGYSSNKRRLLYREENLQKPQTTKV >KGN64583 pep chromosome:ASM407v2:1:6966791:6968576:-1 gene:Csa_1G066520 transcript:KGN64583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVCCDLEVDVNGEATFLVDKRIILSFSGRLRKLIRTTTDVSRGLKIVLHGFPGGPEGFEQIARFCYNGGRVEITPSNIILLHYAARFLELECPTDSAETHLIAETKKLLQGLNFWSWPELLLALKQYQICASIRKDSSLVKKLLDSLISRLGFTSYESTCSSFSTSTSFRYSYDTRSHDSSSKFTRTTWWFQDLVFLNIDLIHKLIKMMVSHNFDHKIISKFLFFYRKSRPCHSEPAQQRRITQGVINLLCLLDHQNSFSCDGLFDIYQVGLSLKISRKSKHKLEVLMGSQLDQVTVSHLLIPAPRGKDYVYDVNLVLRLLKFFQIESKLFLFQLCNFGKVTKLIDSYLMEVAPDSHLKASKFSALAMAMSHSRESHDKLYQAIDLYFQVHVELCEDEKIRICSALNYSKLSNETLKHLAQNPKFPCRRAIKSRISKQDSPIDLFCHTMISKVLRETPFGCTSNGNAGKRRDESHESKSHIIREKSKCVF >KGN64902 pep chromosome:ASM407v2:1:9887737:9904689:-1 gene:Csa_1G152000 transcript:KGN64902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIVNGGTRTVSANLNMGGEKPKVVVIMGPTGSGKSRLAIDLASYFPVEIINADSMQVYRGLDVLTNKVPPEDQNGVPHHLLGTVSPDVEFTAKDFRNSAVHVIDGIISRGCLPMIVGGTNYYIQALVSPFLLDDSAENVGGGCSIYPGDEGLGVDLDECRDGLKYDHNHLKEIDPVSANRIHPNNHRKINQYLSLYYRTGVLPSTLFQGKAAENWGQADSFKYDCCLICVDASVPQLDEHVDSRVDIMMAAGLLDEVYDIYNPNANYTRGLCQAIGVREFEEFFRCYIPEGGCKKESAGLSPKNLMECNEILKENMRSILTSPCDGQPSLLLKEAVDNVKLNTRRLVRRQRRQLKRLETLFGWKIHYVDSTEYITCKVEDSWTAHVVEPAVQIIRSFLTDGSATETMKPHSSELIKKDLWTQYICQACGNKVLRGAHEWEQHNQGRTHRKRMYRLRKKCEGLSLLHQKLPPQE >KGN63590 pep chromosome:ASM407v2:1:1008453:1009457:1 gene:Csa_1G005510 transcript:KGN63590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGETGTLGYMAPEVLNGNPYNRKCDVYSFGICLWEIYCCDMPYPDLSFSEVTSAVVRQNLRPEIPRCCPSSLANVMKRCWDATPDKRPEMDEVVSMLEAIDTSKGGGMIPVDQAQGCLCFRKYRGP >KGN66027 pep chromosome:ASM407v2:1:20706774:20713881:-1 gene:Csa_1G568560 transcript:KGN66027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEQVFPFSVASVVEDVLQQHGVRPRNIDLASKKSEEDSLRRYEAAGWLRKTVGVVGGKDLPAEPSEEEFRLGLRSGIILCNVLNKVQPGAISKVVEGPCDSVIIPDGAPLSAYQYFENVRNFLVAIEEMGLPTFEASDLEQGGKSTRVVNSVLALKSYSTWKQGGGNGMWKFGGAAKSPTSRKNVVLKNSEPFMNSFTKTSSNGDSFSLESSSSGDNSNDNSNEAGSSRPLHMLLSQLLSNKQLDEIPSIVECMIGKVMEEFEHRLATHNNMIKASPEDVAESISNKSPPQITSADETMEEETTSSPEEISSPEATSCVEEINSPKDSPEVTICLEAESFPEAESCPETKVENGEANDQRDEELERQILRRQMLLEQQQRNIEMLKDALGETKVGMQILQMKYQEEFNTLGKRMYSVAYAASEYRRVLEENRKLYNQVQDLKGNIRVYCRVRPFLGGHSNRPSTVDRIDEGNMSIMTPSKYGKEGRKSFKFNKVFGPSATQGEVFSDTQPLIRSVLDGYNVCIFAYGQTGSGKTYTMSGPTELTEDTLGVNYRALSDLFILSQQRKQTVSYDISVQMLEIYNDQIRDLLLTDSNNRRYPFLFPSVFLYFLLYYEALSVLHVLNCSQNGINVPEACLVPVSSTSDVINLMNLGQKNRAVSSTAMNDRSSRSHSCLTVHVQGKDLTSGATLRGCMHLVDLAGSERVDKSEVIGDRLKEAQHINKSLSALGDVISSLALRNAHVPYRNSKLTQLLQDSLGGQAKTLMFVHISPEPEALGETLSTLKFAERVSTVELGAARVNKDSSDAKELKEQIASLKAALVKKDSETEQNSRSSTPEKSRMKTFLSSPSLPSYKSVVEMSVNRTSSLEDVRNAAEAQKQANQKLKRRSLDPRDILKSSPWPPLGATLVNAREDDKESVSSDWDDKAMVNKNGIVRRDETITGPWDVNTLPETYDQNFLVDPSKVYPENSFNNASMNKKDNQEFDVQRNQYEMASTDDSDDHETVNSETSEPEIIWQSSLPIPKGSSIPNGLGSKIKKPAASPKPAKSPEVRSFIPSLIPSPSRKPQAGIAQPVAKTGKQVVSVEGGKRKGGKY >KGN66827 pep chromosome:ASM407v2:1:28086475:28090562:1 gene:Csa_1G699560 transcript:KGN66827 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alternative oxidase MASSTSTASPVFALSSSFQLKPSNSRNNNLPSTFFPSSPFISRKSSCRAMATVIKENEDQKVVAEESFPPKAFPVQDGEGGSNQGPQNSSSDNDSDKWIIKFEQSVNIFLTDSVIRILDSFYHDRHYARFFVLETIARVPYFAFLSVLHLYESFGWWRRADYLKVHFAESWNEMHHLLIMEELGGNDGWFDRFLAQHIAVAYYFMTVFMYMISPRMAYHLSECVESHAFSTYDKFLKAQGDELKKLPAPEVAVKYYTEGDLYLFDEFQTSRPPKSRRPKIENLYDVFVNIRDDEGEHCKTMKACQSHGNLQSPHSYSDSLGEDNEALCDPAK >KGN63974 pep chromosome:ASM407v2:1:3442756:3446260:-1 gene:Csa_1G032440 transcript:KGN63974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEKGWRESDACRWQSGSSATRLKRPSGGFHVELIKLPKYMRLTCHPLLFPPPSSLFFYYAFFLNSHLYCSLHSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSPASPFLPPSFTYYSLSQSHLPFFLLLLLRLLRLSFLPLLLTTPFLNLTFHFFFFFFFACFAFPSSLFYLLLPFSISPSSSSSSSSAHNLSIIPNPLIRPFWWTLTPRLNFLPDFVASADGCPGLGASICLDFEYLIHLLRSLVPKSHLMLTFSPSSALCAAEYPCSRRFYFTAKHIGLCNALLQELKWVAEKNEGTLSNGSIAANNGLSNDGAQLDPLMRVSSLSSYGNESALKVRKPYTISKQREKWTEEEHQRFLEALKLYGRGWRQIKEHVGTKTAVQIRSHAQKFFSKVVRESSGSNESSINPIEIPPPRPKRKPLHPYPRKAVDSLKAISVARESERSPSPNLSLAEKETHSPTSVLTAFSSDDQISAVSEQHNRCPSPISQAVDMQPTRSSPVRKGELYLQSIVGEEKGMLSLESSSERFPEEFLTLKFKPGSASKKVDNKLHSPVKSIKLFGRTVMVTNDKQPSPLDFEVTETLTFEDDSKSECKVYAENSVEMLTSKHMDVSLALGMDNNGDLNMSPGGAPSLTLGKQNRSVPYVKALPNASQTCWSLYQTVPYFYLAPSDQTSTGTSTDHIMEERIQNDNSQESSFADSCSGSPRKDQNETQSPEVECQEPCLVGRGNANESKKGFVPYKRCLAQRDTSSALIVSEERESRRARVCS >KGN65042 pep chromosome:ASM407v2:1:11153029:11154685:-1 gene:Csa_1G181550 transcript:KGN65042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMLNLPTSTTLTAPITQTINPPIYKSIVQTKPVHQSHQSQLPLAILPPISEDLTHPIHTSSEHQQSLHPNPNNQTASTQPIIPLPDLASLLAQHGLCTWAFPLFLLQKLLKDLSTTIKRNKMQRELQNLHSSIHYDKSWNKLKYRKLTLPLSNLFGAQLLLVGRRLMQFSPSGGILILWRPPEHTVHEVVHGIYTLSIHIELADGFSFWCSPVYGPYDDCFHLDFWNELHDVAGLGGENWIIGGDFKMLLAGYLVWSRCPFHFENSWLLEQSFRLLIESWNIYVGDSDVNLNGLLKGIKTLDSSIVLWQPQKGKTLLPKSFLWMVIAANRGWY >KGN66214 pep chromosome:ASM407v2:1:21968427:21972646:1 gene:Csa_1G586760 transcript:KGN66214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRRSDNHSPTTLNGLPQPQGSKICFEDDDDEAPVEETTESSNFDESMCDAADDSNRVIEDTACEPGQSFNDKDDKTSADYYFDSYSHFGIHEEMLKDTVRTKTYQNVIYQNKFLFKNKVVLDVGAGTGILSLFCAKAGAAHVYAVECSHMADMAKEIVETNGFSNVITVLKGKVEEIELPVAKVDIIISEWMGYFLLFENMLNTVLYARDKWLVDDGIVLPDKASLHLTAIEDADYKEDKIEFWNSVYGFDMSCIKKQALVEPLVDTVDQNQIVTNCQLLKTMDISKMAPGDASFTAPFKLVAERDDYIHALVAYFDVSFTKCHKLTGFSTGPRSRSTHWKQTVLYLEDVITICEGESITGSLNVAPNKKNPRDIDIVLKYSFNGRRSTISKTQHYKMR >KGN66552 pep chromosome:ASM407v2:1:24902896:24903328:-1 gene:Csa_1G629090 transcript:KGN66552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKRHLTGYRPSQLTTKRKQWILSPCGLLHPHALALALQGNSLKRRFPMLLTSGSHSHFICCDAHALVVVILCLLDTEFLGRLVIDMLPRTHSLDCGYSSALLAVGVTLSF >KGN65729 pep chromosome:ASM407v2:1:18143372:18147391:1 gene:Csa_1G523040 transcript:KGN65729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARDKPTAGIEIKVHSRHGEHEVQPAAARSRPSGTSPIEFGQYRPFKKWVPWLVPVFVVANSVMFTISMYVNDCPKNSASCIGRFLGRFSFQPLKENPLLGPSSSTLEKMGALEVDKVVYGHQAWRLISCLWLHAGVFHILANMLSLVFIGIRLEQEFGFVRIGMLYIVSGFGGSLMSALFIQSGISVGASGALFGLLGGMLSELLTNWTIYANKLAALLTLLFIIVINLAVGVLPHVDNFAHIGGFISGFLLGFVFLVRPQFGWVSQRNAPRGNSSTSKSKYKPYQYVLWVVSLVLLIAGFAVGLVFLFRGENMNDRCSWCHYLSCIPTSKWSCNSQKFSCETSQLGNQLNMTCLSNGRSGSYSLTNSSSTEAEKICSQLCS >KGN65403 pep chromosome:ASM407v2:1:15027734:15028250:-1 gene:Csa_1G409740 transcript:KGN65403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASISSELFQSNLKNSHGDRCHGGKNYLDPPPPPPLPLPLPQPHIDIGGGGSIVADYDFAPAA >KGN65151 pep chromosome:ASM407v2:1:12462334:12462870:1 gene:Csa_1G250140 transcript:KGN65151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEMEMEMEMEMEMEMEMEMEMEMEMEMEMEMEMEMEMEMEMEMEMEMEMEMEMEMEMEMEMEMEMEMEMEMEMEMEMEMEMEMEMEMEMEMEMEMEMEMEMEMEMEMEMEMEMEMEMEMEMEMEMEMEMEMEMEMEMEMEMEMEMEMEMEMEMEMEMEMEMEMEMEMEMEVLWEKQ >KGN64747 pep chromosome:ASM407v2:1:8208913:8218077:1 gene:Csa_1G086900 transcript:KGN64747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAALDPSSLQFTSTWAVAAVCFFFISLSLFLEHLIHLLSNWLKRKRKAALFEAVEKLKSVLMLLGFMSLTLTVTQQPVSKICIPNSVAYTMLPCQREIQITASKNLEMDKFQSNQSFSWLPEKVESSSSNSDSSSSSSSSSSDYCTAKGKASLMSQGGMNQLNNFIFVLAVMQILYSVLTMALGRAKMRRWKAWEEETNTLDYQVANDPNRFRLTRQTTFGRRHISSCATPSFLLWSICFFRQFFRSVAKVDYLTLRHGFISTHVPGNTSFNFQKYIERSLHDDFKVVVGISPFMWLIVVIFILVDVHGWNAYLWVSFLPLIIVLALGTKFEVIVARLALQLQNKTVVVKGAPMVEPSDELFWFNHPKFVLTLLHFTLFMNAFEFSFFIWVTLQYGINSCYHENLVVIIIRVVLAVTVQVLCSYITLPLYALVTQMGSQFKAAALEEHTAKAIKKWHKDVKHKRKKPSHHHDLDSNQHQEGSSHSVSEHPSSRVFEGSSRTLNSDQEIMSSSHHRALSFAELNGVSITECDEIVEEKLRDSVVTKGESAVSNKVMEIEIGEISEIHEETITLSTPQNERRIS >KGN64589 pep chromosome:ASM407v2:1:7013700:7019612:-1 gene:Csa_1G068570 transcript:KGN64589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSAFAFCNSLVASLNIPQNNNFNPIINNPLLNKQIIYLPHHSLLSTPLKPFDSKIQPSILSNGIEYGEAAIKGRILKDVLREIGDPWECLNLIDATQRLGIDYHFQEEIEAVLQRQYVLFNAIQYNPDTDLHKAALLFRLFRQQGYLVSADVFKSFMDKKGKFKEELREDVKGLSSLYEASQLCIDGDEIILEEAEVFSRHWLNARSEADLANFVHNTIAYPHHKSVVQFMTLNYFEDHMQCPNKWIHIFQDAAKMELHSSQRLRQNEVAQFMKWWKDTELGKGLSFARDQPIKWYVASLVCLTDSFYSEQRIQLAKSITFIYLIDDLFDVFGTLNELTLFTEAVYRWDLAAAEGLPDSMKICLRCLFEVTNEICYHIYQKHGWNPIHFLHKEWAKLCKAFLVEAEWLSCGHSPSAEDYLKNGIVTTGIPLTLLHAFLLLGQQITDETVQLFDDDLDIVSSTATVLRLWDDLGTAKDEKQEGRDGSYLEYYMKENPSISYEETQQHTMKRISNAWKTLNRESLLSNQFPSKFNQACLNVARAVPLAYNYDRNKSILSMDNLLKNLLLDGVEM >KGN63926 pep chromosome:ASM407v2:1:3079896:3090371:-1 gene:Csa_1G028040 transcript:KGN63926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRRSQQWAYVALLLLCFSSSLLVVVAAEMGTHPSEVDALLLIKSSLFDPNGNLSNWNKGDPCNSNWTGVLCYNTTFDDNYLHVAELQLLNMSLSGKLSPALGRLSYLRVLDFMWNKISGEIPREIGNLTSLELLLLNGNQLSGSLPEDLGNLLHLDRIQIDQNHISGLIPKSFANLKATKHFHMNNNSISGEIPSELSGLPNLVHFLLDNNNLSGKLPPELFQLPNLEILQLDNNNFSGATIPDSYGKMTKLLKLSLRNCTLQGSIPDLSRIKNLGYLDLSSNQLSGLIPRGKLSENITTIILSDNRLTGTIPSSLLGLPHLQKL >KGN65494 pep chromosome:ASM407v2:1:15755986:15756461:-1 gene:Csa_1G426950 transcript:KGN65494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVNKNHQQQNQQKSKSNNDTGRENEAMDPTTKLLIRQAIVNGGGGDDDNGGRAEIRGPSEVLAFTRSVNKTDSSLE >KGN65838 pep chromosome:ASM407v2:1:18930699:18933025:-1 gene:Csa_1G533450 transcript:KGN65838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDNGEEKLLAMARHIAKTMGRNESMADDILQIFSNFDARFSLEKLSDKPDELDPRAPTALQRSLKSLDRRISQYLAADHPIWADSADSSAFLDSIDELMGIIRDWAPMARDKSVASYLARADDLMQQAMFRVDQEFRSLMDRGGESFELTRHFRNGESTGDFCFDSEEDEEVAEGILGDGDGIQIPVAQPVTDYNILIDALPSGTINDLHEIAKRMVAAGFEKECSHAYSSCRREFLEESLSRLGLQKLSIDEVQKMQWQDLEEEIERWMKAITLSLRILFPSERRLCERVFVGLSTTADLSFMEVCRGSTIQLLNFADAVAIGSRAPERLFKILDMFETLRDLMPEFDSVFSDQYCLLLRNEAITIWKRLGGTIKGIFMELENLIRRDPAKTPVPGGGLHPITRYVMNYLKAACKSRQTLEQVFDEPALPSKDYTKFDDRAAASSSLSVQMDWIMELLESNLEAKSKIYKDLSLSSVFLMNNGRYIVQKVKDSELGSVLGDDWIRKHSVKNRQYLGNYLKSSWSKVVGALKMDSGTLAPSAMKEKLQSFNMQFEEICQTQSTWVIFENQLREETRISVAKILLPAYQKFIGRYQSLPELAKRTDRYLKYTAEEMESRITELFEGGSSGSGRR >KGN65497 pep chromosome:ASM407v2:1:15769615:15771081:-1 gene:Csa_1G426980 transcript:KGN65497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDLAAFPAFFDDQTAIEEAATAGLQSMNHLIHLLSKQHHHHHHHHESPNNIDLNSSLLTDFTVSKFKRLISLLNRTGHARFRRGPSDSPNPVLNSLDPPQKTHFSKLNFSPVSKVPESRDSTTTSSFVSTVTGDGSVSNGKLDLSVYATPPANAGKPPLAMKSKCHDVSGFGCKVPNSKLCHCAKRRKSGMKKTVKVPAISSKIADIPSDEYSWRKYGQKPIKGSPYPRGYYRCSSVKGCPARKKVERARDDPAMLLVTYEGDHRHPHPTVTDGVSQKS >KGN66488 pep chromosome:ASM407v2:1:24149795:24154109:1 gene:Csa_1G613600 transcript:KGN66488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGALSMVGSSVVDSHSSPCLCLDALPTTSINLKSCGDFVMKKKYSKGRQRLPKPKHLDLSSSFVDNGREWLLSVDMINRSSRKQTKNRRLMVVDELGGQYEDNFDDVKMQMLNYFTYKAVRTVMNQLYEMNPPQYRWLYDFVLNNKPTDGKHFIRRLVKEQQDLAERVMITRLHLYGKWVKKCNHAEIYQEISDENVELMRERLMQTVIWPSDDTNTEKIG >KGN66528 pep chromosome:ASM407v2:1:24579033:24580111:-1 gene:Csa_1G620390 transcript:KGN66528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGFLIFLTQLTHQHSPIAISKTFIIFLFMAFASVMFSHYYNRRKKYSVVRKPRLPPGPKPWPIVGCLPTMLTNKSPTSHWIHSVMKQFNTEIACIRLGSTYIIPVTSPELAIEFLKTHDSVFSSRSSISNTVHILSRGYLTTAFSSMGDQWKKMRRILASEILNPTMLHQMLGQRTAEADTLLRYIFSITSGNGGSAVINVRSITQHYCGNIIRTMLFNRRYYGKGREDGGPTLEEEEHNQALLTILRHVNAFSISDFMPCLKPFDLDGHEKIMKNALNVVRKHDEPIIDERVKQWKKDKKLEGKDILDILISLKDDNGNSLLSIEEIKAQITVSVLQLYKLYTPDCD >KGN65900 pep chromosome:ASM407v2:1:19522326:19522961:1 gene:Csa_1G537500 transcript:KGN65900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSHFPELGLERNNCTEMSWIQSVLYFAGISIEAPPEILLKRPPISNVLFFKAKSDFVISPIPQIGLEGLWTKMLEEPASFLILSPYGGKMRQISDLETPFPHRKGNTFGIQYLVTWENANETYRHLSWIREVYDYMEPYVSKYPRAAYLNYRDLDLGRNCGRNTSYEEAKVWGLKYFKNNFDRLVRVKTKVDPLNFFWNEQSIPTSIYHS >KGN64892 pep chromosome:ASM407v2:1:9787236:9795735:-1 gene:Csa_1G145940 transcript:KGN64892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKINDELVSTVRSIVGPDFSYMDVIRALHLAKNDATAAINIIYDTPSFGTRDKPRVQENSDVVHLPCVSSSESKAVTSTSKRVLDEGANFPSPEEETSTRSPCNVGVIKDVVVETSSPCSSSIGSEWWLVGCAEVAGLSTSKGRKVKPGDGVVFTFPSRNGCKTPSPAKVFGKGRHMANFSEIVRFSSKDSGEIGRIPNEWARCLLPLVRDKKVRIEGSCKSAPEVLALMDTILLSISVYLNSSLLRKHQQTSLKAASSAAAESVIHPLPTLFRLLGLSSFKKAEFTPEDLSGRKRLLDSKVSCSLPSSLARTLKNCSQNDNGSENEESISDIDLENIVGAGDTSELEEMDPPSALLCDLRPYQKQALHWMIHLEKGKFMDEAATTLHPCWEGYRLADEREPVIYLNSFSGEATTEFPSTLQIARGGILADAMGLGKTIMTISLLLAHSERGGVSNGQLKHSSTEGDDGSIGESLNPLKKAKITGFEKLLQQQRNTLASGGNLIICPMTLLGQWKAEIEAHVRPGYLSLHVHYGQTRSKDARVLAQNDVVITTYGVLASEFSAENTEEGGLYSVRWFRVVLDEAHNIKSSKSQISIAATALVADRRWCLTGTPIQNNLEDIFSLLRFLRIEPWGNWAWWNKIIQKPFEEGDERGLKLVQSILKPIMLRRTKCSKDREGRPILVLPPADVQVIYCGLTDAEKDFYEALFKRSKVKFDQFVEQGRVLHNYASILELLLRLRQCCDHPFLVMSRGDTQEYSDLNKLAKRFLKGTPNTQVGEGRDLPSHAYVQEVMEELRSGEHGECPICLEVFEDAVLTPCAHRMCRECLLASWRNSSSGLCPVCRKAINRQDLITAPTESRFQIDIEKNWVESSKVVALMNELETIRLSGSKSILFSQWTAFLDLLQVPLSRSNIPFLRLDGTLSQQQREKVIKEFSEDNGILVLLMSLKAGGVGINLTAASNAFVLDPWWNPAVEEQAVMRIHRIGQTKSVKIKRFIVKGTVEERMEAVQARKQRLISGALTDQEVRSARIEELKMLFT >KGN63953 pep chromosome:ASM407v2:1:3330697:3334252:-1 gene:Csa_1G031765 transcript:KGN63953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQISTLAGNQVPSYYVYEVLFTMGIIGLGLLLFALLIGNMQNFLQALGRRRLEMSLRRRDVEQWMEHRRLPEGLRRQVRQAERYNWASTRGVNEERIFENLPEDLQKNIRRHLFKFVNKVRIFALMDYEPILDAIRERLRQKTYIEGSEVFSAGDIIEKMVFIVRGKMESRVDGNGIVVPLSEGDVCGEELLTWCLEHSSLNKGICTQCLFQVFAMMDLFY >KGN64945 pep chromosome:ASM407v2:1:10344063:10344626:-1 gene:Csa_1G166250 transcript:KGN64945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSSDPDPVVDYLTSPLDAIINGTFFTFTGLRSAFTDFPSNFKPTKATFSEFPALLSQSVSMAILQYPAGSINPPHSHPRSAELLLVVSGSLQVGFVDTANQFFNQTLQVGDLFLFPKGLVHFQLNVDPRNSATAIATFASANPGTVALPSTVFTSGISDEVLAEAFKTDVAVIQSIRAGLTPPKS >KGN65768 pep chromosome:ASM407v2:1:18402492:18404092:1 gene:Csa_1G527850 transcript:KGN65768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METAKQTEIPKPRRIVLVPCPYQGHITPMLQLASFLHSVAGFSITIAHTRFNSPNPSNFPHFQFVYLDDGIPEKEAIPTDLIAVLLELNVNCRDSFKAEMRKLMAVEPEDSSEVIAGVIHDEIMFFCEEIASDLKLRSFILRTTAAVTSLARMALVSLNDEGMDPIPKLHPLRFKDLPISLTTDFTGYSKLMKKTYNMETPTTAKAIIWNTMEWLEDSIMAKIENKSTVPIFPIGPLHRIVSAQTSVLKEDFDCLSWLDEQADNVVIYVAIGSIASYNEKAFGEMAWGLANSQQPFLWVVQPGAIHGSEWIEALPKDFLEAIGGRGYIVKWAPQKQVLAHRAVGGFWSHCGWNSSMESLSEGVPMLCSPCFGDQKVNARYLSYVWRVGIQLENGLEREEIEKGIRRLMVGEESKEMRERTKDFKEKIEAYVLKVKDQCYSHTYLAELVSLLKSS >KGN64346 pep chromosome:ASM407v2:1:5505314:5507835:1 gene:Csa_1G046900 transcript:KGN64346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFNFWASRVHPTDQLSAVQAAMLHSGNHIILDDSDGEDDSRPYFSCPYCYVDIEVQVLCSHLQDEHCFDFRNAVCPLCAASLGKDVIGHFTAQHSSSIKRRKKPEKSVSSGFNSKKVITKGREKRNGSAPDPLLPFICSIPFSDHESGERDDRSENDASVAVNIKSNSSQLLERNQNSEELNRRASFVQQLITSTIF >KGN65435 pep chromosome:ASM407v2:1:15315637:15316149:-1 gene:Csa_1G422440 transcript:KGN65435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQLLNWTQAPFVASYRNFSVDSDCVWSSDSSSCTSVSSSSSTSDQWMSQDLNTINQKRLKWVQDNYMVYNYCTDFRRFPQGLPPECTPSPSAT >KGN65603 pep chromosome:ASM407v2:1:16787012:16789221:1 gene:Csa_1G467710 transcript:KGN65603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEAQGGGLTSLPPFLVKTYDMVDDPSTNSIVSWSSSDKSFVVWNPLEFSSVLLPKFFKHSNFSSFIRQLNTYGFRKVDPEQWEFANEDFVRGKPHLMKNIHRRKPIHSHSLQNLHGQGISPLTEVERNSFKDDIERLKLDKEQLLLELQKYEQEYQGVGLQIQNLKDRFQRVQQEMQLFISLMARLLQKPGLHLDLLPQLETPERKRRLPRVSYNISEDSLEDNHLGTTQTIGRDDMGCSFDPILEKEQLELLETSLTFWEGIIHSYDETVSPLDSSSNLELVGSVSHASSPAISCRLVREEFRCKSPGIDMNLEPMATVAPDSVASKDQAAGVNAPLPTGFNDVFWQQFLTENPGASDPQEVQSARKDSDVINEENRQSDHGKFWWNTRSVNNVVEQIGHLKPAEKF >KGN64915 pep chromosome:ASM407v2:1:10023430:10025815:-1 gene:Csa_1G154090 transcript:KGN64915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLALIKNNIKPGCQHDSPISAPPKIQMATIPTISLDLLSSLPTTISLLFFSFTVAFSIFSFSLFLLRLNPCCNCSFCRAYLSSSWSSSFPNLSDWYTHLLSHSPTATLHLHVISNIVTANPDNVQHILKSNFHNYPKGKPFSSILGDLLGHGIFNVDGHSWRFQRKMASLELGSLSLRSHAFEILTTEIRSRLLPTMKGVGKTMEVVDLQDVFRRFSFDNICRFSFGLDPGCLRLWLPTSEFAVAFDLASRLSAERAMAASPIIWRIKKMMRVGSERKLREAIKMVDRLAMEVIRQRRKMGFSNRNDLLSRFMASTNDDRYLRDIVVSFLLAGRDTVASALTSLFWLLSQNPEVETEIISESDRIMGPDRDAVPSFDNLKEMHYLQAVVYENMRLFPPVQFDSKFAEEDDILPDGTFVQKGTRVTYHPYAMGRMDRIWGLDCLQFKPERWLKNGYFTPENPFKFPVFQAGLRVCLGKELAVMDVKCVAVVLIRKFKIRLAGTDRIARFAPGLTASWRGGLPVRIEERSNC >KGN63746 pep chromosome:ASM407v2:1:1913156:1914421:1 gene:Csa_1G014430 transcript:KGN63746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENMEMSENWDKGLPSMENVERQMENMEMGERRMSIDWGKGLPPLIAKIIFSKLVISNLPSCRFVCKTWNHLVLHYASSTQLQFLTNAFLLSTSDQMLNYELCNPKMHSINLDNTQCSDDVDLEMEFIKSGSFQFYGDWTFMILMAHPCNGLVFISKCSDYTWCQGIFNPMTNEFFQVSEQDTFDDFYNYGFGLSPVTKQYKLFRTSKAIPYSKRFRLVSTCSTDDTHYMMEVLTFGRSGTNHIPIHNQWRHLHNLSYDIVVGDGGVYLNGIIFGWEKKNVKRKRKYDIYALDDETEQIEMSVILQVHARDGKMHLFNGTIYATFYTNWEKDDLTVQVWSMQEKHSWIRKFVISDISKQWSCLELIKMFKDGDISDFFYFYNPLTKKKSIISKDQKKKSCVCQIECLNFGSLPKIFDGTNL >KGN65688 pep chromosome:ASM407v2:1:17618665:17641987:-1 gene:Csa_1G498310 transcript:KGN65688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYLSKKSKFNFVCVRLGSVSGELRDPEGNMDDELLELQRQFEFAQQAKSSIRLSERNVVELVQKLQELRILDFELLHTVTGKEYITPEHLRREILAEIEKLGRISLIDLADTIGVDLYYIEKQAEQIVSDDPQLTLIQGEIISQSYWDSVAEEINERLQESSQIALAEIAAELQVGSELLASMLDQRLGTLVKGRLEGGQLYTPAYVARVSAMVRGATRAITVPTNLTVIWSTLQQLLQGIDGASGIAVDASFFQSLFNGIMKENEVLGSLRAGVHWTPNIFSIAQKESIDSFFSQNSVISYDFLRKLGIPNPIQYLQSRYPDGIPLSTTFIHPSIIEMLDSTIEDILERGSWANSLLVLPSSFEPQDASKILLSCPSVQGALKSNKALIFGDSFIFSNTFIKDLYDRMEKEMETITVPGSSTGIFSGDSQSSSKLGNDPSMSTESIETGNDSGKTGDIMDKKSKKKKGKSIGNTQSTAAEGALDDQESSTKSKKNQRKTRGTSNVQVAETKAGGKKESAKTKESNINYPTEEWVIEKIKTLIPDLEEHGIDDPTIIVQPLANHLRPMLNNLWRERRKALFTENAEKMKRLLDNTQQKLDESFLNLQLYEKALDLFEDDQSISVILHRHLLRTTAAPIVDMLFHNLDLYNKLKNGIEVAELQNSEAVALSTGERTTIAKSFPGSLSNKAVTVAEALEGKRVETFINALGDLVEESGMIPKKLDKKLERTLLHSYRKELTSQVSAEMDPIALLPKVVSLLYVQIYHKALQAPGRAISVAISRLKDKLDDSAHKILSDYQTATVTLLSLISAAVGDEDDCSSDRILTKREFLESQIPALKGLVLSA >KGN66156 pep chromosome:ASM407v2:1:21510627:21513735:1 gene:Csa_1G574240 transcript:KGN66156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFRNWMIWFVFMVCLLRCGWRFLNWIWLTPKKLEKCLREQGFAGNSYRLYSGDLKDLVAMSEEAKSKPMNFSHDIAPRVIPSIHHTIEKYGKNSFVWLGPIPRVFIMDPEQLKAALSLYNDFQKPTINPLVKLLFDGLINHEGEKWVKHRKIVNHAFHFEKLKVSTNKVQQ >KGN65626 pep chromosome:ASM407v2:1:16997369:16999448:-1 gene:Csa_1G470420 transcript:KGN65626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKGSILMQRYELGRMLGQGTFAKVYHARNLKSGQSVAIKIIDKEKVLRVGLIDQIKREISVMRLVRHPNVVQLYEVMASRTKIYFAMEYVRGGELFNKVAKGKLKEDAARKYFQQLIGAVDYCHSRGVYHRDIKPENLLLDEYGNLKISDFGLSALAESRRQDGLLHTTCGTPAYVAPEVINKKGYDGAKADIWSSGVVLFVLLAGYLPFHDPNLMEMYRKISRGEFKCPQWFPPEVRKLLSRILDPSPRTRINMAKLMENSWFKKGFKENVTTPKQLQNDEDMSSVSDVSSAFSDISSEGGSSVSNTNESGRPPTATRAPIRNNSFNAFDLISLSPGFDLSGLFESDVNERLQSRFTTTKPASAIVSKLEEIAEMERFKVMKKDGIVKLQGSKQGRKGQLAIDAEIFEVTPSFSVVEVKKTAGDTLEYRQFYDKDMRPCLKDIVWTWEGNEQQQQQPQTV >KGN64641 pep chromosome:ASM407v2:1:7367774:7368496:1 gene:Csa_1G073010 transcript:KGN64641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMEGSRSLERMNAYGKKQCRSLFWKVKAALKKAIKNGNKRQLKFQYDPSSYALNFDDGHSEIREDNVDAYKFEVQYCGNVYNSAMWVYVLWVKSE >KGN65652 pep chromosome:ASM407v2:1:17255418:17258325:1 gene:Csa_1G478600 transcript:KGN65652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKLDEFDNDKVPKDEMQDVALSHEDQINLHSKKLQDDLQTMGMKIQVHEDNIRFLKTLKDKLVDSIIDLQVILDKHHASNTPKIENKDGSDTQSEDEPSYQKGILQQENTAASILCHSKTNPKMLASDPTLSDDVLGVVAELGHVEDKNLSSLLSEYLGTETMLAIVCKTYNGVKSLEKYDTEGCINETSGLHGFGTSLGKTLEGRFNVIALETLRSYSGEFVANDPQRRLHIPKPRLPNGDCPAGFLGYAVNMIDIDRTHLFFLTASGYGLRETLFYSLFFCLQIYKTRTEMLQAVPCITDGALSLDGGIIKRSGLFCLGNRGDTKVTFSKTSIDLSLPDQYMESERQMKEMKWKEEKMLEDMRREQVLLDTLKLNFEKKKAEFVQFLAEAAARPQTPVGETSR >KGN66902 pep chromosome:ASM407v2:1:28884353:28887663:1 gene:Csa_1G708160 transcript:KGN66902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDQNERKGEVIVDFDFDFGTDPKALDISGKVHQLPCTIKFDGPCPVSHYFKPKSTGIEVDGLNLEEAYFRGRKLQGATISLPEGYSGYVLGKKSKASEQCDDSSPWQVKAKFDNITYWNHDTLPSQDDTFQRTFHWLTVAQALHKPVTAEDLASASTALKNLS >KGN65297 pep chromosome:ASM407v2:1:13534620:13545720:1 gene:Csa_1G304710 transcript:KGN65297 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding protein MALPDQKTVDYPSFKLVIVGDGGTGKTTFVKRHLTGEFEKKYEPTIGVEVHPLDFFTNCGKIRFYCWDTAGQEKFGGLRDGYYIHGQCAIIMFDVTARLTYKNVPTWHRDLCRVCENIPIVLCGNKVDVKNRQVKAKQVTFHRKKNLQYYEISAKSNYNFEKPFLYLARKLAGDPNIHFVESPALAPPEVQIDLAVQQQHEAELVAAASQPLPDDDDDAFD >KGN65348 pep chromosome:ASM407v2:1:14218501:14222623:-1 gene:Csa_1G364960 transcript:KGN65348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYLLLPCNLCHIQTRRCLLLVGVVAFTYLIFQSLLLPYGDALRSLLPEDAIHKYDHYNIQFGPNSPKLATVRNPLTVLDLANVSTTPIGKIDKGFQRDNLLNSKGEYVKEEEIPREVDFGSESGNNVDANGNLESDGTKNRANDSILPVDGETSFGFPLKQQVVKPSDTNTITLENELEDFGQMDLDFGELEEFKNSSLQKLEDTDMPFNSSTFMLQTSTSTVNTIHSHQLLSNLSSSASETNSTSIGKRKKMKSELPPKTVTTLEEMNRILFRHRRSSRAMRPRRSSLRDQEIFSAKSLIVQASAVNDPELYAPLFRNVSMFKRSYELMERTLKIYVYRDGKKPIFHQPILKGLYASEGWFMKLMEGNKRFVVKDPRKAHLFYMPFSSRMLEYTLYVRNSHNRTNLRQFLKEYAENIAAKYPYWNRTGGADHFLAGCHDWAPYETRHHMEHCIKALCNADVTVGFKIGRDVSLPETYVRSARNPLRDLGGKPASQRHILAFYAGNMHGYVRPILLKYWKDKNPDMKIFGPMPPGVASKMNYIQHMKSSKYCICPKGYEVNSPRVVEAIFYECVPVIISDNFVPPFFEVLDWEAFSVIVAEKDIPNLQDILLSIPKDRYLEMQLRVRKVQKHFLWHAKPLKYDLFHMTLHSIWYNRVFQIKLR >KGN63971 pep chromosome:ASM407v2:1:3429694:3434454:1 gene:Csa_1G032410 transcript:KGN63971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVGLPPGFRFHPTDEELVNYYLKRKISGQEIELDIIPEVDLYKCEPWELSEKSFLPSRDPEWYFFGPRDRKYPNGFRTNRATRAGYWKSTGKDRRVSSQNRAIGMKKTLVYYRGRAPQGIRTDWVMHEYRLDDKDCEDSSGIQDSYALCRVFKKNGICSEVEEILVGGQSSSSSLSFMENSNSTSQTLVNDYETLSPDILMAASSSCVEEEEKDDSWMQFITEDAWCASNSSAIGLDDLSHLTFTN >KGN65436 pep chromosome:ASM407v2:1:15316784:15317343:-1 gene:Csa_1G422450 transcript:KGN65436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSLASNFFQDFDITWGDGRAKILENGELLTLSLDKASGSGFQSKSEYLFGKIDMQLKLVPRNSAGTVTAYYLSSKGSMWDEIDFEFLGNLSGEPYILHTNVYSQGKGDKEQQFYLWFDPTDDFHTYSILWNPQRIM >KGN66835 pep chromosome:ASM407v2:1:28160755:28161252:1 gene:Csa_1G700130 transcript:KGN66835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFLMTMIEPYRAVIKEKWKKVKEELKDETKIVFPMTASRDTVLHLAVYSGGEEPLRTLLVGIFEMDEAFWRNSAGNTPLHEAATVGNLAAVKLLVEYKKEDLVAENIYGETPLFRAARCGHLEIVNYILEDCEDFFSRCSRHWTNRKGNPIIHAAIQSQKFGER >KGN64732 pep chromosome:ASM407v2:1:8040751:8045405:1 gene:Csa_1G084310 transcript:KGN64732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASESGKSFARRDSLREIEAKIRVLWEENDVFRAEACEVPPKVGEKFFGNFPFPYMNGFLHIGHAFSLSKLEFAAAYHRLRGANVLLPFGFHCTGMPIKASADKLAREIQQFGDPPVFPRETEEQQNLKAEAEDANESNPTLPDKFKGKKSKAASKTGVQMYQWEIMRSFGLSDSEISKFQDPYNWLTFFPPFAMEDLKAFGLGCDWRRSFITTDVNPYYDSFIQWQMRKLKSMGKIVKDVRYTIYSPLDGQPCADHDRASGEGVQPQDYTLIKMEVVAPFPPKLGVLEGRKVFLAAATLRPETMYGQTNAWVLPEGKYGAFEINDTDVFIITERAALNLAYQRFSKVPEKPTCLIQLTGNDLIGLPLKSPLAFNEIIYALPMLTILTDKGTGIVTSVPSDAPDDYMAMHDLKSKPALRAKYGVKDEWVLPYDIVPIIDIPEFGDRAAEKVCLDLKIKSQNEKDKLAEAKRLTYLRGFTDGTLIVGEFAGRKVQEAKPLIRSQLIETGQAIPYSEPEKRVMSRSGDECIVALTDQWYIIYGESEWKKLSEECLASMDMFSDETRHGFEHTLGWLNQWACSRSFGLGTRIPWDKQFLVESLSDSTIYMAYYTIAHLLQNGDLYGSGDSAVKPEQMTDEVWDFVFCGAAEPKSTGISQSILNKMKQEFEYWYPFDLRVSGKDLIQNHLTFTIYNHTAIMPKRHWPRAFRCNGHIMLNSEKMSKSTGNFRTLREAIEEFSADATRFSLADAGDGVDDANFVFETANAAILRLTKEIAWMEDILQADSSSFLRTGPPSTYADRVFENEINIAVKMTEQNYKDYMFREALKTGFYDLQAARDEYRFSCGAGGMNRDLVFRFMDVQTRLITPICPHYAEHVWRNMLKKNGFVVNAGWPSADSPDLTLKSANKYLQDSIVLMRKLLQKQLLGSKKGNKKGAPVTTVVEDKKLTGLIYVNEQFDGWKAECLRILQSKFDSTKRTFAPDSEIMEALQKSSVGQAADFRQTQKLCMPFLRFKKDEAVSLGVQALNLRLPFGEMDVLNENLELIRRQIGLEEVQILRVSDPNALAKAGALASLLKQNPPSPGNPTAIFLTS >KGN65506 pep chromosome:ASM407v2:1:15837038:15838656:1 gene:Csa_1G433050 transcript:KGN65506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDHHEDEICNISWLSLGLGFGDQYVPKKIQKNQQQQQQLSFTLIPKEELEITNNNNMEIDDDEANSSEEDDDHHLMKRIRSSNNIVNYDHHRQDSSFGSIRRLSSDHYINNSDIVNTTNHNYKGISSSGSELRERKKLRLSKEQSTLLEESFKLHTTLNPAQKQALAQQLNLKTRQVEVWFQNRRARTKLKQTEVDCEFLKKCCERLNEENRRLKKELNELRSLKLGASQLYIQLPKAATLTICPSCDKITRTPAVDANSPPQ >KGN65977 pep chromosome:ASM407v2:1:20310496:20310940:1 gene:Csa_1G555620 transcript:KGN65977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIVREEMKGKAEVYHGDELCQEKSKQILKEVGLPNGLLPLKDIEECGILRETGFVWIKQKKSTTHKFEKIGKLVSYANEVTAIVEKNKIKKLTGVKTKELLVWVSLSDIYVDEPPSGKISFQTPTGLFRTFPVSAFQVQDHVKEV >KGN65422 pep chromosome:ASM407v2:1:15236060:15238858:-1 gene:Csa_1G420350 transcript:KGN65422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKWETKWTGEVTCQNGMRISFTNPTVPFFPSTHSPRLCSLKNPAGWDAGMIPAAAITPLHTPPSGPPFDHPSTTLHCPSNPFSLRFSPIPIPFPDPSLSRRRMDFAGRLATGCFRLRKGLKVDADFTAEEFFYPDAKAPPEHLVIMVNGLIGSAADWRYAAGQFVKKLPDKVIVHRSECNSSRSTFDGVDTMGERLAEEVLGVIRRRPELQKISFVAHSLGGLVARYAVGRLFDHIPQLKSSDAAQSFSRDEQKQHIEQFHHERIAGLEPVNFITVATPHLGSRGNKQFPVLCGLPFLERRASQTAHLVAGRSGKHLFLTDDENDEKPPLLLRMVTDSADLKFISALRAFKRRVAYANVNYDHILLHLRNVSSLVDMG >KGN65498 pep chromosome:ASM407v2:1:15782466:15785534:1 gene:Csa_1G427480 transcript:KGN65498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITGKDIYDVFSAIVPLYVAMILAYGSVKWWKIFTPDQCSGINRFVAVFAVPLLSFHFISSNDPYAMNYQFIAADSLQKVVILFALFLWQTFSKQGTLEWMITLFSLSTLPNTLVMGIPLLKAMYGDFSGNLMVQIVVLQSIIWYTLMLFMFEYRGAKLLITEQFPETAGSITSFRVDSDVVSLNGREQLQADAEIGDDGKLHVVVRRSAASSMVSSFKSHGLNSLTSMTPRASNLTGVEIYSVQSSREPTPRASSFNQTDFYAMFASKAASPKHGYTNSFQGDVYSLQSSKGVTPRTSNFDEEMLKKKRGGRSMSGELFNGGSMPSYPPPNPMFSASSSGGQMKKKDHNNNTNSSHGGANSTANNNNKELHMFVWSSSASPVSEGNLKHAVNRTATAADFAALDASKAQQEAIAAKGLQEVIQNMSPGRKNRDEESMEEGSKKRFRGNNNGSPYSGFQKKNMEDEDFEQNRNNKQHMPPASVMTRLILIMVWRKLIRNPNTYSSLFGLAWSLVSYKWHIEMPTIIKGSISILSDAGLGMAMFSLGLFMALQPKIIACGKSVATFSMAVRFLTGPAVMAATSIAVGLRGVLLHVAIVQAALPQGIVPFVFAKEYNVHADILSTAVIFGMLIALPITILYYVLLGL >KGN66573 pep chromosome:ASM407v2:1:25083358:25093923:-1 gene:Csa_1G629790 transcript:KGN66573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSPPQIVQNSPNCLISTLLLNEAVEGITAMVNRQKKMVQSLKVALFTRRSLQTIRLLTQTRNQCSLLDHSSDHDHEQEVLVEGRAWSRTAILNRPSILNALNTNMGARLHELYNSWEENPDVGFVVLKGSGRAFCAGGDIVSLYHMINEGEIEHCKKFFSTLYAFIYMVGTYLKPHVAILNGITMGGGTGISIPGTFRVATDKTVFATPETLIGFHPDAGASFHLSHLPGRMGEYLGLTGEKLKGEEMVACGVATHYSHSSRLPLIEEHLGNLVTDDASIVESSLNKFGDRVHLDKTSVLHRIEILDKCFSHDTVEEIIDSLEIESSKTKDPWCISTLKRLKEASPLSLKVSLKSIREGRYQTLDQCLIREYRMSLQGISKQISSDFCEGVRARLVDKDLSPKWNPPTLAQVSEDMVNQYFSPLSETEPDLDLPTQLREAFP >KGN63509 pep chromosome:ASM407v2:1:492117:495418:1 gene:Csa_1G002780 transcript:KGN63509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTTLSLSSPSISGSTTPCLPHTATTVAYFKPHNRSFSTSLLGKSLSLYPTSRIRPTDAKNASNGITCQASSILPSALLFDCDGVLVDTEKDGHRISFNETFEEKELGVTWDVDLYGELLKIGGGKERMTAYFNKVGWPEKAPKDEDERKAFIAGLHKRKTDLFMALIEKQLLPLRPGVAKLIDQALGKGVKVAVCSTSNEKAVSAIVSFLLGSQRAEQIKIFAGDVVPRKKPDPAIYILAANTLGVDPSSCVVVEDSAIGLAAAKAAGMKCIVTKSGYTAEEDFLNADAVFDCIGDPPEERFDLTFCGSLLEKQYVS >KGN64780 pep chromosome:ASM407v2:1:8485610:8490309:1 gene:Csa_1G096620 transcript:KGN64780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQATISRKLLNQNNLRCFGIGARSISSWWKSVEPAPKDPILGVTEAFLADPSPNKVNVGVGAYRDDNGKPVVLDCVREAERRIAGNLNMEYLPMGGSIKMVEETLKLAYGENSDLIKDKKIASIQSLSGTGACRIFADFQKRFLPESQIYIPVPTWANHHNIWRDAQVPQRTYHYYHPESKGLDFSALMDDIKNAPNGSFFLLHACAHNPTGVDPTEEQWREISYQFKVKGHFAFFDMAYQGFASGDPEKDAKSIRIFLEDGHHIGIAQSYAKNMGLYGQRVGCLSVVCEDEKQAVAVKSQLQQLARPMYSNPPIHGALVVSIILGDPDLKKLWLKEVKVMADRIIGMRTALRENLEKLGSPLSWEHITKQIGMFCYSGLTPEQVDRLTNEYHIYMTRNGRISMAGVTTGNVPYLANAIHEVTKSI >KGN64900 pep chromosome:ASM407v2:1:9875935:9878838:-1 gene:Csa_1G150990 transcript:KGN64900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLIAKIRCFLRVELSDLVKVERLRAKVKQSPSKSMLIAFTPSWNALVSGRLLGIQILMSKLQLQHALVK >KGN64046 pep chromosome:ASM407v2:1:3777076:3777654:1 gene:Csa_1G039125 transcript:KGN64046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFISIILKKLAFIYSSNPKLSFHSRYQRRPLKHSPSERLQSPSNLRNIGHSRMQPSNTHVLFTRTLLRFHKPRGSINAHNQVPSYLRIKSTTMTGFINPKNPLNPSHNFMRRRISWFIKIENAIPDILSKRPFERQITRRQWSVMTSTYIKTVIVFQKNRPLRGVDRRSKTLRLDHKVSNFLGWLFVGTNSR >KGN66688 pep chromosome:ASM407v2:1:26474312:26484357:-1 gene:Csa_1G659590 transcript:KGN66688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSVSGSVFFPLTVPSCSSRSRKVAVIDAHNSFCCKIKDQRRIVACNCIAPPPYFKSDESSAVNSNDSFRSEHLSSENEDKNESDVLIECRNVHKSFGEKHILRGVSFKIRHGEAVGVIGPSGTGKSTILKIIAGLLSPDKGEVYIRGRKRVGLIDDEELSGLRIGLVFQSAALFDSLTVRQNVGFLLYENSSLSEDQISELVTENLAAVGLKGVEDRLPSELSGGMKKRVALARSIIFDNTRKEIEPEVLLYDEPTAGLDPIASTVVEDLIRSVHIKGEDASGKPGKIASYIVVTHQHSTIRRAVDRLLFLYEGKVVWQGMTGEFTTSTNPIVQQFASGSLDGPIRY >KGN63497 pep chromosome:ASM407v2:1:397021:402009:-1 gene:Csa_1G002660 transcript:KGN63497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKANGETNSVVSDFIDFLNASPTAFHAVEEAKKRLVSVGYEQLSETEDWKLEAGKKYFFTRNHSAILAFAIGKKFVAGNAFHIVGAHTDSPCLKLKPISKITKGGFLEVGVQIYGGGLWHTWFDRDLTLAGRVLLREESNGSVSYDHRLVRILEPILRIPTLAIHLDRDAVAFAVNTETQLLPILATTIKGELNKVVSKNDAQIDREKTEHKSTPTSAKHHSLLLQLLAEQLGCDPDDIFDFDLQVCDAQPSVIGGAMREFVFSGRLDNLCMTFCSLKALIDSTSSDSSLEDEPGVRMVALFDNEEVGSNSYQGADSPTMLNALSRITTSFSTYPSLVEKAIQKSYLVSADMAHALHPNYMEKYEENHRPKFHGGLVIKNNASNKYATNAVTAALFRELAIKHNLPVQEFVVRNDMACGTTIGPILASGLGIRTVDVGAPQLSMHSAREVCGTDDVDYSYQHFKAYFEEFSSLDAIPADI >KGN64725 pep chromosome:ASM407v2:1:7969263:7970411:-1 gene:Csa_1G077760 transcript:KGN64725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNNKSNNKNKLEDAEKKSVSSPWSRPTLQQQQQQQQQRLTTFLGLKNTAVWIFLTVFIIYVLYSTNILTVDHREECSTTIDSSTEEHIQTLTNISSTNINNNSKFLRVDDDEEKQKRIIEPVSFPLKLQRYDTELKHIVFGIAGSSNLWVKRKEYIKLWWRPKETRGVVWLDKKVYAKRNEGLPEIRISGDTSRFKYTNRQGQRSALRISRVVSETLRLGMKDVRWFVMGDDDTVFMVENVVRVLSKYDHSQFYYIGSSSESHVQNIYFSYAMAYGGGGFAISYPLAKELEKMQDKCIQRYPGLYGSDDRIQACMAELGVPLTREPGFHQVYILH >KGN65003 pep chromosome:ASM407v2:1:10808454:10808783:1 gene:Csa_1G173720 transcript:KGN65003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEITSSPDADFSGLATRTLIRSTKEILVFERRRKEPKSETKVGKDVAEEFEKEKTRNTLSEEKVPNCKKEEGQVYSRTLLQAQVEEGQKEQVGPHHHPRRGLRCKNQSN >KGN64724 pep chromosome:ASM407v2:1:7967881:7968583:-1 gene:Csa_1G077750 transcript:KGN64724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSITFLNWYRRADYTAYAFNTRPVTKHPCQKPFIFYMGTTRYDRTKKQTVGIYIRDKSRHPFCRWKMSSPDKIDSVIILKKPDPYRWQKVNLQIFY >KGN63681 pep chromosome:ASM407v2:1:1511047:1512567:-1 gene:Csa_1G009850 transcript:KGN63681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILINRRKLNLALSLCSHVKINFSNFQLLVPRFIWSSGLKTATDTIPNGGYFAKEQKFMFLFKQCSTLKDLNQLHASIIRLGFDQNLFVIGKLIDFCAVSDHGDMNYAVVVFDRIENADGFLWNTMIRGFGRNRKLLMAFEFYKRMLEKGIAADNFTFSFLLKMTGQLGSIMLGKQLHVNILKLGLDSHVYVRNTLIHMYGRLKDVNIARNLFDELPKTDLVAWNAVIDCHVSCGMYNEALDLFVQMLQSGVEPDEATLVVTISACSALGALDCGRWVHSHVNSNDRGKTIAVFNSLIDMYAKCGAVEYAREMFNAVSGKNIVTWNTMIMGLATHGDAEDALTLFSNMLAERVETPDGVTFLAVLCACNYGGKVEEGRRYFDLMTKHFNIQPTLKHYGSMVDILGRAGFVEEAYQLIKSMPMECNAVIWRTLLAACQMHGNVELGERVSSHVLEIVADHSSDYVLLANMYASSGQWNEMIKTRKSMHRKGVEKPEPGNSYLEINPL >KGN64480 pep chromosome:ASM407v2:1:6398168:6398557:1 gene:Csa_1G058150 transcript:KGN64480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNKENVTSLDDETFDQLSPVEETKNSPSGSVRGLLFNFESLQKGVTCNKYPRVCRAKGSKGPDCCNRKCVNVETDRNNCGMCGNKCKYSRICCNGRCVNPMFNKKHCGGCNNECSKGNYCAFGMCDYA >KGN66598 pep chromosome:ASM407v2:1:25490096:25498071:1 gene:Csa_1G637960 transcript:KGN66598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEANKSTSTAEEDLLLKTFFAEVSEVERDNEVIRILSCFKLNPFEYLKLPFDATPEEVKRQYRKLSLLVHPDKCKHPQSKEAFAALAKAQQLLLDEQERDYILSQVNAAKEELLAKRKKHLKRDTASKIKSLVEEGKYEQQYESTEEFKQELKLKVREILTEQEWRRRKMQMRISEEEGRLKKDEEETKEMWKKKREHEEQWEGTREQRVSSWRDFMKGGKKAKKGETRPPKLKTEDPNKSYVQRPVKRG >KGN64371 pep chromosome:ASM407v2:1:5736180:5737963:1 gene:Csa_1G050100 transcript:KGN64371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWLCLMLVLYKQQRTCTKFKSRVKQKNLEMMVTLTYCSAIFILLFAFASASPTATETHPRLFNKIYAFGDSFTDTGNTRSVSGPSGFGHVSNPPYGSTFFHHPTNRYSDGRLVIDFVAQSLSLPLLPPYRYLKGNDSFHGVNFAVAGSTAINHEFYVRNNLSIDITPQSIQTQLLWFNKFLETQGCRGEETKAQCEAAFDDALLWVGEIGVNDYAYSFGSPISPDTIRKLGVASVTGVLQSLLKKGAKYMVVQGLPPSGCLALSMSLASVDDRDDIGCVRSLNNQTYVHSMALQASLQSLRRQFPEAVIIYADYWNAYRTVIKNPNKYGFSERFKACCGVGEPYNFELFTVCGMSSVSSCKTPSEYINWDGVHLTEAMYKVVHDMLIEGGFTHPPFSNLLDMKRH >KGN64418 pep chromosome:ASM407v2:1:5981941:5984142:-1 gene:Csa_1G050570 transcript:KGN64418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLQFRPPLVSPWKKKDNNNKNQYHSPVSVPSMSTLQNANHKPIFLSHLLSFALSITLSSPFPSIAIPSLNSLSSPLSPTTPFSQSKNLPTGLENGKIMPCPSANPSCVSTNPKSSSFAFPLNIPDNFSGNPIQKLQEAILETQRNPKIQVVEDTPYGQYLEAEVDGNFGRDVIEFLVRGDVAAYRIMATKVTYVYPFTTALGDSKGQEERMRKIIDQLHWYSPTFDSMDD >KGN63879 pep chromosome:ASM407v2:1:2733273:2737703:-1 gene:Csa_1G025110 transcript:KGN63879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSSSIASSFLSRSISTSHGSSAISTHRLLQAALFSSTSHNHRRWFSSLLDSFSGRSTRAVSLGVVGAFASIAAAVSMSQEVYAEERLRQDLIPKEVVLYQYEACPFCNKVKAFLDYYDIPYKVVEVNPLSKKEIKWSDYKKVPILVVDGEQLVDSSAIIDQLSHRVLPDKNVSSVSEDDEETKWRRWVDNHLVHMLSPNIYRNTSEALESFDYITSNGNFGFAEKISVKYAGAAAMYFVSKKLKKKYNITDERAALYEAAETWVDALAGRDFLGGSKPNLADLAVFGVLRPIRYLRSGKDMVEHTRIGEWYTRMESAVGDSSRIRS >KGN64066 pep chromosome:ASM407v2:1:3881822:3883452:-1 gene:Csa_1G039310 transcript:KGN64066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRLFSLSRILASRLSNSFPTPTLDIDHSRSWSSHPSFAEKIYGFLSVPAAGKRFIFNTSNTLFRKSLEQIRSELKLAFDHQWGGSLQTKNNCCVDYYWKWHEEIVKLLTWLDQFSHFNFFVPRCEWSRKCSHIASYILLPKFDAQYQILQTCSCHLTRMQNSKQHKDSQFTMKNPERVLDGLITGSFIIGVDMLRLPENDNQISRSIHLWGTAFPASIHALSEYQKNFHTRNYV >KGN64946 pep chromosome:ASM407v2:1:10348327:10350736:-1 gene:Csa_1G166260 transcript:KGN64946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAKIPLRLRGLPTIIILLLSFSPPSFGALEEGFYKGKCGFRDVESIVGGVVTAALKRDRTLVAALLRLHFHDCFVSGCDASLLLDGSNSEKDAPPNLTVRGYDLIDAVKSQLEKTCPGIVSCADIIAMATRDAVNWAGGGRYRVETGRRDALQPANIIDLPGPSISVKDSIAVFSKRNLTVTEMVYLLGSHTVGVSHCIFFKDRLYNYKNTGGPDPTIDDQLFLNDLQTQCPEDFGDENTVFLDQNRMSSFAVDNSFHRQISRRRGILEIDQQLALDPLTKDLVLNVAFRSDFGFKFGQAMIKMGRFQVLTGSAGEIRSTCAAVN >KGN63883 pep chromosome:ASM407v2:1:2748550:2755898:-1 gene:Csa_1G025140 transcript:KGN63883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGRSKSRRDDLDEPKKSHRERDRERDKERNGDRGRDKEKRDRERSYDSEELVQHEREKEKPRRDKDKTRNRDDERDRGRDRRKERDRAREKTRDKEKRERAREKERDKRDRDRDRERRERERDREKERDKDRRKRREVVSEYSDEDSTEHGRKRRRRDDDDHRAHESNSRINKQRDHVDESPREKSEEDAFDKNETKPTREEELENEQKRLDEEMEKRRRRVQEWQKSRRLKEEADGDKQGELNADEPKSGKTWTLEGESDDEYENARPTETDMDVDENSKPLVDGEQIAVNFNNGNEAAASPPQDSIGGDAADDEIDPLDAFMNSMVLPEVEKLNKVEVPTVNDDKIVELKSRDKPSDQSGGKAQRRISNKSMGRIIPGEDSDTDYGDLENDGDTLEDEDDDEFMKRVKKTKAEKLSIVDHSKMDYQPFRKNFYIEVKEISRMTLEEVAAYRKQLELKIHGKDVPKPVKTWHQTGLTSKILETIKKLNYEKPMPIQAQALPIVMSGRDCIGIAKTGSGKTLAFVLPMLRHIKDQSPVVPGDGPIGLIMAPTRELVQQIHSDIKKFSKVMGLRCVPVYGGSGVAQQISELKRGAEIVVCTPGRMIDILCTSAGKITNLRRVTYLVMDEADRMFDMGFEPQITRIVQNIRPDRQTVLFSATFPRQVEILARKVLNKPVEVQVGGRSVVNKDIAQLVEVRPENERFLRLLELLGEWYEKGKILIFVHSQEKCDALFRDLLKHGYPCLSLHGAKDQTDRESTISDFKSNVCNLLIATSIAARGLDVKELELVINFDVPNHYEDYVHRVGRTGRAGRKGCAITFIAEEDSRYAPDLVKALELSEQVVPDDLRALADSFMAKVNQGLEQAHGTGYGGSGFKFNEEEDEVRRAAKKAQAKEYGFEEDKSDSEDEDDGVRKAGGDISQQAALAQIAAIAAATKVSAVSITTPSSAAQLLPNGGLPVSLPGVLGLTIPGTMPVIPSATLPTVTNDGAAARAALAAAMNLQHNLAKIQASAIPEHYEAELEINDFPQNARWKVTHKETLGPISEWTGAAITTRGQFFPPGKIAGPGERKLYLFIEGPTEQSVKRAKAELKRVLEDITNQTLSLPGGSQPGRYSVV >KGN65805 pep chromosome:ASM407v2:1:18663698:18665936:1 gene:Csa_1G530660 transcript:KGN65805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKLAPEKRHSFLHNGQEVFEWDQTLEEVNIYINLPPNVNSKQFYCKIQSRHVELGIKGNPPYLNHELTCPVKTDSSFWTLEDDIMHITLQKRDKGQTWASPIQGQGQLDPYSSDLEQKRLMLQRFQEENPGFDFSQAQFSGNCPDPRTFMGGIR >KGN65755 pep chromosome:ASM407v2:1:18328808:18329111:1 gene:Csa_1G525260 transcript:KGN65755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRCGGWKWKEGETQVAVGFRYGGDTSRGGKGGVGEKGDTWRGGAAHGRQIAGRRRIQCRRWEMCKCR >KGN66839 pep chromosome:ASM407v2:1:28173273:28176170:1 gene:Csa_1G700660 transcript:KGN66839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKRGMFKMTRNCYRAVIKEQWKKAAEEFTNEEEVRSKLQFPVTSQNDTALPLAASSETEEVFWKNNEGNTPLHEAATIGNLAAVKLLVEYKKKDMLVKNIYGETPLYRAAKHGQFHIVEYLLDNCEDSYTRSPSNWTAGHDDAPIIHAAIQSENFEVVWKLIDFDESLLKMKNSQDETALQVLANMPHVFESGFAMTLVERFVYWLLPNKNIYEYKFCNFGSFDNNHKSSTTKNSKNEDLEAGSNPNCCRPSHCWLYFIHGLKCLFWRLIILATFHRVATMGRVVQEKAKT >KGN64815 pep chromosome:ASM407v2:1:8881688:8882044:1 gene:Csa_1G110870 transcript:KGN64815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMKKEESFGAKRFLIKTRERDNKEPESLIQKNVKKGFDSSVQCNENEGEIEGVSPILRVRLALVKEQCYSHVM >KGN65337 pep chromosome:ASM407v2:1:13995510:13996587:1 gene:Csa_1G342430 transcript:KGN65337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMMNSQGMALATAMAAVSGTVILLSFCIQKTIPIHQFSSPTLRSCFPSESSKKKKKQNNQKKRVHFAKDVVDPIGNGEDFRRQHEIAYSNNYSSSSPAQNPPTAAADPRMPANRVALYNGIMRDRVVHRYAYSC >KGN63639 pep chromosome:ASM407v2:1:1292256:1292779:-1 gene:Csa_1G008460 transcript:KGN63639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLQITDDPTEYHCVPSEEKINDELMIVKDREMNIIESIGTVNFWLLFFTMTWGMGSGLAVTDNMNQLSQSLGYRTVQINTFVSLWSIWNFLGRLESAMPLIFSSGNLMALSRYQRPSAISS >KGN66414 pep chromosome:ASM407v2:1:23414908:23418591:1 gene:Csa_1G601530 transcript:KGN66414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATRFWTSSTFFFLLSLTLTSLFPLAFSNSEGDALYTLRRSFSDPDNVLQSWDPTLVNPCTWFHITCNQDNRVTRVDLGNSNLSGHLVPELGRLEHLQYLELYKNNIHGTIPDELGNLKSLISLDLYNNNITGRIPVSLGKLKSLVFLRLNDNRLNGPIPRELTGVTSLKVVDVSSNNLCGTIPTSGPFEHIPLNNFENNPRMEGPELLGLASYDTNCT >KGN65029 pep chromosome:ASM407v2:1:11034180:11043039:1 gene:Csa_1G181420 transcript:KGN65029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSRVNHLKEVWCKTKRILRLFWRFWLPFSIVLTPTLSSVFLFSSRFHHRHHHHHPSLSLNSLFPSLFPFLPALSPPTSSSASFPYNPLIGAPPTTNPFFSDTSISILFFLSTIFSPRPFSYFPDSDRFHYLPEMSEKFSATLRIGDLNDFIAPSQACIVSLKGLKATATKPDKVEVSASRMQLKAEPVKISLKDCLACSGCVTSAETVMLEKQSLDEFLSNLNKGKVVIVSLSPQSRASLAVHFGISPLKVFKKLTTFFKSMGVKAIFDTSCSRDLTLIEACNEFIARYRNSQQDNEEKCKSSVPMISSACPGWICYAEKQHGSYILPYISSVKSPQQMIGSIVKHHMCQKLGIRSDDVYHVTVMPCYDKKLEAAREDFVFQLDSANKTLEAEAHRITEVDSVLTSGEVLELIQMKEVDFKSLEESPLDRMLTNVNEEGHLFGVSGSSGGYAETIFRHAAKILFGKDIEGPLEFKLIRNSDFQELTLEVEGKTLLKFALCYGFRNLQNVVRKIKTGKCDYHFLEIMACPSGCLNGGGQIKPKPGQSPKDLIELLEAAYQENVLMRDPFDNPVVKEIYKEWLEEPGSEKAKKHLHTEYHPVVKSITAQLHNW >KGN64490 pep chromosome:ASM407v2:1:6466159:6466557:-1 gene:Csa_1G059205 transcript:KGN64490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVNKANDTALHVAAMAKQTSFIEKLVQLCSPSDLAAKNQGGNTALHWAASSGVVRNAELMVQKNPDLPHIHDSNEVPPLLRAVIYKRKHMASFLFFNTNFEALETTQPINILVATINSGFYGIIVFLPNPI >KGN66007 pep chromosome:ASM407v2:1:20525524:20530806:-1 gene:Csa_1G561380 transcript:KGN66007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIKLLSFPADNTPFSVIVAAKLAGITFPSDSSLPSASPPTFFFTDGLKLHGASVLLRYIGRVTNIPNFYGQNAFESSQIDEWLEYAPILSSGSAFENACSYVDKYLERRTFLVGHSLSLADVAIWSGLAGTGQRWESLRKSKKYLNLQRWFNSLLVEYSDELDDVLTAFVGKRGGKSSGPKLKEQGLKTNSVNQDASDKGKAGSKSTFEVDLPNVEFGKVRLRFAPEPSGYLHIGHSKAALLNQYFAQRYNGEVIIRFDDTNPAKESNEFVENLLKDIETLGIKYETVTYTSDYFPQLMEMAENLIRQGKAYIDDTPREQMQKERMEGIESKSRSNSPGENLRLWKEMILGTEQGLLCCLRGKLDMQDPNKSLRDPVYYRCNPIPHHRIGSKYKIYPTYDFACPFVDSIEGITHALRSSEYHDRNAQYYRIQEDMGLRKVHIYEFSRLNMVYTLLSKRKLLWFVQNGKVDGWDDPRFPTVQGIVRRGLKIEALIQFILEQGASKNLNLMEWDKLWTINKKIIDPVCPRHTAVIEERRVLFTLGNGPEIPFVRIIPKHKKYEGAGEKSTTFTKRIWIDQSDAQCIEVDEEITLMDWGNAIVKGIEKDQDGFVKQLSGILHLEGSVKTTKLKITWLPEIKELVTLSLMEYDYLITKKKLEEGEDFLDVLNPCTKKETIAVGDSNMRNIKRGDILQLERKGYFRCDVPYVRSSKPIVLFAIPDGRQQSCLK >KGN66160 pep chromosome:ASM407v2:1:21546210:21569942:1 gene:Csa_1G574770 transcript:KGN66160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHEDLLNCLTLDCGEIASCSIVSQWRHPKNTNVVEGIVKSLARVVSNVQVHETSEESLAAVAGMFSSKAKGVEWSLDGDASNATVLVASEAHAITLAVEGLLGVVFTVATLTDEAVDLGELESPRFDYEPPGKCNGRIASVCISMVDSLWLTILDALSLILTRSQGEAIILEILKGYQAFTQACGVLHAVEPLNSFLASLCKFTINFPSEVEKKSILQSPNSKRLEPFTDQRDTVVLTPKNVQALRTLFNIAHRLHNVLGPSWVLVLDTLAALDRAIHSPHAMTQEVSTTVPKLTRESSGQYSDFHILSSLNSQLFESSALMNISAVNSLLSALCQLSHQYLTSGSSGFGLASSQKIGSINFSVERMICILVNNLHRVEPLWDQVVGHFVELANNSNQHVRNIALDALDQSICSVLGSEPFLDFTSPNQHTSLKIEDRVEKLRSLECSVISPLQSLYSSSQSIDVCSGSLKILLHVLERHGEKLRYSWPNILELLRSVADASEKDLVALGFQSLRVILNDGLSSIPQECLHVCVDVTGAYSAQKTELNISLTAIGLLWTITDFIVKRLLHDHVGKKDASSFPEVAFAPKQVNVERFEEQMVEVSNHADTSPLTKIVDSNKLLFSVFSLLHKLGADDRPEVRNSAIRTLFQSLGSHGQKLSENIWGTCLWDYVFPILDHASHMAATSSKDEWQGKELGTHGGKAVHMLIHHSRNTAQKQWDETLVLVLSGIARILRSFFPFLRSLTNFWSGWESLILFVKNSILNGSKEVALAAINCLQTTVVSHSPKGNLPMTYLVSVLNVYELVLQKSPHYSGNAASKVKQEILHGLGELYVQAQMMFDNQMYTQLLSVVDLAIKQAIITNENFETEFGHVPPELRTILEILPLLRPTDAISSMWLILLREFLQYLPRSGSPSIHENDADQTSTSYLVQANQGRLRNERHPRAASATSNHEASQSVTPGSAVAPVGIQNVLFAEKLVPALVELFLQAPMVEKCIICPEIIQSLGRCMTTRREHPDGALWRLAVEGFNQILSDDVKNLTTNVLTETCTSKPARTRIWKEVADVYEFFLVGYCGRAISSSLPSGSMEANESLEMTLLNILGDKILKSPLDAPHDVIQRLVSTLDRCASRTCSLPVETVELMPIHCSRFSLTCLQKLFSLSSYDNEDGKWSLTRCEVSKISILLLVTRCQSILNRFLIDENYLGERPLPAARLDEIIYILQELARLKIHFDTASVLPLPSHLNIVSNKENHDRRPHLLILFPSFCELVISRETRVRELVQVLLKLITTELTLDKVSLAN >KGN65373 pep chromosome:ASM407v2:1:14591069:14600761:-1 gene:Csa_1G386570 transcript:KGN65373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METDEAERVLPFQLQFDKPTASQVKIAEWNPEKDLLAMVTEDSKILLHRFNWQRLWTISPGRSIKSLCWRPDGKVIAVGLEDGTVLLHDVENGKLLRSLKSHAVAVVSLNWVEDSQLITDKNEILSTYEDRTRRIFPPAPTIPRMPGLVSGDTGFIDDSEDSFTELSNSSQQRFNILCSGDKDGSIRFSIFGVFPIGKINIHELHIPLQDASASCHLLNAEIYKVALSKDFCRLVVMCSGELVGHGHDPRKRQITVQGVHGMHSLVLDTSIFRKRKSELHQVAQQASNIGELTEVIRVSLSVMSKQWSDAMHAFQEKFDSLSTLIVNHGLDSSAQEEFLSILGGARTSPPVHQFLVNSLGEVGAKRVSKAISGAGSELQLIVLDHLQPAAEIIGFRMGELLGISRWRARFQGVGLDEKLMHNATEKVGTLLVQVERFMRVLSTVLQQFSNFFNWLIRCIKLLMSEPSDQLLPYNSELVVIFLKFLYNQDPVNKLLEASENDNNIEIDS >KGN65864 pep chromosome:ASM407v2:1:19069127:19087415:1 gene:Csa_1G533710 transcript:KGN65864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPKGDGARSKARPSSSSLAASLLPSDSAANAAGFGGFLGSYRLDYSLTGDDAAPFSDIDGEVAQHLKRLSRKDPTTKLKALASLSEILKQKSGKDVASIIPQWVFEYKKLLMDYNRDVRRATHDTMTNLVMAAGREIAPHLKSLMGPWWFSQFDSVSEVSQSAMQSLQAAFPAQEKRVDALILCTTEIFIYLEENLKLTPDTLSEKVVAKDELEEMHQQVISSSLLALATLIDVLVSGRSERSGTGKSSGETKHASKSRSRETAISFAEKLFTEHKYFIDLLKSKSNIVRFATYSVMRSLVKNIPHAFKEQNMKTIAGSILGAFQEKDPSCHSPMWEAVLLFSKRLPNCWTYVNVQKTVLNRFWNFLRNGCFGSQKISYPTLILFLDTVPPRAVGGEKFLLDFFDNLWVGRNPFHSSSTERLAFFQAFKECFLWGIQNASSFCNGDDFAHFQVTLVDAILVKILWKDYLHVQCLKNQDRVFSEDEPLNNKMIEDIPSTKYPMSYLQDLRKCIVEILSSIHLVKHDLLSVFAMEFQKNCLDMFQLTDNVGVASETIEQIIGFILELEQLSMDKDDTWLLVHLVGPTLANTFPIIQSLDSSDGVRLLSAAVSVFGPRKIVQELFINNNGMSSTEFSGVEAQDLEARQFMQVFNDVFVPWCLQGNNSSSSARLDLLLALIDDEHFSDQWHSIISYSTNLDHTEVVLESMNSESLAVLAKLLNRVRGKITNSDARKVTHTWQRANLGNWHHEHLESAAVAIAQSHSPIRSSFTDFVCSVLGGSVQNDCSSFVSRDALIAIFEALFQKLVSFLLHSPLTWARNSCSLLISRPDYPEISFPKYTSSSEVVVMANFALEVLDRCFFCLCHLGEENYLLPSILATIYAIDWDCSMEGKQDDMLDEKFKEESKARLVFGESVRALRQKITDKFWNSCTTHHRKKYGSILIQFIRSAIFSEDSEEIVSLCFQWMLEILDQISQDQFEEQYMLDQLLIKTDTWPFWIAPNFMAPNELAASNTKNVGLDIHKSGNHKFISLISMFMSKIGLEKLFNVQVENSSTCISKMTKNEVTSRAWLVAEILCTWKWPGGNARGSFLPLFCAYVKRSCSHESLLDSTFNMLLDGALLYSSRAAQSFINIWPYPVSLLEDIQEPFLRALASLLFSLLEENIWGRDKAISQFELLVSRLFIGEAVNIDCLRILPLILSYLVRPMCERNSTFDDSGSCSGDSLMENTFQSTIEGWLQRVLLFPSLNEWQLGQDMEYWLLLVISCYPFSCTIGGLQTLKLDRNISTEEGSLLLELFRKQRKASGRSPAGNHAPWVQMLLSELMVVSVGYCWKQFSDEDWEFLLFQLMSGIQSAVVIMEEIAESVNDIIVKSSTTMDLNEILEKLEQSVLISNPIPFCISRNALLSFSLFDGSLGLHGLKDLESSSPQQFDKLNHVNDRIVEGILRMFFCTGISEAIACSFSDKAASIISSSRLELPYFWDLIASSVTKSSKDARERAVKSIEFWGLSKGPISSLYGILFSPKPVPSLQYAAYVMLSTEPISNSAIIRENTSCYLDYDTTTEQGSTQVDFSSEYNVLLKEEILCMIEKLPDDVFDMELIAQERVNIYLAWSLLLSHLWSLPPSSSARERLVQYIQNSASSRILDCLFQHIPVEGMALQKRKDTEQPAGLSEAATAANQAITTGSLLFSVEFLWPIEPVKLATFAGAIFGLMLRVLPAYVRGWFSDLRDRSKSSALESFTKVWCSPSLITNELSQIKKAEFADENFSVVVSKSANEVIATYTKDETGMDLVIRLPSSYPLRHVDVDCMRSLGISEVKQRKWLLSMMSFVRNQNGALAEAIRIWKRNFDKEFEGVEECPICYSVIHTVNHSIPRLACKTCKHKFHSACLYKWFSTSHKSTCPLCQSPF >KGN65499 pep chromosome:ASM407v2:1:15788036:15793605:-1 gene:Csa_1G427490 transcript:KGN65499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIKFLFKKDVRKILKRKDSDAGQRGRSLEDLRGSLFSKFRSPEGAKRQQQRSFGPAVALTFNFFVAVSIIFMNKLVLKTVGFKFPIFLSFIHYFISWILMAIFNFFSILPASPLSKTTRSSTLLTLGLVMSLSTGLANVSLKYNSVGFYQMAKIAVTPSIVLAEFILYGKKVSFLKVLALLVVSIGVAVATVTDLQFDLFGACIALAWIIPSAVNKILWSSLQQQENWTALALMWKTTPVTLCGFIALIPFLDPPGVLSFNWSYDNTLAILLSAILGFLLQWSGALALGATSAVSHVVLGQFKTCVILLGNYYLFNADPGKTSICGAFTAIMGMSFYTYLNLRQQQLKTSKQASNFPKSKLGKENGSPHDEKLGEESV >KGN66306 pep chromosome:ASM407v2:1:22617940:22620910:1 gene:Csa_1G597040 transcript:KGN66306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQLEIRMGTERTPPVRLLVHMWLMRVYSGSHVANASLLGYARGIARGMAPQARVAAYKTCWPTGCFGSDILAGMDRAIMDGVDVLSLSLGGGSAPYYRDTIAIGAFAAMEKGVFVSCSAGNSGPNKASLANVAPWIMTVGAGTLDRDFPAYVQLGNGKRFTGVSLYSGQGMGNKAVALVYNKGSNTSSNMCLPGSLEPAVVRGKVVVCDRGINARVEKGGVVRDAGGIGMILANTAASGEELVADSHLLPAVAVGRKTGDLIRQYVRSDSNPTAVLSFGGTILNVRPSPVVAAFSSRGPNLVTPQILKPDVIGPGVNILAAWSESIGPTGLENDKRKTQFNIMSGTSMSCPHISGLAALLKAAHPQWSPSAIKSALMTTAYTQDNTNSSLRDAAGGGFSNPWAHGAGHVDPHKALSPGLLYDISTNDYVAFLCSLDYGIDHVQAIVKRSNITCSRKFADPGQLNYPSFSVVFGSKRVVRYTRIVTNVGAAGSVYDVATTAPPVVKVTVKPSKLVFTKVGERKRYTVTFVASRDAAQTTRFGFGSIVWSNDQHQVRSPVSFAWTRL >KGN66350 pep chromosome:ASM407v2:1:22933779:22936871:1 gene:Csa_1G599440 transcript:KGN66350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCWYRWEPTVNRVSSNAKSESPKVESTSPYTRNNEHNLPSNPKEVEDLRRDSATNPLIAFTFDELKLITGNFRQDRVLGGGGFGSVYKGFITEDLREGIVPLPVAVKVHDGFNSYQGHREWLAEVIFLGQLSHPNLVKLIGYCCEDEHRVLIYEYMPRGSVENNLFSRVLLPLPWSIRMKIAFGAAKGLAFLHEAEKPVIYRDFKTSNILLDSDYNPKLSDFGLAKDGPVGDKSHVSTRIMGTYGYAAPEYILTGHLTPRSDVYSFGVVLLELLTGRKSLDKLRPAREQNLTDWALPLLKEKKKLMTIVDPRLGGEYPVKGFHKAAMLAYHCLNKNPKARPLMRDIVDSLEPLQETIDEAVAPSETTSLPVVANNENRRKENEGLKVN >KGN64135 pep chromosome:ASM407v2:1:4332757:4333165:-1 gene:Csa_1G042470 transcript:KGN64135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSPKLFQNPYFCSSKLSPRSFPFLLSQLYLALILLILLFSAPVNSSVYDEWFFNCNSFKCDPFVTKEFPFWRYNGTETCYRVGYSESMKLTCDGLHVMETVKP >KGN64639 pep chromosome:ASM407v2:1:7351955:7354059:1 gene:Csa_1G072990 transcript:KGN64639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFVSTSKHSSSSSSSSSPPHHSRSAYSHPKLNRIANRAPPPLDEESVKEVLSETPKPKTLLSNHDESASRKVNGRIPIHPTEEISEVSDVCSFSETLSTTTVTEKLDDFEEIRQRICRSPAKLPRSRSPSNDWAPKRDRMVGKSPTRKFDQSPGRINGGGGTVKLVQSRDMGQGLTRRSPRSEPRLQNRTENAYRRSRSPAYNRTDGGGSRPAAGRSPSVRRSGMSPGREPTPGREYYRREPGQSQETQNSTIEGKWRTTNESLDNPLVSLECFIFL >KGN65169 pep chromosome:ASM407v2:1:12566684:12568669:1 gene:Csa_1G256780 transcript:KGN65169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKMKGVVSQYPVYEDSKTRFKHQSLLQDYHDLEKETGTVKRKLQMMKQKKMTLMAEVRFLRKRYEYLMKNQPSTKDHYSNVETVQQKQWKNQVANNNKKGKNGGRRRTALKPLPTISDINQKERIDMPLQNSTPIPVLDLNQKAKTSSRKKASQHNSTPVFDLNQKERMCSGRDASERNITPFFDLNQISIEEEELQTHYEPLRVDELKKSLLRGGNDEQQNDIKISACRSIGDGPSRAGKRKISWQDQVALRV >KGN65239 pep chromosome:ASM407v2:1:13019674:13023897:-1 gene:Csa_1G268330 transcript:KGN65239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDDEFGDLYTDVLRPFASSSSSSVPQPQLSSSAPPPLQRPIDLNRHHDDENPPFGASYSNSRVPLQFPKETPPLQPPRESTPVAGSFGFVLNLAARNDGDGSRVKGSEDFASVDVELPNRGLEDRNFGVESGIVGGLEKDVNLMDKDVKFDIEEGNAGVEDDVGGEPIIPGLSPSGGISIHGTSGNLENPEGFRMNDASRDRGDGGDDWDSDSEDDLQILLNDSDRGPMAMERGGLVGDDEDEPPLVILGDNDQNQVMEEQEWGDDTVPTADGERKETGEAAKSSAGMVVAPKLGYSNYGYRPFHSQYKYVRPGAAPFPGTSASGPGGTPTQVRPLVNMGPVGGRGRGDWRPTGPKDPASVQKGFHSGFGMPGWSNNMGGRSFGGLEFTLPSHKTIFEVDIDSFEEKPWKSTGVDVSDFFNFGLNEDSWKEYCKQLEQLRLEATMQSKIRVYESGRTEQGYDPDLPPELAAAAGIHDIPNEHTLGKSDGLQNDVGKGVPRVRPPLVFTMFYHSCDMQK >KGN66149 pep chromosome:ASM407v2:1:21460275:21467965:1 gene:Csa_1G573680 transcript:KGN66149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIPSEGLGDDFFEQMLAVPPSYGGAGGGDMVSLPMGLQLGSGGGGGGNGGGMGMPLGLNLEQGFLRQERFREEVDGGHNSNSNNNASSSSTASSGITERDSSMQHMTSLFPTFGHLQTQQLRPPPPLHLHQPFHNQTNTGTVAAIPQPPQVRPRVRARRGQATDPHSIAERLRRERIAERMKALQELVPSCNKTDRAAMLDEIVDYVKFLRLQVKVLSMSRLGGAGAVAQLVADVPLSSVEGEGIESGNNQQAWEKWSSDGTEQQVAKLMEEDVGAAMQFLQSKALCIMPISLASAIFRTHQADPQILVKPEMNTP >KGN66586 pep chromosome:ASM407v2:1:25282373:25282779:1 gene:Csa_1G633370 transcript:KGN66586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHEKAETTATYELNRITARKIEEYGSMENISLGQAWARSMTIGDGDLHETKPSAESLSSRMCDEEEEEEEEGAGMGFACNEQRRRRSDGEVELRK >KGN64545 pep chromosome:ASM407v2:1:6782346:6790459:-1 gene:Csa_1G064670 transcript:KGN64545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGAHGFEDHHHQDDLLLEMTQKNFETELEKFGEDEFESRSVTDAMDAPLGEEQCDLLNQRNKRKRYHRHTQLQIQEMEAFFKECPHPDDKQRKQLSRELGLEPLQVKFWFQNKRTQIKAQQERHENAILKAQNEKLRAENMRYKEALSNTSCPNCGGPAALGEMSFDAQHLRIDNAHLRDEIERLNGNNKYGGKGWGSHSSHIVSCGGQVGRSSLKPQQLQGDDHLLGDMYGETTTGMMLKSSSVTTEIDKPVIVELAVSAMEEVCRMAQEGEPLWVVGENSMEMLNEDEYLRTYSTRIGPRIVGLTSEASRQTSILAFNHLKLVHILMDVNQWSTIFCGIVSRALTLEVLSSGVGGDYNGALQVMTAEFQVPSPLVPTRENYFVRYCKQQGEGSWAVVDVSLDYLRPTPTSRTRRRPSGCLIQELPNGYSKVTWVEHVEVDDRAVHSLYKGVVTCGLAFGAKRWMATLGRQCQRLTNSSSTNIPALDICVVTGQEGRKSVMKLAERMVRSFCSGVGAATAHNWTTLSTIDSDDVRVMARKSLDDPGRPPGIVLNAATSFWIPIPPNRVFNFLRDQNTRNQWDILSNGGLVQEMARIGNDRNSGNCVSLLRVNSANSSQSNMLILQESCSDDISGSYIIYAPVDTAAMNMVLSGGDPDYVALLPSGFAILPDGPPIGPEGPPGILEFGAGGSLLTVAFQILVDSVPTAKLSLGSVATVNSLIKCTVERIRAALMCDQPIN >KGN66206 pep chromosome:ASM407v2:1:21897134:21901958:-1 gene:Csa_1G580210 transcript:KGN66206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRIDSKKIGSRSGSEKLLNEIETINKALYLNKHLSKNSNPVANNRQRYTGKTNLPDPKLKPKSSNEDPTRKEKKSIWSWKSLKPFSHVRNRRFNCCFSLQVHLIEGLPSDLDDFSLSVFWKRRDGLLVTNPKKIIRGKVEFEEVLNCTCTVHGSGNGPHHSAKYEAKHFLLYASLYGASEVDLGKHRVDLTRFLPLTLEELEEEKSSGKWATSFKLSGRAKGATMNVSFGYTVVGDNLPAPGNHIGDSLKGKQNKYGIEKSEMVVGESGSRSRIRNTESIPGRMNYNSLESSQTVDDIKDLHEVLPVPQLELAKSVDLLYKKFDDGKLDASENSNPELNGCIEDSHPMKSDSYLSAPEKENADVDCGTEFSFIERGIEMSSEEQVEKIEVGVEVSSEEQVEKIDVKDVDSSAVGHSAIDNVSSMAHEEDSRVAACDSSSNDDDIYTKESILKELESALSCVSELETAAMESPEEEHLNLKFKSSDEPTGEGMSLDLDDEFLESKGIPLDLDDEYLESDFLRMLGLEQSPFGLCSGSEPESPREQLLRQFEEEAVAGGYSLFNFDDEDESYPAYDYDFNASSEFGDIADTAFDMPSTVSDNEGRCFIDDEAMRSKMKAKMLEDLETEVLMHEWGLNEEAFQQSPSSSSHGFGSPVDMPSEDPFELPPLGEGLGSFIQTKNGGFLRSMNPAIFQNAKSGGNLIMQVSTPVVVPAEMGSCVMEILPRLASVGIEKLSMQANKLMPLEDITGKTMQQVAWEAITTLEGSERQCAPQSEPVFEQDPFDRRKTSTGRSSGSRHETYGKNCMRGEPETEYVSLEDVAPLALDKIEALSMEGLRIQSGMSEDEAPSNISAQSIGEFSALQGKGIDISGSLGLEGTAGLQLLDVKDNGDDVDGLMGLSLSLDEWLRLDSGELDDEEIISEHTSKVLAAHHANSLDFIRGGTKGDRRRGKSSSRKCGLLGNNFTVALMVQLRDPLRNYEPVGAPMLSLIQVERVFIPPKPKIYNTVSEIRNNYYDDDDEIIARVEIKEEPEEKASEQQQSIPQFRITEVHLSGIKTEPNKKLWGTSTSNQQKSGSRWLVANGMGKSKKNPFVKTKAAPKSSAPEPTKVQPPGDKDKDSLWSISSGSKWKAFSALNPLVRNPNVVFPNENFRLR >KGN66616 pep chromosome:ASM407v2:1:25667404:25667937:-1 gene:Csa_1G649800 transcript:KGN66616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAYFTKIKTLWQDLYDFRPIDECSCGAAKSLFSYLEPEYVMIFFMGFNETYASTHAQILLMDPLPSISNTPQPRRKSKKYCRSIISCCYEIIEREIASDKTILKFVCHELWIDVMPPLGTHFLLTKCGHDFGVRRCLVYFF >KGN63832 pep chromosome:ASM407v2:1:2414767:2417940:-1 gene:Csa_1G024180 transcript:KGN63832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPNWELKHCCNHEQVVFLITVSVCTVVILALWRTILLKPFKLVTVFLHEASHAIVCKLTCGHVEGIQVHADEGGATQTRGGVYWLILPAGYIGSSFWGMLLILASTNLLTSRIAAGCFLVSLLVVLCVAKNWTLRGLCIGFIVFLGVIWVLQETTKVRVLRYVILFIGVMNSLFSVYDIYDDLISRRVHSSDAEKFAEVCPCPCNGVGWGIIWGFISFIFLCGAMYLGLVILS >KGN64714 pep chromosome:ASM407v2:1:7879722:7880533:-1 gene:Csa_1G077150 transcript:KGN64714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLHDHDKNTTKIARRNRRLSSTADAGAGVGKVYTSKGLRARRLRLSAPTAIKFYDLQDRLGCGRPTEAIDWLLLNAKSAIDALSRPLQTEDNDCRKQSFSLPVPSSSSSSSSSSSSSQFQSYPLQNFSQKGNLSVFCPMILNATTGEFSGTQPPCPSQSNIGAGFPFFPMWE >KGN64044 pep chromosome:ASM407v2:1:3771852:3774993:1 gene:Csa_1G039110 transcript:KGN64044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTILLPVFSSSDALSPIGSFSSQLNGDVRGLTAASSGCHGMWYSALLVAPSVLFAIYLAISAIRNIKKFFLGRSFIMISYYALLWITTLLNLAWCSLQEWECSPGKKFLWNLLSLFTLSGMLFLEISLVAFLLKANYSGGMEALLHNFIVSGTLVGVDVLLKVIYVFGFGIPLFIGVGSSHWSKWGVWTIHKLLLTAAYGFILFVHFSKWRDKLPPRPSFYNYIAVMFVVSALAFFASGLSAFGVRFGIWLYNFTVISYHSMYLPFLYVTFLADFFQEEDFLLENAYYSEMRDAGFFDSEWD >KGN65140 pep chromosome:ASM407v2:1:12199760:12202405:1 gene:Csa_1G240080 transcript:KGN65140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTVVDHLGNVTSNLERCISQTNAFNEVELRLNCLNQRLLSCKQYAQKLELSRLRWSEILPRYHPRYVSPVGKNMEQLTRSSSINQWRDTKDLRFANTVSNCKPDIFTKKPMPVLLYKFYSYNLSPSKNLSSRFTSKKDDKEKTLGTLQKAILAAGDHGFLLRSKGPSSTFYFQVSDQKRGSHKKSKLGSDIFSILKLTK >KGN66744 pep chromosome:ASM407v2:1:27172436:27174390:-1 gene:Csa_1G673510 transcript:KGN66744 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metalloprotease m41 ftsh MESEPSSLTVLDIKWTRETKFPHAVWAAGRGLIALLLPNFDVVDNLWLEPLSWQGIGCTKISKRRDKGSINGNSESRSYLEKKLVFCFGSYIAAKMLLPFGEENFLSSYELKQAQEIATRMVLQYGWGPDDSPAIYSRNNAILDLSITMTARVEY >KGN63693 pep chromosome:ASM407v2:1:1583042:1584274:1 gene:Csa_1G011450 transcript:KGN63693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNQKSEIALNPFHFKPHFFDSMEESKKLVRKSIYNFLQNYQFFTSIAAALAFPYAISLLLSQTLLLSSSSSSSLFSFTFDRLRAVFSAAGFPISSKFFLFFIQKLSQTIVSTIYSLPFSLSFLLISKACVIQVLNQQKPNLLPSFSSILHFFNPLFFTHLCNLFIFISANSTVFFMLFFAFNFLEGFGYSSPNCLLFVSVLGGFLYSIAIAKAIVICNLASVLSAMERKGGFMTLLKACVLIQGRSTTALLVTLPFNMGMAAVEALFQYRIVRDYHLRGKLEPSMVVEGMFVAYLYSVFVVLDAIVNTLFFKSCRADSQMGIERKSSFWFWIAEKENEGFHHLKGFEELP >KGN65519 pep chromosome:ASM407v2:1:15930993:15937633:-1 gene:Csa_1G434170 transcript:KGN65519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPMDYESNSWIWDGVYYYPHLFGGLMLTAALLGFSTSYFSGIGVPSLPFFWSDFGIFQKRKSEKKRIRVYMDGCFDLMHFGHANALRQAKALGDELVVGVVSDEEIIANKGPPVLPMEERLALVSGLKWVDEVIANAPYAITEQFMNRLFNEHKIDYIIHGDDPCLLPDGTDAYALAKKAGRYKQIKRTEGVSSTDIVGRILSSMNDATNSEDHNATSLNGDSMKESPSHGALSHFLPTSHRIVQFSNGRGPGPNARIVYIDGAFDLFHAGHIEILKIARQLGDFLLVGIHNDQTVSNLRGKQFPIMHLHERSLSVLGCRYVDEVIIGAPWEITRDMITTFNISLVVHGTVAENNSFAGDSDPYAVPKSMGIFKLLESPKTITTTSIAKRIVANHDAFKKRNAKKVESEKKYYAEKKYICGD >KGN64642 pep chromosome:ASM407v2:1:7369364:7371645:-1 gene:Csa_1G073020 transcript:KGN64642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFWIVIRQFAENEAMATSKKVITREEWEKKLNDVKIRKEDMNKLVMNFLVTEGYVDAAEKFRMESGAEPEIDLATITDRMAVKKAVQCGNVEDAIEKVNDLNPEILDTNPQLFFHLQQQRLIELIRNGKVEEALEFAQEELAPRGEENQSFLEELERTVALLAFEDVSNCPVRDLLDISQRLKTASEVNAAILTSQSHEKDPKLPSLLKMLMWAQDQLDEKAAYPRINDLSTAMLEDPPV >KGN65808 pep chromosome:ASM407v2:1:18682774:18685686:1 gene:Csa_1G531180 transcript:KGN65808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNYRVCLCFIRRFKMSKEEPPPDVKEAFVKYAGGVAANMTAEHLRSFLVEFQGDQTASLADAQRIVEHVLQRRHHVITKLTKHCLTLDDFYYYLFSMDLNPSISDQVHQDMRAPLSHYYIYTSHNTYLTGNQLSSDSSDVPIINALKSGVRVVELDLWPNSEEDEIDVLHGRTLTTPVEFVRCLKSIKENAFIASPYPVIITLEDHLTPDLQAKAAEYIKETFGDMLFFPEAEYLQEFPSPEELKYRIIISTKPPKEYLKSKNVKGENSFSDDETDDEDGVDTSESESSESDDSDYESYTTGVSEYKRLIAIHAGKPKGGLKEALKVGADKVRRLSLSEKALKKATKAHGKDVIRFTQKNMLRIYPKGTRVNSSNYKPQNAWLHGAQMVAFNMQGHGKSLSLMRGMFRSNGGCGYVKKPDFLLTVDAHGKVFDPTANLPVKRTLKVKVYMGVGWNLDFENTHFHLYSPPDFYTKIGIAGAPADELIKKTEIKEETWSPVWNEEFTFPLTIPELALLRIDVYSYNISDKDVFGGQNCLPVSEVKPGIHAVPLFDRKGSKYSSVKLLMRFEFS >KGN65715 pep chromosome:ASM407v2:1:18026098:18026484:1 gene:Csa_1G512470 transcript:KGN65715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLMTVSMLLLIVLFLFIAILCTKRSAKYDGEESSDNLDIEAPIFHYSGVEGGEQECVICLCEIEEGEKCRKMKTCGHVFHKDCIDRWFKVNGHCPICRTSVCMVVIDRGGNAMASSSSLPTPFINRI >KGN63711 pep chromosome:ASM407v2:1:1704743:1706682:-1 gene:Csa_1G012120 transcript:KGN63711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEANLISRHVCKLCNKSFACGRSLGGHMRSHLTNNLADNDEKHSRTSLQLCNYSGGSLSNMEEEIDFGYGLRKNPKKTQKLEFLSEESSLQDKFCRECGKGFQSWKALFGHMKCHSERGVVFSSSQEEVEEPDSHITDAKQKMVMDIQSDNENEVPNNKRKRSRRRRTSNQMGTGNSTTSFSFATNSSSVSDIEQEQEVAISLMLLSMDMGNWVGFNSPAESSDNNSKFLEAPSVVESKTSVSNGCELVKSNKLKGKKMEFEVKQSEERAGSTMIKKSQLNQRAGSKSSLKKNEQNQGRFSSDMFFKSPKKTLAEFWDSKICKKPKKRSKFECDICNKIFDSYQALEDEEEEEIGSESSGEMAISANPKAESKGSKGINNGGRIEKNSKHKCPICEKVFASGQALGGHKRSHLMNGSETKNRETIQIQKQVPEIRRFLDLNLPPEPVERESKDHNLASLNPWWVAANEHKHEALVNVGFHI >KGN64150 pep chromosome:ASM407v2:1:4383512:4387029:1 gene:Csa_1G042600 transcript:KGN64150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVVTVGEHMKLRRPRSDLSHLNQPISESDPNPSIPSIIQSTRCKSTISSLLLSTFSNNTTSGPNESLPSSIITTNRKKNNFSSATLRGLGCTTAASQQVSVPAVIRTSADWEKKKTRKKKQKSSKNKTQQGIVDASHFQPNSSMNSASCLDAQDVWCGPGIGFSADAAASVDCVVARRHASGRGKIDLEKINQRERSCLGRRTVSPETLLFLDSDSEIPTARSLELSRSRYYRHVRHPSPDGLAEIMMFQSSLLMGGRFDLHDQFRELRLDVDNMSYEELLELGERIGHVSTGLKDDEIGRCIRKMKPHVVNELTTHLLSQMDRKCSICQEDYEPDDEMGKLECGHSYHIHCIKQWLAQKNTCPVCKTAAVGRG >KGN65580 pep chromosome:ASM407v2:1:16598343:16608728:1 gene:Csa_1G462540 transcript:KGN65580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALRKQASKLKVQVAKQQQAVIKQFGGSGYESSDVMVIDEVEMQRHQQLEKFYRSTRAGRDFQKDIVKAGEAFIAIGYRHIETGNKLSEDCCNYGANNINENILAKASSIYGDARKHVEKEQEDLIKLFSSQILDPLRAMITGPPLEDARHLAQRYSRMRQEAETLAGEISRRRARVREFSNPENVAKLHASEAKMQELKANMAVLGKEASAALAAVDAQQQRLTLQRLVAMVEGEKTYHLRVAAILGEVEAEMVTEKQRKESAPPVISSENHSGKSSFFLAEAVHPFNAASEKELSLSVGDYVVVRKVSPSGWSEGECKGKGGWFPSSYVEKRQRIPTMNTVSESF >KGN65984 pep chromosome:ASM407v2:1:20352066:20358429:1 gene:Csa_1G560680 transcript:KGN65984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKKIREYDSKRLLKEHLKRLANIDLQICSAQVNQSTDFAELTNNEPWLSSTRLVVKPDMLFGKRGKSGLVALNLDLAQVAEFVKQRLGVQVEMGGCKAPITTFIVEPFVPHDQEYYLSIVSERLGCEISFSECGGIEIEENWDKVKTIFLPTEKPFTLEACAPLIATLPLEIRGKIGDFIMGVFNVFQDLDFSFLEMNPFTLVNGEPYPLDMRGELDDTAAFKNFKKWGNIEFPLPFGRVLSSTESFVHSLDEKTSASLKFTVLNPKGRIWTMVAGGGASVIYADTVGDLGYASELGNYAEYSGAPNEEEVLQYARVVIDCATSDPDGRKRALLIGGGIANFTDVAATFNGIIRALREKESKLKAARMHIYVRRGGPNYQTGLSKMRALGEELGVPLEVFGPEATMTGICKQAIECIMSAA >KGN66714 pep chromosome:ASM407v2:1:26751117:26755954:-1 gene:Csa_1G662780 transcript:KGN66714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAINPSLPFPPYQTFPNFLPPNPNPNSHIHDSSHSQSQHPPLDLSSSFSSLNNLIHFANQTLQSLSYLTPSDFANHSHLLHCHFDRRHRVPPHSLFRHSLLCPSASLLPIDPTQLFQSLLYPQTLHSSRQLVNENRFSQVLPDSDADLCFSLTDYSDATSNFFYVDCPGVVALSNLDEMSKVFTLPRVLAVHCANFVGNDHFEMNSTLNGIRILPSDLWNLRSEVEIWNDYPSKYSFVVLRSILGSEMALNSHLMTWIIENSPRYGVVIDVALRDHIFLLFRLCFMAIYKEALGFQVALEKGNGMEGESGNSCFKCPILIQVLMWLASQLSVLYGETNGNFFAVNMLRQCILDAASGLLLLQSEQKSTESLTLGEGSHDLEISCSDTQSVKMNELDQKVVNNGHAVNCSVILVSQVAAAVAALHERFLLEEKIKALRFAHLQTKYQRVSEYNYISQRACEERKRCCNYRPIIEHDGLPKQQSHNEDANKTKTREELLAEERDYKRRRMSYRGKKAKRSTLQVTRDILRNTWRRL >KGN64253 pep chromosome:ASM407v2:1:4986010:4988014:-1 gene:Csa_1G045510 transcript:KGN64253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRETKTTIVGIKLLMIFGFVMIFPTLKANIADFDEVWQKRAIEAQKASFEAYEPHPEEETNNFNKQVHRSLDGGNNTRRHLRKYTGPCLATNPIDRCWRCDRNWARNRKKLADCALGFGRRTTGGKDGKIYVVRDSSDNDLVNPKPGTLRHAVIQERPLWIIFAHDMVIRLSEELIVTDDKTLDGRGANVHIANGGQITLQFVKNIIIHNLHIHDIKAGNGGMIRDSVSHYGFRTRSDGDGISMFGASRVWIDHVSMSNCQDGLIDAVMASTAITISNCHFTHHNDVILLGASNGYSNDQIMQVTLAFNHFGKGLVQRMPRCRWGFIHVVNNDYTHWLMYAIGGSHNPTIISQGNRFIAPPNPNCKEVTKRVYAPESEWRSWNWRSEGDLMMNGAFFIQSGNPIKRYSKKDVIHSKPGTFVTRLTRFAGPLKCKKNQPC >KGN66909 pep chromosome:ASM407v2:1:29000652:29009598:1 gene:Csa_1G713670 transcript:KGN66909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLEGTDGRERGEDDTTFEKQSALFALAVSDIVLINIWCHDIGREHAANRPLLKTVFEVMMRLFSPRKTTLLFVIRDKTKTPFRNLESILREDIQKIWKAVHKPDSLKNTPLSEFFNVEIFALSSYEEKERKFKEEVAQLRQWFFRSISPGGLAGDRRGVIPASGFSFSAQQIWKVIKENKDLNLPAHKVMVASVRCEEIANEKFSRLTTDERWLTLEAEVKKGPVQGFGKKLSSILEFYFNEYDTEAEFFDEEVKNAKRNQMVSRVLEFVYPSYVVMLGHLRSKASEDFKKRFERSLNDGEGFASTVRKCTKICMLEFDQGSADAAVQQANWDPSKFREKLCQDIDRHALSIQNEKLSGMIASYEKRLTEALSQPVRSLLEASGKDAWASIRKILQHETEITVSKFSADIAGFELDKDKVDNMVQNLRNHSRNVVENRAREEANNVLMHMKDRFSTIFYHDNDSLPRTWTGEEDIKTITKDARAASLKILSVLAAIRLDEKPDTIENILTSSLMNEGVATCGSSSDPLASSTWEKVLEKDTLITPVQCKSLWRQFKAETEYMVTQAITAQEAYKRRNNWLPPPWAILAMFILGFNEIMLLLRNPHYLVIIFVLYLLSKALWIQTDIGRAFQSGPFVGLLSILSQLLPSVMNLLKRLTEEAHVYTNPEPTMPANSHSFRSQTLQSNPDTNTILDPSAATNVESAESSNVDSCFDD >KGN64437 pep chromosome:ASM407v2:1:6119992:6122029:-1 gene:Csa_1G051740 transcript:KGN64437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFSYQYQPFLLDSIFLHNNNPLKMGGFFDEQNFNTNCFSPFYPQDHQPQQQQQQQQQQQQEQPIYHFPDLSKQSPESSTLVDRSDSAELPVGDQLKPAVTVTVTSPCSKKRKSRNNSSASSAQSKGSNDQSNGKKAKNKGEVEEGKERDQKAKSGKKLLEEKLKDSEDGGATTGYIHVRARRGQATDSHSLAERVRREKISERMKTLQRLVPGCDKVTGKALMLDEIINYVQSLQNQVEFLSMKLASLNPIFFDFRMDLDGLMIQPETTSLSSITPTLPAMAQCSVVSQPALIDTTPPATPSPAISTGNNFPLMDPSANLFLLQQGISPSNGYSQSSQDNVKVSSWDVEDQKRKQLLISSGIGDNLCYFH >KGN64367 pep chromosome:ASM407v2:1:5725259:5730241:1 gene:Csa_1G050060 transcript:KGN64367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSEAVSVPNHCEAPPSEFQSSQLHSSVHDPPPFDAQHVPTDDRIHAELQKLDLKDEKEEPVKDFDGGGEWEVEKNPYEDEGNDTKKIDENDRDRSVRNDDDDDYDDVDEEVDAGDGDGGEVERKGDWRGKSNQYPVRPDAEDCAFYLKTGTCKFGSFCKFNHPVRKKNQVVSEKLKYEDDSTGTANRTECKFYLRTGGCKFGNACRYNHTRPRALTSPILELNFLGLPIRPDEKECPYYMRTGSCKYGANCKFNHPDPTTVAGSESLSGYNNGVPLQGASQSQITSWTSPRVLNEATTFVPAMISPSQDQDWNGYQAPIYPSEISVLPPPAYVVNNIAPETDLYSSHQQVDEYPERPGQPECSYFLKTGDCKFKSLCKYHHPKNRNPKLPTCTLNDKGLPLRPDQNVCTYYRRYGICKFGPSCKFDHPFLPSSSTVGELEQQPHYGNFSTAEGAEMAGGASGNSATVEQSA >KGN65237 pep chromosome:ASM407v2:1:13004174:13008400:-1 gene:Csa_1G268310 transcript:KGN65237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSPSDNTREDVNLAFTSEGPGHHPTSRGNTPAYSAQNLGIVEERRSQGRTYNKSPHSPRQNLQDRKSPDSQEEGSVESMDDKRSPQVSSPAIVEATQEYSAEDKDAEHDEDAEHDELIEADKNTEIDRENVNFISTSNTRKIESDDEEMENNEKLSPIVEALMLKEDGDEDSKAASSENRKTRSGSSRDYPKWQDGVEEEVFQNRRSSSMGSVKKYMDENEQNFRRKDSDDKQDERNRMDVKGRKDAYAYRDWDPSLAHQHPLKTDGFDRRKERSNAEATWQRRDDDPYYRKTRTEETRKREYDDETGSRHRSKIREIERSDKDERHLTKKLDNGSYRAHYDKGASSRHRERDDSLKSRYENADSYYNKKRKDEEHLRREHVEKEEILHGKREGKSHRKRERDEVFEPQKRDELLRVRDNIGDHHIVGHKEEWLQRERSDRPRDKEDWHRPKQSREENLSKRDRDEGRSSIRSGHGAEEKAWGSHVRVKDENKVSEKEYPGKDVRHSEQNKRRDRMEEESSRRGREDSYSRRNPPSTEDRRSRLEKSSSERHAANAFDNQRIHDKRHKDSKMKNREVDGSDHNALGPSKKSQENQNSYRSQMVLKGSDDHGDPEHSVHHHGSRKHTDDASTDDEQRDSRRGRSKLERWTSHKERDFNINSKSASLPKEIENNNGGSSEANKNPDDSMKATETVDNHHLAEKKESGDIEPKGGVSDTKVLEDRHMDTVEKLKKRSERFKLPMPSEKEALVIKKMESEPLPSSKSEAPADSEIKPERPARKRREAP >KGN65560 pep chromosome:ASM407v2:1:16379635:16380222:-1 gene:Csa_1G448420 transcript:KGN65560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKEYRDTTLNGAVEQMYTEMASRHRVRSPCIQIIKTATVPAKLCKRESTKQFHDSKIKFPLVFKKVRPPTRKLKTTYKASKPNLFM >KGN66740 pep chromosome:ASM407v2:1:27112246:27114582:-1 gene:Csa_1G666990 transcript:KGN66740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKQRYLDCRRITFALLATSASGLPAAPSNSKDYQSLYRVLEACRLFHMNSKTVIETHARIIKFGYGNYPTLIASLVSTYQRVGCLNRVHQLLDILCSKQLDLVAMNLLIGNFMKIGECKFAKKVFYKMPFRDVVTWNSIIGGCVKNARYDEAFRFFRQMLTSNIQPDGFTFASILNACAQLGAPSNTHWVHAQMTQKKIELNSLLTCALIDAYSKCGSIQIAKEIFSNIPHSDTSVWNVMIKGLAIHGLAMDALSLFLRMEHESVLPDAITFLGVLTACNHGCLIDHGRRYFELMKSHYSIQPQLEHYGVMVDLYSRAGFLEEAYSLIVTMPIEPDVVTWRTLLSGCRIYKNHKLAEVAIANMSQRKSGDYVLLSNIYCSLNRWKEAETVRKMMKINRVRKKRGKSWIELGGTIQHFKSGDRLHPESDAIEKVLCSLMKRTRTEGYMPVTELVFMDISEEEKEENLSFHSEKMALAYAILKTSPGAKISISKNLRICDDCHTWIKLVSRVLCRVIVVRDRIRFHQFEGGMCSCGDRW >KGN66864 pep chromosome:ASM407v2:1:28378854:28414216:-1 gene:Csa_1G701890 transcript:KGN66864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSRQRYSVQDPSLSPTNTRTREDDQSRWTEYLGPDMTSPVAARNTRNTGHDGQNPISVGSLKGLNVQWVYQLIEVAEGLMAKIYRLNQILDYPDPVAHVFSEAFWKAGVFPNHPRICILLSKKFPEHFSKLQLERVDKIALDAINDSAELYIQSLEPWVQLLLDLMAFREQALRLILDISSTVITLLPHQNSVILHAFMDLFCSFVRVNLFAHKLPRKMMLQIYNLLHAMTRNDRDCDFYHRLVQFIDSYDPPLKGLQEDLNFVSPRIGEVLEAVGPIIFLSTDTRKLRNEGFLSPYHPRYPDILTNSAHPMRAQDLANVTSYREWVLLGYLVCPDELLRVTSIDIALVVLKENLILSLFRDEFIHLHEDYQLYVLPRVLESKKMAKSGRTKQKEADLEYSVAKQVEKMISEIQEQAIVSCGAIHHERRIFLKQEIGRMVIFFTDQPSLLAPNIQMVYSALALAQSEVTWYFQHVGIASSKSKAARIIPVDIDPSDPTIGFLIDGMDRLCCLVRKYISAIRGYALSYLSSCAGRFRFLLGTPGMVALDLDSTLKDLFQQIVLHLESIPKPQGENISTLTRDLSDFRKDWLSVLMIVTSSRSSINIRHLEKATVSTGKEGLLSEGNAAYNWSRCVDELESQLSKHGSLKKLYFYHQHLTAVFRNTMFGPEGRPQHCCAWLGIASSFPECASPIVPEEVTRIGRDAVLYVESLIESIMGGLEGLINILDSEGGFGALEIQLLPEQAASFLNYASRASIPLTKSPKGAAGFPLPGYESYPENNGSIKMLEAAMQRLTNLCSVLNDMEPICVLNHVFVLREYMRECILGNFRRRLLAVIKTENDLQRPSVLESLIRRHIGIIHLAEQHISMDLTQGMRDVLLAEACSGPVSSLHSFEKPAEQQTGSAAEAVCNWYIENIIKDTSGAGILFAPVHKCFKSTRPVGGYFADSVTDARELQAFVRIFGGYGVDKLERMLKEHTAALLNCIDTSLRSNREVLESVASSLHSGDRIERDASIRQIVDMETIIGFCIQAGLALAFDQNLAEAAGIVLEDSAPLIYSLLSGFVKHIPDSLPERKDIRRMREVANGVAVISDHDSQWIRSILEDVGGANDGSWALLPYLFASFMTSNIWNSTAFNVDTGGFNNNIHCLARCITAVIAGSEYVRLDREHEQRQPFPNGHAGGTLNSAEAETLSSVEASIKSTMQLFVKLAAGIILDSWSEANRSYLVPQLIFLDQLCEVSPYLPRNSLEPYVPYAILRSIYSQYYANSPGPLALLSPSPHYSPVVSLSHGSPAPRQPRGDSTPQHGSSDLSYFKGSMMHGQSSVYDHDSGSSRSIETKHRNARRSGPLDYSSSRKAKYVEGSTSGSSGPSPLPRFAVSRSGPLAYKQM >KGN64098 pep chromosome:ASM407v2:1:4069869:4074831:1 gene:Csa_1G042100 transcript:KGN64098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVELDEKVPSSSEVEAMNKRRKRKRPKKNLPSTTTEELELQSSMQGEEEGEEDGVSNEPEERVKKNEMKKKRKTKTKTKVNDELEDEGNDNVNDGEGEDGVEGEGEEDENKKNKAKTGGSGIMSSVSFDSLELSEKTLRAIKDMGFEHMTQIQARAIPPSLIGKDILGAARTGSGKTLAFLIPAVELLHHICFTPRNGTGVIVICPTRELAMQTHEVAKELLKYHSQTLGLVTGGSSRQAEADRITKGVNLLIATPGRLLDHLQHTKNFVFKNLKCLIIDEADRILETNFEEEMKQIINLLPKNRQTALFSATQTQKVEDLVRLSFQSTPIYIDVDDGRTKVTNEGLQQGYCVVPSSKRFILLYSFLKKNLSKKVMVFFSSCNSVKFHADLLRYIKVDCMDIHGKQKQQKRTSTFFSFIKAQTGILLCTDVAARGLDIPAVDWIVQYDPPDEPKEYIHRVGRTARGEGSKGNALLFLIPEEIQFLRYLKAAKVPVKEYEFSDKKLANVQSHLEKLVGSNYYLNKSAKDAYRSYILAYNSHSMKDIFNVHRLDLQGIAASFCFSNPPKVNLNIDSSASKFRKKTRKVEGVNNRFSKSKKEGDDRQFVRY >KGN65060 pep chromosome:ASM407v2:1:11286730:11287046:-1 gene:Csa_1G186650 transcript:KGN65060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGVLPTEEYMEEELLFFTHERKELKKVQDELESYRNFYDLGEREVLMEEIQDLRSRQQYYIDSPSTSS >KGN64355 pep chromosome:ASM407v2:1:5619787:5621169:1 gene:Csa_1G049450 transcript:KGN64355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFFFGVPCSLFCFFNLMLLIHSLSFNLTVSLDGSGNFKRISDAIAAAPNNSNTRFYIHVAPGTYHETLQIPRLKKFIALVGDDPFTTIIIDNRSNGTGFKTNNSATLTVQGDNFMAESLTFENSAGPQNNQAVAVFDKANHTAYYKCRFLSFQDTLYVNGKPQFFKESDIYGSVDFICGYGQVMFQDCNIYARMPINSITVTAQSKYILRSVSGFSFQNCTVTVSREISSNKQNVKVFLGRPWKQYSKVVFMESFLDDVVASEGWVEWIGVPVNNLFYGEFNNCGPGADVSKRVNWTSYHLLDKESALRFTVDNFVNGSEWLPETGIPFRRGLHS >KGN66890 pep chromosome:ASM407v2:1:28674993:28678323:-1 gene:Csa_1G705090 transcript:KGN66890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSGNKNINAKLVLLGDVGAGKSSLVLRFVKGQFVEFQESTIGAAFFSQTLAVNDATVKFEIWDTAGQERYHSLAPMYYRGAAAAIIVYDITNQGSFDRAKKWVQELQAQGNPNMVMALAGNKSDLLDSRKVAAEDAQTYAQENGLFFMETSAKSAANQRDCRECNQYRIQPGWFWTDLLKGLQARHVARRTWFLVQF >KGN64568 pep chromosome:ASM407v2:1:6900988:6903105:1 gene:Csa_1G065390 transcript:KGN64568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPISVVIILAVSRVSRLTLPPTPPSHDLLPVSSPSLSPHTTTHVKEKKSKRLKTVVIIVLPVVVVLVVLIVSIIIFLRARKRRDEVASLEVDDTASLETLAFDIATIRTATNDFSSENCVGDSEHGVVYKGSLVNGQEIAVKRLFDHDSKSEDSVWRNWRAGTALNVVDPILKDGPTNEIMKCMNIGLLCAQQIKSDRPTMETVLLMLNSDKITRPIISPPDYYMNKFRITRSEFSSSPKRSGSHVIEIE >KGN65204 pep chromosome:ASM407v2:1:12787109:12788471:-1 gene:Csa_1G264550 transcript:KGN65204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEMKQSVAIIGAGISGLIACKYVAQKGLHPVVYEAEDGIGGVWARTINTTKLQNHKSTYEFCDFPWPSSVETDYPSHQQVLDYLHSYALHFDLLPFINFNSRVLSIDYDTDGVDESESYSSSQLWGGTGLPFAKEPRWILEVQNTKTNTVQVHQAGFVILCIGKYSGMPYIPEFPPNEGPEVFRGKVLHSMDYASISKNKIEGVSACTQLVKGKKIAVVGSLKSAMDIAMECAEANGEINFN >KGN65762 pep chromosome:ASM407v2:1:18376050:18376768:-1 gene:Csa_1G526820 transcript:KGN65762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKTTAILTLCFVIFLSVSSKSDATNVLLPTHDGMHMDPPPPPIAPTSRGSGDDMHTHDMMSSAMHMTFFWGKNTQVLFSGWPGDRSGMYAVALVFVFLLAVAVEWLSCWRMMTEVGPRNVAAGIVQTAVHGIRMGIAYLVMLALMSFNGGVFIVAVAGHMVGFLVFGSRVVKKEKSSAYDQGTVDLPSRVC >KGN63735 pep chromosome:ASM407v2:1:1856886:1861199:-1 gene:Csa_1G014320 transcript:KGN63735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKLLHSLADDNPDLRKQIGCMTGIFNLFDRHNAITTRRISHKRLSPGHSQSNPGDLVGTVHQKKPNESSLNENVNDKQSMPAESSRDSLSSCSSSLSSMECNKTAQLEALSFSRTNIVESPSMGLPLDPLNTYSYSERQPFHIKHVVQDSMHREVRTSFVKMTDVDDFGYGVKHRDSPRPPPMSKCAEVSSRVARNHKQDVTIDIEESFRVLAKLKDASWNFNEATRCRTSACETEATHGKNLLSRDLRRLSYDGRERSQSSFESRNPKSSPKLKELPRLSLDSREASACRNFQNTSCSTDESSDLHHSSGNQKRLPSVVAKLMGLETLPDTFSSADTQYCGETLAKSLESRNLKISASDKSLSKCPTSPRRKNNDLIRKPIQTSRLPVETAPWRKLDGTRVTKSTALRHVKSPGQSSTPAVHGELEMKLKDLEFEQSSKDLRSLKKLLEAIQIRALSEIRNEERTSVFGLQRNQEPFSSSPNQKTRLMSQRNRRSSVVVTSSTSSAPNYSKAYESPIIIMRPAKPVEKSVTSTSVIQMDRFPAPHKLQNEGFQDNKKGSNNGEIRARVPKSTQKNLAAITHEKKSISRNLRSPQTSSKPQLAPKERNTNSIKSSDSVSPRLRHGKVEVEKRSHPPKSDANKSKRKMKQTDSSSHCGKIKPKSSNIRQCDDQSSEMNNEPGVLSYQSDDMTQRSDTSLSLDSKMDVEVTSSTQSTEIDDSQQATETVELLTPCSVKKLSMVASREDGSTVEQDAIALEHPSPVSVLDGSLYRDDETSPVKKITISLHGDDSLDSIERRSEDQGNTSDDIFVNPLVLNHNVEIDSMNFENIGDLTQKLGYLNLHHGEGEKDYNGLLCENTSRDHIYISEILLASGILLRDLGSDLTTFQLHPNGNPIDPELFFILEKTKVGGLPPKEGFSPARASYSNREKCDRKLIFDAVNEILNENLALIDGGSPEPWLKPTKIAKEGFSGQKILKQLCNKIEQFQAKKFRCNFDDMKDDSMSILQDDLMHQSRSWTDFQGDVYDVVLDVERSIFKDLVNEIIVW >KGN66829 pep chromosome:ASM407v2:1:28098182:28100202:-1 gene:Csa_1G699580 transcript:KGN66829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIPPETDHFLLSNNLISSLLDDIPLITIFKGKWSSIRAKLSDLRTQLIDVSHFPNSSSNPLSLDFLHSVLEALTQAASLSHKCRNPALSDGKLKTQSDIDAILAKFDSLLKDGEVLIRSEILHDGVVSSSSSRREAVRAESRNLITRLQIGSIESRVLAIDSLLQLLNEDDKNVTIAAAQGAVPVLVRLLDSSSLELKERAVAAISIVSMVDGVKHIMIAEGLVLLNHLLRILDSGSGFAKEKACLALQPLSISKENARSIGSRGGISSLLEICEGGTPGSQASAAAVLRNLASFSEIKENFIEENGVIVLLGLLASGTPLAQENAIGCLCNLVLDDDNLKLLIVREGGIEFLRNFWDSVPSVRSLEVAVELLSLLASYSPIAEALISDGFVDRLLPVLSCGVLGARTAAARAVYELGFCTKTRKEMGESGFITPLVNMLDGKSVDERKAAAKALSSLLQYSGNRKIFQKEERGIVSAVQLLDPSISNLDKKYPVSLLSSVAISSKCRKQMVAAGAGLYLQKLVEINVEGSKKLLESLGRGKIWGVFARS >KGN63501 pep chromosome:ASM407v2:1:422175:424851:-1 gene:Csa_1G002700 transcript:KGN63501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPSDRAPSGSSEAKKAKKVSLISTEELCLPFEEPENERCDRSVDSISHLPQDILVFILSLLPLKEAARTSTLSHKWRCLWSFIPCLNFDAHKKLLDLQFTDENLKSERRQFVKWVNRVIDSYKGSNLETLRIRFNLDSSFQCDVDRWVQFAMQWKLKMFELNLSDSYDSGIYSPCSFPQLSDGPKENFPRFMFSNSSSLKTLKLIAVNVGGEALECFLTNSPLLEILVVEYSHCLLSLRVVGASLKLRQLEVCMCNYLESLEVSAPNLESFKYVGPWLSMPLKNTPKLLETYFGSEFGVEIIDHFFLLSSYSSQLQKLILDLEVDFMENQGFRKWPILANLKELKLIVIAEGHSSLIGFTSLIKASPSLLKFTLKLDYLDMFEQRPLRKVKKFPHQYIKVVELAGFVGKPIDLELVQYFHKNAVALEEIIFDTRKPKDMGTIFEKKQNAETIAGRECANGLRSKLFSGVKVTII >KGN66529 pep chromosome:ASM407v2:1:24604061:24606229:-1 gene:Csa_1G627390 transcript:KGN66529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENYSKANILILNLIISIFQLQVHSSHAIPNQDVSYMKFVHNATDLPRKEEFDYIIIGGGTAGCPLATTLSSKFSVLLLERGSDPNKYPSVLNEQGLLKAFDTEDDGKTPFQHFVSEDGVENIRGRVLGGGSMVNAGFYSRAHKEFFESAGVDWDMELVEKAYEWVEESVVSQPILKDWQSAFRSALLEGGIVPDNGFDLRHLVGTKTGGSIIDDKGNRHGAVELLNKADPKNLKVAIEATVRRILFSDLSANGVSYLDSKGKLHTAFIHEKGEIFLSAGAIGSPQLLLLSGIGPKSHLSSLKLPVVLHQPHVGQSMTDNPRFGTNIILPFQVVPTSGKVVGILQDNIYFQSIASPSPFLVPPTFSLLPPHPTSINPTLAIFFGKFSEVHSKGSLKLNSSIDVKKSPIVQFNYYSHPDDLARCVKGVRKLGDVFKTPTLEKIKTQNLKGNKGFMFLGLPLPGNLWNDSAVEEYCKKTVATYWHYHGGCLVGKVVDGNYKVIETENLRVVDGSTFSVSPGTNPMATLMMLGR >KGN66604 pep chromosome:ASM407v2:1:25537217:25539175:1 gene:Csa_1G638510 transcript:KGN66604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIFPPSFWEFMYLWEPSAALFLSLCVQLVLVPLGLKRKCSSSQFLRFFLLIAYTFSDWIANFSFVMLVERYGTGCYDDFTDPMYMIRAFLAHFLLLHLGGSDTITAYSMEDNELWLRTLLSMLAILAASIYIFLQALLPTSLNYISIPVIIAGVIKNSEKIWALRSASAERLRDFLAVSTPSPITTHNEEEVQDFEVLRIAYYFFIRDKRLFVGLGPTSYDLQQNRLSYYEKFESKSAFKIIELELGFMYDFFYTKASINHSLCGRLFRLTTFSSLVIAFLTYCLIDKQEYPSTYVNLIFLLFSGALSIEIYSLFLFLFSDWNVIWLLTTQSPSNPLPRLALKLISLCGWSLKKRRCSNSISQYNLISHCLEQKNDSYYFKFPSTKTIAAFSVQRPISNNLEAHIFQQLKQKLVLNQEYDYGYNEIGWSLKLDLDQSILIWHIATDFCYHSSPKFKESEESKSCIPPQDSVSLSNFLAYFIVHHPSLFPSGMSQIRHKATSEHVLELLQDEKLDRCRSNMLKNLELNIEVVKEERKESRVLDAFRLAGFLEKLEQSQKWEIIGNVWVELLGRISCECEWYDHAKQLTQGGSLVTRVWILMHHLGYLKQNDVFTTHIQEDQQDTTPLLGHEIVADYVVEQMLNVVFNNSSSL >KGN63849 pep chromosome:ASM407v2:1:2543710:2551971:1 gene:Csa_1G024810 transcript:KGN63849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYSSSFFNSYFRRTSMSISFKPTSPFPFSTRFTPWTPRLFTRRNISMGFVNLHHSRPASVSGVVRCSTTQSKWVEVSLKEKQQDHVKGFKQRNIAFPFGQHQSSYNYGRFACDDVSSDESDVEFGSPQAQRSSSTLDNVDEWRWKLTMLLRNNEEVEVVSREKKDRRDFEQLSALATRMNLHSRQYSRVVVFSKDPLPNYRPDLDDKRPQREVVLPFGVQREVEGHLRLYQSSYKSVSRGCFSNSYLPNSGIAENCANNNGLFQHQEPSTTQSVVMEKILRRKSLQLRYQQQEWQESLEGQKMIEFRKSLPAFKEREALLKAISENQVVVVSGETGCGKTTQLPQYILESEIEAARGASCSIICTQPRRISAMSVSERVAAERGEKLGESVGYKVRLEGMKGRDTRLLFCTTGVLLRRLLVDRNLKGVSHVIVDEIHERGMNEDFLVIVLKDLLPRRPDLRLILMSATLNAELFSSYFGGAPTMHIPGFTYPVRAHFLENILEITGYKLTSYNQIDDYGQEKAWKMQRQAQALKKRKTQIASSVEDAFEAANFSAYSPRTQESLSYWNPDSIGFNLIEHVLSYIVKKERPGAILVFMTGWDDINSLKDQLLSHPLLGDPSRVLLLACHGSMASSEQKLIFDKPEDGVRKIVLATNMAETSITINDVVFVVDCGKAKETSYDALNNTPCLLPSWISKAAARQRRGRAGRVQPGECYHLYPKCVYDAFADYQLPELLRTPLQSLCLQIKSLQLGSISDFLSNALQPPEPLSVQNAIDYLKIIGALDNKENLTVLGKHLSVLPVEPKLGKMLILGAIFNCLDPIMTIVAGLSVRDPFLMPSDKKDLAESAKAHFAARDCSDHLALVRAYQGWRDAEKQQSGYEYCWRNFLSMQTLRAIDSLRKQFFFLLKDAGLVDYDSEKCNISNHDEHLIRAVICAGLFPGICSVVNKEKSVALKTMEDGQVMLYSNSVNAGYPKIPYPWLVFNEKVKVNSVFLRDSTGVSDSVLLLFGGNVSRGGLDGHLKMLDGYLEFFMKPALAETYLSLKRELDELVHQKLLNPKLDMEPHNELLTALRLLISEDHCAGRFVFGRHMPVPSKKAMTDSLPRQKHGDGGGGDNSKNQLQTLLLRAGHETPTYNTKQLRNNQFRSTVIFNGLNFVGQPCGSKKLAEKDAAAEALLWLQGETHSSSQAIDHASILLKKSRKKNTNPSFHSAKWS >KGN63886 pep chromosome:ASM407v2:1:2780727:2781071:1 gene:Csa_1G025180 transcript:KGN63886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPLRFILIFLSATLAGFFVLRNLKSQPQDFVAGDNSDDNSNEFDSSDSSSCSKVSSGFWTLVDMASGRYLWRHLFPSSKKPSD >KGN65409 pep chromosome:ASM407v2:1:15140418:15144363:-1 gene:Csa_1G418260 transcript:KGN65409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKKRVAIPLVCHGHSRPIVDLFSSLVTPDGFFLVSASKDSNPMLRNGENGDWIGTFEGHKGAVWSCCLDTNALRAATGSADFSATHCGLFPFPQAFASSRLHHLLTPHPFLGHMKHFDCAFAFSEIFQFFKHSQSSHMLPLSIIRRVQFISRHFSSSPHLVPVLLRISKLTKKSCIECLRNCKSMDQLKQIQSQIFRIGLEGDRDTINKLMAFCADSSLGNLRYAEKIFNYVQDPSLFVYNVMVKMYAKRGILRKVLLLFQQLREDGLWPDGFTYPFVLKAIGCLRDVRQGEKVRGFIVKTGMDLDNYVYNSLIDMYYELSNVENAKKLFDEMTTRDSVSWNVMISGYVRCRRFEDAINTFREMQQEGNEKPDEATVVSTLSACTALKNLELGDEIHNYVRKELGFTTRIDNALLDMYAKCGCLNIARNIFDEMSMKNVICWTSMISGYINCGDLREARDLFDKSPVRDVVLWTAMINGYVQFHHFDDAVALFREMQIQRVKPDKFTVVTLLTGCAQLGALEQGKWIHGYLDENRITMDVVVGTALIEMYSKCGCVDKSLEIFYELEDKDTASWTSIICGLAMNGKTSEALRLFSEMERVGAKPDDITFIGVLSACSHGGLVEEGRRFFNSMKKVHRIEPKVEHYGCVIDLLGRAGLLDEAEELIQEIPIENCEIVVPLYGALLSACRIHNNVDMGERLAKKLENIESCDSSIHTLLANIYASVDRWEDAKKVRRKMKELGVKKMPGCSLIEVDGIVHEFLVGDPSHPEMMEICSMLNRVTGQLLGLKESQVESVMPLYKDTQHCNFVES >KGN63553 pep chromosome:ASM407v2:1:707228:712188:1 gene:Csa_1G004190 transcript:KGN63553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENETKSGSEELQDSNCSFVWDENSQLYFHSSSGFYHDPVVGWYYSSRDGSYYKFENGSYVPLQLNEQGEECKTYPDNPVKGELRSQVCSTGSEDHYSFEAAETDTPTCKGRDCDGLPSGEIMEVVDVHEHENPPTSLWLEDTLIDLFLSGYSNSEVLATNDSIPPTPSTTNDANNFQSSSDGYGDTQMMEGEWFQEENHTVLNPTENVSGGVSTDEDNWMAQYGQVTNYEEAMPKLSVVDIWDWSTVSESKTGGKRKVMKLVGRLVKKSAKLHPSVSSNGTLFKTAPISEVHLDLVRVATGRIYKLHSPSKKHLAVMSTFDSSNPTKDWGFPDLLDRPTDLANSTAAPTLLDNVSTAGKCPNQNQYRDRAAERRILHGGFGVGPGQKNSAIDHEDLTSSPPSETTVVEALNISFGAGSYAQKILKSMGWKEGEGLGNSRKGMVEPLQSYWKRRKCWIRMASGN >KGN63856 pep chromosome:ASM407v2:1:2579074:2582349:-1 gene:Csa_1G024880 transcript:KGN63856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEEEYQNSSFNSPLIHKSEDEVNSKDERQIDYENIRRKLIAEEVKKQLWLAGPLILVSLLQYCLQMISIMFVGHLGELPLSGASMAVSFTSVTGFTVLLGMASALDTFCGQSYGAKQYHMLGIHLQRAMFVLSLVSIPLAVIWANTGEILKLLGQDAQISAEAGKYAKCLIPCLFAHGLVQCLYRFLQTQNVVFPMMMSSGISALSHITLCWLMVFKVGLGTRGAAVANSISYWINALILILYVKFSSSSSKTWTGFSRLAFHNIPYFLKLAIPSTVMVCLELWSFEMVVLLSGLLPNPKLETSVLSISLNTDLTVWMIPMGLSAAASTRVSNELGAGRPAAAKLAGYVVMTIAVIEGLLLATVLILVRNVWGYAYSNEQEVVEYVANVVPLIAVSSFLDGLQCVLSGIARGCGWQKIGAYVNLGSYYLVGIPSAVLLAFVFHVGGKGLWLGIICALAVQTLSLAIITIRTNWDQEAKKATERVYDAVIPVNAIS >KGN64168 pep chromosome:ASM407v2:1:4479667:4483634:1 gene:Csa_1G042750 transcript:KGN64168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTVIDSHFLAITAIVTIGYQLSFFIITALLKFDKVTDFAGSTNFVILAVLTLVLKGSWHFRQVILSVLVVAWGLRLGFFLLMRILQWGEDRRFDEMRSNLGKLAVFWIFQAVWVWTVSLPVTVVNASNHNPSLRAADVIGWIMWLIGFLIEATADQQKLRFKSSPENRGKWCNVGLWKVSRHPNYFGEIFLWWGIFVASIPVLKGAEWLVILGPIFLTLLLLFVSGIPLLEESADKKFGNVTEYVHYKRKTSPLIPLPPAIYGNLPSWFKKFFLFELPLYSRNLPGGDLNWCRTSKGESSDRLKMG >KGN65568 pep chromosome:ASM407v2:1:16475244:16478981:1 gene:Csa_1G448990 transcript:KGN65568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESCPAIKSILLLDSEGKRVAVKYYSDDWPTNSAKEAFEKSVFIKTQKTNARTEAEIAMFESNIVVYKFAQDLHFFVTGGEDENELILASVLQGFFDAVGILLRGNVEKKEALENLDLILLCLDEIIDGGIVLETDANVIAGKVASHSIDSNAPLSEQTISQALATAREHLARSLLK >KGN66234 pep chromosome:ASM407v2:1:22096981:22097700:-1 gene:Csa_1G587450 transcript:KGN66234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRVNNVEDILQILIVFAVESLELDFALLYPERHVLLRILPVLVVLATSSEKDSESLYKRVKINRLINIFKNDPVIPAFPDLHLSPAAILKELSIYFQKFSAQARFLTLPAPHELPPREAQEYPFLFAFWCFLRLGMKSLML >KGN63950 pep chromosome:ASM407v2:1:3312567:3316517:-1 gene:Csa_1G031740 transcript:KGN63950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLSEEAQTISREREREPIICVVAWHWRGIWQLALQLHSGNWSSLIRQKRHRDREAYRTLAMAFSTPVNNYSVVSSFLYSNSSATQKRARRFSICAKNKSNASSLQYRKLGDSDLQISEVTLGTMTFGQQNTEAEAHEQLNYAYEHGINIIDTAEAYPVPMKQETQGRTDIYIGNWLKSKPRDKIILATKVCGYLEQSIFLRENAKAIRVDRANIRESVEKSLKRLNTDYIDLLQIHWPDRYVPLFGSFVYDSSKWRPSVPFIEQLKAFQELIEEGKIRYIGVSNETSYGVMEFVHAAKIEGLPKIVSIQNNYSLINRCRFEVDLVEVCHPNNCNVGLLGYSPLGGGTLSGKYLDANYQDSGKGRLNLFPGFMDRYNKSIAKDAITEYVQLAKKYGLTPVQLALGFARDRPFMTSSIIGATSMDQLREDLDAFTMTERPLPAEVLEDIEAIFRRYKDPAI >KGN65479 pep chromosome:ASM407v2:1:15629256:15636101:-1 gene:Csa_1G424360 transcript:KGN65479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGEDTERAVRRITLTKPVSLEAGSDAAEYKAPNLLSRILSLFKDVRPGSDLSHFKVPPQFNMPKSQLQCYGESVYCFNEDMLRKCNNGKNPIDRFVAVVAWNISTLRPLTFGISPFNPILGETHHASAGSLNVLLEQVSHHPPVSALHATDEKENLEMIWCQQPSAKFYGTSVEVEVRGKRELRLSNHRETYVMNAPNLMFKFLPTPGAEWSGNLRIACHDSGLEAELRFKGLSFFGFGGNARSIKGKIFDSLSSKPLYEVNGQWDRTVTAKNIESGEVAVIYNAKENIWRLRTPTVQDLRCVWPSESAVVWSEVSQGILSKDWEAAKKGKRTLEEKQREIAREMASRGETWVPKHFTFSHTKEGGWDCTPIHKSVPPSPIVVPK >KGN64636 pep chromosome:ASM407v2:1:7317562:7323715:-1 gene:Csa_1G072470 transcript:KGN64636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPLKSWDILLRKRNLFSDAGKYGFKMKQLPFMGVICSVMLFIIYRTTNYQYLQTKIETALQPFDTAKDYPEESQNLNGLPRGIVEARSDLELRPLWGTSSSRLKGHDYSNRNLLAIPVGIKQKENVNSIVQKFIPENFTIILFHYDGNVDGWWDLDWCNDAIHIAVRNQTKWWYAKRFLQPAVVSIYDYIFLWDEDLGVEHFSPRRYLEIVKSEGLEISQPALDPNSTDIHHRITVRARTKKIHRRVYDLRGNVKCSDESEEPPCTGFVEGMAPVFSKSAWHCTWHLIQNDLVHGWGMDMKLGYCAQGDRTKNVGVIDSQYIVHKGIQTLGGGGTKSKPSKAAGYAKKQNPIPSDVRTEIRRQSTWELQIFKERWNKAVAEDQSWVDPFKTNSLKSDERRRKRRRSRHH >KGN64213 pep chromosome:ASM407v2:1:4766253:4770439:-1 gene:Csa_1G043160 transcript:KGN64213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEGVDNRVVKRLDSERDPNQKNRQRFGSRSGVLSIEEFFEDQEVPSWRKQLTFRAFFVSFWLSILFSFIVMKLNLTTGIIPSLNVSAGLLGFFFVKSWTKFLERSGLLKQPFTRQENTVIQTCVVASSGIAFSGGFGTYLFGMSERIAHKASGSRDFKDPSLGWMIGFLFVVSFLGLFSVVPLRKIMVIDFKLTYPSGTATAHLINSFHTPRGAALAKKQVRLLGRFFSVSFLWGFFQWFFTSADGCGFAHFPTFGLKAYANKFYFDFSATYIGVGMICPHIINVSVLVGGIISWGIMWPLIEEKRGQWYSEKLRSDDLSGLEGYKVFIAIAIILGDGLYNFFKVLTRTLSGLFDQLQRRRESEDFSMENSSSSELSYDDARRKQLFLKDQIPIWFAVGGYVVIAVVSMNTLPHIFPQLKWYYILVIYIFAPVLAFCNAYGCGLTDWSLASTYGKLAIFTIGAWAGPSRGGIIAGLSACGVMMNIVSTASDLTQDFKTGYLTLASPRSMFVSQVVGTTMGCIISPCVFWLFYKAFDDLGLPTGEYPAPNAVLFRNMSVLGVEGASSLPKNCLTLCYIFFATSILINLIKDLIPKKWARFIPLPMAMAIPFYIGPYFAIDMSLGSLILFVWQKLNKTKAEAFGPAVASGLICGDGIWTLPNSILALVGVKPPICMKFLSRSANTRVDKFLGS >KGN64180 pep chromosome:ASM407v2:1:4550928:4555676:1 gene:Csa_1G042870 transcript:KGN64180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSDDEKDGTYGKYVPREPSHNLVSNGAKFVDEVLNGQNERCLDHFRMDKHCALYSRNGKYYLVDQKYMNMPGFVAPYHDITYQSKEYPGGYHPQDAKELFNLRHSLLRNATERTFEALKARFPILLSAPPYPLQTQVKLVVATCAIHNYIRRENPDDWFFRLYEQDHVPHMEDSLPQLEAEQLTANIETPIVDVAFETEELEITSQLRDSIAAEIWSDYINDISPMKVQFSRTAAKEALPGKATGVTCKSNHVFLRSRAVFFSTFVSFLLLHSFGFHLKAPQNSIPPVVCFRSVDNVCHGGCGGVSFAVLYLLDSLTSSASLRERKEMLNPANDLLPPPSSPTNSSISSSDLDTESTGSFFHDRSTSLGTLMGVSFPAITFRVPSQNRDQHTAATVTAGGGSRKSKKTKRKTTMAPALVADRKRRWWRLCRDDGVKPASLGEFLEVERRFGDGAFYGNAVDLEGVVAADQQRNGRSLFADGRVLPPAQTEEDTSGAATLCRFSVSLTGICSGGAG >KGN63918 pep chromosome:ASM407v2:1:2978604:2982482:-1 gene:Csa_1G025980 transcript:KGN63918 gene_biotype:protein_coding transcript_biotype:protein_coding description:Superoxide dismutase [Mn] MCERLSTMALRILGRKNLIPTISGGLGSGHLRGLQTFSLPDLPYDYGALEPVINAEIMQLHHQKHHQAYITNYNKALEQLHEAINKGHTSTVVKLQSAIKFNGGGHINHSIFWNNLAPIHEGGGEPPKGSLGWAIDSEFGSLEALIQRVNAEGTALQGSGWVWLALNKELKKLSVETTANQDPLVTKGSALVPLLGIDVWEHAYYLQYKNVRPDYLKNIWKVINWKYAGDIFAKEAPLVESR >KGN63617 pep chromosome:ASM407v2:1:1162652:1167718:1 gene:Csa_1G006270 transcript:KGN63617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISIPTRQLFIDGEWREPVLKKRIPVINPTTEETIGSIPAATAEDVELAVDAARKALARNKGKDWRSASGAVRAKYLRAIAAKITERKSELAKLEAIDCGKPLEEAAWDMDDVAGCFDYYADLAEGLDAKQKAPVDVPMDTFKSYVLKEPIGVVGLITPWNYPLLMCAWKVAPALAAGCAAILKPSELASVTSLELAEICKDVGLPSGILNVLTGYGPEAGAPLASHPHVDKVAFTGSSATGSKIMASAAQLVKPVTMELGGKSPIVVFDDVDLDKAAEWAIFGCFWTNGQICSATSRLLVHENIADEFLDKIVQWCKNIKISDPLEEGCRLGPVVSAGQYEKVLKFVSTAEQEGAKILYGGVRPKHLKKGYFVEPAIITNVTTSMQIWKEEVFGPVLCVKTFSSEDEAIELANDTIYGLGAAVISNDLERCDRVAKAFQAGIVWINCSQPCFTQAPWGGIKRSGFGRELGEWGLDTYLTVKQVTQYISDEPWGWYKSPSKL >KGN63751 pep chromosome:ASM407v2:1:1934490:1940825:-1 gene:Csa_1G014480 transcript:KGN63751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQDTSPSIESPRKRSGLLRDQVQLVKKKDSNRYEILPIQDPLSFEKGFFIVIRACQLLSQKNDGIILVGVAGPSGAGKTVFTEKVLNFIPSIAVITMDNYNDSSRIIDGNFDDPRLTDYDTLLENINGLREGKSVQVPIYDFKTSSRVGYRIVEVPESRIVIIEGIYALSEKLRPLLDLRVSVTGGVHFDLVKRVLRDIQRAGQEPEEIIHQVSETVYPMYKAFIEPDLQTAHIRIINKFNPFTGFQNPTYILKSTKAVAVDQIKAVISENHNESTEETYDIYLLPPGEDPEVCQSYLRMRNRDGKYNLMFEEWVTDVPFIISPRITFEVSVRLLGGLMALGYTIATILKRSSHIFSDDKVCIKIDWLEQLNRKYIQVQGKDRVHVKYVAEQLGLDGSYIPRTYIEQIQLEKLVNDVMALPDDLKTKLSIDDESLSSPKEALSRASADRRNKYLNRGLSQSFSNRRDKTLSKLTKLAVNNRRFDVRAPDSPAVVSNQGAITQLSEQISTLSERMDEFTTRIEELNSKICTRKMSASQQNLVSQSDACNNGSGATSIFISGLSNGALTGSLLPHSSSSSQLAKESPLLEEIQNIARAQRQIILQVDNLSNLLREHSSERSRREKTDDEGRWRITDLESISTPVLLTLAIGSLGLLLFKSMNIHK >KGN64325 pep chromosome:ASM407v2:1:5398165:5403473:-1 gene:Csa_1G046220 transcript:KGN64325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALITHHSQGSYAEFSSRLSFWNGSLNLKQRVTSVRTVGRTEHHTPLRSNICFSVGTPRLCGPRSNLLRVSAFKSTARIDDETGGVANGSKISSYPVKLKDVDHCTETPKANNVPVCYASGANEDIAPSPAIQKLFKKWLELLRRQPVSQDVDGILEDLPSAEMSDTQQESNKKESNDILQGVWFHFLGLNAAVKIPLLMFVPLYLAVNVFYGAEVSRELTPLWILGPLVTAFYIKICHWMCALCIFSFMQAVKLILNSPTYLWIVYHYIIRGKLVERFMQSIIKIDLKALPKRKLMELRGWAIEKYLDYVESNWQRYFRTIRFLKRAHLI >KGN64584 pep chromosome:ASM407v2:1:6969305:6974244:-1 gene:Csa_1G066530 transcript:KGN64584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKKLTGNTMTLKDFHGGSIPTDLPLPSAPGLTVRPSDRTSFDRPTSWGNPMNRSDHRSRPHSSPATRHFDDKTPFLASAAHIGRNFDEDERKPLGGVTPPRRTISDESIRVLPSRNSELKPEYESSGRRFAPMSQFPTPGAVHSYAGRVGDALQSGVASQNSGGGSGMAFSGAHPNAWQARKEVASGLSENSHSTWDGPSAVSKLAHASALEKVSSGRWQSKQSLHYQTDVVVAASTELDVKAQPKGYGNSFDRVDGLYSREHRDASLARHAEREISVDDGTEGHRQDLSEHDRLVVPKYHPVKERSSKVDAAVVQHSDPNQMSGGRGSDLHPPVSSLVSERPKLKLLPRTKPLAGSEPNTVDHTQIIPDAVSPDNVNEMYGNSNYVKPSSAGSESGKDGIERPKLNLKPRSQLIEQSEGNAGRDRIALFGGARPREQVLKERGVDNTTVNNNDLVQHSERTDENFSRTERVQGPPVPVHQTGKVDNPPFDRRIGKEGERKDNRADPEMQRRNFRDNRRKNRETDKQQQQERPPSPETWRKPAEQPKPASPNTGGMRYGKVASALELAQAFSRSVSDSNVPDRVPSQRNLPGRSQMPFSRLMGPSPRPQINGY >KGN64723 pep chromosome:ASM407v2:1:7962977:7965699:1 gene:Csa_1G077740 transcript:KGN64723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFRCTGSSSKKSEDIEQKDPNTFNSKTHKPDDRTPSDKAKVISNLVKRDSDVKVVVSKNDQLALDVKKLNLKNEVSEEGEANGRAKTFTFEELAAATGNFRSDCFLGEGGFGKVYKGYLEKVNEVVAIKQLDRNGLQGIREFVVEVLTLSLADHPNLVKLIGFCAEGDQRLLVYEYMPLGSLENHLHDLRPGAKVIDWNTRMKIAAGAARGLEYLHEKMKPPVIYRDLKCSNILLGEGYHPKLSDFGLAKVGPSGDKTHVSTRVMGTYGYCAPDYAMTGQLTFKSDIYSFGVVLLELITGRKAIDHSKPHSEQNLVAWARPMFRDRKKFSQMVDPMLQGHYPVRGLYQSLAIAAMCVQEQPNMRPVITDVVTALNYLASQKYDPQTQPNQNSQKRPSSHKMERGDDKKETSDNDESERE >KGN65726 pep chromosome:ASM407v2:1:18106205:18108379:1 gene:Csa_1G522520 transcript:KGN65726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGICFSAKIKAVSTCSTGANLNSISRDVIDHSSSSINSKFSSTSIPRSEDEILQSSNLKSFSFSELKLATRNFRPDSLLGEGGFGSVYKGWIDEHSFSAAKPGSGTVVAVKRLNLDGLQGHKEWLAEVTFLGQLHHSHLVRLIGYCLEDEHRLLVYEFLPRGSLENHLFRRGLYFQPLSWSLRLKIALGAAKGLAFLHSEENKVIYRDFKTSNILLDSNYNAKLSDFGLAKDGPTGDKSHVSTRIMGTYGYAAPEYLATGHLSAKSDVYSFGVVLLEMISGRRAIDKNRPQGEQNLVEWAKPLLANRRKTFRLLDTRIERNYSMESAFRLAVLASRCLSAEPKFRPNMDEIVKMLNDLQDLKGSGGPKRSRTCKQNTDSGNKEVTAYPRPSASALFT >KGN65440 pep chromosome:ASM407v2:1:15338674:15339751:1 gene:Csa_1G422980 transcript:KGN65440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLFSYSKNLTNYYSIILLLIFTVGVWAGEAPAGNFYKEVDVTWGDGRGKIIENGNLITLSLDKASGSGFQSKKQYLHGRFDMKIKLVPGNSAGTVTAYYLRSEGSSWDEIDFEFLGNVSGQPYVVHTNIYVGGQGNREQQFYLWFDPTADFHTYSFLWNPARIVFYVDGRPIREFKNMEANGAPYPKKQPMRLYASLWNGEDWATRGGLIKTDWTKAPFTASFRAYKPNGCVWTNAASWCCQNSAPWLSEALDSGNQKMLRWVQKNYMIYNYCTDKKRFPQGLPKECIVPTTKPN >KGN65073 pep chromosome:ASM407v2:1:11408650:11410116:1 gene:Csa_1G192700 transcript:KGN65073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGDHSFMHPGLMQSFLAGCAASGALTSILRLITKGAFENSKNGLRKGALLFFAISAFFELLCVILHAFTFPKLPIVKHFRAKAALKGSKTVSADLAAAGIHRVANEAEGKTTEVGRLSNKKLLLQNVDYAIGLFLIYALTLSIFPGFLSEDTGSHSLGTWYALVLIAAYNVFDLVGRYIPLIKCIKLESRKWLMVSILARILLVPAFYFTAKYGNQGWMILLTSFLGLTNGYLTVCLPTTAPKGYKGPEQNALGNLLVMFLLGGIFAGVTLDWLWLIGKGW >KGN65429 pep chromosome:ASM407v2:1:15286498:15288997:-1 gene:Csa_1G421890 transcript:KGN65429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEEKGEGISDFSSLPEGVVAKILSFTTPSDACRSSVVSTTFVAAAQSDIVWDSFLPTDWEILISRRKPSNLNFDPISSSKKGIFFSLCDTPLIIDDGNKSFSLDKCSGKKCIMLGARELSIIWSDMPAYWTWEPHPESRFAEVAVLLNVWWLEIKGKLSCKMLSPATTYAAYFVFKMDERRYYGFDIVAADAAVAIVDGECCSSRVCLDPFLENAPPKRRRRTPCLRRNPLGNSMSRAKQPQERHDGWFEIELGELRNNGGDDVVEFFLKEVNCNYSKSGLIVQGIDIRPKVSRDLA >KGN66213 pep chromosome:ASM407v2:1:21959152:21966208:1 gene:Csa_1G586750 transcript:KGN66213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPSLLTPPPVPVELYVTNRQKLLNSFRQHLSDSSRPLQGIVLLQGGDERTRYDTDHLELFRQESYFAYLFGVIEPGFYGAIDIASGKSILFAPKLPPDYAAWSGEIKPLSYFKVVYAVLHKLYPELEKPLLFLLRGLNTDSNNYAVPANFEGIDTFVTDLNTLHPILTECRVFKSELELALIQFANDISSEAHVQVMRNVKVGMKEYQLESLFLHHTYMYGGCRHCSYTCICATGDNSAVLHYGHAAAPNDRTLEDGDIALFDMGAEYQFYGSDITCSFPVNGKFTSDQSLIYNAVLKAHDAVILVMKPGINWVEMHKLAEKIILESLIEGSVLVGDVMDMMAARLGAVFMPHGLGHFLGIDTHDPGGFLQGLGRPEEPGLSSLRTVRDLKEGMVITVEPGCYFIDALLDPALKNSKTSKFFNVEAVNKFRGSGGVRIESDLLVTANGCRNMTNCPRETWEIEAVMAGSPWPIVSKSISI >KGN66513 pep chromosome:ASM407v2:1:24439120:24444485:1 gene:Csa_1G616310 transcript:KGN66513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEFVGRAVKKEFKGRGIHSGVVKSFDSSSGFFEVEFEGGDSEELDLSEVSLLLEGQSQPVEKRSCRGRKPKKRRRIESKCEIGGASANAGGSLVLDKGNPDETLEMGFEVSVVCVKDLNESFNLNDEVKKNQLIVDGVSADLNGSLEGNKILDMNVTLSGGVEDILEKRGKSEKDFEGNGPGNRNLFRNGDSRDGFDLNARSSSNEWLNLNDSSDHHASPSKNANLERRGSIDLNLYVNADFDENLTGGDVSCSQVETKKREWDFDLNLEVSDVHVDTNNNGGEEIASSGMGEAIIDKVCDEAVIDQVCDEAAMDQVYDNVQGIQDKTMESENEDGNLQEVHIDIKEELPKESYSSGGDVTVEASLRVSDLNCVNDGNLVNIDVKDVSSEVGPQIIDGCQGNSEGQYKQRGRKKKRKVLDGVNTPDTVLRRSTRRGIIQKTVPIASSDISSPVASVVTEEKQVAYDGSDMPVGLPFKLQLPPSSKNLNLDDISILDLFSIYACLRSFSTLLFLSPFELDDFVAALKCKSPTILFDNIHLSVLQTLRKHLEDLSTEGSESALSCLRSLNWDMLDLITWPIFMVEYLLIHGSGLKPGVDLCRLKLLKNDYYKLPTGIKIEILRCLCDDMIEVEAIRSEINRRSLAAEPEIIRDRSLKSEVYKKKKISANASINSCQSEDTMDDTADWNSDECCLCKMDGSLICCDGCPAAYHLKCVGIANDLLPEGDWFCPECAIDRHKSWMKTQKSLRGAEFLGVDPHGRTYFSSCGFLLVSDSCDTESSVSYYHRNDLDVVIEALRSSYSSYSDILMTICKHWDITFTLNGKINKSDSLHCTSKYYSNFCHEGAKSANLFEAETILEGSTVNKSALDSQLNSSIQDIQTQQTTVSNGYEFTNQAKGSGKFSSGEDSSLLHPCLDGMQESNTRCGGLEHSLSMSIINGDALEDESDDGYSNFYSFAQTASSVADEFMRKSSEKDKIKEKSTMSEEEIIAAQMKVILKKTSNFGWPFIQNINVATQKEKCGWCFPCKASSDELDCLFKTNNAWIEEGLAIDVPGLQLKRKGKGHLRDVICQVLSIENRLQGLLLGPWLNSHHSKLWREGLLAFDFNSVKHLLLLIESNLRHPAISAEWFKFVDSVNTLGSASLFVTSSLRATRHGISRKRGRFSDIESNGSSNGSSGLSMFWWRGGQLSRRIFNWKVLPRSLISKAARQAGCTKIPGIAYPEGSECARRSRCIAWRAAVEASTSVEQLAFQVLNLHLCIWIVT >KGN64543 pep chromosome:ASM407v2:1:6763240:6767603:-1 gene:Csa_1G064160 transcript:KGN64543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACISVVMVNNAAVTNQVVGATGIWRSNHVKLGKGIGLDTFSTTKRNMGKTKMQVLGNSGNFDGKLRLDSRILHMVAKQMDDEFDIFYTDGFQEEVVEETRVFVGGEMEVCFAERLTKQYRNEEGRGPEIYIKRDRDVLINPFRDHKIISSVIIKVMAAKRKGKSVIAATGGSQGQLYGFITAAACHRHGLDCTIFMGSEDINKQSLNLQLITSLGAQVKWVEGNLKQAVLEAKKELIRKSETSLLVVARDEEPNQFQETKRQGMDKLGGTADILILGCIESSASNVLRLFLDHYFIQKDKDVRLILVQPDNGIMHSASQIMIPHPIGEGLESQREKGLKYLSFLKEIGKVEFYTVTDMEVMEARNLYFKLESNFPSKEASYAFAYLHKLCPTLSDGCKVVVHCGGQ >KGN63701 pep chromosome:ASM407v2:1:1641151:1643170:1 gene:Csa_1G011530 transcript:KGN63701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMYNRLLPFSYRIIRRSRVQQEICTISNLDFLESEMLKFVHTQAMDLPFQATNGSKIPDYNDVRRGHFLMKLIDDSVSRNGFESIARIFSKYRGSINSQQCNSMIRTYLDLNKHLNSLYIFALMHKFSILPDSSTFPAVLKATAQLCDTGVGKMIHGIVIQMGFICDVYTSTALVHLYCTCLSISDASQLFDEMPERNAVTWNALITGYTHNRKFVKAIDAFRGMLADGAQPSERTVVVVLSACSHLGAFNQGKWIHEFIYHNRLRLNVFVGTALIDMYAKCGAVYEVEKVFEEIREKNVYTWNVLISGYAMNGQGDAALQAFSRMLMENFKPDEVTFLGVLCACCHQGLVTEGRWQFMSMKQQFGLQPRIEHYGCMVDLLGRAGLLEEALELIQSMSIEPDPIIWRALLCACRVHGNTKLGEYIIKRLIELEPNNGENYVLLSNIYSRERRWAEVGKLRGMMNLRGIRKVPGCSSIEINNVVYEFVASNDRKPEFEAIYKQLDNLIKKLKENGYVTGTDMALYDIEKEEKEHSVMYHSEKLALAFGLLNSPLDCTLRIVKNLRICLDCHEFFKVLSLVYKRYIVVRDRNRFHHFYEGFCSCRDYW >KGN65958 pep chromosome:ASM407v2:1:20087348:20089454:-1 gene:Csa_1G542490 transcript:KGN65958 gene_biotype:protein_coding transcript_biotype:protein_coding description:phloem lectin MDWSELQEERENLKLIEKYQCFKCILPIDGDHASHSGLIDAKLFKQLCDGIYIDNGNKMYWFDEKAKGNAFFIPPRGLKISFDRQKLIRWKDSEFNGKKTELAAFSEITFLEARGKIKQHMLSSTLTYDVLFELLCKPYATGYHVPTNFEITYPKAITVVIKENLESRPPNEWFTIKVGEIKVDDKHDCDSDKEYEFSMNIHSEDRKSELVFKGVQIRPKQPSYGTSSFKI >KGN64024 pep chromosome:ASM407v2:1:3661666:3666177:-1 gene:Csa_1G038910 transcript:KGN64024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVNTKQAVSVTPAFDHSGVFRDNESTAVGNSGRSRLGLVGEIEKVSKPKAKVKKKSSSEFNGVGSEFGESGRASSKGGGNETLSFRLGNLNKYIEGEQVAAGWPAWLSAVAGEAIQGWVPLRSDAYEKLEKIGQGTYSSVFRARELETGRIVALKKVRFDNFEPESVRFMAREIMILRGLDHPNIIKLEGLITSRLSCSIYLVFEYMDHDITGLLSCPDITFSESQIKCYMKQLLSGLEHCHSRGVMHRDIKGSNLLVNNEGVLKVADFGLANFCNTGHRQPLTSRVVTLWYRPPELLLGSTDYNASVDLWSVGCVFAELLVGKPILQGRTEVEQLHKIFKLCGSPPDEYWKKSKLPHATLFKPQHPYNNCLRQTFKDHPSTTVNLLETLLSVEPYKRGVASSALISEYFSTKPYACDPSSMPIYPPNKEIDAKQREETRRKKGSGRSRGLDNRRLTRKHLGISKLAPAEDLSVSARDLHKISINAQNLKEEKVIKGVEAEKMSMDKLEETIHVKNSSQGDIPFSGPLQVSTSSGFAWARRRRDDASIRCYSRSISRGHLINGLEDSTTLHSISNLDSKIHEKSDMSSISRSSSKGHESNERSKVVMRNPWGKFERPDSFDTSDEYHSQEFAAALYLRDEKEAKRKQLSYQDQVDKVEYSGPLLSQSSRVDELLDRHERHIRQTVRRSWFQRGKN >KGN64536 pep chromosome:ASM407v2:1:6731903:6733038:-1 gene:Csa_1G063600 transcript:KGN64536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHNKPTNTFYKSHYGMAAIAPVAIGTRGTIGSLVKKEIDYFAKIELETSISSQRSQGPEMASSGCRSSPPTFWQSLMSWRRKTKLTSNRFVTKMCSTFDASRSNRMNKISGLSYTILQNDFHSLHM >KGN64327 pep chromosome:ASM407v2:1:5405997:5407685:-1 gene:Csa_1G046240 transcript:KGN64327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNPKLSIFLLNLSCFLSLCIAIESPQYAVVHSESDFEIRFYRKSTWISAPVQDPSFEKATKNGFHRLFQYIQGANLNWSRIAMTAPVLTSIVPGAGPLHSSSYLVQFYLPLKFQASPPLPLPELKLKPCDWEAHCVAVRKFSGFARDEKVIKEAEKLATSLSRFPLANSTSERGYAYAIAQYDSPFRLIGRVNEVWVDVDAADLEGCKSSAIAAF >KGN64392 pep chromosome:ASM407v2:1:5854680:5858914:1 gene:Csa_1G050310 transcript:KGN64392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRTTTLDCPGCPPLRALTFDVLGLVKVIEARGKEGEIPKVVERWGEPDFSKSVLAASLVDRKFDPLLAVARKNGLIEVLNPLNGNLHIAISDNTDTSPPPKDEAIVGMHLFSKEELEVESRRCTLLSCTTKGNASMRSIGFSSSLSKDASTDLVKTWKVCGSGDVTCTKVDGSETHALFGGKGVEVNMWNLEQCTKIWTAKAPKKNNLGIFTPTWFTSATFLSKDDHRKFAAGTNSHQVRLYDISAQKRPVISFDFRETPIKSLAEDVDGNTIFVGNASGDLASFDIRNGKLLGCFLGKCSGSIRSIARHPELPVIASCGLDSYVRFWDIKTRQLLSAVFLKQHLTGVVFDSHFVEEDVTQTAVESIQQETEAAQTVSEEEHMPRKRKKSSKEGGEGGKRKGNKTTDKESKKSRRKSHGETERKQKKMV >KGN64676 pep chromosome:ASM407v2:1:7570432:7573680:-1 gene:Csa_1G073830 transcript:KGN64676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVHEIEKDEDDGPPPGWEPITPSLPLPPPPQLSSDMAQMVCGTCRRLLKYLKGARYVQCSCCSTVNFVLEAHEVGQVKCGSCAVLLMYPYGASSVRCSSCTSVTEIGVHNRRPPWSVHQGQAAPPTNFVQ >KGN65862 pep chromosome:ASM407v2:1:19056965:19058667:-1 gene:Csa_1G533690 transcript:KGN65862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSSSIVFQWLSLIGIIWLQSINGTNFNFPSYSSQLKQQLSMSQLQLNNLAFASDAGKLFACFSGLAANYLPLWLVLFIGSSLGLIGYGVQYLFITNQFHSPSYWLIFFLTVLAGNSICWINTVCYMVAITNFKSSSRQVAVGISTSYQGLSAKVYTDIVGSIFSNKHSSKTAETFLLLNSVLPLGVCVLVSPLARFVKIVEEQGKLEVGFFVIFVITIATGIFATMTSVGSVSRMLSALGGLVGIMVFLVLPLVVVVVEKVKERVEEGKEGKVYHFTVEEKNDEERMRGENERKVERTDDGEAMEEIGAKEMVKRINFWLYVGVYLFGATLGLAFLNNLGQIAESRGSSSVSSLVSLSSSFGFFGRLLPSILDYFLSRRNKFMKSKPGWMVGLMGTLCGGFFLLLSPSDTSLCMSTAIIAICTGAITSISVSTTTDLFGATNFSINHNIVVANIPFGSFIFGYMAAFLYRKQAGHGVDPGKCIGVECYRTTFLIWGSFSSFGTFLALLLFARTKSFYSTTKKSSYIPSN >KGN64432 pep chromosome:ASM407v2:1:6074767:6076872:1 gene:Csa_1G051700 transcript:KGN64432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKELSTTTTTTTTRRVSVIFALVLFIFFFVSAILTDPNIMDSGPPSFLPLDLNTTTVAPHRKLLLTREATIEEPTRIWGEKCTKSDIVINQGPTAPLPTGIPTYTVEVVNACVTGCEIYGIHFKCGWFSSAHLINPRVFKRLRYDDCLVNDGKPLVYGGTLSFQYANTYPYPLSVSSVLCM >KGN64903 pep chromosome:ASM407v2:1:9909001:9912704:1 gene:Csa_1G152500 transcript:KGN64903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESIGCSLSSLSLAPFRAKSFSVQEMLFGPCRRPSLPILHASVAQSFPELRKSTSIAASGTLMANSVPSGTGVYIVGDFMTRKEELHVVKPTTSVDEALEILVEKRITGFPVIDDNWKLVGVVSDYDLLALDSISGGGRTDTSMFPEVDSSWKTFNEVQRLLSKTNGKVVGDLMTTAPLVVREITDLEDVARLLLQTKYRRLPVVDADGKLVGIITRGNVVRAALQIKHAEENRK >KGN66422 pep chromosome:ASM407v2:1:23542191:23551178:-1 gene:Csa_1G604080 transcript:KGN66422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRRRSKSLSLISPKPDPEASSSSKKKLKTELNADLTPISRSSPKPSAAKTRKVKAELSVEEDDAPARFLQPHFPDSDARVRWPLRYARKKKNVVEEKKSRDDSEEVIQALHHYSQAKVDNITYNLLDDAHVKAEGEEDDYICKIIEMFEAVDGQLYFTAQWYYRAKDTVVKDHAHLINDKRVFFSEVRDDNPLDCLVKKLNIARIPLTMDEKKNCLPSCDFYCDMLYLLPYSSFVKLPTSEKKVGSETSSTISSDVDTNEACEVNSQIGDVTQAQQCCKPDVALLDLYSGCGAMSTGLCLGGNLSGVNLVTKWAVDLNQYACESLRFNHPETQVRNEMAEDFLSLVKEWEVLCKYCSLVKSKEPQQKYIDLFADEDEEENEDEKTEEDEEVFEVEKILAICYGDPNETKKRGLFLKVRWKGYGSDEDTWEPIDGLSNCKEKLKDFVTSGYKSKILPLPGDVDVICGGPPCQGISGFNRFRNKENPLEDEKNKQLVVYMDLVEYLRPKYVLMENVVDIVKFANGFLGRYALGRLISMNYQVRMGMMAAGAYGLPQFRMRMFMWGAQPTEKLPQYPLPTHDVVVRGVIPTEFEMNVVAYEEGHKDVHLEKKLLLEDAISDLPAVENDERRDEMPYHEPPKTEFQHLIRSPREEMFDTSTMSKPATHPLYDHRPLELNTDDYQRVCQIPKRKGANFRDLSGVRVRPDKRVEWDPDVKRVYLESGKPLVPDYAMSFVNGSSSKPFARLWWDETVPTVVTRAEPHNQAITHPEQDRVMTIRENARLQGFPDYYKLFGPVKERYIQVGNAVAVPVARALGYSLGMAFQGLAGDTPVCSLPKRFPNILKRRSSKSSEEVA >KGN64055 pep chromosome:ASM407v2:1:3837121:3839322:-1 gene:Csa_1G039210 transcript:KGN64055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENQRPTSILESLGEEIVRIVTPVSICMFMVVILVSILNPNSSSSYASVGSIATIAYNESSSDTSWDKFIGALLNSLVFVAVITLATFLMVLLFYLRCVKFLKYYMGFSAFVVLGFLGGEIALFLIEEFSIPIDCFTFLVALFNFAAVGVLAVFMSKMAILVTQGYLVLIGMLVAYWFTLLPEWTTWALLVALALYDLAAVLLPVGPLRLLVELAISRDEDIPALVYEARPVVNHDSNPRDLVQRRMRVWRERNETSDNRPIAVPDSVSDGNVVSESNVDEIETSNSNPIPIISTAVRAEEGEVHPIRNTELLVPLIDNGGNVQPHGVAEASGSNENLMLEGIGLGSSGAIKLGLGDFIFYSVLVGRAAMYDYMTVYACYLAIVAGLGITLMLLAIYQKALPALPVSIALGIVFYFLTRLFLEVFVVQCSLNLLMF >KGN64350 pep chromosome:ASM407v2:1:5517981:5518391:-1 gene:Csa_1G047425 transcript:KGN64350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELRHSREMAKLGQKRVTNSRPKTQQEAPDLTDFMNDMFFGAVNKDKKAYNLTGNEDDDDDDDDEEWFDRSNRSRNEQLTDEWLDEARRLVASSPSRCNSPARLAPRFAAAANGRSSASIIDRRDPLSRSARRWEI >KGN65970 pep chromosome:ASM407v2:1:20219225:20225201:1 gene:Csa_1G554570 transcript:KGN65970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSDPDKLMIKADKLTKLSMTRWSADWKSATVLYEQAANAYRVRNNHEKAKIAFEKASKGQEMLSSPWDAAKHMESAGALAKELGNLTEVADFYRRASELYVLCGRSQPASDALSKGAHALEDSKPDEAITLYTEACTLLEDDGREQMAFDLYRDATSVFVKLEKYSDAAELLLRWGLAADKCNAVHSQGKAYLSAIIVYLYAHDFKQAEKCYNDCSQVDAFTRTDQYRAASKLLSAYREGDVEEIKRVAQSSTISHLDHVIIRLARKLPTGDVSALKTDTTEDQEEALDENDLT >KGN65501 pep chromosome:ASM407v2:1:15803244:15807062:-1 gene:Csa_1G427510 transcript:KGN65501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMYERHHLQQHQQQQQRCRKINNNNLLHQHHNTTTTTTMNMKSFTSNELLLVDHHEADKPFEMVDLDLSGLSLHSLPNPTLNLASISHLDLSNNNLQVIPESLTARLLNLVSFDVHSNQLKTLPNSIGCLSKLKILNVSGNLIVSLPSTIENCRSLEELNANFNQLTRLPDTIGFELTNLKKLSVNSNKLAFLPHSLSHLTALRVLDSHLNCLRSLPDDLENLINLQVLNISQNFQFLQALPYSIGLLISLVELDVSYNKIISLPDSIGCLKNLKKLNVEGNPLTSPPPEVIEQGLDTVRMYLTDKMNGVHKDSHKKRSWVGKLVKYGTFNSRSNKLSREEKEGFIMPEYRSIDGLASPRYMGMFSPRRLFSPRHSYSK >KGN63741 pep chromosome:ASM407v2:1:1897834:1900285:1 gene:Csa_1G014380 transcript:KGN63741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPGRLNRLNGKPFRALFRSPRCVLDTPYEGNVTKFPRVRVWDPYKRLGVSSDASEEEIWGARNFLLQQYSGHERSEESIEAAFEKILMASFQHRKKTKINLKTRLKKQVEESPPWIKNLLNFVELPPTDVIFRRLFLFAFMGGWSIMNSAEGGPAFQVAVSLAACIYFLNEKTKSVARASIIGFGSLVVGWVCGSLVVPSIPSFLLQPTWSLELLTSLVVYFFLFLSCTFLK >KGN64130 pep chromosome:ASM407v2:1:4295245:4298551:1 gene:Csa_1G042420 transcript:KGN64130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSSLMDTLFQRTLDDLIKGLRLQLIGESAFISKAMDEIRREIKSTDPQTKSTALQKLSYLSSLHGIDMNWAAFHVVEVMSSSRFAQKKIGYHAASQSFHEATPVLLLITNQLRKDLTSTNEFEVSLALDCLSKFATVDLARDLTPEIFTLLSSTKVFVRKKAIGVVLRVFGKYPDAVRVCFKRLVENLESSDPRILSAVVGVFCELASQDPRSYLPLAPEFYRILADSKNNWVLIKVLKIFKNLAPLEPRLARKIVEPITEHMRRTGAKSLLFECIRTVVTSLSDFETAVRLAVEKTREFLVDDDPNLKYLGLHALSILVPKHSWAVLENKEVVIKSLSDVDPNVKLESLRLVMAMVSDNNVTEICRVLVNLALKSDPEFCNEILGSILATCGENVYEIIIDFDWYVSLLGEMSRIPYCRKGEEIENQLVDIGMRVKDARPTLVMVGRDLLIDPALLGNPFMDRILSAAAWVSGEYVQFSGKPFELLEALLQPRSNLLPPSVRAVYVQSAFKVTIFCLNSYIQEQNIDSSSYVDTLVENGSESISARECQDASALASCDASDQFEQVEVFNPRGSNQPTKVTFAENDRETLTRVQTCTSASLEDNSSSLGSIVELLNFIQFSLGPLTWSHDVELLERSRNLLNFIELIRKQIPDGLNEKDGSAEMELAEISKIVELILDAFSDDFGPISINAQERVPIPEGLILKENLDDLKMICSDIEVSEGSYSFGNSLYEEKVDSSILSQQIQQESESLNATTSLLSEHRKRHGMYYLPSDKTDDASNDYPPANELKVQDILDDDAAHLVKLAERSLALKKKSTSAKPRPVVVRLDEGDELPVTRKKPQLNDEQLSDAVRDVLVGSDARPTSSQTNQSSKPSGRRKGKEKQNADNLLESKENLGNVEEQSSNMVDTSLRRTHRHHEKDAKQESPEKNSEKKDQTHKKGKRTSSQRHGRHKAKQSGDTSLPVASQTVIPDFLL >KGN64509 pep chromosome:ASM407v2:1:6591888:6595941:-1 gene:Csa_1G062350 transcript:KGN64509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREYRVLCSLIILVLFAVNEVNADNPYRFFTWKVTYGDIYPLGVKQQGILINGQFPGPQIDAVTNDNLIINVYNYLKEPFLISWNGLQQRRNSWQDGVYGTTCAIPPMRNFTYTLQAKDQIGSYFYFPSTAFHKAAGAFGGIRIWSRPGIPVPFAPPAGDFTVLAGDWYKTNHYIMRQVLDSGKNIPSPDGLLINGRGWNGYTFNVDPAVLHYSNSWQKVSGPVPGGPTTEIAWSLQQARSIRWNLTASGPRPNPQGSYHYGLIKTSRTIVLANSAPVINGKQRFAVNSVSFVQADTPLKLADYFKIPGVFNLNNIPTNPTWGNAYLQTSVMGSNFREYIEIVFQNWENTVQSWHISGYSFFVVGMDGGQWTPASRSRYNLRDTVARCTTQGQWTSHEATLSFKILPFLSRVGDMILDDD >KGN63887 pep chromosome:ASM407v2:1:2785262:2785945:-1 gene:Csa_1G025190 transcript:KGN63887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSASCAPSMASNGAPKVLSLDGRLQSFSKPVTAAELMIEHSGKFLCDSSDLKVGHRIQGLLPDEDLEWRRLYFLLPMDLLYSVLTLEEMSSLTFIATKALKQGNSSGFGRIFPVLISEFCNSPADVKGLKLEDDDDRENQSSKAVKRLMSKQRSWKPALETIAETSCT >KGN64453 pep chromosome:ASM407v2:1:6211600:6213917:1 gene:Csa_1G051900 transcript:KGN64453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRIRQQLLTLQRLPLSPELLRFQIPAILSPFSSSSSFISDSPSASIATKPNTTLTHDELTRINLLLPRLCLHNHLSTAISLLHATLLTNPSLHSLSLSVLSHSLASQSDFALTMSLLTRLKHHPNALLYSTPIVTMLISSYCKRRKSKEALKLFHWMLRPGSPCKPEERVYKTLIAGLYRKGMTFDALKVLRNMIDSNLVPDCDLRNWVFRSLLKEAMIPEAMEFNDALNFVGDQNTIDHLRRVSELLNRIITNWID >KGN63644 pep chromosome:ASM407v2:1:1318702:1322355:-1 gene:Csa_1G008500 transcript:KGN63644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRAKQIGSLSNSARSFFLSGSRCNADGASCTCPEDETCVSERQNARNETLPSQKPSTLVANSSPRVGPLIAEEAAKVIVSHKTDNVDLSVSIRQVANTGPNHQRGAECVRYASGLNTVLDGECTSPRIADQVVKAGIMAVNLFSDFVNFKIPSSDYGGTFSSSKNCMVDPARSITSVKPSKIKHLRRENISRVHSRPSVEIPVDSKPQSSSNHGSNCKPAQSSYVKGSRQEVSEARTQKLVVFQNISSDKCDKRNLPQRTRVHSNSFTSHFHSIAQTTGSDFTNSSKNFKKFPDNLKSPTGMAPITSSFLNAPNVVESVSCILQQLKWGPAAEEAIGKLNCSIDAYQANQILKRVDDHAVALGFFYWLKRLPRFRHDGHTYTTMIGLLGRAKQFAAINKLLDQMIKDGCQPNVVTYNRIIHSYGRANYLQDAVNVFKQMQEAGCEPDRVTYCTLIDIHAKSGFLDVAMGMYEKMQDAGLTPDTFTYSVMINCLGKAGHLNAAHRLFCRMVDEGCVPNLVTYNIMIALQAKARNYEIALKLYRDMQQSGFEPDKVTYCIVMEVLGHCGFLEEAEGIFIEMQKKNWVPDEPVYGLLVDLWGKSGNVQKAWEWYHAMLKAGLKPNVPTCNSLLSAFLRVHQLSDAYQLLQSMLTFGLKPSLQTYTLLLSCCTDAQTNDMGFCCELMQVTGHPAHTFLVSLPSAGPNGQNVRDHMSKFLDLMHSEDRESKRGLVDAVVDFLHKSGLKEEAGCVWEAAMQKNVYPDAVKEKSSCYWLINLHVMSDGTAVTALSRTLAWFRQQLLLSGVGPSRIDIVTGWGRRSKVTGSSLVRQAVQDLLSIFSFPFFTENGNSGCFVGCGEPLSRWLHQSYVERMHLL >KGN66476 pep chromosome:ASM407v2:1:24059182:24061666:-1 gene:Csa_1G613480 transcript:KGN66476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINLSVSNLIFRYPHFVPRSTFQIPFIFLLHTRSLPHHKDKPTNWNASHVLIQSNPLLSLLEACTSMAKMKEIHAQMISTGLISDGFALSRLVAFCAISEWRNLDYCDKILNNAANLNVFSWNMAIRGYVESENPINAVLLYRNMLRKGSAIPDNYTYPLLFKVCAGFSLSWTANEILGHVIQLGFDSDLFVHNAIIHVLVSCGELLAARKLFDESCVRDLVSWNSIINGYVRCGLADEAFDLYYKMGELNVMPDEVTMIGVVSASAQLENLALGRKLHQSIEEMGLNLTVPLANALMDMYIKCKNIEAAKILFENMTKKTVVSWTTMVIGYAKFGLLESAVRLFNEMPEKDVVLWNALIGGFVQAKRSKEALALFHEMQASSVAPDKITVVNCLSACSQLGALDVGIWMHHYVDKHNLTMNVALGTALVDMYAKCGNIKKAIQVFEEMPGRNSLTWTAIICGLALHGQPHAAISYFSEMISIGLVPDEITFIGVLSACCHGGLVDQGRDYFYQMTSKYGISPKLKHYSCLVDLLGRAGFLEEAEELIRSMPFEPDAVVWGALFFGSRIHGNVHMGERAASKLLELDPHDGGIYVLLANMYGDANMWEQARKVRKMMEERGVEKTPGCSSIEMNGLVYDFIIRDKSHPQSEKIYECLTRLTRQIEVIEVDPSLFKEFSSWC >KGN66276 pep chromosome:ASM407v2:1:22449139:22453794:-1 gene:Csa_1G595800 transcript:KGN66276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSYVSALLYGVGGLVVAGMALLVAFQERLVYVPALPGLTKSYAITPSRLRLVYEDVWLRSSDGVRLHSWFIKLFPDCRGPTILFFQENAGNIAHRLEMVRIMIQRLQCNVFMLSYRGYGASDGYPSQHGITRDAQAALDHLSQRTDIDTSRILVFGRSLGGAVGAVLTKNNPDKVAALILENTFTSILDMAGVLLPFLKWFIGGPGSKGPKVLNFLVRSPWSTIDVVGKIKQPILFLSGLQDEMVPPVHMQMLYAKAAAHNNRCLFVDFPSGMHMDTWLAGGDHYWRTIQQFIDQNVPENRECESSGDD >KGN66730 pep chromosome:ASM407v2:1:27012816:27018938:-1 gene:Csa_1G665910 transcript:KGN66730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTKTGGVSFSISISNLLPFGLIFCFVITQRFTLVCGLNYTYQKHLSSLRLDRIQRHLDSINKPPLLTIQSPDGDIIDCVHKRKQPALDHPLLKNHKIQRGPTEWPKTKVGKENKEEVSERRAGSGALASFQTWRVNGTRCPKGTVPVRRTTVKDVLRSKSLFDFGKKKRPILLDRKIDAPDVVSGNGHEHAIAYTGSSEEMYGAKATINVWDPSIEMVNEFSLSQIWILSGSFDGSDLNSIEAGWQVSPELYGDSRPRLFTYWTSDAYQATGCYNLLCSGFVQTNSKIAIGAAISPISSIAGSQYDITILIWKDPKLGNWWMGFGENTLVGYWPAELFTHLADHATMVEWGGEVVNSRINGQHTSTQMGSGHFPDDGFAKASYFRNLEIVDSDNSLSSVQDISIMAENTNCYNIMSSYNDQWGTHFYYGGPGRNPKCQ >KGN65910 pep chromosome:ASM407v2:1:19613271:19623518:-1 gene:Csa_1G537580 transcript:KGN65910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESYAKSFERASHMLAEKESKSFNSTEHEEDNNTAATITSKNTTGKSGRCVPQITASTKWTRDDERMYINENSWDDDHHWDCYENKSIGAVNSSHNYLHCDKWSEGCFIENLGKTDSCIPIKIKGDRNQGGLFRLEDESFNCCSLFSSPTPAKRSVEVPFTQPKNSFWEFNNRWGSKERKQQRKRIRKVLFGNPSKKNKSFDSGFLVDSSSESEGTKPTSNYKTKYRSAAPDSVELEVPFTQPRSCEWVMNRHSRKATECFRSLRSSSSSRKLSFEPPTSTENIQTTNDSNFGGRFELSRAVSDEPQDLEGDWNQTSCGDIKYEEGGSPMLYGLMKKIKEECIAVDQKLQHNSPTVFGKKSWADMVEEEEEESDDEEEEDNTEEMSSSSGSDQVNCFADNWSCSSDDNGEFKFNDENLNSNILHQNHCPPSSNQLEDTIKIGSLEIKDDSDEVVSSRNSVERCPLYFDQQQQPTLESIDNCCASPLPRKDLTTEVSCKFGQENKLMRGNRLQETIIQSLADDAYRKPIVEGWPTKLELGLLPLIRTVRFAFARTDSFGVRFHVSKFHIIASGSAAFCKSASTPVLAADKVHLQWVIMDSQIIRLNWRCNFLGQQRRVQNFRTLCVPKSASFQSPYFPGGILGKGIKCSMKSYKLSELNQDAVTGLKARPRIDFSSIFGVVQPIADDVRKRGDAAVRDYTAKFDKVELNEIVVSVSDLPEPELDSAVKEAFDVAYDNIYAFHAAQISVEKNVENMPGVKCKRVARSISSVGLYVPGGTAVLPSTALMLSIPAQIAGCGTVVLATPPSQDGSICKEVLYCAKKAGVTHILKAGGAQAISAMAWGTESCPKVEKIFGPGNQYVTAAKMILQNSEAMISIDMPAGPSEVLVIADKYASPVHIAADLLSQAEHGPDSQVVLVIAGDGVDVKAIEEELSKQCKSLPRGEFASKALSHSFTVFARDMVEAVSFSNLYAPEHLIINVKDAEKWESFIQNAGSVFLGPWTPESVGDYASGTNHVLPTYGYARMYGGVSLDSFLKYMTIQSLTEEGLRKLGPYVEKMAEVEGLDAHKRAVSLRLKDIEARKISSSR >KGN64906 pep chromosome:ASM407v2:1:9938219:9940419:1 gene:Csa_1G153510 transcript:KGN64906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTQKQSWEAQQMQRVKNSGMISSNVNNGIIGSPLKEDQEEEISRSALALFRAKEEEIERKKMEMREKVEARLGRAEEATKRLAEIREELEGMTDPMRKEVSFIRKKIDLVNKELKPLGLTCQKKEREYKEVLDLFNEKNKEKSQLVSKLMELVNESEKLRMKKLEELSKNIDILR >KGN65438 pep chromosome:ASM407v2:1:15318980:15320741:-1 gene:Csa_1G422470 transcript:KGN65438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTTLFLTLLFSASLIAISSANFNQDFQITWGDGRAKILNNGELLTLSLDKASGSGFQSQNEYLFGKIDMQLKLVAGNSAGTVTAYYLSSKGSTWDEIDFEFLGNLSGDPYTLHTNVFSQGKGNREQQFHLWFDPTADFHTYSILWNPNRIIFSVDGTPIREFKNWESNGVPFPKDQPMRIYSSLWNADDWATRGGLVKTDWTKAPFTASYKNFKAEACVWSGGKSSCSPSPAGSSNSWLSQEMDSASQERLKWVQKNYMIYNYCTDTKRFPQGLPTECNLP >KGN65131 pep chromosome:ASM407v2:1:12097877:12098580:-1 gene:Csa_1G231030 transcript:KGN65131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFDRPRVFEWKEGKIDEPPWPSFFNNLVIVENPEEAIKGKRFADQNAESNAQLSWCRWIGSDGGGGGVRLRGHAVGVGLIEEGLEGYLERHTMASALVPYSPNWRR >KGN66317 pep chromosome:ASM407v2:1:22660431:22663931:-1 gene:Csa_1G597150 transcript:KGN66317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRTAAKRLIGGLTAGRNRIHHRLRFPSPSTFLDHCSFSTATNSQEFPNSQIPNQYHIRQETPTSSDSASSSSSSWSTSNSGEETRSHENRRPRVEYQEEQARVLQAALSHVVKLGWTEAAMIAGARDIGMSPSIVGSFARKEAELVEFFMDDCLQRLIDLIEADEGRLKNLILRERVYKLVRARLEMQTPFISKWAQALSIQAHPANLATSFKQRAMLVDEIWHAAGGDTSDIDWYVKRTILGGIYSATEIYMLTDSSPDFQDTWTFLDNRLKDAFDIKKTVQEAKYLAEAVGAGMGNSFQGFVGKLFQR >KGN66708 pep chromosome:ASM407v2:1:26702150:26706028:1 gene:Csa_1G661750 transcript:KGN66708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAKAIILIALLLICCFETGAKAENFKYKDPTQRLNVRIKDLLGRMTLEEKIGQMVQIERVNASTEVMKKYFIGSVLSGGGSVPSKQASAQDWINMVNEIQKGALSTRLGIPMIYGIDAVHGHNNVYNATIFPHNIGLGATRDPQLLKRIGVASAREIRATGIPYAFAPCVAVCRDPRWGRCYESYGEDPKIVQEMTEIIPGLQGEIPPNSRKGVPYVAGKENVVACAKHYVGDGGTTKGIDENNTVIDRHGLLSIHMPGYYHSIIKGVATIMVSYSSWNGEKMHANKNLVTDFLKNTLHFQGFVISDWEAIDRITDPPHANYTYSILASITAGLDMIMIPYNYPEFIDGLTNLVKSNYIPISRIDDAVKRILRVKFVMGLFENPIADLSLVNELGKQEHRELAREAVRKSLVLLKNGKSADKPLLPLEKKTQKILVAGSHANNLGYQCGGWTIEWQGLSGNNLTSGTTVLDAIKDTVDPTTEVIFNENPDKKSLQSDTFSYAIVVVGEHPYAELNGDSLNLTIPDPGPNTITNVCGVIKCAVVIISGRPVVIQPYVDSIDALVAAWLPGTEGKGITDVLFGDYGFTGKLSQTWFKTVDQLPMNFGNPNYDPLFPFGHGLTTQPIKS >KGN63543 pep chromosome:ASM407v2:1:624562:625167:1 gene:Csa_1G004090 transcript:KGN63543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVVVTAATYPCKVKVFCNVKDIKCVINFDFPSSLEDYVHRIGRTGRAGAKGTAFTFFTHENAKHARDLIKILREAGQIVTPALSALASSSGFGGSGAKFRSQGHRGGFGNRTMVSGSNAIPLGLTTRPR >KGN63600 pep chromosome:ASM407v2:1:1064023:1067160:1 gene:Csa_1G005610 transcript:KGN63600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSASRFIKCVTVGDGAVGKTCMLICYTSNKFPTDYIPTVFDNFSANVVVEGTTVNLGLWDTAGQEDYNRLRPLSYRGADVFILAFSLVSRASYENVLKKWIPELQHYAPGVPVVLVGTKLDLREDKFYLADHPGLVPVTTLQGEELRKQIGATYYVECSSKTQQNVKSVFDAAIKVVIKPPQKQKEKKRPHRGCLLNVFCGRNLTRQS >KGN64323 pep chromosome:ASM407v2:1:5389311:5390518:1 gene:Csa_1G046200 transcript:KGN64323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCSRKSYLWFDVSMKLADSEQMQMNSSMRYGCIPENKSFNADDSICWKRYHELQHNSHKACNFYIKSTSLRRIQ >KGN64661 pep chromosome:ASM407v2:1:7473786:7481950:-1 gene:Csa_1G073690 transcript:KGN64661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVRTYGRRNRGLSRTFSDSSADAIHDSFADSLSQESSQDPLFGVAFSSQDSSTRWSTFDSEPYGTNSSQASFSANPIRSSFDDSLNGGHKKSKKSKIEKRELEVLRCSQPAISSTSTLMEAQEFGEMMEHVDEVNFALDGLRNGQQVRIRRASLISLLSICSTAQQRRLLRTHGMARTIIDAVLGFSFDDSASNLAAATLFYILTSDGQDDHLLESPNCVSFLIKLLKPILSMAAEVKGPRIGHKLLVLRTDSDILPSTKRLDSSSSAIFSKVEEILVSCKEIKSRSIGIGVTDRPELCPKWIALLTIEKACLTTISLEETSGAIRKTGGDFKEKLRELGGLDAVFEVAKDCHSNLEDTRYENFLQSLMLLLKCLKIMENATFLSKENQSHLVGIKRNLDGQGTTQSFTAIMLRVIKILSGLYLRKNSAAGLNNEKSAHLLDGSCNTSKEFAEADGEANRKVTLPSCNSKTGCNTKSTLSDKSSIISQNMRNATARLDNSLTTSGTTSTSLANTSFFKMRQRCSTSGSSSVTSRSTDNGTTTLNNQAVGKINLPDPFGCELSFSEEQDPFAFDEGDFEPSKWEVLSQKEKKPRAKKGMVKFRDLENGCNSKVITSEKESLGEESHPINETSSLTSFNEEEGFGLVADCLLTSIKVLMNLTNDNPVGCQQIALCGGLETMCSLIANHFPSFCSTSSTLNGLKVHTLSLEFELQNEKHLTDQELDFLVAILGLLVNLVEKDGHNRSRLASASVLTPSVHGSEKVHSNVIPLLCSIFLANQGASDGVGEGESAPWNEEVALLEGEKEAEKMIVEAYSALLLAFLSTESQRIRDAIVDCLPDHSLAILVPVLERFVAFHLTLNMISPETHKAVTEVIESCRSS >KGN65139 pep chromosome:ASM407v2:1:12195213:12199511:1 gene:Csa_1G240070 transcript:KGN65139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSLISAQQNHFFSSTTLCKFSSTITIFQSPCLLPFPTFSSPQHTIPLLRVGDGVVREFSATKNRKPSSKPSFSMTQKLNKNGTPHLGHGNETLVKNSVEDLVNLEVDERTRKRFEKGKNARDSSRSVNGMKMGDGISFLKTSTDSASLKVSRDGGKIKEKRKGNQVVEEKGKLLKGNNETPFRANLDMCSKTGDFIGAIKLYEWAQKEGINLEQYHYAVILYLCSSAALGVIQPAKSGYGNQTSISLTLSKVGSYENPIILDEQHSTKTSYVSKGGNYGRTELSTENDRSNSDGMMDNKENIFHTNGSMVPKAWILDEKSHSNILVDEDFKKYALETGFEIYEKMCAENIPMNEATLTSVARMAMSMGDGDKAFDMVKQMKPLGLNPRLRSYGPALSTFCKNGKLEKAFSVEKHMLEHGVYPEEPELAALLRVSINASNAEKVYYLLHKLRTSVRQVLPSTADLIITWFKSKDAARVGKVKLDRKIIKKAIGNGGGGWHGLGWLGRGKWSVSSTNVGKDGLCKSCGEKLATIDLDPIETENFAESVAAIVTQREKNSSFQKFQKWLEYYGPFEAVIDAANVGLFSQRKFAPSKVNLIANGIRQKLPSKKWPLIILHNRRITGRKMEEPVNKTLIEKWKNADALYATPTGSNDDWYWLYAAIKFKCLIVTNDEMRDHTFQLLGNDFFPRWKERHQVHFSFSATGPVFHMPPPCSVVIQESENGHWHVPLASEHSYEEDRKWLCITRGNLQSQMARQEPPRKVKEPQSLLLNKGNLGTRADVDIKKQPSTQVLTKNSSQDNYKSLKQILSAAVFSDKCNLLKEMEAAEKLGGCTIDFQI >KGN66626 pep chromosome:ASM407v2:1:25730649:25739411:-1 gene:Csa_1G650130 transcript:KGN66626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERPDDEQDRIVPIGERSLRPDNLKEPQLSPKGGRIAPANPSPNAIIIGPSRDTMEQKVSMDAGTSISNVHPVNVYTSHEPNIQYGGYGGSSTGAWDAYSQYVNADSFPVVSPVMYNDNPSIVFHSGYGFNPDMAYGQYSPVATPMPSVMLDGQLYSPQQVPFSPSYYPQQAAPGLPHGSSAVPVSPTEMISPESSTFDNMLYGPGTGFLLNFGSFGGGNLGSGSLASPAAAYPQPMGVLGSNDQNVGQVSLQQRPMHGFGLVSNAFDARYPLSSSYQGSNFGSGSISYPVVNDRSRLTLEKDRGRDRDRDSISLFNDPHGIFSDRNRGPRALKAKGKGEQSAASGANKNDLSTSLISPDSYNRPNFATDYETAKFFIIKSFSEDNVHRSIKYKVWASTPHGNKKLDAAFREAKEMQGNCPVLLFFSVNASGQFCGVAEMVGPVDFEKNADYWQQDRWSGQFPVKWHIIKDVPNIRFRHVLLENNDNKPVTHSRDSQEVPLKQGIEMLKIFKDHDPRTSIIDDFDFYDERERILKERKTRQQLFATANSLNSLGDGSISPISDQFAQSLRLEDNNKKEKPEMEKGATSRIDASVSLDDPVK >KGN64524 pep chromosome:ASM407v2:1:6669344:6670311:-1 gene:Csa_1G063480 transcript:KGN64524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGRKLGINRNERFLGSYSNGHAQASYNGDDSSELREEDVWSIIDNKNSADAEDENYGNITLRTRRRSTPRDERNVGGVSIAFQDSGSRTAAATSQGNNPRGRHVSASAPVNVPDWSKILWAESSLREMDDGGYYNNDETEMVPPHEYLAREYARSRNTAAATSVFEGVGRTLKGRDMRRVRDAVWSQTGFDG >KGN66643 pep chromosome:ASM407v2:1:25948807:25956599:-1 gene:Csa_1G652260 transcript:KGN66643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVLHRTLWLGTSPIFLPLPKFQSTGASISLQFRPTSPSPHFRTSLSTHSRFSLVPFATHESPVGEAIDTDTREWAMQDFYTLRKEVETTSERVEEIRNSAGLLQLDQELADLESKAADNSFWDDRSKAQKVLMAMTDVKDKIKMLTDFKTQVEEAETIVKLTEEMDSVDVGLLEEATKIIKDLNKALDQFELSELLSGPYDKEGAVISISAGAGGTDAQDWADMLLRMYVRWGEKQRYKTRVVEKSAGEEAGIKSATIEIEGRYAYGYISGEKGTHRIVRQSPFNSKGLRQTSFSGVEVMPLLPDESMNVELPEEDLEISFSRAGGKGGQNVNKVETAVRITHIPTGVTVRCTEERSQLANKIKALSRLKAKLLVIAEEQRASEIKQIRGDAVKAEWGQQIRNYVFHPYKLVKDVRTGYETSDIVSVMDGELEPFIKAYLKYKYSIALSTGD >KGN64631 pep chromosome:ASM407v2:1:7282397:7284020:1 gene:Csa_1G071930 transcript:KGN64631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDLFSNSFKKYTDLKQQAYLDSMEAGSESVNLDRFFEDVENVKDDMKQVENLYKKLQQANEECKVVHNAKTMKELRGRMETDVAQVLKRVKLIKGKLEALERSNAAHRGLPGCGPGSSADRTRTSVVSGLGKKLKDVMDDFQGLRARMNAEYKETVERRYFTVTGQKANEETIENLISSGESESFLQKAIQEQGRGQIMDTISEIQERHDAVKEIEKNLIELHQIFLDMAALVEAQGHQLNDIESHVAHANSFVRRGTEQLQEAREYQKSSRKWTCYAILLGAILIIILLFPLLTSILPHLL >KGN64091 pep chromosome:ASM407v2:1:4021940:4023492:1 gene:Csa_1G041530 transcript:KGN64091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDSEAEKSRLKDKEKEKKLLALAPIAKPLAGKKLCKRTLKLVRKAAEYKCLKRGVKEVVKSIRRGQKGLCVIAGNISPIDVITHVPILCEESEIRYVYVPSKEDLANAGSTKRPTCCVLVQTKPNKGELGSTEQEKLKADFDQVVAEVSELTSTLF >KGN66270 pep chromosome:ASM407v2:1:22403915:22408454:1 gene:Csa_1G590260 transcript:KGN66270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLAGLKSVENAHEESVWAATWVPATDTRPSLLLTGSLDETVKLWKSDELDLERTNTGHCLGVVSVAAHPSGFIAASASLDSFVRVFEVDSNSTIATLEAPPSEVWQMRFNPEGTMLAVAGGGSASIKLWDTNTWKLAATLSIPRPEGPKPTDKTASKKFVLSVAWSIDGRRLACGSMDGTISVFDVARAKFLHHLEGHFMPVRSLVYSPVEPRLLFSASDDAHVHMYDAEGKTLIGAMSGHSSWVLSVDASPDGAAVATGSSDRTVRLWDLNMRTAVQTMTNHSDQVWGVAFRPPGGVGVRSGRLASVSDDKSISLYDYS >KGN63655 pep chromosome:ASM407v2:1:1385313:1388115:-1 gene:Csa_1G009590 transcript:KGN63655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESIKDQQSTPFPGNAARPKLQRYALRSGNNKSKDDKLPAPELSNPPSSASKRGRSVSSVSKSVGVLELSAKDKSAKPPRRLSIPTKNLSPTRKLVGNITPISEVRRTARSQGKSDTPVSDFSRSSKKTFHLLSSTSYWLSQIKLSEAASKHSVSLGFFKLALEAGCKPLHRMRDELKSYINRCNLDESEQTVKDLLENYNSAQETEQLQVSESISQGPEVGTRSSDDEVHSSSSSVEPRKLKPKSLNADVSKTTPVTEVNQRNLTTTPRNRGTWNKNVAPNSTSETTKKSLKKPHKSNKQEPIQGKEKTKKQGKKQPSEKAPATTSPEEDSVQSNKENLEAPQIEVISTEQLVM >KGN63811 pep chromosome:ASM407v2:1:2306085:2309211:-1 gene:Csa_1G022500 transcript:KGN63811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPKYGSFKHSFAERRERLLSTAKEFPDLGFNAIQTIHENPSCCSSVSHRFSYIWNSVQDVLYKAWQMGVSDPRKIVFSAKMGLALTLISLLIFFKQPVEELSRYSVWAILTVVVVFEFSIGATLSKGLNRGIGTLSAGGLALGMAELSVLAGQWEEVVVVTSIFIMGFFATYAKLYPTMKPYEYGFRVFLLTYCFIMVSGYRTREFIHTAVTRFLLIALGAGVCLVVNICIYPIWAGEDLHNLVVKNFGGVAASLEGCVDSYLNCVEYERIPSKILTYQASDDPLYKGYRSAMESLSQEETLMGFAIWEPPHGRYRMLKYPWKNYVKVAGALRHCAFAIMALHGCILSEIQASAERRQVFGSELRRVGYEGAKVLRELGNKLKKMEKLDSASILSEVHDAAEELQKKIDAKSYLLVNSESWEIGNRPEDVGQPQELLNLDDEEIRFREYRSLSEAVLDLRTLPILKSWDDSASSDINSITSTPLPPSKMFKKLGSWPAQVSVKQNGVIHEEESKTYENASALSLATFTSLLIEFVARLQNLVDSFDELSEKAKFSDTMEWETLKTPGCWRRFCHCFKV >KGN63572 pep chromosome:ASM407v2:1:848104:850314:1 gene:Csa_1G004880 transcript:KGN63572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVAHCTLDGNADGVEFCPHSSFSNVLAASTYTLQEGEQPSRSGSLSIFNINADIGSLELLHRVETAGIFDIKWSSVGQTASPLLAQADADGCLRIHALECSSNGVEGEYCLKEKCGSKISSSMCLCLDWNTSASSISVGHSDGSISILSAGESHLEVQNQWKAHEFELWATSFDTHQPHLVYTGSDDCKFSCWDLRDTPSKVFHNTKVHKMGVCCIAKIPNDPYKLLTGSYDENLRVWDIRAISKPINETSICLGGGVWKIKPHPSVSDVVLAACMHNGFAIVRVKDDGAEVVETYAKHNSLAYGADWQRGDSSQEDKRKRYAVATCSFYDKLLRIWIPETDIIT >KGN65342 pep chromosome:ASM407v2:1:14053187:14070293:1 gene:Csa_1G351950 transcript:KGN65342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSPRLSGPPPLPIHSSTQHHDSWDCMLPGPPSRNNFGSADISPSGLLAFPSGSSVSIVDSRSMQLITAIPMPPPSTTATSLSPFVTSVRWTPLPLHRDLLSTEPSTSHLHLAAADRQGRIALLDFRLKSPTIWFDTSDYKYGVQDLCWVRSGPDSYLLAAIHGASALSLYSVTTARCVWKYDASPEYLSCIRYDPFDSRHFCVIGLKGFLLSVQVLGEKESDVVIKELRIGTDCTELLKLERDAASGSSSPASAMFPLYNAKFAFSPKWRHILFVTFPRELVVFDLQYETALFSTSLPRGCGKFLDVLPDPDSELLYCPHLDGRLSTWRRKEGEQVHVMSAMEELLPSIGTSVPSPSVLAVVICQSDSILQNVAKLCSDVRHSHSPSPDADAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEADIVSPFDSYDECHPISSTHLISISDDGKVWNWLVTAEDTQTDDACVSMSTDVGGVPTSDSNTDQIVSSTNSLASEAGKQLDHANTSCGRPPSGLSELDLSFKISLVGQLQLLSSAVTMLAVPSPSLIATLARGGNYPAVAVPLVALGTQSGTIDVIDISANSVSSSFSVHNSVVRGLRWLGNSRLVSFSYSQVNEKSGGYLNRLVVTCLRSGFNRTFRVMQKPERAPIRALRASSSGRYLLILFRDAPVEVWAMTKTPIMLRSLALPFTVLEWTLPTVPRPAKERTTMTSDTVSSPTKASLSDTKAQEGNQEETSESFAFALVNGALGVFEVHGRRIRDFRPKWPSSSFVSSDGLITAMAYRLPHVVMGDRSGNIRWWDVTTGHSSSFNTHREGIRRIKFSPVVPGDHSRGRIAVLFYDNTFSIFDLDSQDPLANSILQHQFPGTLVLELDWLPLRTDRKDPLVLCIAGADSSFRLVEIIINEKKHGYGRKTAKERFRPMPICSPLLLPTPHALALRMILQLGVKPSWLKKKPQLVSGVSGGGHDLRSHMIDLPPVGDSVVPEMLLKVLEPYRIEGCILDDARAKLYSKLVHKGSALRFAFAAAIFGESSEALFWLQLPSALSHLMNKLANKSPQRGQSSMSNVDLDEASMLNRITSKGKSMPRTGKKETLGQGQLMAMAFKQEELWESANERIPWHERLDGEEVIQNRVHELVSVGNLEAAVSLLLSTSPESSYFYANALRAVALSSAVSRSLLELAVKVVAANMVRTDRSLSGTHLLCAVGRYQEACSQLQDAGCWTDAATLAATHLKGSDYARVLLRWANHVFHSEHNIWRALILYVAAGALQEALAALRESQQPDTAAMFILACREIHAEFISNLENSDDESDSNALKNKLLKLPGLDPENDDVVAVGEYYGQYQRKLVHLCMDSLPYSD >KGN64201 pep chromosome:ASM407v2:1:4694732:4702807:1 gene:Csa_1G043050 transcript:KGN64201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPPEEKESPPEEQNPKPSLFPLSFVANNPQTQSNPSTSSVPQWLCNSSFTTDLTVINDALSSQNNVHPSCSADSEQEEAVEDEGGPSGRREVQKPSRSYELLESSASEDDSEHEKRKKRKKKKRRRRRNESEERGGFGEYGSRKSDVRAWADADGRPSKDYYFDSNGDRDNLAFGSLYRMDVARYRPLNRGERHGQNFHGFSQWNKSSSALDRDADADVLDNKVKSGGRYWSAKNAAIERHKNFKRVRIGFSSNTSDTLLDDFIPLSDVQTSNNIEESWEDEVLRKTREFNKLTREHPHDEKAWLAFAEFQDKVAAMQPQKGARLQTLEKKISILEKAAELNPENEELLLYLLKTYQNRDNIDVVINRWEKILLQNSGSYRLWREFLHLMQGEFSRFKVSDMRQMYAHAIQALSAACNQHIRQANQIGKPSVEHDLIQLELGLVDIFMSLCRFEWQAGYQELATALFQAEIEFSLFCPALHLNDRNKQRLFEHFWNTDAERVGEEGAVGWSTWLEKEEENRQKAMREEVLEADEKGGWTGWFNPAPKENKNSDGTGTTAEMDVAAEETMEEYVEEDIEREDSTEALLKILGINTDAGVDEEVKDASTWARWSKEESSRDSEQWMPVRERTVDVIHDEGMPDGETNEQLLRVILYEDVKEYLFSLVSSEARLSLIYQLIEFFSGKIYSRASSNNSSWMERILSLEVLPDDIVHHLRSVHDVLNKRQSSSSSSSMEVLIGSSDNLSQMSEMMKFLRNTILLCLTAFPRNYILEEAALIAEELFVTKMNSCSSSVTPCRSLAKSLLKSDRQDMLLCGVYARREATYGNIDHARKVFDMALASVESLPVDQKSNAPLLYFWYAELELVNDHNNGHNSSNRAVHILSCLGSGTTYSPFKCQPSSLQLLRAHQGFKEKIREVRSTWLHGVIDDSSVALISSAALFEELTTGYNAGLEVLDQAFSMVLPERRKQSYQLEHLFNYYVKMLQRHHKQLSQLKVRESITHGLQFYPLNPELYSAFLEISYIYSVPSKLRWTFDDFCQKQPSLILWIFALSFEMGYGGSLHRIRRLFEKALENENLRHSVLLWRCYISYELNTACDPSSARRVFFRAIHSCPWSKKLWLDGFLKLNSVLSAKELSDLQEVMRDKELNLRTDIYEILLQDELVS >KGN66498 pep chromosome:ASM407v2:1:24268705:24270862:-1 gene:Csa_1G615170 transcript:KGN66498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGSGICAKRVVVDARHHMLGRLSSIIAKELLNGQKVVVVRCEEICISGGLVRQKMKYMRFLRKRMNTKPSHGPIHFRAPAKILWRTIRGMIPHKTKRGAAALARLKAYEGLCIYELVMLVWKFRVLRLQAGHKYCLLGRLSSEVGWNHYDTIKELERKRKERSQAAYERKKQLNKLRIKAEKVAEEKLGPQLEVIAPIKY >KGN64200 pep chromosome:ASM407v2:1:4686982:4687928:1 gene:Csa_1G043040 transcript:KGN64200 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger homeodomain protein SZF-HD1 MDGENSNYHYRECLRNHAASLGSYATDGCGEFTLDDSSSPANLLHCAACGCHRNFHRKVTYIAGGGRSSAATATDDDLMDYDRHAVVEYAAADTERSGGGSKKRFRTKFTADQKEKMLAFAEKLGWKLQRKDLDDEIERFCRSVGVTRQVFKVWMHNHKNSFSSNSASTGNASSLTQ >KGN65376 pep chromosome:ASM407v2:1:14643698:14652361:-1 gene:Csa_1G391590 transcript:KGN65376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLHTPSSPRLSLKIIKAQSKPDNVLLLSAFKSLVYNQRFDRSISLLRGNTISSSFTRNAASTEAGASVMSTETAETDVLKALSQIIDPDFGTDIVSCGFVKDLQIDEALGEVSFRLELTTPACPVKDMFEQRANEEVAALPWVKNVKVTMSAQPAKPIYAGELPPGLQRISNIVAVSSCKGGVGKSTVAVNLAYTLADMGARVGIFDADVYGPSLPTMVSPENRLLEMNPETRSILPTEYLGVKLVSFGFAGQGRAIMRGPMVSGVISQLLTTAEWGELDYLVIDMPPGTGDIQLTLCQVVPLTAAVIVTTPQKLAFIDVAKGVRMFSKLKVPCVAVVENMCHFDADGKRYYPFGRGSGSQVVQQFGIPHLFDLPIRPTLSASGDSGIPEAVADPQGEVAKTFQDLGVCVVQQCAKIRQQVSTAVTYDRTIRAIRVKVPDSDEEFLLHPATVRRNDRSAQSVDEWTGEQKLQYADIPEDIQPEEIKPMGNYAVTITWPDGFNQIAPYDQLQMLERLVDVPELTPAQAV >KGN65386 pep chromosome:ASM407v2:1:14767051:14768521:-1 gene:Csa_1G398140 transcript:KGN65386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRVVTDQQESSSKSNRPSADSGNIEGKSTIQQQSADMKATNSVSHLEKAEEKPSSSETRPKEVAEAVPVQNQAASQKLLFKTSQRGCRPFNSRNHRGKGRMEDQEVYTLEEYERRKSGTSQLPKETSSYTNQDEELARQLQNKFDLEDFHVQDSTSRTNAEDIRMSMFNFERDTYTPHGGGRGRGGGRGRRGRGRGRGRHY >KGN65673 pep chromosome:ASM407v2:1:17444996:17446174:-1 gene:Csa_1G482740 transcript:KGN65673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPHEERLVLELHSKWGNRWSRIARKLPGRTDNEIKNFWRTHMRKVAQEKKKKDVVVVSPSTSSTIDSVPTTIEIGEESFYDSGGNPSEEQKGYCYTMDDIWKDIEDQSTNVMKPLCDDQSYGNFVSCGPVVSPLWEYGQYSPWKTVEEDNKLYAAAGMNSNIYEHGEGVVLITQN >KGN66419 pep chromosome:ASM407v2:1:23517582:23523195:1 gene:Csa_1G604050 transcript:KGN66419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAINKPPPTTNSDNERIVIGSAIASRLLLLFLIVFWRFLLSPYDTSASLNPSCLINPSSLPLTQQPVLFPQIGSAIESSIVWDGVYFVRIAQCGYEYEKSYAFLPLLPFCISLLSRTVLLPLVPVIGHRAVLGLSGYLINNIAFVLAARYLFRLSLIILKDAEAAMRASVLFCFNPASIFYSSLYTESLYSLFSLGGLYHLMSGRSSVSALWLALSGCARSNGVLNAGYICFLTMHWAYDALFLKKCIRKGLQVLISGALNCVFIFAPFIGFQAYGYYNICSGRIPEEMRPWCKARVPLLYNFVQSHYWGVGFLKYFRFEQLPNFLLASPILSLVFFSILHYGKSKTKLLFSVGFQPMDDDRNSAAMLYFPERVSRSSTPHTTSSSGLQGNQNLRQRKKIFVQDPAELAVEDKPSNGSGYFSALVLPFILHLGFMAATAFFIMHVQVATRFLSASPPLYWFASYITVKRWRYIIWAYSIAYILLGSLLFSNFYPFT >KGN65618 pep chromosome:ASM407v2:1:16945408:16946793:1 gene:Csa_1G470340 transcript:KGN65618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESYYEKHESFIDSLFDDFLTRDLPVNICEVLPSDLNTLLSLSIWSRIKGDGSHRQLSSTIKFKIEKSLFHVPTHHCKFIIIERLPSGVFVDPFELEHLLHRAVFSDVAVFGDTHLELPSVLSNISVVEVHKDIGLNILSHNKNLIDFTVDLPLHSRYPRLDESGYVEVRLKAPDLFLQCSIQEKPHNRTCFFRLETDDEEADLTWSIPAGKRSDAGVVSAITFVSALLSSTFSLEPAQEV >KGN66227 pep chromosome:ASM407v2:1:22050738:22051289:1 gene:Csa_1G586890 transcript:KGN66227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSNNITAILNFIIFLSSIPVIAAGIWLASKPDNECIQLLRWPVVVLGGLLLLGSLIGFIGAYCNRPGLLAVYLFFMAVLIILLLIVLIIAFTATRHDGGSHPVAGTRFQEYRLDGYSSWLRHHVTSSGSWPSVRKCLAVSNVCRQLNREFSSTEQFFATDISPLQVSYIHSILVIFCCLCF >KGN63624 pep chromosome:ASM407v2:1:1207194:1210778:1 gene:Csa_1G007830 transcript:KGN63624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSASTPQSLKLRILSCLTKLSDRDTYSLAASELESIAQNLDGSTLPIFLSCIYSTDASDKLLVRKQCIRLFAVVSEIHGNSLAPYLSKILSNITRRFRDPDSSVRSACVSSVTALASGVTKPPFSTFLKPLTDSLFTEQDSNSQVGAALCLASAIDAAPDPDPVKIGKLLPRFEKLLKCESFKAKPALLTLIGSVIGVDGALGNGALKNLVPCLVAFLSSEDWAARKSAAEALGKLAVVERDALAEFKAGCLKTLESRRFDKVKAVREVMSQMLEAWKQIPDLSDEASAPAYSQSSSKEIVSDGRYPPGFKNNSSARLDAPLPRKNVISAYRSTPPDASPATAARRRSSLSGGDKKASLSMLQKAERKKPLDWKVEVSVRKSPSGELKERDENIPDRRFSEKTKISKPETKRALFNKISDDKTIKFGGLRSGSRVVPCPEDFPESTVVASSATDDLHRNHKDSEELHLIRNQLSQIEKQQSSLLDILQNFIGSSQNGMRSLETRVHGLELALDEISYDLAVSSGRMSYANTPTTTCCLLPGADFLSSRFWKRAESRHSTSKFSTPIRATPLAPIRSRSGDRHGTNVDVGSTNLENHRFHIQRRGGFMVNPLAVRQSEPRAASDVTH >KGN64104 pep chromosome:ASM407v2:1:4093129:4093994:-1 gene:Csa_1G042160 transcript:KGN64104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQSTPERGGSTSISGGGSVLATPRRAAATLIVSLSTLMALCAKQANRVSKKLQIKLKSKQLPRLELRSPQLRPKRFLKNISNTLIHKKKNKRGAGDAEEEEWGDGGVWQKAILMGDKCEPLDFSGVIYYDSNGKQLNEVPLRSPRASPLPAFLATNHRQVY >KGN65196 pep chromosome:ASM407v2:1:12730698:12733836:-1 gene:Csa_1G263990 transcript:KGN65196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKQSGKKKKQIGDKFREAIAKHRQNGDGSCPTYDKDHVIFITMSQVLKDEGNKLFQSRDLEGAMLKYDKALKLLPRNHIDVSYLRSNMAACYMQMGLSEYPRAIHECNLALEVTPKYSKALLKRARCYEGLHRLDLALRDVKAVLNMEPNNIMALEISERLTKEIEMKGSNEDDVEIKLPLDFGELPSSVSPQKKPKEKNRKKKNNQKTKEIIDEKKVDETVEEKKVDEMVEEKKAEDKLVVEEKISTQEETPTNTVKLVFGEDIRWAQLPVDCTLLQLREVIRDRFPTCTAVLIKYRDEEGDLVTITTNEELRLAETSKESQGSVRFYIFEVNPEQDPFYQRFKNDEVAKCEVEENSIFENGHALKSKEIKMSSCIDDWIIQFAQLFINHVGFESGPYLDLHDLGMKLYSEAVEETVTSEEAQSLFELAAEKFHEMAALALFNWGNVIMAKARKKVYFADGGSKVRVLEQIKAAFEWVENEYAEAERKYQMAVEIKPDFYEGYLALGQQQFEQAKLSWHYAVSSDVDPKTWPCTEVMELYNSAEENMETGMKMWEEWEEQRTSELSKSNNIKTQLQKMGLDGLIKDISVDEAAEQAKNMRSHINLLWGTMLYERSILEFKMGLPAWHECLEVAVEKFELAGASATDIAVMIKNHCSSNNSHEGLGFKIDEIVQAWNEMYEARKLLTGVPSFRLEPLFRRRVSKIYHVLE >KGN65379 pep chromosome:ASM407v2:1:14697592:14700378:1 gene:Csa_1G395600 transcript:KGN65379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLTPGILLKLLQAMNSNTRVTGDHRSALLQVIGIVPALAGSELWPNRGFYIQLSDSLNSTYVSLSERETDLILSNRLHLGQFIYVDRFEFDTPIPRVCGIRPIPGRQASVGSPELLIARISASKREFVIQPVTESDQSADPIAALSSNQKLEEPQIKESKSNLKTGSGRGRQALAPRDNLQIENKGSTDETKVPHKPQRFSSPAGGKRSMSVGKKNVPVVERDPSPAGKGKRSASPVPSKTVVPSLVAAREENRVSSKEAAIIVPSRYRQPSPNGRRQASPSVRRASLSPARRLSGGLKVSPLLAVADSASKKKMSNIAAGISKVSEALVGSAKSNRKSWDDQSTASSTSEEQRDGGVSKNKPDLQAILRTQAAISRRLSDANDHRPKSEEAQRREKKKSFSPSECEVPDERKFSGLGITVHDKKWTDGSVLVDAAPPNLVKLAKDAMQRRDIASIAAAEALEEAISTESIIRSLSKFSELSSTHKTGDLLHVVDQFFIIYNDVVKSTEIAESVFASRNGNKKPGTINSQERLKPASLWVDAALATNLEIVSLLTGQDNSPATILHKSVSKKQTMEGSSFPNSNMVQWRRGHEMKETVELAMELQSEMKLWFLKFVEDSLDAGSKVFIERSVDAVKTSPPIPNRGSMASVLSQLKRVNDWLDRVVSKRDDPLKEKVERLKRKIYGFVIQNVDC >KGN64445 pep chromosome:ASM407v2:1:6163799:6167858:-1 gene:Csa_1G051820 transcript:KGN64445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADCYALFLPFVLVLCFFVWSVQYGVVFCDDGLSLNVLLEIRKSFVDDPENVLEDWSESNPNFCKWRGVSCVSDSAGGSVSVVGLNLSDSSLGGSISPALGRLHNLLHLDLSSNGLMGPIPTNLSQLHSLESLLLFSNQLNGSIPTELGSMSSLRVMRIGDNGLTGPIPSSFGNLVNLVTLGLASCSLSGLIPPELGQLSRVEDMVLQQNQLEGPVPGELGNCSSLVVFTAAGNSLNGSIPKQLGRLENLQILNLANNTLSGEIPVELGELGQLLYLNLMGNQLKGSIPVSLAQLGNLQNLDLSMNKLTGGIPEELGNMGSLEFLVLSNNPLSGVIPSKLCSNASSLQHLLISQIQISGEIPVELIQCRALTQMDLSNNSLNGSIPDEFYELRSLTDILLHNNSLVGSISPSIANLSNLKTLALYHNNLQGDLPREIGMLGELEILYLYDNQFSGKIPFELGNCSKLQMIDFFGNRFSGEIPVSLGRLKELNFIHLRQNELEGKIPATLGNCRKLTTLDLADNRLSGVIPSTFGFLGALELLMLYNNSLEGNLPRSLINLAKLQRINLSKNRLNGSIAPLCASPFFLSFDITNNRFDGEIPPQLGNSSSLERLRLGNNQFFGEIPPALGKIRELSLLDLSGNSLTGSIPAELSLCKKLTHLDLNNNNFSGSLPMWLGGLPQLGEIKLSFNQFTGPLPLELFNCSKLIVLSLNENLLNGTLPMEIGNLRSLNILNLDANRFSGPIPSTIGTISKLFELRMSRNGLDGEIPAEISQLQNLQSVLDLSYNNLTGEIPSFIALLSKLEALDLSHNELSGEVPSDISKMSSLGKLNLAYNKLEGKLEKEFSHWPISVFQGNLQLCGGPLDRCNEASSSESSSLSEAAVIAISAVSTLAGMAILVLTVTLLYKHKLETFKRWGEVNCVYSSSSSQAQRRPLFHNPGGNRDFHWEEIMEVTNNLSDDFIIGSGGSGTIYRAELLTGETVAVKKISCKDDLLSNRSFIREVKTLGRIKHRHLVKLLGYCMNRGDGSNLLIYDYMENGSVWDWLHQQPINGKKKKKLDWEARFRIAVGLAQGLEYLHHDCLPKIVHRDIKTSNILLDSNMEAHLGDFGLAKALVENYDTDTESKTWFAGSYGYIAPEYAYSLRATEKSDVYSMGIVLMELISGKMPTDEAFGVDMDMVRWVETRIEMQSLTDREGLIDPCLKPLLPDEESAAFQVLEIALQCTKTAPQERPTSRRVCDQLLHVYNPRTVGYDKMKTDPYS >KGN65210 pep chromosome:ASM407v2:1:12840159:12843035:-1 gene:Csa_1G265100 transcript:KGN65210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKAIDIFEEMSGYGMVPNAFACAAYIEALCTHDCSTSGYQLLQAWRAELFPIDTYAYTVVIRGFCDEMKIDEAESVFLDMENYGVVPDAQTYGVLINGYCKKLNLQKALSLHSLMLSKGIKSNCVIVSFILQCFLRMQMYSEVVNQFKVFQGKGVFLDNVVYNIVVHALCELGKLEEAIELLEEMTSRQIQMDVMHYTTMIKGLFAQGKIHEAMMMFENLKKNGVEPDSITYSVLAAGFSRNGLVSKVQDLLDYMEEHGLRKDPKMPDLIIENLCIGGKVKEATEIFNSLEVKTVDNYAAMINGYCAASDTKSAYKLFVNLSKEGIFIRRSSLVRLVSRLCMENSSFRAIEVMKQLPVMNVEAKEIVYNKVIASLCRVKNMKMAQCLFDCLVRAGLIPDLITYTMMINGYCKINYLREAYELLCDMRNRGREPDIFVYTVLLDGGFKTSLQKCSSVEIALTSSIFNEMKDMKITPDVVYYTVLIDGYCKMNNLNDAFVLFEEMVDQGIEADAVTYTALLSSCCRNGYKEKAQTLCYEMTSKGILPPNNFSYLLQHDTLETKKI >KGN66460 pep chromosome:ASM407v2:1:23923967:23926492:1 gene:Csa_1G612840 transcript:KGN66460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEASDSSFIPIIRRSTFPPDFVFGSASSAYQYEGAAFEYGRTPSIWDTFTHLHSERIDDGSNADVTVDQYHRYQEDVEIIKKIGFDAYRFSISWSRVLPTGKLSGGVNQEGIDYYNRLINDLVSKGIQPFVTIFHWDVPQALEDEYLGFLSEQIM >KGN66817 pep chromosome:ASM407v2:1:27966814:27973729:-1 gene:Csa_1G696500 transcript:KGN66817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDTGFTTASNILHHISTFISEILVQSDLRKRLLSTVYDNFSLSDEIALKPLGLAAEALENAISADNSSIKTSSLRCAEELLLSLPENPISSFLLSLIYGLKHQNLNSALSLLDLFLLYPSLARSEIAPILFEELFLGHFLPIFHWFNEQRSKILKSLASNGGGEYSRSEAEGWEVVPCTKSLSKLSIDQTLKLKELESNYERVLDRNCIDFAEHFKKILERKEESEWIASPKVKLLNKKEKWKEMEQILEDKLRTEHLSLPNGRYNPVWVEEDPIVSLVEVDSATKSKSPSPSPSPPPSPSLSSRIPGPLNFNGEQESSSGSKTFSIFNSAAQAQDDSSKIEENDGKTALFDSILETQKLKQTITSMEESGTKSAELDFAMEDSGNASPESGERYNTPSKDFVCPITCNIFYDPVTLETGQTYERSAIQEWLERGNSTCPITGQKLENTQLPKTNYVLKRLIASWLEENPNFALDKPIDEADPLVVLTSPVSVISQASIDRGMKEVRRAIVNLYASEVLEEAEAAVLCVERFWLEENVEMDIQLMLLKPPVINGLVEILVNSVNEQVLSAAIFLLSELGFKDAAVIQTLSRVESDVDCIVTLFKGGFMEAVVLIYQLGLSSQSLQEMDMVGSLLNAIKKNERDVNKMRLSHKSAAVILLRKILGKSKEGSLIAVVVLAENAIECIIGSLKAKQVEERISAVGILLRCIQEDGRCRNIIADTADLALVLESFIEVSNHEQFEIITFLSELVKLNRRTFNEQILQNIKDGGEYSTMHSLLIYLQTARRDQSPVVAGLLLQLDVLVEPRKMSIYREEAMDILISCLGDSDFPSTQISAAETIMSLQGRFSTSGRPLTRYFLLERAGFTKGHRKSIQRDTIRSAPGEVELTREEERAADEWERKMAFVLISHDFGLLFEPLAKGLNSKFAALFSACFVSATWLSHMLRSLPDTGILETARVCLLDHFLSIFTTTTDVEEKTLGLLAINSFVHEPDGLQCLSSNMKDIMRGLRELKRSTPLAFEMLKVLCEEQDLTSEFWCHQELFQVDCSTNGEVLSIAYFKDKIISGHSDGRIKVWAVRGTNLHLIHEVQEHSKGVTSLVVLEFEEKLYSGSLDKTIKVWSLGSDTIQCIQLHDVKDQIHNLVVSKTVACFIPHGAGIRVYSWGGESKLLNSSKHVKCLNLVGGKLFCGCHDSSIQEVDLATGTLSYIHSGSRKLLGKANPIQALQVYDEQLFSASTALDGAAVKIWSTSNYGMIGSLTTSLDVRSMAVSSDLTYLGGKGGMVEIWSREKHNKIDTLQMGRNCKIVCMALDEREEVLVIGTSDGRIQGWGL >KGN64897 pep chromosome:ASM407v2:1:9831107:9832663:-1 gene:Csa_1G145980 transcript:KGN64897 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arogenate/prephenate dehydratase MHLQSLTLSSSHSLLKSIPHFPTSTSTSTSTTPAVRLRIQSVYRYDPVTYPNAIGSSRADWQSSCAILSSQSQRLLSQDDPSSSSSSSSSSDHISSVNGHNSTIENLNLVPIQNLSDSTSLKPQPKPLTITDLAPPPMHGSNLRVAYQGVPGAYSEAAAGKAYPNCDAIPCDQFEVAFQSVELWIADRAVLPVENSLGGSIHRNYDLLLRHKLHIVGEVQLPVHHCLLALPGIRKEYLTRVISHPQALAQCEHTLTKLGLNVTREAVDDTAGAAEFVAMNDLRDTAAIASARAAELYGLDILANGIQDDSGNVTRFVMLAREPIIPRTDRPFKTSIVFAHEKGTSVLFKVLSAFAFRNISLTKIESRPHRSHPIRVVDGADAGTAKHFEYLFYVDFEASMAEPRAQNALAEVQEFTSFLRVLGSYPMDMTPWSPSREE >KGN65001 pep chromosome:ASM407v2:1:10790648:10796148:-1 gene:Csa_1G173210 transcript:KGN65001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVEESHNPKMDPPCSSSACNPPEPPLSKNARKKLLKQQRYEAKKADKKLQAKEQKKKDIERKRKEWEEKLASVTEEERAKLIESRRNLRKERMGKRSEDREKKIERLSTARDYGQNIVIDLEFSHLMTPWEIHSLVQQIMYCYAVNGRCPSPCHLWLTGCNGEMETQLQRLPGFDKWIIEKECRSYIDALQEQKENLVYLTADSETVLDDLDLKKIYIVGGLVDRNRWKGITMKKAQEQEIQTAKLPIGSYLKMSSSQVLTVNQVIEILLKYLETRDWKDSFFEVVPQRKRCGGDSNSDHPVDGEENGDGDNKNETKKTKCDEVSSSDNNL >KGN66315 pep chromosome:ASM407v2:1:22655563:22657193:1 gene:Csa_1G597130 transcript:KGN66315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRILNWMQGKKPSGRKGSKRTTSNSINDEIVHKTRPEEFSNWSHVLLAIGTFGDENLNEARPKRSQENSSSSLQQHLKDLTPEELNILQKEFNLLLAEHLKQSGPTLEFEVSKHCPSNIFLNRQLTFGSETTKKELCYEELIKKSNIFQHVILSKGKDVGVDANDTAIIGKRTLSLLLKKIFVCGGGTAPPAVVTPPLRPALESKMEKILRTILQKKIYPRSSNVRTSSKKKYLRKKNKQRDENEDEKNDKTSDGSKWVQTDSEYIVLEI >KGN66487 pep chromosome:ASM407v2:1:24143589:24144234:-1 gene:Csa_1G613590 transcript:KGN66487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRGEARRRARKTTTTQLKNKKIKKNIKNNNIKLEEEEIEKGTSSSTTINFEEKSVSRRSCDFEEEEEEEECCSTPKAERFKIPEIKICPPAPKKRRLFSNSNSNCSLQRPSPIAFFASPDIELFFFFSSQ >KGN66847 pep chromosome:ASM407v2:1:28232561:28236522:1 gene:Csa_1G701230 transcript:KGN66847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTKPPKKDLDSYTIRATNKIVRAGDCVLMRPSETSKLPYVALVEKIEADNRNNIKVRVRWYYRPEESIGGRRQFHGAKELFLSDHYDVQSAHTIEGKCIVHSFKNYTKLDNVGAEDYYSRFEYKAATGAFTPDRVAVYCKCEMPYNPDDLMVQCEGCKDWYHPACVSMTIEEAKKLDHFVCSECGSDGDIKKNENAFSASPVADGKLESKRRKR >KGN66318 pep chromosome:ASM407v2:1:22664916:22667203:-1 gene:Csa_1G597160 transcript:KGN66318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSISSTATALSSFPIRDSYHRSNFPGNFPNHKLRRDYSDLKAAKSGVSSVCEPLPPDRPLWFPGSSPPEWLDGSLPGDFGFDPLGLGSDPETLKWFAQAELMHARWAMLAVAGILLPEWFESLGLIQNFSWYDAGSREYFADPTTLLVAQLALMGWVEGRRWADLVNPGSVDVDLKLPHKKKAKADVGYPGGFWFDPMMWGRGSPEPVMVLRTKEIKNGRLAMLAFVGLWFQAIYTGQGPLENLAAHVADPGHCNIFSAFSSGSG >KGN66168 pep chromosome:ASM407v2:1:21625104:21626059:1 gene:Csa_1G574850 transcript:KGN66168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIERRQAMAAKKFWNMVRAIVLMLRKELSKGRIVMSDLHLMMKRGKLAGKAMFNLMHIHQTSGTLSCRTATDIAQSIAIAPQDYEFSCSNSPAFPALQIYGGKRSSGKHHHQKYNDVTTVSAVKRVLEMLNNEAATAAATAVEVEVASPSPMVKFGMSPMAVRVTDSPFPVKDECGDHKVDEAAEAFIKRFYSNLKQQRITTGMESPSPFHRMWGR >KGN64831 pep chromosome:ASM407v2:1:9143223:9148159:1 gene:Csa_1G120470 transcript:KGN64831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKLSFHLLFCSIFYALVLLLSRPAVSQEVDNESGFNYNEDGNKGPSHWGELKQEWHECKTGKMQSPIDLSHQRVQIVHKFVDSKIAYNPTNATLMNRGHDIMLKWSDDAGYIEINGTRYFLKQCHWHSPSEHTINGEKFALEAHLVHQSHNGNIAVIGILYDIGHSDYFLSTIKEHLEEISETNEYIELNDIDPSLVEMKSSIYYQYYGSLTVPPCTQNVLWIIVKKVRSVASYQLELLRVAVHDDSNTNARPVQPLNNRIIQLRFRSQHNEKEH >KGN63467 pep chromosome:ASM407v2:1:212082:214957:1 gene:Csa_1G001390 transcript:KGN63467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASLREPSYRRAPDEPDLDLYTIPSHSSWFSWDDIHETEKLALKEFFDGSSISRTPRIYKEYRDFIINKYREEPSSRLTFTEIRKSLVGDVNLLHKVFAFLETWGLINFGATSDDDDLAEVEDGESSVIKIEEGVPNGIRVGAMPNSVKPISAPPVVEDSVIVNGSGFKLPPLTSYSDVFGDLLKQKILVCGNCGQLCGSRYHQCAKDDYSICENCFKDGKYGEKRLLEDFELKTTEFTEDRSSTGAVWTEAETLLLLESVLKHGDDWELVAQNVQTKTKLDCILKFVELPFGDSLLCSETQRNEVSGPNNNVTSEKETTDGPPETTEAPPNKQEIAGSEDQCTKDINEDEDGENQGPPKRQCTASIQDTSSSLMKQVALISSMVGPQIMAAASTASVTALCDENSYPKEIFDDQNFFVTNGLCSAASTTSNHEVERILNNEDSVAKERPQSGDIMAEDKDDIALILRVRAAIATALGAAAAHAKLLADQEEREMEYLLGIMIETQMKKMQRKIKHFEDLELIMETEYPVIEELEDELLMERVSVLQSAFDLGIPRWKDYPSVRS >KGN65330 pep chromosome:ASM407v2:1:13884706:13888453:-1 gene:Csa_1G329910 transcript:KGN65330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSIALDSLFIRSSTKSSHPPCLAAPTNPLLPSPHHIQIEIIIIVVIFWIPLSKTTAYSNEELHHRRSTRN >KGN65177 pep chromosome:ASM407v2:1:12592967:12598871:-1 gene:Csa_1G257340 transcript:KGN65177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKGDQTVLSLRPGGGRPRLLGPRFDLSSSSSSSSSFAFGSFSPDLPTLRPHAASASSASFSVKGGDSRFEGRERVRYTRDQLLQLREGVEVPDDILKLKREIEVEIFGEEQSWSRGESNQLNQSQNRYSEPDNRDWRGRSAQFSPSGEERSRETNRDRDFGGHFDSRQQDANNLNRQDSHSSWTRTTSNQGGAPPTLIKAEVPWSARRGNLSDKDRVLKTVKGILNKLTPEKFDLLKGQLIDSGITSADILKDVISLIFDKAVLEPTFCPMYAQLCSDLNQKLPQFPSDEPGGREITFKRVLLNICQEAFEGADKLREEVRQMTAPEQEGERRDKDRLVKLRTLGNIRLIGELLKQKMVPEKIVHHIVQELLGPDNKTCPAEENVEAICQFFNTIGKQLDENTKSRRINDMYFGRLKELTTHTQLAPRLKFMLRDVLDLRANNWVPRREEVKAKTITEIHSEAEKNLGLRPGATVTIRNSRVTSGSAGNTSPGGFPINRPGFGGMMPGMPGTRKMPGMPGMDNDNWEVPRARSITRGADGSGIQYAGRGQPLVGKAPTLNARLLPQGTGGLINNKTSALLQGQGAGGSTARPVNFGHGLEPAGQVHKPITAVTVTPLAERPQAPVASLKQDDLKKKTLSLLEEYFSIRFLDEALQCVEELMSPAYHPEVVKEAISLALEESPPCVEAVVKFLDYLFSKKVFTTSDIETGCLGYASMLHDAGIDLPKAPINFGEIIGKLVLSRCLDFQVVKEAIKKVEDDRFRKDVFDGVLQTISSNVSGRGLLDSLASDIEDCRSLAY >KGN66691 pep chromosome:ASM407v2:1:26501174:26501494:-1 gene:Csa_1G659620 transcript:KGN66691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHATSSSSTLHQRKTLVAVSPHLSVSHSAGPSLSSALVVESSLFVDHVPSSPPLLKPLSGAKCYPSKEAASRADSLLRPSRL >KGN64640 pep chromosome:ASM407v2:1:7354505:7357902:-1 gene:Csa_1G073000 transcript:KGN64640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEGYGWSPIGAPLDVQKEDHWRHFDNSVDAVSFGFVATAILVSMFLLMAIFERFLRPTPTAFSHAGGRTHLDPEAQMGFAGKLAHPSPKMTVYSCGVSVLMPGDHIPTYIAHPAPVPCAPERIPWPQHQHNDISYVTNSSHFIENSSSS >KGN65653 pep chromosome:ASM407v2:1:17270936:17271487:1 gene:Csa_1G479100 transcript:KGN65653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNLLQKSIALAKNEQEHTAETPYLSPSRDPISLAHQLKGASMKNKRRWRSCGSRRRNIDGSSTGDEVSDESAEYFFSHESRQSCGINKALKNLSFDTTAFWWKGRDLPL >KGN64787 pep chromosome:ASM407v2:1:8556863:8558548:1 gene:Csa_1G097660 transcript:KGN64787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERKNWRFVKQVVEGRWFTIFASFLVMIGCGSPYLFGTYSKLLKTKFNYNQTQLNTLGFAKDLGSNLGVFAGLFAEILAILFFFFHGYPPLIIQAPKYPHERKVFYHFLYIAITIAIFILFLTITQRNTVFSHGNYIGGVVVIVVLISLPLLIAIKEEFFLFKLNQQTKDPSVVSIPVQKLEEIPETSLPLSLSNNLSNPQRGEDFSILQALFSIDMTLIFIATISACGSSNGKGLTCKGAHCFSESFIILVGVTTFGAMASFVLAYRTREFYKGDIYKRYRDEQMWVKLKDDQHTKKVDDGSK >KGN65285 pep chromosome:ASM407v2:1:13413616:13417291:1 gene:Csa_1G295140 transcript:KGN65285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYAADSRWSTAAMSVTLAFISASAIISLYLVTKKSRELGTKVRQLEASLAASLKKTAAERQGRIRAQQALRKAVAEPKSDSVKCTSYPMTPIGTIQSSFTTRNGTPRQSLLVPLARARLVFSARIPPASIEGLGEYSHCWVIYVFHLNTDLDKLWKDPSKSKFKAKVRVPRLKGERVGVFATRSPHRPCPIGLTVAKVEAVQGHEILLSGADLVDGTPVLDVKPYLPYCDSVQNATVPKWLLGDNVLTVASICFSEEFTSTLSKCWPLTEKKSLYASEDELKNFVKQVLSWDIRSVSQRSRPHCSHIKTDSDDSSDSDGHLNDKVSSSSQSSSKSTIYHLLVDGLDVSYTIGCHGDVVVDKVELASSSSRTRSN >KGN66739 pep chromosome:ASM407v2:1:27103983:27107622:-1 gene:Csa_1G666980 transcript:KGN66739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRNHLKNASSTNTLLFLITCAGLLGAALIADLLWTSSSSFSIASTWAIGRTKLYVIPHSSTNNATQVDDKETDSRKFLTGTFFDLPAPDLEWEEIPSAPVPRLDGASIQINNIFYVFAGYGNINYVHTHVDMFNFSDNKWIGKFDMPKEMAHSHLGMACDGRYVYVVSGQYGPQCRGPTARTFVLDTETKKWNSMPPLPAPRYAPATQLWRGRLHVMGGSKENRHTPGLEHWSIAVKDGKVLEKKWRTEVPIPRGGPHRACIVVDDRLFVIGGQEGDFMAKPGSPIFKCSRRHEVVYGDVYMLDNEKKWKTLSPMPKPDSHIEFAWVVVNNSIIITGGTTEKHPITKRMILVGEVFRFDLDSFTWSVIGKLPYRIKTTLAGFWDGYLYFTSGQRDRGPDNPQPRAVVGDMWRTKLKF >KGN63653 pep chromosome:ASM407v2:1:1378131:1378392:-1 gene:Csa_1G009080 transcript:KGN63653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSTGELLRKFRKLKDNKNKKSRGMGDEPTRPGPVGQPSLLANLGIKAVDSILLRI >KGN65256 pep chromosome:ASM407v2:1:13169687:13169989:1 gene:Csa_1G276440 transcript:KGN65256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVTAEEVHGFEEEEEEDGDGGDDDDDEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEGYNGVL >KGN65919 pep chromosome:ASM407v2:1:19705187:19707180:1 gene:Csa_1G538170 transcript:KGN65919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLRPYGKVDETDQLRLDARRRTRRRIVVIVLSSVVLVAVVVAVVFGTRNASNNNDDSNNQNGSLSTSIKAVCDVTLYPDTCQKAFGPIANSSHLDPGQISKLSVQLALGELSKVADYIFDHAITKTADNKTILALKNCHELLDLALDHLNISLSSSDITLLKAVDDLKTWITSAATYQQTCIDDLAEVDPALADLVANFLKNSTELTSNGLAIVSFFSKLTDSLSLRRLMSYENHQSNGDWARPILRKLAQSDIRKHADIVVAKDRSGKYKTITEALGAVPDKSKKRTVIYVKKGIYKENVEVVKNKWNVVMVGDGMTATIVSGNLNVVDGTPTFSTATFAAKGKGFIAIDMGFINTAGPSKHQAVALMSTSDQSIFYRCEMNAYQDTLYAHSNRQFYRECKVYGTVDFIFGNSAVVLQNCTIAPRLPLLGQKNTITAQGRFDPNQNTGISIQGSLIQPFNDLKTTETYLGRPWKNYSTTVFMQSNLGRLIHPTGWLPWIGTSAPDTIFYAEFQNYGPGSSTSKRVKWKGVKNIDTKTAKKFTVSSFIDGKDWISKAQVPFTATL >KGN66255 pep chromosome:ASM407v2:1:22297335:22297928:1 gene:Csa_1G589130 transcript:KGN66255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDAGCNPNSVTFNNLIRVHLRAGRTEKVLQVYNQMKRLRCAADLITYNFLIETHCKDDNLGEAIKVLNSMAKNDCTPNASSFNPIFRCIAKSQDVNGAHRMFARMKEVGCKPNTVTYNILMRMFAVPKSADMIFKLKKEMDEEEVEPNFNTYRELIALYCGMGHWNHAYMFFREMIDEKCIKPVKGLYKMVLVKAF >KGN63919 pep chromosome:ASM407v2:1:2983085:2992841:-1 gene:Csa_1G025990 transcript:KGN63919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHKRSSSFHFTIVITVSVPPSPLKPTQTAPINLLCIILCYRYSPSAPQKPTHLSSRSWQDSTLSSLKMSDSQKFQLGTIGALSLSVVSSVSIVICNKALISTLGFTFATTLTSWHLLVTFCSLHVALLMKLFEHKPFDARAVMGFGILNGISIGLLNLSLGFNSVGFYQMTKLAIIPCTVLLETLFFRKMFSKSIQFSLMILLLGVGIATVTDLQLNALGSFLSLLAVLTTCVAQIMTNTIQKKFKVSSTQLLYQSCPYQALTLFIAGPFLDWCLTDLNVFAFKYTPQVLFFIVLSCLISVSVNFSTFLVIGKTSAVTYQVLGHLKTCLVLAFGYVLLHDPFSWRNILGILVAIVGMVLYSYYCTLESQQKSNEVSSAQLSQAKENESDPLISVENGAAILGDSVGPKAPAWSSNKDLHA >KGN63864 pep chromosome:ASM407v2:1:2630605:2630964:-1 gene:Csa_1G024960 transcript:KGN63864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGSILPPPAPSGITGLTIYLSGAQGQVVGGVVVGALIASGPVVIMAATFMNATFDRLPSDDEEVAATMQSQHYGQNGRSHHHLDVSDLYGVPQNLITNSSLPPELYSWATAGRTMSKT >KGN64069 pep chromosome:ASM407v2:1:3901329:3903420:1 gene:Csa_1G039830 transcript:KGN64069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLEQEFLFLIPSTMANNLNLFLCFLLFVCFFTLCLSPGGLAWALISKPKNQPIIPGPSGTPFLGQVSTFTNSLTHRLLANLAHTLKAKSLMSFSIGFTRFVISSNPITAKELLNSSAFADRPIKESAYELLFHRAMGFAPYGEYWRNLRRISSTFLFSPKRICGFGVLRERIGVKMMKEIEGLMVKNGKVEVRKLLHFGSLSNVMESVFGKSVEFGDWEIEELVSEGYDLLGSFNWSDHFPVLGWLDLQGVRKRCRVLVGKVNVFVGKIIEEHRMKRRVIVGDEENNNNNNNPNDNEDGDFVDVLLDLEKENKLTDSDMIAVLWEMIFRGTDTVAILLEWILARMVLHPDIQAKLRSEIDQVVMKTGRNISNLDLPNLPYLHAVVKETLRMHPPGPLLSWARLAIHDTHVAGHFVPAGTTAMVNMWAITHDDQIWPDPTIYNPNRFIKDDVAIMGSDLRLAPFGSGRRVCPGKAMGLATVELWLAQLVHKFEWVQASSSKNKVNLSECLKLSLEMKHPLICRAIPRNDVGFESHP >KGN64078 pep chromosome:ASM407v2:1:3964961:3965987:1 gene:Csa_1G039920 transcript:KGN64078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACFLLCSKSQQEIPISDSVENVQKRELLGSSVSGEEDFSSDNSKVALCQNGNRVMVVVDWSVEAKEALEWTLSHAVQKNDTIVLVHVLKSLKLQRESFIGFEFGNKVNYIKAHKLLFSMRSMCLKTKPEVQVEVALLEGKERGPIIVEEAKKHKLSLLVLGQRKRPLLRRLLNRWAKRRSRRRKKKKTCRATAEYCIQNSSCMTIAVRKKSKRIGGYLITTKSHKNFWLLA >KGN65831 pep chromosome:ASM407v2:1:18861887:18863436:1 gene:Csa_1G533380 transcript:KGN65831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCEEEILIIRSYDGQSADRGRVEDLERRCEVGPSERVFLFTDTMGDPICRIRNSPLYKMLVAEVDNQLVGVIQGSIKVVTVHQAPKDRAKVGYVLGLRVAPSFRRRGIGCSLVRRLEEWFMINDVDYAYMATEKDNEASVKLFINKLGYTNFRVPAILVNPVKHYRSYQLPSNIQIARLKVDVAEFLYRKFMASTEFFPHDIDHVLKHKLSLGTWVAYYKDDDVSSTKFETNGSKSEITIPKSWAMLSVWNSGEVFKLRLGKAPLSCLIYTESSKVIDKIFPCLKLPSIPDFYEPFGFYFMYGVHREGTGTGKLVRALCQYVHNMAAAARDCKVIVTEIGGEDSLREEIPHWKLLSCPEDLWCIKALKKEARNSLHELTKTPPTTRPALFVDPREV >KGN64681 pep chromosome:ASM407v2:1:7608102:7608718:-1 gene:Csa_1G073880 transcript:KGN64681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEELGDLVGILEGKRARPMEKPVWLREMLVELNRLKRKSREEMEEKRRRGKERRRNWSLNGAIGGEEDRGRGRGRGRGRKSNKRGRRNQVGLVGGKKVVFQILKSSSVVLKRKP >KGN65149 pep chromosome:ASM407v2:1:12418526:12418840:-1 gene:Csa_1G248130 transcript:KGN65149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSNNVASFQVMPAPCRLSFKPMYTTTLVIPYCRRVDQKLVKHFFRRSDLTSKLAYCRCVDFVDESCVSQTFMPPTFCSDLRHANHIAGTNVPFCISVAFTDT >KGN65000 pep chromosome:ASM407v2:1:10780413:10787443:1 gene:Csa_1G173200 transcript:KGN65000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSRGNFQSDEDPTQRSRRKKNSSSGDNLESTTPGQGTTEGKKALYHCNYCIKDITGKIRIKCAMCPDFDLCIECFSVGAELTPHKSNHPYRVMDNLSFPLICPDWNADDEILLLEGIEMYGFWNWAEVAEHVGTKSKEQCIEHYSSVYMNSPYFPLPDMSHVVGKNRKELLAMAKGHGEDKKGFSMLGELNLKAESPFSPSRVKVEDTHKVDPSGRLSSSSTSEEGSFNMATATANKKASSANQVKDSLVKVEDSQTDRIFKGKKPNIQANKGPSLLELSGYNEKRQEFDPEYDNEAEQLLAEMEFKDADGEDERELKMRVLRIYSKRLDERKRRKDFILQRNLLYPSSFEKELSAEERAICRQYDVFMRFHSKEEHEELLQTIVAEHRTLKRIQDLKEARLAGYRTPAEAEIFLDKKRKRESEEADRRVKDGNLTGPGSQGNSIMFIPSESAGKDSNSRPAVQALSGSVNDFDMLGFNGADFLSEAEKRLCSEIRLTPPLYLRMEEVLSVEIFNGNVTKKSDAHHLFKIDPSKIDRIYEMLIKKGIAQS >KGN66813 pep chromosome:ASM407v2:1:27945842:27952494:1 gene:Csa_1G696460 transcript:KGN66813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWDRKREAFSTYKRLSLKDNSDSIDMEDNSAHLQNGKDFEASNPSWSLSLPHVLVATLTSFLFGYHLGVVNEPLEIISADLGFNGNTMAEGLVVSTCLGGALIGSLLSGWIADGVGRRRAFQLSALPMILGAGMSAMTKTLAGMLLGRLFVGTGMGLGPPVASLYVTEISPAFVRGTYGSFIQIATCLGLMAALLIGIPVKDIADWWRTCFWVSTIPAALLALAMVFCAESPHWLYKQGRTEEAETEFEKLLGGSHVKSALAELSKFDRGDEPDDVKLSELLFGRHFQVVFIGSTLFALQQLSGINAIFYFSSTVFKSVGVPSNLANVCVGLSNLAGSIVAMLLMDRLGRKLLLLWSFSGMAVAMAVQVVAGSYHYSDSGALYLSVGGTLMFVLMFALGAGPVPGLLLPEIFPSRIRAKAMAICMSVHWVINFFVGLLFLQLLEKMGPQLLYSGFATFCLIAVAFVKRNVVETKGKSLQEIEIALLPQDQ >KGN64000 pep chromosome:ASM407v2:1:3548197:3549617:1 gene:Csa_1G033200 transcript:KGN64000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPPCCDKIGVKKGPWTPEEDIILVSYIQEHGPGNWRSVPTNTAIASYLPQRTDNDIKNYWNTHLKKKLRKMQSLGGGGGSEGSGVQSQSNTTLSKGQWERRLQTDIHTAKKALCEALSLEKKPDLEEYYYNNNLKILQESPTAPTPPPQTITTTYASSAENIARLLENWMKKSPTKSSEITTTTQVCMSEEGSQISATNNYNNNSPDHQNPNNDNNNNLEGFFNNYSSHSTTNNQEVVPLTFLEKWLFDDAALAHVHDDDHHLISVSLDEAQSEGLF >KGN65324 pep chromosome:ASM407v2:1:13827277:13827600:-1 gene:Csa_1G326870 transcript:KGN65324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEAAIANMKPFGRVAVCGVISEYTNSKKAVPNMVDLVYKRINVQGFLAGDFLDVFPNFVSKVSQYLHSGEIEPLEDISVGVENIPSAFIGLFKGDNIGKKIVKFAE >KGN66285 pep chromosome:ASM407v2:1:22508701:22509968:1 gene:Csa_1G595872 transcript:KGN66285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDIRSLIEQVLVQHPANLYAANGAGVILAEKGQFDVSKDIFTQVQEAASGNIFVQMPDVWINLAHVYFAQGNFSLAVKMYQNCLRKFYYNTDYQILLYLARTYYEAEQWQDCKKTLLRAIHLAPSNYTLRFDAGVAMQKFSASTLQKTKRTADEVRSTVAELENAVRVFSQLSAASNLHFHGFDEKKIDTHVGYCKHLLEAAGVHLKAAEHEEQQIRQRQELARQVALAEDARRKADEQRKFQVRIVLLH >KGN66049 pep chromosome:ASM407v2:1:20879444:20883000:-1 gene:Csa_1G569260 transcript:KGN66049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKKFSTEDVSAQNQVKASVQRKIRQSIAEEYPGLEPVLDDLLPKKAPLIVTKCQNHLNLVVVNNVPLFFNIRDGPYMPTLRLLHQYPNIMKKLQVDRGAIKFVLAGANIMCPGLTSPGGVLDDEVEAETPVAIMAEGKQHALAIGFTKMSAKEIRATNKGIGVDNMHYLNDGLWKMERLD >KGN64376 pep chromosome:ASM407v2:1:5759405:5760952:1 gene:Csa_1G050150 transcript:KGN64376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAATSSITNFFSFLSISKSSQIHHNSVSINLQSLPNSKLTRLFASRGNVASSSQEPKGVPLRILNYNILITVGENESEDQVVNRFRRQVLRAGILQECKRRRFFENSQAKRKRKAREAAKRNRKRRFRRPQSITGEQPDQKTPESKNRDEEDDNWDFYQVDLPYSP >KGN64380 pep chromosome:ASM407v2:1:5779091:5780753:1 gene:Csa_1G050190 transcript:KGN64380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGRIRSSSSPDSLERPPSKILKDDCLSIYETTLMKLKLGSQLDSSPPIKEDALEDGEIDSDSCSLSNEAMNTEACTSFPKDSNELVKSTKEDIMTVDSDYLTLETFEDCQSTERSTQRRMTNSILYLFSKFKNSSEISTIMEEPTTTEDFCSVVMSPCPSASGSTSDVELHSEQESIASSTQIVGMT >KGN64131 pep chromosome:ASM407v2:1:4299337:4301060:1 gene:Csa_1G042430 transcript:KGN64131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANDPLKHSPSQFSQIFHVFFLLVGFSIGMAVSLNLKSFSSFNIQLPNFSLPSSPSTTLVFIRQQQPPPASSSPPPLQPPSPSVDSSEMNSFFEVNVEPPLMHRMSDDEVFWRASMVPMIKEFPYERVPKIAFMFLIKGSLPLAPLWEMFFKGHEHLFSIYVHTHPLYNVSSSLPPNSVFYGRRIPSQAVQWGRPSMIDAERRLLANALLDFSNERFILLSETCIPLYNFTTIYNYLINSQYTFVSSYDDPRKIGRGRYNPRMFPVISIADWRKGSQWIEVDRRVAIEIISDSTYYPVFREHCGPPCYMDEHYIPTLVNIVLPDRNSNRTVTWVDWSKNGPHPGRFGRREISVELLNRVRFGFNCSYNDGNETVSLCFLFARKFMPDSLQPLLKIWPSLLQGLI >KGN65100 pep chromosome:ASM407v2:1:11700751:11703681:1 gene:Csa_1G217850 transcript:KGN65100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRKRGSDQTHHDPPLHLSGMVPFSNQTDVRSEEDTSLARPIFMKRSRHHHSHQYCWRGSTSQANASASRENRVRTVLEERPAFKFAAHYNSEFPDRIESIESTFREPERIRYNSLGKDAFSSHVMRMICGICQKLMRRKLCFLGNTLSSSELPVAAVLVCGHVYHAECLENRSSVEDRSDPPCPLCTKPPPEVDDSKRGEQE >KGN66444 pep chromosome:ASM407v2:1:23772913:23775291:-1 gene:Csa_1G605730 transcript:KGN66444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACMISHIFLLLPSVVYAQSNSMLNVGGSLIAGDASASPWISPADHFAFGFREVDDGLFLLCIWYNKIDEKNIVWFAQHDQNPVPKGSKVEVTASNGLLLKSSQGGELWKSGPISSVVAFGTIYDTGNLVLLDSNTTPLWESFNQPVDTLLPTQKMEVKDFLSSRKSQNTYSLGKFQLRFSEGNLVLNMRSLPTTYAYEPYHVIQAFEGNQVVFDEDGFLYIIQRNGKRVNISEPESAYPANTHYYQVTLNFDGVVTVSHHTRNPSAFNATWIHFKTIPNNICVAMRGNLSSGACGYNSICTLNNDQRPSCNCAPGYSLIDLNDKYSDCKPIIQPICEDGENNSTTDLYRLQDLPNTDWPTQDYELFKPFTIEECKNACLLDCFCVAVVYRDNSCWKKKLPLANGRKDSGEKSISFLKLRRNISSIGQDSNLPRSKGKKNHDTLVLALSILLSSSLLIILVLASFISRGFISHHRKKHTSDFLPRGNFGSMQKFTFKELREATNGFKEELGRGSCGVVYKGVTEVGSVAVKIFNDMFEDSEKEFKTEVIVVGEAHHKNIARLHGYCDDGKRCMLVYEFLSNGSLASFLFGDSKLSWDLRTKITYGIARGLLYLHEECNTEIIHCDIKPQNVLLDEHYNPKISDFGLAKLLKMDQSRNRVETNIKGTTGYIAPDWFKSTPVTTKVDVYSFGVLMLEIICCRRNGDMEVYEQGREILVDWAYDCYQQGRLDVLVEGDFEAIDDMGRLERFVVVAIWCIQEDPYQRPTMRQVIPMLEGIVPVSTPPSPCSFSSTS >KGN65722 pep chromosome:ASM407v2:1:18080427:18080795:-1 gene:Csa_1G521990 transcript:KGN65722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSMFLPIVLLFFISIVCSKRFAKSDVEELPKNVDLEALVFHYNGDEGGEQEYAICLCEIEEGEKCRKMKTCGHVFHKDCIDRWFKVDDHCPICRTSVCVVVVDHGGNAMASSTSLPIPYMN >KGN64868 pep chromosome:ASM407v2:1:9507136:9508450:1 gene:Csa_1G134270 transcript:KGN64868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSNGKAGEPPPPPSSSSSKEMSYVIKHGTAKRTRVLRITGRTLLGLMILVAIAMIICWLIVFPRNPDIIVETGQVIPHSLTDRKLNATIAFTVTSYNPNKKASIRMDSMRMIVSDMGLSFWSDIPSFTQPPKNKTVLTSTIQGNFIYPFGHMKELMKLEGISPELRFSAKVSYIMERWTSRDRLVEVYCDSLRLKFNDSTVFDNKKCKVDL >KGN66845 pep chromosome:ASM407v2:1:28218174:28223079:1 gene:Csa_1G701210 transcript:KGN66845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPYIADADVSPANPPSPSSTARVSSLFSQVQAHRIHQPLPLPSVLKNRFQILDGPPSSAVANPDEIAKLFPSLYGQPSAMLVPSDSSSVQVNKKLKIGVVLSGGQAPGGHNVISGLFDYLQDHARGSTLYGFKGGPAGIMKCKYVELTAEFIYPYRNQGGFDMICSGRDKIETPEQFKQAEETAQRLDLDGLVVIGGDDSNTNACLLAENFRSKNLKTRVIGCPKTIDGDLKCKEVPTSFGFDTACKIYSEMIGNVMVDARSTGKYYHFVRLMGRAASHITLECALQTHPNITIIGEEVAAKQLTLKNVTDYIVNVIIKRSELGYNYGVILIPEGLIDFIPEVQQLIAELNEILAHDIIDEDGLWKKNLTTQSHHLFDFFPQAIQEQLLLERDPHGNVQVAKIETEKMLIQMVEIELEKRRQEGAYNGGQFKGQSHFFGYEGRCGLPTNFDSTYCYALGYTAGALLQNGKTGLISSVGNLAAPVEDWTAGGTALTSLMDVERRHGKFKPVIKKAMVELDGAPFKKFSSLREQWAVKNQYISPGPIQFVGPASNVINHTLLLELGAQA >KGN65788 pep chromosome:ASM407v2:1:18530057:18536160:1 gene:Csa_1G528530 transcript:KGN65788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLWFIRGGLAAVLTVVFCFMSPPPVECLVRHYKFNVVMRKVTRLCSSKPIVTINGKFPGPTIYAREDDTVLIKVVNHVPYNVSIHWHGIRQLRTGWADGPAYITQCPLQTGQSYVYNFTITGQRGTLWWHAHILWLRATLYGAVVILPKLGVPYPFPTPHKELVLILGEWWKSDVEAVVHEAAKSGLAPNVSDAHTINGHPGPVNGCLTRGFSMPVQPGKTYLLRIINAALNEELFFKVAGHKLTVVEVDAAYTKPFITDTIFIAPGQTTNALLTADLKSGKYAISASPFRDTPIVAVDNVTATATLHYTGTISTSPTSFTGLPPQNATLIATKFTNSLRSLNSKQYPARVSLEVDHSLFFTVGLGVNRCKTCSSGTQVVADINNVTFVMPTISLLQAHYFNIDGVFTDDFPANPPIRYDYTGKQPSNLQTTKGTRLYRLAYNSTVQLVLQDTAMIAPENHPVHLHGFNFFVVGSGLGNFNHKKDPEKFNLVDPVERNTVGVPSGGWVAIRFRADNPGVWFMHCHLEVHTTWGLKMAFVVNNGRGPEESLLPPPSDLPKC >KGN65097 pep chromosome:ASM407v2:1:11657792:11663284:1 gene:Csa_1G212830 transcript:KGN65097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILNPFHSLCFAIGITFNAAVSKLPAMAVTNPSGNVQDAASKGEVPERYIHKESDRGARNAPTMAAPVIDIALLSSSSKSGPELEELRHVLQSWGCFQIKLKIFINVCDVLISYKSWNDKEVIDEYTTNVKLISEKIFKAMARSLDLDESSFLKQYGEQIKLGARFNFYPRCRNPDLVLGVKPHADGSAITILLQDKEVEGLQFMKDNEWFNASIVPDALLVNVGDQVEVKEETLVYSKITVKHVCKQSGDLIVDHKALDDLELIHQVVSRLALKHNNRYLKSPSAGEGPLNDLRLALSTWGCFQATNHSISSSFLEKLRKISEQFFSLPIEEKMRYGREVDGMEGYGNDLTFSNQQTLDWSDRLYFVTSPEDERRLDLWPLNPPSFREDLHEYTVKIMEIIETVLIAMARSLNVEPNSFTDQVGERPTLFTRFNFYPPCSTPHLVLGLKEHSDGSAITILLLDKQVEGLQLRKDDQWYRVPVPAIADSLLLVIGEQAEVMSNGIFKSSVHRAVTNSERQRISVVCFCCPEKDIEIKPVEGLIDEKRPRLFRSVKNYLETYFQNYQEGQRTVDGLRI >KGN64692 pep chromosome:ASM407v2:1:7682879:7684193:-1 gene:Csa_1G074970 transcript:KGN64692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGNCEKMVLISSSPNQWPQMMKNNNHPTSLDHDHQKGLNLIGSSSGIIMDNNKQQDQKTQQKQQHQQEPLRCPRCDSSNTKFCYYNNYSLSQPRHFCKACKRYWTRGGTLRNVPVGGGCRKNKRLKRPTISSTTTTVTHSSSSPAPTAPDSTSSSSTSCNTNPLHNIFYGSTSDLNLPFSGYDHHHHNHLQTHLNALGLGFSSPNIDHRDFNLNGFNSSSLLSGYSSLFGNNNNNPSSNSTSISSLLASKFDPNLFGNLASSQPFDDHVMGSNGGDQLGLNVKDVKLEDGMKRLNWEDDGHQQQNHQTDNEIVAQSNDDHHNSLFANNWTSQNWHHDPPNLASSITSSLI >KGN65963 pep chromosome:ASM407v2:1:20154520:20157286:1 gene:Csa_1G553510 transcript:KGN65963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYKFCSSSSTFLSRLSPPRFLFSTQSNFKTPINPTLLSSNAESVLASILQACNDHTHLPQGKQSHAQAIVSGLAQNGDLGPRVLGMYVRTGSLKDAKNLFYTLQLGCTSAWNWMIRGFTMMGQFNYALLFYLKMLGAGVSPDKYTFPYVVKACCGLKSVKMGKIVHETVNLMGLKEDVFVGSSLIKLYAENGHLSDAQYLFDNIPQKDSVLWNVMLNGYVKNGDSGNAIKIFLEMRHSEIKPNSVTFACVLSVCASEAMLDLGTQLHGIAVSCGLELDSPVANTLLAMYSKCQCLQAARKLFDTSPQSDLVSWNGIISGYVQNGLMGEAEHLFRGMISAGIKPDSITFASFLPCVNELLSLKHCKEIHGYIIRHAVVLDVFLKSALIDIYFKCRDVEMAQKILCQSSSFDTVVCTTMISGYVLNGKNKEALEAFRWLVQERMKPTSVTFSSIFPAFAGLAALNLGKELHGSIIKTKLDEKCHVGSAILDMYAKCGRLDLACRVFNRITEKDAICWNSMITSCSQNGRPGEAINLFRQMGMEGTRYDCVSISGALSACANLPALHYGKEIHGLMIKGPLRSDLYAESSLIDMYAKCGNLNFSRRVFDRMQERNEVSWNSIISAYGNHGDLKECLALFHEMLRNGIQPDHVTFLGIISACGHAGQVDEGIRYYHLMTEEYGIPARMEHYACVADMFGRAGRLDEAFETINSMPFPPDAGVWGTLLGACHIHGNVELAEVASKHLFDLDPLNSGYYVLLANVQAGAGKWRKVLKVRSIMKERGVRKVPGYSWIEVNNATHMFVAADGSHPLTAQIYSVLDSLLLELKKEGYVPQLYLPMHPQLLSKSIDN >KGN66411 pep chromosome:ASM407v2:1:23355054:23359290:-1 gene:Csa_1G601010 transcript:KGN66411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVTCLIILLFLLVNVLGQSDFAALLELKKGIIKDSSGKLDSWDSMSLDSDGCPSNWFGIVCVNGRVTSLTFDNAGLVGDFDFSAITGLSLLRNLSLSNNQFTGTIAKVGLFKSLEFLDLSRNRFRGTVPSLLIGLVNLVSLNFSSNQFEGAFPTGFGKLADLKYVDVHGNGFSGDITGFLSQMGSVVYVDLSSNRFTGSMDAGVGNPSFISSIRYLNISHNLLTGVLFPHDGMPYFDSLEVFDASNNQFVGNIPDFNFVVSLQTLILGRNKLSGSLPEALLRDRSMLLTELDLSLNELQGPVGSITSTTLKKLNISSNKLTGSLPTMVGRCAVIDLSNNMLSGDLSRIQSWGNHVEVIQLSSNSLTGTLSNKSSQFLRLALLNISNNSLEGVLPTVLGTYPELEVIDLSHNRLNGPVPSTLFHSLKLTDLNLSGNNFTGPIPLYESIDSTSSSSLQSSSLKSLDLSRNSLTGRLPVELSKLNSLVYLNLSKNYFDGIIPDNLPNSLKGFDVSFNNLSGKVPGNLMRFSDSAFHPGNSLLNFPSSPSTPGYFPGLPSTMHRARMKPVVKIVLIAGLIVVAAFVVLFCIILYYRAQRLDRRSTSTNNAKEGAVEEASSVTSQSETDKKKNASIPPSGFRQDFLPPSHRVESRVGGDIWSVSDKARDFGYHESLGKGEGISSPMSFMSSSNPSPSKMQQHLDHPRALKVRSPDKLAGDLHLFDGSLMFTAEELSRAPAEVVGKSCHGTLYKATLDSGHVLAVKWLREGMAKGKKEFAREVKKLGSIKHPNLVSINGYYWGPRDHEKLVISTFINAQSLAFYLQEMERGGVLPLSLPARLKVASDISHCLNFFHNEKAIPHGNLKSSNVLLETSTMNARLTDYSLHRILTPAGTAEQVLNAGALGYRPPEFASSSKPCPSLKSDVYAFGVILLELLTGRSSGEIVCGIPGVVDLTDWVRYLARENRFDECIDKTILDLDDDEKPPKQLEDMLQMALRCTLSAAERPDMKTVYEELLVIVQ >KGN63827 pep chromosome:ASM407v2:1:2387159:2390711:-1 gene:Csa_1G024130 transcript:KGN63827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLSKTRSTLEGLVRDSSLKWLLGKRSFFDEELEEMERSPSAQRNWISELSPFANLVVRRCTKLLGVSASELQQNFNMEAIDSIKVPSNYARNFLEYCCFRALALCTQNTGYLADKKFRRLTFDVMIAWEAPASSSRPLLNIDEDASVGVEAFCRIAPAVPIISNVIVSENLFVVLTSSASSRLQFSVYDKYLSALEKVIRKMKNLSESNLLQSERSLRDEKILEMDGTVTTQPVLEHVGVSTWPGRLVLTDHALYFEALRVVSFDKAKRYDLSDDLKQVVKPELTGPWGTRLFDKAVLYKSMSLSEPVVIEFPELKGHTRRDFWLAIIREVLYVHRFINNFQIKGIQRSEALSKAVLGILRLQAIQDIYSTPPLGCESLLMFNLCDQLPGGDLILETLANMSDMKECDRTNRSSLVKGMYSISALDLVSHLGFGMGMTLSDSNENELLVGEIAVGKMTPLERAVKESRNNYEKVVMAQETVDGAKVDGIDTNLAVMKELMLPVSELGKFLLSLALWEDPIKSLAFCLVSSYIIYRDWLLYAIALLLAFMAIFMMLTRIFNQGTPVDEVKVVAPPAMNAMEQLLAVQNAISQAEQFIQDGNIFLLKLRALFLAIFPQATMKFAVFLIVMALTLAFLPTKYIVLMVFLEGFTRYSPPRKPSTERWTRRVREWWFSIPAAPVILEREKEDKKKK >KGN66436 pep chromosome:ASM407v2:1:23707970:23711139:-1 gene:Csa_1G605650 transcript:KGN66436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDGARKRERTETALNGNGGFKKSKPEMDSLSTGLGSKSRPCTKFFSTSGCPFGEGCHFAHYVPGGVKSISQMISPALPPGIRNPAPPQSFPDGVPPAVKTRLCNKFNSAEGCRFGDKCYYAHGEWELGRPNPPQDHGGMGPGPMQQPRMGGGWNAPPPPPNHGPAASFGASATAKISVDASLAGPIIGKNGVNSKNICRMTGARLSIKEHESDPNLKNIELEGTFDQINLASSMVRELIANVGAASANNAMKQHQQHQHHSGMQQSSGSANNFKTKLCANFTKGACTFRERCHFAHGESELRKPGM >KGN65344 pep chromosome:ASM407v2:1:14105264:14105575:1 gene:Csa_1G359460 transcript:KGN65344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHEPDFQIWSTLAVTATKGDVANAEMAAGHLIELDHRYVVPYIMLSNMYASMDRWKDVASIRTLVKGKNIKKFAGYSWVEIGNEVHKFTTLFRCLASIPIDK >KGN65464 pep chromosome:ASM407v2:1:15497596:15499223:-1 gene:Csa_1G423220 transcript:KGN65464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNGVDEYLVIVEDLTKGRGFLYQNIELMCMVAGHANFLCEIRWEINADQAIAMCSHILDFGLLDFAGEARWKSLGTVLFKLENFLCCLFVFSKKWIVLRSIELDLVPPNLRLELFTKAG >KGN66364 pep chromosome:ASM407v2:1:23059600:23065538:-1 gene:Csa_1G599550 transcript:KGN66364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTLLLRKGISKALSSPSSINFSSFSSSSSSLSDFLSSPQARSAVDGRRHLLGSLWSVIQRRGVKVHGSDVKVGNIIERKDRIFQVTKVDHSHEGRGKATIKVELRDVESGNKVTQRLATNESVDRVFVHEKAYIFMCKDRDAKVLLMDPDTYEQLEVSEELFGKAAMYLQDDMKVRVQLYNDTPLSATVPKRVTCVVTEAQPSMQGIQAAPREKKALLDNGMTIKVPAHIVVGDVIVINTEDDSYIERAKG >KGN63899 pep chromosome:ASM407v2:1:2874123:2876122:1 gene:Csa_1G025810 transcript:KGN63899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCCWVEDPNSEAFRRHIPRVKDYLWLAEDGMKMQGYNGSQLWDVVFAVQAILATDLVDEYGSVLKKAHNFIKNSQRKRNGIKDDNNPSIWYRLISKGGWPFSTPDNAWPVSDCTAEALKVAILLSQMPTTMVGEPIDVHNLYDAVDLILSLQNSNGGFASYELTRSYPWLEMLNPAEIFADVMIDYQYVECTSAVIQGLKAFMKLHPGYRKKDIQTCISKAAHFIETIQLSDGSW >KGN63684 pep chromosome:ASM407v2:1:1534335:1534897:1 gene:Csa_1G009880 transcript:KGN63684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIFGITFKLAVILRGGKIELHISNPNRLLGFPNYISFANTPKRGSSFSLLPPCTYKSALPIAVTASVLLFRRHNPVKAYSLSPP >KGN64977 pep chromosome:ASM407v2:1:10580474:10586724:-1 gene:Csa_1G170010 transcript:KGN64977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGRSGLDRFKKAQTLEPFAVTVNSTSHDSTQSSTKEAIQPLVPYSQSRSSHFQTINQHQSHDSQKVIGPEAGPLVGQTQQLTQVGGSQSTWQPPDWAIEPRSGVYYLEVLKDGEVIDRINLDKRRHIFGRQFHTCDFVLDHQSVSRQHAAVIPHKNGSIYVIDLGSAHGTFVANERLTKDSPVELEAGQSLRFAASTRTYILRKNDAALFPRPPLPTEVDLPPPPDPSDEEAVVSYNTLLNRYGLTKSRLPSSSEDSSPASAKEDTRHGRPTKKLRKMRVAFRDQLGGELVEVVGFSDGADVGTEPGPIGVKEGSLVGKYESLVQTTIIPKGKEPSSLRGDNISPKGVTGKLQEILNRVKNPSKSGVYDDLYGESFSGNVGSSWAYSSAGSANKLSSPPKDLIPRNHEENNRTNTNDSDDDLFGN >KGN64693 pep chromosome:ASM407v2:1:7700146:7703682:1 gene:Csa_1G074980 transcript:KGN64693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGKADGKRRLSYYEMEEEDEEDEEEDEDEEQEIDAELGFSGDFNKKKKIFTSGGSSNKKSASAFGGAPAAAPPPPCQADNCNADLSSSKRYHRRHKVCEFHAKAPVVPVTGIDQRFCQQCSRFHGLQEFDETKRSCRKRLAGHNQRRRKSSSDLHGESST >KGN66596 pep chromosome:ASM407v2:1:25469760:25469963:1 gene:Csa_1G637940 transcript:KGN66596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLQSQKQLQDLELKVDNASTHHQAQSEVLKAEMQELRLHNQELKSKNLKLKAQNKVIMRMIEQTAK >KGN63941 pep chromosome:ASM407v2:1:3210685:3212659:-1 gene:Csa_1G030670 transcript:KGN63941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGDLDAFFPAATREYAPIVDEMWKDSALQETYRRRDELHSLPDVTKYFLDRVIEISSNEYEPSDKDILYAEGVSQSNGLAFMEFYFDDRSPVSELYGENLELPPPLTKYQLIQINSEGLVDGCKWLDMFEDVRAMIFCVSLSDYDQMLSHSKGPLQNKMLASRNLFEHLVRHPCFGNTPFLLLLNKYDAFEEKINQVPLSSCEWFQDFCPVKPHNNSQTLAQQAYYYIAVKFKELYFSISGQKLFVWHTRARERASVDEAFRYIREVLKWEEEKNDSMYDIVGDESFYSTEISSSPGMNNLPVT >KGN63881 pep chromosome:ASM407v2:1:2744657:2746934:-1 gene:Csa_1G025130 transcript:KGN63881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQTQNPELFELNNGTMQVLISNLGCTITSLSVPDKDGKLADVVLGFDSLDPYLKGLSPYFGCIVGRVANRIKDGKFTLDGEQYSLPINKPPNSLHGGKEGFDKKVWQVSEYKKGENPSITFKYHSADGEEGYPGAISVTATYTLTSSTTMRLDMEAIPENKPTIINLAQHTYWNLGGHNSGDVLNHSIQLWANHVTPVDENTVPTGEIMPVKGTPFDFTSEKKIGTSIHEVGMGYDHNYVLDCGDEKSGLKHVAKVKEPSSGRVLNLWATTPGVQFYTGNYVNGVVGKGGAAYGKHAGLCLETQGFPNAVNQPNFPSVVVKPGEKYQHTMLFEFSVE >KGN64529 pep chromosome:ASM407v2:1:6700285:6701565:-1 gene:Csa_1G063530 transcript:KGN64529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFLCTNCAEEALRVLNGTQIGGQNIRLSWGRSPSNKQPQADPNQWNGGGYYGYGQGYENYSYAPAPQDPNMFYSGYGGYGNYQQPPPQQPQQQQQGGYM >KGN66394 pep chromosome:ASM407v2:1:23248302:23250212:-1 gene:Csa_1G600840 transcript:KGN66394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTTAFSPHLQVKISSKIHFNFHTPHFLPSTCPLRSDLFISSLPQIKP >KGN66702 pep chromosome:ASM407v2:1:26641792:26644189:-1 gene:Csa_1G660710 transcript:KGN66702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWKDFVVRAEKYWASSFWRAVWTSSPLEVWSHCLRHCLQRSNSLGGEDLKMMAAHLADSILAVIKNIFI >KGN63533 pep chromosome:ASM407v2:1:588876:592262:1 gene:Csa_1G003510 transcript:KGN63533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGSRFYSATDEFRLEAKWLVDPKHLFVGPRIGEGAHAKVYEGKYKNQTVAIKIVHKGETVDEVAKKEARFAREVAMLSRVQHKNLVKFIGACKEPVMVIVTELLLGGTLRKYLLNMRPRCLDTRVAVGFALDIARAMECLHSHGIIHRDLKPENLLLTADHKTVKLADFGLAREESLTEMMTAETGTYRWMAPELYSTVTLRQGEKKHYNHKVDAYSFAIVLWELLHNKLPFEGMSNLQAAYAAAFKNVRPSAENLPEELAIILTSCWQEDANARPNFSQIIQMLLNYLYTISPPEPVIPSRIFTSENTVFPPESPGTSSLMAVRDDSGDTPKAKMENNPRGCFFCSNDCY >KGN63613 pep chromosome:ASM407v2:1:1145720:1150874:1 gene:Csa_1G005740 transcript:KGN63613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKINGRKLVKRSCSFAFARSPLSSGHRRPLPLSGSHWNFSKPIAIAGFLDVIHHHANFLYKFSFLLSTSTSDLSFFSFLLLMASFFSSTFLIFVTIFAAFSTSRSSTIGVEYISRLLEIQDRERVPAYVQVAAARGVLRRLLPSHLPSFDFQIVSKDKCGGESCFVIRNHRAFRKSGDPEILIAGVTGVEILAGLHWYLKHWCGAHISWDKTGGSQLFSVPKAGLLPRIQTNEVVVQRPIPLNYYQNAVTSSYSFAWWDWKRWEKEIDWMALQGINMPLAFTGQEAIWRKVFRKFNISNSDLDDFFGGPAFLAWSRMGNLHKWGGPLPQSWFDQQLILQKKVIGRMFELGMTPVLPAFSGNIPAAFKQIYPAAKITRLGNWFTVHSDPRWCCTYLLDAMDPLFVEIGKAFIEQQQKEYGRTSHVYNCDTFDENTPPVDDVEYISSLGSAIFGGMQAGDSNAVWLMQGWMFSYDPFWRPQQMKALLHSVPLGRLVVLDLYAEVKPIWISSEQFYGIPYIWKVSISILLLNLNAHI >KGN65901 pep chromosome:ASM407v2:1:19544619:19545293:1 gene:Csa_1G537505 transcript:KGN65901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKSFPELGLTPKDCIETNWIKSVLYIAGEPPKTPPEVLLQGKPQFKNYFKAKSDFVQVPIPETGLEGLWKIFLQEESPLMIWNPYGGMMSKISENEIPFPHRKGNLFKIQYVNPWQDGDKHETEHIEWIRELYNYMAPYVSKSPRAAYVNYRDLDLGMNKDNTSLAHATEWGNKYFKNNFNRLVKVKTKVDPGNFFRHEQSIPPLAGSASFRTKKSRKVPSTD >KGN66779 pep chromosome:ASM407v2:1:27614891:27617598:-1 gene:Csa_1G690180 transcript:KGN66779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTRGSRSEKVKRIFHKFDSNHDGGLNRDEMAALVVAVNPRVKFSDEQINAILDEVFRTYGDFIQADKGLTFEGLLRTYDDGAGDVDRDFDALQLELNQDDNKAVLVTSEASSSSITDERALESQKKQRTAAWALSPNNGIVFDDTWKIVDDLEIIIKRLKAKQAKDGKLKGDNFDAYSDAGWSRELGPSSELSEKRVFWEESGHDYASFLKELGVLRTRADRARSREEAFDGHMAIGRVLYEHQLFKEALVSFKRACELQPTDVRPHFRAGNCLYVLGKYKEAKEEFLLALEAAEAGGNQWGYLLPQIYVNLGIALEGEGMVLSACEYYREAAILCPTHFRALKLLGSALFGVGEYRAAVKALEEAIFMKPDYADAHCDLASALHAMREDERAIEVFQKAIDLKPGHVDALYNLGGLYMDLGRFQRASEMYTRVLAVWPNHWRAQLNKAVSLLGAGETEDAKKALKEALKMTNRVELHDAISHLKHLQKKKLKTNGSANGEGSFIVVEASKFKTLGEKTVLRPELSNALEIRAFQKITRLNRCDVELIKKEISEHDVPVSYSGSGVPEKSIRKPSLEEILRRLLNFLKPETFQGAVKVINERILSVLDESGSGRVDLGLFFAVLAPICTGPTEKRKRVAYDALVWRPVNDGGTQIRKFDAVRYIKLLRSIYVPTQRSSEILEVHGQTDNSIVSFTEFLVMFNDSDWGFGIMSTLLKLEAGDRNRHGNHVCSVCRYPIIGSRFKEIKSHFSLCNQCYSEGKVPPSCKQEEYRFKEYGSEGEAVKDKCFCFSMQSRDDS >KGN66743 pep chromosome:ASM407v2:1:27165261:27166296:-1 gene:Csa_1G668010 transcript:KGN66743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDNCEYEVAAKVEKIYDLAYSRAKEMLGKNRQVLEKFVEELLEFEILTGKVLERLIETNGGIREKEPFFLSEYYDREVYYMLFSVYL >KGN63959 pep chromosome:ASM407v2:1:3370624:3371771:-1 gene:Csa_1G031800 transcript:KGN63959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALRPGWAVRMIIVMVITAIFFRCVNATNHSVGGSSGWDLNSNILAWSAATTFQVGDYLVFKYLPVHDVLEVNRTDFFNCRTVNPIRTHSDGETVIPLNQPGSRYFICGRPQHCLMGLKLRVQVLQRMSDPNNNSTHDSPNHEERLSPRHPPRSPPSSPPSPTIEIPPSPDIPVAPLPCICSGVAEMMMKTCPMNWRFLLLFIILAIPPYFHSLIP >KGN65147 pep chromosome:ASM407v2:1:12412719:12414431:-1 gene:Csa_1G248110 transcript:KGN65147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLKESNESLYREATAVEAIEEEDQRFKMQSMGFGINKYTLFCSILASTNSILLGYDIGVMSGAVLYIEENLNISSTQVEILVGSLNILSLIGSLASGRTSDSIGRRYTTLLASTTFLIGAILMGLAPSYPLLLAGRMIAGIGVGYALMVAPVYIAELSPSTSRGLLSSLPEIFITFGILIGYIINYALSGLPPHINWRIMLGLAGIPALAVGLGVLTMPESPRWLIMKGKSEQAKEVLLKISSNEIEAEERLRSITGAAAAGSGWHGQGVWKELLIKPTKPIRRMLIAAIGINFFMQASGNDAVMYYSPEVFRAAGIHEKRHLFGVNVVMGITKTCFVVLSAVYLDRFGRRPLLLLGSIGMTVALALLGLGSKVTKKGKGRPRWGVAVSVIALCCDVALFSIGLGPITWVYSSEIFPNRMRAQGSSLAISVNRLVSGIVSMTFLTISKEITFGGMFLVLSGIMAVASLFFYFFLPETKGKSLEEMEVLFQDKVNSTTDNNNS >KGN64080 pep chromosome:ASM407v2:1:3969849:3970825:1 gene:Csa_1G039940 transcript:KGN64080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKTSVVFGMMSITSKGKGSCKKISRHRKHSSPQAKDVVVEKKTTTTGDSSSWPQFEDEDYIVFCFKEDGAFDVIKNGNNSDTSHCIDLVSTSSRPVSRKLNYSKWDKAAKRYNNGDYVISPQKEDEGEEMKNIHLDKEENRMANHNQLIDKNSIVAVPTESSDSNYSDVANHNQMIDNHPIVAVPTESSDSNYSDVSNGSFAFPVLGWEWSGSPVQMPKSKGLQLRKHKVGCVGGFLFCCKF >KGN63737 pep chromosome:ASM407v2:1:1864950:1869348:-1 gene:Csa_1G014340 transcript:KGN63737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMAVCDAKASLEKKRKRKQRRRGNTTRDDNKGCNPAEDNASAENNVSQESLNGMCLNTDPKMRQSQLEVNLLGEKNQEKHDDSQCGEATGISVLAPLNVKILKGDDETSTSPNVDFCLADGKENSSVPKDPDGNGTIMVKRDDEHTETMDHSASSSHVESQRTRKKRRRRRKGRSLESPKKCLETNMEDENKVSLLNHSHEEQTNNHPKKFVVEVMNGVLLEESVDCSISEKTELVSANIEESSMVVAGPKKSKDFENAKMVKEDDDCSETKYSLAQSNNDDTPGKRKREVTITKGRRRRKFADTFEESLNFHVKETKKDVAFNCVNEEGATSMHGGAHTSDVKEREEFIKKKVPHSLVCNATNDNISASGFSKKKLLILDVNGLLVDFVPYFPDGYTPDFVISRKAVFKRPFCDDFLQFCFERFEVGIWSSRTWRNLNMLVKFLMRDSRHKLLFCWDQSHCTPTRFNTLENNKKPLVLKELKKIWENLEPNLPWKKGEFHESNTLLLDDSPYKALRNPANTAVFPTSYRYKDSDDTSLGPGGDLRTYLEGVYAAENVKKYVEQNPFGQKAISESSPSWKFYRNFGYAASKYIDVVLNSEFVGHDSQLLKSDQFKGAARLRPNKCYSSPHLAREKRLIPSHHCGKWNVT >KGN66166 pep chromosome:ASM407v2:1:21606754:21608289:1 gene:Csa_1G574830 transcript:KGN66166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPRNDAVFPDEDLEDDEESLDDDDDDEEEEELNDEPSPSTSSAGPLPVTSVSATVTIATPPAVSVVPPPSIVSISSADLIRQRLETATAEPLTLPGEKKPLDESRKLFQRLWTDEDEIELLQGFLDYTMQRGTTHHHQNDTALFYDQIKSKLQLDFNKNQLVEKLRRLKKKYRNVIGKISSGKDFNFKSPHDQATFEISRKIWSNTGRIGVDDNALDDDEPAPTPYATYMDHRNEEMMANCAEKKPTPTPKSRKRSRPGSGIRMEAPRSSHENLNKEKNSIYHHHHNHNNNNNANNNENVNNVAVSGLIEETVKSCLSPLFKELLNTSMGGGLCGGRAFSGLALHPIPLSFSGASLNFSGGLEMGDERWRKQQILELEVYSKRLELVQEQIKTALEDLRKV >KGN64717 pep chromosome:ASM407v2:1:7911554:7912127:1 gene:Csa_1G077190 transcript:KGN64717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSIKDPRKRQAEFPPRRGLIKIKIFRSIFRTVKSIPEGFARSRREDETPTSTSTSTSTTPAPGTSGYNSEAQPES >KGN66053 pep chromosome:ASM407v2:1:20901551:20906458:1 gene:Csa_1G569300 transcript:KGN66053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSMVEDTNLEEDQLASMTTEDIIRASRLLDNEIRILKEEMQRTNLEWDSFKEKIKENQEKIKLNKQLPYLVGNIVEILEMNPEDEAEEDGANIDLDSQRKGKCVVLKTSTRQTIFLPVVGLVDPDKLKPGDLVGVNKDSYLILDTLPSEYDSRVKAMEVDEKPTEDYNDIGGLEKQIQELVEAIVLPMTHKERFQKLGIRPPKGVLLYGPPGTGKTLMARACAAQTNATFLKLAGPQLVQMFIGDGAKLVRDAFELAKEKSPCIIFIDEIDAIGTKRFDSEVSGDREVQRTMLELLNQLDGFSSDERIKVIAATNRADILDPALMRSGRLDRKIEFPHPTEEARARILQIHSRKMNVHPDVNFEELARSTDDFNGAQLKAVCVEAGMLALRRDATEVNHEDFNEGIIQVQAKKKASLNYYA >KGN64710 pep chromosome:ASM407v2:1:7839027:7841073:-1 gene:Csa_1G076620 transcript:KGN64710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSVANLPLFAASVSTKRTTVFRFSNNKLRPIRSMRAVVQRVASASVQVDGRTVSEIGPGLLVLVGLHDSDSDTDAEYICRKVLNMRLFPNESTGKAWDLNVMQKNYEVLLVSQFTLYGMLKGNKPDFHVAMPPQRAKPFYASVVERFGKSYNPDAIKDGVFGAMMKVNLVNDGPVTMQIDSQPSKNTVEASEDS >KGN66638 pep chromosome:ASM407v2:1:25876865:25877125:1 gene:Csa_1G652210 transcript:KGN66638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQEEAIYHDFTDLESRMGRIRLDDGVPPKYKHMKHYASKIDLRVGGPSEKEEIKVPTKYSDKVKKFIKELEVEEKKKKEEERKRI >KGN64505 pep chromosome:ASM407v2:1:6577338:6581053:-1 gene:Csa_1G062310 transcript:KGN64505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGGLWQLGQSITRRLAQTDKKAVGRRFFSAESELKKTVLYDFHVTHGGKMVPFAGWSMPIQYKDSIMDSTVNCRQNGGLFDVSHMCGLSLKGKDSIAFLEKLVVADVAGLAPGTGTLTVFTNEKGGAIDDSVITKVTDDHIYLVVNAGCRDKDLAHIEEHMKAFKAKGGDVSWHIHDERSLLALQGPLAAPVLQYLTKDDLSKLYFGEFRILDINGARCFLTRTGYTGEDGFEISVPSENALDLAKAILEKSEGKVRLTGLGARDSLRLEAGLCLYGNDMEQHTTPVEAGLTWAIGKRRRAEGGFLGAEVILKQLEEGPAIRRVGFFSSGPPARSHSEIQNEDGKNIGEVTSGGFSPCLKKNIAMGYVKSGTHKAGTKVKIIVRGKAYDGVVTKMPFVPTKYYKPT >KGN64587 pep chromosome:ASM407v2:1:6987478:6989446:-1 gene:Csa_1G066560 transcript:KGN64587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEGHFKQNLEHDIKGLMSLYEASQLCLPGENRMKEAQNFSSKILREYIITNENIDKKEANHVERTLENPYHTSFSKFMVKDYFREGDELLHATNNKWVHAFQKVAKVDFNIAQNMHQQELVQFTLWWKETGLGKTLPFARDQPLKWYICSLVCLIDPCFSDERIQLSKPVSFIYLIDDMFDVYGSLDQLTLFTEAVRRWDITMTEALPECMRICFKSLYDMTNEISSKIYKKHGWNPINSLQKSWAKLCDAFLVEGRWFDCGESPSADEYLKNGVVSSGVHVVLVHAFFLLGQSITNQTVHLLDNDPLIISSPATILRLHDDLGSAKDENQEGYDGSYVNYYMIHNQDVSIDTTRKHMANLISNSWKNLNKECLSFNNPFPAAFIQASLSIARFVPILYGYDQNQKLPTLEKIVKSMLYEKVHM >KGN66013 pep chromosome:ASM407v2:1:20581439:20582941:1 gene:Csa_1G561930 transcript:KGN66013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPTPNPQRNSIPDPQTELHSSINGESLDYTDPYLSFEDVDSSCSTPYVSAPSSPTRSPVSGFFYSAPASPMHFAITKNPSLSSSSSSMDNHSSSFEFDFSSRFASHAGSTSMTSADELFHDGKIRPMKLSTHLEFPLPPLLDLEIGDEDGDGIVEFVRGRDLRLRDKFQRRRTRSMSPLRNSPIEWREEENGDGSNVECSVTADKEVSEESEVMEKVEGVASNSEASTPSISASSSRSSSSGRNSKRWVFLKDFLYRSKSEGRSNNNFWSNISFTPVKEKKSGGTNQSIAKQKFINPLVGRSSEGKKAKGAVGAKKNGVGKPANGVGKRRVPPSPHERHYTANRAYSEEMKKKTYLPYRPGLLGCLGFSSKGYGAVNGFTRALNSVSSR >KGN65597 pep chromosome:ASM407v2:1:16760336:16760740:1 gene:Csa_1G467170 transcript:KGN65597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNFSCPTDKKLFSAGRYPKSSPVKFRGDSGLEDGVSSNKPDGLIGGFYDSGNNIKFTFPTAYTITLLSWSVIEYHPKYADMNELDHVKDIIRWGTEYLLKIFVAPNATSDQTIIYSQVSHIPIKILLVQLPQH >KGN65207 pep chromosome:ASM407v2:1:12818255:12820616:-1 gene:Csa_1G264580 transcript:KGN65207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSNRSVAPAIDLIPCLKPPHRTLDFHRILVLTITFFAYASFHASRKPPSIVKSVLGPTIPVNSSTILLNLTSIESDLTSNGTGWAPFNGPDGTQLLGELDLAFLSAYSIGMYFAGHVGDRIDLRLFLVFGMMGSGICTILFGLGYWLNLHVLWFFISVQIVCGLVQSIGWPCVVAIVGNWFGRARRGLIMGIWNSHTSVGNILGSIIASGILELGWGWSFVVPGALVIFVGILVFLFLVVSPRDIGFGNSDGQIELNGEVSPVEKLEITDSEEAVLLDDVHSDSMAAIGFLEAWRLPGVAPFAACLFFSKLVAYTFLYWLPFYIRHTAVAGVHLSHKTAGILSTIFDIGGVCGGILAGFISDLIDARAVTSITFLVLSVPALVLYRAFGSISIITNTCLMFLSGLLVNGPYSLITTAVAADLGTQSSIGGNSRALATVTAIIDGTGSVGAALGPLMAGYFSRFGWNSVFFVLILSIFFAALCLVCLARTEIKEKLDEGRWFSNRQTSPTIV >KGN65274 pep chromosome:ASM407v2:1:13329224:13329631:1 gene:Csa_1G294050 transcript:KGN65274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREIENKYYFSLNEDTPGSPDSALTVYRFGGLFIITAVATWSSLLIYLTQFLHTHWPDSSNNQSPFASKMFEMVKLFYHLHFLHPSSLQTSQSRLHSVSKMAEDKTLQIDNDHHNSTEEPNILEVVNEDQAEDDA >KGN65369 pep chromosome:ASM407v2:1:14544755:14545287:1 gene:Csa_1G385050 transcript:KGN65369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDLTIEPEFDGPSVPEVCKQVFPHGFNFLPEDLSKTRTFYEFILVDSKSAEITHVPDKNDPSKIIYSKLRIFQVLTPSYWKQGMFVGKRFSQPFKPPSYNYRDYTKAWYIIFWLQAYNHSCRSSTFIPSLPTKYLLVSSLKDV >KGN63578 pep chromosome:ASM407v2:1:929965:931790:-1 gene:Csa_1G004910 transcript:KGN63578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSQAAVSFLTNVARAAFGLGAAASVLNASLYTVDGGERAVLFDRFRGVIDETVGEGTHFLIPWLQKPFIFDIRTRPHTFSSVSGTKDLQMVNLSLRVLSRPEISRLSDIFKTLGLEYDEKVLPSIGNEVLKAVVAQFNADQLLTERPHVSALVRESLVRRAKDFNIVLDDVAITHLSYSPEFSKAVEQKQVAQQEAERSKFVVAKAEQERRAAIIRAEGESESAKLISDATSAAGMGLIELRRIEASREIASTLSKSPNVAYLPGGQNMLLALNPSR >KGN65675 pep chromosome:ASM407v2:1:17473555:17473943:-1 gene:Csa_1G488750 transcript:KGN65675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLSIKSENYKKASEEEISELRRGPWTIEEDTLLIRYIAVHGEGQWNILAKQAGLKRTGKSCRLRWLNYLKPDVKRGNLTLQEQLLILELHSKWGNRYIFP >KGN65527 pep chromosome:ASM407v2:1:15995968:15996717:-1 gene:Csa_1G435720 transcript:KGN65527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLPVSIDHNCNLELRLSPSSSTFLSHPLPHSHHHPPLHHFLDDDDECNKNSHQQMTIFYNGRVCVADFTEDQAKAIIMLASRQVEDRSTNPEHKLERPSTSPDQCHREPVSLSVSGSGSGSGLSMKRSLQRFLQKRKNRIQSASPYNH >KGN64962 pep chromosome:ASM407v2:1:10470080:10471212:-1 gene:Csa_1G168880 transcript:KGN64962 gene_biotype:protein_coding transcript_biotype:protein_coding description:TASSELSEED2-like protein MSIQLLPAIARRLEGKVAVITGGARGIGEQTAKLFFKHGAKVVIADIQDHLGQTLCKDLGQSSSVFVHCDVTKEKDVETAVDTAVSKYGKLDIMLNNAGVFEESPNFDILKDDPLTFQRVVNVNLVGAFLGTKHAARVMKPAGRGSIVTTASICSVIGGIGTHAYTSSKHGVLGLMRNAAVDLGRYGIRVNCVSPNVVPTEMGRKLFKVKDGGEFPSFYWSLKNGDILREEDVGEAVVYLGSDESKCVSGLNLIVDGGFTVVNQALCSFRS >KGN65492 pep chromosome:ASM407v2:1:15742973:15747542:1 gene:Csa_1G426450 transcript:KGN65492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSGGCAANQTFTPEAASVLKQSLSLARRRGHAQLTPLHVAVTLFSSRSSNLLRQACLKSQPHQTSHPLHCRALELCFNVALNRLPTTPGPLFHGQPSLSNALIAALKRAQANQRRGCLEQQQQQQQQQHQPVLAIKVELEQLIISILDDPSVSRVMREAGFSSTLVKSNLEDSSVSSVFHCYGSSGGIFSSPSSPSRTDHHSDQRDNLIFNPGDFWQTQFLTRSSEQNPLPFSPQKRVPNTNVIAESASSLKLDIKLVFEAMLGRKRKNTVIIGDSITMIEGLISELMGRVARGEVPNELKSTKFIEFLLSPDSLSSMKREDIEMKVAELRRNIDSITSRGWGAIIYTGDLKWMVETDVREREETSFSSSKEASSYSQIDHMIEEISRLISFHSISCTKLWLVGTASYQTYMRCQMRHPTLETRWDLQAVPVPSDGSLGLSLHSFSLHGSRTTALGHNPSQVWETKPFGIGKEGQEKLSCCDCSSNHDKEVHPLKSSQQKELPSWLQPFSTQLSHLKSQEKSTMQSNESSSGSNFLNTWSNPFSTKNTMFQDSNTICFTEPSLKMSRSSNQMLKFRRQQSCITEFNFDKYQDATPSLDNLKNMEEDNKEVDISLSLGDSLFKDPKDLTKKSEATIQRDHLCKSLAENVPWQSDTIPSIAKALMSFKSKNEELFWILIEGNDKIGKRRLARAIAESIFGSVEQLCKINARGNNEENPPSKIVENAMKTQEKLVVLVEDIDQGDPQFMKFLADGFQSGKFGGMDEKDRDTRQFIFILTSGGEGGDKETDSIIPMTMNIAINTGFGALSLDQKRRAEWESPINTKHQRTIKEEEEDANPNTNTIDAVKINGSGSLSRQSSFNKLDLNLKAEEDEEAQEKTEDDNIHLVTDPESPPKKLQFQQLIHNRFVFNETPLSKREQREWFKSKIVRSFEGVFGLKKQANFSVEERVLESISSRSDCFGNGVFNKWLTEIFETSLRGVGFGGQEGADVRLCLSGKEDGAIENGFKGTALPQIIRLSFMD >KGN64429 pep chromosome:ASM407v2:1:6055439:6057021:1 gene:Csa_1G051670 transcript:KGN64429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLINNFLNLIIDRISQTAFSFVFPLYFFFKLLLFPIRSSFAEDVAGKVVLITGASSGIGEHVAYEYAKRGAYLALVARREHRLREVAEVAEILGSPFALLIHADVSNVDDCKRCVQTTLTHFGRLDHLVNNAGITSINLFEEYDDPRNVASVMDTDFWGTVYCTYYAIPYLKQTRGKIIGIASSAAWLPTPRLSFYSASKAAVISFYETLRVEIGRDIGITIVTPGLTESEMTQGKFMFEDGKMYLDQELRDAVMSVMPIEAVGSAAKAIVNGGCRGDEYVTEPPWIRMTFYWKMFLPEMVEWLNYLFIMTGSSPTESFGKRVLEFTGLKPFIYPPSVRCSQLEYD >KGN64562 pep chromosome:ASM407v2:1:6876064:6877366:1 gene:Csa_1G064840 transcript:KGN64562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLRQSIRFVNMETTFVMFVVFFLLSFPIHGRHHRISSDQYLDNPFRYCSNATFSPSSTYFSNLKSLSSSLSSNASHRLFYHNASATTVYGDYQCRGDLNATACKHCVANATTNSSQTYCPFSVEAVIWKDECFLRYSNRSFFSLLREEPLRHINNQDNFTGDGLEAFKKIVETTLNDTVRNASSSSSSHVRLFGTKEASFGSETVYTMAQCTNDLSSSNCRKCLKRAVQIIPSCCSGRRGARVLLFSCTVRYELYPFYDGVSLNISLSPSPAFSPLSSPLAPELAPPAQSPSDTNDPFPELPPPAQSPSNSIPSPPPPDTLPSSPSIPLPPSTAPSSLLSGKGKKMTVAMVIGIVVGGVFV >KGN66467 pep chromosome:ASM407v2:1:23970792:23971640:1 gene:Csa_1G612910 transcript:KGN66467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAKSYNPTQPLQFGLVTFCFTPPTKISLLSSRFPSTAKYQPLLNQNLLLIHFHFYSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSPL >KGN65660 pep chromosome:ASM407v2:1:17321855:17325199:-1 gene:Csa_1G480150 transcript:KGN65660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEILCNTSNLKPPHHSSPSFLLRSTAAAPILLPSLSFFSSVQTTTDCLYFRRSQDPAESKLRPRKHANVRSLLLVPPPLYRSPEVVVHSGCTVEPPLRAHSLQPSHSQLSRSLPTKTTREPRAVSFRFDKYCLFSTMKSKLFRWDDL >KGN63908 pep chromosome:ASM407v2:1:2906467:2914249:1 gene:Csa_1G025890 transcript:KGN63908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRRQLQCLVGLVFRGRRPFSTVPESSASSFTVSEKLIDMEKDHSAHNYHPIPVVFSEAKGSSIWDPEGKRYLDFLSAYSAVNQGHCHPKIVKAFQEQAEKLTLSSRAFYNDKFPHFADYLTQLFGYDMVLPMNTGAEGVETALKLARKWGYKKKRIPKDEAFIVSCCGCFHGRTLGVISMSCDNEATREFGPLLPGHLKVDFGDADALEKIFKEHGDRIAGFLFEPIQGEAGVIIPPDGYLKAVRDLCTKYNILMIADEIQSGLARSGKLLACDWENVRPDLVILGKALGGGVIPVSAVLADKEVMLCIQPGEHGSTFGGNPLASAVAIASLEVIKDERLAERSAKLGEELKEQLIKIQERFPQYIKNVRGRGLFNAVELKGKALSPVSAYDICMKLKERGVLAKPTHDTIIRLTPPLSISLDELKEGSKAVHDVLEIDLPKLMKEKPHTASSSDSNICDRCGRNLYASED >KGN64017 pep chromosome:ASM407v2:1:3627226:3630296:-1 gene:Csa_1G038350 transcript:KGN64017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRTFNSYPDENYANVRRSMRQTEDDHHKNLSDKDPHFDFKSNHELKFGFSSIRVMGMFVVLMMFISVIFSVSVVFRDPPSDAVIEGSDVWIPQWSSDPVDSKVGDLQTVVQPVDKLLSGLLSAKHVVGSCLSRYNSVAYQHKLAYEPSAYLLSTLRGYEDLHKRCGVHTESYNKTLEQLRSNGSSSPSDCKYLLWISFSGLGNRILTLASAFLYALLTNRVLLVDPGTDMAGLLCEPFPEVSWFLPQDFPLKDDFSSFNQNSPNCYGKIMKNSITPDSSGMILPSVVYLHLVHDYDDDDKLFFCDQDQALLQQSPWLVMKTDNYFVPSLFLIPSFEKELNDLFPNKDTVFHFLGRYLFHPTNSVWGLITRYYHAYLARASERIGIQIRVFDTGTGPFQHILDQVLDCTMKENLLPQTERQTYAIDPVSNQKSKAVLITSLSAGYFEKLRDIYWENPTVTGEIISFYQPSHEEHQQTEKSIHNQKALAEMYLLSTTDVLVTSSWSTFGYVAQGLGGLKPWILYKPENRTAPDPPCRRAMSMEPCFHAPPFYDCKAKKGVDTGKLVPHVRHCEDMSWGLKLVDDPYD >KGN66706 pep chromosome:ASM407v2:1:26686259:26690245:-1 gene:Csa_1G661240 transcript:KGN66706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHLEIHHSPHSSSGRSSDFSVSMDSDGDDDSDGDRVVPSSGNTDRNSSGDSTQDGSSVGRELVSCSTKPTKLRKSRQSHALHHLLPNIFDEKVSSRKKLRWLKRVATVKHDGTVQMEVLEGIQPENLHFETGVDDEAVDDEPLDTANVPFIPPLQIVMLIVGTRGDVQPFVSIGKRLQATCAFIQIYSWMRYTVHKLVELFLITSNCCEVPDNSLHATP >KGN66266 pep chromosome:ASM407v2:1:22375211:22384417:1 gene:Csa_1G589730 transcript:KGN66266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMSSKFEFTSSSPDRPLHSSGQRGAHMAVPLDRSGSFRESLENPNLSTLPNMSRSASAVSQGEVLNFLQCLHFGRKLVATDEKSNRQGDFSRQLQLALSMSPDDSPSSSSKGKLPSVMPEEIKRMKVSLRECSIKARERLKLFNEALSVFNKFFPSVPSKKRSRLEGYNNERSNFILSGERSARGQASKSHAIPGGAFEHEMQKSEERIKNALSNKRTRTSLVDARGMDVRNNPPVRPSGAADRERDALRLANSGAVPGEDRSLSIGVDGWEKSKMKKKRSVIKPDASSSSQSTKPVDSYDEVKQQLQQRPVSDARSRINKDNHGFRPGVANGASAVGKSDGISQQNGLGIRSSMSRSDLDSNSLVNDRRDNSIGSDKERVNLRGVNKSNVRDDFVSTSPTSNAKVNPSVRAPRSSSGIAPKFSPVVHRAIASNDWDMSNCTNKPISPVGVSNRKRMISMRSSSPPVSHWASQRPQKISRSARRTNLGPIVSSNDDNPLDSTSDVVGNDTGLGFGRRMSGSSPQQVKIKGEPLSSAAQSESEESGAAEIKSREKTRKSEDLDDKSEQGVQKVPALVLPTRKNKSVDEDIGDGVRRQGRTGRAFPSTRSLMPMTVEKIDAVGTAKQLRSARLGFDKVESKAGRPPTRKFTDRKAYKRQKHSAINVGTDFLVGSDHGHEELLAAANAVTNPGRTFFSPFWRQMEQFFRFVSEADITHLRKQGDLEGAASGPKIVSDKDAYNISHDNFEHIENEASEVPLEHIIQESKDHTVIPLYQRLLASLIPEEVADNENEDTQFDRYGMPELDEHYKPNKLSHEISPSSQFSGHSANDDHNMRRGSGSDPYMPETDRQGIPNSVRNSLNGLISNQALMPGMACSEFQYDDMPLNEKLLLEIQSIGIFPDSVPEMLQIEEEEITNDIRQLEEKKNELVSRKNSLLHKLLQSALGTKQLQEKEFERLAMDKLVAMAYEKYMACKASNASSGKNSNNKMAKQAALAFVKRTLNRCHKFEDTGKSFFSEPSFREMYSSWSVNPNGERQSDPVEGESEKSYASIQSLDARVSALAGSQNSPSHFNQNLDNHDVTSGNVLPPATHQAERTTGREELWSNRVKKRELLLDDVGNAGAPSVIGSCISSSAKGKRSERDRDGKGHNREVSSRNGTKIGRPALSNTKGERKTKTKPKHKTAQLSISVNGLLGKMAEQPKSTLSPLPKSSTSTGGSKEKDQFGLDGLDDPDSIDLSNLQLPGMDVLGVPDDLDGQGQDLGSWLNIDEDGLQDQDFMGLEIPMDDLSDLNMMV >KGN64177 pep chromosome:ASM407v2:1:4536098:4540891:1 gene:Csa_1G042840 transcript:KGN64177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGRSDDYRFMEIRDAIASINQKVNIVGVIIEFSFPRRTKGTDCFCAVKIVDQSHHKPGITANIFAESLEKLPRVASAGDIIELSHVTMKTHKGEIYAVFNKKFSSFALYEGKDGSGFLPYDASPKFRPRDLDEKFIQSLRDWLVDFELDEGSTNFSFVRDLKESEHVNLICKIVYMCESNNETLAFAWDGTDSQPISIDTRLANEIDYAHQSGPLVLPRDILCILPPVGSILRLIFDKGIEKQSFSMLNTGKWMKFMNVLCEMQVGTFQIVVTTFSKLRFTSNGDIAVQFRQRSYEERLASVLGRIPFWCFPSPSHVTEVDHNNEPFATLMDVLTYPKATAKFKCVVRVIAMYPLQAKDFRSPEGIYRVRLCLEDPTARIHALLYAEDGKQFFGGYPSSDALTRKRNKLLGVPASEKNEASTRDPPWVQCCLKSYYLNKQDVLGSRQYRIFGTRLVVED >KGN63794 pep chromosome:ASM407v2:1:2191967:2192909:-1 gene:Csa_1G015860 transcript:KGN63794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDRSPTPASLLRRIQYHAPNSPQLLGFLTLFISASILIFLTGLTLTAAVLAFIFLTPFLLLTSPIWLPVAFFLFLATAGVLSLAGLALATAAGLSWAYKYFKGMHPPGSDRLEYASRRIYDTASHVKDYAREYGGYLQSKVKDAAPGA >KGN64674 pep chromosome:ASM407v2:1:7558031:7560601:-1 gene:Csa_1G073810 transcript:KGN64674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFEHYFSHGWRKQIPASMENSEKFKPCFDCNICLDFASDPIVTLCGHLYCWPCIYKWLHVQSASLAPDEPPQCPVCKANISHTTMVPLYGRGQSAEHAEVDARGMLIPPRPSAFGNKALATIRSNNASSQQFAYGDLDQNQNYNFDVYDSYEEDSGSSLFSLEDNPVGSSHHPTAGMLGETVYGRFYWDSENIYRHPNSYGLSGSNSPRLRRQEIVAEKSLNKIYFFLFCCFLLCLAVF >KGN65418 pep chromosome:ASM407v2:1:15208663:15210358:-1 gene:Csa_1G420320 transcript:KGN65418 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger protein MGIDGDSVVKGFGGGWGVVAKPCDSCKTGPAAVYCRPDSAFLCLPCDAKIHCANKLASRHERVWMCEVCEQAPAVVMCKADAAALCVTCDADIHSANPLARRHERVPVEPFFDSTESVVKSSSVFNFLVPNETTAPVCDGAHHHEEVEVSSWLLSNSFFNSKLVDGPEIKPPSGDHLFFNEMDSFIDFEYPNPVNNHSAINDSVVPVQTKPLLTPVINQTHSPENCYDIDFCRSKLNSFGYQPQSLSHSVSSSSLEVGVVPEGNSVSDISYPMGQNVSTGADSGLPLSGSGNQATQLCGMDREARVLRYREKRKNRKFEKTIRYASRKAYAETRPRIKGRFAKRTDMLSEVDEIYGSAASSVFLTDAQYGVVPTF >KGN64550 pep chromosome:ASM407v2:1:6818031:6818303:1 gene:Csa_1G064720 transcript:KGN64550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVMDTIARHRVVAGGAIVFRGCKVNNLRATVVGSEVDDDDDLSVRFQMDGSAVRLEPHHF >KGN65082 pep chromosome:ASM407v2:1:11518251:11518523:1 gene:Csa_1G196245 transcript:KGN65082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGYSKARIRNSFEDELKVHKHESVRRQVSKNTTIGNFGREKLLNLGLSISLLKIKKRLIKFVQHQGSKVKSLVGLDQMKIDLRRHLKR >KGN65842 pep chromosome:ASM407v2:1:18960326:18965527:1 gene:Csa_1G533490 transcript:KGN65842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLCLNLNSFHPPSSSYQPPTLFDSSLPSSSSSLSCNTLKPIVVTGNPPTFVSAPARRIVAVGDLHGDLKQTRLALEMAGVLGSDTHNLWTGGQTVLVQLGDILDRGEDEIAILSLLRSLDVQARAQGGAVFQVNGNHETMNVEGDFRYVDSGAFDECLNFLEYMEDYRDHFEEAFLNWIQVSERWKDQRKSQNFWGPMNLVKKQKGVVARSILFRPGGRLARELARHAVVLKVNDWVFCHGGLLPHHVSYGIERMNREVSQWMKGLGESGNSSFPFLATRGYDSVVWNRLYSRDFGDLEDFESEQINSILKDTLEAVGAKAMVVGHTPQMAGVNCKYNCSIWRVDVGMSSGVLHSRPEVLEIRDDKVRVIRSKRDRFSRELQVVNYI >KGN63829 pep chromosome:ASM407v2:1:2397438:2400148:-1 gene:Csa_1G024150 transcript:KGN63829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKRVAKLAAMDPNEWESSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSFHLLMADHDFPSSFPLEDPNLELMASHFSSSIPNFTHFLPFSTTNTEIFSQFPPNFPENNATSFTTSPVLPSHSVFPPAPTPSVSTTTHHHFHDINNKRKSMPMDVSESTSGISTPQVSESGFNTKYSSGKGKRLKSLEKEEEKSTREVVHVRARRGQATDSHSLAERVRRGKINERLRCLKDIVPGCYKTMGMAVMLDEIINYVQSLQNQVEFLSMKLAAASSFYDFNSEADAISKLQRAKAHEAKELERLMKEGYGGIACFHSNLPL >KGN63428 pep chromosome:ASM407v2:1:18684:21806:1 gene:Csa_1G000030 transcript:KGN63428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKERAKERREKRMQEISLLRTIPYSDHQRWWSTETIAVVTGGNRGIGFEISRQFAMHGMTVILTSRDVCVGLEAAKVLQEGGLNVAFHQLDVLDALSIKQFADWLLQNYGGLDILINNAGVNFNLGSSNSVEFAQMVIATNYYGTKNMIQAMIPLMKPSSAGARIVNVSSRLGKLNGRRNRVENVEFRELLSNLDTLTEEVIDRIVSTFLQQVEDGSWETGGWPQLSTDYSVSKLAVNAYTRLMAKKFTERPEGHKIYVNCYCPGWVKTAMTGFAGNISAEEGADTGVWLALLPDQAVTGKCFAERREISF >KGN64753 pep chromosome:ASM407v2:1:8294312:8311639:1 gene:Csa_1G087940 transcript:KGN64753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVSMCSSSGSTELTPEEERIMIRDIALAAEANTKEGDIFYLITQRWWQHWIEYVNQDQPINTNDGSSFAEIYDSFGSSMLKRPASIDNSDLIYDAASEDSSAGIEIHDTLLEGRDYVLLPQEVWNQLCLWYGGGPKLARKVISAGLSQTELTVEVYPLRLQLLEVPKGDRSTIRISKKETIGELHRRACEIFDLNLEQVCIWDYYGHRKHALMNDMDKTLDDANIQMDQDILVEILNHGNHNALGGCTSYVQDNGTTDKEATSIHLEASKSNLISAGGMPNKGASKTEVVQSQNPTSSIKELDNAYGQSGVSTRGSSCGLTGLLNLGNTCFMNSAIQCLVHTPEFARYFREDYHQEINWQNPLGMVGELAMAFGDLLRKLWAPGRTSVAPRPFKAKLARFAPQFSGYNQHDSQELLAFLLDGLHEDLNRVKHKPYIKSRDADGRPDEEVADEYWANHIARNDSIIVDVCQGQYKSTLVCPVCNKVSVTFDPFMYLSLPLQSTTTRTMTVTVFTSDGSKRPSTLTVTVPKQGRCRDLIQALNGTCSLRHSEKLLLAEVRDHLVHRFLEDPLISLSTIKDDDHIAAYKIPKLTKNAKYLQLVHRSQEQVTSDADIMSGWRPFGTPLVSVVSCEGPVKKDYLQRIVKRALSPLAKIGTLMDTDVSDSSMSHAGEDLHHHDSSAETCTSSLNSDDPKSKAMEPFKLPLQLLNEENVCIELSSGEEAVKLPPSSSVLVYIDWSQKLLKKFDTGYLENLPEVFKSGPVMKKARTEPLSLYSCLESFLREEPLVPEDMWFCPQCKERRQASKKLDLWRLPEVLVIHLKRFSYSRSMKHKLETFVNFPIHDFDLTNYVANKNNSQRQLYELYALTNHYGSMGSGHYTAHIKLIDENRWYSFDDSHISLINEEEVKSAAAYVLFYRRVKTEDVSLSNGVQSCASAQK >KGN65598 pep chromosome:ASM407v2:1:16762205:16762573:1 gene:Csa_1G467175 transcript:KGN65598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYVVGYGNNFPTHVHHRAASIPWDGQFYSCAEGDRWLLSKASNPNILSGAMVAGPDMFDHFSDDREKPWFTEPSIASNAGLVAALVALNDYPGDTSDFNGKDLGIDKMSIFDRIPKASTAP >KGN66437 pep chromosome:ASM407v2:1:23717421:23720272:-1 gene:Csa_1G605660 transcript:KGN66437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGREIAIAEVVSLREGEAVNEENRDQNEDEPKTVSRYMERPTTVSKNVGGWKLATLLLVNQALATLAFFGVAVNLVLFLTRVLDQESAIAANGVSKWTGTVYLCSLVGAFISDSYWGRYATCAVFQVIFVFGLGLLSLTSGMFLLKPMGCGNGTLECMPTSKIGVAIFYLSIYMIAFGYGGHQPTLATFGADQFDDSIPKYANAKSAFFSYFYFALNFGSLFSNTILVYFEDTGHWTVGFYVSLGSAVLALILYLLGTKRYRYLKPCGNPLPRVAQVFMAAIKKSKVVPANGDELYEVDGPESAIKGSRKILHSNGCRFLDKAATITDEDTKESKNPWNLCTVTQVEEAKCLIRMLPIWVCTIMYSVVFAQMASLFVQQGDVMDSTIVGGFHLPAASMSAFDICSVLVSTGLYRQILVPLAGRLSGNPKGLTELQRMGTGLVIAMLAMIAAAATEIERLKHVVPGQKHSSLSIFWQIPQYILVGCSEVFMYVGQLEFFNSQSPDGIKSLGSSLCMASISLGNFGSSLLVYIVMEITRKEESPGWIPDDLNSGHVDRFYFLIAALTAIDFFIYLYGAKWYKFIQMDDISIVPSNSMGVQGREEEEEEEEEEEDEVLDRV >KGN65080 pep chromosome:ASM407v2:1:11502919:11503643:-1 gene:Csa_1G195240 transcript:KGN65080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVGARLGRSSTRYGPATVFTGPVRKWKKKWIHVAPSTTASNNHSHSQRHTNPNNVTANATANSSTNASHLLLFKWTPITQSLNNGNADEKNAGKEDTPTVTEEPPRRKFKYMPAIGKCGPLSYRDNLTASLSTQYGAYVLFRLPVGV >KGN65048 pep chromosome:ASM407v2:1:11206217:11207305:-1 gene:Csa_1G183580 transcript:KGN65048 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystatin-like protein MISRSASPLLLLLLPLIAAARKGSMLGGWSKIPDLKDPHVEEIGKFAVAEYNKQSKGVAIEFKSVVSGETQVVAGTNYRLLIDAKRGESMSKYEAIVWEKPWENFKKLTSFKPVA >KGN66723 pep chromosome:ASM407v2:1:26899841:26904263:-1 gene:Csa_1G665350 transcript:KGN66723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVPDIIVHVPSVSFLCLEDDLFCLTIKMLVREEAFTRIAPAISGVADRSTVHNLFKALAGDEQSISLSLWLKYVDELLKVHEGRKLYRVRDNTQFFGENILCVGSSKKRPVLKWENNIAWPGKITLTDKAVYFEVLITFQLKLDF >KGN63602 pep chromosome:ASM407v2:1:1075568:1079182:-1 gene:Csa_1G005630 transcript:KGN63602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLTRVFGRTFLAAARSETSSATAAAAATARTGYNPLEEFFEADRSPDDGKPVVYGRSWKASELRLKSWDDLNKLWYVLLKEKNMLMTQRQMLNAQNLKFPNPERIPKVRKSMCRIKHVLTERAIDEPDPRRSAEMKRMINAL >KGN65522 pep chromosome:ASM407v2:1:15960618:15961091:-1 gene:Csa_1G434700 transcript:KGN65522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRKKKKRLLRIRTLWLPDFEWSKGKRPLLQSLDLIKDFQPTIFTQFLVPGQSLPPSGSQQVAHISHFNKKTHKPSVGAIRSCLSPRSRSSPSCIRVSAVGEVAVPVSVAEAQPILLSFCSFF >KGN64924 pep chromosome:ASM407v2:1:10180962:10183060:1 gene:Csa_1G162600 transcript:KGN64924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMSLLQFIAILMLFDSLCFSSRLSTASPLNHQYNVGDPVPLFVNKVGPLTNPSETYQYYELPFCRPDPVVPKKATLGEVLNGDRLNGALHVIKFREEKRWETLCEKKLKGAEVSLFRDAVRDDFYFQLYCDDLPVWGFVGKIDEQSWSLDKQGPKYYLFTHIQFDVSFNENQIVEVSAFSDPNHVVDITNDVELNVKFTYSIVWNETSALYGDRMNKYSRASLLPISQRIHWFSFLNSIAIIVLLMGLLTLLFMRRLKNDLRKCSGGDEEDEKEVVWKYLHGDVFRCPQNLPLFSAVLGVGTQLLTM >KGN64443 pep chromosome:ASM407v2:1:6157733:6158090:-1 gene:Csa_1G051800 transcript:KGN64443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEDIRNLRLRIKLEGTRFSVATAVLCVYIERLKRRKDPALMWRSFTNRRPIFARFRASNHLGEPGED >KGN65475 pep chromosome:ASM407v2:1:15589431:15592528:1 gene:Csa_1G423330 transcript:KGN65475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRAEEGLTLVVRKPCFNLPTGCPDSLPVYIYLRLANLHFHLDFNLIYPESDIIPYVETGNYVAYNNEKGGVIECLRQDGIVDLDSEFLSLPEWVSAKSMVSSWLADAVMYELWLGTDGASASKVYYSDLPWPIGKVLFLKKLYSVKLQLGINKENAERREEQIYRNANLAYGALSTRLGEQNFLFENRPSSLDALVLGHLLFTLQVLPETSVLRSKLLEHSNLVRYAEKYMTELVEVGTSSSPLPSSSRSSTGASSSTPRRGPYNWSSKPKPNPKREKTNEEKTFKRRGKYFVGAQLVAVLLFLTLMGRGDDGEVELDDDDEGYDYSE >KGN63479 pep chromosome:ASM407v2:1:266604:269244:1 gene:Csa_1G001510 transcript:KGN63479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCNKEDAIKAKQVAERKFVEMDIATAVRFALRAHSLYPGLDGLSQFIATLNVYLSAEKRIDGCSDWYRILGVDPLADEETIRKHYRKLALILHPDKNKSIGADGAFKIVSEAWSCLSDKAKRAVFDHKRNIRGMPMKSTEIRSSVPIVRNGFHNLFPNNNLNRWHRRSDDEVLKAPASHLVKPTFWTICNSCKVHFEYLRSYLNHNLICPNCRISFLAVENPSPPFNGKPPSSPWTFNMQQQASSAFNHFKKSFNVEKTKFSARGSMDSAGYGSMESTHKSFHSGTSCNLRATESTQASASSAAKAFSLFKSSSSEMKVGHKDGISAAMKEEFSPSEDHTPNKGDASLASTPFNNSACSAHKGDRRKKKMRISGHKMQGNIKNFLRQIEIDNAGIIKESSGSEKYIFEGRSPITGKFRSANTRELSQVELRQMLMGKARIGIRKKLNEWKADASSTILQRMANSNKNLVEEKEGKSVVINGMDSGKDLNTACSKDELQTTYTLPSNFSESPDTKDSESFSMSVPDPDFHDFDKDRAEKSFGSNQVWAVYDDDDGMPRYYAMVHKVISLKPFKMRISWLNSKSNIELAPLNWIGCGFPKTSGDFWIGKHEDYGSLNSFSHKVKQIKGKRGAIRIFPSKGDVWALYRNWSPDWNMLTPDDVIHKYDMVEVLEDYGGDKGAAVVPLVKVVGFKTVFKQHSNPSKIRNIPREEMFRFSHQVPSCLLTGLEGQNAPAGCWELDPAATPLELLQVANEAEIELEEAVESAEQAKDRHAIEAIKCAKASSLENNVETTIRGREVQDNVKVEDLMTQIGNKTTVPKMIVYSRKRFRGKLPTGAELSAH >KGN65839 pep chromosome:ASM407v2:1:18935231:18941190:-1 gene:Csa_1G533460 transcript:KGN65839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSWNTKLCRKLKPHPSKVHEKFHKDIENLGRRTRNTIFTILPLIVLSSRRREDFLKTAPFEPEGLHSGHVLVFLTSFSPPRCSVVSSVTLLYSSSVVSSILLYCSEAHQTKWYFLNSLLFYWILFPGLGYRMMDSENQGFEAAQLYSSRDEMENLVLKEPLSSKSFSNYRSAMSSLSDSHHPLAPPTILTPADSDPLLSPPLDRDLRKPNASDHFISEPLHFSDLSFGPVDGNHVTDVNGVESPSKSSVSSGGLSRSSSSNSDYIRITVSNPQKEQDVSNSIVPGGNSYVTYLITTRTNIPEFGGSEFSVRRRFKDVVTLSERLAESYRGFFIPPRPDKSVVEGQVMQKQEFVEQRRVALEKYLRKLAGHPVIRKSDEFKVFLQVQGRLPLPTTTDVASRMFDGAVNLPKQLLNESAMEPQEVVQPAKGGRDLLRLFKELKQSVTNDWGSSKPPVVEEDKEFLEKKEKLRDFEQQLSATSQQAESLVKAQQDMAETFGELGLTLIKLTKFENEEAVFNCQRVCANDTKNIATAAVKASRLYRELNAQTVKHLDVLHDYLGLMLAVHGAFSERSSALLTEQTLLSDLSSLHTRAEKLEAASSKVFGGDKSRIQKLEQLKETIRTTEDAKNVAVREYERIKENNRSELERFDRERQADFLSMLKGFVTNQVGYAEKISDVWAKVAEETSNYSKESN >KGN65813 pep chromosome:ASM407v2:1:18732851:18736154:-1 gene:Csa_1G532210 transcript:KGN65813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPLLANANATAAAPAPFDPSAPPPFRISEIRAAIPPHCWVKSPWRSLLYVLRDLAIVSALVAAALFFDSWLVWPIYWLAQGTMFWAIFVLGHDCGHGSFSNSSALNSFMGLLLHSFILVPYHGWRISHRTHHQNHGNVEKDESWVPLTKKTYKQLEKRTRILRFTLPFPILAYPFYLMWRSPGKEGSHFNPYSDLFAPGERRDIVISTSCWTLMAALLVYLSFVFGPFQIFKLYGVPYWIFVMWLDVVTYLHHHGYEQKLPWYRGEEWTYLRGGLTTVDRDYGLFNNIHHDIGTHVIHHLFPQIPHYHLVEATKAAKGVLGKYYREPKKSGPIPTHLVKNLVNSLKQDHYVSDQGNIVFYQTDPYLYHY >KGN66906 pep chromosome:ASM407v2:1:28947230:28947493:1 gene:Csa_1G711160 transcript:KGN66906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGIWLLVVVINETQVSKAVKCDPGELRPCHLSFTMSMEPSSACCKKVLQHRTCYCEYSKNPKTQPYLKYDATQRIISDCGVAIPTC >KGN63490 pep chromosome:ASM407v2:1:342277:348282:1 gene:Csa_1G002100 transcript:KGN63490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGKVVLPNIEAGLKPLHSLKSTDPPLWLAPSPSLSQVARLASQSLFSMLKPFNPKSPFDHLLVDGFDAEQIWQQIDLQSQPLLASVRRDLKRFEKNPEAISNLKVSLEDKKKVIQEMGVESGEESDDFEEDMKELDEEEEEDDEEDEEEEEEDCDDREDGDTEEGEKEKSDDEVEGEEGNGGIEDGFLKLKELEEFMEEDEVREYGLQKKKDGKKEKKPRKTEEESDDDEDDELEEFDLHGEEDEDSSKLDNARYEDFFGAKKKNHVRRNKLTNGSESELSDSGDEEEENEAYTEPKSENLSTHQKKLKKLQSEIEMMEKANLEPKTWTMQGEVTAAKRPKNSALEVDLDFEHNVRPPPVITEEVTATLEEMIQKRILEGRFDEVQKAPKRPTKAPREIKELDENKSKKGLGELYEEEYVEKTNLATAPPSFTDEAKTEASILFKKLCSKLDALSHYHYAPKPVIEDMSISTNVPALAMEEVAPVAVSDAAMLAPEEVFAGKGEIKEAAELTQSDRKRRRASKKRKYKAMVAKRDAKKSGNTTAPNANEGQ >KGN65442 pep chromosome:ASM407v2:1:15345514:15346819:1 gene:Csa_1G423000 transcript:KGN65442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENNTCLVSSPSSKLAIHVFFVCAVFIMFSSSMVSSANFLTDIGHTWGGGDRIQILNNGEGIAVSLDETSCSGFQSRDQYLYAKIDLQIKLVSGNSAGTVTAFYLSSIGEFHDEVDFEFLGRVEGDPYILQTNMFIHGVGKREMQFFLWFDPTDDFHNYTILWTPQHIVQLVDGIPIRELKNEKGKRAPFPTMQPMRIYGSIWNADSWATRGGAVKIDWTKGPFRAWFKNLRVDGCLRSHENSKSNCTKSSTSWLSSTLDNKSKQRMKWAHTKYMFYDYCTDTKRFPKGLPLEC >KGN63909 pep chromosome:ASM407v2:1:2922153:2924390:1 gene:Csa_1G025900 transcript:KGN63909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLRLDLTSKIYPEPDSLFSFNSSSISSTSCCIDHFDRLPDSLLLLIFNKIGDVKALGRCCVVSRRFHCLVPQVENVVVRVDCVISDDESSSSSSSSGKSRGPFFNIFRFVFGGIVKPLQALGQFLGQTRASSTLASSSSTSSLAVGTDEDGEIDQGGVTHHSPTQVLKNFNEIRFLRIELPSGELGIDDGVLLKWRADFGSTLDNCVILGASSVIQPGSIKPSIAQDNGTDGGFCMGNGGASDDNGSIPESFYTNGGLKLRVVWTISSLIAASARHYLLQPIIADHKTLDSLVLTDADGQGVLCMNKDQLEELRVKPLSASSASKRTLVPALNMRLWYATHLELPNGLILKGATLVAIRPSEQSAAKKDVSDCFWASTAFEEPYRTAAKMLVKRRTYCLEMNSF >KGN64420 pep chromosome:ASM407v2:1:5994547:5997180:1 gene:Csa_1G051580 transcript:KGN64420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGTLARYRTDVGLPQSNHPHSRALFWKTEMDDMKRSISSMEARLRHFVGEDLEPLNVKELKQLERQMSVGIERIRSKKRRIIAEHINLLKRKYKGLQEEHSRLQKRLNQLKDVVVTNSSRNSDANPASALEIEFQVDGLLI >KGN65834 pep chromosome:ASM407v2:1:18883321:18883689:1 gene:Csa_1G533410 transcript:KGN65834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDYHLLQNQNHPPCNFQDLMELCSVSTISTTPPVTIVPDNRRLVINNNSVSDWRRSFVIGCSREDDERRRPAVPLPPFGAGELSSGDGLGNVDGRNNSEQILYGRNIDPNMDPRKLKRFEP >KGN66731 pep chromosome:ASM407v2:1:27038808:27041854:-1 gene:Csa_1G665920 transcript:KGN66731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPLSVVILGVVLALAALTAQAEAGRSFFVFGDSLVDNGNNNYLATTARADSYPYGIDFPTHRPTGRFSNGLNIPDYISQQLGSEFLLPYLNPELNGRRLLDGANFASAGIGILNDTGIQFINIIRMFRQYEYFEEYQRRVGRIIGEERTKELVKGALVLITVGGNDFVNNYYLVPFSARSRQYSLPDYVNLLIRLYELGARRVLVTGTGPLGCVPAELAMRGSSGGQCSEELQRAAALYNPKLLQMIKGLNTQLGSNVFVAVNTQQMHIDFISNPRAYGFETSKVACCGQGPYNGLGLCTVASNLCSNRDAYAFWDAFHPSEKANGIIVKQMFSGTTQYMYPMNLTTILQLDSKT >KGN65078 pep chromosome:ASM407v2:1:11476400:11493955:1 gene:Csa_1G195220 transcript:KGN65078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASKLQALWNHPAGPKTIHFWAPTFKWGISIANIADFSKPPEKISYPQQIAVTATGVIWSRYSTVITPKNWNLFSVNIAMAGTGIYQLTRKIQHDYFSKEEVAVAKE >KGN65454 pep chromosome:ASM407v2:1:15414534:15417060:1 gene:Csa_1G423120 transcript:KGN65454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCLALALQPANGSDILLQTREWFPPPRALVALTSFRQTRLAFAATKHQSHHASTVLGDDSSLADSIASLGDDPLAASNGQVIVGAESRYGVVYRLVNGIYVLGITTADQDNSVNVFECIHIVNQAVSVVVTACRGVDVTPEKLSRKYAEIYMALDIVLRGVSNIRLAAMLASMHGDGLAKMVHSALDTENKIRGADNWNAMEVHSIDHQANVEAFSSARFELPAETLEAGDEIAATLAPVTQSVNEQQDQQQQKTEEPAAEQDPFAASDMINKPEELVGGFKKTKDPSATDLTMVLAGLEVPTLPPAEATQSTHIGVEGFEGNYGGIEFSTDQATMEETFEGFSDAWGGGLDPSEFVGPEKVKKTEGLGGLELLQTGPDGAKVAVADATGKGTPLENLVTKTEMKGPEMYIIEQISAEFRESLLARVGMMGVVYLKTLPPKTSDDKETEFSFRVEDTASVKRFVVQGSRVSSLGNGMFHVRTAPSNEPIPIIKYSLLPRLTPLPLRVRLIQRHRGTLLSVMIQFAANPDLPQPLTDVTFTLKLPVDPSLLQVSPKAILNRSEKELKWHVPEISLKGSPGLLRARMPVDRNEEDEGEELEVVGYVKFSVQSYRSLSGISLRPATEGKTDFYETDHKFESGVYTCN >KGN63987 pep chromosome:ASM407v2:1:3510131:3510617:1 gene:Csa_1G033070 transcript:KGN63987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAICFDHIAFQCLLDFQPSFCGVKGKEKTNGIHHSSKMVAEFNAANPTCSSLYFSLYHHLIT >KGN65892 pep chromosome:ASM407v2:1:19416080:19416319:-1 gene:Csa_1G537420 transcript:KGN65892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPLQEDDDGVAPPLPFQEDADQLRLCLSKKTTVQLYLCLFKMKMVQFCHFKMMAKQNGVERRCPIVPTKEVDLTKST >KGN65888 pep chromosome:ASM407v2:1:19376003:19377795:-1 gene:Csa_1G537380 transcript:KGN65888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESIDINRVRALSSPELANTTLISPRQHHIDQNFVGVSTNVRLLLKLIHEYKEVSMKGNDGSKDQRISEMMLIIDEVKSQIQKSRSLGKRREAELRRCNTDLRRNVPKDKKTNEPIIIDEKERLRRELNASVASRKSLESICSSIGKEKEIIAKELARTVQQLHGMEEHVNDLKAQNEMLMCKVQACVAEHRVKKNNVPDAQGNFEALQKRNKVLTEQLLKSLDAYRSLKRKLKEYQERRNGTNARMEEMGIQVRAGLERIHSFKEMMITQTDDDKQIDIESEISALEHMFKCFDFEISKQTKVKKHPVDRINADK >KGN65287 pep chromosome:ASM407v2:1:13423295:13424974:-1 gene:Csa_1G295160 transcript:KGN65287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLRVGIPIKQGFQEFVDTNINDPQSSSGFCIDIFLNAIQLIPITINYTFVPFMNQIGKSNGSYDELLQQIVDQKVDAVVGDITIVANRSQLVDFPLPYLQSEVTLLISKQNDNDGDIWAFPKGSPLVAYFSRAILNVNEDVYKMSKIEKEYFSNPDAPPIPNFSDSSLDVRRFGGLFIIMALTKMEHNAQTTQSGSEHSVSEIAEATTPNHGDSTEEPHNLGIRIQGTVSQA >KGN65170 pep chromosome:ASM407v2:1:12572370:12572860:1 gene:Csa_1G256785 transcript:KGN65170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLQNSTPIPVLDLNQKAKTSSRKKASQHNSTPIEEEELQTHYEPLRVDELKKSLLRGGNDEQQNDIKISACRSIGDGPSRAGKRKISWQDQVALRV >KGN66903 pep chromosome:ASM407v2:1:28899968:28901694:1 gene:Csa_1G708660 transcript:KGN66903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTCPHREADEINGKEKNFIKGITKVYPTGFNITWGNDDRYWNITKPNVPGSLYVAELKQVSWLEVTCSTDNVEVGKYYRVGFNITMKPDAFGWNGIDVYVMAKVGKKGKFTSMKVSLEEIKPDNIPITIPKKPLEIFVNPVTPEECRSTIHLGLYEVWSSKWKGGMQIHDAFIHRV >KGN66678 pep chromosome:ASM407v2:1:26361887:26363941:-1 gene:Csa_1G658520 transcript:KGN66678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRKMERLVLLPFSVGCISETSVAVGIQHNSTTSKPHPISSPTSSEKDEDGKEEIGCESLLEESLKNSQRFTALAKPNIGVGFHRLYKGFKNISQLFVYKEEMEEMEMEMEIGSPTDVKHVTHIGCDATTREDAANSFIAWETLLASSSWRQFDDQLSNPKHLPNILGHSI >KGN63715 pep chromosome:ASM407v2:1:1727485:1731610:-1 gene:Csa_1G012160 transcript:KGN63715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNCFHCIPFTIPFTSSSRNWISEVEIGSHLMGWFLVISALVVIWIASLCKIFSSYSSGGFLNDVTPQKRNILLVIAHPDDESMFFSPTINFLTSRGHKLHILCMSIGNADGMGTMRREELYKASTVLKVPPNQLKILDHPGLQDGFGKVWDHKLLAEIIEQEISSHAIDLIITFDSYGVSGHCNHRDVHNGVRDFLHNKAPQSFEAWELVSTNILRKYSGPIDIWLSILFSEAHTRGITHCLVNECPRQSFFAMAQHASQWVWFRKLFVTFSSYTFVNTLKKIN >KGN66704 pep chromosome:ASM407v2:1:26661003:26661394:-1 gene:Csa_1G661220 transcript:KGN66704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVLLDYSVTIYFLFRPTSDFPHPLAHVKHQMGYRLSYNIVDTLIWLGIRDIINSFRKKKLKLRRISYLSGHYSSLPEVPYGYIWSPHLIPKPKGDTLFCFWYS >KGN64624 pep chromosome:ASM407v2:1:7234218:7237403:-1 gene:Csa_1G071870 transcript:KGN64624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAVGIVAGGSNQAYPGNLTLYVTVTCIVAAMGGLIFGYDIGISGGVTSMDSFLDKFFHAVFVKKNKKTTNQYCQYDSETLTLFTSSLYLAALLSSLVASTVTRTFGRKWSMLFGGVLFCSGAIINGAAKAVWMLIVGRILLGFGIGFANQSVPLYLSEMAPYKYRGALNIGFQLSITVGILIANVLNFFFAKIKGGWGWRLSLGGAVVPALIITVGSLVLPDTPNSMIERGRRDEARHQLKRIRGVDDIDAEFNDLVAASEASKQVQNPWTNLLKKKYRPHLTMAILIPFFQQLTGINVIMFYAPVLFNTIGFGNDAALMSAVITGIVNVASTVVSIYGVDKWGRRFLFLEGGVQMFICQVVVAAAIGAKFGIDGDPGQLPKWYAIVVVLFICIYVAGFAWSWGPLGWLVPSEIFPLEIRSAAQSVNVSVNMLFTFIVAQVFLTMLCHLKFGLFIFFAFFVILMSIFIFFFLPETKGIPIEEMSQVWKSHWYWKRFVHDSHLANGKGGVEMKSGGYA >KGN64593 pep chromosome:ASM407v2:1:7057926:7058279:1 gene:Csa_1G070585 transcript:KGN64593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYDFQIDILLLSATHFRNSHSNSNARGNVHTRSWVAYKLFAFLPVRDMANTQINFRKDPSLPVHTHLEIHSLPLHFHTQFLGLPIESLYLPQFLQIQKLCRYHLKSCCWFFQSGSA >KGN66698 pep chromosome:ASM407v2:1:26560797:26565148:-1 gene:Csa_1G660180 transcript:KGN66698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNNLESNYEDVDSSPHTPYSPQCASNFHLLDNNSASSNSHIRSNNDSNQLHRASDLLMAVSVCTEGNRLRITELVNAAMDELTNLALDGDPLWKPQEDDQDQADSYYTLMDIMKMVEVGETQCSDLLDLEIDNTKSSSDYENKTNFGHDEDKQQERHHLRTEFSRQIAYVRMEPLRIVGFFMDLEQWSFVFSDIVARATILKSWSSMEPVGGNYNGTLLVMRAEFQIPSPIVETRESCFGRFCKQLAPYTWGIVDVSLEDLFPYPLPVGFRRKPSGCLIQASPNDLSKVIWVEHMEVDQQTIMVDQMYEAYINSGLAFGAKRWVSSLVRHCTWEATLMAKSCSTLNGVLLLQAGRSSVLKLAERMTKSFYRNVSISKENPWIKIPFPGPQDIRVVVTPNLNDDPGRPPCTSVVFSTSVHVPTNPKHLFHYLRHEKSRNKWDILSYGHVITELSCIINGTDSRNRVSIIQVNSAPRRIEIFYLQESFFDESGSYVVFAPVDIYAMAVVLRGGNPDYVAILPSGFAILPDSPRMNGEEDVADGSILTVALNIIDHSVTQRVPFQSMVSMHRIMTETVASIKGAFNIQQF >KGN65043 pep chromosome:ASM407v2:1:11154941:11159264:1 gene:Csa_1G181560 transcript:KGN65043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGLHCGFHGGVAGIETARTKNYSGAEIEGVVKSAVSYALNRQLSLDDLTKPVDEENIKVTMDDFLNAVQEIIPAFGASTDDLERCRLNGMVDCGGRHKHIYERAMLLVEQVKVSKGSPLVTCLLEGPSGSGKSAMAATVGIDSEFPYVKIISAESMIGLLESTKCAQIVKVFEDAYKSPLSIIILDDIERLLEYVAIGPRFSNLMSQALMVLLKRLPPKGKKLLVIGTTSEVGFLDSVGICDAFSVTYHVPTLKTDDAKKVMQQLNVFAEHDVDAAAEAVSDMPIKKLYMLIEMAAQGERGGAAEAIYSGSQKIKISHFFDCLQDVVRY >KGN63789 pep chromosome:ASM407v2:1:2163969:2165161:1 gene:Csa_1G015810 transcript:KGN63789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALESSSRRHFHWTRKVSNEDEEDSTFNSLESAVEEKQENAKPISQEPEEQQQVRAQLTRRKLQQLAISRFRSVLTALGRNRNSQHGLRSRVVGTLFGSRRGHVHFAFQRDPNSHPAFLIELATPISGLVKEMASGLVRIALECDKEKDENKKPPGRRLLEEPVWRTFCNGKKCGFASRRECGPKELKILKAVEPISMGAGVLPVNEDAKVSDEGESGSDGEIMYMRAKFERVVGSRDSEAFYMMNPDSNGAPELSIYLLRV >KGN64294 pep chromosome:ASM407v2:1:5212258:5213840:1 gene:Csa_1G045920 transcript:KGN64294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDEPNLDEFFVEKKRARNPLVPIGALATAGVLTAGLISFRRGNSQLGQMLMRARVVVQGATVALMVGTAYYYGENPWRSS >KGN64238 pep chromosome:ASM407v2:1:4903764:4906665:-1 gene:Csa_1G044870 transcript:KGN64238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATAPFLGVNDGDYPPVKTFRELKDVVWSETVKTWAISGPVIFQIVCQYGTNSVTNIFVGQLGEIELSGVSIAISVIATFAFGFMFGMGSATETLCGQAFGAGQIDMLGVYMQRSWIIMFLCALIITPVYVFTTPILKLLGQQDDVAELAGSFSLLILPQLFSFVVAFPTQKFLQAQSKVWTLAWIGFGALLAHVLMLWLFIFQFGWGTTGAALALNISGWGISISQCIYVIGWCRDAWHGFSWLAFKDLWGFVKLSFSSAIMFCLEIWYMSTIIILAGHLPNAVISVDSLSICMNLDGWENIIFIGINVAMSVRVSNELGKARPRAAEYSVYVTVVQSLLLGLLFMVAIFFAKDHFAVIFTSSVTVQKYVSKLAYLLGITMVLNSVQPVVSGVAIGAGWQTLVAYINLGCYYLFGLPLGIILGYVANFGVKGLWGGMIAGIAMQTIMLLIVLYKTNWKKEVEETSGRLQKWSGQGNNKREETKS >KGN66399 pep chromosome:ASM407v2:1:23286747:23287080:-1 gene:Csa_1G600900 transcript:KGN66399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNFSPTQIEQTRAVQQWYSQTTVASLAAATCERKTVGFATACELIWTVTEFGSGRCQSREFVARLNGIKLWFARLRNK >KGN66894 pep chromosome:ASM407v2:1:28716140:28720954:-1 gene:Csa_1G706610 transcript:KGN66894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHREIFGRGSSHGPAEEDDVEDFRSCCEDHEVWKETEDAVEEESEEDLDEFSVKMFFKGVSIAEIGDSASGLSGIGVLMEKSGKIPFVQVQKKLDFYVDELVADYLALMDGLVEAMQNDVRCIRAFTSSDLLYKQITNHEEPEIPLLVALKERILEHASNFESFSLSLSSGADLQQPFHLAKVAIGVLSCPQKGDKSVENCSICCDNKPSAMMVALKCCHKFCSQCMKTYVDGKVDASQVPIRCPQLRCKYYITSNEFKSFLPLTLYESLENTLAEANIHADRIYCPFPNCSVLLDPSECLSARASSSSQSENSCIECPVCQRFICVECQVPWHSSVSCEEFQNIPLEERDTADITLHRLAQNKRWRRCQECHRMIELTQGCFHMTCWCGHEFCYSCGVEYQDGQQTCQCAFWDEDNSENLVTHSVQESEQWAWETFNSLPMIMDAYSEQERSQLALIQRFLAGGFSLSDHQPCQSPPRCTDSYVDTMKDIHQLPWLERFVSVISDSYYEDYVQ >KGN64685 pep chromosome:ASM407v2:1:7637170:7639283:-1 gene:Csa_1G074900 transcript:KGN64685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDPNSWLRRTNFSHTICYRLESLSLASFPVTTQPRPKSLVQSKPNPRYNLTKQRSLSPSPQTNLSNAFKDARINQKRFSTPQPQRKEPLKEKSKRLFCKRAKVQNSLKEEKLKGPLRNLVSFKGCEKFKFKESSWSKLFEHGGGKVTAVEAVDELSIDLSKLMFGHRFAFGAHSRLYHGIYEDKVVAAKMINLPANDENGDLAGRLVKQFGREVTLLSRLHHPNVIKLVAAVKKPPVYCIITEYLPQGSLRAYLHKLEKKSLPLQKQIAIALDIARGMEYIHSQGVIHRDLKPENILIDQDFCLKIADFGIACEEAHCDTLAEDPGTFRWMAPEMIKRKPYGRKVDIYSFGLLLWELVAGKIPYEDMTPIQAAFAVVDKNIRPVIPSECPPVIRVLIEQCWCEKPEKRVEFWQVVKVLEQVESCIGGDGTLMTSVELKGKASWEDHKKGLKHWIQKLGPLNSHNSLNSSRSKFI >KGN66075 pep chromosome:ASM407v2:1:21018573:21018944:1 gene:Csa_1G569510 transcript:KGN66075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENHHNGDEFLELPIANVERIMKKIIPQKGKISKEAKKKMQECANEFISFVTSEAAQRCQNENRRTLNGDDIYWAFGSLGLDNYAEASSKFLLNFREVERIKVDEKHKSKDNHGEIGETSSPN >KGN65015 pep chromosome:ASM407v2:1:10952075:10952578:-1 gene:Csa_1G181290 transcript:KGN65015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIKAITPYESYQEGLTLAVWCPKTSELVELNLKRYRHTSLKPIRVWITAAKTLRPSQKCCCKKPRRRKRDAQLVVLVGVSNGEKTMLRKPRRSGSESCSQCKSGCRLNVERRRLQSWAFAWVWAPVVACLTPVVPECRRRSRLRYGVRALFDERGGRWTFPFFPKS >KGN66592 pep chromosome:ASM407v2:1:25426927:25428573:1 gene:Csa_1G635920 transcript:KGN66592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPLKIFQASYSNDKKQGIVAALSSDSDHSQIQPSSLRRTLSADMSSHKWISHMKKVSSFQDFHNPKTEDETEGVGVWSSIVKESRQESKNISDPYVHPLLKRSGKCLSEKSLEICTESLGSETGSDGFSSYPSSENGDTDDENEENMIEGTQTFEVENQWKPVKISYKKSPPRRSLPPPLPTLSGPDGETLRMQPRRDNGRLVLEAMSVPSQNNFHAQRQDGRLVLTLVSRHLINQVEKIDDWKMEEEGKENEQKTTKLSEFPRGLLTTHRLASMMSSKTIALANRNPSLPPRPKAAQPIISCVAPPVAASLNAYEYYWRSKPTGKAGTPVGQQQATFKSSSRKVMISKNRTANEEEKLVVMCSNGSCKEVRRTVVFWEPRCIATS >KGN65623 pep chromosome:ASM407v2:1:16967626:16971429:-1 gene:Csa_1G470390 transcript:KGN65623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPKPEEITHPPMDQLQGLEYCIDSNPSWGEAIALGFQHYILALGTAVMIPSFLVPLMGGDDGDKVRVVQTLLFVEGINTLLQTLFGTRLPTVIGGSYAFMVPIISIIHDSSLSRIEDPHLRFLNTMRAVQGALIVSSSIQIILGYSQLWAICSRFFSPLGMVPVIALVGFGLFDRGFPVVGRCVEIGVPMLILFIAFSQYLKGFHTRQLPILERFALLITVTVIWAYAHLLTASGAYKHRPELTQMNCRTDRANLISSAPWIKIPYPLQWGAPTFNAGHAFGMMAAVLVSLVESTGAFKAASRLASATPPPAHVLSRGIGWQGIGILLSGLFGTLSGSTVSIENVGLLGSTRVGSRRVIQISAGFMIFFSILGKFGALFASIPFTIFAAVYCVLFGLVASVGLSFLQFTNMNSMRNLFITGVALYLGLSVPDYFREYTAKAFHGPAHTNAGWFNDFLNTIFFSPPTVALIVAVFLDNTLDYKDSARDRGMPWWVKFRTFKGDSRNEEFYTLPFNLNRFFPPS >KGN64149 pep chromosome:ASM407v2:1:4374153:4375896:-1 gene:Csa_1G042590 transcript:KGN64149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRALWGAVFHVYSGTLSVSPPLRTFRSRPLLSPFSVSTLRRYSSGNDKYNELNSTKNKDSLVDDDVSTEELKRKIDKFYEGGDADSLPAIFEAILKRKLSGKHEDADDELMKEIRQQLPGEVEDFKGEEEYDSDLMDDDSSDASDDSEEEDRRI >KGN66153 pep chromosome:ASM407v2:1:21485747:21489967:1 gene:Csa_1G573720 transcript:KGN66153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSSRALINFSKRTTWNPNLFFPFSSSDHNPHNCYLTLLQSRSIFSTTQLHGSWMDKIKGVISGKKNSTEGTDISSESFTLLRFADELKNARRVGALKQYIVGRSSEATFADAFEKQEAIIRYLGGFDSTGENIQTSQKQEAAKNCNCTIAEVENALSKFLWAKEAQKKIEKLKEEGKPLPTNIAEVQKLVGSNPLDLARSNLAKSGQISRNALCPCGSKKRYKRCCGKDQTV >KGN65141 pep chromosome:ASM407v2:1:12229501:12234530:1 gene:Csa_1G240580 transcript:KGN65141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNATGRQISRSNPAVHHQRQHSDTAFDALCSYGRWAQPSNLSHDFGSRATRGMQRSFNDLGSADALTPQSRSRSSSMRKNADEMFLASHHSPGLLDLHAFDTELLPETTYRKSLDDSEPLFANKLSNRPGGASDNNVLKSIPIDKERTNNVAKIKVVVRKRPLNKKEMTKKEEDIITIERISNSLTVHETKFKVDLTEYIEKHEFVFDAVLHEGVSNDELYSETVEPIVPLIFNRTKATCFAYGQTGSGKTYTMQPLPLKASEDILRLVHHTHRNQGFQLFFSFFEIYGGKVFDLLNERKKLFMREDGKQQVCIVGLQEFKVSNVETIKELIERGNATRSTGTTGANEESSRSHAILQLCVKRSVDSSETKPARLVGKLSFIDLAGSERGADTTDNDKQTRMEGAEINKSLLALKECIRALDNDQGHIPFRGSKLTEVLRDSFVGDSRTVMISCISPSSGSCEHTLNTLRYADRVKSLSKGNNTKRDPLYSSSNLRESTASLLSSSSPAEPTYEDNRTYLPNDKNRFGWSKQNEREGTPPLNVERVPSNRADITLPHHRSQRSFQDDFTLDDVVYPEQQYEQEKSSRTNTKITETRQVSGFVSQKKTSNETNRRAMADFETDSHSDEDLDALLKEEENLVTAHRKQVEQTIDIVREEMNLLVEADQPGSHLDDYIHKLNVILSQKATSIFQLQAQLAQFQKRLDEYNVLVAPSTN >KGN64398 pep chromosome:ASM407v2:1:5878605:5882876:1 gene:Csa_1G050370 transcript:KGN64398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKCDLLLLLCFAWTFLFAEAYQLQASQAQVLLQLRKHLEYPKQLESWTDHRVDFCTLSFLPLVNVTCQDSVVTELRIAGDTKDKVDEFIGFAIPNQTLSEGFSLDSFITTLTRLNSLRVLSLVSLGIWGPLPDKIHRLSSLEYLDLSSNYLFGSIPPKISTLVKLQTLKLDDNFFNDTVPNWFDSLSSLTVLSLKNNKIKDSFPSSIVSISTLTELVMSGNEISGELPDLSPLHGLTVLDLSWNKLDSSLPPLPKSLITASLGKNSFSGEIPQQYGELSQLQQLDISFNALAGIPPASIFSLPNISHLNLSSNKLFGSLSTHLRCGNMLQFVDISNNMLTGALPSCLGIESDNRTLKVDGNCLSVSIGKQHSKSYCDIDHIQQHQHQEQSKAKNAGAVMGLLLGIFLSVLLLSIVVVLFRRCWPRGMSEQHLLQKSVQDSSAAGFSSELLTSARFVSQAAKIGIQGLPLCRTFSLEEIREATSNFHDSTIIGDGSYGKLYRGRLENGTQVAIRSLVVSKKFSIRNLKLRLDMLGKLRHPNLVCLLGHCIDGEGQDYHDIKVFLIFEYVSNGSFRTHLSEKVLNWSERLAILISVAKAVHFLHTGVIPGFFDNQLKINNILIDEHNVAKLSDYGLSIVSEEPTKSVAKAEGPQAWQLMNLKDDVYSFGFILLEALVAPSVSARKGPSILKEMMSLSSQDGRRRLIDPTILATCTQESLSTIISLMNKCISPEMSRPSMEDVLWNLQYANQVQDARDGDQRYSSASQQ >KGN64686 pep chromosome:ASM407v2:1:7643903:7645084:1 gene:Csa_1G074910 transcript:KGN64686 gene_biotype:protein_coding transcript_biotype:protein_coding description:Structural constituent of cell wall MASLTANASFLLLLLCCTLLNAFQAAAYSSTPAPAPTHHNAHHPVAAPTPSFHHRGHHHHHHSPTQSPTSHHHPHSPSPAPSPVYPLHPPAHYAPVPSPAHSPKPSTNIPRSFVQVQGVVYCKSCKYPDVDTLLGAKPLSGATVKLSCKNTKYAPAVETATSDENGYFRLAAPKNVTSYAFHRCKVYLVKSPDSKCEKASKMNGGVDGAELKPARAFTDEEKKPVVLYNVGPLAFEPTCSR >KGN63796 pep chromosome:ASM407v2:1:2202369:2202638:1 gene:Csa_1G015880 transcript:KGN63796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDQLGRGVFRRHIEDQSRFQPRENQCDGGDGGDGDGGGDEDDYQHGDKVDEKG >KGN66379 pep chromosome:ASM407v2:1:23137525:23139799:1 gene:Csa_1G600190 transcript:KGN66379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDSDPTTEPIGQHLIKLISNLCFSVFVFSVLIITVIAITYQPPDPWLESTPALTKFFTSSENATFKNDESVVKTGEDLVSVLPPAVSPALGNQITEEVIEKSEEVIANSTTLKLNCDELRGVNCSDPRILIAVERFNLKAFKSIAFLEYQSPVNGSKEDECDVSWRFRNKKEKSWRKYRDFRRFKFDVGEDCDYKVVHAGGWHSGINARRPRSAMNNRSRGGGSGRVAPPVRDEEINDTIPTLGSETNFRKGKYLYYSRGGDYCKGMNQYLWSFLCGLGEAMYLNRTFVMDLSVCLSGSYNPSNKDEEGKDFRFYFDFEHLKEVASIVEEGEFMRDWKKWDKGHKKKIPVRKVVSHKVTPMQLRKDKNTIITRQFDAPEPENYWYRVCEGQAAKYIQRPWHAVWKSKRLMNIVTEISGRMDWDFDAVHVVRGEKAQNKELWPHLESDTAPDAILEKLKGMIQPWRNLYIATNEPFYNYFDKLRSNFKVHLLDDYKELWGNTSEWYNETTLVNNGKPVEFDGYMRVAVDTEVFYRAKTRVETFYNLTKDCKDGINTC >KGN64592 pep chromosome:ASM407v2:1:7057591:7059382:-1 gene:Csa_1G070580 transcript:KGN64592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFYNSYDFYDDSYYNYAQIEPPIPQSSNEPNFYNLFDYPPPCYFGQAYDYEVGYSANDAPYRSNFNELPQLIDHEPVDHGDYGYAIRYSANACSASSFTLPKLCEYNPDLYSEVSTQFVISYSVSQFNETEFEEYDPTPYDGGYDISETYGKPLQPSIEICYPPSSSSPSKSPPPPPPPTATAIPIITTIPKIDEAPKGKIEEQTKPSSEIKPTQIEKTNNSSSSDSDTTSESGEIEEDKAIQLGDPGIGYGNAREVNEFPSGCGLEAMDLCESLFGYWPCLSRAKRQTAYRQPKNGCGRCHGHCYCYGNYGNEWQTAAEYLFGSHNPYLDGRREGDVVYGYQRQFQEEPVYGYVWLNQNDLNRCEDV >KGN64465 pep chromosome:ASM407v2:1:6280458:6285644:-1 gene:Csa_1G057010 transcript:KGN64465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRSVVAHSPATPITAISSGEPRAPLFLKEANPLPLISLFTRVSLHHSLLRQRCSTLKAMADGETIAFSSKLTIPSASGKKLALISLSDKKNLAFLGNGLQELGYTIVSTGGTASTLESSGVHVTKVEEVTCFPEMLDGRVKTLHPSIHGGILARRDQGHHMDALKKHGIGTFDVVVVNLYPFYEKVTSSQEINFEDGIENIDIGGPAMIRAAAKNHKDVLVVVDTEDYPALLEFLKGSEDDQQFRRKLAWKAFQHVASYDSAVSEWLWKQTVGDKFPPSFTVPLALKSSLRYGENPHQKAAFYVDKSLSEVNAGGIATAVQHHGKEMSYNNYLDADAAWNCVSEFSNPTCVIVKHTNPCGVASRDDILEAYRLAVKADPVSAFGGIVAFNIEVDETLARELREFRSPTDGETRMFYEIVVAPKYTEKGLEILRGKSKTLRILEAGKNEKGKLSLRQVGGGWLAQDSDDLVPQDIKFNVVSGKAPQENELRDAEFAWLCVKHVKSNAIVIAKNNCMLGMGSGQPNRLESLRIALRKAGDEVKGAALASDAFFPFAWNDAVEEACQSGVGIIAEPGGSIRDPDAIDCCNKYGVSLVFTNVRHFRH >KGN65357 pep chromosome:ASM407v2:1:14320226:14320671:1 gene:Csa_1G370510 transcript:KGN65357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIMRKQGRTTIRLGGWRGENKQQPNMWKVLWRKLRREKRKILESSNTTTFYSSGPKLIPYDPFSYSQNFDQGTAGEELDNLSRSFSARFALADVASATFLHKQHLP >KGN66283 pep chromosome:ASM407v2:1:22493235:22497153:-1 gene:Csa_1G595870 transcript:KGN66283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQNPPKNKTAAPRKLKFAPKAPVRRIPKPEVKAEVAEDADAAQARDLLKRFNESTQRAKQRVGRKAAPTQVAFGSGGSSSTLRSYGVSKAGNRPRNEDGTLPASTSKEYVEPWDYYSYYPVTLPLRRPYSGNPDSLNEEEFGEASENLTYDENTTTAAMNLGLLEENPEADVLFLQLPPMVPMIKQSSSVEDMGSGNSSEQNKASQPRQKTCSMNELPSGSIGKLLVYRSGAVKLKLGDIIYDVSSGMDCGFAQEVAAINVEGKRCCIVGELSKRAILTPDVDSMLKNIEDL >KGN64341 pep chromosome:ASM407v2:1:5480200:5482266:-1 gene:Csa_1G046850 transcript:KGN64341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQFPAFMTQYPWSTRKIPTSFLLPSQWPQPQNEELLLAMEEADFEEKCNEIRKTNSNLVVIGKMTADNDKEDIEAEDDDADNADESEAEEFEQETG >KGN65711 pep chromosome:ASM407v2:1:17956563:17961293:-1 gene:Csa_1G505960 transcript:KGN65711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDNSGLLIQSLIDAVNEIAWISDFRYTVKKQYCNLSRRLKLLIPMFEEIRDSKDRITEDTLKALVLLKEALESAKKLLRFGSEGSKIFLAVERDQIMNKFHEVTAQLEQALEGIAYDKLDISDEVKEQVELVLAQFRRARGRAEAPDSELSEDILALNNMSNDSSIDQDRMRRLSEKLQLIGISDLTQESIALHEMVAATDGDPGQSIEKMAGLLKKIKDYVQTENLETDTPSREKSPPASCSGHVSNDKNNKTPIIPDDFRCPISLELMRDPVIVSTGQTYERSCIEKWLGAGHVTCPKTQQNLSSTTLTPNYVLRSLIAQWCEANGIEPPKRPNSARPCRSSSSCSAAERTKIDILLCKLASGNPEDQRSAAGEIRLLAKRNADNRVAIAEAGAIPLLVGLLSTPDSRVQEHAVTALLNLSICEDNKGSIISSGAVPGIVLVLKKGSMEARENAAATLFSLSVIDENKVRIGASGAIPPLVTLLSEGTQRGKKDAATALFNLCIYQGNKGRAVRAGVVPTLMQLLTPGTGMVDEALAILAILASHSEGKGAIRSAKAVPVLVDVIGTGSPRNRENAAAVLVHLCSGDEQLLVEARELGVISSLIDLARNGTDRGKRKAAQLLERINRLFEHAAANPEEVGVLRLQASESQPQSQPSQSTSTTEVVGS >KGN63498 pep chromosome:ASM407v2:1:403789:405183:1 gene:Csa_1G002670 transcript:KGN63498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSFRAFLNSPVGPKTTHFWGPVANFGFVAAGLADVKKPADMISGRMTGVLCVYSLLCMRFGYMVRPRNYLLMGCHAANESVQLYLLSRWALGQRKTVDYSNE >KGN66717 pep chromosome:ASM407v2:1:26786634:26788514:-1 gene:Csa_1G662810 transcript:KGN66717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGFGIVFIGVVLQSFLASSFDPSPLQDFCVAINDARSPVFLNGKFCKDPKYAVVNDFLFQGLNIPGNTENPNGSNVTLVNVDKLPGLNTLGISLARIDYAPYGLNPPHTHPRATEILVVMEGSLLVGFVTSNPDNKLFSKVLYKGDVFVFPVGLIHFQFNVGRTPALAFAGLGSQNPGVITIANAVFGSKPLIPVDVLEKAFQLDANIVAYLQRRFGEPSNY >KGN65837 pep chromosome:ASM407v2:1:18924747:18925226:-1 gene:Csa_1G533440 transcript:KGN65837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSLKHCLGCILPCGALDLIRVVHLNGLVQEFSPPLTAAQILQANPGHLLTTPSSHDHRLVRRVNILSPQSHLRRGGIYFLIPADHSDHGHRKPPAKKQSSAVSGAGVFPESEDVIVLKKDKPRRRDRRRSRSYGGAWQPHLHSISED >KGN64263 pep chromosome:ASM407v2:1:5036912:5039959:-1 gene:Csa_1G045610 transcript:KGN64263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKDYYNILKVSRSASDEDLKRAYKRLALFWHPDKNPSNKHEAEAKFKQISEAYDVLSDPQKRQIYDLYGEETLKSGKIPPPNPHATSSSAYSPVYQQFQRQHPNTSTFKFNPRNADDIYAEFFGSEGGGGSNNVDGGGKSRGVRDEFFRFQNGMENGSGVKGRKAAAVESALPCTLEELFKGAKKKMRISRNVYDVSGKFRTVEEILTIDIKPGWKKGTKITFPQKGNQEPGIIPADLIFVVDEKPHAIYRRDGNDLVVNHEITLLESLTGKTFELTSLDGRTLTIPITDIVKPGDEMVLANEGMPISKEPGKKGNLRIKFDVKYPSRLTTEQKSDLIRVLGVVS >KGN64706 pep chromosome:ASM407v2:1:7800818:7804372:1 gene:Csa_1G075600 transcript:KGN64706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLQPLFLLFFFFTFFSNPSLLSFSLTQEGLYLHTIKLSLDDPDSALHSWNDRDDTPCSWFGVSCDPQTNSVHSLDLSSTNIAGPFPSLLCRLQNLSFLSLYNNSINMSLPSVISTCTSLHHLDLSQNLLTGELPASISDLPNLRYLDLTGNNFSGDIPESFARFQKLEVLSLVYNLLDGPMPAFLGNITSLKMLNLSYNPFEPSRIPTEFGNLMNLEVLWLTQCNLVGEIPESLGRLKRLTDLDLAFNNLDGSIPKSLMELSSVVQIELYNNSLTGELPSGFSNLTSLRLFDASMNGLTGVIPDELCQLPLESLNLYENKLEGKLPESIANSPGLYELRLFSNRLTGELPSNLGKNSPMKWIDVSNNQFTGKIPGNLCEKGELEELLMINNQFSGEIPASLGSCESLTRVRLGYNQFSGEVPAGFWGLPHVYLLELVSNSFSGKISDAIATAKNLSIFIISKNNFTGMLPAELGGLENLVKLLATDNKLNGSLPESLTNLRHLSSLDLRNNELSGELPSGIKSWKNLNELNLANNEFTGEIPEEIGNLPVLNYLDLSGNLFYGDVPLGLQNLKLNLLNLSNNHLSGELPPFLAKEIYRNSFLGNPDLCGHFESLCNSKAEAKSQGSLWLLRSIFILAGFVFIVGVIWFYLKYRKFKMAKREIEKSKWTLMSFHKLDFSEYEILDCLDDDNIIGSGSSGKVYKVVLNNGEAVAVKKLFGGLRKEGEKGDIEKGQVQDNAFEAEIDTLGKIRHKNIVKLWCCCVTRDYKLLVYEYMPNGSLGDLLHSSKKGLLDWPTRFKIALDAAEGLSYLHHDCVPPIVHRDVKSNNILLDGDCGARLADFGVAKVIDSTGKGPKSMSVIAGSCGYIAPEYAYTLRVNEKSDIYSYGVVILELITGRLPVDPEFGEKDLVKWVCYTLDQDGIDQVIDRKLDSCYKEEICRVLNIGLLCTSPLPINRPSMRKVVKMLQEVGAENQLKSNSKDGKLTPYYYEDASDQGSVA >KGN65120 pep chromosome:ASM407v2:1:11980230:11981575:1 gene:Csa_1G228960 transcript:KGN65120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSKIYSLFLICMLFISSASPILGCGSCSGKPPRKTKPGSGSKSPKGPITLPPIPIKIPPVVPIPSVPIPPVVPIPSVPIPPVVPIPSVPIPPVLPVPPILGKPSPGVNTPCPPSGKETCPIDTLKLGGCVNLLGGLVHIGIGDPAANACCPIISGLAELEAAVCLCTTLKIKALDLNIYVPIALQLLITCGKTPPPGYTCSL >KGN64145 pep chromosome:ASM407v2:1:4360766:4365552:1 gene:Csa_1G042550 transcript:KGN64145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIRTPATPASKIERTPASTPGGPRSKEEKIVVTVRLRPLSKKEQQAKDQMAWECIDDNTIVYKSQPQERQTQPASFTFDKVFSPASLTEAVYEEGVKNVALSALMGINATIFAYGQTSSGKTFTMRGITEKAVNDIYKHISNTPERDFTIRISGLEIYNENVRDLLNTESGRNLKLHDDPEKGTMVEKLVEETANNDQHLRQLISICEAQRQVGETALNDYSSRSHQIIRLTIQSTLRENSDCVRSFVASLNFVDLAGSERASQTHADGARLREGCHINLSLMTLTTVIRKLSLGKRSGHIPYRDSKLTRILQHSLGGNARTAIICTLSPALTHVEQSRNTLYFATRAKEVTNNAQVNMVVSDKQLVKHLQKEVARLEAELRTPDPKREKDLKIQQMEMEIEELKRERDLAQSQVDELRRKLEEDQQGFNPIESTRPPVKKCLSFTGALSQRLDSKDLGRGMILRQSMMRQSSTAPFTLMHEIRKLEHLQEQLGEEANRALEVLQKEVACHRLGNQDAAETIAKLQAEIREMRSVRSEPKEVEVGSVIATNQSVGANLKEEITRLHSQGSTIANLEEQLENVQKSIDKLVMSLPSNYQQFMSSESTPKQKSSTKKKKLLPLASSNITNRQNFLRSPCSPSTTSQQVLESDIENRAPENDDVISSEILRESEKETPTKSEEGGDVSSKESTPCYRRSSSVNMKKMQKMFQNAAEENVRSIRAYVTELKERVAKLQYQKQLLVCQVLELEANEAAGNKLDCDENTFDQDDDQVPWHLTFREQRQQIIELWDACYVSIIHRSQFYLLFKGDEADQIYLEVEMRRLTWLHDHLAEFGNASPAHVGDEPTISRSSSMRALRREREFLAKRLTTRLTAEERDALYIKWEVPLEGKQRKIQFVNKLWTNPHDPKHIQDSAEIVAKLVGFREGGNMSREMFELNFVVPSDKRPWIMGWNPISNLLNL >KGN65882 pep chromosome:ASM407v2:1:19321066:19321527:1 gene:Csa_1G537325 transcript:KGN65882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSESFFKYGDINIFILNSLPTCRYTQFNFPVTALNLFTIEFTNRPGSFGTMSCPITTRSIFTPISFSFSLNAKFPTLGAPNDTTLTCTSAGNVTPASYAVNRDRAPPRLCPVMVKLISLSPFCLKKSISLCNSSITCSDALVLLNFESSSLDL >KGN66395 pep chromosome:ASM407v2:1:23255343:23264183:1 gene:Csa_1G600850 transcript:KGN66395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQREGVSAVSADVIFASSRFPNYKIGPNNQIVEAKDDPKVLSMKEVVARETAQLLEQQKRLSVRDLASKFEKGLAAAAKLSEEARLREAASLEKHVLLKKLRDALEALRGRVAGRNKDDVEEAIAMVEALAVQLTQREGELIQEKAEVKKLANFLKQASEDAKKLVDEERAFARAEIENAREAVQRVEEALQEHERMSRAAGKQVMDMEHELQALRLQLAEKSKYSILLQKELAISKKAMGDNSNLYEIDGTESLGSYLRIQPSCDTSPDLSKCSIQWYRIASEGGKKELISGATKTVYAPEPFDVGKILQADVILDDHRITLTTTGPIDPAAGLGSYVEALVRKHDVEFNVVLTQVNGVNNPSESIHSLHVGKMRIKLCKGKNTIAKEYYSSSMQLCGVRGGGNAAPQALFWQAKKDLSYILAFESERDRNAAIMLARRFAFDCNIILAGPDDRAPSAN >KGN63934 pep chromosome:ASM407v2:1:3140058:3141041:1 gene:Csa_1G029610 transcript:KGN63934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPKVLALIGIGLRIFVFICLLIALVVIATDKLSLINGFKATFNDIHGYRYVLSVAVIGLAHTILQLGFSIYHVLTQNIPFWNGLPQVNYYADQVITWMLATGVGAGFAVSRELKSYVDSRTKKEFGDNDYGFFSPAIEEQKSLIDDFFNKATVATAILFLAFISMATLLLLSPFNRIKPTPLPAQDPEAQKSEAQSSQVQHTEAQSSEPPSS >KGN65377 pep chromosome:ASM407v2:1:14661988:14662295:1 gene:Csa_1G391600 transcript:KGN65377 gene_biotype:protein_coding transcript_biotype:protein_coding description:DVL10 MVLTVGLSSTTMASHPRRRHRRRKRCLLVLKRLKTRCYILRRCIFMLLCWHDDAVSD >KGN63980 pep chromosome:ASM407v2:1:3483081:3483552:1 gene:Csa_1G032990 transcript:KGN63980 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding protein MASSKLGDDELKNLVSKLQTLLPQLNHKPHNSSEVSAGEILKETCDYIKKLQREVDDLSERLWKQLDSMGIDFEMVKDLLSFT >KGN66774 pep chromosome:ASM407v2:1:27559237:27560806:-1 gene:Csa_1G689640 transcript:KGN66774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPTKSLVFIVFFHLFIQISTAANYNVISFGAKPDGKTDSTQSFLKAWTSACSSSTRSTINVPKGRFLLTPITFRGPCKNKITFLLNGTLVAPLDYRALGDSGYWILFIKVDGVSFVGGTIDGKGTAYWACKNSAKNCPPGARSITFNWANNIILSGLTSINSQQTHVVINSCNNVVVKNVKIMAPDQSPNTDGIHVQSSTNVTITGSTIKTGDDCISIGDGTKNLFMSDIKCGPGHGVSIGSLGKEANENGVENVTLINAVFTKSDNGVRIKTWPTPSNGFVRHVIFQNIVMINVKNPILIDQNYCPNHQSCSLQSSGVKINDVTYKSIEGTSATSEAVTFDCSSSNPCSDIKLEDIKLTYKNKTTTSSCKNIGGSSIGLVVPETCF >KGN64523 pep chromosome:ASM407v2:1:6660010:6661592:-1 gene:Csa_1G062980 transcript:KGN64523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVELQACASGLVNPRGLCATIDHESKGETVNVIAQMADELQRERQRNAELMERISFLEAKLLEERVEDSQVADKLGSCSKTVGRSFKRLKRSKEETGRSHHNVEKNETLMKDGTDLLSSKDTNLEDQLVSWMSMDETQFVHCEKLKECDIRVDSVDTDETDDEENYYHEGREIPFDIKDWEINGNSKGANDPKQHIYLDSNSRYLENHSGAENNQTKAKEYGKIQEAKSEVEDKSEKTKNFELYDRPPNILVSGNEVCKVGFRNVSLQKKPPKLAFCPKEVKRIIESEVLLQKNAQSHTMRKIIVFSCLGIRHGCEEIYDLDFDQFSILRKGEPFISPQNPGEHVLYENHGIRRRIFYPNRHNPTLCPVQILEEEKSMRPLDVNCPSCFFLCIKYGGRTRNLPQNE >KGN66862 pep chromosome:ASM407v2:1:28367946:28373024:-1 gene:Csa_1G701380 transcript:KGN66862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGTSFLRQCIATTTRTLVTAKSKHSSYHFSTFLLPLRTHSRRLTIRAGITNNEEVAAKAAASDADSGAPTIFDKIISKEIPSNIVYEDDKVLAFRDINPQAPVHVLIIPKLRDGLTELGKAEARHGEILGQLLYAAKIVAEKEGIVEGFRVVINSGASACQSVYHLHLHVLGGRQMKWPPG >KGN64888 pep chromosome:ASM407v2:1:9732386:9732601:-1 gene:Csa_1G145900 transcript:KGN64888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGKRQGIYGGKRSSGNKAPEVNAVCSLKSPSVSSPLNDFNAANKKIQLDGSHKTACKGTHGFWYANGKRK >KGN65820 pep chromosome:ASM407v2:1:18772746:18773078:-1 gene:Csa_1G532280 transcript:KGN65820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKHHPDLIMCRKQPGIAIGRLCEKCDGKCVICDSYVRPCTLVRVCDECNYGSFQGRCVICGGVGISDAYYCKECTQQEKDRDGCPKIVNLGSAKTDLFYERKKYGFKKR >KGN65265 pep chromosome:ASM407v2:1:13238620:13239488:-1 gene:Csa_1G278500 transcript:KGN65265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIKSLAIVVCLLLSFSAILSEGRVARKDLGIDLGGVGVGLGTGIGLGIGGSGSGSGSGSGSGSGSGSSSSSSSYSSSSSSGSGAGSEAGSYAGSYAGSRAGSGSGGNRNGGSGYGSGYGGGSGRGGGSNDNGEGYGEGHGYGEGRGYGGGN >KGN64408 pep chromosome:ASM407v2:1:5932671:5936115:-1 gene:Csa_1G050470 transcript:KGN64408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTTTTTNVGGGGGGGGGGGGGGEDRVMATAQQILNSLNTPKDVRDDMLLIFSSFDNRLSNITSLVNSGDSKKEEDRFEAAEKVILRWDSGHGASRNSINWEDSPDEAAEYLTAVDDIIQWIDDLSIRSDSAEIVDRAENAIQIAMSRLEDEFRHMLIRSTVPLDADHLYGSIRKVSLSFASHDSEKSDEFESFADTHRGSGIYHERGVSLGDDLRVDLIHPDAVVDLKEIADRMIRSGYEKECVNVYTGVRRDALDECLVVLGVEKLSIEDVQKIDWKVLDEKMKKWIQAVKVSVRVLLTGEKRLSDYIFSGSDDSEEVCFNETAKGCIRQLLNFAEAIAIGERSVEKLFRILDMYEALEYVFPELQAMVTDEFVIEEARGVLSRLGEAAKGTFVEFENAVRSETSKKTMLNAEIHPLTRYVMNYLTLVVVYSKTLDALLEGDDEDLHHLGVDGADNLELETMSPLGRRLFSLIANLETNLERKSKLYGDDSIQYIFLMNNIQYIVQKVKDSELGKLLGDRWVRKRRGQVRIYATNYLRASWGKLLSFLKEEGTGGSSNSALKLATLKEKFKNFNAGFEEIYRVQTGWKVPDAQLREELRISVSAKALPAYRAFLGRHGSQLENTRHAGRYIKYTSDDLEGYLLDLFEGSSCVIHHLRRKSSS >KGN64225 pep chromosome:ASM407v2:1:4835778:4841223:-1 gene:Csa_1G043280 transcript:KGN64225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAGMPAVEEKVDEVEPSIMNNDESVEEDEGEEDLSWSSDSEIGDALDWLDAKEDRETVDGTFSLNARRPNAHGGLYSRHNSSTLQPLSNRNQKFTNHIRASPLAEWEGRFNVGMSNSVTTAIRDSVKEMAIGKTKTTEKADRATVEQAIDPRTRMVLFKMLNRGVFHDINGCISTGKEANVYHATKSDGQEFAIKIYKTSVLVFKDRDRYVQGDYRFRHGYCRHNPRKMVKTWAEKEMRNLMRLKAAGIRCPTPLLLRMHVLVMEFIGKTGWAAPRLKDAALSLNRLRESYLEIIITMRTLYQKCKLVHGDLSEYNILYFEGHLYIIDVSQSVDLDHPHALDFLREDCLHVSDFFKKHGVAVMTIRELFDFIVDPCLTDETMDNYLEEMQEKISARGDISVEDEIADSVFVQSYIPKTLDSVKHAEEDVIRLTSGQDTEDMYYKTITGLKQALPMAQPTSDLKDEEEHNADMIKPSESITGLSNSCEKATESTSEEDEESSNESEGEPGSSTETTQTPVDRKAARKENKKKVKEEKREARKNKVPKAVKKRKKKLAKSHK >KGN66102 pep chromosome:ASM407v2:1:21183255:21189727:1 gene:Csa_1G570760 transcript:KGN66102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNQTNISSSDRWRTNWTPAMEQYFIDLMLNQVHRGNRMGHTFNKQAWNDMLMMFNAKFGSPYDINILKSRYTNLWKQFNDIRNLLDNEGFSWDNARQMVIAENNIWDSFIRAHPDIQSYRNRPLINFNNLCLIYAHTAADGRYSMSSHDLDFDDDIMGLCIGVGINGLAPVNKESSRTGWTLAMDQYLVKLMIDQVRKGCRINGIFKKQAWEDMITLFNAEFGYQHRKSFLKHRYRKLKTYYIDLRNLLEARGFSWDEKQQILVADDGVWDDYIKANPDAHAYRKRTLLNFLDLCLIYDDTMSNGHCDHMQQLERFECAPEDSEEEDIQCHADRYSSSMQWSFEMDGYFIDLMLESVGKVKKFDYNDDLTWTNMISSFKERFGLVFNQDSFRRHFKSLEKKYFDLKNILKQRGFWWDERRHSVNAYDDTWAAYIKEHPHAKSYRTGPIPSYNDLCLIYGNLVPDTRPGPKHLDQEIASDGKDAKTSHRYHWSSDWTPQMDRCFIDLMLSQVRTGNMVDKKFNKQAWDDMVSKFNAEFGPQHDENVLKSRFFNLRKRFHDMKFLLNQDGFVWDELLQMIIAEDDLWDAYIEEYPDAKSYKSRALPNFNDLFLIFGKDNTSNHQHYLFNSVDADDSYPEVNIVNEAEEQFFSDNSDETIIEWTNEMDDHYVDLMLEQVRRGNKTGSTFTDHAWAWMVTSFNKTFKLTCDRNFLESRFFTLKKEYKDAQHIVDQKNMARAGIHQSTATNNEVSETHIKELANYSERGGRSFDRYDDSCMIYEDQFEDERLGSSSMNVQVEDGTQKIGRSDLFAECKSHGRKCEVSYQRKRLKSATPSTFVGNKKVKRVKEEMQEIGSNKASLTKNVANVIDYSIENVVSALQSVPDMNDELFLEACKLLEDERKAKVFVAMDVTTRKKWLSKKFCR >KGN66795 pep chromosome:ASM407v2:1:27783547:27792388:1 gene:Csa_1G690320 transcript:KGN66795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNKSQGKFPALCLLEKPLVQPHLVASSLEVNPQNVNSDMALKRKEKSVEISPKAHQEDNSDVAFIQPGLILSPSLKLKALPALESVSSLSSSSPLLSMGNSDSKSSKVSLNSVIKQAAREANKLEPFQKLLIGASLRHGLKEVKKLKSSKVPKRNYPLFKPLRKQFSRKKTFNNSWTKITNPDLLEGRPKQRFGSGSVPTHLVGASLLRGEWKGAVNMILDPREGDILLLMRVQGRIARDYYKESDDIDGTLKQLPRYLVAERAILQCLKKCPGNYLQALKAIPRTLRMMYVHSYQSYLWNHAASMRVQKYGIDKVVVGDLVYCKENHTETAAVQNSEEYEDEDCGDANSYDSCHLEEVCKLGLPTERHKLVKAVTAGDVLSGNFTIDDVVLPLPGSRVLYPTNDIAEVYNDLATKVLY >KGN64315 pep chromosome:ASM407v2:1:5337760:5343536:1 gene:Csa_1G046110 transcript:KGN64315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETFFPFRGIKNDIRGRLLCYRQDWTGGFRTGIRILAPTTYIFFASAIPVISFGEQLERNTYGTLTAVQTLASTALCGIIHSVFGGQPLLILGVAEPTVLMYTFMFDFAKDRKELGQELFLAWTGWVCVWTALLLFLLAVLGACSLINRFTRVAGELFGLLIAMLFMQQAIRGVVEEFGIPQRENPNQVSLQAPWRFGNGMFALVLSFGLLLTALKSRKARSWRYGTGCLRGFIADYGVPLMVLIWTALSYIPVNNVPLGIPRRLFSPNPWSQGAYSNWTVIKEMLRVPPLYIVGAFIPATMIAVLYYFDHSVASQLAQQKEFNLKKPASYHYDLLLLGFLVILCGLIGIPPANGVIPQSPMHTKSLATLKHQLLRSKLVAAARQSFRKNSNLSQFYQNMQEAYNGMQTPLIYQNPPVAGLKELKDSTIQLASRTGYIDAPVDETVFDVDKDIDDLLPVEVKEQRLSNLLQAVMVGGCVAAMPLLKKIPTSVLWGYFAFMAIESLPGNQFWERILLLFTAPNRRYKVLEEYHATFLETVPFKTIATFTLFQTVYLLMCFGLTWIPIAGVLFPLLIMLLVPVRQYLLPKFFKGAHLQDLDAAEYEEAPAIAFNPSFEDRMGRTPNIDDGEILDEMITRSRGEIRRTPSSKVTSSSPTTLEGIKSIYSPQIPQKLYSPRINELRRERSSLSPGKGLDFKLNGSPSPSEEPGPGPSFRN >KGN63598 pep chromosome:ASM407v2:1:1053556:1057614:-1 gene:Csa_1G005590 transcript:KGN63598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPSKRREMDVMKLMMSDYNVEMINDGLSEFNVEFHGPKESLYEAGVWKIRVELPDAYPYKSPSIGFVNKIFHPNVDELSGSVCLDVINQSWSPMFDLLNVFEVFLPQLLLYPNPSDPLNGDAASLMMKDPKQYDEKVKEYCERYAKKDNDIKCTGEDESEEDEISDNESGSSGKDDDDDVAGHADP >KGN63696 pep chromosome:ASM407v2:1:1609234:1611558:-1 gene:Csa_1G011480 transcript:KGN63696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLQFPRSAHSDCGRFFHFCLLDGVPTPMEATSSSPSPTRSRGGQRDSGSGSGDERPRFFDAKAKASCWAKADVVPGRHPERWRKDAAGNVVCKRFCNCQGCLCFEYDHIVPYSKGGESTADNCQILQTRVNRFKSNKDDVDTSELKGYSCDVKFTDKELDIIEMAVYGDVIRPGNQCRCRTVSEVLGQYKSKDRLAPCKLPYNEEGSL >KGN63884 pep chromosome:ASM407v2:1:2756843:2773136:-1 gene:Csa_1G025160 transcript:KGN63884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFVGFIGFDDFSFQLATSLIRAGYRVKGFEINQAWKDKFLKSGGINCASIVEAGEDVAALFILNSHLNVINDSTFGNALRGLQKDVVVVLVSSTPLRNDVQNLEKLFTVDYEIHNLVEAYVSKGVSEAPDGQLLTVASGRATAISRARPFLSAMCEKLFIFEGEVDAASKTNMVIELLKGIHFVASLEAICLGVKAGIHPWIIYDIISNAAGNSWVFKNYVPHLLKGDVGPEFLRSLVQDMGIVMDKAKSHTFPLPLLAVTHQQLMLGSSHGYGDEDVLLEQAWKSAYGVSISDAANTEVYNPEQLADEITSKSSSVKRVGFIGLGAMGFGMATQLIRSDFCVIGYDVFKPTLTKFTDAGGLTGNSPAEVSKDVEVLVIMVTNETQVESVLYGEAGAISALPYGASIILSSTVSPGYVSQLEQRLGNEGKNLKLVDAPVSGGVQRASKGALTIMASGTKEALRSTGSVLSALSEKLYVIKGVCGAGSGVKMVNQLLAGVHIASGAEAMAFGARLGLNTRILFEVILNSQGTSWMFENRVPHMLDDDYIPYSALDIFVKDLGIVSRECASHKVPLHLSVTAHQLFLAGSAAGWGRQDDAGVVKVYETLTGVKVKGKPPTLKKEVVLRSLPPEWPEDVIGDIQQLNERNSKILVVLDDDPTGTQTVHDIDVLTEWTLDSLIEQFRKKPQCFFILTNSRSLSSEKAGALVEQICTNLRAASESVEYSDYMVVLRGDSTLRGHFPEEADAAISVLGVVDAWIICPFFFQGGRYTVDDIHYVADSDELIPAGDTEFAKDATFGYKSSNLREWVEEKTAGRIQAGTVASISIQLLRKGGPDAVWEYLCSLEKGRACIVNAASERDMAVFAAGMIKAEMKGKNFLCRTAASFVSARVGITPIPPLLPKDVGIDKERNGGLIIVGSYVPKTTKQVQELKLRCGLFLRCIEVSAAKLSMSTEKEREEEIKRAAMLADIYLKAHKDTLIMTSRELITGKSPLESLEINVKVSAALVEIVQRINTRPRYILAKGGITSSDIATKALGAKCARIVGQALSGVPLWQLGHESRHPGVPYIVFPGNVGNSEALAEVVSAWTLPAKLSSSKDILLSAERGGYAVGAFNVYNLEGVQAVVAAAEEQQSPAILQIHPGALKQGGLSLVSCCIAAAERASVPITVHFDHGNSLQDLLEAIELGFDSVMADGSHLPFKENIAYTKFISSLAQSKNMLVEAELGRLSGTEDDLTVEDYDARLTDVSQAQQFIEETGIDALAVCIGNVHGKYPPGGPNLKLDLLKDLHALTSKKEVFLVLHGASGLPENLIKACIKNGVRKFNVNTEVRKAYLDSLNTPSKDLVHVMESAKESMKAVIAEKMHLFGSAGKAS >KGN66509 pep chromosome:ASM407v2:1:24390316:24393255:-1 gene:Csa_1G616270 transcript:KGN66509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHELLLALLGYTGDLIIDEREHYNSLGLDNLLVDAPISGEPTFKLASDISFLEPSERDLIQRIIVLGFYYRELDRFATKSRNLSWIRSGNESSLANSTESSKDKIENPSVYRRAIANGIVEILSIYRSAVLHVEQKLLSEMVPILAIVTQGLDKFFVLFPPLHQLIREIERDDIRGGQLLNLLHKRCHCGVPELQTCIQRLLWHGHQVMYNQLASWMVYGLLQDKHGEFFIRRQDDRETNQGSSVQDMSEKLGRLSTDESLTDWHLGFHIYLGKQKCAKKTITMKEEFFEKLEEDASQEDETNKQNYLDSNQKTSDS >KGN64040 pep chromosome:ASM407v2:1:3745989:3754219:-1 gene:Csa_1G039070 transcript:KGN64040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGNEVGDRVHNFFGQENLYQGQHQSQAADGSWAGLNNNLWVRNQREINSPFISNLKNYNAHQPDSGGLGQPSNSLHGLNFSQSYINSEIGRSESQNQHQNLNGYATGQQLFHARQIEANFLGPDAVSDRHLTSRGLSIHEAQQVNNPELSKKNVARLETTDSPVNFDFFGGQQQLNSRNPSVTQILPKQQLGNPDMQLLQQQAMFSHIQEFQRQHQYQQQEARQHGLMSQISSKPGAGNHSAALIDGIPVNELSTSPWQPEHMGSNTNSLQHSLSTPMQGPSSGFVFPSEQQQALRMMGLIPEQVDQSLYGVPISTASSFPGSNSLIPTDKPAMQQLSVSNNPISGSHYTAYPDQVSMQDGMVVRQDFQGKSMFGMSASQGLNGGLNSENSQHVNLQHRHASMQEFSGRQEFDGRSQMSQEKTMAQIAPSQNVATLDPTEEKILYGSDDNLWDAFGRSDNITAGGYSMADGSDFNSGYSFLQSGSWSALMQSAVAETSSGDMGVQEGWGGVNFNNSGPPNGNQQHSEANDSGKLQPVWVDNNLQTLNSRHASVSAEANTKPNNYINSANVPSFQQPVQKSFFQQTEGFQNSSAQNSTPSSLEGERKWVDRNLQPKSHAEGRNLSENEGNTSGVEINTNNLSGSWLRQQSVATYNSQPSKPNGWSYIEPMISHEGNNMKNHENHNMSQSSQGGDHKRSMREEMGSSATFKQNQDSISNPNDELQHANHAVENTQVYNEGSNLMNNAAIANASSLRDDLGSRQQNPVNRNLSFWKDANSSMDLKESGFMAKYQHHIDKGSQILESGNSCLEKNATEMNEVENSNASDTHTSSGSKQKGGNTIRKPSVTSRRFQYHPMGNLEMDVEPSFGTSHVTQPQAHVQQNSHGLKGSEPSNLRQSKSGTEGNSIDVEKSEMRPFGDLPSKRMLPPFGARFSSSLDKLAGHDPRNVAFPSSQNMLELLHKVDQPREHNNATRSPSYRNHSSEMGEAETSEGSVGQTPRNQSSDSQVFGLQLGPPQRLSMQDAALSSHCSLPMVMNSTHSTSESGERGHMLLPPVASKQRDFRNNITGPSGHNGNKIPPINAPGNLAAASQSAFPYPRSHLQNQHLVANHSANVFSDRIGTHSRYFDNSSERVDNSHMASTDISRSSLQMNLVTSADTSQQNSGDISNAQNLPQLAQEFGSVSTSQRASFSKVSSNEWANVTNQKHSLHVDPSKAASDLFKSRMHMDSADKTFPGQKEIDNREKLELEAMAHGENSINMQNIIGREKQMQESPGKQISGGKSEISLQAPTGSGGLESAGHPSLGASPSNSMGTRGNVETVGHSMHPNINAQQHYTLLHQMQAVKNAENDPTNRTVKRFKGPDCGLDSQQVAMDGGQLLSHGHSNAIRESSLNHASISHVDAAAGNFSSKKGDAYVSPGSDIASSVRSEHSQISPQMAPSWFDQYGTFKNGQTLTVFPGSKNATIKSPLDQPLIVERAPDFNAQNSVKQANASADGSEHNNAREISNLMSIELRNFSAGHSLPLDFINQSLAAARPKKRKSSAPELLSWNAEMTQSFRRLQDISMADIDWAQATNRLIEKREDDVEMGDDGIMMKLKRRLNLTTQLVQQLLRPPPSTTLSSDASLHYESVAYLVARLALGDACNIVSSTGTDNAVPPESRDPLPDRPKVPGKFDIHKIIEVVEEFTKRGQKMEDDLLRVEKRASILDLRVECQDLEKFSVINRFAKFHSRGQVDGGEASSSSDLTASSQKSCPQRYVTALPIPRNLPDRVQCLSL >KGN64789 pep chromosome:ASM407v2:1:8567640:8568094:1 gene:Csa_1G097680 transcript:KGN64789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFTTIMTNPYAPNDSLYDTCSFEIQTVSHSMAQRSSSVEPLSSSCFSWEARFSLVSPPSKARLSLVDSPYVVFKKLVSPYWFSLYEFK >KGN64372 pep chromosome:ASM407v2:1:5738879:5741232:-1 gene:Csa_1G050110 transcript:KGN64372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDCYQRLSSGHSYNDFLEFLEADIRHANAFAASFPRVKDGSSFQMKLVCNHLTPVILYLLQWVDCFCSFLPLSYFNLFHIVLYKVDFHGRPDISSYGRKATISEFYSVILPSLRRLCDYASQIESIEDLHKGMAISKRLEHKREFLDLEIEREDECGICFESRTKIVLPYCCHAMCTNCYHDWKSKSESCPFCRGSLKRVASGDLWVLTCGNDVVDPRTIMKEDMLRFYLFVNNLPEDTPDVLFLMDYEHLL >KGN65725 pep chromosome:ASM407v2:1:18105467:18106164:1 gene:Csa_1G522510 transcript:KGN65725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSVLDLMEIKWWRMVSSEFCSPKNPICFLLLLFDQIPSPFDSTHLNVCFHLLFLPCQLRFLLGTLNLSTEPGAQFCDP >KGN66500 pep chromosome:ASM407v2:1:24284942:24288211:1 gene:Csa_1G615190 transcript:KGN66500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLRSTYKDEDDEEDESVRPSEIDPPELADPPMDTNVSGSSTDPQDGTRQDPPDASEEISEEEPASDDTEKSAKLAKSPGNDDDDEDPPSKKQKQLSSLNQPVEEDKSALPGSNSAKNDGSAGGNAAPVATALNPKKSKKKNNNVWVTKSTRKGKKKNKANNNNNAPTEDPVLITPVPRFPDKGDDNNDMQICLSKVYKAEKVELSDDRMSAGSTKGYRMVRATRGVEEGAWYFEIKVVSLGETGHTRLGWSTDKGDLQAPVGYDGNSFGYRDIDGSKVHKALREKYGEEGYKEGDVIGFYINLPDGALYAPKPPHFVWYKGQRYICAPEGKEEPPKVVPGSEISFFKNGVCQGIAFTDLNGGRYYPAASMYTLPNQPNCVVKFNFGPDFECFPEDLQGRPLPQPMIAVPYHGFDNQVENGVSSEKNTLVTK >KGN63667 pep chromosome:ASM407v2:1:1459117:1459515:1 gene:Csa_1G009710 transcript:KGN63667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKRLVVVRKSDGSNGRRNHHWSSSGSEVRYAECQKNHAAKLGGFAVDGCREFMARGEDGTEEALNCAACGCHRNFHRREVDAEVVFEYSPPNSN >KGN66883 pep chromosome:ASM407v2:1:28584630:28588290:-1 gene:Csa_1G703070 transcript:KGN66883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGWSEEQAAQPQPQPAAANAAVRSNKHRGGNSSNNNLKEEKEKEVKGKLGEEMKVGHGIEDILKDADLPVDRSSLDKLYEQLYVGIFLNKRTKKYWLDKKLKSNCFMLFPRALSITWAEENKYWRWRSLQDSSNTIEVVELMNVCWLEIHGKMKTCELSPGICYEAAFEVMIKDPSYGWDIPVNIRLQKPDGSKQEHKENLEQRPRGRWFEIPIGDFIVLDHEKEGEIDFSMFEYEGGMWKKGIVLKGLSIRSKG >KGN65452 pep chromosome:ASM407v2:1:15399797:15401602:1 gene:Csa_1G423100 transcript:KGN65452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEYWSSLASLLGVLAFCQTLLQAIFPPELRFAAVKLFNQLFRCFSSYVYFDITEIDGVNTNELYNAVQLYLSSSVSISGNRLSLTRALNSSAITFGLSNNDCILDSFNGVTVQWEHIVTQRQAQGYLWRPLPEEKRGFTLRIKKKDKPLILDSYLDFVMDKAEEIRRKNQERLLYTNSRGGSLDSRGHPWESVPFKHPSTFDTLAMDPLKKQQIMEDLRDFANGQRFYQQTGRAWKRGYLLYGPPGTGKSSMIAAMANFLGYDIYDLELTEVHNNSELRKLLMKTTSKSIIVIEDIDCSINLTDRKKKSPVSGMRSYYDLPDFRCGGGNGGGYGSISGDDGGGNSITLSGLLNFTDGLWSCCGSERIFVFTTNHIEKLDSALLRSGRMDMHIFMSYCSFSALKILLKNYLNYEEDDLDSIVLNEIKDVIDKAKMTPADVSELLIKNRRCKNRAVTELLETLKSKAEKNEKNSGELRKKEMGLEEEEEQEKRTLDSPKEGSEFEEEEEDCRKETEEEEDDDHEKNNNFIQ >KGN64886 pep chromosome:ASM407v2:1:9704142:9706992:1 gene:Csa_1G145880 transcript:KGN64886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLGSSLRTLQNKAKITVGNGRLQSSIHHIKHFLHPHGFLYHQSLPFISLPSRPRYAHQLFDETPLKDISHYNRLLFDFSRNNHDREALHLFKDLHSSGLGVDGLTLSCALKVCGVLFDQVVGRQVHCQSLKSGFLEDVSVGTSLVDMYMKTEDFEDGRGIFDEMGIKNVVSWTSLLSGYARNGLNDEVIHLINQMQMEGVNPNGFTFATVLGALADESIIEGGVQVHAMIVKNGFEFTTFVCNALICMYLKSEMVGDAEAVFDSMVVRDSVTWNIMIGGYAAIGFYLEGFQMFHRMRLAGVKLSRTVFCTALKLCSQQRELNFTKQLHCGVVKNGYEFAQDIRTALMVTYSKCSSVDEAFKLFSMADAAHNVVTWTAMIGGFVQNNNNEKAVDLFCQMSREGVRPNHFTYSTVLAGKPSSLLSQLHAQIIKAYYEKVPSVATALLDAYVKTGNVVESARVFYSIPAKDIVAWSAMLTGLAQTRDSEKAMEVFIQLVKEGVKPNEYTFSSVINACSSSAATVEHGKQIHATAVKSGKSNALCVSSALLTMYSKKGNIESAEKVFTRQEERDIVSWNSMITGYGQHGDAKKALEVFQIMQNQGLPLDDVTFIGVLTACTHAGLVEEGEKYFNIMIKDYHIDKKIEHYSCMVDLYSRAGMFDKAMDIINGMPFPASPTIWRTLLAACRVHRNLELGKLAAEKLVSLQPNDAVGYVLLSNIHAVAGNWEEKAHVRKLMDERKVKKEAGCSWIEIKNRIFSFLAGDVSHPFSDLVYAKLEELSIKLKDMGYQPDTNYVFHDVEEEHKEAILSQHSERLAIAYGLIALPPGAPIQIEKNLRICGDCHNVIELISLIEERTLIVRDSNRFHHFKGGVCSCGGYW >KGN65395 pep chromosome:ASM407v2:1:14910985:14917222:-1 gene:Csa_1G407190 transcript:KGN65395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLDRVSLGLPTIEVRFQNLNVDAEAYLGTSASPTIFRYFLDLARSAANFIHLYSSQKQQFSILSDVSGIIKPGRLTLLLGPPGSGKTTFLKALSGKLESNLQFSGTVTYNGHEMKEFVPQRTAAYISQYDIHVPLLTVRETLAFSARCQGVGTGYGLTFETHFQDFLFETFFGKLVFVSETGFINDLDMLTELLRREKQHNIKPDPYIDALMKASVMKGQKEDIVTEYILKILGLDICADTIVGNEMLRGEMLVGPVNALFMDNISTGLDSSTTFQIVNCIRQSIHIFNKTAVISLLQPPPETFELFDDIILLSEGHIVYQGPREHVLEFFESMGFKCPERKGVADYLQEVTSRKDQRQYWRNHDMEYHYISAEEFVEAFKSFRIGVAIEHELAIPFQKSRSHPAALTKTKYGATKKELMKACLAREVTLMKRSASLHIFKIIQLEMSAIVVALVFAQARKQHDNIQDGLVKLGAIYFGLNSLTFTGFFELPLTIDKLPIFYKQRDFLFYPSWAFSLPSSILGIPVSFIEVALWVATTYYAIGFEPSFTRVLKQFFVYTLSGQMSYALFRCIAAVARDHVVANTGGCLGVLWLLIFGGFVLSHNNMQKWLSWGYWTSPLMYAQTALSINEFLGDNWNRALNGSTESLGVSVLKSRGLFVNPYWYWVCLVALVGFIILFNVISAVALAFFNEYGKSQTVIPHKKTEKEQSDMVGEEKGHLFKDNKSSSIGSKTDSMSISKSNLATISSIDSEVNRHTNQKMLLPFTPLCLTFENVKYSVDMPKAMKVQGESSGRLELLKGVSGAFRPGILTALMGVSGAGKTTLLDVLAGRKNSGYIEGSIRISGFPKKQETFARVSGYCEQNDIHSPYVTVYESLVYSAWLRLPSEVDSKTLELFVEEIMELIELTPLRDSLVGFPNVNGLSIEQRKRLTIAVELVANPSIIFLDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFESFDELILLTRGGEEIYVGPLGAQSCLLIKYFEEIHGVDSIRDGYNPAAWVLDMTTRTQEDILGIKFAQIYKKSDLFRRNEALIKELGEPHPDSQDLHFPSKYPHSYLTQFKACLWKQHKSYSRNTAYTAVRLVFSASMGLMFGAVFMGLGSKRSTKQDIFNSIGAMYIAINFMGSQGALTVQPVIITERTVYYRERAAGMYSALPHSFAQVYFLQLQY >KGN65014 pep chromosome:ASM407v2:1:10943889:10944281:-1 gene:Csa_1G181270 transcript:KGN65014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVLKACKGIKALSYGILAHSLATKHTCTWLTLRGDGYNGLQAFRQMLLALYGEL >KGN66046 pep chromosome:ASM407v2:1:20868464:20869819:-1 gene:Csa_1G569235 transcript:KGN66046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSIAIAVAFLVVSLCQFQILAQTPQTPTTSGGNDLISKTCSSTSYSEMCKTILQSSPNSKGADLYGLAQIVMNVAADNVSSIYENINQLQNGTSVDSFLDSCLTDCLESFQDAIDQIEDSVTALEFKAYNDVKTWISAAMSDVATCDSGFKEKQGYQSPIAQMTSVFDQICSIILSINQLLSQGNTN >KGN64431 pep chromosome:ASM407v2:1:6063743:6064352:-1 gene:Csa_1G051690 transcript:KGN64431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGMKKKWSKYMGLKGLGRSRSVTGGTAVGPEGMSKKSKSLNSGPKYKTPVAPDGCFAVYVGAERQRFVVRTEFANHPLFQMLLEDAEVEYGYNSQGPILLPCEVGMFYNVLAEMDDGGDGISNRWTGGESGGLIACSPLRLTSCGSRNGGGYRVLSPSSMLKLNGL >KGN63491 pep chromosome:ASM407v2:1:349664:350162:-1 gene:Csa_1G002110 transcript:KGN63491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIVKSGAQYDRVLSYFDEDGDGKISPSELRNRLGLIGGELQQAEAEAAVESLDSDGDGLLCVGDIERLLEVGEEEKLKDLKEAFALYDSEGCGFITPKNLKKMLRKLGERKSTEECKMMIRRFDLNGDGLISFEEFQIMMA >KGN65656 pep chromosome:ASM407v2:1:17308933:17309214:1 gene:Csa_1G479620 transcript:KGN65656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKGRKPLKNEKRTLTVDQRRRKSKPSDLPSEARDSFFSRARAFVSLSSHLLFTLSPFPPLTILINIDPNSLILLQTLGLGLHLHLHSGVSFS >KGN66011 pep chromosome:ASM407v2:1:20567850:20570180:1 gene:Csa_1G561420 transcript:KGN66011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKEEQHFNGSFSTPFSHHLNYNNLLNHHQYLSTPLSPNHKLHHCTPQTQHQEEELMESLMKLPAIGLKLQISPSLLKQMQRSTLSKRKRIINNARTDHHDGERLKASILGALMLQIGSWQLVAKNEGDLVAKFYFAKRQLVWEILRNGLKQKIEIEWSNIIGIQAFLEEDKPGILEVELNHPPKFYKEIEPEPRKHTQWTDGSDFTEGQAYVNRRHCIVFPPKVLDKHYEKLKDKDKHLFELSRRPFPTLHFSYFSSEVAFNNQISSIIQFEDIMFNQIPSFPPHLSANEIHSLTSPKSVVDYNTPHFNEIITHQDERMEVLNKGNSMRRYEAEASSTNYYNNHGLDNANPMASMFWLGQNGEAAAATNYLSHQQNKSYYDDNIEYCFNGSVMDMRTPQLPSGHFF >KGN63725 pep chromosome:ASM407v2:1:1786247:1790051:-1 gene:Csa_1G013240 transcript:KGN63725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSKLDILINAGELRAAQARPLHFQMAANSSTSTNDRALSTATSNHFFEWREFLWGAIAGAFGEGMMHPIDTIKTRIQSQAILYGSQNQKSLLQMVQSVWKIDGLRGFYRGIAPGITGSLATGATYFGVIESSKKWIEETHPSLGGHWAHFIAGAVGDTLGSFVYVPCEVMKQRMQVQGTRSSWSSLPMKNNISMNHGGQMYGYYSGMFQAGRSILKEQGLRGLYAGYWSTLARDVPFAGLMVMFYEALKDFTEYGKQRWMPNSDVNSSLEGLVLGGLAGGISAYLTTPLDVVKTRMQVQGSTLRYNSWLDAVRTIWRSEGTKGMFRGSIPRITWYIPASALTFMAVEFLRDHFNERLDDESKIEAATLSGDSKGTMREAALTARD >KGN65347 pep chromosome:ASM407v2:1:14207764:14208667:-1 gene:Csa_1G364460 transcript:KGN65347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENDSTILNSSPPPSPSSSGSLSPPSSSSAVVESNRKRLKRPRENNNNNNNSKHPVFRGVRMRAWGKWVSEIREPRKKSRIWLGTFSTPEMAARAHDVAALTIKGTSAILNFPELAHQFPRPASTSPRDVQAAAAKAASMEIPTPPLSSSSSTSTSSSSSSSSSASLCPSSPEELTEIVELPSLGTSYETAELGNEFVFVDSVEGWLYSYPWYNRVSNAEQVEELQEDYGLFFKDQILMADYTDTFLWQN >KGN63567 pep chromosome:ASM407v2:1:800342:803800:1 gene:Csa_1G004340 transcript:KGN63567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVKFASISCSVPTLSSPSDGRRSFQLSFSRGLYGIGSQQRSFQNLTFAEVESIKLMYGLLRSWSRFPRRKNVLSAISENQSQWCEQVELERVNEHPTDEDISLENNSFLHYEGTGGKPGFISFYNHSKEGKRIPLSSVQSNQYKFLWFVGPAVLVASFIFPSLYLRKLLSNIFEDSLLTDFLILFFTEALFYCGVAVFLFLIDRSRRTAEPDTLKNSYQTLSNQFGQRISSVATLALSLIIPMVTMGLVWPWTGPAASATLAPYLVGIVVQFAFEQYARCKKSCSWPVIQIVFQVYRLHQLNRAAQLVTALSFTIKGAEMTPNNLAINSSLGTLLNVLQCLGIICIWSLSSFLMRFFPSNAATVQ >KGN63726 pep chromosome:ASM407v2:1:1790989:1797616:-1 gene:Csa_1G013250 transcript:KGN63726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELRKTEEKLSVSSSLSSSLSSSSSCSSSYWSSRGENHEDRSSKRSPRMRFIDFLRDKEWEWKLAEKRFDQLCWICAGNEPAIKSSNFPFCIGMSESQEFAYQLLRALRRRKNWKIDITKSEFHILWCHFTHSDATTTLFHLCDRNMDGKVTENDIKQIIMLTASTNKLSVTHEEGEDYAALVMEELDEEKQGYLELHQFENLFKISSSNVLSPLNKQSSNKHIWQSHDSFQESIYEAEILLRSRWRRAWTVALWLIICLALFTWKFIQYRQRKAFEVMGYCLSIAKGAAETLKFNMALVLLPVCRNTITWLRRNSSLNSVIPFNDNVNFHKLVAGGIVAGVILHGGTHLACDFPRISGCEKSIFKKTIAAQFNYHQPSYVEMLATTESLTGIIMVILMFIAFLLATKWPRRKSTSLPRSIQQVTGYNTFWYSHHLFIFVYALLIVHSIFLFLTDKTTEKTTWMYIAVPVLLYSGERIFRAIRSCLYEVNVLKARTYSGKVLFLKLSKPERFKYQSGMYVLIQCPQISPFEWHPYSLTSAPQDSHLSVHIRNLGDWSYELYSLFHEGTFPSKNYPKIFIDGPYGAASQDHVKYNNVVLIGLGIGATPFMSIMKDIVIKFTEKSNRRGDSGKGNQSTSKTYLYWVTREQSSFDWFKDFMNDLTFTDQTQLAVEMHNFLTSVYHEGDVRSVLIRAIQALHYTRRGIDIVSKTPVQTHFGRPNWINIFSSLARRHARERIGVFYCGPLALARELEGLCTKFSTKTSTRFVFHKENY >KGN66295 pep chromosome:ASM407v2:1:22544986:22548082:-1 gene:Csa_1G596450 transcript:KGN66295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAISIYRPEFLGSVQDRCRNHLKFHRTSAFASWNMTMDYKSHQTMKKEEVSIQISTPLLLPKLKPLAWSGLQFDRPPPDDEDLIHLRKLEFGQFVAREAVIDEELWTAAWLRAESHWENRQNDRYVDSFKRKFAEQEFNAIKKRCGGQYGQTCTCIVTVRKEQKHIKRTVIKSVVATLDLCLRHLMHGETFPGEREKSHVCSINKEIPNKYAYISNLCVLKAARRQGIAGNMLKFAVLTAKSRGIEQVYVHVRRNNTPAQALYQKIGFEVVETASSQLVEEQTYLLCLNTEKLNNEH >KGN65955 pep chromosome:ASM407v2:1:20076157:20077416:1 gene:Csa_1G542460 transcript:KGN65955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMSENFIVFFLLTMTTCSSVIFSASVIEDLNTLHPPLDFNSTISKNCHLNPSLRYCSASPMDLIEIFKSSIVASHLCNESRNPNCVESFPKIDLRSRPKIAPLYLSFHFFWKYCPLSIHSIDLSNNSLKGSFPVDVLECKQIEVLDLSRNELDGEVPFRIFSDITNLTVLNLSNNKFTESKMSDLELFFKRFNSSSFISSGLLPDHRKYQMKAVILLFVFPILVIVVVWFLWWLCFHRPDFLPRMLRRKHKFTPAMLRAATGGFLKKNLIVKCKGVDIYSGVLRDGTEVRIEIYGNEIARESRKEFIDECKILTQLSHKNLVKLLGWCGNRRMRAIVIEWSEGESVDMWLSRSPPPWKHRLKVAKGVLEGMLYLQEEWPGVDYDLRTNSLLLTRKLVPLISRFKLGHRNSSSKSKSI >KGN63763 pep chromosome:ASM407v2:1:2007039:2011209:-1 gene:Csa_1G015580 transcript:KGN63763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRIVRNKWTLKSSMVMGLKALGESKIEKMKSSMVSPRSKMKLWMIRAMTSILLWTCIVQLTALGETWGPRVLKGWPSCFTQESASVDAFGIPNKPVPVPPKIVLPPKRVYKNNGYLMVSCNGGLNQMRAAICDMVTIARYLNVTLIVPELDKTSFWADPSEFQDIFDVEHFITSLRDEVRILKELPPRLKKRVEQGRIYSMPPISWSDISYYHNQVLPLIQKHKVVHLNRTDTRLANNGQPMEIQKLRCRVNFSALRFTSQIEELGRKVIKLLRQNGPVLVLHLRYEMDMLAFSGCTQGCNDEEVEELTRMRYAYPWWKEKVINSELKRKDGLCPLTPEETALTLRALDIDPDIQIYIAAGEIYGGDRRMAALAKAFPKLVRKETLLEPSELSFFQNHSSQMSALDYLVSLESDIFVPTYDGNMAKVVEGHRRFLGFKKTILLDRKVLVDLIDQYNRELLNWDEFSTAVKEAHANRWGSPTKRLVIPDKPKEEDYFYSNPEECLQFSNEGLSST >KGN64762 pep chromosome:ASM407v2:1:8361110:8367279:1 gene:Csa_1G089500 transcript:KGN64762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTPKSRNSLPEAPQKASPRVARKLRPTALECDSTSSSNQVNNRIIKERSPKVIDRKSPRSPASVEKKRPSKISELESQVSQLQEDLTIVKDQLCLSESCKKEFKQDAEEAKDQLVAMTLKLQESEKLVLELSASEEARVIELQQISQDRDQAWQSELEAIQEQHKLDYSALASAVNEIQQLKIQLEMVAQSETKKTEHADSANKELDSFRSKLAETLCLMERMEHELKNCRESEAQAQLLARETLAQLEDAKKRLEELRFDGMEAKKAYNAIALELDESKARVNILEKLVGKLETDVANASSNLLQHPAVENEKKRGEDHIEELCSLRSEIEQLKTALEVAEAKYHEDQIQSAVQIKMAYEQVEQIKSNKNSREFNLEQELKKFKADIEELKADLMDKETELQGISEENEQLQAKIQKNLSSQREYELEREIKELKEHLVELKSSLTDKERDYHSISDENEMLKLEINKRIMIRAKASNGTTEVEDATAAEQDALIRLGIVMEEADKSNKRAARVSEQLEAAQAANAEIETELRRLRVQSDQWRKAAEAAAAMLSAGNNGKLMDRSGSLDSSYDSAAGKFNGSYCEEIDDDLLKKKNGNVLKKIGVLWKKQQK >KGN65943 pep chromosome:ASM407v2:1:19949005:19951085:-1 gene:Csa_1G540870 transcript:KGN65943 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L16, chloroplastic MDQQKHKINIHTFFYIYILKKRSYTHVIIISKVAWITSRQIEAGRRAMTRNARRGGKIWVRIFPDKPVTLRPTETRMGSGKGSPEYWVAVVKPGRILYEMSGVAENIARKAISIAKLKFIC >KGN66388 pep chromosome:ASM407v2:1:23205597:23210046:1 gene:Csa_1G600770 transcript:KGN66388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTIEGIFCSGEMSPASKSKSKDKKASKEVQKPTLPIPKPMGAAAAAGSSNVPASAYNPLSGTFHALESTVSVSSPLHSNGRFKNIDDTDAQSGVLLSSVAECDTISNNGSWSGESEDHKDRKSNPTVRQETIPGADIDKREKIRQKNEKKHQRQKERRAQELHERCSGYLMSRKLEALAQQLVAMGFSQDRATVALMLNEGKIEDSVSWLFEGGEETNDSADQNLGGQNLKLDISEELARIADLEAQYKCTKQEVERAVVASEGDLEKAAESLRELKLDPPAAPPKPEETGDPPTTLSSKFPGNNTNQASLRTQANPNPPSIQQRKEEKDFNYTKGAITAGMSIESLGKNIPQPLRRNPQKMEWGSYEQITTAEKRWPSTGTSPISFSLASAQSQLSSPPIKNEARYLTMGAEFNSLQSGSVREPNSVVQSRNLSVHAKPSSVSTISSSPPASWYSSNGLGTMPSSTGFLPQIRGSRSFKPSEMTLNQMYPQVQYQQQQQHFVSGNSRGDFLDANHTNASWSRTGGSSSIAPASSLGLFSGASSTQSGSSSPVDWNTGSSMPHLNYSDIDWSVDKGLTSVRPGGLLQGLNSYMQKNPHLYESNTSRLVDAQPFVPSMPSNINRVPMGGSQNGGVMGTETSANGSREWTSPFEGKDIFSYPRQFVFSPP >KGN65483 pep chromosome:ASM407v2:1:15670494:15678775:1 gene:Csa_1G424880 transcript:KGN65483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGAGKRLMEESEKCSHSKLESGSELIFSLKLTRCSKISHSKQKKSRMKSHSQAICSTFKRRPLPKSLSKGNKNVTIRQLAGKKFLLKKLDTKPSKELLLSKLQGEKSLSSTNTKGNAEKVEPVVKINQQRKRKKNKGKKEKVELDEASRLQRRTRYLIIKMKLEQNLIDAYSGEGWKGQSREKIRPEKELQRAMKQILKCKLGIRDAIRQLDLLGSVGCIEDSVIGPDGSVYHEHIFCAKCKLREAFPDNDIILCDGTCNCAFHQKCLDPPLDTKSIPPGDQGWFCKFCECKMEILEGMNAHLGTRFSLNIGWEDIFKEEAAFPDGGNALLNHEEDWPSDDSEDDDYDPDKKENCHDNASEEENDKEVLEESSSSTSLSWSLDGEDLVSGNGIGCEDHFGAGTSIVSDGSNEEGITCGRRQRHAVDYKKLYDEMFGKDTPAHEQEVSEDEDWGPAKRRRREKECDAASTLMSLCESEKKSQDIDMEAEKKLLNSHGRSFFRIPRHAVEKLRKVFADNELPSRDVKENLSKELGLDAEKVSKWFKNARYSALRTRKAEGATQPHSSHKTSNELRLADSKEMSKNLLSLENAPIKELQLKLHGSHSKKKQHRKSSHVSSNYNKDAFDFGDDISLKNLLKKRKTKVKKRVNFVARGEGQAAELEMERLCKIKGRLETMKQKLLRLSKRKDDGILDRSHMIEQSIVYVPVAVLKEKV >KGN66689 pep chromosome:ASM407v2:1:26491132:26493327:-1 gene:Csa_1G659600 transcript:KGN66689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKKRAAILARRSLIALYTPRSSCSSSVSNKALNLVRNLSIASEREECQNDNGYHADNSLPSYQTHGGSVSSYNQSPGYYQHHAQSTSSQSRPHQDILDGFYTENSLQGLHRPSTSSVYGQKPGGSFANTSPMHESASRSYGQHYSGVPPNSCGFNQNHHEAYRETFQNTHHASPVAPNGNFIENGYKGGVAQDHNSYNGSTPRNFVDMNNNVVCGVDRSMSQNNQLGHREIFSAYNGYGYNNEATQQNNYGVSGQNLHDNPMSGPNNHIPLSRQYEQNSIPLQHPQGQYHQGSSVEQYQPNTDTNQNSMIGTQLLNNVNANEEIGEPKDCQDGGPLEKLDEFCKEGKLKEAVQILEVLEKQHIPVDLSRYLDLMNACGEARSLEEAKVVCNYVIKSQTHVKVSTYNKILEMYSKCGSMDDAYTIFNKMPSRNITSWDTMITWLAKNGLGEDAIDLFYEFKKAGLRPDGKMFIGVFSACSVLGDADEGMLHFESMTKNYGITPSMHHYVSIVDMLGSIGFVDEAVEFIEKMPLEPGVDIWETMMNISRAHGLMELGDRCFELVEHLDSSRLNEQSKAGLLPVKASDLEKEREKKKLANRNLLEVRSRVHEYRAGDTSHPENDRIYTLLRGLREQMKEAGYIPETRFVLHDIDQEAKNDALLGHSERLAVAYGLISSSARSPIRVIKNLRVCGDCHSALKIISKIVGRELIIRDAKRFHHFKDGLCSCRDYW >KGN63931 pep chromosome:ASM407v2:1:3121769:3130929:-1 gene:Csa_1G029580 transcript:KGN63931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDTNSIDVILEFLKRNQFTRAEAALRSELNNHPDLNGLLKKLTLEEKGLGDTLEVENGDKPMVETGLSGPQVNLDVSKELIVKEIECGSGRNGAESKWKNDYTFGERSKSNDAVGTSDRNFTFSQGSEDTVLDLYSWKVKSSNGLVAVTQNDGVKDANSFPELQVSEKSRYHTGEVSESRKANFKTGESVISSSEKRDLWHGNASTANVETKYDVSQKSEPKELDQQVKATSAYMKENTADLSWYKGKDSSSSDLLMDCSVKTVFPFSKGDVSNSYDSTIGSDKSDARRKAEVNDIRATIKEQVDEVGRALYFGRSQDTADKKTLGNLSLALVAESQKEELPRLPPVKLKSEDKPLSLSWKENFERDGQIAKFTSIDSSLLIGSYLDVPVGQEISSAGGKRNTGGSWLSVSQGIAEDTSDLVSGFATVGDGLSESLDYPNEYWDSDEYDDDDDVGYMRQPIEDETWFLAHEIDYPSDNEKGTGHGSVPDMQDRAQTKCEDDDQSFAEEDSYFSGEQYFQSKHIQPVTTSEDPMGLTVTEMYGRTNENDLMAQYDGQLMDEEELNLMRAEPVWQGFVTQTNELIMLGDGKVVNESRKSRLDDICVDDDQHGSVRSIGVGINSDVADIGSEVRESLVGGSSEGDLEYFHDHEVGIGGSRFPYNDSDKKYLDRLNKDKKSSSKQQPNKQASRNDTSTCLQKQNPSDGGFSFPPPLRDRQLVQACSSKSLWSNNSNRVINDENDASLNALMQSNNDMLASWGPKDSDSSPDNSLGDENNANAVRSGSSSPSMLSNYQYTERAPKIENDDKISSVREEDPVASLEDEEAAAVQEQVRQIRSQEEEFESFNLKIVHRKNRTGFEEDKNFHVVLNSVIAGRYHVTEYLGSAAFSKAIQAHDLHTGMDVCIKIIKNNKDFFDQSLDEIKLLKYVNKHDPADKYHILRLYDYFYYREHLLIVCELLKANLYEFHKFNRESGGEVYFTMPRLQSITIQCLEALQFLHGLDLIHCDLKPENILVKSYSRCEVKVIDLGSSCFETDHLCSYVQSRSYRAPEVILGLPYDKKIDIWSLGCILAELCTGNVLFQNDSPATLLARVIGIISPIDQSMLAKGRDTYKYFTKNHMLYERNQESNRLEYLIPKKTSLRHRLPMGDQGFIDFVSHLLEINPKKRPSASEALKHPWLSYPYEPISS >KGN66501 pep chromosome:ASM407v2:1:24292212:24292517:-1 gene:Csa_1G615200 transcript:KGN66501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVRPSMKMLLGQRGGVWPASNGEDVAQSFSGGGINVLSTKTLALLSSLKMPDAKGLASF >KGN65025 pep chromosome:ASM407v2:1:11011108:11012518:-1 gene:Csa_1G181380 transcript:KGN65025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNRVWSFHSSVNLFWLCIFFFYLIGHGFPMVIESAEDETPVSDLLSRDHWREIAGYGEERLSTVLVTGSVLCEACLHGDEPQVHAWPIKGAMVGVNCHNTGKNSKSSDWVHGVTDEFGDFVIDIPSHLHATRSFENVCSIKILRTPKNTHCRPAHLAGRKPLQLSSFGGGIRTYTSGVLRLQHQTSRPLQACRNEGRGGRQTSW >KGN63542 pep chromosome:ASM407v2:1:621120:624349:1 gene:Csa_1G004080 transcript:KGN63542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPYDHRYADPNSYRDRRSDLVGFQPAAPPPIAGRESLYKGYQPPPAPYYGRERGGGGALPNAGGVNGLPRFQPPAGSFNIGRGGGGGFGSSGGGRRTFDAERGGRRIGGSIGFGGGRGGGTGFDGRGGGRGGGRGGGGSSRGDLDNIVLPSQNFGNLVPFEKNFYTECPSVRAMTESEVKIYRERRDIRVEGYDVPRPIRSFQEANFPAYCLDVIAKLGFVEPTPIQAQGWPMALKGRDLVGIAETGSGKTLAYLLPAVIHISAQPRLSRGEGPIVLVLAPTRELAVQIQQEATKFGLHANIRSTCVYGGAPKGPQIRDLKNGVEIVIATPGRLIDMLEAGHTNLRRVTYLVLDEADRMLDMGFEPQIRTIVSQIRPDRQTLYWSATWPREVEKLARQFLRNAYKVIIGSPDLKANQSINQVVEVLPEAEKYRRLIKLLGEVMDGSRILIFVETKKGCDKVTRQLRMDGWPALSIHGDKKQAERDLVLSEFKSGRNPIMTATDVAARGLVPEYNLWLGCRGKFYRAMCSMMIVNVKGEGNPILYVFKDL >KGN66768 pep chromosome:ASM407v2:1:27493313:27500630:-1 gene:Csa_1G682130 transcript:KGN66768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGDEVAKAKRQSSVRSEADYLIKEEARYLCVAMGHENESLDEFVEAHKTCLNDLMYFPTRNAYGLSSVAGNHEKLAALQDEFEYVKKKMDDDTEKAVRLEKKVKVLTHGYETRAKQSLWPQIEATFKQIDTAATELECFEALQKQEMSAASHRISGIWEEVQKQKELERTLQLRYGNLLGDLEKMQKIMVDRKAQAQKEKEIAAESHALQLAEVEPNQNVGENADCSVEAVDCENSVPVTTSIELTGEQPNSSVGHENKTNKAMDIHTEKESVAVNLNIGLPDNKLPSAAGDASLPDNGFEESDKSQTIDVPRHENLGPDANGSSDSVDGATIENDKCSTDIVEEIKVVETQHPVIENENNSDMHSINLEAAAPASKDGPVDDGNTGGTESNV >KGN65219 pep chromosome:ASM407v2:1:12891474:12894162:1 gene:Csa_1G266160 transcript:KGN65219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFFGGFRIWVLSLCLIFQSGYGFYLPGSYPLKHVVGDDLSVKVNSITSIDTEMPFSYYSLPFCTPPGGVKDSAENLGELLMGDRIENSPYLFKMYKNQTDVFLCQTDPLTDDQLKNLKERIDEMYQVNLILDNLPAIRYTKKEGYPLRWTGYPVGINVKGSYYVFNHLKFKVLVHKYEETNVASVMGTGDAAGVISSISKQELDVPGYMVVGFEVVPCSPLHKVDLAKNLKMYEKYPNPVQCDPGSVSMQINKGQPIVFTYEVTFEESDIKWPSRWDAYLKMEGSKVHWFSILNSLMVITFLAGIVLVIFLRTVRRDLTRYEELDKEAQAQMNEELSGWKLVVGDVFRAPANPALLCIMVGDGVQLLGMGIVTILFAALGFMSPASRGTLITGMLFFYMILGVAAGYVAVRLWRTICCGDHRGWISVSWKAACFFPGIAFLILTTLNFLLWGSGSTGAIPFSLFVILLLLWFCISVPLTLVGGYFGAKAPHIEYPVRTNQIPREIPPQKYPSWLLVLGAGTLPFGTLFIELFFIMSSLWMGRVYYVFGFLFIVLVLLVVVCAEVSLVLTYMHLCVEDWKWWWKSFFASGSVALYIFLYSINYLIFDLKSLSGPVSATLYLGYSLFMVLAIMFTTGTVGFLSSFWFVHYLFSSVKLD >KGN63626 pep chromosome:ASM407v2:1:1226577:1228559:1 gene:Csa_1G007850 transcript:KGN63626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSTSAAVNESAAAETGGGSPGEIMLFGVRVVVDSMRKSVSLNNLSQYEQPHDATDVIVNDNNKNDLVSVNNKDDVAAGYASADDAVPNARGNRERERKRGVPWTEEEHKLFLIGLQQVGKGDWRGISRNFVKTRTPTQVASHAQKYFLRRSNLNRRRRRSSLFDITTDTVTAAPMVEEPLQRKEIPSQSHSFTPSTLPEISKNNAIPIIQTLPVSFGPTPLATPTKNLIENCGPREVNTENDGSLKLALSDSIFSSNQIRNESPSSSSSSALSLRLSLTSDQRETSSRHSTFQPIPSFNNGDGIISAA >KGN66037 pep chromosome:ASM407v2:1:20813703:20819202:-1 gene:Csa_1G569150 transcript:KGN66037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTDPSSSSTANGNRKKRSVSSEEEADQDGSKRRCPNSSPLPTAGSAHESSKARDLIQELYESFIIGKVKELKRMMAHSINNSQSGEDEARAKEIVDALIKEKRAEWESEFRQLLMNEFDGIANAQLKPLPSTPRKYKLRFWYEIMDTMYHGDKIKSSTDNQFLKRSMSKLDEWDDIVKHAKTCVGYDPADYDQTDHNNNNLMGAGLQVNNHQSQIVENNNDNLVGTEQLANANESLGVLNDQNQSVVQVNFDQNLVVANYYQNESFDQDSQVGQNELPRIDSPDQQWFCDNINLDLDSPSNHWATQMILDSPDPYGIDYRVEQSPEL >KGN66614 pep chromosome:ASM407v2:1:25646739:25653872:1 gene:Csa_1G648050 transcript:KGN66614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKSQGYVKGRAPTKVTAQQLVFELKQKVVFALNKLADRDTYQIGFDELEKTAECIAPDMIPPFLSCILDTDSEQKSAVRQECIRLMGTLAKFHEGLIRPHLRRMVGSIVKRLKDPDSAVRDVCIETCGILASKLINVGDESDEVFVTLVKPIFEALGEQHKQMQSGSAFCLARIIDNTQDPPISILQRMLARTTKLLKNPHFMAKPAVIDLNRSIIQAGGASNRNVLSAAILGIQEALKNSDWTTRKAASVALGEIAASSGSFLGSFKASCIRSLESCRFDKVKPVRDIVLQTLQYWNNIQGSDTPEPSEAGSSIKENLCGGDFSDVTSSVEHGKRDAAIKRVGLGSTRGRIPLNMRKTCRNYLENTQHFKANDCHIEIAVPEKRNQSLSGFHTEESEGSTVTKTFQGVSTDATDMQDVEYDYVRMDDKQECSSVSNFLPGQEFGTIYRESLEETSMHKPVDRNKRFVNEGVSSDGEIYLTKVKDRRSLDSVVTESSCQVVQECDSEISNDMTCIRKHLLEIENKQSNLMDLFKEFTSGIMDSLSAIQSRVVGLEHVVYGLSQDLLNGSRYSDLSNSKFMKQNQSLNSPRLSTCTPRPSVDVPGRQSSLLSLKHSSIWDENVAVRSRLSNATKHGSDIWRKTNSVKNPPEKELQKYCGEGMQNSSSRHARNTNAVFASSPCATVRQFSDGKNNISKCVSSFLRQGDVDAAYVEALRSGDEVVLLELLDQTGPVLECLSPKTISHILRILASFLPEQRFIRCIIPWLQQVVDLSTMHGANSLGLSAKDRQEFVLAIQEASKSEFSNPSETRLVTQLATKLCYIWGKCS >KGN65081 pep chromosome:ASM407v2:1:11510431:11516646:-1 gene:Csa_1G196240 transcript:KGN65081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLHFAFLLALASGFTAILIYINGVSNLYGNYQLSDEDFEALQSLQGNFQKCVSANGLGLQAEGGDDYCLVTMRFPQDTIPKWKDPKTGDLEGLSYEFNLCEAVAIWEQVRNSTTILTREYIDGLPNGWEEYAWRRINKGVLLNRCVNKTLCMEKLSLVLPETPPYVPKQYGRCAVVGNSGDLLKTKFGEEIDGFDVVIRENGAPIQNYTDHVGKKSTFRLLNRGSAKALDKVVELDETRREVLLVKTTIHDIMNKMIKEVPIKNPVYLMLGASFGSAAKGTGLKALEFALSICDSVDMYGFTVDPGYKEWTRYFSESRQGHTPLHGRAYYQMMECLGLVKIHSPMRPNPRRVVKWVPSQKMVNAARVASEKRLRKVGAGSRDPLAACSIIKMQSRSTPISISSLRKPAADHQKYVRGTTMYPLEHNPGRGLLCTVPPS >KGN65309 pep chromosome:ASM407v2:1:13659356:13660796:1 gene:Csa_1G306810 transcript:KGN65309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRQGNKRKTTIKWTPKLRELGQLYKPLVPPSNKFNKLSLLTKFKFKFTYYPTRTIHYSIHFIFFIKKGMSWSAGDWICNVCQNVNFKKREACHRCGYPKYGGPDPSTYSYNKTEALAGDWYCTTVSCGAHNYASRPNCFRCGAFKSVYPGDYGAYMMGSDQYGSDASIPPGWKSGDWICPRMGCGVHNYASRMECFKCKTPRDFGGAV >KGN64854 pep chromosome:ASM407v2:1:9433893:9434756:-1 gene:Csa_1G132150 transcript:KGN64854 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2B MAPKAEKKPAEKKPAAEEKKAEKAPAEKKPRAEKKLPKDASDKKKKRAKKSIETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQESSKLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >KGN64107 pep chromosome:ASM407v2:1:4138867:4139046:-1 gene:Csa_1G042190 transcript:KGN64107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRPTKKGIEEDMKIRSGSTIENSKTKSSCKGATGFVQEGKGRLYIFGRCVAMLLCWHD >KGN65944 pep chromosome:ASM407v2:1:19970753:19971602:1 gene:Csa_1G541370 transcript:KGN65944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGGNPTKGVKRNPTASFFSLYLGKTDKLVTIMNTTLPNLGLTKANCKETSWIQSTLIAAGFTNGQPLEILLSKPTLSNNISYKIKSDYVKQPISQHAFKGIWDRLKSQEVETSQLFLFPYGGKMSNISSSKTPFSHRAEFLYKISYTVGWAEQGSGANERHLNWIREFYSFMTPFVSNSPRAAYVNYRDLDIGTNNKYGKTSYEEASIWGLKYFGNNFKKLVQVKTTVDPSNFFRHEQSIPPLTLS >KGN65928 pep chromosome:ASM407v2:1:19785630:19787518:-1 gene:Csa_1G538260 transcript:KGN65928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGSGHDEGMQYKHGSKAYPDDQYGMGTTFRNEESESENEAPRRSRHGEGKKKRRDIYINFERVRGKGSWPRPLPTPNLQLHKHILHFSSYSSLPIGTLIAGFYLSLSTSVVSAWL >KGN66286 pep chromosome:ASM407v2:1:22510726:22511710:1 gene:Csa_1G595875 transcript:KGN66286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDDQELYNEDNNISYRESRSQVNDQGDDFEGNDQDALAEAGLEDSDAEDEAGAPSSNAARRRATWSDSEEDEPIDTQRESRLQRENSAGLEDSDGEIR >KGN65153 pep chromosome:ASM407v2:1:12467203:12473328:-1 gene:Csa_1G250160 transcript:KGN65153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIASSSISFIAIFLPIFISIYLFQFKPSSDHTLTPNYSTFDPSPFNNLTHGNQVLRKQNYIVRFLHYRKAKDHRFYLESHVRSGGWEWIQRRNPASKYPTDFGLVSIEDSVRGELIEEIEELELVKDVNVDASHVRGLLTEDGGRVGAFVDGKKRPGKIFTSMSFKEGGGEHYTAITNASNRWGRHLSMERSQVTSLFGADSLWAKGYTGSKVKMAIFDTGIRANHPHFRNIKERTNWTNEDTLNDNLGHGTFVAGVIAGGDEECLGFAPDTEIYAFRVFTDAQVSYTSWFLDAFNYAIATNMDVLNLSIGGPDYLDLPFVEKIWEITANNIIMVSAIGNDGPLYGTLNNPADQSDVIGVGGIDYNDHIASFSSRGMTTWEMPHGYGRVKPDVVAYGREIMGSKISTGCKSLSGTSVASPVVAGVVCLLVSVIPESQRKVILNPASMKQALVEGAAKLAGPNMYEQGAGRVDLLESYEVLKSYQPRASIFPGVLDYTDCPYTWPFCRQPLYAGAMPIIFNATILNGMGVIGYVEGQPTWHPSDEEGNLLSIHFTYSKVIWPWTGYIALHMQIKEEGAQFSGEIEGNVTLTVYSPPSRGEKNRRISTCVLQLKLKVVPTPPRSKRILWDQFHNIKYPPGYIPRDSLDVRNDILDWHGDHLHTNFHIMFNMLRDAGYYVETLGSPLTCFDARQYGTLLLVDLEDEYFKEEIEKLRDDVMTTGLGLAVFSEWYNVETMVKMRFFDDNTRSWWTPVTGGANIPALNDLLAPFGIAFGDKILNGDFSIDGEQSRYASGTDIVRFPQGGYMHSFPFVDSSESGAAQSILTSSMSKADFPILGLLEAGEGRIAVYGDSNCLDSSHMVTNCYWLLRKILDFTSANIRDPILFTKFSKRNSPLYLEDSKLPSRRSDVNFSLYSAVARKELICRSDSRFEVWGTKGYSIQVRGRNRRLPGFPVIDLGRGLNSTSEGSSMGPPKSSSKDRSDTYGNRYLSLFYRDEPDMPLIVPNHWLVPAVVALTGLFLLLSFWRIRQKRRRRRRGSGSARFSNI >KGN65295 pep chromosome:ASM407v2:1:13523947:13524654:1 gene:Csa_1G303705 transcript:KGN65295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNLLTFPPAGIRACASSADRRSDHSRRKTSSSSNWWAPVFGWSSEPDYIDSGNKAEPQNLAGGSSKPDLETKSLRGRFSPGCFTEAKARQLRMMTTETESFHDVMYHSAIASRLASDFKSREDS >KGN64860 pep chromosome:ASM407v2:1:9455243:9456053:1 gene:Csa_1G132700 transcript:KGN64860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIFFGVEIDTKLPLLGDKKWVMWISSFNVLVGPGKIRFIVCTARNFFQFSMAGPVVPRWHKHWTWNKKLAESAWKGPKPNSSELAATSACHQQFYLELRY >KGN66328 pep chromosome:ASM407v2:1:22747502:22757605:1 gene:Csa_1G597750 transcript:KGN66328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLPVSSPFCTWLVAACMSATCFKDHSLNASSIPHSSSSSSSSSSKRSISTSRRKHSFSKCFSITSQFNTGLVSSSPRFNIHDLLLDDYYSNSTTYPLQYNPFSLLFPPTSLPLHSRRRRRTNRALRSGETVAIAVHPAEEVGSKKKHHTKQRRVVVTGMGVVTPLGHDLDVFYKNLLEGVSGISEIEAFDCSQFPTRIAGEIKTFSTDGWVAPKFSKRMDKFMLYMLIAGKKALEDGGFTKELAEELDKTKCGVLIGSAMGGMKVFNDAIEALRISYKKMNPFCVPFATTNMGSAMLAMDLGWMGPNYSISTACATSNFCILNAANHIIRGEADVMLCGGSDAAIIPIGLGGFVACNTLSQRNSDPTKASRPWDINRDGFVMGEGAGVLLLEELEHAKQRGAQIYAEFLGGSFTCDAYHVTEPHPDGAGVILCMEKALAQSGISKEDVNYVNAHATSSLAEDVKEYQALVHCFGKNRGLRVNSTKSMVGHLLGAAGAVEAVATIQAIRTGWIHPNVNLENPDKGVDTSILVGPKKERLEIKVALSNSFGFGGHNSSILFAPYK >KGN64391 pep chromosome:ASM407v2:1:5850954:5853840:-1 gene:Csa_1G050300 transcript:KGN64391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATHSVDSRSGFCSQTKIYNSLRPPLSLPPLSQPLTVVGHALSVLRSSPPPPNTPALIDSDSSVSVSYALFLRQIRNLTSNLKALYSFSNGQVAFILSPTSLQIPVLYFVLLSLGVVVSPANPIGSESEIAHQVSLCIPAIAFATSSTASKIPRLPLGTVLIDSPEFLSLMNESNPSDGVNDGIFDLKINQNDSAAILYSSGTTGRVKGVLISHRNLITAITGLQVLDKTLVDGEIEPHPVALCLLPLFHVFGFFMLFRSISEGNTLVLMRKFDFEKMLRAVEKYRITYIPVSPPLIVAMAKSELAAKYDLSSLQILACGGAPLGKEVIDKFHVKFPNVEIIQGYGLTESSGAAARTVGPEECSTAGSVGRLSENMEAKIVDPSSGEALPPGHKGELWIRGPGIMKGYVGDERATAETLHPEGWLKTGDLCYFDSDGLLYIVDRLKELIKYKAYQVPPAELEHLLQSHPEILDAAVIPYPDEDAGQIPLAYVVRQLGSNINEAQVMDFIAKKVAPYKKIRRVSFVNAIPKSPAGKILRRELVKHALSQGSSKL >KGN64728 pep chromosome:ASM407v2:1:8008776:8012197:-1 gene:Csa_1G084270 transcript:KGN64728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRAQVEGLVFTKQPYIEDVGPRKIKSMQFTTFSGAEISKLAEVQVYKGLYYDTTRKPIDGGLLDPRMGPANKGCKCATCHANFGDCPGHYGYVNLALPVFNVGYFTTILEILKCICKSCSRILLEEKLFKDFLRKMRNPKLEALRKVDLVKKIIKKCSTLTTGNKSTRCSRCGYLNGLDFTFYFVVYSSCSHLYVIHNLFISAL >KGN65268 pep chromosome:ASM407v2:1:13263864:13265200:1 gene:Csa_1G287020 transcript:KGN65268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKLSNNLVGILNFITFLLSIPIIAGGIWLSRQGTSDCEKFLDTPVIVIGVFLLLVSLAGFIGACCRVRWLLWIYLFVMFLLILLLFVFTIFAFAVTNKGAGKVLSNRGYKEYRLGDYSNWLQNRVRNNKDWNRIRSCLVDGKVCNEVSQKFVGETVEQFYLEHLSSIQSGCCKPADECKFEYKGPIQWDKPANVSSFANPDCGLWDNDPQKLCFDCESCKGGVLDNLKRNWKKVAIINIVILVFLIIVYSIGCCAFRNSKEENHYPRWK >KGN63846 pep chromosome:ASM407v2:1:2527032:2529926:-1 gene:Csa_1G024290 transcript:KGN63846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAAIDFLLVPLGLCLLVVYHLWLVLTIYRNPRRTVIGLNAESRRQWVFCVMTDPLKNGVLAVQTIRNNIMASTLLATTAITLSSLIGVFVSSSSDMSNAKLHLIYGNKSALCTSIKYFSILLCFLVAFLCNVQSIRYYAHVSFLVTVPTWRDQKESIQYVARNLNRGSHFWSVGLRAFYFSFPLFLWIFGPIPMFACCCIMLCILYFLDTTTSFTRQLHTRSLKDDQQVDLESPRQAL >KGN64513 pep chromosome:ASM407v2:1:6613254:6615805:1 gene:Csa_1G062880 transcript:KGN64513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTELNKHVAPDGDEQLLEWPGKDNRRFVRAVYRVGDLDRTIKFYTECLEMKLLRKYEVPNEKHTKALMGFGPEESSFILELTHEHGVTSYDIGTGFGHFAIATQDVYKMVENVRAKGGENMIIREPFELKGSPNFLLAYVKDPNGYIFELIQRVQTQPLCHLMLRVADLQRSIDFYQKAMGMRVLTQVESVEQKYAIALMGYADELETTALELNYNHGVTQHSKGNGYSQVVIGTDDVYKSAEIVNLITKELGGEITQPPSLDSQINSKITSFLDPDGWKIVLVDNEDYLKGMQ >KGN66641 pep chromosome:ASM407v2:1:25919030:25921312:-1 gene:Csa_1G652240 transcript:KGN66641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVALCPAPVKAHKPPYSALASRHFFSTSLIVKYQEVQKWNRFEFQRLQVSSAAVNDNAVTVTDSSRPSIRSTVMRHTISVFVGDESGIINRIAGVFARRGYNIESLAVGLNKDKALFTIVVTGTENVLRQVVEQLNKLVNVIKFPCLFRVAVDIGNSWVIVGVVFDLGRAFIEEIQVG >KGN66472 pep chromosome:ASM407v2:1:23999790:24003406:-1 gene:Csa_1G612950 transcript:KGN66472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEADFQQQHHHILHEHHQQQPQINSGLTRYRSAPSSYFRSLTDREFCDQFFNRPSSPETERIFARFMTGGGGGGGGGGPEGSSQNLDESRKSAQGGEVLVSTEANQQTSYVGNETRAIHQQPSNVNSNYPPVSSTPSFYQSSMKPPLPNQGMISQTDGSGSIGIDLKPRIRTDGGRTSNLIRQSSSPAGLFDHIKINDSGYAALRGMGNFGTRSSFNEEASFSSPSRLKNFSQRTLPPNSSGLMSPVVGIEKKSIRETNQDTKSFAESQTSDYGTTSFPVGSWEDSAVMSDNIVSQKPLEDNDDDEKSYSNFNISDTQKMDTGNRPPLLAHHLSLPNTSAEMNAIEKILQFSDSVPCKLRAKRGCATHPRSIAERVRRTKISERMRKLQELVPNMDKQTNTSDMLDLAVEYIKGLQKQVQTLSDNRAKCKCSHSQHQ >KGN63692 pep chromosome:ASM407v2:1:1574875:1579187:1 gene:Csa_1G011440 transcript:KGN63692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGEFSRAPTPRIPFSSLLLLLLLFLLSATLSAAKNPLFPSFTPRDVYLIDCGSPSQTRLDDGRIFKSDRESTSLLSTEEDVQASVDSIPSNALVSPLSSWSLPLFLTARIFPTDSTYTFFISQPGRHWIRLYFYPLPNANFNLTDSVFTVTTDSVVLLHDFSIKPNPKIVFREYLINITTDRFSLEFKPKKNSFAFINAIEIVSAPDALFSDSANSVSPVGFFNGLSNIALQICHRVNVGGPEIVPKNDTLSRTWETDAAYNKFPQGSKNVSVDLDSIKYPGIELTPLIAPNWVYATAEDMQDPKTMQVNFNMSWSFNVEQSYSYLIRLHFCDIVSKVLNNLYFNVYINGMMGIADLDLSQLTGDLSTPYYRDLVLNASDIKNNTIMIQVGPSNVDSGLQDAILNGVEIMKMSNDAQSLDGLFSVDGTYMGGSTLSTMKIIAVVGLGIGAIAIVFLGVMFLRWHNRPNGWEKRHSFSSWLLPLNNNNSTNTASFFSSKSSSRRSSTVFSSRRSRTGFSGIYSNVGLGRFFSLNELQVATQNFEEKAVIGVGGFGKVYVGALEDGTKVAIKRGNPSSDQGINEFRTEIEMLSKLRHRHLVSLIGFCDEQSEMILVYEYMANGPFRDHLYGSNLPPLSWKQRLEICIGAARGLHYLHTGAAQGIIHRDVKTTNILLDENFVAKVADFGLSKAAPSLEQTHVSTAVKGSFGYLDPEYFRRQQLTDKSDVYSFGVVLFEVLCARQVINPTLPREQVNLAEWAMQNYRKGKLEKIIDPKISSSIVEGSLKKFVEAAEKCLAEYGVDRPSMGDVLWNLEYALQLQEAVSELEDPDEDKCEGLVALDKPNDNQPKAGSTSASVSDDTSEVSVSAPLFSEVQSFQGR >KGN66188 pep chromosome:ASM407v2:1:21775261:21775969:-1 gene:Csa_1G575040 transcript:KGN66188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKSEKSSKPSGKSEVRKDRKSATGMNGSPKKGGHGGKFTWSGDGYSPAEIGFKAEVMDVKDPNFEDLEEAETVAVAV >KGN66752 pep chromosome:ASM407v2:1:27272930:27273492:1 gene:Csa_1G676602 transcript:KGN66752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVGKLVIEFEINASPQKFYEFFKNQIYEIPKVSPNNIQSIEVVGGDWNSHGHGSIRIWNYTTDGKAEVFKEQVEYDDEKLATTLTGLEGNVFKYYKTMKGAFQFVPKGPENSLAVLILEFEKLNDDSPYPYKYLDLMIKILKDVASHVK >KGN65098 pep chromosome:ASM407v2:1:11663574:11668319:-1 gene:Csa_1G212840 transcript:KGN65098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGATILPDLGAQIFIPLCAIVGILFSLVQWYYVSQVKLSSARDSANNNSSSAKNGYSDYLIEEEEGVNDHNVVIKCAEIQNAISEGATSFLFTEYKYVGIFMILFAALIFVFLGSVEGFSTKPQPCSYDKTKTCKPALATATFSTISFLLGAVTSVVSGFLGMKIATYANARTTLEARKGVGKAFITAFRSGAVMGFLLAANGLLVLFIAINLFKLYYGEDWGGLFESITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGNNHEFTAMLYPLIVSSMGILVCLITTLFATDFFEIKAVKEIEPALKNQLIISTVIMTFGIAIVTWVSVPAKFTIFNFGTQKVVQNWELFLCVAVGLWAGLIIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAASIFVSFSFAAMYGIAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAGVVVVDLLTPKVFIGLIVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGLMEGTAKPDYATCVKISTDASIKEMIPPGALVMLTPLIVGILFGVETLSGVLAGSLVSGVQIAISASNTGGAWDNAKKYIEAGTSEHARTLGPKGSDPHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFASHGGILFKIF >KGN64756 pep chromosome:ASM407v2:1:8331457:8335327:1 gene:Csa_1G088460 transcript:KGN64756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTMNDDDCVQDLITQQRSEILSAKTLFSDLDFAFQLQLQEAMDASLASKPSTDSSSSLNTPDPVLDSEDTSGLDLATTLMLEDIARFAMEFKDREQCQTEMRKMKEELDRRIHDQKFAEFIRSVPENEWREYGDNYEKPYGESSSSSSSSSSSSWSVDSECCRVYSKGLISEERIRDMVVRVAGIGVAVCDPKDNLLFEKMSPIESMVEGKETSSECAELEALVEGLNVALILGLKTVTFFCADYMLYQYLTGRVPPATSSTEKLVNEVVVLQGKFTYCNPSLVTRNDIKFAFKLAREAIVSQITWPAQAENGKCLKEETCTICFEDVSVDQMFSVDGCLHRYCFSCMKQHVEVRLLNGNGMQANCPHQGCTSELNIESCGKFLEPKVFEIMSQRIKEASVPVQEKVYCPYSRCSALMSKTDLLRYTEAFYIDAERTGARKCMKCNQFFCINCKVAWHYNLTCYDHRKLNPNLHPDEKMLKSLATRKLWRQCIVCNNMVELAEGCYHITCRCGYEFCYTCGAPWKNKKPTCKCPIWDERNIIHRR >KGN65364 pep chromosome:ASM407v2:1:14493464:14496369:1 gene:Csa_1G383520 transcript:KGN65364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPKSHFNSLFLFSLLLHLFAAHGSLSDAEALFIRHRQLLYYRDEFGDRGELVTVDPSLVFENDRIRNAYIALQAWKLAILSDPLNQTANWVGSNVCNYFGVFCAPSLDNPKIRTVAGIDLNHGDIAGYLPEELGLLTDLALFHINSNRFCGTLPHRFDRLKLLYELDLSNNRFAGKFPDVVLKLPTLKFLDLRFNEFEGNVPRELFDKDLDAIFINHNRFRFELPDNFGNSPVSVIVLANNKFHGCLPSSLGNMTRLNEIIMMNNGLNSCLPPEIGALKNLTVFDVSSNELVGPLPETFGGLVSLEQLNVANNFLSGKIPENICELPKLQNFTFSNNFFSGEPPACLRVPDFSDRRNCLAGRSGQRSTGQCNAFLSRPVDCNAFKCGGSSSGSPSTPFTPPVVSPSSPPSPAGTVSPPSSSHSPNAPSAPPLSPHFPPLSPHSPPSPPSSPSTPSQPSEPPSSPSTPSTPSSPPQFPSPTAPSPSSPPKGHAPPSLPSPSSPPQDHTPPSEPSPSSPPQDHTPPGPPSSEPTPPLPPQGHRPPASPSPFKPSPSSPPQGHTPTVPPSPSEPSPPQGNNPPAEPSPPGTSSPPFPVYSPPPPPPHFPDFPSPPSTQPPVYCPRSPYPPSPPSFSPNPPLTHPNSPPPPSPPVPVHSPPPPLHTTPSPLPLPCIQPPPPNVQYPEPPPSPSPTPSSPIHYNTPPPPVHYQAPPSPSPPVHIYPPPSPTPPPPIVYENPPPPVVYQSPPPPPTPVYGGPLPPIYGVPYASPPPPPFY >KGN64864 pep chromosome:ASM407v2:1:9476407:9477459:1 gene:Csa_1G132740 transcript:KGN64864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSTTTTTTTQGEASSSSIIRAPKRGAYRQHGIAKRTRVIRIIGRSLLCAIMLLGIAILTCWFVVIPRTPQLMVESGQVTGYHSTIRKLNATIVFNIRSYNPNKRASIYVDSMKMTVKNYMSVPFHSDIPNFTMTPRNMTVLTPTILVNCIYPFGRPLHAGWIHIELSFSAKVSYIFNRWASKPRLMEIYCNHFWFKIDDSMPNFDNIKCQVDL >KGN64701 pep chromosome:ASM407v2:1:7739005:7739712:-1 gene:Csa_1G075060 transcript:KGN64701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRDKVKTAAAAVSAPPGSKDMEVHFRGVRKRPWGRYAAEIRDPAKKSRVWLGTFDTAEEAARAYDAAARKFRGAKAKTNFPFPPTVNDLNLSPSRSSTVESSSRDPTDFDLNQAAAGSRLPFPFQQHQFGGGIFPQQANQFRFLDHPIFRNGTESGVIYDRHRVKAVVQCGGVQSDSDSSSVINLNQNDDHKSRPALDLDLNFPPPDSA >KGN65355 pep chromosome:ASM407v2:1:14290469:14293270:1 gene:Csa_1G369500 transcript:KGN65355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSSGDDSVPVPYTLIPSNAAQQNVVVLSLYRPPPCRHRRLLRLCAFYSAAFLLLFAVAFLLFPSDPSLQLVRLKLNRVKVHLVPVVSLDLSFSVSLRVRNKNFFSLNYNFLGVSVGYRGRRLGYVSSEGGRVSARGSSYVNATLDLNGLEVVHDVLYLLADLGKGIIPFDTETDVEGSMGLFFIKIPIKARVSCEVLVNTNNQTIEHQDCYPE >KGN66578 pep chromosome:ASM407v2:1:25147462:25149395:-1 gene:Csa_1G630330 transcript:KGN66578 gene_biotype:protein_coding transcript_biotype:protein_coding description:Type III chlorophyll a/b-binding protein MATQALVSSSLTSSVGAARQRLGARPSFGSSRKSASFVVRAEATPPVKQGADRQLWFASKQSLSYLDGSLPGDFGFDPLGLSDPEGTGGFIEPRWLAYGEVINGRFAMLGAAGAIAPEIFGSLGLIPPETALPWFKTGVIPPAGTYNYWADPYTLFVFEMALMGFAEHRRFQDWAKPGSMGKQYFLGLEKFLGGSGDPAYPGGPLFNPLGFGKDEKSMKELKLKEIKNGRLAMLAILGYFIQGLVTGVGPYQNLLDHLSDPVNNNVLTSLKFH >KGN64243 pep chromosome:ASM407v2:1:4920673:4922167:1 gene:Csa_1G044920 transcript:KGN64243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWKNYYLDVILVPLGLLLTFVYHAWLWYKVKTQPLATFIGVNATVRRQWISPILEDIDKKNILAVQTLRNMIMGSSLMATTSILLCAGLAAVLSSTYSIKKPVTDTVYGAHGEFTAALKFTITLTIFVFSFFLHTLSIRFMNQASLLMSAPLQPLSVLTESHLVEILDKGCVLNTIGNRIFYLALPLVLWTCGPLLVFLGFGVMVFVLYNLDFVCDKRSSNNNGKIKMNHEIV >KGN65017 pep chromosome:ASM407v2:1:10960514:10961425:1 gene:Csa_1G181300 transcript:KGN65017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAYGVLPIDRMTEHREAVQVVANRVPRHVIEDVYKITLPKPKPYEPQSRPPLASELLKAYCVSRGYVASSGLPDETRASRQILKDYVDGKIPHHELPPGMLKEDHIQEEDARSFELSDTHDSDSDDVEDSNMDGESAPGFEQVVDYLDSFDIANGLAKPSITEKKPKASHKQHKKPQRKKDRSWRVGNDGGDGMPAVRVLQKPINSGTLKAVL >KGN65133 pep chromosome:ASM407v2:1:12133210:12133434:-1 gene:Csa_1G232030 transcript:KGN65133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGSDGDGGTKGLWKTNWVSEGSAALDSGAVRARRRRWRGSATHRERKRRGEMRGSRESYRWKKKDAEEIFTY >KGN66562 pep chromosome:ASM407v2:1:24982638:24982874:-1 gene:Csa_1G629190 transcript:KGN66562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNKLHSDALREAISSIFADSGEKKRKFTETIELHIGLKNYDPQKDKRFSGSVKLPHIPRPKMKICILGDVSHVEEVT >KGN63842 pep chromosome:ASM407v2:1:2481134:2485731:1 gene:Csa_1G024260 transcript:KGN63842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWILLRNLPLRARNHLLLRSPIYTSNAHPSFFLSPPAISRFRLFSSDNDSPSKEDSPPKEDSQTVPQANLVSTQKKEACLDVQDVSNKELKMRIKEYFKGNEEALPSILEAILQRKLARKHEDTDDELVEELRMKPLEDVKDREFESDFEELHDTDEEIDDLYYAKNLVMERMAKDPYFNMDDKKWEDIVQDAVNHGILSDTKACEAILEDMLSWDKLLPDDLKKKVEARFNELGDLCEKGELEPEEAYNQFKKFEDEVVMEYGKMMEAEAPTFDETDVQDNKKDLDDPPGEGPILRWQTRVVFAPGGDAWHPRNRKVKLSVTVKELGLSKFQFRRLRELVGKRYHPGKDELTITSERFEHREENRKDCLRTLLSLIEEAGKANQLVEDARTLYVKERLRANPQFMERLRAKKMSSQVSSPQPA >KGN63720 pep chromosome:ASM407v2:1:1761485:1764534:1 gene:Csa_1G013190 transcript:KGN63720 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavonoid 3' hydroxylase MEEITPIVAALITLASAFLLHRAFFLRRRLRLPPGPKPWPIVGNMPQIGEAHLHSLLATMAGKYGPLMYLRLGSVDMVVAASAAVAEQVLKIHDTNFLSRPPNAGAKYIAYNYQDMVFAPYGPRWRLLRKISTVHLFSSKALDDHRRIREEEISVLVQALARSGEAPANLGSLLTVCIANSLGRTMIGRRVFGDGSGSDDLESNQFKLMVEQVMVLAGKFNPGDFFPWLEWLDLMGVGREMKKVHKWFDDFLTKIVEEHRNLLARGVGGGHQDLLSTLLSMKDDGEDENEKLNDTEIKALLLVLFLSKFYLNCFIGRDRAVSDVDLHQLVYLQAVVKETFRLHPPTPLSLPRMASDSCEVNGYHIPKGSTLLVDVWAIGRDPKQWVDPLEFRPNRFLPNGEKPHVDVKGNDFEVIPFGAGRRICVGLSLGLRMVQMLTATIVHSFDWTLPNGLTPDKLNMDEHYGLTLRRAQPLIMHPRPSNNILGEL >KGN64436 pep chromosome:ASM407v2:1:6114373:6114588:1 gene:Csa_1G051735 transcript:KGN64436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEGPKAIGGCLQWTLAPFFGRNHRPLSNPLVPPRLPITKYGGRDRSGNNDDNYGDHPFLLPCRLSILSLA >KGN63810 pep chromosome:ASM407v2:1:2302493:2304085:1 gene:Csa_1G022490 transcript:KGN63810 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartic proteinase nepenthesin-1 MNTSLSSVFLFLTIFTSLQFPSILSRKLTPSSYSTSIFDVSASTNQALDALSIKPKPLQNHSHLPNSPFSLPLYPRLALHNPSYKDYNTLVRARLTRDAARVQFLNRNLERSLNGGTHFGESINESLIGDSITAPVVSGQSKGSGAEYLAQIGVGQPVKLFYLVPDTGSDVTWLQCQPCASENTCYKQFDPIFDPKSSSSYSPLSCNSQQCKLLDKANCNSDTCIYQVHYGDGSFTTGELATETLSFGNSNSIPNLPIGCGHDNEGLFAGGAGLIGLGGGAISLSSQLKASSFSYCLVNLDSDSSSTLEFNSNMPSDSLTSPLVKNDRFHSYRYVKVVGISVGGKTLPISPTRFEIDESGLGGIIVDSGTIISRLPSDVYESLREAFVKLTSSLSPAPGISVFDTCYNFSGQSNVEVPTIAFVLSEGTSLRLPARNYLIMLDTAGTYCLAFIKTKSSLSIIGSFQQQGIRVSYDLTNSLVGFSTNKC >KGN64434 pep chromosome:ASM407v2:1:6094109:6095707:-1 gene:Csa_1G051720 transcript:KGN64434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFDTHHPGVFAFGLLGNIISFIVFLAPVPTFMRICKKKSTEGFQSVPYVVALFSAMLWLYYASFNSNETLLITINSVGCLIETLYIAIFIVFAPKQIRVSTLRFVLLLNFGGFCIILLVTHFLVHGSNQVKVVGWICVAFSVSVFAAPLTIMRLVIRTKSVEFMPFSLSFFLTLSAITWLLYGVFLKDIYVALPNVLGFIFGVAQMILYLIYRKYEIAIAKEMKLPEQTTVDIVMKQKQDSSVEAIEVIIKTNIEEIELSNGNNNNDNDKHNHKTLEVSHQITDHLNHV >KGN64594 pep chromosome:ASM407v2:1:7066972:7070093:1 gene:Csa_1G070590 transcript:KGN64594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEYPAGSLFSPAVTNTSPCSVGNSTFLIDSTITSASNWNQSFLGWNDEQRVDTTVVVDSMTVTKLNYDDQEITCGSEEQQNPNMFFKSTDDDHHSLFLMEQPYNIQTATNLYHDVVTTTTSQVLPMAFPFEAISIGYTPTLLPCSSLDQPTQFLANNRTINNCCSLTNPDELLSCHPMFQTQLLHPPPLNFSNGIIPKCPTKLMGCDEVVEDWSRVERENESKEIAIIKRPRSDNLPSPLPTFKVRKEKLGDKITALQQLVSPFGKTDTASVLHEAIEYIKFLHNQIRVLSTPYMEIGDQNQEPKIISEEELKNTNENMKEDLRSRGLCLVTIPSTVALANGNILNFWSPTFGGTFR >KGN64497 pep chromosome:ASM407v2:1:6511698:6513460:1 gene:Csa_1G059760 transcript:KGN64497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLTHKPPSSSSSPNKPTLDPHDPKAVRWSESVTGGDSDSRKKQHGIHDDDEFEEGETPEQGDEENQHQLVIGSRTPEPPKNDMSWARSIRVDDNRSLRAKMHQAALKGDWEGAKQMEMMHPGALTMVISERSETALHIATRVKRASFVEKLVERLDEHELASKNKYGNTALCIAAASGAVAIAKLLVIKYKALPLIRGSGNATPVLIAARYKHKDMVSYLLSQTPVYGLAREEQMELLLGAISADYYGNSKFSSFIITTFLSVNAN >KGN65723 pep chromosome:ASM407v2:1:18085996:18088267:-1 gene:Csa_1G522000 transcript:KGN65723 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucan endo-1,3-beta-glucosidase MAYSLFFSLLLFFFIPLSSAAYSIGVNYGTVANNLPPPSQVAAFLKSHTSIDRVKIFDANPDILRAFAGTGIALTVSVANGDIPALAKLPAAQSWVANNILPFHPTTLINHIAVGNEILATSDKNLIAHLLPAMKAIHSALKLAHISDVKVSTPHSLGILSASEPPSTGRFRRGYDRAIFAPILDFHNQTKTPFMVNPYPYFGFRPATLDYALFKPNPGVFDNATGKHYTNMFDAQLDAVYSAMKKVGYGDVDIVVAETGWPSAGDPNQAGVSMENAISYNRNLVKHVNSGKGTPLMPNRTFETYIFSLFNENLKPSTSERNYGLFQPDFTPVYDVGILQNPPAMGPTSPVGGPKPSDEEREWCVPKTDASDEALQKNIDYVCSSGVDCGPIQEGGACYDPNTVRSHASYAMNAYFQTAGRHEFNCDFNHTAILTSTDPSYEACSYPFDEEKLTEKSVASGATIRCRMVGAVALARACIAVVAGGVLLI >KGN64694 pep chromosome:ASM407v2:1:7703752:7706433:-1 gene:Csa_1G074990 transcript:KGN64694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDTSSYKDYVAGLIAGVATVIIGHPFDTVKVKLQKHNTESRGITYRGGLHCTARILKTEGVRGLYRGATSSFIGVSFESSLLFGIYSRTKQSLQGGDQNGRPRPQVVIPSAAYGGAIISFILCPSELVKCRMQVQGTDSLVPVSSRYRGPVDCALKTIKTEGATGIFRGGFTTFLRESIGNAVFFSVYENVRYYMHSQLHSTSNSNVHGNLMDMGIGILTGGLGGVGFWLAVLPLDVAKTVIQTSTDKNVTRNPFLVLRSIYQNAGIKGCYTGLGPTVLRAFPANAAAIVCWELAMKVLGIPRD >KGN66008 pep chromosome:ASM407v2:1:20530604:20543628:1 gene:Csa_1G561390 transcript:KGN66008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANEEDHRNYQSHKTTCPSSKAVPSASASASASIPLQQDSMGIKGLTKLLADNAPKGMKEQKFEAYFGRKIAIDASMSIYQFLIVVGRSGTEMLTNEAGEVTSHLQGMFNRTIRLLEAGIKPVYVFDGKPPDLKKQELAKRYSKRADATEDLADAIEVGNKDDIEKFSKRTVKVTKQHNDDCKRLLRLMGVPVIEAPSEAEAQCAALCKLGKVYAVASEDMDSLTFGSPRFLRHLMDPSSRKIPVMEFEVAKILEELNLTMDQFIDLCILSGCDYCDNIRGIGGLTALKLIRQHGSIESILENINKERYQIPDDWPYKEARQLFKEPLVCTDEEQLDIKWTTPDEEGLLTFLVNENGFNSERVTKAVEKIKAAKNKSSQGRMESFFKPVTNPSVSIKRKETSEKPTKQAITKKSKFGGSKRK >KGN66558 pep chromosome:ASM407v2:1:24927783:24939914:1 gene:Csa_1G629150 transcript:KGN66558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPQGGSERDKPPNSSSSTSAVSVVSSFWKEFDLEKEKSALDEQGLRIAENQENSQKNRRKLAESTRDFKKAQPEEKLNLFSSLLKSYQEEVDNLTKRAKFGENAFLNIYQKLYEAPDPYPALASIGEQDLKLSELESENRKMKVELEEFRTEATHLKNQQATIRRLEERNRQLEQQMEEKIKEIVEIKQRSLAEENQKALDAIKERERLLQDQLRREQESVSNMQKLHERAQSQLFELRAQSDEERAAKQSEVTLLMDEVERAQTRLLSLEREKGLLRSQLQSANDDTGNKKSDNLDLNSVLENSLSAKEKIISELNMELHNIETTLSSEREQHIIEIKNLNALINEKETAIDEMKRELQSRPTEKMVDDLRKKVKILQAVGYNSIEAEDWEVATSGEEMSKMESLLLDKNRKMEHELTQFKVKLSEKSSLLDTAESKIAELTEKVVEQQKLIQKLEEDILKGYNSKDQKGSLFDEWDLSEARGELSENVDRKHFPLDQDQSSMLKVICSQRDRFRARLREAEEEIRQLKEKIGQLTVDLEKTKADNVKLYGKIRYVQDYNLEKVVSRGSKKHAEDLESGSMSDVESKYKKIYEDDINPFAAFSRKEKDQRYKELGFRDRITLSSGRFLLGNKYARAFAFFYTIGLHILVFTCLYRMSALSHLSNGPEEFLVGDKHVNLPHGL >KGN64935 pep chromosome:ASM407v2:1:10280361:10285971:-1 gene:Csa_1G164690 transcript:KGN64935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRATVSRFPVDADAQENSGLPWGVSVTPFASKDENGRPPAYGSDAHLLPRCEHCWAYFNSYCDLEHWAWTCALCGTLNGLSSKAIARFSNPESCPEMMSSFIDLELPDEGSGAEAMQSRPVYVAAIDLSSSEEFLELTKSALLAALEALGPGSLFGLATFSHKLGLYDVQGPIPVVKNVFIPPDTEGALPIELEDVMPLLQFLAPVETCKDRIEAALETLRPTTSWERTTAAGQGIDGILMGGRGFGVAMEALLNYLGSEYGNIFALARIFAFLSGPPDYGAGQLDTRRYGEQYASKGEDADRALLPEQTPFYKELAAVAVQAGVCVDIFAVTNEYTDLASLKFLSIESGGSLCFYPSTDDSTLPQDMYRMLSRPYAFGCVLRLRTSTEFKPGRSYGHFFPDPQYENVQHIICCDSYATYAYDFEFANNVGFSRYGTSLAVFVQLFFLIDELRNSL >KGN65338 pep chromosome:ASM407v2:1:14007837:14009204:1 gene:Csa_1G348430 transcript:KGN65338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIVGIVFVVALVATTVLQAAEAVVIPVGGDSGWIRPPNSDFYSSWAAGLKFTVGDILVFNFMAGAHDVAGVTKEGYDNCITTDPIFLNTTSPFSFTLDKLDDYFFICTIPGHCSAGQKLAITNLQQSPPPTSPSTPPVPGNEPTPTPPASPDSPPISNEMPPPVPVIAAPPPPNSATSIVGSIFTVAFVSIAVSFIIY >KGN63574 pep chromosome:ASM407v2:1:858938:861275:-1 gene:Csa_1G004900 transcript:KGN63574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELESNLREENLSTEICKKDELLSSFENFASAKGNNYLQEFHHLDHQLHPIANSSSNNHVMEDENLCSNFDSLNNNQYSHGIDQEMYEFNYKGNAAADQVMDNFQNCGDYYYYCNNFHQRNHQIEIMGLERNSINIPLNFPEIKPVNFMVPDEVSSIDSARNGHIQKGIINNKNVNFSSLLRTSKGRKKPNVIKGQWTVEEDRLLIQLVEQYGVRKWSHIARMLPGRIGKQCRERWHNHLRPDIKKDTWSEEEDRVLIEAHSEIGNKWAEIAKRLPGRTENSIKNHWNATKRRQYSKRKCRSKYPRCSLLQDYIKSLNLDSNTAVRHQKKSSAAISSAVNNNNKSKSADHHYHHQVQTTDFCPNDWTVPDFDFKEEPEFYLDDSFFPEGCSINSLMEDIVGASVDEANNYDRKRYNDNNDESVELRCVEMNKLQYYAPVSTAVAGMEFEVKKELDLVEMMTQVNEVNSMMK >KGN65683 pep chromosome:ASM407v2:1:17555204:17556387:1 gene:Csa_1G496300 transcript:KGN65683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSRKMKSEAILPLLFLLQLLVAPPSLMAAGPANVFREYIGAEFNNVKFSDVPIHSNVEEFHFLLSFAIDFDASSRSFTNGAFDVFWDSDNLNPSAVASIKKDHSNVRVGVSLGGDTVDNGGSVFFKPSSIHSWVSNAEHSLTKIIKTYNLDGIDIDYEHFKSDPDTFAECIGQLIKRLKKKGIISFASIAPFDDDEVQSHYLALWKRYGHLIDYVNFQFYAYDKGTTIGQFIQHFKDQMSNYEGGRILASLVSDDSGGLVPKNGFFKACRRLKKEKLLNGIFIWSADDSQAKGFDYEKTAQSLLAKPN >KGN65727 pep chromosome:ASM407v2:1:18108464:18113939:1 gene:Csa_1G522530 transcript:KGN65727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEQLYSVLISTNAYLTCYMGNRSREQAQSRRSFVSFFLHKKTLSLRLLSVFLSLLLPILNFPQFPPSLSFPPPSEPLDTRWKLPPIGTTLAHESPKMSVGSNRESETDRLKKYQEFYYEDLKQGHIRIKASDSRYRCPFCHDRSGKEDLQFKDLLRHASGTGRSSQSWSTKEKAKHLALERYMNKYFCPEDKRQSVLSQHRDDRDQPQAVHKKQHYDRDQSQPVYKELRYNHDRPQLVHKEQHWDSNQPQPIPKDQGYDCDQPQPVLKKLCNDRDRPQSVLKEQHHDCDQPQPKNKLEVWNHFHLEDQPQPVRNKQCYDHDHDQFFVWPWMAIVANIQTEIHAGRHVGDSGSKLRDEFVRQGFNALKVHPLWNRFGHSGYAIVEFNKDWDGFRNAILFEKSFEINHHGKKDLNGSRNRGKELYGWVARDDDFYSKSLFGDYLRKNGDLKTVSGKEAEDNSKASKLVSNLANTLETKNLHLKEITHKVQETNASLNNMMEQMDETVKIYNDKIRRLQQDARDHFKHIVSEHEKVKLQIEDQKKELKQREHQLLDREAQNENERRKLHKEKKMNERATLEQKKAEDEVLHLAGEQQKEKEKLHKRIIELEQKLDARQALELEIERLKGSLKVMKHMREDGDDVAKKKMDQMVQDLDEKKEELEYFQNINQSLIIKERRSNDEVQDARKELINVYGGSSTRAFISVKRMGDLDSKPFCTATKLNYAKDEADAKALGLCSQWEDQLRDSSWHPFRIIVDDAGQAKEIIDENDEILKNLKNEYGDEVHKAVVTALMEMNEYNPSGRYIVPELWNFKEDRKATLKEGVAHILKQWKLHKKRKT >KGN64224 pep chromosome:ASM407v2:1:4832214:4835175:-1 gene:Csa_1G043270 transcript:KGN64224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGPGLDSLVDQTISVITNDGRNIVGVLKGFDQATNIILDESHERVYSTKEGVQQLVLGLYIIRGDNISIVGELDEELDSNLDLSKLRAHPLKPVIH >KGN65724 pep chromosome:ASM407v2:1:18093667:18096197:1 gene:Csa_1G522010 transcript:KGN65724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCCVLNVLFPVSSSSSSLKPQTHMSASGTSFPDSDWPRPHDPTRPDLPFSHHLPPDPLLSAGSFIDSSTSSPTPATSTVPSPTLPSRDLDRTPRKTPLTSSTRRSPLRPDPLNSFNGDSSPSKKVSASNSASSKSALSERPNWLPPGWVVEDRVRSSGATAGTVDKYYFDPVSNRRFRSKIEVLYFLETGTLRKRKKSLDGNPSSTDVSEEPKGKKSSSNAKTAPLNFDFFNVPEKVEWVLTDPSQDAWTPFIDNEKVPESTKCEWVGAFQLLGRSKV >KGN64548 pep chromosome:ASM407v2:1:6808636:6810314:1 gene:Csa_1G064700 transcript:KGN64548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMERISPTRFLVFIAAILVASSSSSSSADDSKPTFADMFEMSCPDDHFKTSDAGQIWHLSLDKEAGCGFQTKERYRFGWFSMKLKLVGGDSAGVVTAYYMCTENGAGPERDELDFEFLGNRSGQPYLIQTNVYKNGTGNREMRHMLWFDPTKDFHTYSILWNDHRIVFYVDRVPVRVYMNSDKPNNFFPNEKPMYLFSSIWNADDWATRGGLEKTNWTKAPFVSTYKDFNVDACQWEDPYPKCVSTTTENWWDQYDAWHLSKSEKLDYAWVQRNLVIYDYCKDTERFPTLPWECSLSPWD >KGN64652 pep chromosome:ASM407v2:1:7428495:7429792:-1 gene:Csa_1G073110 transcript:KGN64652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSSLLSVSPYSGCKIQSSIIPLNKRHGVNNYVSCKASNHIEDSVNKFDRRDVLFGLGGLYGVATLSGNDIGLAADWSLSPDVHNCKPSKPSAGKKMDCCPPKINKIIEFTPPVVPTLRVRPAVHLMTPDQIADLEKGIQMMKNLPEDDPCSFAHQAKVHCAYCNDAYKQIEMSPHNNIHNWVGDPEATIQGENMGHFHSAGKDPVFYAHHTNVDRMWNIWQKMKDQPRDHTDPDWLNSSFVLYDENRKADNPPIPRPNRWERRLGNLPPTIDFPQILSSDITTMVDRPEVASPEERINKNEVLVLEDVEFDPTLPLHFDVLLNVGDDGEDVRAGDIEFVGTFTNVPHGRMTHHKTSLHFVLTKKIVQLGLEGDKKVAVKIVPNVNGGDRIKIGGIKIQLEDIE >KGN66846 pep chromosome:ASM407v2:1:28224895:28228631:1 gene:Csa_1G701220 transcript:KGN66846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRDEKPSKPSSSRTGGIRTLSDLNRRSPDSVGDPDSPQEYYTGGEKSGMLVQDPTKGNDVDSLFNQARQMGAVEGPIDHARSSGSSSFTGTGRVLSGETVRSAPDQPESIVHNIVFWSNGFTVNEGPLRRLDDPENSSFLESIRKSECPRELEPADRRSSVHVNLIRRMEEYREPEKPRLPFQGVGRTLGGSAPSQAANEPTATPTDVNSSPSPSAGLIVDESLPSTSIQLRLADGTRMVSHFNYQHTISDIRAFIDASRPGGARNYQLQLMGFPPKLLSDVTQTIEQAGLANSVVIQKF >KGN64840 pep chromosome:ASM407v2:1:9281370:9288930:1 gene:Csa_1G126020 transcript:KGN64840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAILWGLQIAGLTNNSYLAEGHEIRHCVQKHKSLGQHVLHVAKKRLDAMLYVGLTAEHKESATMFANVVGAQVISQLREANFSMDTSADNQSGNISSSHLDSELESNVDQNNSTLDEKATVQDESEATRENMTVAELIEVYEGCISSLLKTQSRRRFASLKRISPANFSKEARRSISDEVLQQIRTLNYLDMELFEHAREIFAMRRVLMGNIDMKDGSEGKFNRLHVFELWKNSSLVILFILLLVLFVFAKARRRVSKVKV >KGN66298 pep chromosome:ASM407v2:1:22559320:22559514:1 gene:Csa_1G596480 transcript:KGN66298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRERERFQKPVTIYHPIFAFGSLPSLFVVWSSLSSAAPRSGKGLEVLLNL >KGN64874 pep chromosome:ASM407v2:1:9599490:9600249:-1 gene:Csa_1G143280 transcript:KGN64874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYHGWTVLASWFRLKYPHIALGALASSAPILYFDNITPQDGYYSIVSKSFKETSKTCHDTIRRSWGEIDRIAGKTRGGLSILSKQFKTCGKLKTSSEIKNLMDSVFTMAAQYNDPYENPVRGICVAIDEEAKKKSNVIKQVVAGVIAYLGERPCYDVYEFGYPNDPLNQYGWQTLEY >KGN66692 pep chromosome:ASM407v2:1:26505827:26506089:-1 gene:Csa_1G660120 transcript:KGN66692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGEEACGREEPPRTRQGARQGRKGARREGFVRLASMRPRAMHFKGLRPRKEVRQDV >KGN65209 pep chromosome:ASM407v2:1:12832138:12834092:-1 gene:Csa_1G265090 transcript:KGN65209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYSYTPTYYSTLHDSITSLCKSILPFSFKKRCLPAADQKLAKLQSDNLKWQQDSFHQMLKLMGLHNEGILAENEVSDFKTHLLDTMIASPVEHEHPVILRDKLLFLQELLYAKCITADEYHSSKRPLLQRLAVQGAEIEARDVILADSKDNMKENSEEEWSNIDLRDEKTSQKSNSNSKNKSKHMLGMKHIRGAASVFSFGLSQKSERNRKEKSIFDFENQLCNNSESHSILMSESSSNKEMSEEKAKRRPFRTLFQGHDSGGDSGNYGPDYEERMNKSGKKQWGFNGLKKWKRDETEDETAPLPLHERSDSEAFWGSSNSTQLATSPLGEGPNTKMMKRKLHSNGSPSDFFIDKVLGEKIKKELSRIQSELNTSNPNLKFSDDQIEAISTRLPVDKADLKNFFPK >KGN64444 pep chromosome:ASM407v2:1:6158788:6162037:-1 gene:Csa_1G051810 transcript:KGN64444 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calnexin MKAVHLGLAVALLVLCLSSVQLRASDDEIFYESFDVSFQGRWIVSEKDDYQGVWKHAKSEGHDDYGLLVSEKARKYAIVSELDEPASLKDGTVVLQFETRLQNGLECGGAYLKYLRPQDAGWKAKEFDNESPYSIMFGPDKCGATNKVHFIVKHKNPKTGEYGEHHLKNPPSVPADKLSHVYTAILESGNNVRILIDGSEKKKANFLSEDDFEPPIIPAKTIADPDDKKPEDWDERAKIPDPNAVKPDDWDEDAPMEIVDEEAEKPEGWLDDEPEEIDDPEATKPEDWDDEEDGEWEAPKIDNPNCETAPGCGEWKKPMKRNPEYKGKWHAPEIDNPNYKGIWKPRQIPNPSYFEIEKPDFDPVAAIGIEIWTMQDGILFDNILIAKDEKLATSYRDEKWKPKFEVEKEKQKAEEAAASGPDGLAEYQKKVFDVLYKIADISFLSQYKSKIIDVIEKGEKQPNLTIGIIVSIVVVIFTILLRLVFGGKKQQPAKREEKSTVAAESSSDQSSSGEKEGEEKEDGGAAAPPRRRSGPRRDN >KGN64940 pep chromosome:ASM407v2:1:10316615:10317890:1 gene:Csa_1G165230 transcript:KGN64940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMQEEEEEESIHEYPPGFRFYPTEEELVSFYLPHQLQTQLPEKHRVIPLLNIYDFDPWDLPKLAGELCKGDNEQWFFFVPRQEREARGGRPSRTTAAGYWKATGSPVYVYSSASKVIGLKKTMVFYKGRAPTGTKTKWKMHEYRAIDDSAKLRHEFSLCRVYVISGCFRAFDRRPMESIAAARKNADSKRKGKVSSPEISCSAAAMVHCDGSGSNWRMDEDEEFEESIWEWEKMDWP >KGN66241 pep chromosome:ASM407v2:1:22174638:22177445:1 gene:Csa_1G588500 transcript:KGN66241 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase MQKFVIKIVDMMKWEKLFHTQGGPIILSQIGVKSYEAKMTPISSFWWLSYKEEPASAYAKDTTTKDGLVEQVSVTWDTTDYLWYMTDIRIDSTEGFLKSGQWPLLTVNSAGHILHVFINGQLSGSVYGSLEDPRITFSKYVNLKQGVNKLSMLSVTVGLPNVGLHFDTWNAGVLGPVTLKGLNEGTRDMSKYKWSYKVGLRGEILNLYSVKGSNSVQWMKGSFQKQPLTWYKVSHSPRLVKSKWQLIDNFGRNWWESSRDFFG >KGN65041 pep chromosome:ASM407v2:1:11146039:11150281:1 gene:Csa_1G181540 transcript:KGN65041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRFGLSSTAPATMIVTNTPAQDLAVTNLAYCSASDLQNYAVPGTKLFLALKLATGDSINIMLKVRLRAISSGHIALNAIQRRHARVSTGDKISVARFIPPDDFNLALLRLDLEFVKKGSKSEQVDAVLLANQLRNRFINQIMTAGQRASFEFHGTNYIFTVNQAVVEGRDTSNSVERGMISKDTYFVFETSNGSGIKIVNQREAASSNIFRQKEFNLQALGIGGLSEEFADIFRRAFASRVFPPHVTSKLGIKHVKGMLLYGPPGTGKTLIARQIGKMLNGREPKYGDEKLTYLLKLTVADLFADAENDQRTHGDQSELHVIIFDEIDAICKARGSTRDGTGVHDSIVNQLLTKIDGVESLNNVLLIGMTNRKDLLDEALLRPGRLEVQVEISLPDENGRLQILQIHTNKMKENSFIAPDVNLKEIGKLTFFSPLLR >KGN65616 pep chromosome:ASM407v2:1:16925751:16930607:1 gene:Csa_1G470320 transcript:KGN65616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFAQNITTDQAALLALRAHITSDPFGITTNNWSATTSVCNWVGIICGVKHKRVTSLNFSFMGLTGTFPPEVGTLSFLTYVTIKNNSFHDPLPIELTNLPRLKMMSLGNNNFSGEIPTWIGRLPRMEELYLYGNQFSGLIPTSLFNLTSLIMLNLQENQLSGKVLHNSLAQTEGQPGRFQICSNFALLGLWLKVYSCFIGSIPREIGNLTLLQDLYLNSNQLTEIPTEIGTLQSLRTLDIEFNLFSGPIPLFIFNLSSLVILGLSGNNFIGGLPDDICEDLPSLGGLYLSYNQLSGQLPSTLWKCENLEDVALAYNQFTGSIPRNVGNLTRVKQIFLGVNYLSGEIPYELGYLQNLEYLAMQENFFNGTIPPTIFNLSKLNTIALVKNQLSGTLPADLGVGLPNLVQLMLGRNELTGTIPESITNSSMLTLFDVGDNSFSGLIPNVFGRFENLRWINLELNNFTTESPPSERGIFSFLTNLTSLVRLELSHNPLNIFLPSSFVNFSSSFQYLSMVNTGIKGMIPKDIGNFLRSLIVLVMDDNQITGTIPTSIGKLKQLQGLHLSNNSLEGNIPAEICQLENLDELYLANNKLSGAIPECFDNLSALRTLSLGSNNLNSTMPSSLWSLSYILHLNLSSNSLRGSLPVEIGNLEVVLDIDVSKNQLSGEIPSSIGGLINLVNLSLLHNELEGSIPDSFGNLVNLEILDLSSNNLTGVIPRSLEKLSHLEQFNVSFNQLEGEIPNGGPFSNFSAQSFISNIGLCSASSRFQVAPCTTKTSQGSGRKTNKLVYILPSILLAMLSLILLLLFMTYRHRKKEQVREDTPLPYQPAWRRTTYQELSQATDGFSESNLIGRGSFGSVYKATLSDGTIAAVKIFDLLTQDANKSFELECEILCNIRHRNLVKIITSCSSVDFKALILEYMPNGNLDMWLYNHDCGLNMLERLDIVIDVALALDYLHNGYGKPIVHCDLKPNNILLDGDMVAHLTDFGISKLLGGGDSITQTITLATVGYMAPELGLDGIVSRKCDVYSYGILLMETFTRKKPTDEMFSAGEMSLREWVAKAYPHSINNVVDPDLLNDDKSFNYASECLSSIMLLALTCTAESPEKRASSKDVLNSLNKIKAMILTYSEQ >KGN65884 pep chromosome:ASM407v2:1:19338860:19339546:-1 gene:Csa_1G537340 transcript:KGN65884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSTQTMRTKPSPRSILLVTTSPSSPCCSWHHQQMHQEPSILATVTTSCKFLKSTNHLHHMLPRCYVSRQLGLMELSNAELFGDIGSPTKKDIEDDSKWETTMTASCGSLNGFFERGFDFERGRSLKKVKDEVIRHDIVFKGPWEAMGGA >KGN66646 pep chromosome:ASM407v2:1:25966194:25979291:-1 gene:Csa_1G652290 transcript:KGN66646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPPLIDLRDGDGDDSSSSFTNSYTQNLNHLNHGFNYLNQEDTTRTYSPQFFDSSSSSSDNENNGVSSNSVESTTKRLDYMLQFLDRKLSSQSVSYSNRDGDIQDSDYDGSSSSLPEFIGRGGGTGIFRLPVRAAVHPHRPPSLEVRPHPLRETQIGCFFRTVAGSESQLWAGSEYGVRFWNFEDLYAAAEDMVVRGGDEETAPFRESVRTSPTLCLVADEGNRLVWSGHKDGRIRSWRMDIPSLNSNDHFTEALSWQAHRGPVFSLVMTSYGDLWSGSEGGALKVWSWEAIERALSMTEGENHMASLLMERSYVDLRTQVSVSFSNTFTWDVKYLLSDDSTAKVWSGSDLSFALWDARTRELLKVFNTDGQLENRIDMTSVQDFTLEPVSFSKKEKTQSAFGFFQRSRNAIMGAADAVRRAAVKGAFGDDNRRTEALVITIDGMIWTGCTSGLLVQWDKHGNRLQDFHHHSHAVQCLCTFGSRVWVGYASGTVQVLDLKGRLLGGWVAHSCPVIEMCAGSGYIFTLANHGGIRGWNVTSPGPLDSILRSELAAKEFMYTRMENLKIFTGTWNVGQEKASPDSLISWLGSVVSDVGIVVVGLQEVEMGAGFLAMSAAKETVGLEGSSLGQWWLDMIGKTLGEGSTFQRVGSRQLAGLLIAIWVRSNIRAYVGDVDAAAVPCGFGRAIGNKGAVGLRIRVFDRVLCFVNCHFAAHLEAVNRRNADFDHVYRNMSFHRPSNPFGTTAACSSPTAQTVRSSNAFVGSSVEMTPELSESDLIIFLGDFNYRLNGVSYDEARDFISQRCFDWLKEKDQLRTEMESGNVFQGMREAVITFPPTYKFERQQQGLSGYDSGEKKRVPAWCDRILYRDSRSSSASGCSLDCPVVTSISQYEACMDVVDSDHKPVRCIFDVNIARVDESIRRQELGEILHSNEKIKHILEVLCKIPEVIVSTNNILLQHEDTSLLRITNKCEKSDAIFKIVCEGQSTIRVNGKASGHYSLRGSFGFPRWLEVSPATGIIKPNQIVEVSVRLEESHMSEGFVDGQPQNSWCEVTRDKEVILLVKVYGTFSSKSKNHRIRVRHCVSPKREGTGTKTNNSTQIHGSLLHRSDIQRLSMSSDVVDHLRNLHTP >KGN66568 pep chromosome:ASM407v2:1:25028608:25032755:1 gene:Csa_1G629740 transcript:KGN66568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFTLSAPFKLSIIFSFLLLSFRISSASPEYSKPRLSSPSANSSGQINSNSVLVALLDSHYTELAELIEKALLLQILEDAVGNHNLTIFAPRNEALERDLDPEFKRFLLEPRNLKSLQTLLTFHVIPTRIGSTEWPKHSESTRHQTLSNHVLRLTHHSTGERTVDLAKVIQPDAITRPDGVIHGIERLLIPQSVQDDFNRRRNLQAITAVKPEGAPEVDPRTHRLKKPAPPAEPGSAPALPIYDALAPGPSLAPAPAPGPGGPHHHFNGERQVKDFIHTLLHYGGYNEMADILVNLTSLATEMGRLVSEGYVLTVLAPNDEAMAKLTTDQLSEPGAPEQIMYYHLIPEYQTEESMYNAVRRFGKIRYDTLRLPHKVVAQEADGSVKFGQGEGSAYLFDPDIYTDGRISVQGIDGVLFPPEEAEEKLSVKTVQHVKVVTKPRRGKLLEVTCRMLGAFGQDSRFTTCQ >KGN64267 pep chromosome:ASM407v2:1:5064258:5066054:-1 gene:Csa_1G045650 transcript:KGN64267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSITRKYKMNRNDGKAVPRKKPMTMTEILTSTSSTLATIMFAWSIIRQYSPQGLRQYFQTYFSKFMDYIYPSPYVRIAIYEFVGDRFSRNKAFAAVEAYLSDKLSDDAKRLKAEVGESKNNFSLSMDEYERVTDEYENAEFWWTSSKIAGSATKSLSLYPDTDRRFYQLKFHKKHRELVKESYLKHVLKEGKEIRVNRRRRKLYTNGTGNRWLIHRSTTWSEVYFEHPASFDTIGMDPIKKQEIIEDLLTFSQSKEYYARIGKAWKRGYLLYGPPGTGKSTMIAAMANLLNYDVYDLELTAVKDNTELRKLLIETTSKSIIVIEDIDCSLEFTKQRKIVEKKSSNEEKEKKKAIKEPKKEEEEVKSKVTLSGLLNFIDGIWSACGGERLIVFTTNHLEKLDPALIRRGRMDKHIELSYCSYEAFKVLAKNYLNVETHELFEEIKELFNNVKMSPADVAENLMPKSREEAEEHALRRLIGSLEETKRVAEEKKKEKSKETSPPKIVDEKERPSEIVKEEESSLE >KGN65969 pep chromosome:ASM407v2:1:20205694:20210533:-1 gene:Csa_1G554560 transcript:KGN65969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVGMAASLSTSPYTCSSKSIVFPKCSPNIKLGSNRFTISASTSVLAGGSPLLQAAKHTVDTYVKSGMVIGLGSGQASGMAIQYLGRLLRAGALKDIVGVPMSIASASEAAKAGIPLDQFNDSSQIDFSFDDADIIEEGTLIAVIGHRKPQVEDSIIQEKSILNASNQLAFMIKESQYMGGPEGSIPVLVNSLNWMQTAEEIDDLFLGDAEVWRRPSIGHAGPLGGDFPFVTREGHNVLDVIFTSPISSLAEVAESLDQINGVVDHGVISKFPCTAVIASESGLQIIDNLQRNMA >KGN64452 pep chromosome:ASM407v2:1:6210972:6211358:1 gene:Csa_1G051890 transcript:KGN64452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQGGEVSFKIFSMKEKSERDKKEEGKEEKESIAQEAQSDHLRNQTVLEFLDEEVNREKIGGSPLDFRMNAASSKKKVRHRDAQCYACARHLLQVRSVTAPKSNVVTLGQLCKDKGILPFRRRGIEAS >KGN64775 pep chromosome:ASM407v2:1:8467972:8469023:1 gene:Csa_1G096090 transcript:KGN64775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASFFSLFLGNATELLSLMEKTFPELGLKKEDCLETSWVESMAFSASGFVSAKSLELLLDRTPLHNGRYKTKSDYATEPISETVLEGMWERFKDEELETVQLILIPFGGKTNEISESETPSPHRAGYPIHIGYYLTWQRPDADSKHLKWARELHNYMTPFVSKSPRAAYVNYRDLDMGTNNDDGVPTRCEEASIWGHRYFGNNFERLMEVKRKVDPFNFFRHEQSIPPAPTSVGI >KGN65822 pep chromosome:ASM407v2:1:18788478:18790383:1 gene:Csa_1G532300 transcript:KGN65822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSLAVSNSPVFSPSSMFCNKASIISPAPEALTLTLAHLKSSQASSSCSSSSPSSPSSPFRIRFPKPPSGLSAAAAAVALASTSSPSSSSSSAILKRKRPARLDIPLTPLSFGAPVMPSPSSYREVVEAERDGYSVYCKRGRRRIAMEDRYSAAVDIDGNSKEAFFGVFDGHGGAKAAEFAANNLEKNVLNEIERMDDNETDFEQAIKHGYLTTDSDFLKEDQRGGSCCVTALIKKGNLVISNAGDCRAVLSSQGVAEAITSDHRPSREDERHRIESTGGYVDLCNGIWRVQGSLAVTRGIGDAHLKQWVIAEPETRAIRIEPRHEFLILASDGLWETVSNQEAVDIAHPLCVGMEKAEPLTACRKLVELSLSRGSVDDISVVLIQLANFI >KGN63843 pep chromosome:ASM407v2:1:2487375:2490119:-1 gene:Csa_1G024270 transcript:KGN63843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPPPASATTAAAANYAPNSMQHHHQNNYQESIESSPRSHNADWDDPLPPVPGAKLRLMCSYGGHIIPRPHDKSLCYVGGDTRIVVVDRHSSLSDLCMRLSRTLLNGRPFTLKYQLPHEDLDSLISIATDEDLENMIEEYDRITMASPLKPLRIRLFLFFIKPETAASMGSLLDDAKHETWFVDALNNSAGMIPRGLSDSATMECMVNLDAVHTSDSCNDLENQGHDSLGHIDKQVVKNSSSAQDVQSIPDSPAVENDSSFGSSSSVPSMSNLPPIRVRVEETEGRVQDPKVGLEEQFGQMNFGVPPIPTALAAAAATIPLGVISNHENANRVFLDDERPEQAGTVAFRKPPLPLQTLQNRGVASPVVSGGFGLPSPDSVASDSSIASANSQSKPIYFHDQIMRENQVQASPITESDGFLTSQQVPIQHLDPAYLLTSQLDQKQPQQFVHATTHYIHHHHPAAAAGHVPVQQYYHPIYTPTPSQQQLHHPIDQQYPVYLMPITQTQPTYNMSVQSSPAETPLAVPNRQASASPAIVSSSIVYNDSNQPSLYPQKVTAAMPEMAANVYRTAVTSNPPPLLQVPHNQFQQPYMGLPQMNYPSQSLAVAPAPSGTANYGFDYTNAPPQNIPATPMASQYQTMTQAAAAALSDASRQLPVDGTQQQQVRNS >KGN65650 pep chromosome:ASM407v2:1:17240093:17240468:-1 gene:Csa_1G478580 transcript:KGN65650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYHDWGMNTRRNLVINQPDSAQAAPTRTIISNDLIQGCFSKYRKCQCAVIAKASRFREAFGFIYSCFLFGPREWTTSYQMISKERAKKVIQLRF >KGN64729 pep chromosome:ASM407v2:1:8013430:8017979:1 gene:Csa_1G084280 transcript:KGN64729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRTLLLLAMAALLLFSNSVLCKDDSPPKDPLSKPTDDDDEDLSFLEEPDEAHAHQNLPDFDNFEGGAEDEDFGDFTDFEDAEGDGDEYKAPVVDEKDVVVLKEGNFSDFIKKNRFVMVEFYAPWCGHCQALAPEYAAAATELKAENVALAKVDATEENELAQQYDVQGFPTVYFFSDGVHKAYPGQRTKDAIVSWIKKKTGPGIYNITSVEDAERILTSESKVAVGYLNSLVGSESDELAAASRLEDDVNFYQTVDPEVAKLFHIEASAKRPALVLLKKEAEKLSRFDGEFSKSAIVEFVFANKLPLVTMFTKENAPLIFESSIKKQLILFAISNDTEKLIPIFEEAAKSFKGKLIFVYVEIDNEEVGKPVSEYFGVNGNGPEVLGYTGNEDSKKFVLDKEVTLENIKAFAENFLEDKLKPFYKSDPIPETNDGDVKIVVGDNFDEIVLDESKDVLLEIYAPWCGHCQALEPTYNKLAKHLHGVDSLVIAKMDGTTNEHPRAKSDGFPTILFFPAGNKSFDPITVDTDRTVVAFYKFLKKNASIPFKLQKPVSSPKAESSEGKSSDDAKESPKSTDLKDEL >KGN66514 pep chromosome:ASM407v2:1:24450110:24455399:1 gene:Csa_1G616320 transcript:KGN66514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSVLFGEVFSFWAVSVKEVFFGRAMDKGGFYLGRLLVNADRSSFSSLIWFQSSMSLNFQVREFYSNIRWYDVENTHPLPTVEKELRKSIRLFKKVIVRRKSVEGNLVKYLLDFGKRRAIPDIVKKHGVKLEDSSNERKRYWLNETFVPLHLVKNFEEKRVARRANEVKPKIVELGIVKSSRKKGFAYLFSRADKLDLYHCGRCNKVVPVREAVSCRYCQGIFHKKHVKKYVESVAAKCTYTCHSCWDGISVKSNGKRGKSGVKGGKLHMVKGKRPSDQRALRLKNRKKALRAGKQAQTQNNSKVPTGIPLRRSARQAKHSSLQKKKQDKKVGGSVKRKKMKSRKGTPKKRKRETSLQKKRTLACHSFWLNGLFLSRKPGDERVTHFREKKLLLLTPRISVNHDKAKCNLCSETEHASGLNYIACQNCGAWFHGDAFGLDQTKIDILIGFRCHICRKRLPPVCPHQMNQKPDILDEVQNNTVVFCSVEPVPCHL >KGN66893 pep chromosome:ASM407v2:1:28699345:28700955:1 gene:Csa_1G705610 transcript:KGN66893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSSNGQRSIYCLHILNPRISKCLATLLFLLIVRVILFPTISSFGRIEENGLVVVRNLSPLYGSDFGIRVDKFLEVPQIVWGLNNQKIAFARACLTARMLNRTLLMPSLSASLFYKEVERLEPIFFDKIFQFEEFNSRCNGFVRLGRYMDISNQTKPIELLKGSGRKWTIERDLEQLEEYSKEPFDQSEVITIVGKNPFLWHDHWPVKDYAKIFECLVLVDEIEKEVDKVISRIREVGSKVRSKFDSDATVVKSENSLQPMPYVAVHMRIEIDWMIHCKKLEQRSRINQICSSKEEIMNRVGNILEMKVPTVVYLAVADSLLNDSSILKGWKEGLLPFEKKKLGIDKIYKKYPYLIQSAIDYEVCLRADVFVGNSFSTFSSLVVLGRTQKLMKTDVVDLCDTNLSWPSYAYNILGDSNGPRKWISNMSDISLKNISYGSNDTSCR >KGN66580 pep chromosome:ASM407v2:1:25159028:25161256:-1 gene:Csa_1G630840 transcript:KGN66580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKGSEEVLTSDHHGCFKGKACNVEEKVVELVNNTKKVGKDDPRRIVHSLKLGLAATMVSSFYYFEPLYDSFGASSIWAIITVIVVFEFSVGATLGKGLNRTTATLVAGGLGFVAHYIASISGKIGHPILLGIFISIMSGTATYLRFFPKLKAKYDYGLLIFILTFDMVAVSGYRDDEILKLAWHRIANILMGGFIAVVVCIFVRPVWAGADLHQLVSTNIRNLGIFFEGESSSLL >KGN64463 pep chromosome:ASM407v2:1:6277337:6277749:1 gene:Csa_1G056990 transcript:KGN64463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYCLSGTHSSPFLDDVEASSAIVAGNPLILRAYCKLPRSLLQRFALVSGSHSFFLPQRLILVSQREFLCSLALCESVIRCIDL >KGN64797 pep chromosome:ASM407v2:1:8663797:8664298:-1 gene:Csa_1G097750 transcript:KGN64797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEANKRKLRGFMRGKLMPFYKQYNGSKTKQTGLVGYVFHRECYSSVSQHNIGLLVQDDPGRDTTATRDSLSQFDRSYGIIADEGVDVKAANYISSTLARFKSLNELPHPIELSSIPLPHQ >KGN64843 pep chromosome:ASM407v2:1:9317711:9317932:-1 gene:Csa_1G126050 transcript:KGN64843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEVVLNDRLGKKVRVKCNEDDTIGDLKKLVAAQTGTRTEKIRIQKWYNIYKDHITLKDYEIHDGMGLELYYN >KGN64654 pep chromosome:ASM407v2:1:7438774:7440159:-1 gene:Csa_1G073620 transcript:KGN64654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVMCRYRWWIWILMIQMNEGDENAQIKSNLGVKYRQVGSRLAVRHCCFSVELTRPPATQRREHWETSTPPQETQSSAPITPASTAFGPFGKPSAGNEKTFKTPTISNASFLFYNEKAEAVQVYVRDSLNTKTLSYVFQDVNIPWLKARPIPRKTLKSKKPRATTASIFPFHGVRPAIAEPVPRRSEEAEEIEGQEGERRRYWWLMGLNMIRLTRL >KGN64792 pep chromosome:ASM407v2:1:8590252:8594615:-1 gene:Csa_1G097700 transcript:KGN64792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELTLPTPLFVSIPKIVRNSKPNNNGLCLLDSNPLSADVKLQGGRRTLTIASSLPETAASVAIAATVVGAAATFLSRRNKNSEAVEVPLITCEDCGGSGLCSECKGEGFVLKKLSDENAERARLAAKNMATRFTAALPKKWSYCSKCSSARSCSTCGGSGTLNS >KGN63662 pep chromosome:ASM407v2:1:1422641:1425066:-1 gene:Csa_1G009660 transcript:KGN63662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSEVPSKPVVETDAPVMASVSRNSPGKKNQVKVPKKIHKAEREKLKREHLNDLFLDLANALELTEPNNGKASILSEASRLLKDLFGQIECLRKEHALLLSESRYVDIEKTELREETSALASQIEKLQSELQSRAVHSKPDLNVTPPSEFPQQGTTVQHFSGECLGLPVMEPTLQQTHAVFIVPVRPDLPSYPATDATHAPIMPTSHVSKPHARYPTPADSWPAGLLKLSQTTEASKEALAIGCKNFADMGERGYDRSES >KGN63985 pep chromosome:ASM407v2:1:3498084:3499453:-1 gene:Csa_1G033040 transcript:KGN63985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNKEEITIPTADLSPFLKELDQGSYSYDDDDDDQKKKKAAAIEIIGKACSEFGFFQVVNHGVPLHLMQKALLLSNQFFGYPLDRKLQASPLPGAPMPAGYGRQPDHSPDKNEFFMMFPPHSTFNVFPSHPQGFREVVEELFSCFVKTASVIENIINECLGLPPNFLSEYNNDRKWDLMSTFRYPNASEIENVGLREHKDVNFITLLFQDEVGGLEVKTEDHQWIPIIPNQNTLVINVGDVIQVLSNDRYKSASHRVVRQEGRERHSYAFFYNIGGDKLVQPLPHFTTHIDQPPNYKSFIYKEYLQLRLRNKTHPPSNPQDIINISYYSTT >KGN66634 pep chromosome:ASM407v2:1:25829827:25835281:1 gene:Csa_1G651680 transcript:KGN66634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGGAVSSETQQGASYTYWVRETRQDAAPLPVPRKLSADDILASQASQPPTLGSVWNRAGTWEEKNLNKWASDRMKELLLSVASLEFSSGKAEIADVSKCVGDAFLVTVRNKKRVGYTYELTLKIKGEWTIRQEKKTVKGHIDVPEFSFGELDDLQMDVRLSEEEDLLGEDKFQICQDLKRFLQPVREQLLQFEQELKER >KGN64557 pep chromosome:ASM407v2:1:6854657:6856632:1 gene:Csa_1G064790 transcript:KGN64557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNSAIIFHYFSLSLSMHANALTLYKCNTNNFFTANSSYESSLNLLFSTLSTNAPGTNGFFNYSVIRTPNDTVYGIFQCRGDTTDGSCRDCVMEATRNVAYQFCPFRKSAVVWYDDCLLRYSDQNFFSVVSTEPLYELDSRVDIAFDTTRFNQLVMATLTAIAANASSLVVGEMFATHEAIFTSNITLYTLAQCNSDLSNTECQDCLTRVIRGIPGCCRNKIGGRLLFPSCYVRYELYPFYQLSWTNQVPPSESATPDQQPPLHSSEQGKSKSLNVMIIAIVLPITASILLLVLCLSVLLWRANRKYMILISDFGMARIIQIDETHINTRRIAGTFCYMSPEYAMHGIFSIKSDVYSFGVLLLEIITGKKHQTFSLLGIGEDISTYAWKLWNDGTPLDILESSLRDKCSRDMVIRCIHIALLCVHDDPVQRPSMASIVLMLNSYSVTLPEPKEPMYFKSNIRENNDSAAVDGDQSKGLSSNIISTSEMDRG >KGN63492 pep chromosome:ASM407v2:1:353929:364670:-1 gene:Csa_1G002120 transcript:KGN63492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSEFLGSFSILGSPHSAPYKQRMASFGALSLCPCSPLLRPHHPVRTIYCCRGSPTVLGKNVSKVPRKRPGRLEGAKRSMEDSVQRKMEQFYEGSDGPPLRVLPIGGLGEIGMNCMLVGNYDRYILIDAGVMFPDHDELGVQKIIPDTTFIKRWSHKIEAVVITHGHEDHIGALPWVIPALDSHTPIYASSFTVELIKKRLKENGIFVPSRLKVFKMRKKFTAGPFEIEPIRVTHSIPDCCGLVLRCTDGTILHTGDWKIDESPLDGKVFDRETLEQLSKEGVTLMMSDSTNVLSPGRTISESVVADALLRRISAAKGRVITTQFASNIHRLGSVKAAADLTGRKLVFVGMSLRTYLDAAWKDGKAPIDPSTLVKVEDIDAYAPKDLLIVTTGSQAEPRAALNLASYGSSHSLKLSKEDMILYSAKVIPGNESRVMKMLNRISEIGSNIIMGKNELLHTSGHGYRGELEEVLQIVKPQHFLPIHGELLFLKEHELLGRSTGIRHTTVIKNGEMLGVSHLRNRRVLSNGFTSLGRENLQLKYSDGDKAFGSSSELFVDERLKIATDGIIVVSMEILRPQSVDGLNGTGIKGKLRITTRCLWLDKGKLLDALHKAAHAALSSCPLNCPLAHMERTVAELLRKMVRKYSGKRPEVIVMAVESPVGVLAEELGARLAGKSNSGFGMSASRKAVDGQPTKSHLNSIRPDGNNDLHSEDNSSQESQGYHLESERLLPEEDYDTTNLNLTETQSIDNEGLEDFWKPFITPSSPANELAMDNEGSVQHSESTLEISNEREEVSDDKSLKTSNSDVNSSKPVKRNKWKPEEIKKLIKLRGELHDRFQVARGRMALWEEISNGMSADGINRSPGQCKSLWASLVQKFEQESKSEKKSKKGWPYLEEMSGILSDSEAVATK >KGN65277 pep chromosome:ASM407v2:1:13342880:13343899:1 gene:Csa_1G294080 transcript:KGN65277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNSNSLKQEFFKKWMMGLQIYTTTNENMTVTERKTAIKLSADIALASSRNCATRWSRAVIARSSVDNRSCFVANGVLGRGLCERVKQISNLKITRSSWSSGKIVKRSRRVWRRRRRRNKCMAAVTIARRLVQKRTKVLRGLVPGGEFMDEISLIEETLDYMSALQAQVDVMRFLATAYNPSSSS >KGN65462 pep chromosome:ASM407v2:1:15477903:15484292:1 gene:Csa_1G423200 transcript:KGN65462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYCSAFTTAMSMAYGSSSFTTSTTSLVPYLPSNSTLSMMTTFSHLPFRFNLRRSVRIATASLSAVNSPSTSFSDSQKSLLLEVKDLTAVIAETKQEILKGVNLVVYEGEVHAIMGKNGSGKSTFAKVLVGHPDYEVTGGSIVFKGSDLLEMEPEERSLAGLFMSFQSPVEIPGVSNIDFLNMAYNARRRKLGLAELGPIEFYAYIFPKLDLVNMKTDFLNRNVNEGFSGGERKRNEILQLAVLGAEMAILDEIDSGLDVDALRDVAKAVNGLLTPNNSVLMITHYLRLLEFIKPSRIHVMEDGKIVKTGDISIAKLLEEEGYKAISTS >KGN63638 pep chromosome:ASM407v2:1:1288801:1292011:-1 gene:Csa_1G008450 transcript:KGN63638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELESETSSLKNKWVSTVASVWIQCTSGSLYTFSIYSQTLKSTQGYDQSTLDIVSVFKDIGVNCGVLAGFLYYFATAHGGRPGPWIVHFAGAIQCFLGYFFIWAAVYGVLPRPPVPVMCLFMLVAAHAQSFFNTANVVTGVRNFPRYSGTIVGIMKGFLGLSGAILIQTYETIFNGQPTSFLLMLALLPTLNSLLCMWFVRIHHVDDGIEKEHLNTLSIITLVVATYLMIKIVLEHIFTFQFPLHVATFILLLMLLASPLYIAIRAQPRESRRILHPSFTESDQLIGRHNQETSDFDHERGRESEESLTLFQALYTIDFWILFFATACGMGTGLATVNNISQIGLSLGYTSSEINTLVSLWSIWNFFGRFGAGYVSDYYLHAKGWARPLFMFITLMTMSIGHVVIASGLPGALFAGSIVVGVCYGSQWSLMPTITSEIFGVVHMGTIFNAITVASPVGSYLFSVRVVGYIYDKEASSEGDTCIGTYCFMLSFFIMAFATLLGSLAALGLFFWRRSFYDQVVVRRLQHPSNG >KGN65677 pep chromosome:ASM407v2:1:17503102:17503775:1 gene:Csa_1G495250 transcript:KGN65677 gene_biotype:protein_coding transcript_biotype:protein_coding description:Secretion protein HlyD family protein MGDELNHLYLNYVPREELMRANRRLEEAKVELGLCQASSQVKIDKLKAQLTEAKSELSDAKCLAEKFSKTEEFVVMQNKIMECGVNWSVRQASIEHPGIDFSFLPIRFKAACDNNFDDTRLEPCSPAKEGDQEQFHDSLEMNRVDSDMSS >KGN64416 pep chromosome:ASM407v2:1:5977401:5977781:-1 gene:Csa_1G050550 transcript:KGN64416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAHISAPSTNPDAIVVDIDCTISFKFKKRLGIATKILFMNGQHWGIMFERFLLLVNMGKTRRNESSCALLSMIMPYQELFLPMILNDEGDDSRG >KGN63955 pep chromosome:ASM407v2:1:3347360:3347914:-1 gene:Csa_1G031768 transcript:KGN63955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFEKDDIPMLSNTDPPMFDEQVDSYFPSYASLGRSSSLSIPENSSGMYGSEANLVGHTGPLRIERSSFMVSGSKKTGSKPKKLSQSKPGVTESKTAEPLAEKFPSFKIVDESDWSIHNYAGRNEHLIKSGQLGLCNDPFCITCPTYNSKASQQKSSRMSGIFDAKVFSLPLKPMGYFYTSCL >KGN64100 pep chromosome:ASM407v2:1:4076561:4082174:1 gene:Csa_1G042120 transcript:KGN64100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQDSEKRFHSIMDKLFQNAQSTPNSNSASSPSSSSSPSGVQLSRGRKRPYSSSALVVGELRSKSDVIEALQKHSSASVGSSDAPLCRPWDRGDLLKRLATFKSMTWFGKPKVVNAINCARRGWVNVDTDTIACESCGARLLFSTPSSWNQQQVEKAALVFSLKLDNGHKLLCPWIDNACDEALADFPPTPPPVLVNKFRERYSMLLQLSALPVISSSFLKWMNSPHLKQFIEELTWENFGNESLDKSEMEYLGDGHDSDTPKVYYQALKLISLFGWEPRSLPYVVDCKSGASDQSLKKSTTFDSRPTVSLFTTTTKENVAGNRIAELSSELQSQPNSVVLDCRLCGASVGLWTFHTIPRPVEIIRLVGSTELNSESGTHDSGNKSVINHAGIGNVGISKLTSTIAGGPTPARQSFKATITLPVIGQSLRARLFSDEKFSDQVYNDQEMVQADSSDKKMSQNSKSNEDAIPTGETDQPKDGRLLQNQTLDPGCVTSGDDQTPLLEGTSVTDHGTLPQSSLNGSTEETKVKSTECVPAQKIEVSENAENSIQSDSGNKVADLHPLTSPAENPLTSTDAAMITSTECSEKELPSDVSDQCDNSNSKEISLADSQMTSCKSSRLEDDTSTDIAGVEESMKDKLGSDNHTTLENQAREGGGSNDKVHTSMNSMHLAHGGEDYSKGVEFDPIRQHRYFCPWIATGNVAPGWKQTLTALQREKGSSPHSPKNSPSASLIKVNDPVTSVRNLFTSSAKKLKSSLVSNERTKH >KGN64863 pep chromosome:ASM407v2:1:9469818:9470812:1 gene:Csa_1G132730 transcript:KGN64863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSTTTQGEGASSSIIEAPKRSFCRQRETTKRTRIIRIIGRSLLSVIIFLSVAIITCWLVVFPRTPRLMVETSKVTAHGSTNRHLNATIVFYIKSYNPNKKASIHMDSVKMIVSDYMGLPFHSTIPTFTLMPRNEMVFNSTVRVNFMYPFGRPVHSDWVHLELRFSAQVSYIVNRWRSKPRLLEIYCDHLWLRINDSTPNFDKTKCRVDL >KGN66715 pep chromosome:ASM407v2:1:26758087:26759600:1 gene:Csa_1G662790 transcript:KGN66715 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhicadhesin receptor MITISVGFPSAAFLLVLQVTVFVASAFDPSPLQDFCVAADEPNSAVFVNGKFCKNPKLVRAEDFLFRGLNIAGNTMNKQGSNVTLVNVDRLPGLNTLGISLARIDYAPYGLNPPHTHPRATEILVVVEGTLLVGFVTSNPNKLFTKVLNKGDVFVFPIGLIHFQFNVGHSPALAFAGLSSQNPGVITIANAVFGSDPPISIDVLTKAFQVDNNVIHALMKQFKA >KGN65551 pep chromosome:ASM407v2:1:16283627:16284424:-1 gene:Csa_1G445880 transcript:KGN65551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTHVLYYEGIGVIHLAAALGYAWAIALIIAFGLSPNFRDSNGRTALHWASYFGRGQTAADLASSRGHKGIAGYLAEADLIAHSTLTDENANVDKVIETADVVPSQLAEDELLSLKGSLAAIRKSVNADALVHAAFRARSFCHKQLMENYKEMIHEGSPDLVALGILNKAEKIHMMIIYTLQL >KGN64166 pep chromosome:ASM407v2:1:4464726:4476994:1 gene:Csa_1G042730 transcript:KGN64166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNLLKKFHIMSSGQSDDVAEGSTSSRSNKVMEVSSPDKLPSRSRPTHFSSEHKPFSGISGWLNSVTNRRSPSPPSSADPTAGEIMEPSDSVSSRDAAMDTSRHDSGSSNSRDPDIEEEYQIQLALEMSAREDPEAAQIEAVKQISLGSCDPDNTPAEVIAFRYWNYNSLSYDDKILDGFYDLYGVFTRSTSERMPSLVDLQGAPMSDSVTWEAVLINKAADANLLKLEQTALEMAIKMQTESPISVNHYLVRKLAALVSDHMGGPVGDPEKMLRKWRNLSYSLKATLGSMVLPLGSLTVGLARHRALLFKFLADGVGIPCRLVKGPQYTGSDDVAMNFVKIDDGREYIVDLMADPGALIPADVAGSHVEYDGSPFSASPVSRDVDSSQAASSSSGVGSSLEGNSDFGISDRKPKARNLSATKEYDSPNIDKVPSRDFASKSNYPGMHTRSPSWTEGVSSPAVRRMKVKDVSQYMIDAAKENPRLAQKLHDVLLESGVVAPPNLFTEAYPDQIDVIVESKSPTEDKDQSRKLPGICESADKNDPRLSNFLPPLPQPRLHSRASPTHGQQLYIKPLEFNLSLDSREAGGQPIPLPFEVTPVKYGRNVPVAAAAAAAAAVVASSMVVAAAKSSDANLEIPVAAAATATAAAVVATTAAVNKQYEQVEADAALYELRGSGDREHDACGDNSEGERISDRSAGNESTKSDITLDDVAECEIPWEEISLGERIGLGSYGEVYRGDWHGTEVAVKRFLDQDISGESLEEFKSEVRIMKRLRHPNVVLFMGAVTRAPHLSIVTEFLPRGSLYRLIHRPNNQLDERKRLRMALDAARGMNYLHNCTPVVVHRDLKSPNLLVDKNWVVKVCDFGLSKMKHSTFLSSRSTAGTAEWMAPEVLRNEPSDEKCDVYSYGVILWELSTMQQPWGGMNPMQVVGAVGFQHRRLDIPDNLDPAIADIIRKCWQTDPRLRPSFAEIMAALKPLQKPLSSSQVPRPNAPAGSGRDKARLLQVTEDPSG >KGN66649 pep chromosome:ASM407v2:1:26012747:26041499:-1 gene:Csa_1G652810 transcript:KGN66649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSLYRRRYVEFYKKKLEFLHNDQSIDDHILKELEVLEKESDIEDILSYRSTAECELQHLFGSLKPEMAMTGAQTTVEKSQVDDRAVGKPRGWLNWLSLGMLGAGGTEDSSQFSGVISDDVVKDIYKATKFHPSVFSNVSAADEGRICFCAIEFDIHHISATLMSKKYGQEIAKMIFIKTMVECKIWVESATINIVVNSIEMLNPLNQRVLIFLKMPLCEKGVETDAPSCSIQVDIKPKHEVNLSVKVMLNPLVVTYDSKFFLCLSEFFDELKSFESLHRRVLSTLNGIENSKARLLSKAQCIMSGYKKVMWDITVNGITVHVPWRTPSQQNSLVLQVATLCVTSKYDWSSLTSRFKEQSVMLKRLSDPNLASDIAFTVQPQNLYDHFDIQLRDVEMEIQMPSHSRSIPIFEKFSVVLALRSCLIPNESSLKQLEVLFQLSSLHVHFSPSIYGAALELALYLNNLTENHGFEELKDRGSLNMVSNGHGNHFSGFSLSATLHSVRFEIDLGNDDQNASAIMLALEDIEMWYDILVYEELWVCLKALNITIHPMSGDGDEQVLYSCGNKSHGTFSHLHGTDSRHNKEDGLSGMIGNAGKCCTLHFRSGKNDAAEIVIYLGDAEIHCYPSVIGLLFGFYDRLSACNATFSCGNAIGPEMNDEYVQPVALSPCQRFGFSNFMEIDSIGHDSIPLDCFPFVTLLNSCLLGSLENSHLNLSSDWRKHYKIRDGKVKIPEFDQETGPTIFHTQPTKPKLNMDASVTLESSSHAGRHDIYFVLCGIKVHFHDSSCIVGSLTLPTCRSSLFICENYFDVLCSVEGLTVTSSWTQNCLELVWGPSFPHLSPILNFRVRQEKCLSSSAKIEISVGIQHVFCFLPPEFLAMIIGYFTLHDWSLQSNENCFTGSNEHTGLEEETSVIYKFEILDSALVVPVENCELQFLSLQIKELYFSFFGGNIDDALKGIPPDCSIPVYKLAETNHCINLFGRELFLSLLLVKDINSFFSFQSTECQKVSLIELLNADIWVRIPCESEFLNKSLQATCIMMKIRNCEVMIDDNHALGGFMALIGNINQFASVEDQSRCFKSDVLQFLQLTRCLKEDTAVLFPASNTTLTEFKYCIDSLCLKLKRQRDEILEMNYRVELQFTCSGTLRNGIVEGMDFCFSLMVLYSEPKSVVMAKSSTEQVSSVPDISLSRPSQGPVELCISLPSIDVWLYLSEWIEIVDILNSYVGKTTQFLNNMALRGSSITFPDSVCSSSMPIESASENTEDDDTALTVKVKDMVITFHFPVYVIESAGELHVAEVDEKTHLNVSSDVVEGRYCRFIMVSFHSKSIEVLINRKKTLLTSGIEKVCGMLSKCEEKGDQSCPLFEIFGVNLEVDVSSNQLKLVLIRLNFQCECVNIWFSYHVFYFWKHIEFDLSDANSSSTTCPIEFKVQLKKVSFLLSDGRWSCCRPLLEILMRNILLHVSMTENTMEDFISGELSMNYNNIQKVFWEPFVEPWNFTLNMTRKQESTSLLNSSVLTDVHLVSSSQLNLNLTESLTECLSRTIDMIKDAWDLFGKDDSPQGQLSPNSPHAEDIVAGKHAPYILQNLTSLPLEYHVYEGPFDSVEFDIADFKERRYVQPGCSVPIYISESAEKQFFRHRSFHSLEKLDEQHTYGVGHHFISIQLDGTSVPSIPISMDLVGQTYFEVDFSKTSNEELNMSDNMSEDADIVEKYQKHMSGGFVVPVVFDVSVQRYGKLIQLYSTVILFNQTSRPLEFRFDIPFGLAPKILDPLNPGKAMPLPLHLAEAGCVRWRPSGNSYLWSETCNLSNLLAQESKVGLFRAFVSYPSHPSSDPFRCCMSTRNIKLPLHQKPRKFGNDLMHSAVDSDPKIHSPAESQERCIYHLTLSSPLAVRSFLPEEAKLIVDTGGMIHSAILSEVKTFFHHIDPSHDLELEIQIHGYRPSYVKFPRAETFCSIAKFDGIKFSLSETIILSPGPVYITLDKSVDAFSGSRELNFFVPFLLYNCTAIPLWISESAYEQKGVSIFVPSYYDVVEHERSAGKRDGLSSITGFSGSHAIAPFSTPENSNPQLDSLNGKTFISRNHLQKSCVLSSINDFNLKSCQNTGHVSPSSRDYACGSDSNSLDFKQVKVRAHMFSPSKPSSADEVMVRVSRFLPECALEDIRSVSWSSPFYLVPRNGSATVLIPKSSPNAANVVSVTSSTISGSFPEMTSIIMFQPRYVISNACSQDICYKQKGTDYIIPLAVGEHFHLQWTDTTRYSGLCDCSIPIITVRNQLELFYICQSESKLDKF >KGN63773 pep chromosome:ASM407v2:1:2073123:2074514:-1 gene:Csa_1G015670 transcript:KGN63773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKHTRLQRVRISQPSGCFQVCFRQIRTRPTVDSIQNFQREELLGDGYCAGSVKSVDQIGNRIMVVVDSSFEGKGALEWALSHAVQSHDSIILLHVSKSSKQGVVFDEKLDMKAYQLLLSLKNMCQMRRPGVSFCDAFHFSFPCSSGDGIPTGEGDGSCDRRRSQETASVSVGSWAKEAISIPVTDKEVQYKQEKESWRDCGVLHPNIFMPNHCGEEEKQESRRIFDHDKKSQEFLAFGLNLYVILSSFSCHCCFCREKQDGNGEASDIVSLI >KGN66033 pep chromosome:ASM407v2:1:20769346:20771364:1 gene:Csa_1G569110 transcript:KGN66033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSIACIYCAISLATYFNMSRAKKMTSRDSSGSDNGLLPLTVSTSSRRHDVESGLSSGESIDEEKEEGVSDSFDIDNTKNVPLQILKRWWQAASVLNASWRFRYTLDLKKEEEKERRRWMIRAHVQVIRATLLFKLAGEQQICSSVSPPLSSSDYYISLEQLASLTRDQNLSSLQQHGGVKGLSNLLTTVPRKEYQEMKLICLTEETHLDQITGEGVGIKTEGVEEGWYDGESIGFAVFLVIMVTAISDYQKSLQIRSLLDGILITGHSLAIDESSNMTGESKIVRKEQKAPFLMSSCKVADGVGTMMVTAVGINTEWGLLMASISEDTGEETPLQPSFWADTSREIHTMQMGTLHFGVAIRVKVMLSNGVIKMVTVAVTIVVVAIPEGLPLAVTLTLAYSTRKMMAGKALVLRLSACETMCSTTTICSDKD >KGN66805 pep chromosome:ASM407v2:1:27882467:27890148:-1 gene:Csa_1G695400 transcript:KGN66805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLHEGVSWNVRGLGAPSNRILIKEFICKISSSVIFQDTKLLQVDRGMVGLLGMGRGLQRDLNRIAESADTSTPEGLCYVLTETILALLRHPDYCISGYSSIDVKRSIEEGEKRFNKLSIEERGKFDEETLVNVNSIKRQSTSSQRTSGFSNEYIVITILVAAEGVHKLPTINGSGDLKEALQKLASIPSSKILAVEVLWTPQNENDTLSERELLEDYPLLRPL >KGN66613 pep chromosome:ASM407v2:1:25632993:25635404:1 gene:Csa_1G643050 transcript:KGN66613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDNVSYDSNAIIINGERRVIFSGSIHYPRSTDAMWPDLIQKAKDGGLDAIETYIFWDRHEPQRQKYDFSGHLNFIKFFQLVQDAGLYIVMRIGPYVCAEWNYGGFPLWLHNMPGIQLRTDNQVYKNEMLTFTTKIVNMCKQANLFASQGGPIILAQIENEYGNVMTPYGNAGKAYINWCAQMAESFNIGVPWIMCQQSDAPQPIINTCNGFYCDSFSPNNPKSPKMFTENWVGWFKKWGDKDPYRSAEDVAFSVARFFQSGGVFNNYYMYHGGTNFGRTSGGPFITTSYDYNAPLDEYGNLNQPKWGHLKQLHSSIKLGEKILTNGTHSNKTFGSFVTLTKFSNPTTKERFCFLSNTDGTNDATIDLQADGKYFVPAWSVSIIDGCKKEVFNTAKINSQTSIFVKVQNEKENVKLSWVWAPEAMSDTLQGKGTFKENLLLEQKGTTIDSSDYLWYMTNVETNGTSSIHNVTLQVNTKGHVLHAFVNTRYIGSQWGNNGQSFVFEKPILLKAGTNIITLLSATVGLKNYDAFYDTLPTGIDGGPIYLIGDGNVTTNLSSNLWSYKVGLNGEIKQLYNPVFSQETSWNTLNKNSIGRRMTWYKTSFKTPSGIDPVTLDMQGMGKGEAWINGQSIGRFWPSFIAGNDNCSETCDYRGAYDPSKCVGNCGNPSQRWYHIPRSFLSNNTNTLVLFEEIGGSPQQVSVQTITIGTICGNANEGSTLELSCQGEYIISEIQFASYGNPKGKCGSFKQGSWDVTNSALLLEKTCKGMKSCSVDVSAKLFGLGDAVNLSARLVVQALCSKK >KGN66632 pep chromosome:ASM407v2:1:25792872:25804842:1 gene:Csa_1G651660 transcript:KGN66632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGHGRGSSTVAAAKLFSLSGRFTISMRLQLLCLVLLLLAARPLASSSGNRKSRKSSVFSLFNLKDKSKFWSETVIRGDFDDLESSTTEKMSVVNYTKAGNVANYLKLLEVDSLYLPVPVNFIFIGFEGKGNHEFKLHPEELERWFIKLDHIFEHTRIPQFREVLTPFYKMSMDKVLRHQLPLISHTNYNFSVHVIQTGEKVTSIFELARNVLSRKEDVSNNGDGNDALWQVDVDLMDVLFTSFVEYLQLENAYNIFILNLKRDTKRARYGYRKGLSESEINFLKENAHLHSRILQSESTPETNLALEKIKRPLYEKHPMSKFAWTIAEDTDTMEWYNICQDALRKVNESYQGKETADIIHNKVLQILKGKDREMRLSLDKESKSFDFSGFHAECLTDTWIGDDRWAFIDLNAGPFSWGPAVGGEGVRTELSLPNVEKTVGAVQDML >KGN66491 pep chromosome:ASM407v2:1:24183741:24188297:1 gene:Csa_1G614120 transcript:KGN66491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCCATPATPSGKQHKGKNKKQNPFAADYVVSNGNGGGNWVLKDPTGRDISALYDLGSELGRGEFGVTYLCTDRNTGEKLACKSISKKKLRTAVDIDDVRREVEIMKNLPKHPNIVSLRDTYEDEQAVHIVMELCEGGELFDRIVARGHYTERAAAVVMRTIVEVVQMCHKNGVMHRDLKPENFLFGNKKETAPLKAIDFGLSVFFKPGERFNEIVGSPYYMAPEVLKRNYGPEVDVWSAGVILYILLCGVPPFWAETEQGVAQAIIRSVIDFKRDPWPIVSDNAKDLVKKMLDPDPKKRLTAQEVLEHPWLQNAKKAPNVSLGETVKARLKQFSVMNKLKKRALRVIAEHLSVEEVAGIKEAFEMMDTGKRGKINLDELRVGLQKLGQQIPDPDLQILVEAADLDGDGTLNYSEFVAVSVHLKKMANDEHLHKAFSFFDKNQSGYIEIEELRNALNDDDETNGEDVVNAIMHDVDTDKDGRISYEEFAAMMKAGTDWRKASRQYSRERFNSLSLKLMRDGSLHLTNEAR >KGN64169 pep chromosome:ASM407v2:1:4484498:4486024:-1 gene:Csa_1G042760 transcript:KGN64169 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein P21 MTRVSLRSVVALTLYTLFTSHISVLVSATTITFYNKCSHPVWPGIQPSAGKPLLARGGFKLPPNKSYNLQLPALWSGRFWGRHGCAFDASGRGKCATGDCGGSLFCNGIGGTPPATLAEITLGNDQDFYDVSLVDGYNLAISITPSKGSGKCSYAGCVSDLNMMCPVGLQVRSHDNRRVVACKSACFAFNSPRYCCTGRFGNPQSCKPTAYSKIFKTACPKAYSYAYDDPTSIATCTGGNYLVTFCPHHG >KGN66139 pep chromosome:ASM407v2:1:21394900:21399505:-1 gene:Csa_1G573580 transcript:KGN66139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIERDQGSEPLLPIPVSTSSNSTLDHGDSTSSSYSWLFQCHGFWYKLFLILPSLLFVLYLAFRAKKSLSKLSNGRSYIIVAYYGTLWIVTLLNFAWCLFQGWECTSGKELAWNVLSLATTSGMLFLEVSLLAFLFQGNHVGSVEALARTFIVSGIFVGLDLVLKAIYLFGFGVPLFILSDDSTHRTKWNLWVVHRLLITAAYGFILFMYHSSHREKLPARPAFYRYVVIMSSLNALALFACGLAGSGAGFGYWLYGATLICYHAFYLPLLYENFLADFFQEDDLHLENVYYSEMKDAGFFDTDWE >KGN65062 pep chromosome:ASM407v2:1:11299504:11300913:-1 gene:Csa_1G186670 transcript:KGN65062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSYPMADVPLDLIADIICRLPAKAILRFRRVSKIWKYLIDCSNFVNLHMKKSIESQTHFHLIIRKNSDLFTVNLDLFDPAVELIHPLMCYGSRINIWGSCNGILCICNVAEDIALWNPSTRKHRILPSLPPERRRDGVLRIFNESYGFGFDSVHDDFKLLKISQFIGLDDPMDFDSHVKVFSLRKFCWMPIESTSYILRYSSTMGVFVNNALHWVVSENLGMGLADLVVAFDLGTGRFEEIPLPELTDFKCEIHVDVLGGCLCLIANYDRVRFEVWVMKEYGVQESWMKLLTVSQVDFVGSIKSVKPLTYSKTGCKVLLLHNRRKLIWYDLDTQTVHDAVIDGLPHSFDAETLVESLISVDAYRENPIKVLEEERDDFLSEGFKLVL >KGN64185 pep chromosome:ASM407v2:1:4573626:4577405:-1 gene:Csa_1G042920 transcript:KGN64185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWDFLGLNSKNVAMPLPMPLKEEFQDNSKNSAMVSGSSSMQWSFSNKVSAVPQLLSFKASQDERQRKITADPLVSSDIHRPYSSKNVASDKKPVNQYAMAVNYPFQNAEALSLHRSQDVKMFPISNQAPVPMAFNVPVFQSHLVSSPQPMVGSNVNLQPFGGVPIATSLSVPFTSSVGTTELRNVSKPPGSMAQLTIFYAGSVCVYNDISPEKAQAVMLLAGSGGLPQTQNNILSTGQVKASFAGENFQGMPHLELSTATKPIKTSASHPKHSETPIDACSVAPVSPIPPTFIPAAVPQARKASLARFLEKRRERTNTCPYSVAKKTSDCSSSTLDLMA >KGN64471 pep chromosome:ASM407v2:1:6331915:6333073:-1 gene:Csa_1G058060 transcript:KGN64471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSIVTFGTVDSTSFVFPLSPLYPLSLEKPQFPHSNNPMDLAPEELQFLTIPHILRESILIPKRSPKTFYLITLTLIFPLSFAILAHSLFTHPLLVQLQNPFADPIQTRHRWTKLLSFQFCYLIFLFAFSLLSTAAVVFTVASLYTSKPVSYSSTLSAIPKVFKRLFVTFLWVSLLMIIYNFIFLAFLVLLVLAIDTQNYFLFFFSVIVIFILFLVVHVYITALWHLASVVSVLEPIYGFSAMRKSYELLKGKTRFAGVLVFAYLAICATISVIFGAVVVHGGDGYGVFVRIVVGGFLVGVLVIVNLVGLLVQSVFYYVCKSFHHQGIDKLALHDHLGGYLGEYVPLKSSIQMDSLDA >KGN64571 pep chromosome:ASM407v2:1:6916482:6918940:1 gene:Csa_1G065420 transcript:KGN64571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSQEDLGWFCDNSEGNYTTNSPYKPNLNHLLSTFTTHQIDYGFYNLSYGHDHKAYAIGLCRGDVMPDSCRSCLNNSITLLTNNCPTQTNAIVWYEDCTFRYSDRSLFGTLDVSPTRFVVLPVISNVSDFRYTQLATDMLQQLTPKAASGDSRLKYATRRVAVPNFPVLSGAVQCTPDLTSDACSNCLLASIAQVRDAYDTVPRVRIMKPSCNIRFDINRLFLDPRASSPPPRNKARKLVLVLVPIVVVVLAALLLIITITLRARNSNKKNHIANEESLQFDFDTIRTATDGFSEANQLGRGGFGAVYKGRLPDGQYVAVKRLFQSSKQGDDEFKNEIVLVAKLQHRNLVQLLGFCIKQNEKLLIYEFVENSSLEKFLFDSIKRESLDWKTRYNIIQDIVRGLIYLHEDSQLRIIHRDLKACNILLDAEMNAKISDFGTAKLFEHDQTRGDTRKIMGTYGSTTLPKPSEPAFFINSKCSQMPGQFTDHSLTQDVNELSITELYPR >KGN64410 pep chromosome:ASM407v2:1:5956244:5963021:1 gene:Csa_1G050490 transcript:KGN64410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAELKDMWNDLESLKHSLTDPSLRGPVDKLQLHVERLTNLSKSVPVRRSKVKDMSAEVVDSNPYSRLMALQRMGIVENYERIREFSVAIVGIGGVGSVAAEMLTRCGIGRLLLYDYDKVELANMNRLFFRPEQVGMTKTDAAVQTLADINPDVVLESYTFNITTVQGFETFMSSLRNKSFSPTKEGSGVDLVLSCVDNYEARMAVNQACNELNQTWMESGVSEDAVSGHIQLLIPGETACFACAPPLVVASGIDERTLKREGVCAASLPTTMGVVAGLLVQNTLKFLLKFGHVSPYLGYNSLKDYFPTMEMRPNPQCSNVACLERQKEFIIAKPARDAAAMAKMEAEALTVEEIPLHADNEWNISVVDEVEIETVGATSSDALPEGLVRELPNADESQHPPPAEPAAPSLDDLEDLKRQLEALNS >KGN66051 pep chromosome:ASM407v2:1:20889484:20895716:1 gene:Csa_1G569280 transcript:KGN66051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLSFVMGIIGNVISILVFASPMKTFIGIVKKKSTENYKGIPYVTTLLSTSLWTFYGILKPGGLLVATVNGVGVLFQLFYVTLFIVFAPKQKKVTTIKLVGLFNVLFYGSVIGATLLVMHGPLRLTFVGIICAALTIGMYASPLAAMKNVIRTKSVEYMPFLLSFFLFLNAGIWSAYALLVKDIYIGVPNGIGFVLGLAQLILYGIYKNKSKSTKSTEMMEDEGSAQLVEMGMNGEDDHQKNRSIIKGLSLPKPTLDRQYSVKNILRSLSYGPYDFHSTGPLDEYDEVENGKF >KGN66781 pep chromosome:ASM407v2:1:27619750:27624930:1 gene:Csa_1G690190 transcript:KGN66781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRPPPDPVAVLRGHRASVMDVSFHPSEPLLFSGSADGELRIWDTAQNRTVSSAWVHSAAHGIISVACSRSIGTNRVVSQGRDGTVKCWDIDDRTLSRVPTVSIKTNAYHFCKLSLVKQPSDFSSHNDGPNCINDRDEKPVEATILGCSGDKAQGISTEHSYRSEVDGLKYVAVSGEQSSEVEIWDLNAGERLLRLPPNSEGDCPNISTKDRGLCMAVQAFLPSKSQGFLNVLSGYEDGSMLWWDLRNPRVPLASVKCHVEPVLSICVDGSCHGAISGAADEKVVMFSLDHSTGTCLVKREITLERPGIAKVSIRSDDKIVATAGWDHRVRIYNYRKGNPLAILKYHQALCNAVSYSEDCKLMASASEDRTVALWELYPPRT >KGN64115 pep chromosome:ASM407v2:1:4207902:4208621:-1 gene:Csa_1G042270 transcript:KGN64115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNFSEDRKRVHDELDDDSLADSAESKLRRLNSSDLRIGKPCTKEDFNVVQGSSAIAGDLNIDDLVESEEIQDELLLNILEDSDVVAERDESAIEGLELDSFIKSFEEEIQGVPSSVDDQNNNNETPQAELGYLFGASDDELGLPPSGGLSSTTEGKKMEAIDFMPPASSCSPDVFELEGKLGFDDDIPCYDSFELGMGIGSGAAVAEDNGLGGGEFVALGGLFDYSDVLFRPESLPAL >KGN65738 pep chromosome:ASM407v2:1:18209849:18212541:-1 gene:Csa_1G523620 transcript:KGN65738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGDEFNQISDAKYECLLFDLDDTLYPFNSGLAKEITKNIQEYMIEKLGMEENVPELCISLYKIYGTTMAGLRAIGYNFDYDDFHSFVHGRLPYDMLKPDPLLRNLLHSLPIRKFIFTNGDMAHANRALKRLGLEDCFEGILCFETLNPDKGTVDEEEGSVIFDINQYMSNPNSDLDLPKTPVVCKPFEEAYKQVFEIANINPKKTLFFDDSVRNLQTGKLVGLHTVLVGNSQRIKGVDHAFESIHNIKEGLPELWEDMEKLKSVTYSRKEVAIETSVRA >KGN64515 pep chromosome:ASM407v2:1:6619802:6622222:-1 gene:Csa_1G062900 transcript:KGN64515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTKNKVGGFGLDFASRYVLFRKWAFFLCFVCFCAGMLFTNRMWIVPMGNGVALKSTISEKMELKSESYDPKLAKPETSGVGGGEFSRSQLSIQTLANSILDLERKLAALTIGRESRDVVKENDAEQPSKRKYLVVVGINTAFTSRKRRDSVRATWMPQGDQRKKLEEEKGIVIRFVIGRSESPGSLLDKSIDAEEREHGDFLRLNHIEGYLELSAKTKNYFATAVSMWDAEFYVKVDDDIHVNLAELGTTLVGHRKKPRVYIGCMKSGPVLSKKGVKYHEPEYLRFGDEGNLYFRHATGQLYGISKDLATYISQNQDMLHKYANEDVSLGSWFIGLDVEQVDDRKLCCGTPPDCEKKALGGEVCVASFDWKCSGICNSVERMSEVHQKCAENETSLWSGSF >KGN65414 pep chromosome:ASM407v2:1:15182777:15183462:1 gene:Csa_1G418800 transcript:KGN65414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASREPFNVLPHSAAPVAHHQQLQQQQHSPWHSPVPYLFGGLAAMLGLIAFALLILACSYWKLSGYLDGSDAANREPDLEAGADDTQKQASPVFEEKILVIMAGEMKPTYLATPMSSRSSSFGDTKSNTSCSSKGSSTGDKEEKSVGLTETVKHSTADQEKQSIDNRETPESSDPMTH >KGN64547 pep chromosome:ASM407v2:1:6803849:6805104:-1 gene:Csa_1G064690 transcript:KGN64547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEGDIIGKAFVEHYYQLFDNERASLSSLYQPDSMLTFEGQQILGVHDISSKLQQLPFERCRHVVSTIDTQPSSVHGSILIFVSGSIEIPEEEHPLRFSQMFHLVPSPEGNLFVQNDIFRLNYG >KGN66103 pep chromosome:ASM407v2:1:21191957:21198279:1 gene:Csa_1G570770 transcript:KGN66103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFITLRSLQTLYRTPKIRPFTSPIGSSANYSCGITNVSQLGIQKTSSFLSNSHSAFSLARGHKMIICSAVAAEFSVFSHGIGIRLVTTQVKAPPQARQKIGLKVTMLSPGFIYEPYSPRQQLPFWQRWFTRSGWKRTKDDIILELKSAYAIAKLRKKGYSKKKFYEEAANLYREINTLIANGDKTSLRKAVTENMYSALKNEIKLRESKWSKVYWEMIVPVVKIRTLRARLIGVDRSDLEKVFVQLTLEILAKQKFEAYNSEGAVVAGDKSKEVLVRDIWVFEKSLFHEGAFWRLCGRIPT >KGN64830 pep chromosome:ASM407v2:1:9128700:9133503:-1 gene:Csa_1G120460 transcript:KGN64830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGLIAPSDYSQEPLRHPCLRINAKEPFNAEPPRSTLISSYVTPVDFFYKRNHGPIPLVNDIERYFVSINGLIDNPKELFMKDIRMLPKYNVPATLQCAGNRRTAMSKTKTVKGVGWDVSALGNAVWGGAKLADVLELVGIPKYSYRTQKGGKHVEFVSIDRCKEEKGGPYKGSIPLSQASDPEADVLLAYEMNGETLNRDHGYPLRVVVPGVIGARSVKWIDSINIIAEECQGFFMQKDYKMFPPSVNWDNIDWSTRRPQMDFPVQCVICSLEDVDHIKPGKVTVSGYAVSGGGRGIERVDISVDGGKNWIEASRSQKVGVPYVADSPSSDKWAWVFFEVTLNVQRNTEIIAKAVDSAANVQPGTVEEIWNLRGILNTSWHRVQVRVGRSNI >KGN66503 pep chromosome:ASM407v2:1:24303678:24309848:1 gene:Csa_1G615710 transcript:KGN66503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHLLILILVIFESTSEVLGSINSYCPHHHLQQFNRKFELKTDRFWKFEEHSNKWVEVELPYDLTTCRNGNCTKVGQINNRLEKMEKEYDGFEQTEKSEKVKEVEKFHVDLTLRKRVSLTKISDMSIWITGESGSIYERFWNGVQWVIGPHDLPISAGPAISIFGVNHSILALSEGGILYQLQLSDGSQPIWVELIPTTDQTTSEEHASSIQLLAGVVSHDGMRVYFTTKNGTLLELTELEPPRWVDHGQPRDANVAAIADVASFRTEIVYTISSVGDLYEYDRNSKPLWKKHVWKDRAARDLRLIPSPGCYIHSLNGDHSISLFLLTKDGTLVERRLNKRKWKWIVHGRPKDHQLTSVLPALQDETNEKIFSLFLTTSSGFVFEYRTTIHPGHGQEEETPDAWVDHKHPLNAKAARGIAGLQFQVGRILFALDDGRIGELHLVGLGGENSGPTHHITSRRKPTAKYTWSILDAPESEGWNAEYCTEHRGPTNCIAGTKDDINDQGTRRSATRRPKGNQPQQHYLIPRTSESISEKSSDSFDLLAEKWTKNSFRLRVMHGGRSFFLITVDGLTFEYLYTGDVWLWLRHESSTHMKGAVGNYNGSLYLVDSYGSLLIRERSSQELAWTNCTALRRGKQVIGGAPWDKFLAQSMKTTTEDALFFVSKTGRLLQFTVALRKFKWKNCQTPPDTKVASIVDQETFRENIVFVIGTNGRLYQYNKVTELWHEHHQSQHLFLSRLPGIATRPSPYSLIGSLFMISEDGGLIEYHWNPWDGWNWVEHGRPDRGVTFTTTPGPCFEGNQLFLVGSDGRVYLRYIEQDTWKWRNCGFPHQFDRDGKVNSKDGKEIICVDEELALEKDEDVKAIDKNCDPKVASTKPIQFSEDAVVFELRDGRLGEMRQMEDSNWIWSRIIVTPTSLCISDYWTALAS >KGN65636 pep chromosome:ASM407v2:1:17062392:17064957:-1 gene:Csa_1G474480 transcript:KGN65636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTEGRKDPTEAGSSSRATTTTAALSRYESQKRRDWNTFGQYLKNQTPAVSLSQCNCNHVLEFLRYLDQFGKTKVHLHGCVFFGQPDPPAPCTCPLRQAWGSLDALIGRLRAAYEEHGGSPETNPFGNGAIRVYLREVKECQAKARGIPYKKKKRKRTQLKSNDDDINNNNNNTNSNSKQPIKHLP >KGN65635 pep chromosome:ASM407v2:1:17051813:17055426:1 gene:Csa_1G472980 transcript:KGN65635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLTDSLTPSSANSRQLPIREDCWSEDATSTLIDAWGRRFLDLNRGNLRQKDWQDVADSVNSLHGLTKKTHRTDVQCKNRIDTVKKKYKTERARVSASHGNFVSSWPFYARLDELIGPTVSMKKPSSPPLALPLPFRKTPPPSAAASSAVVAVSQKRSAAAMDHVSFRRNYSAMAAAAAAVALSEEEEDEEEEEEEEEEEVSDDEEAEGEGMSRLARAIKRFGEVYERVEAEKVRQMVELEKQRMQFAKDLELQRMHMFMETQVQLERIKRGKKSTPSDM >KGN65685 pep chromosome:ASM407v2:1:17578399:17580558:1 gene:Csa_1G497300 transcript:KGN65685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSFSPAVDTSIFHLHSPDLIVSPPLFPSFPNFSSSHSSSFLLAYSQLALNPVLESPELLPSEVISYSGSSGPCSSYGSPTSSQPSLIQRSMSSQSLQKDRVRHGPGARISSLIDTDMPAVRRVYSSGDLQGRTERGCSSESSLIIEGMTKACRYSPEEKRERIERYKTKRNQRNFNKKIKYECRKTLADSRRRIRGRFARNEEIENGNSTSSPLEAWSCYENPMVEEDTVQDDGSWITFLDSYHR >KGN63723 pep chromosome:ASM407v2:1:1773362:1781542:1 gene:Csa_1G013220 transcript:KGN63723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDGSGTTKFNGINGDSRRLGVLYDDRMCKHHTPDGDYHPENPYRIRAIWNKLHSAGILQRCVVLKGKEAEDKHILAVHSKNHVKLIKEISSKEFDSRRDKIASKLNSIYFNEGSSEASYLAAGSVIDVAEKVAKGDLDSGIAIVRPPGHHAEHDEAMGFCLFNNIAVAASFILNERPDLGIKKILIVDWDVHHGNATQKMFWEDPRVLFFSVHRHEYGSFYPATHDGFYTKVGEGPGAGYNINVPWENGRCGDADYLAVWDHILLPVAEEYNPDMIMVSAGFDAAVGDPLGGCCVTPYGYSIMLKKLMNLAQGKIVLALEGGYNLDSIASSMLACAELLLDGRTVNKPQETYPFESTWQVIQAVRQELSPFWPILSDEIPDNLISKKVPLPEVLLSSSDSDDEASNGRLKSLEEVLQGITFSQLEVKEDSQGQAVNVTQPWRSELSKTDIWYAAFGSNLWCPRLLCYIRGGQVEGMKRSCTGSMDTTPPKEIMWKSFPHRLFFGHEHTSTWGQGGVAFLNPESNSEEKSYLCLYRITLEQFNDLLYQENNASSSLSDNPLLDIANLNKVTSSPSNSVEVPTGKGWYHNLVYLGKERDIPILTMTCSHSDMEDFKDGKIPICSPAKEYVNTLVLGLAGGPLSKEEALEYIRNASTKPL >KGN65802 pep chromosome:ASM407v2:1:18642718:18644925:-1 gene:Csa_1G530140 transcript:KGN65802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLSFKDSLKALEADVQHANTLALDCPRESDGACVQMRLSYSPAAPFFLFLVQWTDCYLAGALGLLRILIYVTYPDGKTTMSIYERKASIREFYVVIFPSLLQLQKGITELEDRKQKEVCNARYSRRDEFGRGKLSEIDIEREKECGICMEFNGMVVLPNCNHSLCLKCYRDWRGRSQSCPFCRDSLKRVNSGDLWIFTDKSEMIDLNSILHDNRKRLFAYIDKLPLVVPDPVFLPMDSHIR >KGN66520 pep chromosome:ASM407v2:1:24491718:24497694:-1 gene:Csa_1G616870 transcript:KGN66520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSRLCFSLSFNSPPFSTNCSSKNRSKRAFFPISSISYQKFVQFALDETKLHTHLFPSPLQEKHNSMLSLDQKSKLEMLSFHAPKIRLLRSLNIESETVQVLDFAAFPEPEFDIPIFCANIFTAANSSIVVLDLNPLHDVISQRYYREKYYKSLLHLGTKYAELLPWGGKLTSESLKFFSPIVIWSKFSSTESKYDILFSAFMDYYKAWLELMNNTGEETIPPQLMVNREAQHRYLSWRAEKDPGHHLLRKLIGETLAKDVVRNFLFHGVDELGSKKFLDYFPEYCCEDGTINTKRSMAGKSYEHRPWDERGEFIGDTIKT >KGN66858 pep chromosome:ASM407v2:1:28345093:28348168:1 gene:Csa_1G701340 transcript:KGN66858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKCQPSTDIYTMLINVYGKVSLNSCAGFPFGATEIFSLMQYMGCYPDRASYNIMVDAYGRAGLHEGDQDKLKKWAEAFDCEVGSLPSSYLGLLWVLT >KGN63582 pep chromosome:ASM407v2:1:950909:955427:-1 gene:Csa_1G004940 transcript:KGN63582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATQSAPPSSDDDNSHNSASAPARATVEDHGDAKVEVEKQTSPPSVFVNTEPMREDQVQNAVKFLQHPRVRGSPVVYRRSFLERKGLTKEEIDEAFRRVPDPPNAQTTTATASQDGQVNTVQPQPSTQSLQPVAAVSPPAGGESRVGTIARSRFHWSHAILAIGILAVSGAGTVVLIKNSIIPRLKSWVRKVVLEDDDVEKKIDSKPSAAEEAAAAAKAAAAAASDVAKASQEMMFSKNEEKKKFEDCVDLLAAQLGQMKLMLNAIQKLEATTYGRTSTVDQEDYRITPMSSKQPYSNGKVDPSLQPATFATPAEPSVAPHPKSYMEIMAMIQRGEKPSNIRDIDDLPPNPNQPPTNPRLAPRAKPWEVGTQNNPGFFPQSQEDTSLNSLVQNNGVTYENDNGSVPWWQKRNVNTTEIDNNELKAGSSNGLSAEKPVQRAWVPPQPPPVALPEAAEAIRRPKPTIQKEQFTDEQLATTPNVTDELQKATKISESGGAINYENSGLSSSEIQVEDNGTRGQ >KGN66797 pep chromosome:ASM407v2:1:27798112:27798502:1 gene:Csa_1G690340 transcript:KGN66797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDDDGLAALGEIIGPSDVYVNKQSPIIMKGSPLPGIPDSAYRPCRQVFKGSEGEPTVQEDFPFSACGISGSNYESSWISEKS >KGN65232 pep chromosome:ASM407v2:1:12975170:12979374:1 gene:Csa_1G267770 transcript:KGN65232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVEGHSVAVVGDDGATDHFPVGMRVLAVDDDPICLKVLESLLRKCQYHVTTTKQSIEALRMLRENRNKFDLVISDVNMPDMDGFKLLELVGLEMDLPVIMLSAHSDTELVMKGIAHGACDYLLKPVRIEELKNIWQHVIRRKKLEPKAKNKFPSQNKVQNGGNEVEQGFSPTSNADSAKFNRKRKDQDDDDDDEGKENGLDSDDPSNQKKPRVVWSVELHRKFVSAVNQLGLEKAVPKKILDLMNVEGLTRENVASHLQKYRLYLKRISNVASQQANMVATFGSKDGTYMRMGSLDGYGDLHGFAGSGRLPNSSLSSYSPVGMLGRLNSPAGMSLRGITSSGLIQQNSQNLNCAINNLGKLQPTTMLSPNQTTNLLQGIPTSLEIGQLQHSKSTTSIGDFNTMNDTSGFGVPNSFPETRANIGNSTYSVSPAPLMLQGTIPTYGNQTSLRVDPLNGETFDIDVGGSNFLDPDPSNGNWQGAAHLSRFSATSLTTNEAYPSDQLHSMNSVISSTPSTIGNISVDFSSRSAGSASLLDSRDVQCQTGVVGSVIQSMNTMPKQSWEEHKHDFGRNVQHNLGAINSLVASDGNPTPLNQSFNQNGVVRDRKVGSSLIVPSNVASLSFPQHGVVEKLGPNTKTNVDGNYLLNQMKSHDGSIQGSFESLDDIMNSIIKREQNEIPEMNGEFGFDHFSLGSCT >KGN65566 pep chromosome:ASM407v2:1:16462657:16466121:1 gene:Csa_1G448970 transcript:KGN65566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGDGKSNVVFGDPHSQYHYGTFQGVANYYTSPPQPQPQPQPQSVVGFPQPVAQPVVSGHQPCYHGHLGYQAVPVQGYAILEGRPFQEARLPCCGIGMGWFLFMIGFFLGGIPWYVGAFIVLFVRVDYREKPGYVACAIASVLAVIAITFGITNGVEPW >KGN65851 pep chromosome:ASM407v2:1:18994270:18999406:-1 gene:Csa_1G533580 transcript:KGN65851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSGDGSSLDPPTSSDAQLPPIQPVRMPTVEEIRGQDIWDNCAVRSVVSGVMGGGLGFFVGLFLASTDTSMVQDEMTARQQFVNTAKQMGRRSWGSAKSFAVMGLIFSAAECVVEKARAKHDMTNTIVAGCVTGGSISAKGGPKAACAGCAGFAAFSALIEKFLERHT >KGN66787 pep chromosome:ASM407v2:1:27700703:27706249:1 gene:Csa_1G690250 transcript:KGN66787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENLFEGLPPPISATNLSPETQLPDAPTNQIRPSDPSTNPAPVIKSALKRPKTAQEPNSAATAPAPGKRLRFKTTTDASETQVLEAMQKIASHIKNPTKFGKAAKLAIQLIQAGSVKPATSDCFFTILEAAMSMSSSTPCTDASVRGDYHALFSAAQSTMECLNRKQKNQLTTWTIQTVLANDLLTDDSFVFSKTAGQIKEAISDLPVATKEDDSEEAEALKGHEESTDDEHLKKKNAAPAEKKNQEESDPFGLDAFLPGSLKKGERAKVKNDVVSKTRNDEEVEAKNFLKAQRGALISCLEIAAHRYRIPWCQTVIDILVKHAFDNVTRFTLQQRDAIGKLWASVREQQNRRKQGKSVSGKLDVNGFEWLQQKYANEKISIRHSVGGSGDRKAQQWLG >KGN64291 pep chromosome:ASM407v2:1:5192306:5194012:1 gene:Csa_1G045890 transcript:KGN64291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRKFLLLLLLGVFVFLCLSQVSSNGYEEEGMKEMEYDEKMMRGANRRLMQYIDCGGQCRRRCGAHSRPNRCIRACGTCCRRCRCVPPGTSGNREMCGTCYTSMTTHGNRTKCP >KGN66391 pep chromosome:ASM407v2:1:23233328:23240457:1 gene:Csa_1G600810 transcript:KGN66391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFQVVVLAGGTSKNLVPLVSKELPKALLPVANRPVLSYVLELLELSNLKDIIVVAEGEDVALRIGSWISGAYDERLRVEVTSVPEDVGTAGALRAISRHLTANDILVVSGDLVSDVPPGAVAATHRRNGAVVTAMLCSVPVSGSSESGSAGGKDKTKKAVIHNIVGLDPTKQFLLYIASGAEIEKDYKIQKSILRAVGQMDIRADLMDAYMYAFKRSALQKVLDQKDTFRSLRQDVLPYLVRSQLRSEVLLNGTPRTEENGLEKVGSHKIQVLLSQILSNSSATSFHDLYASGADGSIPVRKTHKCCVYIPPSSNYCARLFSIQSYSDINRDVIGEASHLSGYSFSAQNNIIHPSAQLGSKTTVGPHCMLGEGSQMGDKCSVKRSVIGRHCRIGSNVKIANSVVMDHVTIADGCSIQGSVICSNVQLQERAVLRDCQVGAGFVVTAACEYKGEALARKEK >KGN64699 pep chromosome:ASM407v2:1:7730304:7730587:-1 gene:Csa_1G075040 transcript:KGN64699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGKPKGAAIGKGKGSCHELVLDKDDAKGAAALSRLGCLAVRQTPAVHDEWDCGRLLI >KGN66023 pep chromosome:ASM407v2:1:20685330:20687279:1 gene:Csa_1G568520 transcript:KGN66023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEQAMKHLFLKFNKLTKSLTPKKWRNSENASVVSGRESAEAMAKSAKKKDLIIHGSGSINIDGSSNFVSSFSKRGEKGINQDCCIVWEEFGCQKDMIFCGVFDGHGPWGHFVAKEVRESMPIALLCNWEEALVQTSLLHPHLELNSTKTNLHFNLWERSYIEACAVVDPELERHPKIDTFHSGTTALSIVRQGETIVIANLGDSRAVLATSSNDGNSMLSPIQLTIDFKPNLPQEAERITQCNGRVFCLQDEPGTQRLWLPNGKMPGLSISRSFGDFCFKHFGLISMSDVTQRSVTNKDLFVILATDGIWDVVSNQEAVQIVHSTIDRKKSARRLVDYATCAWKRKRPRIAMDDMSVIVLYLHSSPLHQ >KGN65188 pep chromosome:ASM407v2:1:12674604:12688461:1 gene:Csa_1G257940 transcript:KGN65188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKAGKTKPHKPKGDKKKKEEKVLPTVVELTVETPEDSQVTLKGISTDRILDVRKLLGVHVETCHLTNFSLSHEVRGSSLKDSVDIISLKPCHLTILQEDYTEELAVAHIRRLLDIVACTTSFGGSSNSPKSPPRTTPKDLTSKESCLTDYEAALPSPETGDKKVATGPGDGAQNLRHGPKGLRCLDGSNDGSEKADGSISMCLPPRLGQFYEFFSFSYLTPPLQYIRRSSRPFLVDKTEDDFFQIDVRVCNGKPTTIVASRKGFYPAGKHLLLNHSLVGLLQQISRAFDAAYRALMKAFTDHNKFGNLPYGFRANTWVVPPVVAENPSAFPQLPVEDENWGGNGGGQGRDGKHNLRQWAKEFAILVAMPCKTAEERQIRDRKAFLLHSLFVDVSVFKAIEVINRLIEINRFPVNDPNGLGSHEEVVGDLIIKVTRDVQDASIKLDRKNDGSLVLGVSREDLSRRNLLKGITADESATVHDTSTLGVVVIRHCGYTAIVKVTTEVNWGGIPQDIDIEDQPEGGENALNVNSLRMLLHKSNTPQASNTSTRLQTTNVDHLQYSRTVVRKVMEESLLRLEEEPVKNSRSIRWELGACWVQHLQNQASGKTEPKKTEETKLEPVVKGLGKQGGLLKEIKKKTDLGTSKVEPGKEVDPTNQKEMEKQDDDKEQMWKMLLPESAYLRLKESETGLHKKSPEELIDMAHNYYADTALPKLVSDFGSLELSPVDGRTLTDFMHTRGLQMCSLGRVVELADKLPHVQSLCIHEMIVRAYKHILQAVIAAVNFSDLATSIASCLNVLLGTPSVEDETDWKDDCDLKWKWVKTFLLKRFGWQWKYDSSSQDLRKYAILRGLCHKVGLELVPRDYNMESASPFKKSDIISMVPVYKHVACSSADGRTLLESSKTSLDKGKLEDAVNYGTKALAKLVSVCGPYHRMTAGAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVNRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHEALKCNQRLLGADHIQTAASYHAIAIALSLMEAYSLSVQHEQTTLQILQAKLGSEDLRTQDAAAWLEYFESKALEQQEAARNGTPKPDASISSKGHLSVSDLLDYIAPDADLKARDAQRKARAKIKGKSGQYTETGAEEFHKDEDLSPNYSAIESPSDKENKSQEALLEEQVIEKSDTVLFDVTKLNKNIDQVQDEASDGGWQEAVPKGRSVLGRKSSGSKRPSLAKLNTNFINTSQSSRYRGKPNSFVSPRTNSSESTASVGSSVPIPHKLTKSGSFSSKPTSNPFSPGSTEKPSDPSKSAPCSPAITDQVAKSSSISASGSVQVAGKLLSYKEVALAPPGTIVKAATEQLAKGPTLVEVSSQEIQEKVTTELTVGEVATIKDEEDVKAERIGVEKKSEGLVNEIIETDKQESISHQLQEEDVTSSVENRTVGDDELQVINKPSDEIEVESSKASIQIEAGISVSPESDCTSGEENSSVSKEKANENDLPVDSVDVKPTPTEVEKQDEVEGGKETTKKLSATAPPFNPSTIPVFGSVSGPGFKDHGGILPPPINIPPMLTVNPVRRSPHQSATARVPYGPRLSGGYNRSGNRIPRNKQISQNSDHSADGTLFNASRIMNPLAAEFVPGHPWVPNGYPVSPNAYLASPNGYPFPPNGILLSPTGYPAPVNGIPVTQNGSPVDASPPGLDDDSETKTETEDETNNDLTNSSTDIECENQKEMDPKPDVKSVETDHSHSNVQEKLHDSAPVAATDSVATKEVSQDTVEEKKSKKRWGDSSDNEEVSN >KGN64527 pep chromosome:ASM407v2:1:6692209:6692553:-1 gene:Csa_1G063510 transcript:KGN64527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVLMTVRRNGGYSKMEKEDPDEKKHRQAQFLIYKIMEQASNGSKRRPSCLRIRIRKFKLRMGRRWKKMKKTMAMSFSTARIGICNQFGQLRSCKSLFGKTKVETLNFPTALVA >KGN64210 pep chromosome:ASM407v2:1:4742993:4747744:-1 gene:Csa_1G043130 transcript:KGN64210 gene_biotype:protein_coding transcript_biotype:protein_coding description:Succinyl-CoA ligase alpha 1 subunit MGRQAVAKLIGSAINRKTSSSSSSSSVFSRAASQIRHYSAAPSPPPAVFVDKNTRVICQGITGKNGTFHTEQAIEYGTKMVGGVTPKKGGTEHLGLPVFNSVAEAKAETKANASVIYVPPPFAAAAIMEAMEAELDLVVCITEGIPQHDMVRVKAALNKQSKTRLIGPNCPGIIKPGECKIGIMPGYIHKPGRIGIVSRSGTLTYEAVFQTTAVGLGQSTCVGIGGDPFNGTNFVDCIEKFLVDPQTEGIVLIGEIGGTAEEDAAALIKESGTDKPIVGFIAGLTAPPGRRMGHAGAIVSGGKGTAQDKIKTLREAGVTVVESPAKIGVSMLEVFKQRGLVS >KGN64266 pep chromosome:ASM407v2:1:5059303:5063728:1 gene:Csa_1G045640 transcript:KGN64266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERFTGVILFLCGLLLLVHPTVSLPLCSDSTAPFTLNTTLKFCPYNGSVCCNSTQDGVIQRQFQRMNISDPACASLVKSIACARCDPFSGDLYQVNSTPRPVPLLCNSTSEKSPQSNQAATDFCSTVWDTCQNVTIVNSPFAPSLQGRAGVPTNSSTSKLSDLWQSKADFCNAFGGASSEESVCFVGEPVSLNNTELPSPPHGLCLEKIGNGTYLNMVPHPDGSNRAFFSSQAGKIWLATIPEKGSGGVLGVDESKPFVDLTDEVNFDTQFGMMGLAFHPNFAQNGRFFASFNCDKVKWPGCSGRCSCNSDVNCDPSKLPADSGSQPCQHQSVVAEYTVNGSASQPSLATTAKPSEVRRIITIGLPFTSHHGGQILFGPDGYLYFMMGDGGGQGGDPYNFSQNKKSLLGKIMRLDINNFPSPEDIDKLDLWGNYTIPKDNPFVEDQGAQPEIWAYGLRNPWRCSFDSERPSYFMCGDVGQDQYEEVDIITKGGNYGWRVYEGPLLFVPNSSPGGSTPVDSINPIFPVMGYNHSAISKNVGSASITGGYFYRSKTDPCMYGRYLYGDLYASAIWAGIENPENSGNFTSDKIPFSCAPDSPIPCSSTPGSSLPALGYVFSFGEDNDKDIYLLTSSGVYRVAAPSRCKYTCSLENVTSTVGSSGPTPSPPPSHASRSTNSWSNLMLLLTYVLLLLMTCS >KGN63676 pep chromosome:ASM407v2:1:1493240:1496334:-1 gene:Csa_1G009800 transcript:KGN63676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSKESKKKTRPSSPLRDRTGSPTSLESRPYGSHPSSLSPSASLLDRDLVTGESSLLGDLKFSPDDSVSNYNPRSFPHSVKQQCWDKAEKIKGRDPDRWRRDALGNTVFRKLVGCPGCLCHDYDHILPYSKGGKSTLENCQVLQATVNRSKGNRTEISRAELIQKSSYCRVSGRDMDLLELSAYGNVHRGQDSGGCRIQ >KGN66832 pep chromosome:ASM407v2:1:28124370:28127848:1 gene:Csa_1G699610 transcript:KGN66832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIALSSSSSPLFAFSSVELKPINTKNLASFRIPTSFSARPLISRKSCRVMATVLKEDEEKVVLEESFPPKASPIQDGEGGSGQGPESSSSNGFDKWIVKLEQSVNVLLTDSVIKILDAFYHDRHYARFFVLETIARVPYFAFVSVLHMYESFGWWRRADYLKVHFAESWNEMHHLLIMEELGGNDWWFDRFLAQHIAVAYYFMTVFMYMISPRMAYHFSECVESHAFSTYDKFLKAEGEELKKQPAPEVAVKYYTEGDLYLFDEFQTSRAPKSRRPKIESLYDVFMNIRDDEAEHCKTMRACQSHGNLRSPHSYSESCPEEDEPLCNLAEADCEGIVDCIKKSITSSPPVKQKL >KGN65994 pep chromosome:ASM407v2:1:20419303:20419776:-1 gene:Csa_1G560770 transcript:KGN65994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKRCGFRRNGWNLEVSVLRINNTNVGDAAEGREYEIILDSLDDRKMISKFVVLFIFFIKKVDEITKTEPLTTRIKHSRSPPFPFHSHISFSSLLFLTISVSNTSSTSVVSHSDVQSHSATQTEKRERRGTRSDMELLMWTREAASNAWSHQEESTK >KGN66150 pep chromosome:ASM407v2:1:21469750:21469929:-1 gene:Csa_1G573690 transcript:KGN66150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVDIELHKYGIENREGELKQALQQCNSLSKSGLISVKSSSQPDKLDMGVTSSKRKRKH >KGN66050 pep chromosome:ASM407v2:1:20885081:20888425:-1 gene:Csa_1G569270 transcript:KGN66050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTETFAFQAEINQLLSLIINTFYSNKEIFLRELISNSSDALDKIRFESLTDKSKLDAQPELFIHIIPDKANGTLSIIDSGIGMTKADLVNNLGTIARSGTKEFMEALAAGADVSMIGQFGVGFYSAYLVAEKVIVTTKHNDDEQYVWESQAGGSFTVTRDTSGENLGRGTKITLYLKEDQLEYLEERRLKDLIKKHSEFISYPISLWVEKTIEKEISDDEDEEEKKDEEGKVEEVDEEKEKEEKKKKKIKEVSHEWSLVNKQKPIWMRKPEEITKEEYAAFYKSLTNDWEEHLAVKHFSVEGQLEFKAILFVPKRAPFDLFDTKKKPNNIKLYVRRVFIMDNCEELIPEYLGFVKGIVDSEDLPLNISREMLQQNKILKVIRKNLVKKCLELFFEIAENKEDYNKFYEAFSKNLKLGIHEDSQNRPKIAELLRFHSTKSGDELTSLKDYVTRMKEGQNDIFYITGESKKAVENSPFLEKLKKKGYEVLFMVDAIDEYAVGQLKEFEGKKLVSATKEGLKLDESEDEKKKKEALVEKFDGLCKVIKDVLGDKVEKVIVSDRVVDSPCCLVTGEYGWTANMERIMKAQALKDNSMAGYMSSKKTMEINPENPIMEELRKRADADKNDKSVKDLVLLLFETSLLTSGFSLDEPNTFGNRIHRMLKLGLSIDEEAGEGDSEMPPLEDADADAEGSKMEEVD >KGN66814 pep chromosome:ASM407v2:1:27952616:27954466:-1 gene:Csa_1G696470 transcript:KGN66814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASRFVKCVTVGDGAVGKTCMLICYTSNKFPTDYIPTVFDNFSANVAVDGHIVNLGLWDTAGQEDYSRLRPLSYRGADVFVVAFSLISKASYENVLKKWMPELRRFAPSVPIVLVGTKLDLRDNGAYFTDHAGSNTVTYSQGEELRKQIGAAAYIECSSKTQQNVKAVFDTAIKVVLQPPRRIEMPRKRRNRRSGCSIVLCSSILLLCIMLLMILHACMQQVHCVWWLYCVNRARDREHPCFMPIL >KGN65208 pep chromosome:ASM407v2:1:12827688:12832062:1 gene:Csa_1G265080 transcript:KGN65208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSCSRLGSRPSRSRDYRTNRSNLCSFFCGASSSRAIHQMEDSSVQFLVHPTEHQQCNHNIDEVQLPTNGSIRMELPNASTYSETSYENGIADGEERTAVDDPRNIDINVHGKCLFQNKELASPQQVSADYSPDESHRYSNASNGTSFKGHQSSEPVSVNILANEDAVNGIDGSVDKDISPVCTKVMFAASSSTTQEVGNSCSSGLTGSIQEDEVSVIQGPFLESSPSGSGLPLTFQSLGDESSQEEPSILDTLESDDRELDHGDVGLPHVDMVGISSNVLSTSSTDTSSLEVRRNSRRLFWDAFSRRSFRRQRESPFVFPSNNFSNTGDTDRWLLDISGDFIDDEVSGDSRHFSSRIHILNEQQRNSRSQIWERLRSSLTETSQQNVSCPSGIHPNGPCSCDSFSRIEHSSTRASISRIIMLAEALFEVLDEIHGQPVSLALSMVSLPAPEAVVDSFPLKNHEKTTNGGDEIEQCYICLAEYEEGDKIRVLPCRHEYHMLCVDKWLKEIHGVCPLCRGDVRAGSNDECSVVPNNEVPTI >KGN65542 pep chromosome:ASM407v2:1:16199829:16203021:-1 gene:Csa_1G441330 transcript:KGN65542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTAVLHRGFSAPPRPPLPTTTPSSVLRPQLALFTFPSNNALSSVHLLLKKSNDNYNSRYGSLNKNNNVIDIQCTNLSTSVPSGSNDGRNFPVANARLALKSLLCFSYSSKKADHTNFLNNQKRKALLALLDQNPKEAEKIIKIVESKYRNNSNKQIQYEAKMAIIQILIHMGTPESLRWAVKKYSEITNMEERPSDATIFLYNAVIRTLIGDNKNIADHWKAYVNVITSDPTSQS >KGN65982 pep chromosome:ASM407v2:1:20328231:20334035:-1 gene:Csa_1G560660 transcript:KGN65982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMGVVSTSNLREPAGHNVGVDRLPEEMNDMKIRDDKEVEATVVDGNGTETGHIIVTTIGGRNGYSKQTISYMAERAVGHGSFGVAKCLETGETVAIKKVLQDKRYKNRELQTMRLLDHPNVVALKHCFFSTTEKDELYLNLVLEYVPETVHRVIKHYNKLNQRMPLIYVKLYMYQIFRALSYIHRCIGVCHRDIKPQNLLVKGEPNISYICSRYYRAPELIFGATEYTTAIDIWSAGCVLAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEYKFPQIKAHPWHKIFHKRMPPEAVDLVSRLLQYSPNLRCSALDALTHQFFDELRDPNTRLPNGRFLPPLFNFKAHELKGVPVEILVKLIPEHARKQCPFLGL >KGN66701 pep chromosome:ASM407v2:1:26620331:26624766:1 gene:Csa_1G660700 transcript:KGN66701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEVSTPPVSQQHSGTCFSKSTSPAASSARLFASANVNRAQGEGYGNMNFDQGMMNFNPPNYHDSSSQISFPCEAGIGNLMSEDNAYNTNCYQFAVVTNHLGSDYFPLAVPPTTPTFAHTSNVQVTLF >KGN63627 pep chromosome:ASM407v2:1:1230421:1233189:-1 gene:Csa_1G007860 transcript:KGN63627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSASIQKNMHSLLVANLTKLTIPSSSSSSSPSASAAAVHDFDFNDVFGPTNPSPSSFHGDPQHHEQQQPVVIHNRSHSFVGPSPRLTPPASLPFFREVDSQSEGEEEEEEENDLEISTRDGAQEEEKRVGETENCSGENVQGKIGVGDFDILRVVGKGAFGKVFLVRKKGNCKGNGSDNDEIYAMKVMRKDTIIKKNHVDYMKAERDILTKVAHPFIVQLRYSFQTKSKLYLILDFINGGHLFYHLYRQGIFSEDQARVYAAEIVSAVSHLHNCGIVHRDLKPENILMDADGHVVLTDFGLAKEIDESSRSNSLCGTTEYMAPEILLSRGHNKDADWWSVGILLYEMLSGQPPFTHTNRKKLQERIIKEKVKLPPYLSTEAHSLLRGLLEKEPSKRLGSGPKGGDEIKNHKWFRPVNWKKLECRELQPKFKPDVSGNDCTANFDHCWTAMPPDDSPAPTPTDGGHFQGYSYVAPNLWLSSSG >KGN66218 pep chromosome:ASM407v2:1:21991617:21994163:1 gene:Csa_1G586800 transcript:KGN66218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGITIVFDFDRTIIDGDSDNLVVTQMGLTNLFNKLYSSLAWNSLMDTLIVELQSQGRTMGDIAKCLEGAALHPRIIAAIRSAHDAGCDLRIISDANQFFIETILEHHGVLGCFSTINTNPTFVDGKGRLRISPYHDESSPHGCNLCPSNMCKGLVVDQIRASKGEKNEFIYIGDGGGDYCPTLRLQEGDHVMPRKLYPLSDRINSNQTIVKAKIHEWSDGKELEKILLNILDIKKIQLCNPEVV >KGN65276 pep chromosome:ASM407v2:1:13332258:13332534:1 gene:Csa_1G294070 transcript:KGN65276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGETGRVEYVKKVSGEVVVMSDFVEATVNGTPDRSIYSNSPSLTLSGERDPKARRRIVVD >KGN66892 pep chromosome:ASM407v2:1:28698491:28698855:-1 gene:Csa_1G705600 transcript:KGN66892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFTCNGKKVKRREPHKTCLKEKQRKRWGQWRLGEGIKGDEMKEWIQVARELCKKKESTDCGKGVEPKCGRGMRAISIFLSSSFILLFAFFHN >KGN66154 pep chromosome:ASM407v2:1:21494241:21497227:1 gene:Csa_1G573730 transcript:KGN66154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEHSAPPTDQLAAVALNNDAPAYESLKAEFSDRVTIRTIVSRPDGGAGLAGQKVRVGGWVKTGRKADKDAFAFLELNDGSCPQNLQVIIEASVADLGQIVPTGTCVVVDGVFKLPPPGKHQKVELRADAVVHVGPVDPARYPLPKTKLTLEFLRDVVHLRPRTNTISAVARIRNALAYATHTFFNNHGFLYIHTPIVTTSDCEGAGEMFQVTTLLSEAERLEKELIKNPPPSEAEVDEARKIVKEKGEAVASLKAAKASKEEIGASVLELKKAKENVARLEERAKLKPGIPEKDGKVDYTKDFFARQAFLTVSGQLQVETYACALSSVYTFGPTFRAENSHTSRHLAEFWMVEPEIAFADLQDDMNCAEAYVRFMCQWLLDNCIDDMKFMAEKYDRTCIDRLKMVSSTPFVRVSYTEAVELLEEAVKKGKEFENKVEWGIDLASEHERYLTEVVFKKPVIVYNYPKGIKAFYMRLNDDMKTVAAMDVLVPKVGELIGGSQREERYEVIRDRIKEMGLPLEPYEWYLDLRRYGTVKHCGFGLGFERMLLFATGIDNIRDVIPFPRYPGRADL >KGN66574 pep chromosome:ASM407v2:1:25105395:25111507:1 gene:Csa_1G630290 transcript:KGN66574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFTPYTTFPSFDNPRINIIDRGQLSHNLYELDDQYVTYKSHNEGIDEAEIGCPETYKSEATPSRSLSPSSKHSHSHNFFTSFTDLFPLHHFELYFSHFLTSPARFLDSGPLSLVAVLMRGRSYTPSPPRGYGRRGRSPSPRGRYVGRGRDLPTSLLVRNLSHDCRPEDLRRPFGQFGAIKDIYLPKDYYTGEPRGFGFVQYVDPADAADAKHHMDGCVLLGRELTVVFAEENRKKPSDMRARERGSGRYHDRRRSPPRSPRYSRSPAQRRGRYSRSPAPRHARSRSRSYDYASQPPKQRAYSRSLSPRDRPDSRERSFPRQESRGRSYSRSPRLDGSRSRSQSPTQPGSRSPSPVRGRSLSRSRSRSKSVGRSRSRSRSPRRSPRHEEEYRSEPNGDRSPSQ >KGN64984 pep chromosome:ASM407v2:1:10642110:10642488:1 gene:Csa_1G171060 transcript:KGN64984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLEGQDREIRARLAKQIATRLGGEQHQAHIVFAREVHQFERELYFENFTRIFNGQQRSIDFFLSLAYFFPDFGRRTNFPQRCRPHQALMNQLCSGGDYRLR >KGN66063 pep chromosome:ASM407v2:1:20956384:20958251:1 gene:Csa_1G569400 transcript:KGN66063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLDQLWDDTLAGPTPDSGLGKLRKHPSLTSRSAAVKESSNGKRYEEGVVMSSSSSEDSVKVSRRIMIVKPPGGYQYGSPPVSPAASSTPPSSPFSGRESFRFRRRSTSDAYGKTTSEVGARTPTSPFDM >KGN65575 pep chromosome:ASM407v2:1:16564400:16564951:1 gene:Csa_1G461010 transcript:KGN65575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHPGQGLPHELIQDMVGGGQQWTSEQGLALNLSRRLLNKLNGNVRYVREQTKCYFLIDLELKLRRLRGIENPSHFEPGRRENPVVSPAQLFYLINESIRRVHFSVVTTLDVAAAGACFSNAAVYMNEN >KGN65894 pep chromosome:ASM407v2:1:19440397:19457468:1 gene:Csa_1G537440 transcript:KGN65894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDVPIYGRIATLELFRPHGEAQDFLFIATERYKFCVLQWDTESSELITRAMGDVSDRIGRPTDSGQIGIIDPDCRLIGLHLYDGLFKVIPFDNKGQLKEAFNIRLEELQVLDIKFLYGCSRPTIVVLYQDNKDARHVKTYEVVLKDKDFVEGPWSQNNLDNGAAVLIPVPPPLCGVIIIGEETIVYCSATAFKAIPVRPSITRAYGRVDADGSRYLLGDHAGLLHLLVITHEKERVTGLKIELLGETSIASTISYLDNAFVYIGSSYGDSQLVKLNVQPDAKGSYVEVLERYVNLGPIVDFCVVDLERQGQGQVVTCSGAYKDGSLRVVRNGIGINEQASVELQGIKGMWSLRSSTDDPFDTFLVVSFISETRILAMNLEDELEETEIEGFNSQVQTLFCHDALFNQLVQVTSSSVRLVSSTTRELLNEWNAPSNYSINVATANASQVLLATGGGVLVHLEICDGLLVEKKHIQLEHEISCLDINPIGDNPNCSQLAAVGMWTDISVRIFSLPDLNLLTKEQLGGEIIPRSVLLCTFEGISYLLCALGDGHLLNFILNTNSNSNSCELMDRKKVSLGTQPITLRTFSSKNATHVFAASDRPTVIYSSNKKLLYSNVNLKEVSHMCPFNSAAFPDSLAIAKEGELTIGTIDDIQKLHIRSIPLGEHARRICHQEQSRTFAICSLRYNQSGTEDTEMHFIRLLDDQTFESISTYALDTYEYGCSILSCSFSDDNNVYYCVGTAYVMPEENEPTKGRILVFVVEEGKLQLIAEKETKGSVYSLNAFNGKLLAAINQKIQLYKWTLRDDGTRELQSECGHHGHILALYVQTRGDFIVVGDLMKSISLLIYKHEEGAIEERARDYNANWMSAVEILDDDIYLGAENYFNLFTVRKNSEGATDEERSRLEVVGEYHLGEFVNRFQHGSLVMRLPDSDVGQIPTVIFGSVNGVIGVIASLPHDQYVFLERLQSNLRKVIKGVGGLSHEQWRSFNNEKRTAEAKNFLDGDLIESFLDLNRSKMEEISRAMSVSAEELCKRVEELTRLH >KGN66544 pep chromosome:ASM407v2:1:24798780:24800129:1 gene:Csa_1G629010 transcript:KGN66544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSFDGISVFGYDVNSSNMDDSDSSGLLEIYVHHARNIHNICIYENQDVYAKFSLTYNPDQTLSTRVINGGGKNPDFNENLRMKVTQPDSVLKCEIWMLSRARNYLEDQLLGFALVPLSQVVGKGKVTENYSLSSTDLFHSPAGTVQLSLSLDKSLPVDELNSISDLPVSSSISSEVVLLDRKISEVMLDSAEYSRIEFPDVNVVMENQQMVSEYFSLAGNDSCTRPRIATFLRLGASPPQPPYDFEMTANSTEEHQPGSISPNESSIQNSSFLSSTTTSLSDDRNSVDSAEKKIRFSGESSNYVNASVTTIEARNQVSGPCPDTPTSRKSGRAAEDKESKFSNNKDKISIKKEGSIPSVKFGQLFSAPLGNINVDAEQSAMQQQIVDMYMKSMHQFTESLAKMKLPMDLDKPEHEHRGVVLQTHDPKPEINQKKKDGSRVFYGSRAFF >KGN64212 pep chromosome:ASM407v2:1:4760969:4765346:1 gene:Csa_1G043150 transcript:KGN64212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIKSGEGEMRRKLSYGGSIPLLGLGTFTYDERDSLDAVAMKAIKIGYRHFDTASLYGSEEAIGKAIKKAIENETVKREDIFVTTKLWCNEHHDPLSALNASLKRLGLDYVDSYLIHWPVKLKPWASYMVPKEDDFDEMDLETTWNHMEKCVELGLTKTIGVSNFSSKKLLHLLDFASLPPAINQVEMHVMWRQRKLREVCSSRNVHLTAYSPLGSPWNPYGLKNLLKDPIVNSIASKHEATPAQVALSWILSMGGSAVVKSFNESRLEENMASFGLKLDEQDLQEIDKLEEKKMATGEFLINATTGQYKNIQELWDGEI >KGN65610 pep chromosome:ASM407v2:1:16892368:16901886:1 gene:Csa_1G470260 transcript:KGN65610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDAPPRRLRGHKGTATCCVASNDRPGLVATSGEDGCVCWFDMRCKDVVSIMEVGKEPISSICFKPGNEDVIYISSGKEIKSFDVHQATASKPLGSYSYNKEEINQVSCSPKASFLAAADDGGDVKIIDVHNNCLYKTLRSGHTSICSSVQFLPWRPWEVITGGLDSKLIMWDFSRGRPIQVLDFDIPDNHPSSSTEQCFNPAFIHAIAVPEVNMLDRLGQICVVARGDGIVHVIDIESEKSKTSSKTGKKVQSRSKGVTAACDMNNQEQTGRKKLYLDYTVGGHSAAVSCVAFSQFGEKGKFIVSGGNDKCIKLWNWCEVPDAGKTSSSNNEVLHLNINLSKKVNWLCTTPSETENLIVCDTSKVVKVYNVA >KGN66653 pep chromosome:ASM407v2:1:26092924:26093163:-1 gene:Csa_1G653340 transcript:KGN66653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSHSEKYIEEDDMDINLEDFDIPNLHGLEPPSEEDMSSTKTSMTHDARLTRPSKKKTIIFRGPHRHISHKYARNFQGN >KGN66535 pep chromosome:ASM407v2:1:24669670:24669913:-1 gene:Csa_1G627450 transcript:KGN66535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFKPKCKSPRHLKRTWSKREGLRRKRESLERNPKERVKKRQDEEVLEQNGFGGIEGQYLKESR >KGN66703 pep chromosome:ASM407v2:1:26651853:26656014:-1 gene:Csa_1G661210 transcript:KGN66703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSFCKISPNIKRISPNSPTNFSTLISLVSIAFDEEEVLGDPTLTGYPILIVSDWGSKIDVVGFCFLDLASNYQPPNSLVEWIEAGERPIYIGFGSLPVEQPQEMTQIIVEALEITGRRGIINKGWGGLGSLAEPKDFVYVLDNCPHDWLFPRCMAVVHHGGAGTTAAGLKAACPTTIVPIFGDQQFWGERVHARGLGPPPIPIAEFSLENLQVKERTLEVSKAIESEDGVGGAVNAFHKHFHRNRTLAKPEAPKRGFSVRRLLHIS >KGN66865 pep chromosome:ASM407v2:1:28421606:28427725:-1 gene:Csa_1G701900 transcript:KGN66865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLNYPLISSSLLYDANNLMEFSARLSSPAPFPQTLLFPLMKHGGSQRLSGYARNSWNIRNFVVRAGPKRISFGKECRGALLAGIDKLADAVSVTLGPKGRNVILSEQKTLKVVNDGVTIAKAIELSDAIENAGVVLIQEVASKMNDLAGDGTTTAIILAREMIKSGLLAVSFGADPVSLKKGMDKTVKELIKVLKKKSTPVQGKDDIKAVAMISSGNDEYVGNLIAEAIEKIGPDGVISIESSKSSETSVIIEEGMKIDKGYMSPQFITNQDKSIVEFDNAKVLVTDQRISSVKEIVPLLEKTVQLSLPLLIFAEDISIKVLETLVVNKIQGLVNVAVVKCPGVGERKKALLQDIALMTGADFLSGDLGLGLEGATSDQLGIARKVVITSNSTTIVADPSTKDEIQARISQIKKDLVETDNPNLSRKLSERIAKLSGGVAVIKVGAHTEVELEDRKLRIEDAKNAVFAAMNEGIVPGGGATYVHLYELLPTIKQSMEDQDELIGADIVGKALLAPAKLIASNAGDDGVVVVEKTRACDWRHGYNAMTDKYEDLFNAGVVDPCLVSRCALQIAASVTGIVLTTQAVMVEKIKKPKPLVPHVPGISP >KGN66252 pep chromosome:ASM407v2:1:22274973:22279287:1 gene:Csa_1G589100 transcript:KGN66252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSPFSSNPSFSSSSSSSFLHSSSLSFHFILRHSQIPSSIIFTPQRFKIHCSNNTIQVETQPPRRIRVDFEVKKKRKPRPSFLEQIRHKWSTKPISSTHTFPWQQQEQDRHHKQDEGEGEEEEEEEEEQVANQTSVSIPESTTDVTQAVPITRSISAPWAHGSQSRNTQFDFKPKTPNGEVINEISKISTDDTSNRNASTISIDEISDDSSEDEAEIDTVVLPVTEKRSTLSKKIVHSVSSDNDDNGRVDLPWKREPRRDSEVDAGQRRSKTLLAEQMLPEHELRRLRNISLRMVERIEVGVKGITQELLDSIHEKWKVDEVVKLKFEGPLTVNMKRAHEKLENRTGGLVIWRSGSLIVLYRGMTYHLPCVQSYAKQNQAKSNTLDVPNNVESDDITRNEKLHTTVGTMSTIVSGASKHTKTLSKKELMELSDLNHLLDEIGPRFKDWSGCEPVPVDADLLPGIVPGYKPPTRILPYGVRHCLRNKEVTIFRRLARKMPPHFALGRNRQLQGLANAMVKLWEKCAIAKIAIKRGVENTRNERMAEELRILTGGTLLSRNKEYIVFYRGNDYLPPTITEALKERRKLADRQQDVEEQVRQVASAAIESKVKASNAPLVAGTLTETIAATSRWGSQPSGHDIENMREDSALAKLDSLIEYLKKKLALAKCKVKNAEKIIAKLQEKKEPSDLPTDLETITDEERLLFRKIGLSMKPYLLLGRRGVYDGTVENMHLHWKFRELVKIIVRGKTLQQVKHVAISLEAESNGVVISLDKTTKGYEVIVYRGKNYTRPDAMRPKNMLTRRQALARSIELQRREALKHHILDLEEKIELLKAELEERKSGKWPLKG >KGN65967 pep chromosome:ASM407v2:1:20198817:20202262:1 gene:Csa_1G554540 transcript:KGN65967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSFGRSRNQSRFGRSVSFGGMDYPDPKRKNNFVGKIILAASLTAICIVMLKQSPNFSTPTPFASHQLGVTHVLVTGGAGYIGSHAALRLLKDSYRVTIVDNLSRGNLGAVKVLQELFPEYGRLQFIYADLGDAKSVNKIFSENAFDAVMHFAAVAYVGESTLDPLKYYHNITSNTLTVLESMAAHGVKTLIYSSTCATYGEPEKMPITEETSQAPINPYGKAKKMAEEIILDFSKNSKMAVMILRYFNVIGSDPEGRLGEAPRPELREHGRISGACFDAARGIISGLKVKGTDYKTHDGTCIRDYIDVTDLVDAHVKALEKAIPGKVGIYNVGTGKGRSVKEFVEACKKATGVDIKVDYLPRRPGDYAEVFSNPTKIKKELNWTAQHTDLQESLSVAWRWQKSHLNGYGNSLVMSS >KGN63954 pep chromosome:ASM407v2:1:3336434:3336754:-1 gene:Csa_1G031767 transcript:KGN63954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSQRVTRCFREACINTGNRSCLEYIDCGHGDESRSQILDPHRDSWTHNVNATACFGSEGFDYGIYLQAVKLTTKNSIITRYTYSLFWGFQVFVTNFWTWNFKID >KGN63636 pep chromosome:ASM407v2:1:1277516:1286270:-1 gene:Csa_1G008440 transcript:KGN63636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQDSSSSSPPPIPCDRSSPEPLSHIENPNPNTANDDLIAENEPTTTTTTTNSEQPTTRRNRPSRSCTIRAAERLLAAQSVVERKPKPKKEQQEEESPQQQQCSKIVTPLVEEPSPSQLPRWSLRSKWELASVLNFLHVFRPLLNIHNEFTVEEFETALITPNDTLSDIHIPLLKAIPPITRMALTRGTWVTVLCRKLRDWWHWVAEGDLPIVASHGVEIEVYKTLDPGMRVVILKALCDIRVEQEDIRSYIENSLKHGVQLSAFRKERIGGDSHGTYFWYEDDPIIGHRLYREIRKVELKKAKTKGSQVLPSTTYLWETVATNFDEFQDVSEKLFTSKNRTEASLGKKLKVDMLPEIEKVHKRKERLLKKQHRQALLLDSFALVDGLGPGRSLRDRKPVTYTFDDYDRSINEAIKTTKRKPPSPEPNHRREAAIKLEPSANGKWSGPSYPSQNSNFSALSPKSPDYDDADEDDQLSEQLDRSNRRRQRPQRYSEKDYVEALSDNDADFDSDDDIVGEAVYDEEYLRKRKQRRKTSSSSEGDEEYHYEEENDEEEEEEEEESLSISEDSDQPRKVKKLPGRTRRETKLRSVGEIQSGLRRSKRATRSRINYGQYDLSESEPENNKVKKTNAASDEHTDDASENGDYSVDLSQGSDNEDDEEDDDQEMKVDQPDEVYAQNVEEQNPPEKSVSPDQDETDGTKKTRFLDLNELAPGSGFEDAPTSELKDDTDDF >KGN66377 pep chromosome:ASM407v2:1:23127148:23129852:1 gene:Csa_1G600170 transcript:KGN66377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVEKLKADGFVGKDETLRHKFFAPCVYYYFTDPELVQGNVESKKDGSVSSTANVKKPPTEISRRTFLAFFCIPVSPGKSRLIWAFPINLDKWVHFIVPRWIFHIVQNLILDSDMYLLHVEEHKYEEIGPSNWHKACYVPVKSDAFVVGFRRWLNKYAGGQVDWGGKYSGSLPSLSPRALVLERYWSHVVNCKSCNGAYKALNKAEVSLQVISIAAIGVLALTQNGVISAKVRATIFIIAIVCFAASKWLSHFIQETFRFRDYIHALV >KGN65935 pep chromosome:ASM407v2:1:19858173:19865068:1 gene:Csa_1G538820 transcript:KGN65935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCAASSIDEEERVKACRERKKLMKQLIGFRKEFADSLLAYLRALKNTGATLRQFTESETLELEDTIYGLASPPSPPPPLPPSPPPPPPFSPDLRKHGAEDAQKDELAQEESVVIDEDEDEDDHTPSPPILSSSWEYWDPFEHSAVHQQKKSETVGPVEEENWAETRSEFEEEDKKEEAVEDVVNPVPTTLEQRELISCISSTSSLHMKVATDMGMISWKNKKTLGAVVKELDEYFLKASGGIKEIAVLIDVTVGNDFPPHNFRENKRKRSNSAKVFNALSRRWSSSTLQFATDAAEFLGPNEPCRPGAHCITLKKLYAAEQRLQKDIKEEEGTNLEYKKKVLLLQKQEDEHHDWTKIEKTRQTVEGLESDIIRLRQAIGEHCASILALMDEELYPQLVALTSGLLHMWKIMSECHQVQNQISQQLNHHINNHDIDLSTDYHRRATAQLAAEITVWYSSFCNLVKYQREYVKTLCRWTQLTDFLVDHDRQSVCASVVLNICGKWQDTLERLPDKAASEAIKNLLSAINSLLLQQVEEQNLQRKYEKLDKRLQKEMHSLAEMEKKLGGNSLSEVGNDNLNSKNPLILKRAKTDALKKLVDTEKAKYLNSIQVSRAMTSNHLKTGLPNVFQALMGFASLSVKGMESVCSNVTPPQECCDDATVSSTN >KGN65419 pep chromosome:ASM407v2:1:15218619:15218841:-1 gene:Csa_1G420330 transcript:KGN65419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYSGDEHDDRLMNMEVVPVMVVVDEVKQIRLSNVDVIVSNLHQSFLEMSFFKGLIPFGCNKSRS >KGN66751 pep chromosome:ASM407v2:1:27250947:27251982:1 gene:Csa_1G676290 transcript:KGN66751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRAKHIKASPLVAIEDKCIRELEVFTPIKRESRDKLLNELQESLDIEHVQKLEELFIQEGILFDPCSIEESELAYEDVTSQTISSLQLALEELLRTIGEGVRIPNRFIGAPFLDHQIFQTPPHCSSGS >KGN65698 pep chromosome:ASM407v2:1:17778799:17795673:1 gene:Csa_1G502860 transcript:KGN65698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQFKEEVYYNVTQAIDLMSAVKELNKFSSQELSKLLRDSENFVIHYTSENNMQMTIDVEKLACFLPLHLMAVLISSNRDEALYKYLLCGVRLLYSLCDLAPRHARLEQILLDDVKMSEQLLDLVFYMLIVLGGFKQENYQSDSISVAHSSLVACSLYLLTGCISSQWQDLVHVLIAHPKVDIFMEAAFASVFQSVKVLDLRLSTKNSDSTCTVPVAELINYLCLQCEASLQFLQTLCQQKAFRERLLRNKELCCKGGVLFLARAILNLNVVHPHLQSSRVGATLSRLKAKVLSILLSLCEAESISYLDEVASTLRSLDFAKSVALQILELLKNALSRDSKSIFSCSEKRYPTGFLQLNAMRLADIFSDDSNFRSYITVNFTKVLTAVFSLSHGDFLSSWCSSDLPVKEEDATLEYDSFAAAGWVLDNFFSSGILHPKNLDFTLIPSVMAPASYAHQRTSLFVKVIANLHCFVPNICEEQERNLFLHGFVDCLKMDIVKALPGSDGSKATNVCRNLRSLLSQAESLIPNFLNEEDVQLLRVFYDQLQKAITFSESEGNRVQDAQSVEGGVSPLVKELSHLDNGNGNLKEEGMSETSAFQETENCVETERGGQGDTVLKELKSKDEDESERNASGIPKGDEGDMQNVETSGSDTNSARGRNGIKQTDIVDSSKSNENAKETEQAGSLEEEKVENVHSEEKHRRKRKRTVMNEKQISVIERALLDEPEMQRNPASIQFWADELIRYGSEVASSQLKNWLNNRKARLARTARDSRATLEADNAIPDKQGGMTAGSCDSPDSPCEDKHVPNTGRDRRSASRTNTANNSKNSTTEFNDSGPTEFVHFKPGQYVILVDVLGEEIAKGKVHQVHGKWYGRNLEELETLVVDIDELKADKNTVLPYPYEATGTSFHEAETKIGVMRVLWDFNKIFMLQSQ >KGN63447 pep chromosome:ASM407v2:1:118842:120769:1 gene:Csa_1G000710 transcript:KGN63447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADIQPPDPQINGAPPPLVVSSETVGSKRQRRPSVRLGDIGGDQPYDSYPRRTNKPWKFSLENRKDSSAATAKNSKTRPLTNFSSADAEDKENNLDTVAIGSWRLKDSKRRGSAATKRARTNWASSKHDEGGGGGEADDKYSAGEDVDEGYRDYDIENSESPLKEQSSMHSLENLAMEGQGNDREMLYQGNRRSIRSRVSEGRDHQEGLEFSGPSDTDARNWKCGTSGDRNGNGGRGLCGEDGVRVWLNGLGLGRYAPIFEIHEVDDEVLPMLTLEDLKDMGISAVGSRRKMYCAIQKLGKGFS >KGN66686 pep chromosome:ASM407v2:1:26461963:26462592:-1 gene:Csa_1G659080 transcript:KGN66686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFHLDGASSSSSFDKVVNLEFEKWVTADLLLLGWLYNSITPEVAVQLLGFNNAKDLWEATQELFGFSQWQKRTIFARFFKQLENVTRKWKIIYAL >KGN66417 pep chromosome:ASM407v2:1:23460999:23465053:-1 gene:Csa_1G602540 transcript:KGN66417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKVANQPLFPMPFPAMFFLLSIIFGFGITLSDAVSQTRHYTWEVEYMFWSPDCKENLVMGINGQFPGPTIRANVGDTVVVEMINKLSTEDVVIHWHGILQKGTPWADGTASISQCATNPGESFTYQFVVDKPGTYFYHGHLGMQRAAGLYGSLIVDPEEGEKEPFHYDEEINLLLSDWWHESILKQEIGLSSSPMRWIGEPQSILINGRGQSNCSIAAKYMNMKKMEQCKLSGSEQCAPFILEVKPNKIYRIRITSTTSLSALNFAIGKHKLLVVEADGNYVQPFLTSDIDIYSGESYSVLINTDQKPSENYWVSLGIRGRDPKTPPGLTILNYLPNPVSKLPTSPPPETPKWNDYDRSKNFTFQILAAMGSPKPPLKSTRRIFLLNTQNKMNGGYTKWAINNVSLALPSTPYLGAIKIGLNHTFDQNPPPETYPEDYDINKPPPNRNTITGNGVYRFQIGEIVDVVLQNANTLNDKHSEIHPWHLPGHDFWVLGYGEGKFSSAADETKLNLKNPPLRNTVVIFPFGWTVLRFVADNPGV >KGN64222 pep chromosome:ASM407v2:1:4819374:4826777:1 gene:Csa_1G043250 transcript:KGN64222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCLASKPADNGGNRRRPGNIGEVSVYVPGLRIPKPVDFSLTLGDHLSKNIVERLSALRTRIIVMAGQEGPTITRTRRKTATQHGGSTLADLQQALEDYLPVLLGLVKDGNQLQHKVQFAWINQEDDLEETAMSNAWYEVLSVLHLMAMLSLSQANLLLLPRTSADGYQPKVSEESRRASIDIFVKAAGYLDCAVRHVLPQLPVEFRRNLPVDLAEGVLRALCLQALGQGVDIQLGMAIDSTKATLAVKRRLACEMVKYWQQAQDNIMNLPLSNGWGEKHRLFVKWKYIEAKAAAYYYHGLILDEGNTEKSHGMAVAALQAADEYFKESKKACEAFNSAPPLSRNPPLFGTMKYLSEKIPKDASSKVRINRDLYSFEKIVETAPTLPDFALALKPDEFQLPAVDPSWNEENIVNRGQVVAPKQLKSDQR >KGN64328 pep chromosome:ASM407v2:1:5409320:5411248:-1 gene:Csa_1G046250 transcript:KGN64328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTMRETSRLAEASINGIIRRMVNLPRSILGGFSRVMNGGRNQTLPSSYQYQILQQDTPYVPEEWSFLTSFQYQYGSMHPFFYACRFAEALKIAEDDQKFLFLYLHSPDHPFTPSFCEETLCSELVVQFLDANFICWGALASRGEGLQMATTLGATSFPFCAVIAPAPGESITVLQQLEGPLSPADLVEILQRTMEEQGSAFGSSKLKREEKIRADRRIREEQDRAYNAALKQDKERERLKNPPLVLPKKAIDERLKQNSPIEQQGRVKEPTFTRETPNKDPANTGKDSHPSSQILIRFPNGEKRERRFSSMDKVKSVYSYVDSLGLPGTENYRLIASFPRRVYGTDEMNMTLKDAGLHPRASLFLECK >KGN64619 pep chromosome:ASM407v2:1:7209172:7209789:1 gene:Csa_1G071820 transcript:KGN64619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNIFKSSNYQIIISKSLLLAARELHKRPIPIPVAWTRPEFGWTKLNFDGSSKGEIGPGVASIGGVLRDHKAQFLLGYAESIGRAYSSMAELKALTKGLELVLENGWKDVWVEGDAKGLVEILAENREVKCMEARSYLRHIKSLLLDFDNCKVSHIYREGNKVADRFASIGHRCKKLEIWRELPPLETLDMMRHDAEGKITFRRR >KGN63840 pep chromosome:ASM407v2:1:2473760:2474267:-1 gene:Csa_1G024245 transcript:KGN63840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKASRIGTVLMIVGLILLISNSNEVMVEGADGVACNPMQLSSCMNSIISGAPPSTQCCSKIKEQKPCLCGYLKNPILKSFVSSPNARKVANDCGTPFPNC >KGN64142 pep chromosome:ASM407v2:1:4351599:4351943:1 gene:Csa_1G042525 transcript:KGN64142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSSSFPLPLLRLSMFFRISRASNIDGLVSFTLCKHRNANSATAHIISLTVSSVSNPRDASTKSCNSSFRILLIAIVHKLISCLCLVISTAGFEDISSTNTTPKLKTSLLFVS >KGN63657 pep chromosome:ASM407v2:1:1397940:1403193:1 gene:Csa_1G009610 transcript:KGN63657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADHSVGPASFWTQANALLRKNLTYQKRNMNANVRLILFPFLLCLLLVLIQSLVDNELDKPKFRCGCACIDTNGDGRCEEVCGVQFSTLDQASSCPIENPPEWPPLLQMPAPEFRAVRNNFNPFNDLPDESCRQTGTCPATVLFTGTNRTLGETLAGSLFTNSFNLNSNNVSDGIAFNAVGSSSMTENNNFLEPAFASNLPLYNVQLQCTRNSSLTVPFPVLSVEKAQEIRCVQGLHLWRNTASEVNDELYKGFHKGNSEGKVNEILAGFNFLNSNANNFNVTVWYNSSFKNDSGSRPPALLRIPRSVNLATNAYLKLLQGPSTEIPFEFVKEMPKAASKLRLDLSSLLGTLFFTWVVLQLFPVVLQSLVYEKQQKLRIMMKMHGLGDGPYWLISYAYFLTISAIYILCFVIFGSVIGLKFFRLNDYSIQFVFYFLYINLQISLAFLTAAWFSNVKTAAVIAYILVFGTGLLGGFLFQFFLEDPSFPNAWIIVLELFPGFALYRGLYEFAQYSFTGNFMGTDGMRWGNLSDKSNGMRDVFIIMVVEWLLVILVAYYLDQISSSGGGKSPLFFLRRFRKKAAASFRLPSLRKQGSKVFVQMEQSDVIQEREKVEQLLLDPDASHAIVCDNLKKVYPGRDGNPEKFAVKGLSLAVPRGECFGMLGPNGAGKTSFISMMIGLTKPSAGAAYVQGMDIRRDMDRIYTSMGVCPQHDLLWEQLTGREHLLFYGRLKKLRGSALTEAVEESLKGVNLYHGGIADKQAGKYSGGMKRRLSVAISLIGDPKVVYMDEPSTGLDPASRNSLWNVVKHAKQDRAIILTTHSMEEAEVLCDRLGIFVDGGLQCIGNPKELKGRYGGSYVFTMTTSANHEVDVENMVKNLSPNASKIYHISGTQKFELPKQEVRIGDVFQAVENAKSRFTVFAWGLADTTLEDVFIKVARGAQSFNTLS >KGN66766 pep chromosome:ASM407v2:1:27467125:27470385:-1 gene:Csa_1G681120 transcript:KGN66766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQIGILCAAGLVAIKENVQKLEADHKKAKQLASGLFQIKGLKIDPKSVETNIILFEIEDDYGISMETLCKSLEERGIFVMLQTQTRARIVFHHQISTSDVQYILSCFQQTLNGIKVVNGN >KGN64990 pep chromosome:ASM407v2:1:10686650:10690827:-1 gene:Csa_1G172610 transcript:KGN64990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIGSEEAIRQLRALVDQVDERLKCTFQNVHQGYLTETLERFLKAREWNVSKAHKMLVDCLNWRVDNAIDMMLTKPILPVDVYRAVRDSQLIGLSGYSREGLPVFAIGVGLSTFDKASVNYYVQSHIQINEYRDRIILPSASKKYGQPITTCVKVLDMTGLKLSALSQIKLLTIISTIDDLNYPEKTNTYFIVNVPYIFSSCWKVVKPLLQERTRKKIQVLSGSGRDELLKIMDYSSLPHFCKREGSGSSRHSSDGAENCYSLDHSFHQQLYNHIKEQAVQESSRPIKQGSVHVSLPEPGAEGTEIARTIELELHKYGNANGKSNGLSNSLGSLKI >KGN64191 pep chromosome:ASM407v2:1:4608654:4613016:1 gene:Csa_1G042960 transcript:KGN64191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNVRNVRKDRSRIPASSARKEWVPRGSTTIPTTTATTDIHVNQPLNVNLNGNRNEQEPNSSPPHPVYRDRGNHGQRVHVGPRRNQRKDKEKDKEKSGDQGEKDLRISNLPQLVHEIQEKLTKGTVECMICYDMVRRSAPIWSCSSCFCIFHLTCIKKWARAPTSTDLVAEKNQGLNWRCPGCQSVQLISSKEIRYVCFCGKRQDPPSDLYLTPHSCGEPCGKPLDREMLVAGGSKEDLCPHNCVLQCHPGPCPPCKAFAPPRLCPCGKKLITTRCSDRKSTLTCGQRCEKLLDCGRHWCEKICHVGTCDPCQVQVSASCFCKKKKELVLCGSMALKGEVNTEDGVFPCSSICGKGLNCGNHVCREICHPGPCGGCELMPDMIRTCYCGKTRLQDERTSCLDPIPTCSELCEKLLPCGKHRCKEVCHAGDCAPCLVQVVQKCRCGSTSRNVECYKTSSPTDIFTCEKPCEWKKNCGRHRCSERCCPLSNSSYNHLGDWDPHFCVMRCGKKLRCRQHSCQSLCHSGHCSPCPETIFTDLTCACGKTSIPPPLPCGTPPPSCQFPCSVPQPCGHSSTHSCHFGDCPPCTVPIAKECIGGHVVLRNIPCGSRDIRCNKLCGKTRQCGMHACNRTCHPPPCDTAAGSESVQKTSCGQTCGAPRRDCRHTCTAPCHPSAPCPDARCEFPVIITCSCGRITASVPCDAGGSSINFNTDALYASIIQKLPVPLQPIEATGKKIPLGQRKLTCDDECSKLERNRVLADAFDITPPNLDALHFGDSSSTELLADLFRRDSKWVLAVEERCKFLVLGKNRGGIGGLKVHVFCPMPKDKRDAVRLIAERWKVAINSVGWEPKRFITIHVTPKSKVPPRVLGIKGSTTISTLHPPPFDPLVDMDPRLVVSFPDLPRESDISALVLRFGGECELVWLNDKNALAVFSDPARAATAMRRLDHGTAYHGASLLQNGGASASSNTNAWGGGENAKEGGASKSSNPWKRAVVQDSSWKDTSWGDEEWSGPSIDVQASVWKREAAPFSASLNRWHALDTEPSVSSSTQSPEHKLGNRVGNPSLGSESSTSRSLSSGGVMQVVTDDGTNTSEVADDWEKAYD >KGN63898 pep chromosome:ASM407v2:1:2866388:2868258:1 gene:Csa_1G025800 transcript:KGN63898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKLKVSEGWETSENDHVGRQYWKFDTNLTPSEEEKAQIQKFCNEFYRNRFRAKHSSDLLMRFQLRKENNGDEVKLPRQIKITSEEEINEEAIEKTLRRGIRFYSTLQTQDGFWPGDYGGPLFLLPALVNF >KGN63571 pep chromosome:ASM407v2:1:839762:845531:-1 gene:Csa_1G004870 transcript:KGN63571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLYSLNLLASNSHHSSSTTTLETLAIRMASSDYSHSLTDFESEHFLPFSSAASNTAIVAEKMKTACAISEEESAGVIRAKIASHPLYPKLVDAFLNCQKVSAPPEVAKILDQYNRGNNIGNENPGVSTCLGTDPELDEFMEIFCELLAKYELDLYQPLEEASAFLKNMERQLNLLCEDTTRGYVSDNEAASEEDISARGEVAGNKDGELKERLLRKYGGHISSLKQEFSKTKKKEGLPKEAKQILLNWWNFHSQWPYPTDTDKVELAESTGLNRKQLNSWFINHRKRHWKLPSENMLSLRGSLDHE >KGN64992 pep chromosome:ASM407v2:1:10712519:10736574:-1 gene:Csa_1G172630 transcript:KGN64992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHKLQQQLKEVGSKLQTPPATKDALIKLLKQAVGYLSELDQSPSASILESMQPFIDAIIKPELLQHQDRDVKLLVATCICEITRITAPEAPYTDDVLKDIFHLIVGTFSGLNDTTGPSFGRRVVILETLAKYRSCVVMLDLDCDDLVNEMFGTFLAVAREDHPESVLSSMQTIMVVLLEESEDIREELLFTLLSTLGRNKSNVSSAARKLAMNVIQNSAGKLEAAVKQFLVTSMSGENKPPYNLIDYHEVIYDIYRCAPQILSGIAAYLIGELLTDQLDTRLKAVGLVGDLFSLPGSSMSEVFQPVFSEFLKRLTDRIVEVRMSVLVHVKSCLLSNPLRDEASEIISALADRLLDFDENVRKQVVAVICDVACLSLNAIPLDTIKLVAERLRDKSLLVKKYTMERLAEIYMVYSVKSSVESTNPDDFTWIPGRILRCFYDKDFRSDVIESILCGSLFPSEFPVKDRVKHLLKVFSTFDKVELKALEKILEQKQRLQLEMQRYLSLRQLNKEGDAPETQKKILFSFRVMSRSFADPAKSEENFQILDQLKDANVWRILSNLIDPNTNFHQACNLRDELLKILGEKHRLYDFLDSLSVKCSYLLFNKEHVKEILWELEIQKSAGSMQDIKSSMTMLVILARFSPVLFSGSEEELINFLKDDNETIKEGILNVLAKAGGTIREQLAVSSSSIDLILEQPCLEGTRRQAKYAVHALAAITKDDGLKSLSVLYKRLVDMLEEKTHLPAVLQSLGCIAQTAMPVFETREKEIEEFIKNQILNCDSEVGDNAKISWENRSEPCLLKIFAIKTLVKSYLPVKDAHLRLGINNLLEILGNVLAHGEISKDIKSSSVDKAHLKLASAKAILRLSKQWDDKIPISTFHLTIKTPEITFPQAGKVFLSKVHQYIKDRMLDAKYACAFLFNINGSNPSEFGEEKQNLADIIQMHHQAKARQLSMQSETNSTTAYPEYILPYLVHALAHYSCPDVDECKDIKAYELVYMRLHLILSLLVHKDEDLKSEANSTKEKENVSTIFSIFHSIKNSEDIVDATKTKISYAICDLGFSIIKRLGLKEDDLQGLTAPVSLPSMLYETKEKKGGDVSVADQTEGESKTWLVDENVLAHFESLKLESTEISTEAGVDEVQNKDEKDGNDVPLGKMIKVLKSHGSREKKNKKVKKKLVENKHAENDVDILTMVREINLSTTSQPESTNGHEDFPVKRTSVDAMPAKSKKRKNSDATSVPVPKHQRSSSDYSRSRPKSKKAHSPGSLRGGVSPLESSEIDVGNNHDSDDDVYEAKKIGRSSESDLLVSCLKKSMGSSKSKAKGSGRGHNDEQNDLEDSSDLDIKHSSVLKKVDKNNTTNLKASSGAVKKRKRRSIAGLAKCMFKYVENDIEDLMGCRIKVWWPMDKQ >KGN66301 pep chromosome:ASM407v2:1:22569222:22576260:-1 gene:Csa_1G596510 transcript:KGN66301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAERHASSRATSSEDNAMFLDILHEAPLFGHRKPARTVGSIIYCFVLVGYAALAIGAPWIFHPIKHFVEPLLCSCHVVLLMLTGIFQQYLVYQVHKIRLQGYYSFSQKLKHIVRLPFAVTAYGTAALLLVMAWEPQISALSIPIILRLIMLIEAVCAGSFMIIYISYVQKYNSLNSQPDVLKSLYSPLQQSSSLEDLRYHDVGRLSDQQMALLQYQRENLHFLNEEILRLQECLSKYERSSDGSTPQVDLAHMLAARDQELRTLSAEMNQVTSELRLARSVIAERDTEIQKLLTTNKQYVEENERLRAILGEWSTRAAKLERALEAERMSNIELQKKISTLKKQPHASETSEGQGS >KGN66309 pep chromosome:ASM407v2:1:22630214:22633117:-1 gene:Csa_1G597070 transcript:KGN66309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRTYAISRTRNFRSRGIFHGICSIVLLCLYLDQGKFLRNPIYQKSTIDDKQVGVIHRRMAEVSASLNNTIGVDESLTNNDTSSSRTLACFGLSELRGHKSPCEYLIAHPDCNSGGFFNYITFFYCDCEGFRLLGYVALIIWLAALFYLLGNTAADYFCCSLEKLSNLLNLPATVAGVSLLPLGNGAPDVFASIAAFMGKDAGEVGLNSVLGGAVFVTCIVVGAVSLCVAESDVRIDRKCFIRDICFFMFVIISLAVILAFGRVTVVSAIAFVSIYLVYAFAVAANEILEKDPTKVALNSVTPLLPVTGSMFSVGSEEDDPMYAALLETEPDGDVPHLQNKLPQWMWSSNVAIYSNQTIKGTVDSPKFLWGWNDENTSTERPLVSCSNLFSLLELPLTLPRRLTIPIVEEERWSKGFAVASATLAPILLAFLWNTQDDLGPMSGKFAYLLGIFLGGVLGVLAYLYLSSDQPPQRALFPWVFGGFFMSIVWFYIVANELVALLMTLGLIFGVNPSILGLTVLAWGNSMGDLMSNLALAMNGGDSVQIAMSGCYAGPMFNTLAGLGISMLLGAISHRPAAYMLPRDSSLFYTLSFLMSGLIWSVVVLPRNDMRPNKKLGVGLIVIYLSFLILRVSTSMVKH >KGN63994 pep chromosome:ASM407v2:1:3528567:3529538:1 gene:Csa_1G033140 transcript:KGN63994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQNRVVLYGMWASPYAKRVQLALKIKGIPFQYVEEDLQNKSPDLLKFNPVYKKVPVLVHNGRPICESALILEYIEEVWNNNGPSLLPQDPYKRSQIRFWADYLQKQVFEGLFLLIKTEGEAQEKAIEDVKEKLKVLEEQGLKNLLAEGSTFVNGDELGYLDIGMLTILGRYKIYEEFFGMKIMEEEEIPIVFSWLNRLIEHPIAKEVTPPKEKVLGFLHFIRQKLLRSQAAA >KGN65997 pep chromosome:ASM407v2:1:20447562:20451299:1 gene:Csa_1G560790 transcript:KGN65997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIVVGHHSLWRSGDAISRFNGSSRGTTLVKPKFARLKQPLAALVEARPRAVPAVNTDTSLPTQLVLAVGRTDDLQAEARAMARAANAPFYNPELLAKTYGSRPIEVLQRALKILVALSSFGVKLWLDKNSGQLDQNKRRRAAELRGIFTRLGPTFVKLGQGLSTRPDICPPEYLEELSQLQDDLPTFPDAEAFLCIEEELSLTLDSIFSSLSPSPIAAASLGQVYKAKLKQSGKAVAIKVQRPNIEEAIGLDFYLIRSLGFLINKYVDIISSDVVALIDEFARRVYQELNYVQEGQNARRFKKLYADKEDVLVPDIFWDYTSGKVLTMEWVDGVKLNEQEAIEKQGLKVLDLVNTGIQCSLRQLLEYGYFHADPHPGNLLATPEGKLAFLDFGMMSETPEEARCAIIGHVVHMVNRDYEAMARDYYALDFLSPDTDVSPIVPVLRNFFDDTLNATVSELNFKTIVDGLGAVFYQYPFNVPAYYALILRSLTVLEGLALYADPNFKVLAASYPYFAKRLLTDPNPYLRDALIELLFKDGKFRWSRLENLLLQGRKDRDFSVKDALQPVLKLLLGPDGEGLRVLAIKEAIRVTEAFVLGTVNDTYNSIPDFMRTLLFRDNGSRALGMSNEEKESMVELRDQVLRIWRLLQSSENFDPTLLQPIVQVLQQPEARSFGGRIFSGITQRLAARMLQQVLRASTTVSASTV >KGN64609 pep chromosome:ASM407v2:1:7162106:7164320:-1 gene:Csa_1G071230 transcript:KGN64609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFNFTLNRLSLLCFIPLFLRHSIAVDILKAGQSFHDTQIIVSADQKFELGFFTHPKSSNFKYLGIWYKSLPDYVVWVANRDNPILNSSATLKFNTNGNLVLVNQTGQVFWSSNSKSLQDPIAKLLDTGNIVLRDSTSRSEDYVWQSFDYPSDTLLPGMKLGWDSKSGLNRKLISRKSQNDLSSGEFSYEVNLDGLAELVVRKGNKTMFRGGPWFGDGFTRGRSNGGIFIYNSSFEISFSYNIPTNDPYKVVLDSSGSVIHSVWNQEENGWRTTYTFEGSGCNDYDLCGNFGLCSSVLLASCGCLDGFKQKSAQNSSDGCVRKDDKICREGEGFRKISDVKWPDSTWNLVKLKVGIKNCETECLNDCSCLAYGILSLPNIGVACVTWFDKLLDIRYVRDVGTGDDLFLRVAASELERSERKSIIVPVVVPIISVLILLALISFYIIRRVRRRAEVSADNGVTITEDLIHENELEMPMAIIEAATNNFLISNKIGEGGFGPVYKMTEDVLYSIGK >KGN66624 pep chromosome:ASM407v2:1:25719060:25721525:1 gene:Csa_1G650110 transcript:KGN66624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKLQWLVATLACLTFCIGDNVSYDSNALIINGERRIIFSGSIHYPRSTEAMWPDLIQKAKDGGLDAIETYIFGARREPQRRKYDFSGRLDFIKFFQLIQDAGLYVVMRIGPYVCAEWNYGGFPVWLHNMPGIQLRTNNQVYKNEMQTFTTKIVNMCKQANLFASQGGPIILAQIENEYGNVMTPAYGDAGKAYINWCAQMAESLNIGVPWIMCQQSDAPQPMINTCNGFYCDNFTPNNPKSPKMFTENWVGWFKKWGDKDPYRTAEDVAFSVARFFQSGGVFNNYYMYHGGTNFGRTSGGPFITTSYDYNAPLDEYGNLNQPKWGHLKQLHASIKLGEKILTNSTRSNQNFGSSVTLTKFSNPTTGERFCFLSNTDGKNDATIDLQEDGKYFVPAWSVSILDGCNKEVYNTAKVNSQTSMFVKEQNEKENAQLSWAWAPEPMKDTLQGNGKFAANLLLEQKRVTVDFSDYFWYMTKVDTNGTSSLQNVTLQVNTKGHVLHAFVNKRYIGSKWGSNGQSFVFEKPILLKSGINTITLLSATVGLKNYDAFYDMVPTGIDGGPIYLIGDGNVTTDLSSNLWSYKVGLNGEMKQIYNPMFSQRTNWIPLNQKSIGRRMTWYKTSFKTPAGIDPVVLDMQGMGKGQAWVNGQSIGRFWPSFIAGNDSCSATCDYRGAYNPSKCVENCGNPSQRWYHVPRSFLSSDTNTLILFEEIGGNPQQVSVQTITIGTICGNANEGSTLELSCQGGHVISEIQFASYGNPGGKCGSFKKGSWDVTNIALILEKACIGMESCSIDVSAKSFGLGDATNLSARLAVQALCAQN >KGN64086 pep chromosome:ASM407v2:1:3996031:3996653:1 gene:Csa_1G040000 transcript:KGN64086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPRLRLFFLFAFVLLRGGVADGRSYPVSTQVLGKETQEDVMKKMERIRVKEQDGCRSSPSTTDSSDNLYQNKKRILNVKYKWINKRDKSEGGHLLNDEGSRFIAYNADYFVAKPHPPKNNGGGKYL >KGN64507 pep chromosome:ASM407v2:1:6582769:6583877:-1 gene:Csa_1G062330 transcript:KGN64507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPQSSFKFLCFILLVLTQLSFGYGWGFDNEDSCRYWRGCGTFFGWPADKPGSGGGGGGGSGSGGGNSGDGVGFGHGEGYGAGFGVGGNGGGGGGGGGGGGGSGEGYGHGSGYGAGGGGVTGGGAAGGGGGGGGSGSGSGNGGNGFGQGMGFGAGFGLGGGGGGGGGGGGGGGGGNSVWGGEAYGHGSGFGGGGGAGAGGGGGGGGSGGGGTNGGNGYGSGFGGGIGSGSSGGGGGGGGGRSSTNGGSSKGDGSGFGGGVGNGAGGGGGGSGGGGGISSSNGGYGKGEGSGFGVGGGNTNNFGGGGKGGEGMGMGFGMGFGMGIGFGMGNSNSNNNGADDYKNDQTKAKTNGSQP >KGN64983 pep chromosome:ASM407v2:1:10636600:10640071:1 gene:Csa_1G171050 transcript:KGN64983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFPRFQAAFLYLILLFGSSLVVESAIGVNWGTISFHKLKPTTVVDLLKSNRIQKVKLFEADPSVLKALMGTGIQVMIGIPNEMLASLSSSLLASDLWVRHNLSSYVVKGGADIRYVAVGNEPFLSSYNGEYQSYIMPALLNLQQSLAKANLASYVKLVVPCNADAYESSLPSQGAFRPELIQIMTQLVSFLNSNGSPFVVNIYPFLSLYGNSDFPQDYAFFEGTTHAVTDGSNVYYNAFDGNYDTLVSALTKIGYGQMPIVIGEVGWPTDGAMGANLTAARVFNQGLINHVLGNKGTPLRPATPPVDVYLFSLLDEGAKSVLPGNFERHWGIFSFDGQAKYPLNLGLGNKVLKNAKHVEYLPSRWCVANPFRDLTDVANHIKVACSVADCSTLNYGGSCNGIGAKGNISYAFNSYYQLQMQNEKSCEFDGLAMITFLDPSIGECRFLVGVTDSRSLSSQPSSASGIAWVSLICFFWTLVL >KGN65591 pep chromosome:ASM407v2:1:16720845:16725089:-1 gene:Csa_1G467110 transcript:KGN65591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFPECPVCLQTYDGESIVPRVLSCGHSACGTCLENLPQRFPETIRCPACNVLVKFPSQGASALPKNIDLLRLCPEPNAGELVSKKSVKRSINQTHEFFPRLWSDEFYRAWKHWVLPHDAVSIERCDGVDGVEKLLLGRICPVSDSSFPITVGEDRTVSLVRIVSLPCSNNDCLFKFSYTSMVLKCLNELKDEEKNELGLILRAGFVNGGRVCRTFGLWGNLEDGFLYLVCERRNDNLLEMINNWIKKLDFRNKVCLNKDDLLSFAVIATELCDAIIAMHSLRLSTGFLSLSCFSLGVFGSVCVDINGVLVMGRTVCETVIEAVSSGSKLHMKELGMLTSNLIKKEAFVPPEVLLKLLNKEDVGLECSTTLCSVGNKCDIWSLVLVLLSLLLGKDCFEETLGSVEESHSDCSAFYGSWVEKVSSCLDTKFGLGYASLKQTLCRSLDFDPENRPHVVELLRCCRELIVSSELDALASLKLGVNESGSESGDHCLVLGDLIRLPDKLIETHRDDMDQITEEKTTKKFVDGISVGMVKSRDMLGHRDSVTGLVIGGDYLFSSSYDKTVQAWSLQDFSHVHTFIGHEHRIMDLVYIDEEQPLCVSADIGGGIYVWSVALPLKQDPLKKWYEEKDWRYDGIHALAYSSNGYLYTGGGDKLVKEWSLKDGTLSGSMHGHKSVVSALVASNGVLYSGSWDGAIRLWSLANRSQLAVLGEESSGSLGSVLRLAAKMNILVATHENGSIKVWRNDVFMKTMKLHDGAIFATSMLGKQLVTGGRDKAVNVQELFDNELEIDCRHLGSIPTNSTITALLCWEDKLYVGYADRCIKVYYYGK >KGN64295 pep chromosome:ASM407v2:1:5215539:5221536:1 gene:Csa_1G045930 transcript:KGN64295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALADLMANSSSSCHHSVTASSLKRKRREAREVRRKVQKLRVFLLFSTSGFSSLSWDFHAIAILSLLSLEIFEVLEFEMSSPNKRRDMDVMKLMMSDYKVEMIDDGLSEFNVEFNGPKESVYEGGVWKIHVELPDAYPYKSPSIGFVNKIYHPNVDELSGSVCLDVINQTWSPMFDLLNVFEVFLPQLLLYPNPSDPLNGDAASLMLKDRSQYDQKVKEYCERYAKRENVTNSGAEDESEEDELLDKFSFVQ >KGN63527 pep chromosome:ASM407v2:1:571184:574637:1 gene:Csa_1G003450 transcript:KGN63527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMDPNPKSFPILSYVMARIPSLSPRPPPTEFDIEQPASPSSGHGRFDPSSSSSRIVHEMPHLSDPKVLASMTTAISDVAQTRSVLKTLGERPDHEAVDTAKARLVDIEVNLSAKLQEIVLSSRPADVELLEWRAHLAEKENECRQAADKEKQVYKAIVQLDEMHEAYERMLKEAEERLVKIYESAERGLPEEEQLDPVSEEVNEEVAKILQDANEKEMDRISLTGRRLRFLPEEFGHIRGLVVLDISSNQLQIIPDSISGLENLEELNASSNLLESLPDSIGLLQKLKLLNVSANKLHALPDTICHCRSLVELDVSFNSLTYLPTNIGLELVNLEKLAVQLNNLRELDLSNNQIHALPDTFGHLENLKKLNVEQNPLTVPPMEVVSKGPDAVRTFMSKRWLEILQEEDRKRTLEMDEQTQTGWLTRSTSWLKTYVSGVSETVSGIVGSPKSPRDPYLDQQL >KGN65732 pep chromosome:ASM407v2:1:18159884:18162210:-1 gene:Csa_1G523070 transcript:KGN65732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDGKSMKVNSQLQQNGHLPPFKLAKLFDPETSWDKDQLGDVLHWMRQSVALVCGLLWGSIPLVGGVWFILFLVISTTMIYGYYAMILKVDEEEFGGHGVLLQEGLFASITLFLLMWTLIYSLGHF >KGN65905 pep chromosome:ASM407v2:1:19580343:19580645:1 gene:Csa_1G537535 transcript:KGN65905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMVSPSCSWSFSRASFPCSSIESLSSPPLLNINSSVLFFFSFASLPDFLRTSLSNILLFCFLRIPRPFSHTSRFPPSRRRFSSSFPLGVTSVSISGSTS >KGN65385 pep chromosome:ASM407v2:1:14763487:14763840:1 gene:Csa_1G397640 transcript:KGN65385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPLIQNTNFKTFSQILLFILKLETCLTKGGSDGSNEGRGGEARVKGLDLDEGRADERMERLDQTSRSVVKMKTTAADEACSAWRRIDIDAQREIWTCLQHQVASFEWNNGRRMFEP >KGN66405 pep chromosome:ASM407v2:1:23317773:23323685:1 gene:Csa_1G600950 transcript:KGN66405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEMVEVDGLAHPAVVDDSDVDPHEGEINTVEDSGLHDEDGIIEPFVGMEFESEGDAKTFYDEYARRFGFSSKLGQLSRSKSDGTIVAREFVCGRECSKRKSADSCDAMLRIELKDQDKWVVTKFVKEHSHSTVNSSKVQYLRPRRHFAGAAKTMTEAYTGSAGVPSGVMSVLMDDSRVPAEKNRGGRTTSQAEVNRSLNNASTMNYAIRNAGRKRTLGRDAQNMLEYFKKMQSENPGFFYAIQLDDDNRMANVFWADARSRAAYSHFGDAVTLDTMYRVNQFRVPFAPFTGVNHHGQTILFGCALLLDESEASFVWLFKTFLTAMNDRQPVSITTDQDRAIHVAVAQVFPEARHCISRWHVLREGQQKLAHVCLTHPNFQVELYNCINLTETIEEFESAWNCIIEKYNLGRNDWLLSLYNARAQWVPVYVRDSFFAVISPNQGYDNSFFDGYVNQQTTLPLFFRQYERALENWFEKEIEADFDTMCTTPVLRTPSPMEKQAANLYTRKIFAKFQEELVETFVYTANRIEGDAALSTFRVAKFEDDQKAYVVTLNFPDMRANCSCQMFEYSGILCRHVLTVFTVTNVLTLPSHYILKRWTRNARSGLGSDERAIELHGQESLSSRFNNLCREAIRYAEEGATALETYNVAMTALKEAGKRVAIVKKNVAKVTPPSSQVSGAGYDERKTSASASDTTPLLWPRQDEVMRRFNLNDAGAPVQSIADLNYPHIAPVSLHRDDNPPDHMAVLPYLKSMTWVMENKNSTTGNRVAVINLKLQDYSRSPSAESEVKFQLSRVSLEPMLRSMAYISEQLSTPANKVAVINLKLQDTETTSGESEVKFQVSRDTLGAMLRSMAYIREQLSNAAETEPLPKKQRK >KGN65405 pep chromosome:ASM407v2:1:15080576:15084397:1 gene:Csa_1G414240 transcript:KGN65405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAQVLSIPTASFGFRARTSDGPTRTIAAAITQKPHNHNHNQDLVVGSKLAAADHPHRRPTKSRVDVDQLVKFLYDDLHHVFDEQGIDPTAYDEEIEFRDPITKYGDIRGYLLNIALLRQFFSPQIILHWVKKTGPYEITTRWTAAMKFALLPWKPECVLTGTSIMTINPNTGKFCRHVDLWDSVQNNDYFSIEGLWDVFKQFRFYETPELELPKYQTLKRTENYEVRKYGPFAAAERSGENLFECVNSIGGWGDCKEDDRIMELRNKGGIAAVLNFSGKATEEKVKNKAKELRHYLKKDGLKSVNNNSCLLVRYNDSNHTWSFVMRNEVLIWLQDFSI >KGN65099 pep chromosome:ASM407v2:1:11668891:11669100:-1 gene:Csa_1G212850 transcript:KGN65099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDWDWDWDWDWDWDWDWDWDWDWDWDWDWDWDWDWDWDWDWDWDWDWDWDWDWDWDWDWDWDWNWNWI >KGN65056 pep chromosome:ASM407v2:1:11270506:11274959:-1 gene:Csa_1G186610 transcript:KGN65056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGTHTSIPIPDHDPKAPLLSDADSPVHRSGSFRSTVKSIFNLKNLYVLLGPVLCAGVCYFVKLDGASGVGSRNMLGVLMWVFTWWLTEAVPMPVTSMSPLFLFPMFGIAAADEVAHSYMDDVIALVLGSFILALAVEHYNIHKRLALNVTLLFCGDPLNPPLLLLGICATTFFVSMWMHNVATAVMMMPVATGILHRFPIGSSRSPAETNFCKAVILGVTYATPIGGMSTLTGTGVNLILVGMWKSYFPEADPISFNTWSFFALPMALLIFFIFWAVLCLMYCPTGSGPALSTHLDKTQLKRELDALGPVAFAEKMVLAIFSILIFLWMTKNITDDIPGWGSLFDGRAGDGTVSVMMATLLFIIPNRKQEGEKLMDWNKCKKLPWGIILLLGAGFAIADGVNRSGLADILANALNFLEKAPYLAVAPAVCLVSSLITELVTSNNATTTLVIPILIQIASIMHLHPLFLMIPGAIGAQFAFLLPTATPSNVVGFSTGYIDIPDMIKIGLPLKIVGIAAVSLLMPSLGSLVFETNKPMQ >KGN66145 pep chromosome:ASM407v2:1:21438546:21439190:-1 gene:Csa_1G573640 transcript:KGN66145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPTFHQIEFRVQHVGPSNHRFHQVLEIQRRISHSLMFNFPPQIIHQTLPILLDYDNNFFPLHFNFFHSYGEPSSFTFYVAQLFSSLNINSIASEAMARNIFLIANQVANENSNASFHIAVIIDFINFTWMCPLSFSTRQLTGNEVSMVEQKKIGNDLGDCSICLDELSPSNREVVLKISCGHVYHELCILRWLDNSDSCPLCRRSVLFHFEN >KGN65861 pep chromosome:ASM407v2:1:19048646:19051387:1 gene:Csa_1G533680 transcript:KGN65861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKINLIKFWCIAIEQELEDAIYKAGGICDSNYGNLNKISWARSSRTDKGVHSLATTITLKMEIPGSAWIDDPCGISLADYINSYLPDNIKVFGIVPAQKRFDPRKECDVRMYSYLLPAKLIGIESKLKTTEIDCHISDFKDILKSFEGDHPFHNYTVRSIYRTRIRAKQLVYALKSGKKVSPGDMSNSEFEGSDQENDTFVEGIKEPDQRSPSLSVCENLTEVCSKLEDGSELLKGVRARWLHEPDEKDRIGASHFRRILYCSCGKLETYFGCDFIEISIWGESFMLHQIRKMIATAVAVKRKLLPRDIITLSLTKFSRIVLPIAPAEVLVLRGNSFSNRKRPGAPMRSELFTLNESDDIVNSVNEFYRSAILPEVTKFLDTESPPWKEWVEKLDKYTSIPNEELDEVRSAWKAWKYREH >KGN66454 pep chromosome:ASM407v2:1:23880600:23881450:1 gene:Csa_1G611800 transcript:KGN66454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSMKWNTPAPLPASPLHSADRPHQHASSLAVTGNQICAARRHYQNPPITIPPSGISSNSIPSSSFLRFLPDLRS >KGN66713 pep chromosome:ASM407v2:1:26745079:26746551:1 gene:Csa_1G662770 transcript:KGN66713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIIHLDNNHSLLSLILLVGLSSWSTFVDASSYNVVDFGGKGDGTTDSTQAFQTAWTNACASTKKATIYVPRGRYCLQNVTFSGPCKNKAIIFRIDGSMEAPSDYLVIGNSAAWVVFRHVDGMTILGGSLDGKGAALWACKNSSYNALCPTGATTLEFSNSKNVVVNNLKSLNSQMFHVAINGCENVMVKGLRVSASSNSPNTDGIHVQSSSNVTIINSKIGTGDDCISVGPGVSNLQIQNISCGPGHGISIGSLGKDVKEIGVQNVTIKNVVFSRTENGVRIKTWGKPSNGFATDIVFQHIVMNNVHNPIVIDQNYCPTHKGCPGQASGIKISNVTYQDIQGTSTTKVAIMFDCSPTNPCIGIKLENVSLTYKNQTAEARCNNARGIVVGQVQPTACL >KGN63905 pep chromosome:ASM407v2:1:2894728:2897162:-1 gene:Csa_1G025860 transcript:KGN63905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGMEAHKNKFIEDWSTARENLELNFRWTRRNLAIVGIFGIAVPYLVYKGIVREFHMQDEDAGRPYRKFF >KGN63637 pep chromosome:ASM407v2:1:1288089:1288481:-1 gene:Csa_1G008445 transcript:KGN63637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHATYAVVKQESARMENSYMVLSLKPLVHLMENRVQGWSSARERRFVLSKHKLKRKQDILLRCFRSWKPFTITIYSLSCKEVNKLLSYFCTSNLRRRNESLLILFATRVVASSFPIYCHVNSWLLYCLIA >KGN65415 pep chromosome:ASM407v2:1:15190835:15191266:1 gene:Csa_1G418810 transcript:KGN65415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSFSCCDSEKVDRQRLGSSGFNSSSWRMYTYKELHAATNGFHDDNKLGEGGFGSVYWGKTTDGLQMILLWAFGLCPLQYFS >KGN66177 pep chromosome:ASM407v2:1:21699664:21700517:-1 gene:Csa_1G574930 transcript:KGN66177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNRFPFALVNRSLLPQPYQPTVEFHLETVRRRTFGDHLTGDKRRAFLHTHESLFGV >KGN66904 pep chromosome:ASM407v2:1:28913749:28916700:1 gene:Csa_1G709160 transcript:KGN66904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFLGRRLIRPPSDPHFRAVTSVNTIGEDTFKIYPRALNITWGSDRRYWTIPRRDRTTDDEDEDYFAELKQVCWLEVTGSTNRDLVPEKTYKVSFGVSLGPDAFGWDDCSVYIMAKIGKKGNFRFQKVNLGIITTTTDPEISLIPFTELTVTVPTPRTNNNNDDLKLYFGLYDVWTNRWKGGLRIHYALVEMVIGSNNDTQTN >KGN66833 pep chromosome:ASM407v2:1:28132617:28144293:1 gene:Csa_1G699620 transcript:KGN66833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGSIGALVPTVKTEPSTLDSTPSSSPPPPPPLPPPPPPPPPSDLMPPQFQPDAANAAAPTPTPTPTTAPSHSNTDDLDKDMLCPICMQIIKDAFLTTCGHSFCYMCIVTHLRNKSDCPCCAHFLRSNSIFPNILLDKLLKKTSARQIKKTASPFGYLQQALQKGCDMSIKDLEELLTLLTEKKRKMEQEEAETNMQILLGFLYCLRKQKLEELNEIQADLHYIKEDISSVEKQRLELYRSKERYSGKMKMLADDTVSTKSRSSLIDKHGNGINSGAQRSQGWMSSGNSPNPRADAKIQLSSQGPLRKDAYCSSDLHSVAQSGLTVANKRRVHTQFNELQDCYLQKRRNWRKQLYKQEDRDIKFSGGESYNPSLEDFQSVLTSFMRYSRLRVIAELPHGDLFHSPNIVSSIEFDRDDEFFATAGVSRCIKVFEFSSVVNEPADVHFPVVEMATRSKLSCLSWNKYTKSHIASSDYEGIVTVWDVNTRQSVMEYEEHEKRAWSVDFSRSEPSMLVSGSDDCKVKIWCTRQEASVFNIDMKANVCSVKYNPGSSLYVAVGSADHNIHYYDLRNISQPLHVFNGHKKAVSYVKFLSNNELASASTDSTLRLWDVKENLPICVYRGHTNEKNFVGLTVNSEYIACGSETNEVFIYHKAISKPMAWHRFSSDLEDNDEDAGSYFISAVCWKSDSPTILTANSQGTIKVLVLAP >KGN65658 pep chromosome:ASM407v2:1:17316251:17320108:1 gene:Csa_1G479640 transcript:KGN65658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGDIKEEETQTWKKHSGGWITFPFIIGCLGCMTLTAGGFLANLIVYLIKEYNINSINATLIFNIVNGCVCAFSIIGAVLADSFFGSFFVVAISTSIALLGMISLTLTATIHSLRPQSCDHINTSSTCSSPSKLQYTILYISIILASLGCGGSRFTTATLGANQYDTIKNQNIFINWFFVALYSGFVASSTAIVYIQDNVSWGWGFGICLATNIISLAIFLIGKRFYRLDKPRGSPFTALARVLVANALKRLDRAPSSTERDNGCYYQGKDHHLRNQAGDGVLTKSFRCLNRAALITQGDVHLDGTIAKPWRLCKVQEVEDFKTLLKIFPLWTTSIFLAVPIVFQASLIVLQALTMDRHLGPNFKIPAGSFSVVIFISTTMISLTQIN >KGN64171 pep chromosome:ASM407v2:1:4494646:4497727:-1 gene:Csa_1G042780 transcript:KGN64171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWMMGYNDAQDLNFPSDNSFNSRKLRPLIPRPLSSSSSSSHTNDFFSQFHHMATSVADQSKRELNNVLSPSSSPPVVVSSRWNPTPEQLRVLEELYRRGTRTPSADQIQHITSQLRRYGKIEGKNVFYWFQNHKARERQKRRRQMESSAITTADHSTRDLDVLERKDSGSGRTVYEEQTKNWVPSTNCSTLPEESVPIQGAAKGNVMGATDCRTENGWIQFEELHQIRNNNTNTNNFAEKDTNNIIINNNNNNINSPPSLQLLHCFPATAALRGGSQLMMNIAPITTTATVTTIMHPKCHRRSNINVISGNFDVIGVEEELEEEEEEEAECGESETLQLFPLCSDRRNGGEIVGGKNNNGCETVENSGMNSTNLTPLQFFEFLPLKN >KGN66004 pep chromosome:ASM407v2:1:20493549:20494027:-1 gene:Csa_1G560860 transcript:KGN66004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQRVCLAWHQTLCEFSLCNCKRKKKREKVAYQDFHKPAFGGEDPNSPILKNKEQLQRLPIKTRGHPTWAITTREVPTSIIKISGYAAKLQDLVQNQN >KGN63697 pep chromosome:ASM407v2:1:1613065:1615990:1 gene:Csa_1G011490 transcript:KGN63697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKILAHLIVSGSVVIGRAVAQAYQQAIRNASNSGVAQETIRNTVRRASKVMTEQEARQILGVTEEMPWEEIVKKYDALFERNAQTGSFYLQSKVHRAKERLETLHHSKGQDGPSCVS >KGN63510 pep chromosome:ASM407v2:1:495815:497198:1 gene:Csa_1G002790 transcript:KGN63510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDVGVEIIAVDIIKPSSSTPNYFQLSLIDQMAPPSYMPAIFFYLPQNSQNIVHQIRASLSRTLTRFYPLAGTMPERFYVDCDDSGVEFSEGKIDCEISVLLKNPEIRILHRLLPLVFTSHSADSKFLLAVRLTHFICGGVAVAVCLSHKLADGASAAGFVKAWAAEARGGNSNSILEPNFDAVKLFPCRKIPGFKRGIEASKEKISTKRFVFAKSDIDVLKSLAVGTGGGSVAKPPSRVVAVSAFIWLRLMALARTRPVKAKVFGALYPVDLRARMDPPLPENSFGNVSWFTIATSPVEINEDLPLLVAKVRTAIQEIDSGFVKKLEDSEHLLELMKQVDKQLSSVVLMDTSDGDGVEAWVNLKEEDMAIFEKDEELLSFCSD >KGN66229 pep chromosome:ASM407v2:1:22060294:22061405:1 gene:Csa_1G587400 transcript:KGN66229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGTRFVIEAPEAESLAKQSALTIPLLLPTLVKSAQSLARPPISKYHVGAVGLGSSGRVFFGVNLEFPGLPLHQSVHAEQFLVTNLALNAESHLNYLAVSAAPCGHCRQFLQEVRSSADIKILVSDSGSDSGSDSKPDVYVPLPQFLPHRFGPYDLLAKDVPLLLEPRFNGLSLPNETAENNKLCNGNHGENLEKLKRAALDAANMSHAPYSKCPSGVALMDDNGRIYNGPYMESAAYNPSMGPVQAAIVAYIAGGGAGYERIVAAVLVEKDGVEVKQERAARLLLETISPECEFTVVHCIAAV >KGN65244 pep chromosome:ASM407v2:1:13071873:13075066:1 gene:Csa_1G269860 transcript:KGN65244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLRANHKLRKKGGAPQTHSIDCPVLYLSSFCLSFRSLLNFALSTFYHNNAPNPFFSSVSLFTGGAEMAAEMERKREQKGRRVTEESEHTLQPNKGQMSIGYRSVSLPRVPSSKGVTQVLNMATISRFGCLKGLLFSSPRYGVSNPENFPIQHLFDVGRQQIAYVSLHFRLTITIATPIVRYRGFVSLQYFCMKYRKHSFLLLSSIFCCKNKEFCHMMC >KGN64544 pep chromosome:ASM407v2:1:6771358:6774643:-1 gene:Csa_1G064170 transcript:KGN64544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACNLVNNAAITNQLVAKSHVNVGKLGTGPNILATANKNYMRTIKMQLVIDDPKKSQNLGFGELGKFGKFGGKFVPESLITCLGKLEAEFNLVLNDSKFQEELEVALRDFVGRETPLYYAERLTKHYKNEEGKGPEIYIKREDLNHCGAHKMNNAIAQVMIAKRMGRKSVVAATGAGQHGVATAAACAKHDLDCTIFMGTEDIKKQSSNVLLIKMLGAKVKAVEGNFKDASSEAIRGWVGNLETSYYLTGTVVGPHPCPAMVREFQSVIGKETRRQAMEKWGAKPDVLLACIGSGSNALGLFHEFINEKDVRLIGVEAAGFGLDSGKHSATLSKGHVGVYHGALSYLLQDDEGQILNPHSVGVGLEYPGVGPELSFLKDSGRAEFETASDTEAVEAYKLLAKLEGIFPALEASHAFAYLHKLCPTLPDGCKVVVNCSGRGDKDAAIVFNYHQHNH >KGN63800 pep chromosome:ASM407v2:1:2244861:2254318:1 gene:Csa_1G021900 transcript:KGN63800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTWRRVLKSVQALLAHGSLFCFTLLLVLKLDHFVSHSWWVVFFPLWVFHVVVARGRFSLPAPSLPHNRHWAPCHAVVATPLLIAFELLLCVYLESLSVYGFTAVNLKIVFLPLLAFEIIILIDNFRMCRSLMPGDDESMSDEAIWETLPHFWVAISMVFFVAATVFTLLKLSGNVGALGWWDLFINFGIAECFAFLVCTKWSNPAIHRNIQTRERCSSSSVVRYLDWNSGLIVYPEQDQHQDRICGLQDIGGHIMKIPIIIFQILLCMYLEGTPAAAKNMPLPILFSPLFMLQGAAVIYATSRLLEKAVLLLRGGSGTALYFSFSIRAHACLEFFHHGSRLLGWWSIDESSREEQARLYHEGASGYNTFSGYPPEVVKKMPKKDLAEEEKVLCRVCFEGEISVVLLPCRHRVLCSSCSEKCKKCPICRVVIEERLPVYDV >KGN63507 pep chromosome:ASM407v2:1:484626:487073:-1 gene:Csa_1G002760 transcript:KGN63507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLHHLIPKSTLLPIFFTLSSRKPNLPEVASLCTMPNFCAHITPAVRENASTKTPTTTIPPASAYIHLPFCRKRCHYCDFPIVALGSSSSQTDDDPRIQHYVELLCREINATKSEFQTNQPLETVFFGGGTPSLVPPRLVSVILDVLRTKFGLAKDAEISIEMDPGTFDAKKMEGLMKLDVNRVSLGVQAFQEELLKACGRAHGVDEVYEAIEIIKFCGPKNWSMDLISSLPHQTASMWEESLRLTIEAQPTHVSVYDLQVEEDTKFGILYKPGEFPLPSDTDSAGFYRMASRALAEGGYSHYEISSYCKSSFECKHNSTYWKNNPFYGFGLGAASYLGGLRFSRPRKLKEYTNYVQNLEKGLVDCRGNNDVDVKDMAMDVVMLSLRTSSGLDLQSFGKVYDGRLVHELCRAYEPYIKSGHVVCLDEQRRALTVDECDGLLLRKEDNFTRLRHIRLSDPDGFLLSNELISLAFRVISP >KGN64565 pep chromosome:ASM407v2:1:6884936:6887690:1 gene:Csa_1G064870 transcript:KGN64565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLASPAMCSLTPIILSLLCFTSFFTPILVIAQPDFQLYICVQDQGNFTTNSTYKANLDHILSDLTTHDQTNNDFAGFYNFSYGLTHTANVIGLCRGDLMPDACTRCLNNSRTLLPSLCPNYYEAIGWYDECMLRYSNRSIFNKMETFPSFYAWNPSNASDPNRFIQVSRTLVQQLTTNATSGDSRQKFATGISTIPNFPTIYGAVQCTPDLSAEDCSMCLLGALDDIRSCCYGKAGGRVQTPSCNFRFESYLFYQLPSVASSPSPHIAPSPSQSNSNTPPKPSRTLVMVVVPIIVGVVVAVVILTFSIYIFMKKTKERKATNHAAASSMTPEDGDTDEGSSQFDFDTIKTATDGFSEANKLGEGGFGVVYKGRLPNGETIAVKRLSRASSQGDNEFKNEILLVAKLQHRNLVQLLGFCIRGNEKVLIYEFVENSSLEKFLFSPKKCVSLDWITRYKIIGGITRGLVYLHEESQLRIIHRDLKASNILLDADMNAKISDFGTARLFLHDQTRGDTRKVVGTYMSEILFSLEKLCLEKLAKWNHSKYHRPHFDKFTILLMLNRYSFILPRPSQPAFLLTSINSHTSEQLNHSSTDQERNDMSTTDLYPR >KGN65873 pep chromosome:ASM407v2:1:19173584:19181833:1 gene:Csa_1G534790 transcript:KGN65873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGGVRLKAASSSNGGRTRVGKYELGKTLGEGNFAKVKFARNSETGENVAIKILDKEKVLKHKMISQIKREISTMKLIRHPNVIRMIEVMASKTKIYIVLEFVTGGELFDKIVSRGRMKEDETRKYFQQLINAVDYCHSRGVFHRDLKPENLLLDANGVLKVSDFGLSALPQQVREDGLLHTTCGTPNYVAPEVINNKGYDGAKADLWSCGVILFVLMAGYLPFEDSNLVALYKKIHKADFTCPPWFSTNAKKLIKRILDPNPSTRITIPEVIENEWFRKGYKPPTFEQADISLDDVDAIFNETGDSGSLVVERKEEGSKSPLTMNAFELISKSQGLNLSSLFEKQMGIVKRETRFTSNRPAKEILSKIEETALPLGFDVKKNNYKMKLQGEKTGRKGHLSVATEIYEVAPSLYMVELRKAGGDTLEFHKFYKSLKTGLKDIVWRHEEDLDGDTGASVSQSSR >KGN66763 pep chromosome:ASM407v2:1:27424826:27428519:1 gene:Csa_1G680100 transcript:KGN66763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLGRMPLCGALQISLSLSSSLLNEAKNEKYKDLRALLQLLSNLCSKDLVDFSSDNSDVPATDISQVVYFGLHIISPLISLDLLKYPKLCRDYFSLLSHLLEVYPETVAKLNHEAFAQVLRTLDFGLHHQDTEVVDMCLKALKSLASYHLKEIGSGKIGLGSQVITRKDASDSEAVQEGILSGFLKSLLQLLLFEDYSPDLVGNAADALFPLILCDQGLYQKLATELIERQENPIFKSRIVNALHSLTSANQLSSVLDRINSQRFRKNLHNFLIEVRGFLRTV >KGN64832 pep chromosome:ASM407v2:1:9169805:9170409:1 gene:Csa_1G123470 transcript:KGN64832 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystatin Hv-CPI6 MGRAEPIKDVNDSEVKFAAGQAVKIHNDQKHDTLVFIRVVNGLKQEVDTAKLYSLLIEATNGDGTHWGYIAKIKLWPKAPSHYDPYSHTFELISWEDPLKFWN >KGN63597 pep chromosome:ASM407v2:1:1048146:1052865:-1 gene:Csa_1G005580 transcript:KGN63597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPERSTLRVSNVPESAIAQDLLNFLNSKLGPDSVFAIEIFTERKNWKSRGSGRVQFTTLEAKAKAMSLSVQNSLVFGTQNLRFSLINDDIVVRPVHATNRTENGVLHVGFMLKEERMSVLESWEGVKAWIMPERRRIEFWIWHEQECYKLEVMFEEILETTGHCLGEEKLNALLLKLKYAPRIYKKISGSNMASRFSSTRYRLCIEDYDYLWVRTTEFSPMRSVGQSTSFCWEVEEDLQASDIFSCFPFYKETQKDIVLEDGEEFCSTSEIVPLIKSGLGSNLAYEVEYQLNSLVHMQKISLSAANQDLIDFLCTLDIDTALNVLQRLHQLKFVCYDPLSFLKTQLHVYKRNCKSLPPSSQKRLSNNVMNCYRVLVTPSRIYCLGPELETSNYVVKNFSSYASDFMRVTFVEEDWSKLPAGAVTTSIQRGILSKPYRTEIYHRIMTVLRDGIVIGAKRFEFLAFSASQLRSNSVWMFASSDNLKAEEIRQWMGCFEKIRSISKCAARMGQLFSSSTQTLVVPTRDVEIIPDIEVNTDGIDYCFSDGIGKISLSFARQVADKCGVNHIPSAFQIRYGGYKGVIAVDRNSFRKLSLRDSMLKFESKNKMLNVTKCCDSMPCYLNREIATLLSTLGVKDESFEALQQEQLHLLKRMLTDKDVALNVLENFHGADSNNILVQMLNHGYEPNIEPYLSMMLQAHYWNLFSDLRSRCRIFVPKGRILLGCLDETGILNYGQVYACITLTKSELQSRNQNYFHTIDETKSILLGKVVVTKNPCLHPGDVRVLEAIFHVELEEKGLVDCLIFPQKGARPHTNECSGGDLDGDLYFISWDENLIPPKTEAPMDYTGRRPRIMDHDVKLEEIQKFFVDYMINDTLGAISTAHLVHADREPKKALSAKCLELAALHSMAVDFAKTGAPAEMPRVLKPREFPDFMERFDKPMYISSNVLGKLYRAAVKSIEQERSRLVWSEEAARAIYDYDLEVDGFEAFLEIAETYKEMYIEKMSILMNYYGAEYEDEILMGDLRSRASYLQRDNRKYGDMKDRILLSVKNLRKEVKEWFENSCDPLNRRMMASAWYHVTYHPSYFKEDMFYFSFPWAVSDVLLNIKAMNSKRHQA >KGN63852 pep chromosome:ASM407v2:1:2559137:2565534:1 gene:Csa_1G024840 transcript:KGN63852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPIRDFWKRHKRKILITAGVLGSGYFLYRQYDYYSRRLHDIQRELDNEREADELIKAQIQAHFENIQRIADTTTLPHAIQHLHNRIEEDLDLSHLTERLMMGKGQPNTLTLAEKLDLWENLKILSFTKMVISLWAITVLSLYIRVQVNILGRHMYIDTARGLGSSHLLEEADLIDREDQQKFLAISDFLCNYGLNALIPKLQVAVGEVLKRKQLRDVFNTTVLRETTTQIIKIFMSTASPHHWLDYVMPGDNQSSITDTLSTDDDAVAPNFNKFDQLMMETRAVLLSVEFGNIMERSLEVGVDGLMEEMEASLAGGSSKASGIPLARLVPRVAQMGLLLLEDPIKSRFIQMIRGIPEVEIFFTLLYANMPAA >KGN64092 pep chromosome:ASM407v2:1:4024388:4027970:1 gene:Csa_1G041540 transcript:KGN64092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCSFSGLNALYDAVNGGGDVWINENRFRIVRQLGEGGFAFVFLVKEVVSDSSSPSGGGLAKKLKDPSRLSGDGTYALKKVLIQSSEQLELVREEIRISSLFSHPNLLPLLDHAIIAVKSSEGSVKHEAYLLFPVHLDGTLLDNAQTMKAKKEFFSTLDVLEIFRQLCAGLKHMHSNEPPYAHNDVKPGNVLLTHRKGMSPLAILMDFGSTRPARKEIRSRSEALQLQEWASEHCSAPFRAPELWDCPSHADIDERTDIWSLGCTLYAIMYGLTPFEYALGESGGSLQLAIVNAQIKWPAGPNPPYPDALHQFIKWMLQPQAAVRPQIDDIVIHVDKLIAKFSN >KGN64287 pep chromosome:ASM407v2:1:5173685:5174116:1 gene:Csa_1G045850 transcript:KGN64287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKALDFSSTFEEITKHPTKIIIIIIIIITIIMSSSSSSSFIIITPSPSPPPSPFLLHLLSPNPNSQLINTLIINEKQGSISLHPNPSLNKKLALLGNQASWSDDF >KGN65031 pep chromosome:ASM407v2:1:11054449:11069365:-1 gene:Csa_1G181440 transcript:KGN65031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQWSILPSWVGRKFWSKRILKPFPSHSTLPAARPPFQSLASLHLFDSKPSPAIQRPVVPDSFACRQSTSLPSLSSISFSCFSPLYHLLRAMARNLVPFLVLLFWISICQAANIFQPISDSHRYAALELFRPTDGVFGSLEETHEALKTFDILGIQEKPDINAATCKSVSEVLGSSAVLKDLFYALRVNDVLKCDIKEETFESITSRLTTALNDARSLFDFYYSIGSLLLLKERSSGIDLSLNDADGTFQSIKALSQSDGRWRYSSNNPESSTYAAGLALESLAGVVSLASSDIDQNMIDTVKNDILKLFDSIEKYDDGALYFEEKVVDAYEHHGPLATTSSVVRGLTAFTSVTSGSLNLPGDTIFGLAKFFLGIGIPGDAKDLFDQIDSLACLETNRFSIPLILSLPATVLSLTKEDKLKVSMNTVLGSAAPPLTVKIVKAFLSGSKDTSIISSQELKFDPLSGLHILDAFPKTFDVGNYVFVFEVVLHDSEHMDKYATGGKIQVPIYISGVVKIENAEIAVLDSDLGSVETQKKLDLVGESVVSLSANHLQKLRLLFQLATPQGHGFKPHQAFLKLRHESGVEHIFVVNGSGKKFELILDFLGLVEKFFYLSGQYDIQLTVGDAVMENSFLRVIGHIDLDLPEAPEKAARPPLQPVDLYTRYGPKAEISHIFRAPEKRPPKELSLTFLGLTFLPFLGFLVGLLRLGVNLKNFPTSTVPATFAFLFHLSIAAVILLYTFFWLKLDLFTTLKAVGILGILLVFLGHRTLSHLASTSAKLKSA >KGN63466 pep chromosome:ASM407v2:1:204045:208969:-1 gene:Csa_1G001380 transcript:KGN63466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVNIEDKKADDEAPVQASRETKDEDTSQAQISGKEVTAVPGKQEGSSAEGGTEEVNGDAKADDQKGDPEIKGVGEEQGTAANDEVEETNSDNKGEGSGEEEDEGDNEVETEGEGEREEREVPKKGSKTDAKKDKKVSAKSIKNSVEKKGKKDSSLKEPVTPTIERPARERKTVERYSVPSPGRFGKSSAGKALSIEKGRGTTLKDIPNVAFKLSKRKADDNLQLLHTILFGKKAKAQTLKRNISQFSGYIWVENEEKQRSKVKEKIDKCVKEKLVDFCDVLNIPINKATVKKEELSAKLLEFLESPHATTDVLLADKKQKVKKRRRSSSGKAVGSGESTEVPAKKQKSQPTKKRKHTSDVEEEEEDDKVEASNEKDVSQDKEDDDDDETGSKEEIDEKDKSDEDDKTPEKMPSPKKPSKKAGKDSGSKSVEKSSSTKKVTVKSAKEVAKSAKEFAKPTKKSSNSASKKDAVKSVASPSKPKGSSKKQNVDEKKPLKEKSSGKKQTSKAPAKISVEEQGKGKSSKKAKKEPSREEMHEVVVNILKQVDFNTATLSDILRQLGTHFGVDLMHRKAEVKDIITDVINNMSDEEEEADDDGGDDTDKDEDKDEDA >KGN65011 pep chromosome:ASM407v2:1:10915624:10920329:1 gene:Csa_1G180750 transcript:KGN65011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKTPPNQDSSAADKFNGGGELPSEDRCSVEEVALVVPETDDPTLPVMTFRSWVLGLASCCLLIFLNTFFTYRSQPLAISAILMQIAVLPIGRFMASTLPNRDFHFLRWKFSLNPGPFNMKEHVIITIFANCGISYGGGDAYSIGAITVMKAYYKQSLNFFLALLIVLTTQVLGYGWAGMLRRYLVDPVEMWWPANLAQVSLFRALHEKEDKSKGMTRMKFFLIFMGASFIYYAFPGYLLQILSFFSWVCWVWPHSITAQQIGSSKGGLGLGAFSFDWAGISAYHGSPLVSPWFSILNVGVGFVMFIYIIVPVCYWKFNTFDARKFPIFSNQLFTRTGQKYDTKKVLTPDFELNVAAYNSYGKLYLSPLFALSIGSGFARFTATLTHVALFHGRDIWKQSRAAIKNAKLDIHAKLMQRYKQVPEWWFLILLFGSIALSLLMSFVWKDTVQLPWWGMLFAFAMAWIVTLPIGVIQATTNQQPGYDIIAQFIIGYILPGKPIANLLFKIYGRISTVHALSFLSDLKLGHYMKIPPRCMYTAQLVGTLVAGTINLAVAWWMLDSIENICDDDALHAESPWTCPKYRVTFDASVIWGLIGPRRLFGPGGLYRNLVWLFLIGAVLPVPIWALSKAFPEKKWIALINIPVISYGFAGMPPATPTNIASWLMTGTVFNYFVFRYHKRWWQKYNYVLSAALDAGTAFMGVLLFFALQNAGHNLRWWGSQPDHCPLAKCPTAPGISVKGCPVF >KGN64521 pep chromosome:ASM407v2:1:6655939:6658085:1 gene:Csa_1G062960 transcript:KGN64521 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase MGSKALCVFFFLFFVAVSFRYASAQDEEDNGLVMNFYKDTCPQAEDIIKEQVRLLYKRHKNTAFSWLRNIFHDCAVQSCDASLLLDSTRRTLSEKETDRSFGLRNFRYIETIKEAVERECPGVVSCADILVLSARDGIVSLGGPYIPLKTGRRDGRKSRADILEEYLPDHNESMSVVLERFGAMGIDTSGVVALLGAHSVGRTHCVKLVHRLYPEVDPVLNPGHVEHMLYKCPDEIPDPKAVQYVRNDRGTPMILDNNYYRNILDNKGLLIVDHQLATDKRTKPYVKKMAKKQDYFFKEFSRAITILSENNPLTGTKGEIRKQCNVANKLH >KGN66082 pep chromosome:ASM407v2:1:21042118:21049842:1 gene:Csa_1G570070 transcript:KGN66082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIGNKVKLNAGWLAARSTEVNLTGTQLTTTHPPSITPSSPWMEASVPGTVLGTLVKNKVVPDPFYGLANETIIDIADSGREYYTFWFFTTFQCKLQSESQHLDLNFRAINYSAEVYINGHKKVLPKGMFRRHSLDVSEVLHTDGKNLLAVLVHPPDHPGRIPDKGGQGGDHEIGKDVAAQYVEGWDWMTPIRDRNTGIWDEVSISRTGPVKIIDPHLVSTFFDDYKRVYLHATIEIQNRSSWVSDCSVKIQVTAELEGNICLVEHLQAQKVSVPTGSIIQYTFPQLYFYKPNLWWPNGMGKQYLYNVVISIDVDGFGESDSWSHDFGFRKIESDIDPATGGRLFKVNGQRIFIRGGNWILSDGLLRLSEKRYHTDIKFHADMNFNMIRCWGGGLAERPEFYHYCDIYGLLVWQEFWITGDVDGRGDPKSNPDGPLDHDLFLLCARDTVKLLRNHPSLALWVGGNEQVPPPDINDALKSDLKLHPHFQPSENNQLMSVLSLTAEDPSEYLDGTRIYIQGSMWDGFANGKGDFSDGPYEIQYPENFFKDNFYNYGFNPEVGSVGMPVAATIRATMPPEGWRIPLFKKLPSGYIEEVPNPIWDYHKYIPYSKPCHVQSQIELYGSPKDLDDFCLKAQLANYIQYRALIEGWNSRMWKKYTGFLIWKTQNPWTGLRGQFYDHLLDQTAGFFGCRCAAEPIHVQLNLCTYFIEVVNTTSNEISGVAIEASVWDLEGMCPYFKVFEKLSLPPKQTSSIAEMEYPTYKNSKPVYFLLLKLYKVSNDGIISRNFYWLHQFGGDYKKLEPYRKINIPIQVTSKVNIKGSSYEVRMNVQNNSKNAESSRLTYKNNFINRQDLGDLDSNSLLLENKEQTNEKCSTSFFSKIWRRGSIENNSSRLVETNGNDVGVAFFLHFEVHDSKAEENEEGDTRILPVHYSDNYFSLVPGEAMSINLSFEAPPGVTPKITLHGWNLSQSFTVC >KGN64172 pep chromosome:ASM407v2:1:4506504:4509373:1 gene:Csa_1G042790 transcript:KGN64172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREILHIQGGQCGNQIGSKFWEVVCDEHGIDPTGRYAGNSELQLERANVYYNEASGGRYVPRAVLMDLEPGTMDAVRTGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDSLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMMNVQNKNSSYFVEWIPNNVKSSVCDIPPKGFPMASTFVGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATADEDLDYEDDVGDAEN >KGN65126 pep chromosome:ASM407v2:1:12031084:12034246:-1 gene:Csa_1G229510 transcript:KGN65126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMETDLIRFPFLPGFGKMGIIFPDEMATPDGTNMESSGKDIMVIHHDEDHDLTTPNGENMVAREDELMETPDTGKLGSPDGHNELALVEVQPNKRRKKKSIVWEHFTIESVSADCRRAYCKQCKQSFAYSTGSKVAGTSHLKRHIAKGTCSALLRGQDKNQMISTYTPPSRGTVSETPKRRYKTSSSPYVVFDQDRCRQDIARMVIMHDYPLHMVEHPGFVSFVKNLQPRFNMVSFNTVQGDCVGTYLMEKQNLNKFIEAIPGRICLTLDMWSSSRSVGYVFIMGSFIDSEWRLHRRVLNVVMEPYPESTNALSHAVAVCLSDWRLEGKLFSLTFNQRQNEAALDNLRPLIAIKNPLILNGQFLVGSCIAWTLSDIAKDVLESGENTITKIRDSVKYVTTSDSHEEKFLELKQQLQVPSERNLFLDDQTQWNTTYHMLVAASEMKEVFSCLDTSDPDYREAPSLEDWKLVEILCTYLKLLFDAANILTTTTHPSAITVFHEVWRIHSELARAITNEDPFISSLSKMMLEKFDKYWKDCSIVLAAAVVMDPRFKMKLVEFSFTKVYGEEAPAYIKVVDDGIHELFDEYVALPLPLTPTYAEDGSVDGNMKGEDSQGNLLSDNGFTDFDVYIMETTSQQMKSELDRYLEDSLLPRVQDFDLLGWWKLNKFKYPTLSKMARDILSIPVSTLPPDSVFITRRKKMDQYRSSLRPETVEAMICSKDWMQCGLSEVSNALGAVGWVHLVQAIAVID >KGN64764 pep chromosome:ASM407v2:1:8394420:8396753:1 gene:Csa_1G095010 transcript:KGN64764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHGSFTDETKSTFSVADEDHTLANTLRYTLNQDPRVTFCGYSIPHPSDNRVNIRVQTTGDPAREVLKDACQNLMVVCQHVRNTFDKAVLDVKLTNPVRDINIK >KGN64160 pep chromosome:ASM407v2:1:4432893:4436764:-1 gene:Csa_1G042680 transcript:KGN64160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANNNNGDILMLEAPPQPSQPAWRVSADAEVIDALPYIDDDYADPRVKAEVDRLVEEEMRRSSKRPADFLKDLPPLPKFKFEDHPMLAREYERVRAGRPPVPLDVARYKLETPPPNKKNDETAWKQTLQKAQCLLRHQVLRLENLDLMSKYGPDIWKQHNKQLEALLSRMQKLAQEQNEKIEKVNRERKFHQQNAAYELHALSTQWKELCEKNMEIQVACFQLEGQIQELRREAAEKGWNLEVNVENGSVSLPVS >KGN64968 pep chromosome:ASM407v2:1:10520042:10520800:-1 gene:Csa_1G169920 transcript:KGN64968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSTNGRWPPPHPETQTHPHCFNFSPFIRGFAAGITLLLFIVCIIYILQYLIFRPILLALRLDSLQFVNFSATAAAPSWVVGFSINNPNKKLAISFRNLESSIYYKDNIIAQARTRRFLLPPRNSTTLVSPFIADLLVDESVLNDIHGDLERGTIDFTVVVLGYANVEIGVWRPIGTDIRVVCSDLSVKFSWPPGLSGRSGQLVGGSRQCHLH >KGN66123 pep chromosome:ASM407v2:1:21309766:21322127:-1 gene:Csa_1G572440 transcript:KGN66123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMKKKMMRMMRISKGNLLKSWLICGVVGLVVMLGSVVWLANSSSFNSPMRILVDTDVDTDDIFALLYLLKQPSSLFHLQGITINGNGWSDAGHAVNHLYDMLFMMGRDDIPVGVGGDGGISPNATISTNLGGYLPLIDQGVSTAGQCRYRQAIPVGGRLNANTNFGLRKHFLPQGKRRYIPMKQPTAQQVMKDAISAGPTVVFLMGAHTNLAIFLLSNPHLKKNIKHVYAMGGAIREICSESADKSHGKTCNNIGNLWPPNTNPYAEFNIFGDPFAAYTVLHSGIPVTLVPLDATSTIPVNKEVFLAFEQRQNTYEAKYCFQSLKMAHDTWPSSGFFEIYSMWDSFMVGVALSQMYNLHRGGGNNAFSKMEYLNITIVTSNKPYGISDGSNPLVDGHLLPTLGFQMNGVHSGHVQTGMLDPFCLASTGKGKCQDGYTKESDGSESVQVLVAVEAKSTIDTNSSIDKAFYISFLDVLNSPRQTGRFDFRAQFPNYREVLYRPKFGKRLLGKPVIFDMDMSTGDFLTLLYLLKTPIEIINLKGIIISPNGWATAATIDVVYDVLHMMGRDDISVGLGDVFAIGEAHPLYPPIGDCKYTKAIPLGSGGLLDSDTLYGFARDLPRSPRRYTAENSVKFGAFRDTDHPELRQMSTLDVWKDVVQSLNLDAKITVLTNGPLTNLAKIIQHKAISARIEEVYITGGHLNYGVDKGNLFTIPSNEYSEFNFFLDPIAADLVFSSGLNITLIPLNVQRRVSSFHKILRKLKLRNRTPEAWLSRRLLYRLYDLKQKHHQYHHVDMFLGEVLGAVSLAGKHLNLKQTFSFKPLKVISNGGESKVGQTIIDEKKGKWVRVLESIEPLAFYEDIANALADEKQTAVIASFES >KGN66141 pep chromosome:ASM407v2:1:21403444:21406495:1 gene:Csa_1G573600 transcript:KGN66141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKAVAVLGSSEGVSGTIFFTQEGDGPTTVTGNVSGLKPGLHGFHVHALGDTTNGCMSTGPHFNPAGKQHGAPEDENRHAGDLGNIIVGEDGKANFTITDCQIPLCGHESIIGRAVVVHGDPDDLGKGGHELSSSTGNAGARVACGIIGLQG >KGN64061 pep chromosome:ASM407v2:1:3859295:3861803:1 gene:Csa_1G039260 transcript:KGN64061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAASSLLQTLRRYIKKPWEITGPCADPEYRSAVPSALEYRVVCPATIKEKAIIPTSNPETVFDIKYYTRDQRRNRPPIRRTILKKPDVEKMMKEIKFDPADFPKVYLTAAIEEDMNTHGGGYQK >KGN64947 pep chromosome:ASM407v2:1:10363098:10364015:1 gene:Csa_1G166760 transcript:KGN64947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAACGSLQHIFENPLPENSTLFEHLSSWNQIMPLKPTEPSSFTEIFGELHFKESFVPHSFSSLSSLTESSNSPSAVDDIWKEPSSNGEREPSSFHRKSNSFSSTNSESLQLCTEGLGYESLDDVEDLKGVMDEKEWQEDEEERTLKKQINYPNLKPGMINRTKLANMEDFPPPISCMGKSGKPWVGFKSYRYGGRFILKEVRVPTHEFLHASRENGRLKLHILVPNEQTREGEDEADDNNKNCENTIGKCKVETRP >KGN65172 pep chromosome:ASM407v2:1:12578916:12580142:-1 gene:Csa_1G257290 transcript:KGN65172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDMERQIENMEISERRMTINCGKGLPPLIAKTIFSKLVISDLPSCRLVSNTWNDLVLDYASSTQLQFLKNAFLLSTSDRGLNYKLCNPKMHCINLDTRQLSNDFDFDLESEFIKSASLQFDGDWTYTIIMAGSCNGLMLISKCSDYTWCQGIFNPMTNEFLQVSEHGTFDDFYLYGFGFSPITKQYKLFRVFDKGFRCRRTSSTVDTHYTMEVLTFGRSGTNHSIPIHNQWRRLHTLPFEIVADGVYLNGIIYWLVKEKGKDKEKKYVIYALDVETEHIEMTVVLQVPSNGYGKIHQFNGTIYATFHINWEKDSRTIQVWRMQEKDSWVRKFVICDISREWIHLQLIKMFEDEEILFMINMDFFCFYNPSSKKKRIISKNQKKKRYICQIESLNFGRLSQILEGTQI >KGN66914 pep chromosome:ASM407v2:1:29064607:29067686:-1 gene:Csa_1G714710 transcript:KGN66914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTLSPNMPLHIASETILKLLRARFHHKCQGQIVHNTSRALDLEARLARLEERSRHAQINDESLCDSCHARLGTKLFAMYPDDTVVCYKCYRRQGESTSVTGRNFKQDILIKPGWLVMD >KGN65931 pep chromosome:ASM407v2:1:19807058:19820637:-1 gene:Csa_1G538780 transcript:KGN65931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNGDIESGEEEFHGQRGRKYRPVEAHDRAVLEMSSMDPGSSSSSSSVPPIRHPSLKKVKVGSQTGTEKEGNSPTRIDVNGPQRESKLEFFGFDSLVNILGLKSMMGEQIQAPSSPRDGENVSMTQGLPKTNEVKSGTLMGVFIPCLQNILGIIYYIRFSWIVGMAGIGESLVLVAFCGLCTFLTSISLSAIATNGAMKGGGPYYLIGRALGPEVGVSIGLCFFLGNAVAGALYVLGAVETFLNAVPAAGIFRETVTKVNGTTVEPIQSPSSHDLQVYGIIVTILLCFIVFGGVKMINRVAPAFLIPVLFSVLCIFLGVFLAGKNDPTEGVTGLSLESFKENWSSDYQMTNSAGIPDPLGKVYWNFNALVGLFFPAVTGIMAGSNRSASLKDTQRSIPIGTLAATLTTTVMYLVSVLLFGALATRKKLLTDRLLTATVAWPFPAIIYVGIILSTLGAALQSLTGAPRLLAAIANDDILPILNYFKVADGSEPYFATLFTAFLCIGCVIIGNLDLITPTVTMFFLLCYAGVNLSCFLLDLLDAPSWRPRWRFHHWSLSLLGASLCVVIMFLISWSFTIVSLALASLIYYYVCLRGKAGDWGDGFKSAYFQLALRSLRSLGASQVHPKNWYPIPLIFCRPWGKLPENVPCHPKLADFANCMKKKGRGMSIFVSILDGDYHERVEDGKAACKQLATYIDYKRCEGVAEIVVAPTMSEGFRGIVQTMGLGNLKPNIVVMRYPEIWRRENLIEIPATFVGIINDCIDANKAVVIVKGLDEWPNEFQRQYGTIDLYWIVRDGGLMLLLSQLLLTKESFESCKIQVFCIAEEDSDAEGLKADVKKFLYDLRMQAEVIVITIKSWDTQVEGGQQDESMEAFTAAQGRIASYLSEMKETAESRGTTLMADGKPVSVNEQQVEKFLNTTLKLNSTILRYSRMAAVVLVSLPPPPVNHPAYFYMEYLDLLVENVPRLLIVRGYRRDVVTLFT >KGN63512 pep chromosome:ASM407v2:1:501847:502845:1 gene:Csa_1G002810 transcript:KGN63512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGIESGGHNPALDYDTDVPENPAYEFAEFGAGCFWGAELAFQRVVGVVKTEVGYSQGHTPDPTYKQVCSGITNHVEVVRVAFDPKVCPYTNLLSLFWSRIDPTSLNRQGNDKGAQYRSGIYYFNETQARLAHESKEAKKLELKDKEVVTEILPAKRFYRAEEYHQQYLEKGGGKGHKQSAGKGCTDPIRCYG >KGN66340 pep chromosome:ASM407v2:1:22864295:22868587:-1 gene:Csa_1G598850 transcript:KGN66340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVDPPPFQEAARCDVCKCSFNTFRRRHHCRCCGRTLCNEHSSFQMALPQFGIHSSVRVCADCFNNSSQSKGVGQPPSLAVVDQVGDAVSQLNLDGDLTSEPKPAVEHQPALGIPDCKCGMPLCICETPAQPMETEAVSSQKKTASTSTQYNSKPKKTDSNLRNRASTSNSKLRNLADESRINYEASGEGLREAVKNGDTIAVKKLLSEGVDANYHDKQGLSLLHVAAVFNQTDIVFALIEGGASLDYKNAQGETPMDCAPASLQYKIREKMEGQ >KGN65086 pep chromosome:ASM407v2:1:11559179:11559605:1 gene:Csa_1G201270 transcript:KGN65086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFAGFISSVDGFNIGGENNLKTLWRNNGLPANSLLHTPIYVHFKLQQINIRDVNQVLVPFTILHLSFLPNLKHVWNTDPNPTTSDLLPQCKESGDYKVSDA >KGN65392 pep chromosome:ASM407v2:1:14897910:14900797:1 gene:Csa_1G407160 transcript:KGN65392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVFYFTTQLPFSLISFLLLLLLLLRSVQSEPTADKAALLDFLNKTPHESRLQWNASDTACNWVGVSCDATRSFVFSLRLPGVGLVGPIPANTIGRLNRLRVLSLRSNRISGELPADFSNLGFLRSLYLQDNELSGNFPASVTQLTRLTRLDLSSNNFSGPIPFSENNLTHLSGLFLENNGFSGSLPSIPAAATSLTGFNVSNNKLNGSIPETLSKFNASSFAGNLALCGGPLPSCSPFFPSPAPSPTSAVKPPQFPVEKKSKKLSIAAIVGIVVGAAFVAFILLFLLLFCLRKRERRQPAKPPSTVVAARSVPAEAGTSSSKDDITGGSVETEKNRLVFFEGGVYSFDLEDLLRASAEVLGKGSVGTSYKAVLEEGTTVVVKRLKDVVMTKKEFETQMEALGNVKHENVVPLRAFYFSRDEKLLVSDYMAAGSLSSSLHGSRGSGRTPLDWDNRMKIALSAARGLAHLHLSGKLVHGNIKSSNILLRPNHDAAVSDFGLNPLFGASTPPNRIAGYRAPEVVETRKVTFKSDVYSFGVLLLELLTGKSPNQASLGEEGIDLPRWVQSVVREEWTAEVFDVELMRYHNIEEEMVQLLQIAMACVATVPDQRPSMQEVVRMIEELNRVETDDGLRQSSDDPSKGSDGQTPPQESSTTPPGVGGPP >KGN65260 pep chromosome:ASM407v2:1:13213968:13220921:-1 gene:Csa_1G277470 transcript:KGN65260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFHKFRALPFSINSFSRLYSTNFASAAPHGFSRGFRTHTLLSSIQQESLKFEDYTTGAEVEHDCEEIQKIRHEFDAAKLSFLKIPQALRAMPKLNPEGIYVNKNLSLDNIQVYGFDYDYTLAHYSANLQSLIYDLAKEHLVNELRYPESCMKFKYDPTFPIRGLYYDKLKGCLLKLDFFGSIEPDGCYFGRRKLSPKEIKEIYGTRHIGRDQARGLVGLMDFFCFSEACLIADVVQHFVDAKLEFDACYVYQDVNRAIQYVHRSGLAHNEIIADPHAYLVNNGRLLRLLTMLKEKGKKLFLLTNSPYYFVDGGMRYMLEASMGFKDSWREIFDVVIAKANKPEFYTSEHPFRCYDTEKDTLTFTKVDAFLPNKIYYHGCLKSFLQITKWNGPEVIYFGDHLFSDLRGPSKAGWRTAAIIYELENEIRIQNEDSYRFEQAKFHIVQELLGKLHAIVGNSRRSDVYKSLLQELGQERQNSRDTMKKMFNSSFGATFLTDTGQESAFAYHIHQYADVYTSKADNFLYHPPEAWLHVPFDIKIMPHHVKVPSSLFRNQ >KGN63910 pep chromosome:ASM407v2:1:2932028:2936728:-1 gene:Csa_1G025910 transcript:KGN63910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMEAITQIKRVKERTDEFSESTFLPPHFQVEWWKNEDGAPESGLPIVSPPCSDLHIFMLLKHLIFLCFIPF >KGN64484 pep chromosome:ASM407v2:1:6424112:6425926:-1 gene:Csa_1G058670 transcript:KGN64484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDESLTEGFKFRGIFDPHFKSPVNRRKINSSPNGTKKPTDAEVKNSANKHPEKDPNFPSSSKPKPQAAARNSNPNSNSAPSSPAPPPTPIPTTRKTKSQPPTPSPALPPTPSPTPSPTRKTKCQPTMPSSSSKNNVVRRIYNDNSPKASPKISPTSGSDCHEKAVKTTAYPNSPASSDPSNDIGHRLLQGLSFEGKDLDDILKGNSIDDLMGSNNKKEESSPRNVSSLAILQIYQKIASHRQGNLSVERYFKKLKKLWNDIGTYSSDFAQGYSSNGTIAFWSELTERDKVMQFFIGLNDYYSIICSQILVNQPFPTVEEAYSEIIREEKRRELFVALGIMAAQVIQSSYQYGSSNNGDNKNLGIDQEIDRSI >KGN65971 pep chromosome:ASM407v2:1:20228229:20235794:-1 gene:Csa_1G554580 transcript:KGN65971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLQPMDRNKLEADSETKGDQSSKSSHKSNQTVERSGSPQHQKISARWDPNEACRPLVDEAPVFYPTVEEFEDTLGYIAKIRPQAESYGICRIVPPSSWNPPCVLKEKSKWENATFSTRIQQVDLLQNREPMKKKSRGRKRKRRRQSKAGTSARSTNLGVEATVTSESDEKFGFNSGSDFTLKDFQAYADHFRESYFGITKAQEDINFDIESSKRWEPSVEDIEGEYWRIVEKSNDEVEVYYGADIESATFCSGFPKASSLVTEGNLDPYVKSGWNLNNFPRLQGSVLCFEESDISGVLVPWLYVGMCFSSFCWHVEDHHLYSLNYMHWGDPKVWYGVPGSHASSLEAAMKKHLPDLFAEQPDLLHELVTQLSPSVLKSEGVPVYRVVQNSREFVLTFPRAYHAGFNCGFNCAEAVNVAPVDWLVHGQNAVELYSAQRHRTSLSHDKLLFGSAREATQALWEILVLEKKTPNNLNWKSVCGIDGDLTKVIKTRVKMEEERMNCLPTNMKLQKMESEIDCKSERECYACFYDLYLSSTSCKCSPDRFSCLKHASNFCSCQVDDRSVLFRYSINELHTLVEALEGGLDAIKEWASRYCKMEKDNESVAKVELDSGLNDKPSWSPQITDKLKRSDVPCSSSSHASSEVVQSESHRGSLSLNNSNLSSDSQNDNVNSEMLINKGKKVEQEGCIDLNVDIISEGNANCGPHISDTKTIIDLEETYPLVFEQKYICKAAHESELMDLDTDHVTTLPAHDYSSSVKDGVRICGSNASKLFGVDLSQSQSQLHSAFPYNNCSKVETSEHLDKRIPSWSSSHLKTFPFVEPLNIGTIMFGKPWHCEKAIFPKGFRSRVKFLSVLDPTSIVTYTSEVLDAGLLGPLFKVTLEESPGENFTNVSATKCWDMVVQRINREIERHNLRSGGRLPGQLLKEVDGLEMFGFLSPHVIQAIEALDPTHQCMEYWNHRKQQAIPANSGDNTFCESSALGLNFCWGETSATTFDINREEDETVTPTIGMERHHQNEVQVRSVLKGLLNKANPEELSVLQTIFCTDSQTTELRAEFASLIKEKQDKCR >KGN65088 pep chromosome:ASM407v2:1:11571286:11574242:1 gene:Csa_1G201780 transcript:KGN65088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAHDRTQVERNQNPKKHRKHAFPYGNYRNYYGYRVGQKLVEDPRLKVFKKEWFEGKDCLDIGCNNGIVTIQIARKFNCRSILGVDIDSDRVDDAYWNLRREIRLSDGNPDNGLKCRASKASRIDLVEASTSISSSKEHDLHAVVSFKRQNFIFSHHPPDQHYDTILCMHVFLFLYCSLSVAKWIHLNWGDDGLITLFSKIWKLLNPGGILVLEPQPWKSYEANYSVSETTKMNFRTIKILPEDFQEILLDKIGFRTVEALNIGLADGNSGGFNRPILVFQK >KGN66545 pep chromosome:ASM407v2:1:24809836:24811791:1 gene:Csa_1G629020 transcript:KGN66545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADYGGAISLSHQPPTSSSSSDDHSPPTRPQTKPSRTPTSGGAASSVDTSTMKKPRGRPPGSKNKPKPPIVITKENESSMKPVVIEISAGNDVVDTLLHFARKRHVGLTVLSGSGSVSNVTLRHPMSHSTSLSLHGPFSLVSLSGSFLANTTPFSSKPHSLSPSPSPSPSSSFGICLAGAQGQVFGGIVGGKVTAASLVVVVAATFINPVFHRLPSETTEGEDDRVDMAKPTINATDESPVTATTTSSATPMTVCVYNAPSPPDHAMPWVPSSRSSY >KGN64953 pep chromosome:ASM407v2:1:10404124:10407681:-1 gene:Csa_1G166820 transcript:KGN64953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQCYTKVALYTSPSKTRIILRLFCGLPLQVHHCPTQEHFLYGKLNLCYDPFAQLSYMEVSQNFFEAMKACTYLGSTSIASKLHGQLISTGLCSSVFLQNHLLHMYSKCGLINEALQIFLDTKQRNVITWNTILNGLLDSGRVRDAEKMFDEMPLRDPVSWTAMMSGYFRNGQAMDTIKLFVLMFQDSDYVPDLFCFSCAMKACSSVGYIRIAHQLHGLSEKYGFGNNKVIQNSIIDMYIKCDAIYAAEQVFLRIEKPSLFSWNCMIYGYSKLHEMGRAIDTFRQMPERDSVSWNTIISAFSHHGLHIQSLGTFVEMWIQGCQPNSMTYASVLSACANIYDFQWGKHLHARIVRVEPFLDVLVGNGLVDMYAKCGLIDASKRVFNTLREHNVVTWTSLISGIAHFGSQEEVYDIFYQMRKDCVIMDNFILATILGVCEGETNISIGEQLHGFTVKTGMNSSVPVGNATLSMYAKCGDFEKASLAFETMAAHDVISWTTMITSFSHSGNVERARDYFNRMPERNVISWNAMLGAYCQNSFWEEGLKLYILMLRQEVRPDWITFVTMIGACSELAISKLGTQILSQAVKVGLGSDVSVVNSAITLYSRCGRIEEAQNLFDSIQEKNLISWNSIMGGYAQNGEGMKVIEIFQNMLMAGCKPDHITYIAILSGCSHSGLVKEAKYHFNSMTKDFGISVTLEHFVCMVDLFGRAGLLKLALDMIDQMPFKPNASIWGALLSACRIHHDTEMAELAMKNLLELNTENFESYILLANTYSSFGRLECVSEVRQVMKEKRVQKDPGCSWIEVCNRVHVFTANDTSHPQVKDIYKALEDIVKKIKDDYGYFDRSSFVGYRSEACYCFWFDQLA >KGN63983 pep chromosome:ASM407v2:1:3491154:3492222:-1 gene:Csa_1G033020 transcript:KGN63983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGAGNFFKVVLKNFDVLAGPVVGLVYPLYASIRAIETKSQVDDQQWLTYWVLHSMITLFELTFAKVLEWIPIWPYLKLVVLCWLSGFNGASYVYEHYLRPLMVNQQRVNVWYVPKGKDPLNKREDIITAAEKYIQEHGTGELQYMLDNAEVHRRNSSSYYGYADDYGY >KGN66184 pep chromosome:ASM407v2:1:21748270:21749865:1 gene:Csa_1G575000 transcript:KGN66184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKATRWFKNLFGIKRDKEPTKEIPKSKPPTTAVDVQLSDAAVAAAQAAVAVVRLTSHGRGTMFGSGRERWASVKIQTCFRAYLARKALRALKGLVKLQALVRGYLVRKQATATLYSMQALIRAQATVRSQRTRRFINDARKSTERFEDTKSEHTVSVHSRRLSASLDNTTFMEESPKIVEIDTGRPKSWSRRTNTSASELSDDPFNHTLSSPLPCRTPSRLQIPDCRHFHENSDFCGGDDWRLISTAQSTPRFMGSGGGSNGPPTPAKSMCGENFFRGYLNFPNYMANTQSFKAKLRSQSAPKQRPEIGSKKRVSLNELMESRNSLSGVKMQRSCSQVQEAINFKNAVMSKLDRPSEFNNLQRKL >KGN64722 pep chromosome:ASM407v2:1:7944188:7948722:-1 gene:Csa_1G077240 transcript:KGN64722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVALKFINGSRLFFHRTHIPSNSRTIRAFSVIMSPPSTAVVYDLHGPPDTVTRVINLPPVEMKANDVCVKMLAAPINPSDINRIEGVYPVRPEVPAVGGYEGVGEVHSVGNAVQGLSPGDWVIPSPPSSGTWQTYVVKDQSVWHKINKEVPMEYAATVTVNPLTALRMLEDFVSLKAGDSVVQNGATSIVGQCIIQLAKIRGIHSINIIRDRAGSDKSKEKLKRLGADEIFSESQLEVKNVKSLLANLPEPALGFNCVGGNAATLVLKFLRQGGTMVTYGGMSKKPITVSTSSFIFKDVSLRGFWLQKLMGIDKANESRKLIDYLLDLARQEKLKYEMEVVPFDNFHIALNKALGKQGSQPKQVIKF >KGN65574 pep chromosome:ASM407v2:1:16554305:16559167:1 gene:Csa_1G461000 transcript:KGN65574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKLNRSSDRGMAAFSSSADSNTRAPPPPTTTSTDNRLAALVQYNADAGLLNKFELSDASGESFNYSRSVLEAPVSVPEEQITAYLSKIQRGGLVQPFGCLLAIEESSFKIISFSENCFELLGLNDDQFGSAQGKKGLIGVDMRALFTPSSGASLAKAASSREISLLNPVWVYSRTTQKPFYAILHRIDVGIVIDLEPARSVDPALSLAGAVQSQKLAVRAISRLQALPSGDIGILCDTVVEDIQKLTGYDRVMVYKFHDDEHGEVVSEIRRSDLEPYLGLHYPAIDIPQAARFLFKQNRIRMICDCNAKPVPVIQSDDLKQPLCLVNSTIRAPHSCHLQYMANMSTLSSLAMAIVMNSDDSPTRLWGLVVCHHTSPRYVPFPLRYACEFLMQAFGLQLYMELQLASQLTEKKILKTQTLLCDMLLRGSPCALLTRSPSIMDLVKCDGAALYYKGACYLLGITPTEAQVKDLAEWILNNHGDSTGLSTDSLADAGYPEAASLGDAVCGMATARITSKDFLFWFRSHVAKEIQWGGAKHHPDDKDDSGRMHPRSSFKAFLEVAKSRSLSWEVQEINAIHSLQLIMRESFPNSGDSNSKAENSPQLSDAEMQDINELSSVACEMVRLIETATVPIFGVDSTGLINGWNAKISELVGLHTGEALGKSLVNEIVHEDSRGTAEDLLSCALQGKEDKNVELKLRSFRTDKEHPNVYIVVNACTSRDYTNKVVGVCFVGQDLTSEKGVMDKFIRLQGDYKTIIESLSPLIPPMFVSDENGYCCEWTAAMEKLTGWRKNEVVGKMLAGEIFGNFCRLKGLDTLTRFMILLYQGISGQVTEKFPLGFFNKDGNYVDVLLTSNKRTDAEGNAIGCICFLQIVQPNLHGVLEGLGTGDREAILQHKELSFLKHEVKNPLNGLRFMHELLVNSGITENQKLFLDTSDACERQIMTIIEDMDFRSLEGGQVEINRQDFLLGSVLDAIICQIMIVVRERNIQLFHEIPEEIKTLTLCGDQIKLQMVLSDFLLNIVQYAPVLDGWVEIKISSGLKLIQDGNEHIHLQIRYFSNSSILLVYRTSSLVLLDFVRFCGLVVG >KGN65401 pep chromosome:ASM407v2:1:15000324:15000635:-1 gene:Csa_1G408730 transcript:KGN65401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEISGFPLGPLLEHKNGLQKVSVPEEQITAYLSKIQRGGLVQPFGCLLAIEESSFKIISFSENC >KGN65340 pep chromosome:ASM407v2:1:14023503:14024210:1 gene:Csa_1G348940 transcript:KGN65340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKLMSWSSIACVFLVGALLQVVYGFDYDVGGDFGWNVPPIPTFFSDWTHNKTFFVGDKLVFQSNSSEFHDVAEPESQTDFDGCVKPGISLSTSSAMLSVLLDSPRRRYFICTIGNHCNAGMKFTVDVFVNPNSALLPPPPPPPSSASSLRFGAVSAAAMTGLL >KGN66791 pep chromosome:ASM407v2:1:27713965:27714372:1 gene:Csa_1G690285 transcript:KGN66791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSLSPPSNPHLHRLHPSDKIHLPPPPPFYSDQPLPELAPPCTTCSLAMAEPSHPQTPLLLHRPSPTPPPAPIPVAPPTCSTPFPPPQPRILPRFSPPLAPLQLPFPLPLPCTPASPPLSSPPQPPQSSSAPPEL >KGN65113 pep chromosome:ASM407v2:1:11859177:11863064:1 gene:Csa_1G226410 transcript:KGN65113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLEFPHKLSFFLLLPFFLLLLLLLCFFPPNDQINPFSSILSKNLFPFHSSKQPQPPLSPPQSTLQFPPTTATATAPSQPQDYSSTRKKKSEMIEEGLAEARAAIRLAIVTRNYTSEKEESFIPRGRVYRNAYAFHQSHIEMKKRLKIWTYKEGEQPLVHDGPMKHIYSIEGHFIDEMDSGKSPFSAHEPEEAQVFFLPISIVYIVDYIYKPITTYARDRLVRIFTDYVRVVANKYPYWNRTRGADHFMVSCHDWAPEVTKEDPNLFKYFIRVLCNANTSEGFNPMRDASLPEINLPPTFHLNLPRLGQPPQNRSILAFFAGGAHGFIRHILMQHWKDKDHEIQVHEYLPPSQNYTELIDRSKFCLCPSGYEVASPRLVEAIHGGCVPVVISDYYSLPFDDVLDWSKFSMRIPSERIPEIKTILRGVSMKKYLKLQRGVMKVQRHFEIHRPAKAFDMFHMVLHSVWLRRLNVKLTH >KGN66068 pep chromosome:ASM407v2:1:20979383:20985227:-1 gene:Csa_1G569450 transcript:KGN66068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQENKPMDSCSDTESIGSSKRVGFSGPLTGASVAYKRTNSYSSSTRSTMRIKDDDQYVEITLDVRDDTVSVQNIRGGDSETAMLASRLETKRPTLGSQLSFKLKQVSQELRRMTSSKSFNRIDRTKSGASRALNGLRFMTKSVGSEAWSEIENRFDQLAINGELPKSLFARCIGMNESSEFAGELFDALARRRGISSNSISKGELREFWEQIIDESFDARLQIFFDMVDKNADGRISGKEVKEIIALSASANKLSKIKDDAEEYAALIMEELDPDNLGYIELYNLEMLLLQAPNQATAPNRVTDSRVLSQLLSQKLVPTKEYNPIKRTYRSLHYFVEDNWKRIWVFSLWLAICAGLFAWKFIQYRNRAVFHVMGYCVTTAKGAAETLKFNMAIILLPVCRNTITWLRSKTKLGSVVPFDDNVNFHKVIAVGIAVGVLLHAGAHLTCDFPKLLHATDAEYEPMKPFFGEVRPNNYWWFVKGTEGWTGVVMVVLMIIAFTLAQPWFRRNRLNLPKIIKRLTGFNAFWYSHHLFVIVYVLFIIHGYYLYLSKKWYKKTTWMYLAVPVLLYACERLIRAFRSGYKTVRISKVAVYPGNVLALQMSKPHGFKYTSGQYIFVNCSAISPFQWHPFSITSAPGDDYLSIHIRTVGDWTSQLKTIFSKVCQPPSVNQSGLLRADIGQSSNKIRLPRLLIDGPYGAPAQDYKQYDVLLLVGLGIGATPLISIVKDVLNNIKDQKDIENGVGDKQAKPFVTKRAYFYWVTREQGSFEWFRGVMDEVAENDRDRVIELHNYCTSVYEEGDARSALITMLQDLNHAKNGVDIVSGTRVKTHFARPNWRNVLKHVAVNHPDQRVGVFYCGAQGLVGELRRLSQDFSRKTTTKFDFHKENF >KGN63745 pep chromosome:ASM407v2:1:1910646:1911926:1 gene:Csa_1G014420 transcript:KGN63745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENMEMSENWDKGLPSMENVERQMENMEMGERRMSIDWGKGLPPLIAKTIFSKLVISNLPSCRFVCKTWNHLVLHYASSTQLQFLTNAFLLSTSDRMLNYELCNPKMHCINLDNRQSSNDVDFDLEWEFIKSGSLQFYGDWTFMILLAHPCNGLMFISKCSDYTWCQGIFNPMTNEFFQVSEQDTFDDFYHYGFGLSAISKQYKLFRVSEAIPYSERFRLMITFGRDDTHYTMEVLTFGRSGTNHIPIHNQWRHLHNLSCDLIHGVYLNGIIYWLGKEKGKKEKKEYVIYALDVETEQIEMSVILQVQASDGKMHPFNGTIYALFYINWEKDSRTIQVWSMQEKCSWIRQFVIRDISKEWGCLELIKIFEDGEILFLIDSDFFCFYHPLTEKKRIISKNQKKNRFVCQIECLNFGSLPEILEGTSL >KGN66823 pep chromosome:ASM407v2:1:28046425:28049191:-1 gene:Csa_1G698530 transcript:KGN66823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNGKIGKRFEGKVAIVTASTQGIGFEIARRLAFEGASVVISSRRQRNVDEAVEKLKAQGLEVLGIVCHVSNAQQRKNLVEKTIQKYGKIDVVVSNAAVNPSVDSILKTKESVLDKLWDINVKASVLLLQDVAPHLQKGSSVVLISSIEGYNPSSLLAMYGVTKTALLGLTKALAAEMAPDTRVNCVAPGFVPTHFADFLVTNEAIRKGVESKTLLNRLGTTEDMAAATAFLASDDASYITGEILVVAGGMPSRL >KGN64132 pep chromosome:ASM407v2:1:4302848:4305404:1 gene:Csa_1G042440 transcript:KGN64132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPTAKVLQGVQFHQLSSLISHFLVFGLGLVIGITFNFSIRGRLVSSSNFELIQWPVTAPQPPPVVVGMREFRSSKSLGKEMSSSSEGDELVHRMRGLPAVKGSRGKVAFMFLTRGDLPLRPFWERFFNGNEGLYSIYVHSRPSFNATFPLNSVFYGRNIPSKVVVEWGQPSMIEAERRLLANALLDISNQRFLLLSESCIPVFNFTTVYTYLMGSAQIFVDSYDLPGRLGRNRYRSEMQPTILETQWRKGSQWFEMDRRTATEVVEDRKYFPVFQKYCHPGCISDEHYLATMVSIEFGERNSNRTLTWTDWSKHGPHPTGFGSENVTVGLLERIRDGSTCEYNGERSRICYLFARKFMGSALNGLMEIASQVMFIH >KGN63530 pep chromosome:ASM407v2:1:580627:580998:1 gene:Csa_1G003480 transcript:KGN63530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATSAIFVLLSGLCFLVFNSDNLMGRWLPILGLLAVAVVVLMAARATMVAWITVLVLLAFAGNRRRVLVKDGRKITADVAMYLASVIVKERGLLAVAFAALFSFLAVLRSTEIDLLSSFSA >KGN65999 pep chromosome:ASM407v2:1:20475276:20477996:-1 gene:Csa_1G560810 transcript:KGN65999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELHGKVVMLMLNIYLFLTMFGFESYWVNGASSSNSKVGCYFIFGDSLVDNGNNNNNKGLARADYKPYGIDFSKNMIPTGRFTNGRNIADFIAEFLSFKNYIPPFKNTRGWNILKGVNYASGAAGIRDETGMTQGERTSFNKQLDQHNNIISKFNELLGSKSNVKTHLNSCLYMVNIGGNDYLNNYFMPLYYKTSVQFTPQQYAIALTKQLSLQLKGLYEKGARKVAIFGGGIVGCSPYAKAKFDHKGSSCVDKINNAIQLFNIGLKSLVKDFNTNFGDANFIFIDVFNIALHDTSSNQGVINRDNPCCELRGDGLQCEVNGKVCGNRSEYIFWDGVHPTEIGMMTLATRAFNAQHPNDTYPFDINHLAQL >KGN65229 pep chromosome:ASM407v2:1:12957838:12958482:-1 gene:Csa_1G267250 transcript:KGN65229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEHTVTSDEDLGIKIYTSTPPNEEPPSSGGGGRKRRAMAKGMQKTLSKTSMLVNFLPTGTLLTFEMLLPSVSGKGECTHVNTMMINFLLGLCALSCFLFHFTDSFKGVDGKVYYGIVTPRGLAVFKTGVREVEVPKEERFKVGITDFVHAIMSVMVFMAIAFSDHRVTNCLFPGHVADMEEVMESFPLMVGTICSALFLVFPNTRYGIGCMAN >KGN66442 pep chromosome:ASM407v2:1:23750266:23755596:-1 gene:Csa_1G605710 transcript:KGN66442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYEPSDSSGTDDDLPPPHQSRFQRGGLPAGNGRSAPVNSSALPRMLGDMEMQIHHIEQEAYSSVLRAFKAQSDAITWEKESLITELRKELRVSDEEHRKLLSRVNADDVIKRIREWRTANGLQPGKLNSDQPMADPVPSPTASASRKKQKTSQASLSQGAPTPAMPTTIPPSSSTLRRGPPPGARTTKTKSAMHTGPTGSAQVNNRGSLGAFASNENAEGVNHEPLIGRKVWTRWPEDNSFYEAVITDYNHAEGRHALVYDINTAHETWEWVNLKEISPEDIRWEREVSAALRGGARPGHGRGNRKSMTRGGAVAAGGRGRGTTKGQSRRDLPVSQNGSRKQPTGDIEILHTDTLIKEVEKVFGANQPDPMEIEKAKKVLKDHEQSLVDAIARLEDASDGESDGREPYSQGQSMVRV >KGN66769 pep chromosome:ASM407v2:1:27506785:27509284:-1 gene:Csa_1G682630 transcript:KGN66769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIMIKGGVWKNTEDEILKAAVMKYGKNQWARISSLLVRKSAKQCKARWYEWLDPSIKKTEWTREEDEKLLHLAKLMPTQWRTIAPIVGRTPSQCLERYEKLLDAACVKDDNYEPGDDPRKLRPGEIDPNPESKPARPDPVDMDEDEKEMLSEARARLANTRGKKAKRKAREKQLEEARRLASLQKRRELKAAGIDTRQRKRKRKGIDYNAEIPFEKKPPPGFFDVSEEDRPVEQPKFPTTIEELEGKRRIDEIQTPNPMLTPSATPGGVGLTPRSGMTPARDAYSFGMPPNGTPIRDELRINEDMDAHDSAKLESQRQADLRRNLSLGLGNLPQPKNEYQVVMQPIPEDKEEPEETIEEDMSDRIARERAEEEARQQALLRKRSKVLQRELPRPPTASLELIRNSLMRADGDKSSFVPPTPIEQADEMIRKELLALLEHDNAKYPIDEKVNKEKKKGSKRTGNGPTTVIPTIDDFEQTEMEEVNFSLLDDKLAIFLLLYLHLEQTVVSFFFLFFL >KGN66130 pep chromosome:ASM407v2:1:21361496:21364450:1 gene:Csa_1G573000 transcript:KGN66130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METDSNDGTLILVKQGAEARVFESTFVGRRSIIKERFSKKYRHPLLDSKLTLKRLNAEARCMTKARRLGVATPVLYAVDPILYTLTFEYVEGSPVKDILLEIGSSGGDSKQLSDIAMQIGVAIGKLHDGGLVHGDLTTSNMLIRSGTNELVLIDFGLSFTSTIPEDKAVDLYVLERALLSMHSSCGNLMELILASYRKTSKQWSSTSNKLAQVRQRGRKRTMVG >KGN66840 pep chromosome:ASM407v2:1:28183128:28183980:1 gene:Csa_1G700670 transcript:KGN66840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNMTRNFYRAMIKEQWKKAAEEFTKEDELRSTLKFPMTTQKDTAWHLAAYSGGEEPTRTLLLLATKFESEEDIEEEETEEVFWKNKEGNTPLHEAATIGNLAAVKLLVEYKKKDMLVKNIYGETPLYRAAKHGQFHIVEYLLDNCEDLYTRSPFNWTAGHVDAPIIHAAIQSENFEVVWKLIDFDESLLKMKNSQDETALQVLANMPHVFESGFAMTLVERFVYWCKNTLISFSPLN >KGN64522 pep chromosome:ASM407v2:1:6658623:6659906:-1 gene:Csa_1G062970 transcript:KGN64522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRYVRQRMGRNKLKSFGPLVCRMAMLANIRSGSFFFKALGITLLFMAGFPDDLVRKETKYRNLDLLQKYYRTDKDAEGEELFLAHSTTDNIDNKFVQKHLVGRTVSKRSKGKKPSSTYQQPGSSDTTTKFGLVGYTSIQTRAVAAFQSLPSPSQAPVDSNHPIPNPMVASSCTAMASYQGHNSFNYFPPNAFVPFMYWPPPNSFNSGIYPSAYASHSFPSSGNYISFQSQPYCSFPFGSFMPKAMEENAKNEDISEETESNSDNTSSSKD >KGN66138 pep chromosome:ASM407v2:1:21393029:21393676:1 gene:Csa_1G573570 transcript:KGN66138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAQQMAPPDMKCKDKFLIQSTIVPIETNLHEDYVTSTYNLFEKNGSKNNIEERRLSTILVKDESSSPTIETLNVGVSNQSKDKEIEELKLKITQLDQKLHEVSLSYNS >KGN65538 pep chromosome:ASM407v2:1:16102794:16104607:-1 gene:Csa_1G435830 transcript:KGN65538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPTKRSATATANANANTNTNTAATSFLSSSSSSPTSTPPSSISSPPMKKTKSQPLDPNKNGLHHHDDPDFDPSSMPLDDEDLKPPHHSPLIGASRSVATNLSRKKATPPQPAKKLVIKLLKAKPTLPANFEEDTWAKLKSAICAIFLKQPNSCDLEKLYQAVNDLCLHKMGGNLYRRIEKECEVHISAALQSLVGQSPDLVVFLAYVEKCWQDFCDQMLMIRGIALYLDRTYVKQTPSVCSLWDMGLQLFRKHLSLSSEVEHKTVTGLLRMIEKER >KGN63957 pep chromosome:ASM407v2:1:3359891:3361244:1 gene:Csa_1G031780 transcript:KGN63957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGEPSDVLYVKFEPYCQWKKIEDSDVLEVQLPEFKKEELRVRIKNNSILTISGEQLAAKDGKKMHFNRDIKLPKDVFPDEIRAKFGGNILSITMPKKASPPEISKPNPDNASEDKLTQSNGNGKPKNFISKLKSPLSRLKFSKATATAMATAVVILAVGVYYLIKN >KGN64528 pep chromosome:ASM407v2:1:6695169:6699043:-1 gene:Csa_1G063520 transcript:KGN64528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLLANGISRFPHNPTWELHKDAIPKLHSPRIANLKISKSKPFRVRADVGYDPKTANSGPISQGKSSPSSTTTDEKIQDILRNRDYDRKFGFTVDIDSFSIPKGLSKETIRLISSLKEEPDWMLEFRLNAFEKFLKMKEPTWSDNRYPPIDFQDVCYYSAPKKKPTLNSLDEADPELLMYFDRLGVPLNERNRLANVAVDAVLDSVSIATTHRKTLEKAGVIFCSISEAIKEYPDLVRKYLGRVVPSEDNFYAALNSAVFSDGSFCYIPKDTKCPMQISTYFRINALETGQFERTLIVADDRSFVEYLEGCTAPSYDRNQLHAAVVELYCAEGAQIKYSTVQNWYAGDEEGKGGVYNFVTKRGLCAGDRSKISWTQVETGSAITWKYPSVVLEGDDTVGEFYSVALTNNYQQADTGTKMIHKGKNTRSRIISKGISAGNSRNCYRGLVQVQSKADNAKNSSQCDSMLIGDNAAANTYPYIQVKNPTARIEHEASTSKIGEDQLFYFQQRGIDYEKAMAAMISGFCRDVFNELPDEFGAEVNQLMSLKLEGSVG >KGN64419 pep chromosome:ASM407v2:1:5987626:5988035:-1 gene:Csa_1G050580 transcript:KGN64419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGREEQKSHFQNSAGEDMNIDGQNENGEDTEKENGMDNNGLAVSGEASEFNHTRISGQLEKQARREENEENPTLLRFGSGSDAGDDEFNKVKEGGGWFIILHRIYRVPVETWIDFKISTAVALSLC >KGN66307 pep chromosome:ASM407v2:1:22621622:22623351:-1 gene:Csa_1G597050 transcript:KGN66307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARSVYSSSVSSLPPPSPKSPPEYPDLYGKRREIANIQTLEREIGFLEDELKSLQSLQPVSICCKEINDFVTTNSDPLTPTCRVKQQRCRIWKWLCGFPCFNLSWLCCCSCTECAIYLEMPRCCGCKPCNFPSCLRCCSMPKCSFFSCPSLSCCRNFSCRRLCCFLSLPSIPCPHCSSCCECKCSCKCSFPKCSQVPSCRLCTKSCCNPCSLCCCF >KGN65402 pep chromosome:ASM407v2:1:15006185:15006490:1 gene:Csa_1G408740 transcript:KGN65402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNLSSQPLHSQSSSQSLPFQFASPSLSATLSIFISISLLVPLNRHLHLCSLIVAPSKSVHRISSTSRTRLASRHWSFALARVKPPLMEIG >KGN66373 pep chromosome:ASM407v2:1:23106735:23111344:1 gene:Csa_1G600130 transcript:KGN66373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVLKLSSSPLHFTTKLSKSQRPKQCMQILLFPSSSFSLINRPNRGFKLCATTFSTEEIGNPLEAVEAESERFDWYAQWYPIMPICDLDKRVPHGKRVMGIDVVVWWDKNESGWKVFDDLCPHRLAPLSEGRIDQWGRLQCVYHGWCFNGSGDCKFIPQAPPDGPPVHTSKRACVSVYPSVVQNDILWFWPNSDPQYKDIMAKKTPPYIPEIDDPSYAKLIISRDLPYGYEILTENLMDPAHVPYAHYGLIRRQQTKNKKADEEGGQPIDIVVRDLDVHGFISNQGLGHGKFVAPCVTYTSLNLPSKERNAKHQDNGTPSLDENNTVAPKTSQRKTMVVFMCIPISPGKSRVIFIPLRNFAVWVNRIIPRWMFHLGQNLVMDSDSYLLHVEERKILDAGLANWQKACFLPTKSDAKVVAFRRWLNKYSDGHVDWRGKFSGILPPSPPKEQLMERYWSHVVNCSSCKVAYKGLNALEVVLQVISIASLGIFAATKQSLVSGAARVLMVAMAILCFASSRWLSHFIYKSFHFHDYNHAIR >KGN64610 pep chromosome:ASM407v2:1:7166698:7169175:-1 gene:Csa_1G071240 transcript:KGN64610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFNFTLNRLFLLCFTPLFLRHSIAVDILKAGQSFNDTQIIVSADEKFELGFFTHSKSSDFKYLGIWYKSLPDYVVWVANRDNPILNSSATLKFNTNGNLILVNQTGQVFWSSNSTSLQDPIAQLLDTGNFVLRGSNSRSEDYVWQSFDYPSDTLLPGMKLGWDSKTGLNRKLTSRKSQNDLSSGEFSYEVNLDGLPEIVVRKGNMTMFRGGAWFGNGFTRGRSKGGIFNYNSSFEISFSYTALTNDAYRAVLDSSGSVIYSVWSQEENRWRTTYTFEGSGCDDYDLCGSFGICSSGLVASCGCLDGFEQKSAQNYSDGCFRKDEKICRKGEGFRKMSDVKWPDSTGNLVKLKVGIKNCETECLNDCSCLAYGILSLPNIGPACATWFDKLLDIRFARDVGTGDDLFLREAASELEQSERKSTIVPVLVASISIFIFLALISLLIIRNVRRRAKADNGVTFTEGLIHESELEMSITRIEAATNNFSISNKIGEGGFGPVYKVLIYLLVLSATHREMKPKISDFGTARMFGEYQMETKTKRVIGT >KGN65016 pep chromosome:ASM407v2:1:10952046:10960481:1 gene:Csa_1G181280 transcript:KGN65016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRNDKMGLGRALVKQHNQMIQQSKEKGRFYKSQQKKVLESVTEVSDIDAVIQQADEAERLFSIDNPTPNFLINLDGSSSVSEMTLVERRDQQKIEEALHASSLRVPRRPPWNARMSAEELDDNERQSFLIWRRSLARLEGNENLVLTPFEKNLDIWRQLWRVVERCDLLVMVVDARDPLFYRCPDLEAYAREVDQHKRTMLLVNKADLLPYSVRKKWAEFFNQHEILYLFWSAKAASATLEGRKLGSGWNTNEPQNGVDDPDTKIYARDELLSRLQYEAERIVERRASSRSDNLSLEGCMNEKSSGSVMVGFVGYPNVGKSSTINALVGQKRAGVTSTPGKTKHFQTLIISDKLTLCDCPG >KGN66109 pep chromosome:ASM407v2:1:21218949:21221655:1 gene:Csa_1G571810 transcript:KGN66109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPNIETHQNHTHRKPISILPKRIILVRHGESQGNLNSATYTTTPDNKVPLTEEGLIQARIAGTELRRLLSNDGTNPHWRVYFYVSPYERTRSTLREIGRAFSKKRIIGVREECRIREQDFGNFQVEERMKVVKETRERFGRFFYRFPEGESAADVYDRVSSFLESLWRDIDMNRLRHNPSQDLNLIIISHGLTSRVFLMKWFKWTVEQFEYLNNPENCECRVMQLGEGGEYSLAIHHTEEEMLEWGLSHEMIADQKWRASAHKGQWNERCPWYLHAFFDNLADTDCDDSSDEPCQDHDELVESFPSVVVTEE >KGN66761 pep chromosome:ASM407v2:1:27385687:27386258:-1 gene:Csa_1G680080 transcript:KGN66761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPHSSWLAHAKWRKLFVKTHDGTQLLGPELTTENACSRPSNITISSKTLMRTLAFMCTSSCCVERCIVLLDNIVAILMALSSTSVNVLAYARQVGSQSISLMYDCNTMVGVMVVSTTPECIILKFL >KGN66420 pep chromosome:ASM407v2:1:23524150:23526443:-1 gene:Csa_1G604060 transcript:KGN66420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHSLISPSQSSTFPFHFHSLNSINLLLPKSLFPGFPSHPKNALTTFLHFHKTRSNKSLSNTFNSPSSTRASLIEAPILWAGRLCIFYALLRAGLAGSQSNPLISDLDLSYGGSSDGESSSDLGFSKWLESVRGKPVDEAVDKRKLVSKWHPTTKGTLRRNYRVPSKSEGRRLLKAIMSLLSDDDHFVDATSHKGCQIRRESAHGESVCCNNVRALFDELPTPHLIVEITPFPAGPLNENDYTKAEKLERVLRSGPSV >KGN64090 pep chromosome:ASM407v2:1:4016464:4016952:1 gene:Csa_1G041520 transcript:KGN64090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRCWVGSCIRKACRLWESLKGEEESGDSGGHGGRKLTMVAEMVEEWKEMIVALCVSSAIGIATVSVQIETNKQLPKTFQFLSIVVLLCFGFVGFAKMTKPIFKTVSKILYCVGGFLGVTAFFIATAIPFAPYFQIIIFILYLLFCFIFMLITTIHNQQPSS >KGN65891 pep chromosome:ASM407v2:1:19410488:19411953:1 gene:Csa_1G537410 transcript:KGN65891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTKIWVLEILLLFLHYFFLGGAMAAEAGMPVMAAPAEGNTTFIDGTTWCVAKAGVSQIDLQNALDWACGMGKADCRAIQKGGRCYEPDTLLSHASFAFNSYYQQNGNSDIACNFGGYGKCDYSASSSTNSAPSKSKNSPTHICLKLVSVVSVVLNLYFGSS >KGN64881 pep chromosome:ASM407v2:1:9661025:9661293:1 gene:Csa_1G144340 transcript:KGN64881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNFMRDAGESESQVYMMVKVNPGMRKNEYYNALLEITEKLCDARESES >KGN64679 pep chromosome:ASM407v2:1:7588302:7591553:-1 gene:Csa_1G073860 transcript:KGN64679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSRFFTSQFLWISLPFFFTFFLLNIPPTTSMVQYWNSDTEALYDQHCNQIVPKSPLDVDPSSFAVPRQRLQFRNSYFSGGDKIIGQTPGSAAPVSPRYVFLYTLNARKTVSPGVIKLQANLALRGSTTYIDPFDNSKHRRLRLVRYRGPKTRPWRRRVGFGLDGFWSETSGKVCMVGSGTSFMNSGDLQNLNVVLKLNYPSNVTILHSLITGTLESLNDNNGPQFFEPVSILSLAQGTDYKYTFIDKGVEDCLSGNRRGLNLSQGVCSVISMLTDTFDLAYESDCDDVNCNPLGKNVKDLPVSMYYEGIECTHEGKLRMLLHFANSSYHVNRYSLVPNDTLIAEGIWDQKENRLCAVACRILNYTQSLTDASVGDCSIRFSMIFPAVFSIRNRSTVEGQLWSTKSANEPGYFKKIWIRSYNEMLLDPSQIKYEYTEVGAQSSCPQSKNVHGKGRTYPSENSSDMRFDISLKNSKGQIARGFASPLFYGQRSYRGFANFSWSGDRETPMALNAYGNGLLNISYRINFRSSDFKLAGENFSSKKVLISAEGIYDKNTGSLCMTGCWQRELMKTRILDCQIVVKVQFPSVDASGMDHIQGTIESKRSKSDPFYFDNLELSSVSLYRKQAEDSLWRMDLEIIMVLISNTLACLFLVLQLFYVNKHPEVLPFVSALMVVIMCLGHMIPLLLNFEALFAAKRNQQNVFLGSGGWLEVNEVIVRVVTMVAFLLQLRLLQLTWSSRQGNTSEKGLWDSEKKVTYLTLPLYAVGISIAWLVYKWRSSYNSSYRPFFQPIHKGYQFVPTRQLGYQQNSFWEVLKLFAGLVLDGFLLPQIIFNLIFDAKEKALSFSFYMGTTFVRLLPHAYDLYRAHHTSWYLDLSYIYANHKLDFYSTAWDIIIPCSGILLALLIFLQQRFGGRCVLPRVFRKQVPSYDQVPTISNEEL >KGN65526 pep chromosome:ASM407v2:1:15992939:15995771:1 gene:Csa_1G435710 transcript:KGN65526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLPSVHSISLFRPKIPTPKSTFTPNAELFRPHKMRVPFKLKDEQNRIFHQLPSGLQMEVIVQKGSPKSSQSMPSVVQRPPLLFLHGSYHAAWSWAEHWLPFFSASGFDCYAVSLLGQGESDSPSASVAGTLQTHASDIADFIRTSFAIPPVLLGHSFGGLIVQYYIANNDHGHFSDTEGLFPRLTGAVLICSVPPSGNSGLVQRYLFTKPIAAFKVTLSLAAKAFQTSLSLCKETFFSVTMEDHLVLRYQELMKESSRMPLFDLRKLNASLPVPSLPKSGIEVLVLGASDDFIVDAEGLNETGRFYNVTPICVQGVAHDMMLDCAWQKGAQTILTWLDCLGP >KGN63747 pep chromosome:ASM407v2:1:1915599:1918626:-1 gene:Csa_1G014440 transcript:KGN63747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSKTQKATDKMRLFLQNKVDCSVFLRFLSMSHKKSSKIQAIYDLCKKSLTPANSPLSSQTIKNLCSLLDTVGVGDVGLKEENADDDRGHGLFGLSQLNRIARWAQPITYVDIFECENFTICIFCFPTSSVIPLHDHPGMTVFSKVLYGSLHVKAYDWVEPPFIHESKGSTYFSARLAKLAVDKVLTASSATSVLYPKAGGNLHCFTAISPCAVLDILSPPYNEDKGRRCTYYHDYPYSTISADTKLYLNDEEVEDHAWLAKIETPDDLYMRPGMYVGPAIQT >KGN63515 pep chromosome:ASM407v2:1:517062:518160:1 gene:Csa_1G002840 transcript:KGN63515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARETTPLRIATLTTPNTATCLAAHNGLLYSGATNQITVFDITNHFTQIDTLCVNDAASGSVKSIAFGPWKVFTAHQDCKIRVWKVTRSGPPCHRLLATLPTVKDRLYRFISPRNYVHVRRHRKRLWIEHWDAVSGVVVNGGFVYSVSWDRSLKVWSASDHKCLLSVKAHEDAVNAVAVGQNGVVYTGSADGVIGVWEVREGKKKKKYTLVRTLNNHKSTVNAIVLNEGGRAMFSGSSDRSIMVWKKEDGGKKISFVEDLWGHQGAVLCLYTFRDLLVSGSEDRTLRIWRGDVTNGYRCTTVVDGHRSPVKSLVLVSVEDGERSLMICSASLDGEIRVWSSKL >KGN64110 pep chromosome:ASM407v2:1:4158743:4160683:1 gene:Csa_1G042220 transcript:KGN64110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALATSNLLFLFLFFLSLFPFTLSRSSSHLSPHSSASLDVSASLQQANQVLKFDPTASISFQQQVHLVPSNSSFSFSLQLHPRDSLHNAGHKDYKSLVLSRLSRDSSRVKSIYDRLEFALSELKRSDLEPLKTEILPEDLSTPIISGTSQGSGEYFSRVGVGQPAKPFYMVLDTGSDINWLQCQPCTDCYQQTDPIFDPRSSSSFASLPCESQQCQALETSGCRASKCLYQVSYGDGSFTVGEFVIETLTFGNSGMINNVAVGCGHDNEGLFVGSAGLLGLGGGSLSLTSQMKASSFSYCLVDRDSSSSSDLEFNSAAPSDSVNAPLLKSGKVDTFYYVGLTGMSVGGQLLSIPPNLFQMDDSGYGGIIVDSGTAITRLQTQAYNTLRDAFVSRTPYLKKTNGFALFDTCYDLSSQSRVTIPTVSFEFAGGKSLQLPPKNYLIPVDSVGTFCFAFAPTTSSLSIIGNVQQQGTRVHYDLANSVVGFSPHKC >KGN64757 pep chromosome:ASM407v2:1:8336807:8340949:1 gene:Csa_1G088470 transcript:KGN64757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASAFTISPSFPFSTSRTPKNKPISSSISSQNASQFVPRREILKRFTLLPLSFPLFHSLNPLPSLSKEIEVGSYLPPSPSDPSFVFFKASQSDTPALRAGNVQPYQFILPPTWKQTRVANILSGNYCQPKCAEPWVEVKFEDDKQGKIQIVASPLIRLTNKPNATIEDIGSPEKVIASLGPFVTGSTYDPEELLESSVEKLGDQTYYKYTLETPYALTGTHNLAKATAKGSTVVLFVASANDKQWQASEKVLRTMLDSFHL >KGN64390 pep chromosome:ASM407v2:1:5845018:5848203:-1 gene:Csa_1G050290 transcript:KGN64390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATHSVDPRSGFCSQTKIYNSLRPPLSLPPLSQPLTIAEHVLSVLRSSSPPPNSAVLIDSDSGLSVSYALFLHQIRNLTSNLKALYSFSNGQVAFILSPTSLQIPVLYFVLLSLGVVVSPANPISSESEIVHQVSLCKPAIAFATSSTASKIPRLPLGTVLIDSPEFLSLMNESKPSDGVNDGIFDLKINQNDSAAILFSSGTTGRLKGVLISHRNLISAINGVRVLDKTPVDGEIETHPVALSLLPLFHVFGFFMLFRSISENHTLVLMRKFDFEKMLRAVEKYRITYIPVSPPLIVAMAKSELAAKYDLSSLQILACGGAPLGKEVIDKFHVKFPNVEIIQGYGLTESSGAAARTVGPEECSTAGSVGRLSENMEAKIVDPSSGEALPPGHKGELWIRGPGIMKGYVGDERATAETLHPEGWLKTGDLCYFDSDGLLYIVDRLKELIKYKAYQVPPAELEHLLQSHPEILDAAVIPYPDEDAGQIPLAYVVRQPGSNINEAQVMDLIAKQVAPYKKIRKVSFVNVIPKSSLGKILRKELVKHALSQGSNKL >KGN66857 pep chromosome:ASM407v2:1:28340757:28343871:1 gene:Csa_1G701330 transcript:KGN66857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLTVSFGRLRRNRSFGFFVFLKEWRRFLHVTTFDFGGFCNFQSFVISIMSEEEWKSLFPIGTVFKSPLLISGSSVKNSIGPLVFNPVPTSLTRLFSSQSLLPSLSPPSVLNLPRFLLTSSSVVPSTSSSVASLFGEQQCCSDPPSVLRYNRLQCLPCPNSSSVVVFFPTGPNSDHVGFLVVSSNGSGLDVQSDCSNDVFSVESELNYQIFGIAVNPNSGFVDDSYEDIGFLLAYTMYSVEWFIVKNHAIGSSCQPRVSLVHMGSKVFKTCSVVHACWNPHLSEESVVLLEDGSLFLFDMEPLLKTKDYNANVNLKGIKLKVSWDGLDCSKKVKWLSCEFSWHPRILIVARSDAVFLVDLRENDCNISCLMKIETFPTYSLGEKEQFLAFSKAGSDGFYFSIASNHLLLLCDIRKPLSPVLQWTHGLDDPSYMNVFSLSELRSSPGNIMYKVASESGYCIVLGSFWSSEFNIFCYGPSPPGLDQSISSRSSKYFQSFYAWERPSNLILSGRECPCSSCLTKQESLKDAISEWVEWQQKKEIVLGFSILDNNLSLPFTGQNEYGSFTLIRLMSSGVLEAQTYQASWNSLKKIDVVHKESLNLNDYLLYGWLVDDKYRFTRRYMYFNFDYLMGYLNDKLDEVVDSFMRKYCKDSLCEQSLSLEVHEVLCEKIKACGFDRLRSTPALAVVFNDISLPSSIQEIAFRKLWASLPMELLHFSFSSYSEFLDNKNTVSFEFLSVPSLHQLPPFMLRDPSSRSTKWSHKVPRTENIVGPVLPLPILLVLHEFRNGCSKLEEEEAGKFSVEAEFREQYDEIRSAAGEMAVSPFDPKVDDGPAVSLGDDREYVSAESQKPKSFVSYNPFAFNSHTLDSTQGNLTNCANVFDSLIFKLGGKEASSEKSQNNASRELYNGLCPVELEFNAPLMDFGSKELKAYDLLKRQLLKWEDGFDAYKEFRSKI >KGN64690 pep chromosome:ASM407v2:1:7670097:7670954:1 gene:Csa_1G074950 transcript:KGN64690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSISLAKNVIFNLCKPKAAFANSSPSLFLNNHSTKLSSLSFSTSASKHPSWDGNGVDANRDSSPDYAYEDAKEQAKEEAKEMKERAKEGAKEMKEKAKDYSQETKEKVAEKTNKASETASAAKEKVKDYARDAKEKAKEGTNRATETVEEATERAKEGAKGMKDKTKEMAKEGTNKATETMQNIGEKAKQTVQGAWEAAKDTTNKVKEKVMGTNNEEEDEEGRRGKVMDEDVVDVRRKAGNKYDE >KGN65185 pep chromosome:ASM407v2:1:12656516:12660484:-1 gene:Csa_1G257910 transcript:KGN65185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPNNETKLPNSRQPRMLQKPSTRRRRRCRRHSLFTQSHHSHFHCILRVLIPEFTTLPLTSLSIPQHYFRNFTLFFLSNNNPVRGSFNSARVDSIGLLRRQAPMTTSALNLISSLFNSRFLDSHITLFPDKSPVKRECDDSFHHEHAQSDKRFKPDFHKQSVLRSDTLSSTSSHNNPLDEPSPLGLVLRKSPSLLDLIQMKLSQGSSTTAAGPSNAETFDFVVKAESQDATVPGTNEKLKASNFPASHLKIGRWEYKSRHEGDLVAKCYYAKHKLVWEILEGGLKSKIEIQWSDIMGLKANCPDDGPAILNVVLARQPLFFRETNPQPRKHTLWQATADFTDGEASIQREHSLQCPYGLLNKHFEKLIQCDSRLNFLSRQPEIVLGSPYFEPRASTFTLEQASIRGSEQAVNGNQSLLSAFQDVVSSTAATSLTIEQASPQMVFEPFTVKAPSPSSVMDAREIEENRSTKVTGKPINWEQIKVPGLHPSMSMSDLVNHIGHHITEQMASTKTPFVDDGSEEYQAMLDDIAQYLLSDNQLSATSDEVSLMSRVNSLCCLLQKEPVQSSQTNGENYDGPNNKDDAQLKDNAELRDGKNIEEQTHIQPTDSGSIQASAMSRKDSYGELLLHLPRIASLPKFLFDISDGDEGQD >KGN66005 pep chromosome:ASM407v2:1:20498932:20504876:1 gene:Csa_1G561360 transcript:KGN66005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLLLLLFLIPLTFTKWVHAFHLYNLSDSSLFDSYQTSFAATLNPLIVPLTLIHGADAKQAVCLDGTLPGYHLHRGYGSGANSWLVQLEGGGWCNNIRNCVYRKTTRRGSSRFMEKQIPFTGILSNRAEDNPDFFNWNRVKVRYCDGASFTGDSENKAAQLQFRGQRIWLAAMEALKAEGMRFAKQALLSGCSAGGLASILHCDEFRELFPRTTKVKCLSDAGLFLDVADISGGHFIRNLFGGVVGLQGAGRNLPSFCMSHLDPTSCFFPQNIIAGIRTPLFLVNAAYDSWQIQSSLAPPSLDPAGYWHDCRLNHAKCNQPQIQFLQGFRNQMLNAVSDFSKSSENGLFINSCFAHCQTERQDTWFGDNSPVIGNKPIALAVGDWYFDRAAVKAIDCPYPCDRTCHHLVFRSG >KGN66430 pep chromosome:ASM407v2:1:23619634:23631616:-1 gene:Csa_1G605100 transcript:KGN66430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSLALAYWHQHGMAFCYWMDGDLGRFLMISSRMTRVEELWERLVRAALRRDRIGIDAYGRPESGIAGNVPSSLANNRDIDEILRAADEIQDEDPNISRILCEHAYSLAQNLDPNSEGRGVLQFKTGLMSVIKQKLAKKEGGTIDRSQDIARLLEFYKLYREKNNVDKLREEEMNLRESGAFSGNLGELERKTLKRKKVFATLKVLAMVVEQLSDAIPEEMKRLMELDAAMTEDLIAYNIIPLDAPSTTNTIGSLAEVKAAVAALKDFSGLPKLPAEFSIPETRSPDVFDFLHFIFGFQKDNVSNQREHVVHLLSNEQSRLRIPEETEPKLDEAAVEGVFKKSLENYVKWCEYLCIQPVWSSLSAVSKEKKLQFISLYFLIWGEAANVRFLPECLCYIFHHVCSCGLICNFIGLCSLLLFLISCYIFPSRLLVASVFSIVVVI >KGN64043 pep chromosome:ASM407v2:1:3767322:3770816:1 gene:Csa_1G039100 transcript:KGN64043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSFQSSPFPLHKNTMLPPVFFSFVLFLCINILTLQFDNRNRISLDNKGLLSKSFFDCYYCCKSFCIRASLFCSVFFADMNPDKFTHKTNEALAGAHELALNSGHAQLTPLHLAVALVSDPSGILSQAIASSGGENAHKEVETVFKRALKKLPSQSPAPDEVPASTTLIKVIRRAQAAQKSRGDTHLAVDQLVLGLLEDSQIGDLLKEAGVTTAKVKSEVEKLRGKEGKKVESASGDTTFQALKTYGRDLVEQAGKLDPVIGRDEEIRRVVRILSRRTKNNPVLIGEPGVGKTAVVEGLAQRIVRGDVPSNLADVRLIALDMGALVAGAKYRGEFEERLKAVLKEVEDAEGKVILFIDEIHLVLGAGRTEGSMDAANLFKPMLARGQLRCIGATTLEEYRKYVEKDAAFERRFQQVYVAEPSVPDTISILRGLKERYEGHHGVRIQDRALVVAAQLSSRYITGRHLPDKAIDLVDEACANVRVQLDSQPEEIDNLERKRMQLEVELHALEKEKDKASKARLVEVRRELDDLRDKLQPLLMKYRKEKERIDEIRRLKQRREELQIALQEAERRYDLARAADLRYGAIQEVESAIARIEGNTDENLMLTETVGPEQVAEVVSRWTGIPVTRLGQNDKERLVGLADRLHKRVVGQNQAVDAVAEAVLRSRAGLGRPQQPTGSFLFLGPTGVGKTELAKALAEQLFDDENLLVRIDMSEYMEQHSVSRLIGAPPGYVGHEEGGQLTEAVRRRPYSVILFDEVEKAHIAVFNTLLQVLDDGRLTDGQGRTVDFRNTVIIMTSNLGAEHLLAGLMGKCTMQVARDRVMQEVRKHFRPELLNRLDEIVVFDPLSHEQLRKVARLQMKDVAARLAERGVALAVTDAALDYVLAESYDPVYGARPIRRWLEKRVVTELSRMLIKEEIDENSTVYIDAAADGNSLAYRVEKNGGFVDAATGKKSDVLIQINNVPRSDAAQTVKKMKIEETDEDEMEE >KGN65009 pep chromosome:ASM407v2:1:10881475:10886011:1 gene:Csa_1G179740 transcript:KGN65009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVRNLVLFMLFITVIAPILLYTNRLGSFNFSSSRGEFLEDDFSSFTLSSHSEHLNILPLESTRTLKEPVGAVYSNNMVHLDPDASAIEQNSTDGQASAHDLQLPESREYKSTRALSTTNENVSSISENHVRQITDQPGQQNLSKGILIQSDPKHVKERKRERQSIQSTDKDRKARESYKAEKDDDEASAPNTKVQYLKDQLVQAKLFLSLSATRNNVHFIRQLHQRMKDIQRILGRANKDSELRRDAQEKLRAMDETLTRGKQIQDDCALMVKKIRAMLQSTEEQLRVHKKQALFLSQLTAKTLPKGLHCLPLRLTTEYYNLNYSQLSFPNQEKLEDSSLYHYALFSDNVLAAAVVVNSTTAHAKDPSKHVFHIVTDRLNYAAMRMWFMVNLYRKATIQVQSIEEFSWLNSSYSPVLKQLGSPSAINYYFKAHRAHSDSNMKFRNPKYLSILNHLRFYLPEIFPKLNKVLFLDDDIVVQKDLTGLWSLDLKGNVNGAVETCGESFHRFDKYLNFSNELISKNFDPRACGWAYGMNIFDLNEWKRQNITDVYHTWQKLNHDRQLWKLGTLPPGLITFWKRIHPLDRSWHVLGLGYNPSVNQKEIERAAVIHYNGNMKPWLEIAIPRYRNYWMKYVDFNQEYLRQCNINP >KGN65561 pep chromosome:ASM407v2:1:16391320:16396511:-1 gene:Csa_1G448920 transcript:KGN65561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCSCCTKHFFQRFFTDEFQINGRGGGALQSTFLSPELLPSLGATINQTTHLRKHIISPFSPRYRAWEMWLVVLVIYSAWICPFEFAFLPYKQNALFLIDQFVNAFFAIDIILTFFVAYLDSQSYLLVDHPKKIALRYLSTWFIFDVCSTAPLQSISFLFTNETGEVGFKLLNMLRLWRLRRVSSLFARLEKDIRFNYFWTRCTKLISVTLFAVHCAGCFNYVIADKYPDSKQTWIGAVNPNFKEESLWNLYITSIYWSITTLTTTGYGDLHAENPREMLFDIFYMLFNLGFTSYLIGNMTNLVVHWTSRTRNFRDSVEAATEFALRNQLPERIQDQMLSHICLKFRTEGLKQQDTLNELPKAIRASIAHYLFYPIVQKAYLFEGVSHDFLFQLVIIFLNFFKMKEYERILGNIWCDNGELKELDQNHYSKEDDDENINMGIDDNELDEHRIEFEEEEVGGDEKEIIKYSHNNRLLEGRNNNNKEGGKTSDLMNSSSENLGRMRSCKNLNVSKQIKKRVTVYVQPEDRSKVKSEIGKLILLPDSIQQLCKIAGEKFGGEIMATKVMSADNAEIDDINVIRDGDHLFLLYNHIQNCGLQ >KGN63744 pep chromosome:ASM407v2:1:1908626:1909614:1 gene:Csa_1G014410 transcript:KGN63744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKGIFAISIASTMASSDTGQLIGFTATTVISLALGLVVAVVVAVVVAVVVAVVVAVVVAVVVAVVVAVVVAVVVAVVVAVVVAVVVAVVVAVVVAVVVAVVVAVVVAVVVAVVVAVVVAVVVAVVVAVVVAVVVAVVVAVVVAVVVAVVVAVVVAVVVAVVVAVVVAVVVAVVVAVVVAVVVAVVVAVVVAVVVAVVVAVVVAVVLVGLLPPPAFLLPGLALSLLLAGLALALSPPPHSLPPPHSLLPPSHSHSLPPPPPHSHSHSLPPPPLPHSHPPPPPPPPSLLPLQLFSSSIKEFFFSRKAFFFLFFLFCFE >KGN63483 pep chromosome:ASM407v2:1:303161:304602:1 gene:Csa_1G002030 transcript:KGN63483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSKRPRTCRNFTVDSDLFDVLPDDLLIHLLSRLAASASSPSDLLNLLLTCKRLNRLVLNPMVLCKAGPKAFAVRMRNWSDSAHRFLKRCVDAGNSEASYTLGMIRFYCLRNRGSGASLMAKAAIKSHAPALYSLAVIQFNGSGGSKSDKDLQAGVALCARAAFLGHVDALRELGHCLQDGYGVRQNSDEGRRLLVQANARELATVLLSSSSTWQQQRHNQSGNLPDLTATRCSLLSDFGCNVPAPEPHPVNLFLREWFESEGEVAARVGLRLCSHSGCGRGETRPHEFRRCSVCGTVNYCSRGCQAQDWKVRHKEECTTVQRWRDEDANNAGEMFGIVEEEVEDANNIVGIV >KGN65525 pep chromosome:ASM407v2:1:15989546:15990374:-1 gene:Csa_1G435700 transcript:KGN65525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITEFSAPKSVVMNIKLPKKFLYLFAKGALFLISFFFIYFSLSSDLFNHTNFWFFLSNTLIFVIALDSGAFSSPSSFVPAAKPNPSSPQHNFNNTIVVNQLPNSPIPAQNEEEETIIPLTTEISFPCKFNNPIKPYQRSKSEKDIKRMVEKAKKVRMRRSKTMIKQNGTSTKEKEEENDEFTKMTDEELNRRVEEFIERFNRQIRLQEMNEDENEKEDRF >KGN65810 pep chromosome:ASM407v2:1:18697596:18700165:-1 gene:Csa_1G531690 transcript:KGN65810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSELVILVVVLISLQLHWGVDALGSASTVAVAYGTSTVCGISAGKKSQRIQCHRGDQVISILPQVSFEAISGGQSFFCGLRTGGFSLHCWETDAGFSNHSFQPKRIYYSTNFPLTDLAVGDAQVCAREISSGKAICWRGSNRVGSLFPSPDPALDFLTITSGSGFSCGILKNKTVRCWGNNGIGSKIQSQLGNLLMASLVAGESHVCGLTALDGVLVCKGNNNAGQLEVPSNSAHEYSDLALGTSFTCAIRQNNGVVSCWGEGANELNIEKNINVSVSFELITAGSDMLCGLKTNNLTIMCWSKANNNSPVVLPLGMIIPGPCVQDSCSHCGIYPNSAFLCDGSGNICKSCQRELPFAVPLPKSPNSSSNPGSSSPTKSINRLTMAFLIVGAIGALAGTCTILFCISNCLTSRSSCNTANNQEQNINQLSLIENSSARAFSLMELAVATNNFSSENKIGAGSFGVVYKGKLTDGTEVAIKRGETKNDEKTEDPQEKQNAFCSELTTVLRLNHKQLVGMVGYCQENDERLLVYEYMPNGSLHDHLHNKDGLLLNTWNIRIKIALDAARGIEYLHNYAVPPIIHRDIKSSNILLDGNFTAKVSDFGLSLMRQPETSTESAVGGTIGYIDPEYYVSKISTAKSDVYGLGVVLLELLTGKKAVFRDSGGGVPMTVAEYAVERIGRGELWNVVDKRVRGGEMKEVDAVEMVAYTAMHCVKLEGEERPNIGDIVENLEKAIALCSDELCDSV >KGN64944 pep chromosome:ASM407v2:1:10335250:10335612:1 gene:Csa_1G166000 transcript:KGN64944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRQHLPIVFINIVHINLQLSLNNRLRHYWHIHRCRLVLQRGRNGKRQSVQRRFGDRGNHTRRRRGGGGRRRNRGLVIEMRRVSKTGGGLVLGKSSENSEGFRQRKTLVMLEVMNMVTRS >KGN64683 pep chromosome:ASM407v2:1:7618835:7620046:1 gene:Csa_1G073900 transcript:KGN64683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRNFPGIEVSSFSDMVFEFLDDGEGWPAEGFGSSLESETTGFDENDEEKENGESLEESKSFWETQIQILQGMIYRSNSVESKIRNATKEAVKEIERSGGGCACGRSVLAMTGCRSCMMREVSGHLRNAGYDSAVCKTKWKSSQHIPSGEHTFLDVVQRNTKKGEVRLIIELNLRGEFEMARGSEEYNRLVRRLPEIFVGKVEKLQGVIKVICGAAKKCMKEKKMHLGPWRKQRYMQAKWLSPCERTMSMPLIPSSSRLPKPRASMLTVDFLDKLPNRTPLEVV >KGN65558 pep chromosome:ASM407v2:1:16358865:16362753:-1 gene:Csa_1G447410 transcript:KGN65558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQDTHHHHHHHDGHDHHHTHEKPKGDSSFVGADGRVYHSHDGLAPHSHEPIYSPGFFTRRAPPLLTRNFNERAFTVGIGGPVGTGKTALMLALCTFLRDKYSLAAVTNDIFTKEDGEFLVKHGALPEERIRAVETGGCPHAAIREDISINLGPLEELSNLYKTDILLCESGGDNLAANFSRELADYIIYIIDVSGGDKIPRKGGPGITQADLLVINKTDLATAVGADLAVMERDALKMRDGGPFVFAQVKHGVGVGEIVNHIIQAWEAATGKKRH >KGN66794 pep chromosome:ASM407v2:1:27769335:27780719:1 gene:Csa_1G690310 transcript:KGN66794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWYRPGASSFTVLKPYFLYNSLTTPKLTNRSSSIAVMTTKSVGESDVGILSYISSLPGFRGILKQRYSDFIVNEVDTDGNVVHLTSLDAPPEIVSESGPTIVHSTSQSYASEIELFRSLAGDSDAEILEIFLKQINSGVNDDILPIVFSPDTDKTHRTAVHNFFKKFKFLVTDTIDGPDSSSKCVRVRVDSGAQNNRGRFSKKRKERGDKPFDSRGSDNWPEHVGKFLRFHLYKENKDTQEALGLIGKMLGIQTRSFGFAGTKDKRSISTQRVTVFKQHASRIAALNDRLIGIKLGNFSYIQEGLLLGQLLGNRFTITLRGVVANSEDVIKASAEALGRHGFINYFGLQVRCVVFDPDSEFCTIECLWEGISQAIGVPYGVTLGGKLIVICKYLIYIRKECLYRYGRIESCQLDVKISTLEVPCEEEFYMWLNEGDTILINSRFLEVDYL >KGN64236 pep chromosome:ASM407v2:1:4891760:4892151:1 gene:Csa_1G044850 transcript:KGN64236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRSQMLCLSVKSMVFESYGVGNALRSHMESTKYLMRMIKYRVPKEKEMNLGAFPHTDKSFLTILHQNEVNGLQIKTRDNKWIQYHPFSSSSTSSFIVMAGDAFFVSSISFY >KGN66200 pep chromosome:ASM407v2:1:21862130:21865060:1 gene:Csa_1G575160 transcript:KGN66200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMFNKLFGKPKQESNALATLDKLNETLEMLEKKEKVLLKKASTEVEKAKDYTRAKNKRAAIQCLKRKRLYEQQIEQLGNFQLRIHDQMILLEGAKATTETVDALRTGAAAMKAMQKATNIDDVDKTMDEINEQTENMKQIQEALSNPIGAAADFDEDELEAELEELESAELEEQLLQPATTAPAAPIPVPAGRQTARPAPQKRTAEEDELAALQAEMAL >KGN64829 pep chromosome:ASM407v2:1:9115679:9118683:-1 gene:Csa_1G120450 transcript:KGN64829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKLSFDLLFCSFFFAFLLVPWPAMSQEVENQREFDYNANGTRGPAHWGVLRPEWHTCNTGQMQSPIDLLNQRVRIVSHFTDLKINYSSSNATLKNRGHDIMLQWSGRAGYMEVNKTQYFLRQIHWHSPSEHTINGRRFALEAHLVHQSQTGKIAVIGILYNIGQPDYFLSTMRPHLIEISGTQRDRLLNVVNPSLLRMRSSLYYRYIGSLTVPPCSQNVLWTLVRKVRTVAPEQVNLLRVAVHDDSNTNARPLQPLNNRNVQLRIKASIEGAE >KGN66387 pep chromosome:ASM407v2:1:23195295:23200148:1 gene:Csa_1G600760 transcript:KGN66387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIGKLPLGPHGAKFCCSGSSRSLSLSALDPNLHSLFLFLLLPQPSHFPYFSFAIERRMSSFGEDTHWCHQCHHSFWLDGEDIVCPHCYGGFVEELNDEHDETVQNDFNPGIEEDLSTQVPPIFEAMFALMGRRSPYPRFGLLEAVDTFTRERMAGRNPNFDVRRRSGSVPGQNLDFFNSFWSFHDHMSGSTFANVTPEGRSSQHTGLEELAAQLSLNEQREPVPTPASHSCIEAMPTIKINQMHLGTDSHCPVCKEKFELESEAKALPCNHIYHNDCILPWLVQHNTCPVCRLELPQQESGHSWGGSGDNNSEDLNEREITQRNLRRRHPIPDFFAPRRIVTRFSSGIHINGLSSDRDHDNEMGYPE >KGN65951 pep chromosome:ASM407v2:1:20058537:20058998:1 gene:Csa_1G542420 transcript:KGN65951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHGEEKCFCTGNTSAKKKKKGVTGKPERLICIKERNPLDEMVRKMKRVLKRGYRDGDCDEENAFWLLVGLRGIEMNIGKERKELGVCEEWVLVAANHPNFLRRLASDDKRTRSRKGEAFLHN >KGN66771 pep chromosome:ASM407v2:1:27526678:27546128:-1 gene:Csa_1G688130 transcript:KGN66771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKQDEPLHVSSKAELKRREKQQKKAKSGGFESLGLSANVFRGIKRKGYRVPTPIQRKTMPLILSGADVVAMARTGSGKTAAFLVPMLERLKQHEPQGGVRALILSPTRDLALQTLKFTKELGKFTDLRISLLVGGDSMETQFEELAQSPDVIIATPGRLMHHLAEVDDMTLRTVEYVVFDEADCLFDMGFAEQLHKILAQLSENRQTLLFSATLPSVLAEFAKAGLRDPQLVRLDLDTKISPDLKVVFFTLRQEEKNAALLYLIREQISADQQSLIFVSTRHHVEFLNVLFREEGIEPSVCYGEMDQDARKIHISRFRARRTMFLIVTDVAARGIDIPLLDNVINWDFPPKPKIFVHRVGRAARAGRTGTAFSFVTSEDLPNLLDLHLFLSKPIRAAPTEEEVLLDKEGVFSKIDHAIASGETVYGRLPQTVIDLASDRIRETIDSSADLISLQKTCSNAFRMYSKSKPLPSKESIRRAKDLPREGLHPIFKTALEGGELMALAFSERLKTFRPKQTILEAEGETSKSRHRQGPNQWVDVMKRKRAIHEEVINLVHQQQFAKHVEEELPLENISPKDKQKKGPRGLKRRKTTSFKDEEFYINSVPTNHHTEAGLTVKGDQGFGSNRLDNAVLDLVADDSSGMQKNKSVYHWDKRSKKYVKLNNGDRVTASGKIKTESGAKVKANKTGIYKKWKERSHNKISLKGISNGEHDGDAINTGGNQRFSGNKRRFGQGRNKHSVPNAHVRPEVKNLDQIRKERQKKADKVQHMKNNRPKRGKKSGKRGSKRKAK >KGN63675 pep chromosome:ASM407v2:1:1488453:1490944:1 gene:Csa_1G009790 transcript:KGN63675 gene_biotype:protein_coding transcript_biotype:protein_coding description:AOBP (Ascorbate oxidase promoter-binding protein) MLEVKDPPIKIFGKEIQLPPDCEVSLIETDDDDSDSFSDKQPGDGALLKDVGKVSESSAGKEETLHDSEDSACVQTANEAHMNPEVVSMDENDKLETSKPEKEQNGAPNSKEKLKKPDKILPCPRCNSMETKFCYYNNYNVNQPRHFCKACQRYWTEGGTMRNVPVGAGRRKNKNSASHYRQITISEALQAAQIDVPNGINCLATKSNGRVLNFSVNAPVCESMSTVLNPAGRKVLNGTRNEFYRPDDQGIKAPCKGGETGDDCSSASSVTMSSSMEEGARRCPQEPQMQNINGFPPQIPYLPGVPWPCSWNAPMPPPAFCPPGVPLPFYPATYWSCGVPGAWNIPWFPPQPCSQNSGANSPTLGKHSRDVGDKLQADNSEKEEPPKQKNGSVLIPKTLRIDDPNEAAKSSIWETLGIKNDSIKAVDLSKVFQSKGEQKNSVSEMLSPVLQANPAALSRSITFHERS >KGN64740 pep chromosome:ASM407v2:1:8105758:8111834:-1 gene:Csa_1G085365 transcript:KGN64740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMMNNGYNSSFNSNSVGLMSNTGATTASSSSSTSTTNANSQSQGLKTYFKTPEGRYKLHYEKTHPPGFLPFSHGKSVSQVTLAQLKDKPAQAGPAPSSSSSASASSGVRYAAAKFLGVGGGNGVRAIGFAGGNGTGKAVNGTSRSGSLVGSNGSHSILNPNYDGKGTYLIFNVGDTIFIGDLNSPDKDPIKSLHFSNSNPICHAFDPEAKDGHDLLIGLNSGDVYSVSLRQQLQDVGKKLVGAQHYNKEGSVNNSRCTSVAWIPKSDGAFVVAHADGNLYVYEKSKDASVDTSFPVIKDQTQFSVAHARSSKSNPIARWHICQGSINNVAFSTDGVYLATVGRDGYLRVFDYSKDQLICGGKSYYGALLCCTWSLNPWHFVDFLLQVSGVAFDSYWSSPTSDDIEENVVYRFGSVGQDTQLLLWDLSMDEIVVPLRRCPPGGSPTFSTGSQSAHWDSITPLGTLQPAPCMRDVPKLSPVVAHRVHTEPLSGLLFTQESILTACREGHVKIWMRPSSESQSSNSEALVGGSSSLAGSRVGSSILK >KGN64564 pep chromosome:ASM407v2:1:6881781:6882992:-1 gene:Csa_1G064860 transcript:KGN64564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENPVEALEAKLKKVEDEEVHNIPITDNNHTTLLHQMALLLNAHQQRLLQYAPTHRMPNLELPMFDGTDTLMWILKMERYFEVHHIDDIAQMMETILLCMSGQALAWFRCFQNWGNPPESWGEFRGSLYKRFGDGHRVLSRFIGLQQEGSVGEYCSKFESLGALLPELSHCVVEAKFMNGLKTEIRGEVRMLDTEGILDIMHRARLAEIKNNVAFNSTKRKGTVKESSLIMIMLSA >KGN65619 pep chromosome:ASM407v2:1:16955304:16956574:1 gene:Csa_1G470350 transcript:KGN65619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLTASFPPELGALSFLTYITIKNNSFHGPLPIEILNLPRLKVFDIGNNEFSGEIPAWLGKLPRIERLLLYGNRFYDSIPVSIFNLTSLLTLSLQNNQLSGGIPREVGNMTILEDLFLDGNQLTEIPSEIGKLGRLKRLNLESNLISGPVPGGIFNLSSLIALDLTRNNFTGGLPDDICENLPALKGLYLSVNHLSGRLPSTLWRCENIVDVGMADNEFTGSIPTNFGNLTWAKQIVLWGNYLSGTIPIKACKYMDYIQRIYNNISYFWLNFSKMLFHICYYPHFKPPPPQHKL >KGN65633 pep chromosome:ASM407v2:1:17045462:17045811:-1 gene:Csa_1G472470 transcript:KGN65633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSESAGDGDGDGKGIRGREPKRKRAGTGRRGRENCGLAGWVGRRENSQTERGSRGMVGRLFMEWSSKHVGSHARSA >KGN64019 pep chromosome:ASM407v2:1:3635039:3636863:1 gene:Csa_1G038370 transcript:KGN64019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNRIVPILRENATAISAIGRSSRVRQQFIFSVLKSCVSFRNLAKTHAQIVVSGFSQKNYILNHLLSLYISFGSLGSAQKVFEDITAPSTTVWNQIIKGHTRSKTSKKSIELFKRMTLAGVEANGFTYSFLLSACVRSRLFREGEQIHGRVLVNGYWSNLYVRTNLINLYANGGDGGDFDLKRALYLFDEMPDSNVVGWNSLLAGYVRRGDFDGARKVFDEMPERNVRTWTIMVAGFAQNGQCKLALSLFDQMRRAGVELDQVALVAALSACAELGDLTLGKWIHGYVERTWRSRHLPVLVSLNNALIHMYASCGAMDLAYKLFEEIPQRNTVSWSSIITGFAKQGCGVEAIRIFQLMLCSGQNEVRPDEITFIGALTACSHAGLISDGIRLFQSMHKTFGVIPQIEHYGCMVDLLSRAGLLTEALSLIESMPMKPNNAVWGALLSGCRLHKNDEIVSHVAKHLSFEIDPNNQAAGYFMLLANVYAADGRWQDTATVRRNMHDIGVKKPSGRSWIEINGVLCSFMVGEETHKDVNLIYEMLGNITRQTQMGELQI >KGN64901 pep chromosome:ASM407v2:1:9880900:9882068:-1 gene:Csa_1G151000 transcript:KGN64901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEFKHLVVAKFKEGLNVDEIVAQVEKMVSDIDSVKSFEWGHDVEGQDMLTQGFTHVFSMTFDDKEAITSFLTHPKHLEFCPTFSAAIDKIVVLDFPSILVKAAVPPPSPQPPPALEETPEAALPPVLTSTPLPTPATAAAATPASASA >KGN66124 pep chromosome:ASM407v2:1:21328763:21331083:-1 gene:Csa_1G572450 transcript:KGN66124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTNQINLNEARMVVPLNTWVLISNFKLAYNLLRRPDGTFNRHLAEFLDRKVPANANPVDGAFSFDVIIDRATSLLCRIYRPANGGEPQTTNIVDLEKPVDSEVVVPVIVFFHGGSFAHSSANSAIYDTLCRRLVSLCKAVVVSVNYRRAPENRYPCAYDDGWAALNWVNSRSWLQSKDSKTYIYLAGDSSGGNIVHHVASRAVKSGIEVLGNILLNPMFGGQERTKSEVRLDGKYFVTIRDRDWYWRAFLPEGEDRDHPACNPFGPRGYSLEGIKFPKSLVVVAGLDLVQDWQLAYARGLENDGQEVKLLYLEQATIGFYLLPNTEHFYTVMDEISEFVSSDC >KGN66495 pep chromosome:ASM407v2:1:24213385:24216340:1 gene:Csa_1G614650 transcript:KGN66495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMATTSSLLHFSTIPIPIFTKLPKYHLVHTNMMNNNNNSLFSTKPTFSIHYFNFTLPTSKCSSSLPSLTTMEDQEDEDQDDDEAITVETNNNFPPLSEIWRDIQGMNNWEALLDPLNLHLRKEIIRYGEFSQACYDSFDFDPHSKYCGTCKYQASHFFNKLLMPDPGYNITRYLYATSNINLPNFFKKSKFTRDESRDFSNRVWSQHANWMGYVAVATDADEIKRLGRRDIVIAWRGTVTYLEWIHDLKDILRPAGFIPDDTSVKIESGFYDLYTMKEENCNYCGFSAREQILAEVKRLVEKYCKLGGEEISITVTGHSLGAALALITAYDIAEMKLNIVARGGSSAVAVPITVYSFAGPRVGNLKFKERCEELGVKVLRVINVHDKVPMVPGIIANEKLQFQKYLEEAIAFPWSCAHVGTELALDHTHSPFLMSTSDLGCAHNLEAYLHLIDGYHGKGRKFRLETKRDIALVNKSCDFLRKEYGVPPCWRQDENKGMVRNNEGRWVVPDRPRLEDHPPDTAHHLQQVLKDVFGS >KGN65465 pep chromosome:ASM407v2:1:15499501:15500199:-1 gene:Csa_1G423230 transcript:KGN65465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDRERDRDRGRDRDKDRDRDRYKDRERDRDHRERDRRRDREDRDHRERDRDRERRRDQDDRDRERERGRSKRSLTPDRSRSRRSRTRSPDRHRSRSLSRTPEDRPSRRRHRSPSPDHARKRHRRDLTADDEKERQKAVSDFVDGIAKEQHKQKNNKDNVGGGEVVEEAMADEDEMEMMKKLGIPVGFDSTKGKPVPGADVSGVRVVTKRQPRQYMNRRGGFNRPLPAERSR >KGN65638 pep chromosome:ASM407v2:1:17114434:17115049:1 gene:Csa_1G475990 transcript:KGN65638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGERKHDKNNEDQHEGGIKLFGATIMLQNKRQIIKEEEEEEEEENKSDQQSLEKRPEKIIPCPRCKSMDTKFCYFNNYNVNQPRHFCKGCQRYWTAGGALRNVPIGAGRRKTKPPCRTFGGLPENCVFDSSGINVTVQPFELEGMVEKWHVVAAAATQGGFRQILPVKRRRDCPDGQTC >KGN64890 pep chromosome:ASM407v2:1:9758339:9764280:-1 gene:Csa_1G145920 transcript:KGN64890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNQFHLLLLPFLLFFGPPIHARPTTAFRSNGGSEEWGYVRVRPKAHMFWWLYRSPYRVENPSKPWPIILWLQGGPGASGVGIGNFKEVGPLDASLKPRNSTWLHKADLLFVDNPVGTGFSFVEDTNSLVKSDLEAAADLTTLLQAIFNRDQTLQKSPLYIVAESYGGKYAVTLGLSALKAIEAQRLKLTLGGVVLGDSWISPQDYTSSWGSLLKDLSRLDDIGVAKSNSVAKRIEEEIEKGEFVAATSSWSELEDVISVSSNGVDFYNFLLDSGADSVSSETAMDISNGVASMRRYSRYLSSLRTTGGGDSINLYDLMNGDIRKKLKIIPDNVTWGGQSEYVFQSLQQDFMKPRINEVDELLAKGVEVTIYNGQVDLICSTKGTEAWVHKLKWEGLKGFLSTGRTPLYCGNDKDITKGFTKSYKNLHFYWILGAGHFVPVDQPCIALDMVGATTRSPAPIAHN >KGN66326 pep chromosome:ASM407v2:1:22714744:22715419:-1 gene:Csa_1G597730 transcript:KGN66326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKPSSAAAALDTSDSRYKGVRRRKWGKWVSEIRLPNSRERIWLGSYDSAEKAARAFDAALFCLRGRSARFNFPQNPPEIPDATLLSRSEIQSVAAQFANSDPIPSSEFHRPTTTDSPSPSLVSEMTTSVIECDERSLFLDLHTAMGSDNYGTDFGLFPEYNPFYNELFINSSSSSSTIPCYDYGDEIFEATHQDDSSYLWNF >KGN65672 pep chromosome:ASM407v2:1:17432864:17435593:-1 gene:Csa_1G481740 transcript:KGN65672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVASRPTLTDLHIPKLWKDRDTTSPERTKVWTEPKLRTSDRKVPVVYYLSRNGLLEHPHFIEVPLSSSEGLYLRDVINRLNVLRGNGMASAYSWSSKRSYKNGFVWHDLSENDFIYPLHGKEYVLKGSELLHSSLETRVQGSESSRSLRPPPEIHKLGSEDSDSPILSRRRNQSWSSVDFHEYKVYKTDSSSDSSAKAAAADASTQTEEKHRRRRRATREEEKETEAVNPTASTELSREEISPPPSDSSPETLESLMKADGRLIISEGAKPNRTTAESFPSGRMKASAVLMQLISCGSISFKDCGATSMDDQELAVVGTCKSRGGKEEGTKGTSMEAVSFSGVRLEEKEYFSGSLIETQKVKEVPMGLKRSSSYNADRGSQLEMSEKEIEAALAKCIPRKHKSGVANPIKKGINNISDGPSITASNSQHGSKRVDIEQQ >KGN63756 pep chromosome:ASM407v2:1:1958954:1959394:1 gene:Csa_1G014530 transcript:KGN63756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSQIFGVSEECHSSESGWTMYIGSPANDDSSDAASDDDDEDEEHKGYYYAANNHNDSDDDSMASDASSGPCHQKGNHNPFKGMKNPNGEMNFCLETTRTVRKPLMEKKKKQRAERKEVQVGQKPKTSVQSSSKVRKSILMSKRN >KGN65138 pep chromosome:ASM407v2:1:12177203:12177903:1 gene:Csa_1G240060 transcript:KGN65138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFERPRKDHVGHEEVETFYGTVGECLKHLGTYEKGTNEEIEEFIYELLGVSDDGVLGSFHGNARSDLESVLIAIFNYVFPSTNNEPGLDSHKDAIQIFVRAATFLENDEQFTYENFKNWCSLLPSVRKFLGSF >KGN63787 pep chromosome:ASM407v2:1:2145624:2156942:-1 gene:Csa_1G015790 transcript:KGN63787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDEEDFVFYGTPIEREEEINSRKRKSVADASGTMRTLPHWKQEVRDEEGRRRFHGAFTGGFSAGHYNTVGSKEGWTPQSFTSSRKNRAEVKQQNILNFLDEDEKAELEGRGLGTSAQFDTFGFTAVELARKQADKEQQQRPSAIPGPVPDELIVPAAESIGVKLLLKMGWRHGRAIKDSRANSRYDARRDARKAFLAFSTGDVKSEIPNSEPFQEDDDIVSPQLAKGDVSSSQSTPVYVINPKQDLHGLGFDPYKHAPEFMEKKRARTAGNQEGYKKVFSTKNNLFGFRTERIASGFGIGALEELDVEDEDVYTSGYEFEETYVQEEDEPPSKMITDGKQKLIGRKVEGVLLGFRIASKSDYQMERFDPPVIPKDFIPHHKFAGPLIGGYKLADTPPVEVPPPEDNNLKLLIEGVATLVARCGKLFEDLSREKNKSNPLFSFLNGGTGQEYYSRKLWEEQLKRVDQPKPQFDDKLSPSLKKMTAESRGKILGEKPLARSAKELNPPAASDGVHVQYNLSDTFTKPTSSGGMPEIVKPFKDDSAKQERFEQFLKEKYQGGLRTGAPVGAINMSEAARARERLDFEAAAEAIEKGKGLKETKLSAEHFVDFLATGGMQFTSGGVEEVKDTKLEGLMMEKMIPKREEYQWRPAPILCKRFDLIDPYMGKPPPAPRMRSKLDTLIFTSNSVKSTKVEESLTSTPSSFPQSNAEEKDMDASENVNEKVEVECVDRPVDLYKAIFSDESEDEESTSTLKQTEDSKKKVEVANTTLNRLIAGDFLESLGKELGLEVPPDLPPSKKGQTTAPQNEAVPVGEQNINILSVEDKPYPTPSSTGILSDHRMTGTAGHDLNSRKEDNELIHNSAGSGGKVMESSSSKKTSGKVYEEKMYKDKGDRKANNRRVDIHRDCSGSSSSEDEKRRKRSRRRRYKSSDSEDSASSDDYHTKEHSKSRERKKGSSEEKKSRRKHSKHHKHRHRDSSPRDRHRSGKDRIVSEREHRWRD >KGN65926 pep chromosome:ASM407v2:1:19766921:19767181:1 gene:Csa_1G538240 transcript:KGN65926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNKSGFEWWVLLVLRLWWFDDDAAGSHHESLLSPSDANITLCFCLKKKPKNREKNEKKRKREVMEVSIKDTNLEDF >KGN63824 pep chromosome:ASM407v2:1:2372311:2379338:-1 gene:Csa_1G023610 transcript:KGN63824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQLTGRIKEMKRFGINVEGTVVVLRHAALEKPFLPYLVPLFFLAWAFDKWVFSFSNWIPLAIAVWATLQYGRFQRQLLVEELNKKWKQIVLDTSPSTPLEHCEWLNKLLTEIWPNYINPKLSLKFSTIVEKRLKHRRPKLIERIELLEFSLGSCPPGLGLRGTQWSTSGNQRIMRLGFDWDTNEMSIMLLAKLAMPFTGTARIVINSIHIMGDLLLRPILDGRAILYSFVFTPEVRIGVAFGSGGSQSLPATELPGVSSWLVKLLTDFIVRTMVEPRRRCFSLPAVDLGKKAVSGTIYVTVISASKLSRNSLRGNSSRKPLSTYMNSPLDENLIDKDDLQTFVEVELDELSRRTAVRLGSNPVWNSTFNMILHEDTGTLRFNLYESNPSNVKYDYLASCEVKMKYAADDSTSFWAIGSDSEVIAKHSEFCGKEVEMVVPFEGVDCGELTVKLIVKEWQFSDGSHSSHNFQVRPQQSVNGSSNFASRTGRKMAITLVEGKDLSLKDKSGKCESYVKLEYGKALLKTRTGISVNPNWNQKFELDEIGGGEYLKVKCFGVDIFGDENIGTARVNLEGLHEGVVRDVWVPLEKVNSGELRLMIEAVKADDYEGSRGSNIGSNNGWIELVIIEAKDLVAADIGGTSDPYVRVQYGNLKKRTKVMFKTLNPHWNQTLEFPDDGSPLLLHVKDHNALLPTSSIGDCVVEYQRLPPNQMADKWIPLQGVKRGEIHVQITRKVPDLEKERRLSLEPSSDSESSVTKAHQVSSQMKQTISKFHALIEEANLDGLSAPLNELERLEELQEEYILQLETEQMLLISKVKELGQEILSSSSTTSWRSSGN >KGN64152 pep chromosome:ASM407v2:1:4390067:4393447:-1 gene:Csa_1G042620 transcript:KGN64152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTSIFHFSLPSKSSPSTPPFKTSHSLLSSPNFRPLRIICCLSNHRSDDENPTTSNSTINSLRVVFAAGGTGGRVYPAVAIADELLLAYPTAQILFLGTPNSTESAAVPSAGYEFDTVLASQLAHPIISPQNLLLPLHVIKSVIASYKKLIDFKPHIVIGTGGYVSFPICLAAKLINGVKLAIQEQNSVPGFANWVLSHFADIVFVVLNSTVECFPRKKKCLVCGNPVRLTLKQHVPKSVARLHFFPRSRKGEDLEAKVLLILGGSLGANAINIAMLNLYYQMLLENKNLYIIWQTGVKTFDEMDSLVKNHPRLHLTPCRSRCF >KGN65815 pep chromosome:ASM407v2:1:18750356:18750797:-1 gene:Csa_1G532230 transcript:KGN65815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYSKARLSLLLLLSFVLVTSARIIPHSENQEVAYMINDYPDPGANPRHNPFPPPPRHLFEISVVKDGDITKNP >KGN66183 pep chromosome:ASM407v2:1:21741360:21741628:-1 gene:Csa_1G574990 transcript:KGN66183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKHGSRALGQAADSSDIKESPNDAGTGPGSPSLKLIYKDFIFPSVPLLKVSILNLSPM >KGN64241 pep chromosome:ASM407v2:1:4915696:4917228:-1 gene:Csa_1G044900 transcript:KGN64241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQILTKEIIKPSSPTPSHLHRFNISLLDQLSTAGYVPVILFFPNSNGAPSFHERSHRLKKSLAETLTRFYPLAGRPRQNSYIDCNDDGAEYVEARANCVLSDFLKEPTPDLLAQFLPIKTEAPEAADGGRMLLVQVTLFECGGMAMGISFSHKLVDASSISVILKCWSAAALGSDPVEPEFLQASLVPPPEGIPIAVPPTDLGGKVKCSSRRLVFNGQEITVLKSKAATDITPNPTRVEVVTALIWKCAMKAATQETKPFSPNLSVMSQTVNLRKRLTPPSPDYSIGNLVGNFVTDPIPASEKDLKGLVELLRDGVKEFNKNGIRRYEGKDCFAKILEGLQQGGGLFMRDDVAFYICSSWTRFGLYEMDFGWGKPGWVSIGSIMFLNFVVLMDTKDGEGIEAWVTLKEDEMASFQNDDELLSFASVNPRVLI >KGN64662 pep chromosome:ASM407v2:1:7484165:7484500:-1 gene:Csa_1G073695 transcript:KGN64662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQVWELYEEGKLLDIVDPRLGDYPQEEVLRYMKVALFCTQAAANRRPVMSQVIDMLTKNNKLNEKLLTAPGFYGGLGTSDIPSVSKKTSNASSSNEMSFAGISITKITPR >KGN64635 pep chromosome:ASM407v2:1:7313680:7316778:1 gene:Csa_1G072460 transcript:KGN64635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLYTANLATKPPHRFGFSRTNTSSLPNYAAFKPSFFFFFPSTHILNSSRNRIYSSVPSSSSIMEDQKHVGPLISGPKSLSQELKVAVGAVQMACFLCQRVQSNLLTSNAQIQAKDDNSPVTVADWSVQAIISWILSKSFGSKNVSIVAEEDVQTLSKPGADRLLKVVVETVNECLCEAYRFGLEGPESTLCTSEVLEAISRCNSSGGSTGRFWTLDPVDGTLGFIRGDQYAVALALIEDGEVVLGVLGCPNYPMRKEWLCYHPRYHSIISKLSPTTSESWDKGCVIYAQKGSGEAWMQPLIHVNKKLVWPNSAIPIQVSSIDDPALATFCEPVEKANSSHSFTAGLAHSVGLRNQPLRVYSMVKYAAIARGDAEIFMKFARAGYKEKIWDHAAGVVIIQEAGGVVTDARGCPLNFSKGMYLEGLDRGVIACAGANLHDKIISAVDASWNSSCL >KGN64250 pep chromosome:ASM407v2:1:4961215:4964511:-1 gene:Csa_1G045480 transcript:KGN64250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAAAFGRPRPVVKTEKPPLRDLSDNDRSSPSKQQQQLLLRGNNHAKQEHDTTEDKTSCSSDQKDLSCIKLQEDQLESARAEMGEVREENQRLKQSLTQIMKDYEALKMQFLGIVGRDCKKVQDEDNDVNKEQQQQQHDDDQIELVSLSLGRFPVSEKKKIVDEKSCMNIIGGDHNEEAACKEALSLGLNCKFEREESMMAVAKEVDSPNSFDHESTKEEAGETNWPSKGGKTMRSVEDDVTPQNPPKRARVCVRARCETATMNDGCQWRKYGQKIAKGNPCPRAYYRCTGSPTCPVRKQVQRCADDMSILITTYEGNHNHPLPASANAMASTTSAAASMLLSGSTTSATAASSSSTASNSLHGLNFYPNNSKPNFYLPNSNSSIISSTSPTHPTITLDLTSNPSSSPSSSSTHFGKFTSNFPNSRYPFTGQLDFGSSRNNVLSWNNGLLSYNRNNHPTTTTTTANNIYQNYIQQQQRNPTTSLQHQQPPLPDTIAAATKAITADPSFQSALAAALTSIIGTGGASASAGLTKSLSGRGEQSLFQLMTTAATTNKGNGCGTSFLNNITTTTTTTSNSPPTGNMVFVPTNSLPFSNSKSASASPGDHIDLTN >KGN63494 pep chromosome:ASM407v2:1:382227:385925:1 gene:Csa_1G002140 transcript:KGN63494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTYLLYESASGYALFHAHGLDEIGQNTEAVRSSVSDLNRFGKVVKLAAFHPFESALDALKQCNSVSEGLMTDELRSFLEINLPKAKEGKKAKFVLGLAEPKIGSNIFEETKIPCQSNEFVLELLRGVRLHFDKFIKDLKQGDLEKAQLGLGHSYSRAKVKFNVNRVDNMVIQAIFLLDTLDKDINSFSMRVREWYSWHFPELVKIVGDNYLYAKLAKYIQDKSKLAEDKIPSLTDIIGDEDKAKEIVEAAKASMGQDLSDIDLINVQQFAQRVMDLSDYRKKLYDYLVTKMNDIAPNLASLIGEVVGARLISHAGSLTNLAKCPSSTLQILGAEKALFRALKTKGNTPKYGLIFHSSFIGRASARNKGRMARYLANKCSIATRIDCFAESSTTTFGEKLREQVEERLDFYDKGIAPRKNIDVMKAAIESADNKATDMDVEEVPSEASGKKSKKKKSKSNANGEVEDRPSATANGDVTEDTKSEKKKKKEKRKMERETEEQQQASEIINGEEEAGKKKKKKKSKDENKDDQVAAEDGKKKKKKKSKSEDAE >KGN64695 pep chromosome:ASM407v2:1:7710918:7711970:-1 gene:Csa_1G075000 transcript:KGN64695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEKFWDDVVAGPQPDRGLGRLRRIATGITDTEAGRKYQRSLSMPTSPETPSSPASPRAADNVWRSVFNPGSNLATKTIGSNVFDKPQPNSPSVYDWLYSEGTKSEHR >KGN65987 pep chromosome:ASM407v2:1:20377040:20378429:-1 gene:Csa_1G560710 transcript:KGN65987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHPPLPPPPSTPNPPTKMLKECGNCGSQGRWILHHVRIRGINRRLCTSCVLRLHPSSFCPSCFQFYDLSVSPHPSNRFTCSKCSSITHSHCVVNPACPDPQLLSSTTSSSYLCPPCAKPNFSFFDSDSKPRISPKSIDRKTAVVLLCAAKIASASMAKAVIVARADAERKVREAAMARKRAREALEHVGFVVARERARRKEEASVEVSGSGNLGVKEKERNRTLGPTVKAENAFEMPAVSTLNTGSALTQRRESLNGFVRQMSMVKNEAAASMEESARHKNVEVAERLQSNNNIGLLNEKEKNENGEVEHVKNDHIGGTVNTTK >KGN66084 pep chromosome:ASM407v2:1:21061715:21062654:-1 gene:Csa_1G570090 transcript:KGN66084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEGQTFPPQKQQTQPGKEHVMDPSPQFTSSQYKPSNKLLGKVALVTGGDSGIGRAVCHCFALEGATVAFTYVKKQEDKDAKDMLEILRECQAPDAKQPIAVGADLGFDEDCKRVVDEVVKAYGRIDILVNAAAEQHKTNSVEEIDEQRIERVFRTNIFSQFFMVRHALKHMKEGSSIINTTSVVAYKGCPQLLDYSATKGAIVAFTRGLALQLATRGIRVNGVAPGPIWTPLIPASFDNEEIESFGSEVPMKRAGQPIEVAPSYVFLACNHCSSYFTGQILHPNG >KGN65996 pep chromosome:ASM407v2:1:20437555:20445704:1 gene:Csa_1G560785 transcript:KGN65996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIIGGVGAEEPCNVYPEEYLGPAIISHFLIHGTSVADIVKHFIAMLKKKDDNIPNIFLEAMKRAYHRHTVELSTNSDGPSTGKSFLECRELAARLSGTYVGAARNKHRLDILKIVKDGIEHAFSDVPKNLSFLECAILHFVSKLSTPDILEIIKDVQNRTGNINTDEDPSGWRPYHTFVDSLREKYAKSDGLQDEKEGNSTRRRGRPRKKHNLQGKRLFDEQSTSEEEESISASDHEDVHDEEKQDEEDEEEVPLIHSIRSSSKLRSLRISREEKKGTSTGKAAGLPST >KGN64298 pep chromosome:ASM407v2:1:5229637:5232375:1 gene:Csa_1G045960 transcript:KGN64298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGPGPHMLYAMGSGMALTTLSDGRFSPHHTLFYTINAFFGPDIGSFSDWLSSVLGFSASSVPDVIHHPVFYILILGLPLCLFYSWLSSFLLHKGLLDSVFGVSLNRRQCLLLISAGSFSHFFLDHLFEENGHSSTYTWILSTGWWENRAPINPDAVMVVGFLCTCLIGGFVYINRVKSGKSISKQSYQSVKLMVVVATLYSMWCASQIYWASPRRPAVGEEADLGVLVFLVFYFFLPHYLCIKSMQPKDSETKHLPL >KGN65730 pep chromosome:ASM407v2:1:18152542:18152997:1 gene:Csa_1G523050 transcript:KGN65730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGRVRGMKLGPTSTIVFKSTPRQFTEFEIHDEANLEDYQNVDARLSILELKMANMISILEQNGFMQSNNPTATHTNGDLPYCIYKNPGRVCSMRLQPASTQVFRSISHRNIRNEARMDQNVDTRLSILETQMTKVVSILRRNGLMQGNI >KGN64229 pep chromosome:ASM407v2:1:4849482:4851465:1 gene:Csa_1G043310 transcript:KGN64229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIHRFSSSSTLITKKPLYLWNLTIRSSVNGGFFAQSLETYSFMRHSGIHGNNFTFPLLLKACANLASIGDGTMLHAHLIHVGFESDVFVQTSLVDMYSKFSNLRASRQVFDETSTRSVISWNSMIAAYSRSFRVNEALKLFREMLGGGFEPNSSTFVSLLSGFADPTHGSLFQGRLLHGCLTKFQLHDDTPVENSLVQMYVNFGQIDSACSVFYAISEKTVISWTIMLGGYLKAGAVAKVFETFSQMRQNNVVLDKFVFVDIISSCIQLGNLFLGSSLHSLLLKTGLKYEDPIGCLLISMYSKCGDLLSARAVFDLLSEKSIYSWTSMISGYANAGYPREALSLFSMATQNNVRPNGAMLATAISACADLGSLSMRREIEAFIQQDGLASDSQVSTSLIHLYCKFGSIEKAEKVFNSMIHRDLAAWSSMMNGYAVHGMGEKTMNLFHEMQRSGIKPDGSVYASILLACSHSGLVEDGLEHFKNMQLDYGIVPTMVHYTCLVDILSRAGHLELALNTIQEMPTQFQSQAWAPFLSACRTYCDVELGEVANRCLLSSNPRNPVNHVLMANLYTSMGKWKEAAKVRSLIDDKGLVKEPGCSQL >KGN65690 pep chromosome:ASM407v2:1:17670418:17673454:-1 gene:Csa_1G499310 transcript:KGN65690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVAESDGDFYHLSSNGFRKVSEFHHLVPELPVFHDRAAVIAIQVTKFQNEGFSIGITHHHAILDGRSSTSFIKSWAQMCIEESSVPISEQMPLYDRSIINDPKDFAKLYAKAWQDIEGPNNRSLNLKFPKTIPGLIRSTLEFTHQNIQKLNEWILNKKIKNENFDSSSSDISSFAIATAYLCVCTAKLEAIRNLDGGALNGCENWGSIMSQLTYDYSETQAISLAGSPRFGVYXXXXXXXXXXGKPKKVEIVSAESPYFFSLTDSRNSDAVMEIGVVKERDEMEAFVAIFNQGFESI >KGN64785 pep chromosome:ASM407v2:1:8540661:8542032:1 gene:Csa_1G097650 transcript:KGN64785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNHRSPVFTWAYFLQANNIDELRHSLLCTTLELEQTRIAVQEELKRRDDQVFHLKNLLNQAIKERDEANKKCENLLLHKLFFNQHSAPISTIDDDPTKAFDSQNAFSSSDCDESIVSVSSPVPPPPDISDWISDKPLPEKGKLLQAVMKAGPLLQTLLLAGPLPQWRHPPPPLESFHLPPVAIPHPPPPPPLVPVHSEATHCGIVNKKRALSLCEESDTLPATKFQRLVFH >KGN64112 pep chromosome:ASM407v2:1:4174401:4186880:-1 gene:Csa_1G042240 transcript:KGN64112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIFEYFVVCGIGPEIRTLDGNKGFHGFGYLYLPSLLDQYPPSNNSRCPPPPPQLPTCVLPAGVEFFSSGYDSSDASTFPRSYPIVLTEGDGSKIYVSCIAFRDPVSEDIAEAYRIPPNSYADKCICIVSRSPSFRVLKDALEELFALCFSSSGSSKPLWDIIAHIVSNVPLSTPGKDRILFGIENCLLSVQAPSKDGLPYADISFQPLTQCLDLENVIKLFTAVLLERRILLRSNKYSLLTLVSEAICHLIYPFRWQHVYIPLLFFSGVDYIDAPTPYMMGLHSGVDTSYLAMDGVVVVDLEYNCITTTEDIPPIPEPELGSLRSELMKLLYPNVVSIDQMRSDLRSASEQYPRGSSKPWGENQDLQLRLIFLKFFASLLSGYRNFVESNATDVFNTQAFLKKRSRSTNQPEDPMITQFLESQGFLDYLERCIGSDESNNNILDKLQDAIGRGQNPLSILPPLVEPEIITISDPDLGTSGSGAKYTYDRFPSNIRTEEQEEKRKQILAAASGAFEYSGKHNPNSPSISTGKDLKAESLSPMERQAERDRMVLDIKVKLQGLWLRLLKLGATDDPLSSFEYGTILALIESDAEGIGGSGFVECISEHINTGWLCQLTDEQFIAVKELLKTAISRATSRNDILTIRDALEVSGEMFKKDPNNVPDYIQRHLISLSIWEELRFWEGYFDYLMERSSNKSANYASQVSAQLIVMASHMAGLGLPDTDAWYMIETIAEKNSIGYKQLIKLRGFLSHIQQLRISYWGLSSVKSQSISAHALPSPRPKDGSDQNQQPAEASVVGRSWVQSMFSRDTSARINLGRSGRWSSDATSESGTPPRQDLSSAGQKKVQSNIRVLRGHSGAVTALHCVTKREVWDLVGDREDAGFFISGSTDCLVKIWDPSLRGSELRATLKGHTGPVRAINSDRVKVVSGSDDQSVIVWDKQTTQLLEELKGHDAQVSCVRMLSGERVLTAAHDGTVKMWDVRTDTCVATVGRCSSAVLCMEYDDSTGILAAGGRDTVANIWDIRAGRQMHKLLGHTKWIRSIRMVGDTIVTGSDDWTARLWSVSRGTCDAVLACHAGPILAVEYSALDKGIITGSTDGLLRFWENEDGGIRCVKNVTIHSAAILSISAGEHWLGIGAADNSMSLFHRPQERLGGFPNTGAKMAGWQLYRTPQKTAAMVRCAASDLERKRICTGGRNGLLRLWEATINI >KGN64255 pep chromosome:ASM407v2:1:4995134:4996574:1 gene:Csa_1G045530 transcript:KGN64255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGETSEEKHEGEVEKKEKKEKKDKEKHGEEGEDEEKKKKKKKEKDPADKKDPTKLRQKLEKLEVKMQALAVKKEEILKLLHEAEQNPPPSS >KGN64887 pep chromosome:ASM407v2:1:9710139:9729471:-1 gene:Csa_1G145890 transcript:KGN64887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFCFKLSDCCRYEQVVDAYALIGDVSGLAEKIQSFFMQEVISETHSALKDVVQQDQDVHIQNSRLTYSDLCFRIPESKFRLCLLKTLAVLFTLMCSYYQILSFQLDTKDSIEQTPSMKHQEDKYDVKLGDSEESTINVSSMGAAGITNSIYMDEGDFNRESRTDSSAASTSGSPWYHLRKDGIHFVSQTLQRGRKNLWQLTTSRVSVLLSSAAVCSTSIHQFLKNYEDLNVFTLAGEAFCGVEAVEFRQKLKIVCENYYVGFHKQSMHALKMVMEKENWLTLPPDTVQVVSFAGLVGDGAPLFVTSEGNSSNGKVPRSDKSTSSISTGMDRSGFLQWLKSGNPFLLKLMHTYKEGTPNGTHYGEVDGSVGGSSHRSNVSPTKFTDNLSNGANTVSEDEDEDLLADFIDEDSQLPSRISKPKLSRNHCSNHSSDHITAQTGSSLCLLRSMDKYARLMQKLEIVNVEFFKGMCQLFEVFFYFVYETFGQLSTTSGGKGFPDSLNYKLKTALSRAAQDCEQWIRPHSSSPSASSSTFSFNEVTPSPPGSSLGYLHGTSFGLKERSAGADSLSLVARIMHRSKAHIQSMLLQTNVAVIEDFYANLLDAVPYLIEHIHKNTARLLLHVSGYVDRIANAKWEVKELGLEHNGYVDLLLGEFKHYKTRLAHSGVRKEVQDLLLEYGLDIVAETLIEGISRIKRCSDEGRALIWKLRFLLNALFIGSFLFISIMLFNDVIIFHFCFQVLINGLQHFVSANVKPKINFLWPASNLIDYHYLMLVTVSNSLMMHHQTGVLPPRN >KGN65534 pep chromosome:ASM407v2:1:16063043:16063840:1 gene:Csa_1G435790 transcript:KGN65534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPLSNFNLELCLSPPSSSPSTTTSTLPLASNSTSQPNHITPIGLFTPDLTEIQAKAIIVQATRQMEAERSRWRTCRISSSAERVLMTNGEASPSLRRSLQRFLQKRKLRIQTLSPYAH >KGN64089 pep chromosome:ASM407v2:1:4009519:4009984:1 gene:Csa_1G040520 transcript:KGN64089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHALIVGAVWFRQAYGGVRRSFEVAAEMVEEWKDMIIGFCVSSAIGIATVSVHIKTELPNSFQLLSIAVLLCFVSVVFARMINSIFETLSKILYCVGGLLYASSCFIATAIPYASSFITHNPSPPSSSDTLPL >KGN63540 pep chromosome:ASM407v2:1:618042:620222:-1 gene:Csa_1G004060 transcript:KGN63540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEFQGRATELQLQCYSARIMSPEIVEIGDESKTIISSRDGGATDVYVAVGKDDIDVLKWALDHAVSPGCCVFLLHVFPPLTYIPTPVGRLARSQLSQEQLRVYINEENNRRRNLLQKYIRLCTDAKVTVDTLLLESNTTAKAILQLIPVLNITNLVIGTKKPLSSKLLGKRMTKGEFVKKNAPEYCEVTVVYEGKKVNECQQEMGLLPSSKDSGLRQQRSGKNLFECVCLSSKSSCD >KGN63452 pep chromosome:ASM407v2:1:139112:145600:1 gene:Csa_1G000750 transcript:KGN63452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPKQHIEDIRRSKFSIGGPPNPLTEDLHQAVRNLSAELYTKDVHFLMELIQNAEDNEYSTSVKPSLEFIITSRDVTGTGAATTLLIFNNEIGFSFKNIDSICSVGRSTKKNNRERGYIGEKGIGFKSVFLITSQPYIFSNGYQIRFNEQPCPHCGVGFVVPEWVEENRILSNIKEIYGPQSILPTTTIVLPLKADKIKPVKQQLSNIHPEVLLFLSKIKQLSVREVNEDPKSSTVNAISISSETNFVSRKNIDAESYTLHLSSEESVGGTQCSYYMWKQKFPVKPENRVERRMGVGELVIILAFPNGQRLNGGDKSPGVYAFLPTEMITDFPFIIQSDFVLSSSRETILLDNEWNQGILDCVPSAFVNAFVSLVKNTDGAPLSSLAPMFNFLPTISSSFDKLNVVRDLIKENLLQQNIVPSHSFLKQRFFHKPREVGRLMPAFWNILIKAHTQGVSLLNLSSHGKHVLSYSLDSKEYDQDLSFLDVKLVDEEWYAKCLQGTTIVEGVSDDLYLELLQFVAENWSSRFHVSSMKNVPLIRYVNLDGNVSLCSLNASTQNGGRRVYLAHQGSHLSWLSRSNMEFKSVSTCSFMPESTHKSIESCPRNKDMLLQWLQDQVKVDTITIFQFAKLLVNSLGNNPEHIITYFHFLYHSSSKRYLTDEEIQSLSSAMPVVDKYGSVIKTWKGLLIPADGSKWAQLLDSNPWQNCGYVELGAAYVCPAYFSGETMTVEQLIRFLKIHIRASDIPSISPPNIEISVFSSPLTVQNAVLLLRWIRSFHTIPSKFLKCIKEGCWLRTTLNGSSSYRPPSQSFDISSSWSSVLQSGSVLVDIPQIDHRFYGNELKGYSQELKTVGVMFEYDEVLKFIGNHLMSVATLSSLTRENVFCMLKFIRFLKGKFPVDGFIASIKEGRWLKTCRGYTSPVGSVLYSEVWLTASLLSNIPFIDQVYYGDEIISFREELKLLGVVVDFHKVSQLVANNLKPSSQLTCLGADAFLLILSFMLEPKSDDFLVQTFKRVKCVKTNQGYKSPGECYLSDPSWGCILQVFSGFPVVDCDFYGSRILVFKRELKNMGVVIDFEEAVKAFSEVFRQRAAAKSLTEENAISFLSCYKQLKDSTKKLPSDLKKYIQELKWLRTRLGDYRSPKDCILYGPSWESISAITLLPFVDDSNNYYGSQIHEYKKELKSMGVITNFEDGAHMVAAGLYLPQDPGKITSKNIHSLLNCIRTLLEKNPSLPDDFSGKVSRKWLKTSKGYRSPKESLLFIREWNSYLKPTDGPFIDEQFYTFDIKLYKRELKEIGVIVELEHGCQLVSRFLNSQDQFSTMVRIYTYLSAFNWYPDTEAAARIWVPVGDSNGRWINPEKCVLFDKEDLFGLQLIVLERYYKPDLILFFSVAFKVRSNPSTDDYCKLWKSWESNHDGLSHDKCCKFWKYVTKHFGSKTEQAFRDAIVKVPAMSGSDGVSLFDKRDVFIGDDLQLKDLFERKSPLPIFVWYPQSSSNSLSRTRLLEVYKKIGVRNISESVQKVESAIVDGINLKPVNPIDISIGKELIRIILGFLADPDKKIEATKRLEIVRCLLNLTVLETGEPVLINYVLSLTSGKVISAKATQLIRWERKSSKLFTQKMVMSGGHKEMIEYATYFSEVISEGVLWEYNDYICALSELIKLAFVLNFDEGAVSFILKSKNLEILEEDEDFLSSAFSEQSK >KGN65300 pep chromosome:ASM407v2:1:13581544:13581789:-1 gene:Csa_1G305730 transcript:KGN65300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRSSSTSRSFNEFSVDLLPDSISSPPLKNEASGVLPLFKSNSDAKKEMGSQFKSPGENAVHLIPLTLFLCALILWVCSTR >KGN64918 pep chromosome:ASM407v2:1:10063910:10066065:-1 gene:Csa_1G160215 transcript:KGN64918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIVGWVYVALKPPSPKICGTPNGPQVTSPRVKLNDGRHLAYKEFGVPKEKAQYKIIVSHGYNASKDMHIAVSQEFMEEVKAYMVLYDRAGYGESDPYPSRSVKTEAFDIEELADKLELGSKFYVIGCSLGAYPIWGCLKYIPHRLLGASLVVPFVNYWWPSIPSTLSIQSFWKLPLCFKFTFGIAHYTPWLYYWWTKQKWYRSTGIEVLFTNSDLEILKDVVNCPTNFKEKIRQQGEYECLHRDVLVSFGKWEFDPTELTNPSTENKRSVHMWQGGADRVIPIEFSRFVAQKLPWIHYHEVPNAGHLIVHEGESLKAIIRALTAE >KGN65620 pep chromosome:ASM407v2:1:16957625:16959657:1 gene:Csa_1G470360 transcript:KGN65620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHATGEIPKEFGNLPNLETLVLQENLLNGTIPSTIFNLTKLRIMSLFRNQLSGTLPPNLGTNLPNLVMLFLGENELTGSIPESISNASMLSKFDLSQNLFSGPISPALGNCPSLQWLNLMNNNFSTEESSSRTSIFNFLANLTTLGLYLRNNYLEGNIPIELCQLDNLFELFLDNNSLSGALPACFENLSYLKTLSLGFNNFNSTVPSSLFKLSNILSLNLSSNLLTGSLPIDIGNVKLMLDLDVSKNQLSGQIPSSIGDLTNLIGLSLSRNELEGSIPNSFGNLVSLRVLDLSNNNLTGVIPKSLEKLSLLEHFNVSFNQLVGEIPDGGPFSNLSAQSFMSNPGLCADSSKFQVQPCTRNLSQDSKKKSNKLVIILVPTLLGTFLIVLVLLFLAFRGKRKKEQVLKDVPLPHQPTLRRITYQELSQATEGFSEKNLIGQGNFGSVYKATLSDGTIAAVKCEILCNVRHRNLVKVITSCSNMDFKALYHCNLNTVERLNVMIDVALALEFWDFETLGWRRLYNPNYDPCNCGIHGPR >KGN66424 pep chromosome:ASM407v2:1:23554857:23558189:-1 gene:Csa_1G604100 transcript:KGN66424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGDSDLSPKAPLLGASLNGSGGKRGRLSRRYSVNSLRSEFISRLPDKLRSHLQDVESPYEIDLSKSSGFSREEKDYYERQLATLKSFEDVDSLVSSDCIDEEDMEEGAQQERAMKISNYANIVLLLLKIYATVRSGSIAIAASTLDSLLDLMAGGILWFTHLYMKQVNIYKYPIGKLRVQPVGIIVFAAVMATLGFQVLLQAVEQLIQDKPSESLSSEQFVWLCAIMTFATVVKLALWLYCKNSRNDIVRAYAKDHYFDVVTNVVGLVAAILGDKIFWWIDPVGAIALAIYTILNWSGTVWENAVSLVGKSAPPEVLQMLTYLVIRHPEVKRVDTVRAYTFGVLYFVES >KGN66066 pep chromosome:ASM407v2:1:20970115:20971993:-1 gene:Csa_1G569430 transcript:KGN66066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSDLSSQRQDMPVRADQGSLKYLEFVQTAAFRATECFFNVYGYAKDRSGPLKPGVETVEGTVKSVVAPLYNKFHGVPIEVLKFVDRKVDESVTKIDRHVPPTVKQASSQAMFAAQQAPEVARSVASEVRRAGLKDSVSGIVKSVYAKYEPSAKQLYSKYEPKAEQCAASAWHKLNQLPVFPTVAQAILPTAAYCTEKYNETVRTSAKKGYKVSSYLPLVPTERIAKVFSKNGVEMEPLVN >KGN66735 pep chromosome:ASM407v2:1:27076313:27078559:-1 gene:Csa_1G666450 transcript:KGN66735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILPLHKKLCVVFFRFSCLQVSSNSASRCNFLPFFLSRRWLTQSQRVPSSFLLQTRPFPDYSPKKPTIRDTELIHDITAVIKLRRSEPLHRILKAHESNFRYDHLIWVLMNIKNDYNLVLAFFDWARVRREPSLEARCIIIHIAVVSNDLRLAHELVHDYFLNSKLEIGVKMTQFTHRLIYTYKYWGPNPIAFDIFFQVLVEIGHLSEARKLLDKLLSYGLVVTVDSCNAFLSRIANNSEGIEMAIKVFCEYGISWNTTSYNIIIYSLCRLGKVKEAHRLLMQMDFRSSTPDVVSYSTVIDGYCHLGELKKALKLMDDMQIKGLKPNRYTYNSIILLLCKIGKSFEAEKVLREMMSQKIIPDNVVYTTLIHGFFKLGHVRTANKWFDEMLSKKISPDYITYTTLIQGFGQGGKVIEPQNLFHEMISRGLKPDEVTYTTLIDVYCKAGEMVNAFSLHNEMVQMGMTPNIVTYGALIDGLCKHGELDTANELLDEMRKKGLQLNVCIYNSMVNGICKAGNIEQAIKLMKEMEVAGIDPDAITYTTVIDAYCRLGDIDKAHKLLQEMLDRGLQPTVVTFNVLMNGFCMLGMLEDGDRLLGWMLEKGIVPDAITYNTLMKQHCIRNSMNTTTKIYKRMRNQGVAPDSNTYNILIKGHCKARNLKEAWFLYKEMIEKGYVPTVTSYNALIKRFYKKKKILEARELFEEMRGHGLVADGEIYNFFVDMCYEEGDVEITLNLCDEAIEKCLLNER >KGN64186 pep chromosome:ASM407v2:1:4585805:4586038:1 gene:Csa_1G042935 transcript:KGN64186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFVKRSLVNGHHNRALIIEKRTSQFEHRSKVASEAKAKAKSQKVKAKAVINYITHPTQQQQNTTSYDNLSSTPSID >KGN64680 pep chromosome:ASM407v2:1:7593665:7598947:-1 gene:Csa_1G073870 transcript:KGN64680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGGSLGLRSGSYGALDKQLNNVVSPIQTARKPSKMMKEKDYLFPWICKFVGRKKVGMLLLCVVSAAVFLWVLYVGKGEDTREGQHIQRVSINNSIVMTFRESSAEDIMDNSSSSMAKGIETSSLAPPPPPPPPPPPPPPPPALFLGYTLPPGHPPPPPPPPALFLGYTLPPGHPCNNFALPPPPADKKRTGPRPCPVCYLPVEEAVALMPNASSDSPVLKKLDYIYEENLRRETEFGGSDFGGYPTIAQRTDSFDIRESMRVHCGFVGGIKPGRNTGFDINDDDLHDMEQCRGVVVASAIFGNFDVINQPTNISEYAKNTVCFFMFIDEETEASLKETGILESSKKIGLWRIIVVHNLPYKDARRTGKIPKLLVHRMFPNARYSLWIDGKLELVVDPYQLLERFLWRKNATFAISKHYKRFDVFMEADANKAAGKYDNASIDFQIDFYVKEGLTPYSEAKLPITSDVPEGCVILREHVPISNLFSCLWFNEVDRFTSRDQISFATVRDKIMAKTNWTINMFLDCERRNFVIQKYHRDVLEQKAQSAPMAVHPPPLPPSPPFSVLNPVNESSSDRVSSLPRKASPRRNRERRSRRHRKVAAGTKDNDSS >KGN65819 pep chromosome:ASM407v2:1:18767829:18769587:-1 gene:Csa_1G532270 transcript:KGN65819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSPPPRLSFYFSFLILLLLATAMVASAARHAPAIPVSSNKRNDKEMIGLQDYGDARANHRHDPHHPLSVNKGNNKELDG >KGN64537 pep chromosome:ASM407v2:1:6734450:6742844:-1 gene:Csa_1G063610 transcript:KGN64537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWGLGWKRPSEIFHLKLNYGSEEDAENPDRVSSSSSCSSSSSSSSAATTILTQGQELGFRIDLDWSAGDDEDQVALRLQSQLMVALPVPQDAVQVELRYREEAENVDVDMRVLKRREPLRAMTMAKSAGSGQQNDGVGVLTRLLRSSLAPTVPGAADAVIDFGEHWKTVTMLNLSGCGLLALPADLTRLPLLEKLYLENNKLTVLPPELGEIKNLKVLRVDFNFLVSVPVELRQCVGLVELSLEHNKLVRPLLDFRAMAELRVLRLFGNPLEFLPEILPLHKLRHLSLANIRIVADENLRSVDVQIEMENNSYFGASRHKLSAFFSLIFRFSSCHHPLLASALAKIMQDEGNRAVISKDENAIHQLISMISSENRHVVVQACFALSSLAADVSIAMQLMKADIMQPIKSVLKSVSQDEVISVLHVVAKLAFTSDTVAQKMLTKELLKSLKLLCAQKNPEVQRAALLTVGNLAFCLDNRRILVTSEKLRELLLRLTVAPNPRVNKAAARALAILGENENLRRAMKGRQVAKQGLRILSMDGGGMKGLATVQILKEIEKGTGRQIHELFDLICGTSTGGMLAVALGIKQMTLDQCEEIYKNLGKLVFAEPTPKDSEAASWREKLDQLYKSSSQSFRVVVHGSKHSADQFERLLKEMCADEDGDLLIESAVRNPPKVFVVSTLMSMVPAQPFLFRNYQYPVGTPEVPLAISDSSGITVFGSPLASAQDGYKRSAFIGSCKHQVWKAIRASSAAPYYLDDFSDDVNRWQDGAIVANNPTIFAIREAQLLWPDTKIDCLVSIGCGSTPMKVRKGGWRYLDTGQVLIESACSVDRVEEALSTLLPMLPEIHYFRFNPVDERCDMELDETDPAVWLKMEAAVEEYIQSNNLAFKNACERLILPYQHDEKWSENLNSLHFSRVMASSIDENSPSLGWRRNVLLVEASSSPDTGKVMYHARELEAFCSKNGIRISLMQGTSGALKTVPSSTFPTPFTSPLFTGSFPSSPLLYSPDVGPQRLGRIDMVPPLNLDGHLGKGAAFTPESPSGPRELSLPVRALHEKLQNSPQVGIVHLALQNDSSGSILSWRNDVFVVAEPGELAEKFLQSVKLSLLSTMRSHRRKGASLLSNVLTVSDLVALKPYFEIGGIVHRYLGRQTQVMEDNQEIAAYLFRRTVPSLHLSPDDVRWMVGAWRDRIIFCTGTHGPTPALIRAFLDSGAKAVICSSNEPPETQSTTFQTGEYETVENGKFEIGEEEGEDDDAELSSPVSDWEDSDAEKIENYPFDVWDDDEGELSQFVCHLYDSLFRERASVNAALVQALASHRKLRYTCHLPSVQ >KGN65731 pep chromosome:ASM407v2:1:18154920:18157559:1 gene:Csa_1G523060 transcript:KGN65731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGASTSFSPHLIKSTVSNLARTIRAATPFHLVPFSTKTPNSPLSSSEDLAQGPSCIFVGPIETATQETLEALYLQARDAYYSGQPLILDDMFDRVELKLRWYGSKSVVKYPRCSLRRQSTYSDAEEDLSQVLALAGIWLLFLALGCSACLVPLICIADLIFKDPLSLGLSYDTHGSPFGFLSAINAILFMAFGSLIGYPITTASVGVLQGLWRNDLVALKGACPNCGEEVFAFVRSDRANGSPHRSDCHVCECLLEFRIKFEKSSTKLGRQWVYGRIYLVRRKSRHQRLL >KGN64310 pep chromosome:ASM407v2:1:5299061:5300484:1 gene:Csa_1G046080 transcript:KGN64310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLTSLQVCMDSSDWLQGTMNEESGMDSSSLSGDMLSCSRPLTERRLRPQHDQALKCPRCDSTHTKFCYYNNYSLSQPRYFCKTCRRYWTKGGTLRNIPVGGGCRKNKKVSTTKKSNDSQQQQQQPQIQQPISQNHHLLHGPSSSSSSSLHHIHNPTDLHLSFPDQIQFPHFNPLTPNFTIGMLETHHHHHQQTRPIDFMDTKMEAIVGNNGHHYTTNTDHLAMIQMEWMSNQIQSFWLWNGKIKEDVQKKLNLMDI >KGN65378 pep chromosome:ASM407v2:1:14673684:14674007:-1 gene:Csa_1G392600 transcript:KGN65378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSRLESMFSVCTKLMIRKLPFQRLVREIAQNFKTDLRFQSSVVATLQEAVEAYLVGLFEDTNLCAIYAKRITIMPEDIQLARRIRGERA >KGN66820 pep chromosome:ASM407v2:1:27991426:27992096:1 gene:Csa_1G696530 transcript:KGN66820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDYFLSLYTSFPSFFLSLFTFFSKAPFVSSYDHSFMFLLQFFTYLFLFSLYSFFQINVLILLSELTDQIRPIFLYARWSTFVQPPNPPDKNDEFNTNDGFCPKLALLRQCSPLLTQKEATHLSSECSQHVPSLTF >KGN65252 pep chromosome:ASM407v2:1:13133594:13137569:-1 gene:Csa_1G270920 transcript:KGN65252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVPRAESKLRVFSFKIQFSSQVADLKKSLNFVNSAAEEIKSSVKLKRIMQTILSLGNALNQGTARGSAIGFRLDSLLKLTETRARNNKMTLMHYLCKILADKLPEVLDFSKDLANLEPASKVQLKVLAEEMQAISKGLEKVVQELSTSENDGPISNNFRMVLKEFLRFAEAEVRTLASLYSTVGRNVDSLILYFGEDPARCPFEQVMSTLCNFVRMFNRAHEENCKQIELEMKKATEGEKSKTGHLHKRTRTKQLSNSQIEIGNVK >KGN66077 pep chromosome:ASM407v2:1:21023622:21023978:1 gene:Csa_1G569530 transcript:KGN66077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGENWESLQLPIANVERIMKKIVPEKGKISKEAKKRMQECANEFINFVTSEAAQRCQNENRRTLNGDDIYWAFDSLGLDNYAEASSKYLLKFREAERIKASDKAIITFQDQHAGEEDQ >KGN63906 pep chromosome:ASM407v2:1:2900571:2903201:1 gene:Csa_1G025870 transcript:KGN63906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGLLGIPPLPFPAPPNSSQNPLPFTSRRAILFSPAALLPSLLAFPLPTHAALPQLQDHLLQEEDRTVSLFQETSPSVVYINDLELPKNPQAPSQQPMLIEDDNLKVKGTGSGFVWDKFGHIVTNYHVVSALATDNSGSQRCKVNLVDVKGNGIYKEAKIVGFDPEYDLAVLKVELEGHELKPIVFGTSRNLRVGQSCYAIGNPFGYEKTLTAGVISGLGREIPSPNGRAIRGAIQTDAAISAGNSGGPLVDSYGHVIGVNTATFTRKGTGMSSGVNFAIPIDTVVRTVPYLIVYGTPYSERF >KGN65448 pep chromosome:ASM407v2:1:15382031:15382393:1 gene:Csa_1G423060 transcript:KGN65448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAISLLGRLRKAVKKIRFMMNFSIQRWRLAAMLGRTSSRNLRLSFTERPGLKACSEDIIMEEEQSVSSSSRGLQRTTSYASEDDVDSRAEAFIANFYRQLRIERQVSLELQYCRGNSFD >KGN64322 pep chromosome:ASM407v2:1:5381446:5387735:-1 gene:Csa_1G046190 transcript:KGN64322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLKVSVLVRLCWIFSLLCFGTRCHGAEVTVKFLEAPDAFSRLKSATFLFEILVNGHSYNCKHCNISCSLDNRHSLDCNDRKIFYSKLEDGEHKFKVCTNFSKGAGCSSYKWTVDTVSPTASIMPLMTFTNALNVSVNISFSEPCNGSGGFRCSSVEACNLLVYGEGRVIPSSFKILQPKLKYSLSVALPSTVQYGRIILVMDKNFCTDRAGNIFTRTENSISYVHFDRRKLLANLKTRVPERLLQLNSDTRLVQATNKHDNLKVYLYFSEPVLNSSLEVLNALEVSDGALLPISGRTLGNRKFSFSVTNVSGIAIITVSLKPSSIISRQGNPVSPLPPVTFLYDSLRPTVMLSTTTYKRTTEKRFSVSVNFVKPVFDFNSSCIFIRGGRLVSFREMGRNIYSVEVQAEDEVVSVSVPENVTADVAGNHNLASNVLQMWHYSIPTISTVASIFTIASFTATSLAAGLLTVSTASLQSEGVFMRSSSSLTYNPTRNIFRIACHIQIFALSVWLPVTLPVEYYEFAKGLQWSIPYLRLPWEDEHDHPDLSGYSPFTGSNPYLSKTRHSDVFQNKVPGNNFTVVDQLYGLPLTPMEYRSFFESQNIKPQADNIFGPGSYSQWNDFYRSMFWFGIFAGSLIFLHALFLFIMKCRKKIYNTQGSYGALTFPRFEIFITFVALASMSMASGVLFRGGALAGVIVGVLLLGILSLLLLALLLFLSVGITFGKLLQYKEVHQEGQKFHWYQELVRVTLGPGKRSQWTWKNQPNSVYLIIFGPMFEDLRGPPKYMLSQISVANPNKRGDRIIASDDETEDAEAPFIQKLFGILRIYYTLFEFIRRVTLGIMAGAYKETISSRTPIVTLLCISSFQLFFLVLKKPFIKKKVQLVEIISNTCEVGLFAICAVLLDKEFSITNQTKIGITLLVLFLIGYCPQLINEWYALYKQVKQLDFTGQSFFSGLKVAFIGFLLLFLPQRFTKNLESIFTVNLSGDSETVDNSSDRNMSGSRSSSNEKPWLKQLRKLAKASFTKDQGGTSNDPSGSGTQWTGFWGRRSRSRSSRSSSISSSDFRSKSKGLYKEFETIFSTK >KGN64375 pep chromosome:ASM407v2:1:5749831:5759346:-1 gene:Csa_1G050140 transcript:KGN64375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSWLSYGPIDGAHYQLGYIEEEFRTKIAEDEALTMEDSGKKRELEAMVVEKVAEVISTINNAKHVDQVISALHSLAVLLFPVDASVIAACVGESYRDQILSSRHPSKSERLECWNAFYNGAAFSALSRVLLLELASSWLACFPFLAKMHLYDTFFVDGPAIEVVQNLVPCLQSNASDGADTKAIRSNTERLIVLCLLEKDGVLQMAKEFGESCKFENFMTERTIPVISKVAQIVTSVPDKAQPRAPNSLSSHSFFKQITNQFLSLVEAKASNNIELDGAMMFVGETFSRICRRGSTDLLLNELLPRIVKHVHDVVMLNIHSAVADVFESNPNSQFWLKIMETIKDNYAVERFSEQLLHQLAATCESDVDAYWVLWLLFHRSLRLRMSVRSIFVDKFLVWKVFPIHCLRWVLQFAILECPPDANCLKKGNNNSSLLMTVQRLVEVWSKKEFVQSATIEQQAYISAAVGLSLELMSKEELDETKTVMHSILQGVTCRLENPNQWIRKMASNVALVFSKVIDPNNPLYLDDNCMGDTIDWEFGSTTHRKGTIDCAIGAHTESNEIKGSTTLVQKKEATHAAKVETGDNIQRKNKKIWEFKLADPDEVVDPSSLNCGSVSEDENEDNDSDISDSTSDSSLQPYDLSDDDTDLKKKLSQLVDVVGSLRKSDDVEGVERALDISEKLIRASPDELRHVASDLVRTLVQVRCSDIAIEGEEDSTEDKRQRALVALIVMCPVASLNILNKLLYSPNVDTSQRIMILDVMTDAAQELSNAKTMKTKHQSRTLIATTAETQPWFLPSNEGPPGAGSWKEISGTGTLPNWSNSYERELPLKPGHVKRGKTRRWSLKSAKMQDNEMELSHNKFPGHAAAFMLPAMQGFDKKRHGVDLLNRDFIVLGKLIYMLGVCMKCATMHPEASALAPPLLDMLRSSEVCHHKEAYVRRAVLFAASCILVAIHPSYIVSSLLEGNVEISDGLEWVRTWSLHVADSDPDRECYMMAMTCLQLHSEMALQATRTLESANSTFKPKNIAFTSDLSKGTIKIPFSDVKY >KGN64801 pep chromosome:ASM407v2:1:8730488:8730852:1 gene:Csa_1G108270 transcript:KGN64801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYSGLRRSTIGSSSHGGIIIHCSKDVVFPIRWPSSLWLLRMDNAMLFQKEKFPLSSLVVLTCGFSFNLSRGRSVDGIHHAWRRRSVKL >KGN63841 pep chromosome:ASM407v2:1:2475798:2478799:-1 gene:Csa_1G024250 transcript:KGN63841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAEEVCELLRQRPPSTLGSAMMQISETLPGHEFASVRAGVEMALIDAVANSINIPLWKLFGGVSDSITTDITIPITSASNAAKLAAKYRDQGFKTLKLKVGKDLKSDIEVLKSIRMVHPDCEFILDANEGYDTEEAIQVLEKLHELGVTPTLFEQPVHRDNWEGLGNVSRVARDKYGVSVAADESCRSVDDVKRIVEGDLADVINIKLAKVGVLGAIEIIEVARGSGLSLMIGGMVETRLAMGFSGHLAAGLGCFKYIDLDTPLLLSEDPVHGGYEVSGAVYKFTNSQGHGGYLHWDNIAL >KGN65974 pep chromosome:ASM407v2:1:20270988:20286635:1 gene:Csa_1G555590 transcript:KGN65974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLKYLSPSPPFLTSARLCFFSSKLKGTKPTSVPYYLLQWRSQRLVVPRKMAVKACVKVEESSPKESAYKSEWGKVSAVLFDMDGVLCNSEDLSRRAAVDVFRELGVEVTPEEFVPFMGTGEANFLGGVASVKGVAGFSPEAAKKRFFEIYLEKYAKPNSGIGFPGALELITECKSKGLKVAVASSADRIKVDANLAAAGLPLSMFDAIVSADAFENLKPAPDIFIAASKLLNVPSDECIVIEDALAGVQAAQAAKMRCIAVKTTLSDETLKTAGPSLIRNDIGNITIHDILSGGSDAYNEKIQEPQFLQTSEQLSQQKYTAGIDAAAVQDLDAANDGSSPIGRLLGTRRDIVRYGSLGIAFSCLIFTIRNWKAMQYASPKAIWNLLFGVNQPSFQNNVNSGGPVGDRIQRFMEYISEIETRGTAPVVPEFPSKLDWLNTSPLQFSKDLKGKVVLLDFWTYCCINCMHVLPDLEYLEKKYNDKAFAVVGVHSAKFDNEKDLEAIRNAVLRYGITHPVVNDGDMFLWRELGINSWPTFAIVSPNGKLLAQISGEGRRKDLDDFVEAALLFYGEKKILDSRPLPLRLEKDNDPRLIASPLKFPGKLAIDILNNRLFISDSNHNRIVVTDLSGNFLLQIGSTGEDGLRDGNFDDATFNRPQGLAYNAKKNLLYVADTENHALREVDFVKERVRTLAGDGSKGSDYQGGKEGTSQLLNSPWDVCFEPINEKVYIAMAGQHQIWVHDTLNGVTKSFSGDGFERNLNGSSATSTSFAQPSGLSLSPDLSEVYIADSESSSIRAVDLKTGASRLLAGGDPIFSDNLFKFGDHDGVGSEVLLQHPLGVFCSKDGQIYVADSYNHKVKMLDPVSKKVTTIAGTGKAGFKDGTALEAQLSEPSGITEAGGRLFIADTNNNVIRYLYLNNREQSQLLTLELKGVQPPNPKTKSLKRLRRRSPDTQTIIVDGGAFSEGNLSLKISLPKEYHFSKEARSKFNVETEPETVLSIDPSDGYLSPEGFASLHFKRSSPAASLGRISCKVYYCKEDEVCLYKSLLFEVPFREEVSETSKAEITLAFEVKPKTSTSSLPL >KGN64424 pep chromosome:ASM407v2:1:6034020:6035950:1 gene:Csa_1G051620 transcript:KGN64424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEEKKPDEKTVSAEEMAVQKPPPEDVNAKEKKDESKPSEKEETKTEESKDGKEPTKEQAPPPPPPEIVLKVYMHCEGCARKVRRCLRGFEGVEDVITDCKTHKVVVKGEKADPLKVLDRVQRKSHRQVELLSPIPKPPEPEELKPEEKEKPKPEEKKEEPQVVTVVLGVHMHCEACAQEIKKRILRMKGVDAVEADLKASQVSVTGVFDPPKLVDYVYKRTGKHAVIVKTDPEKKQKETEAKETKEEKANEESGKEKKGDEGGENKESNKEAEGGGGEAKSAVEVTPEETILVELKKNEYYQHYPQRYAMEMYAYPPQIFSDENPNACSVM >KGN64025 pep chromosome:ASM407v2:1:3666461:3666744:-1 gene:Csa_1G038920 transcript:KGN64025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLLGRILFFTAVDVGVGVGIGVGVGVGVGGAVALMASSNPPSSAIAALWIHYSN >KGN64006 pep chromosome:ASM407v2:1:3585429:3587618:1 gene:Csa_1G033250 transcript:KGN64006 gene_biotype:protein_coding transcript_biotype:protein_coding description:DOF domain class transcription factor MLDSKDPTIKLFGRQIPLSDDAEPPALLSHAEHRKDAAIDEPEKPVDDSDDSGNIERGEEASVNPKTPSIDEETTTTPVDGEPESEKSNSEKTLKKPDKLLPCPRCKSMETKFCYYNNYNVNQPRHFCKACQRYWTAGGTMRNVPVGAGRRKSKNSASYYRHITISEALEAARIESPNGTHKPKFISNNGRVLSFNLDAPTSDPVMGSVLNLGENRVLSNGVKKFEEKGVDQGCEKSSSLSSMPVQSSSELKINGFPSQISCLSGVPWPFVWNSSVPPPAFTPPGFPMSFFPAAAWNSGVPGPWNTPWFSPQPEKSLCSDTKASSTLGKHQRDHEMSKEDAISSKEEGIKKRNGHVLTPKTLRIDDPSEAAKSSIWATLGIKNESITGGKNLFKTFQPKGHEKVHVAETSSVLQANPAALSRSLVFHESS >KGN66348 pep chromosome:ASM407v2:1:22917403:22922081:-1 gene:Csa_1G599420 transcript:KGN66348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVASPPSTDQAADLLQKLSLDAQAKPVEIPEPTKKEFMDPSMCYLPNGYPSYYYGGFDGTANDWDDYSRYTNSDGVEMTSGVYGDNGSLMYHHGYGYGPYGPYSPAASPVPSMGNDGQLYGPQHYQYPPYFQPLTPTSGPYTPSPTTVPPTQGDISTSAATEQKPIPVETTNTNGTGLTNGGGTKGNNGSAPLKSSYQNSTFGSNAYARGALPGHIPASGYQDPRYGYDGLRNSFPWSDGPLYSDGQSRLVSSSTITSSISNANNIPSSRSPSFRPGSHYVGFPHPRPMSGMNTTQGYINRMYPNKLYGQFGNTVRSGVGFASHGYDSRSNGRVWLAVDNKFKPRGRNGGYYGYGNENMDGLNELNRGPRAKGSKNQKGFVPSVLAVKGQLLPPMNATDEEEKDKVSTPDRDQYNKSDFPEEYAEAKFFVIKSYSEDDVHKSIKYNVWASTPNGNKKLDAAYQEAQEKAGGCPIFLFFSVNTSGQFVGLAEMIGPVDFQKNLEYWQQDKWNGCFPVKWHVVKDVPNSLLKHIILENNENKPVTNSRDTQEVKLEPGLKMVKIFKEHVSKTCILDDFGFYEARQKTIQEKKAKQQQFKKQVWEGKPTDEKKEVSEVVDVKTPKPVEATNDLVKEETKISENGSVLKTVDAPKGSAKPVTTTPSEKRSGVANGY >KGN66725 pep chromosome:ASM407v2:1:26921351:26923526:-1 gene:Csa_1G665370 transcript:KGN66725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFKLPSTYLKPSTAGLDPSISLHADKLVFRCFTRNVPKPKYRFKLVGMSMGDKWPLNDIDANAVQQNLNKWLLKTQNFLNEVTSPRGKTSKNKDHIPAEAYDTTEKEDIVKVECTVNIRTPNGLLSSAAVVSIEQFSRSDYNKIWSFFV >KGN66445 pep chromosome:ASM407v2:1:23777925:23780300:-1 gene:Csa_1G605740 transcript:KGN66445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACIIPHIFLFLPSIIYAQSDSMLYIGSFLIAGDPSSSPWRSPADEFAFGFKQVEGDLFLLSIWYNKLDEKSIVWYAIHDQNPAPRGSKLEVTASNGLLLQSSQGGEPWKPSPISGVVAFGKINDDGNLVLLDSNSNTVWESFKQPANILLPTQTIEVNDLLSSRKSQNSYALGKFQLRLSEGNLVLNIISLPSTYTYEPYHVIQAYEGNQIVFDKGGFLYIMQKNGTRVNISEPESAYPANTHYYQVTLNFDGVITVSHHTRNPSAFNATWMDFKKIPHNICVTMRGNYSSGICGYNSICTLNNDQRPSCKCPPGYSLIDPNNKYSDCKPNIQPTCEGDENNLTNNLYSLRVLPNTNWPTQDYELFWPFTVEECKNACLLDCFCVVAVYRDNSCWKKKLPLSNGREDNNETSVSYLKLSTSSIGQGFDLPMPKGKKKPNTLVLVLSTLLGSFVLIVLILVSLICRGYTFDHKKQLMGNFHPRESFGSSMQKFTFKELSEATNEFEEELGRGSCGIVYKGTMEIGPIAVKKFHMSEDGEKEFKTEINVLGQTHHKNIVRLFGYCDDNKIYFLIYEFMSNDNLARFLFSDTKPSWDIRTKITYGIARGLSYLHDECNTQIIHCDIKPQNVLLDECYNSKISDFGLAKLPKMDQSRTRIETNIKGTTGYIAPDWFKSTLVTTKVDVYSFGVLLLDIICCRRNGEDVEVSEEGREILADWAYDCFEQGRLNVLVEGDLEAIGDKERLERFVKVAIWCIQEDTSRRPTMKEVMYMLEEVVPVSTPPSPCPFNSIC >KGN64249 pep chromosome:ASM407v2:1:4941392:4956330:-1 gene:Csa_1G045470 transcript:KGN64249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSPGKWLKTILFGKKSSKSSLSKGRERNGNEKEVLVSAKASETTTVISHPVASHPTPNTIDTNEGVPKITNNEAANVLHERSISIPGNQDAEVQGSTCQDAPSDPERIREEEAATKAQAAFRGYLARRAFRALKGIIRLQALIRGHLVRRQAAATLCCMLGIVKFQAIARGRSVRLSDVGLEVQKKCRLVQIQDQPLVDPAGVSLSTRMAKLSANAFTIKLASSTTSKPMQLYFDTEDENSVLKWLERWSNSRFWKPIPQVKKAPESKTQRRLSTGQTGEAHTVRSKRTRRVSSANNANNDSTAVQSSSEFEKPKRNFRKVSSHSAAEQVQENPQMELEKVKRSLRKVHSPVVENPAQTEVDAEKPKESLEKASNGLSRDLLARGTSNSSEKMKNEALSTNPVQPDLETTPEQLPTKEIINVPNTDPVVDSPPLIESSNIDKSVTGDEAAVETKPLTEIYPQDEISPLPNGESNHKEDYTNNENPKSGRKSSTPAKQERVENGLQHSPTLPSYMAATESAKAKLRAQGSPRFGQDSERSNLNRRHSLPSPTNAKISSQSPRTQRLTQAGGKGGNKNDKALLGSRDGNDFRFQTPGGYTNEGHDLLDPSKWSSQKKLNLPGLSSPSLSFSLQLLFKKSLQKRNLRTNSRLILFPFILCSLLGVIQTIVDRTLDDPFIRCGCACVDTNGDGKCEKVCGVEYSSSLQASSCPIPSPPQWAPILQMPAPDFRAVRTDFSPYTDLPNESCRESMSCPVTMLFTGKNRSLGNILAGNMVPSSLFWNSSDALNAVSLNVMGSETKPGTENYIDFAFFSNLPLYYVQRQCATGSTLSIPLSLYSLESKQKVACVQGLQLWRNSASQVNDEIYKGYVNGNPKGKINEILSGFDFLNSDRNNFNVTIWYNSSYNGGSGLSVLLRVPRSVNLASNGYLKFKLGARIEMPFQFVKEMPKHETKMKSDFSSQLGALFFTWVILQPFPVILTSLVTEKQQKLRIMMKMHGLGDGPYWAISYAYFLAIYSLYMFFFVLFGSVVGLKFFTMNDYSIQLVFYLLFINLQVSIAFLMASTFSDVKTAEVSAYICVFGTGLLGGFLFQFFLEDPSFPHGWIILMELYPGFLLYRGLYEFAFYSTSGNQVGTSGMRWGDFIDSTNGMREVFVIMFFEWIVVLLVGYYIDQAISSGSWKHPLFFLQRHDKKPAPQNLVPERQGSKVVVQIDKPDVRAEREKVEQLIKDRHPSHGIICDHIKKVYPGRDGNPEKFAVKGLSLAIDRGECFGMLGPNGAGKTSFISMMIGLTKPTAGTAFVEGLDIRTEMNGVYASMGVCPQHDLLWETLTGREHLLFYGRLKNLEGSALVQAVEESLKDVNLFKGGVADKQAGMYSGGMKRRLSVAISLIGYPKVVYMDEPSTGLDPASRKTLWNAVKRAKKDRAIILTTHSMEEAEVLCDRIGIFVDGCLQCVGHPKELKARYGGVYVFTMTTSSDHEGYVEDMVKRLASGAKKIYHLSGTQKFELPKHDVRIAEVFLAVEEAKSRFTVLAWGLSDTTLEDVFIKVANSAKSSHTLS >KGN63606 pep chromosome:ASM407v2:1:1111978:1116213:-1 gene:Csa_1G005670 transcript:KGN63606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFFLNKSTLASHFRSQSQVDPSSLSRRGFHVEPGTREKALLAEDPCLRRFRSHKKAVSRLKRVGDVLTIVVVAGCCYEIYVKATMREEARRQAKTGF >KGN65895 pep chromosome:ASM407v2:1:19470073:19470867:1 gene:Csa_1G537450 transcript:KGN65895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTHLKFQLLMICAGGNSTQESGKINPTALFSSFFLGKVNELMPILNTNFPELNLSKEECSEMSWIKTVLTMAGFPNQEPFEVLLNRTPPFGLSTKIKSDYIKKPMSEAAFKTMLKRLKAQDIEVAQIMFIPYGGRMSEISESEIPFPHRAGNIYKLGYYVKWKDQSIDAEKRHLNWIRDIYDYMTPFVSKSPRATYCNYRDLDIGMNNKYGKATYSHARVWGFKYFGKNFDRLVHLKTKIDPNDFFRNEQSIPALKNIKYSAI >KGN66712 pep chromosome:ASM407v2:1:26741001:26741375:1 gene:Csa_1G662760 transcript:KGN66712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISFSIDGIPIRVFKNYTSMGGRYPSSGMHVLGSIWNGEAWASDGKKVDWSQVPFQADYRGFSILGCPSGSDCDSQSFLWNQPNTWQLNPTQEKFYQLIKSKYLYYTYCSNPNASQLYKECQFE >KGN63972 pep chromosome:ASM407v2:1:3434779:3438242:-1 gene:Csa_1G032420 transcript:KGN63972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSVITKSTAVLYHYPCPDGAFAALAAHLYFSTVSKNQSPLLFFPNTVYNPLRPDQLPLHQIADVYLLDYVGPFGFVQDISSKVNRVIILDHHKTALEKLTHDCSIGENVIKVIDIQRSGATIAFDYFKQKLVQDAVANFDVDVGSSSQHKVLNEFERMRKLYEYIEDGDLWKWSLPNSKALSSGLKDLNIEFDALLNPNLFNQLLSLDMETMISQGIVSLSHKQKLIDGVLNQSYSITLGGGAFGRCLAVDADSVSELRSELGHQLATKSQNLNFRGIGAVVYRVPELGNDQMLKISLRSVNEEDTTPISQEFGGGGHRNASSFMLSSTEFQKWKI >KGN63772 pep chromosome:ASM407v2:1:2064915:2068956:-1 gene:Csa_1G015660 transcript:KGN63772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRPADFRRPVRRRFSHWIWALFGLFTIVGLVLFVVHHNQSEDRIEQPVLERNSRLEQDAHDRLNFTEEISSATSFSRQLAEQITLAKAYVVIAKDHSNLHLAWELSSKIRSSQLLLSKAVMRGEPITLEEAEPIIKSLSSLIFKAQDAHYDISTTIMTMKSHIQALEERANAATVQSTVFGQLAAEALPKSLHCLNVKLIADWMKYPSFQEMADEKKNSQRVVDNNLYHFCIFSDNLLATSVVVNSTVSNADHPKQLVFHIVTNGINYGSMQTWFLSNDFKGSTIEVQKIEDFSWLNASYAPILKQMLDPNTRAYYFGGLQDLAVDPKQRNPKYLLLLNHLRFYIPEIYPQLEKVVFLDDDVVVQKDLTPLFSLDMHGNVNGAVETCLEAFHRYYKYLNFSNSIISSKFDPQACGWAFGMNVFDLIAWRKANVTARYHYWQEQNADGLLWKPGTLPPGLLTFYGLTEPLDRRWHVLGLGYDLNIDNRLIESAAVIHFNGNMKPWLKLAITRYKPLWKRYINESHPYFQDCITS >KGN64020 pep chromosome:ASM407v2:1:3637425:3642856:1 gene:Csa_1G038380 transcript:KGN64020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCKELNLNEDCGGCGSGGKNGGDGFIDRSKVRILLCDNDSKSSEEVFKLLLKCSYQVISVSSARQVIDALNAEGPEIDIILSEVDLPMAKGMKMLKYITRDKELRRIPVIMMSTQDEVPIVVKCLRLGAADYLVKPLRTNELLNLWTHMWRRRRTLGLAEKNILNYEFDLVASDPSDANTNSTTLFSDDTDDKSRRSTNPEMGITAPATHQEDEPGIAATIVEPPAVHSVQHQPDVPGISERRTGQPSSCPRKSELKIGESSAFFTYVKSRTVKNKAVDIEDSTKRLLLEENHQETSQRAVRLPPIQEIGEALESHSQGDEHPSSTSFPDSFSVERSCTPPAGTDVHRERNINEENCSQVLLHSRNGSQLDISGLPVQTQTAAYPFYMPEGMMSAQIYQNNLHEMQNHAAMMSQYGHFHHCPPNVSGVASYPYYPMNICLQPGQMPTTTNTHSWPSLGNSSSNEAKSNKFDRREAALIKFRQKRKERCFDKKIRYVNRKRLAERRPRVRGQFVRKVNGVNVDLNGQPASADDVEDEDEEEELLSRDSSHEDDGSGY >KGN66409 pep chromosome:ASM407v2:1:23342097:23346101:1 gene:Csa_1G600990 transcript:KGN66409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGFTAEDLSTIGGIATVSLLHSFIPTHWLPFSVVGRVQKWTLSRTLLVTAFGAILHVISTSLLGITAITMANTIAGEETVHQLASLLLIILGACYVLLFMTGRGSHSHSQNQPMEKMAVAGLVLVPALSPCATTLPVFLAVGNSSSMMVLAIIVLLFSTITVMTSLVALSFYGASQLKFHWVERYDKLLVGSVLCVVGILTLIFHDHEHDHHGHGGSVGDNLHRKIIVL >KGN64008 pep chromosome:ASM407v2:1:3596017:3596855:1 gene:Csa_1G033270 transcript:KGN64008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLGHKNLLSYILFFIFFLENHRFHCFIDFWLSRPISAPGDPSQYDDVTTTNSICSCWSYFNLIEVNFFFGSRRRKGS >KGN65750 pep chromosome:ASM407v2:1:18302286:18304804:1 gene:Csa_1G524720 transcript:KGN65750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLVLVSPSSFPSFSSSTFNKSPFSTFSSFPILCSFNSFCLFPSHSTHKLIKRLNPIRNQTESDFNSKYGRTPVDDRWSLRGKTALVTGGTRGIGRAIVEELVGFGARVHTCSRNEGELRQCLRHWKDLEFEVSGSVCDVSVRAQREELMENAGNTFDGKLNILVR >KGN64476 pep chromosome:ASM407v2:1:6372951:6376345:-1 gene:Csa_1G058110 transcript:KGN64476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKSKSSPSIPIQSGSLSLTTTTTTTSSHHEQVEIVVEGQPIIPPPSTAADDSQLPPTAADQLTLCNPTEPDSQTIDGAEADDSDLKAKVPSFGTFIYHEFRRSLLHQAALGGNWGMVEYLLTKQELWGTFTVMDSITRDEENLLHISAGSKHSGIVEKLMEKMSSDEVALKNKHNNTALCFAAISGPVRNAELIVKKNSELPLIHGFENKTPLFMAISCKRREMASYLLQVTDIDKFNIQEQFELLIASIHSNFYDMSMKIFEKNEKLAIVEDENNNNELALLVLARKSSAIGGRKRFNFWKKSINNHCFKGIYRKDMMKKFARKLVKQLWLAFQKNFPRNDNFIKLSTRLLHDAAKAGNVEFLIILIESNPDIVWEEDDDGKTIFHIAVENRLENVFNLIHHNSGVKDFSTKYKTLKGNYNILHLAAKLAALNHLNKVSGAALQMQRELLWFKEVEKIVLPSQLEAKCDTDDVSIKLTPRELFTKEHAHLRRKGEEWMKNTANSCMLVATLIATVVFAAAFTIPGGGNESTGTPIHRQEVWFTVFVMSDAAGLITSSSSILLFLSMLTSRYAEDDFLHSLPLRLLFGLTMLFFSIVCMVIAFTAAFFLIYHEANIGVPITIAAMAIIPIGCCALQFKLWIDTFHNTFLSRFLFKPRQRKFLSSYSVLVNLARDIAN >KGN63897 pep chromosome:ASM407v2:1:2857573:2860639:-1 gene:Csa_1G025790 transcript:KGN63897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRATENGGSSISFATVIAGFFFLLALYCAIDPFKHSAIANFPDFETYYVELPSWSQIPTERDTENLLQKSTIMFKDQVQGPESVAFDSLGRGPYTGVADGRVLFWNGESWTDFAYTSPNRSEICDPKPSIFGYAKNEHICGRPLGLRFDKKTGDLYIADAYFGLMKVGPEGGLATSLSTEAEGVPFKFINDLDLDDEGNVYFTDSSTKYERRNFIQVVFSAENTGRLLKYNAATGETTVLVRDLHFPNGVSLSKDGSFFLFCEGGKGRLRKYWLKGEKAGTNELFAILPGFPDNVRTNDKGDFWVAVHSRHSTLAHLEAEYPKLRKILLKLPISAKIQFLLHVGGRPHAVAVKYSPEGKLLQILEDTQGKVVKAVSEVEEKDGKLWIGSVLMSFIAVYELH >KGN63847 pep chromosome:ASM407v2:1:2530909:2536913:1 gene:Csa_1G024300 transcript:KGN63847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTAKSILEEETSKLEPKSKRGADVEDADVTVKKFKTQAAADETGARNLEAVNGDEELKVIKRDVEFVIEADAAEDKGSRHTMEDAWVLLLDASLDFPGKLRCAHFAIYDGHGGRLAAEHAQKHLQKNVLSSGLPRELLDVKATKKAILDGFRKTDESLLQESSAGGWQDGATAVCVWVLGQTVFVANVGDAKAVVARSLTTDKSTTNSNGGSSLKAIVLTREHKAIYPQERARIQKAGGVVGSNGRLQGRLEVSRAFGDRQFKKLGVIVTPDIHSFELTDREHFIILGCDGLWGVFGPSDAVDFVQKLLKDGLSVASISRRLVREAIRERRCKDNCTAIVVVFRPK >KGN64063 pep chromosome:ASM407v2:1:3864723:3869709:-1 gene:Csa_1G039280 transcript:KGN64063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKLLHSLADENPDLQKQIGCMTGILQLFDRQHVLSGRHMRHKRLPPGTSHLNIGSAVKEYNAFQREATDMSLNESFNEKQRFNKELSRASFSSCSSSLSSSDYNKTAPSQASSFDQILLSRTPSRDSIVNQSNTSPRVGRQHLDLRDVVKDSMYREARTLSVKTSTSEEPLSRSMKHRDSPRPVQLSQSADGASKVDTDWKQKMPVDLKESLLVLAKLRDAPWYYNEVVEHDRLSHEVKDGYLQSFSRDAPRFSYDGREVNRLSFESRDTIRSAPKFKDFPRLSLDSRESSIKGSKSGSNTTRNLKNLHSSDCSSENSSDPPRPSGSRKHPPSVVAKLMGLEALPGSPLASDAQAKGDPFVSSLDGANFIRPIRTDSPRNTLKGPTSPRWKNPDFVMKPIPNSKFPVEVAPWRQPDGTRGFDKSAMKHSKGLAGSSNPFPSVYSEIEKRLEDLEFKQSGKDLRALKQILDAMQSKGLLDTRKEEEPSATQRENEPKRESASVNSRLTSEQSRRKTQKAATTSRPDSSRCGESPIVIMKPAKLVEKSGIPASSVLQIDGLPGLPKLQKPSQGKKSPSGSRVVKDTSPENSHRDSGANSTKKKDNARNVRQTHTSSKPQHLPKENTVSSIKTTGSVSPRLQQKKTEQDKRSRPPTPPSDTNKTKWKSNRQGTESGSPVGRSRVKPSHVSQMDDQLSEVSNESRTLSNQGDDLSQISDSNLSLDSKTDIEVTSSELPADINGSHGLQMKTSKYSDSRSLENAELATPAPEHPSPVSILDASIYRDDEPSPSPVKQISKALKGNRTLGSGDCGEYQWSATENSVEPGLSTEINRKKLQNIDNLVQKLRRLNSHYDEAKTDYIASLCENTDPDNRYISEILLASGLLLRDLGSGLATFQLHPSGHPINPELFFVLEQTKTSSLLRKDDCSSLKVTDLKLNQEKSHRKLIFDAVNEILARELSVVAAIPEPWTTSKKLATKTLSAQKLLKELCSEIEQLQTKKPEEDESLDSILKEDMMQRSGSWTDFYGDVSNVVLDIERLVFKDLVDEIVYVEAAHLRAKSGRRRQLFT >KGN64230 pep chromosome:ASM407v2:1:4851657:4857499:-1 gene:Csa_1G043320 transcript:KGN64230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLLILTFLFGFVFGVVAIVGAEAFGIFIILNKLSKRSQKDLAKANAKLDQSELDPLQSLEFLSNKQGSVWILESNVLEDIIEKGPKEQKKRKDFLEVTPVKKYARIKDHTLVITELDGKKRTTIQLNGCTVEAVSATDLPSRKWVKRFPLILENRTSVIYHESKRIFIFLETSWEKESWCKALRLASCVDKEKLRSVAKLQKEFHSYISSLSTGYPSFMKPSTGHHTEAIDKEIKPNVPPSKVRQFFKKLAKKTSKAASDYIANPSSSLREEKRFSERYHPGPDFISSAGLVKGIPKAQSTKSFFEEDMGAPSTLTHSISQSHASVVSDADTDDRFWTDEGTLCWNLLMSRFFFDATSNEGLMKSLHDRIQRMLSKMRTPSYIGEIICTKVDPGNLPPNINIIRVLPFELNEVWALEVDFEYSGGFALDIETRIEVHELDLQKNAVDSKSDSSDVGEVSSFLEDYLGKQLSSSEGTDQNDEGGPGNNKNPASSSSSSSGSRWKSLMNSIAKQVSQVPISLVIKVGSLRGTLRLHIKPPPSDQLWYSFTSMPYLELRLASSFGDHKITSAHVAQFLNNRIKAVIRDTLVLPNSESIYIPFMMAEKDDWVPRDIAPFMWLNQGASDNKASCENQSSHPVEAKNRSEATKTTSTDQQGIEDKRPKNAESSQSHSDLSNASKPSSSTTNPARATKKTSDENEMKVPLLENDKAVENFQQRNREYAQENHSPSRSTSSLSSGQESYNAEEDEKPKRIGRRARMLEIGKKMGEKLEEKRRNIEEKSRNIVEKMRGP >KGN66006 pep chromosome:ASM407v2:1:20511174:20512516:-1 gene:Csa_1G561370 transcript:KGN66006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRHSCCYKQKLRKGLWSPDEDEKLLNYITKHGHGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGPFSQQEEDLIIELHSVLGNRQKGIDPNTHKPVVSGGGGGGGGDVENESESKLPARSNDIDNTSDEANNNKNDTTPPLSIPIIINPHNSNSQIYSQTHEFFPFQTSTTNTSSDITSDYLTFHHLNYPPNPNPNPNPNPNSLCFTLPPPPIPIKTQVPNWESTTFNNNFFDAANFSWGLPDCATKAEKLNTTQLPEDMKWSEFVGNNPFILQNQEAQSQTQTDDSMYVESTAAETGFIVEGGGGGGGWQRQQPSSDAAVYNKHLHTLTVSFGNTL >KGN64949 pep chromosome:ASM407v2:1:10378613:10379667:1 gene:Csa_1G166780 transcript:KGN64949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPIRLREPSSPTMAKAEIFEGGVYGVIRRAVVIGNGSPCAENQCIGLVQALGLADKHVLYRVTRPRGGINDWLHWLPVSLHKKLDYIMTLIGVYTRVLLRSKGRKLVPLSSENGGSTGLSCILEADLKHIVSMVRETYEKSGPLLVVASGRDTITITSSIRRLVSENVFVVQVW >KGN65284 pep chromosome:ASM407v2:1:13407683:13411583:-1 gene:Csa_1G295130 transcript:KGN65284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGSYVDGPLQEEFTFPANSVPFNNCHASTIVEVDKDHYLVAYFGGTLEGAPDVKIWLQAFKNGSWHSPIVADEEPDIPMWNPVLFKLPSDELLLFYKVGQEVQKWSGCMKRSYDKGITWTAREQLPPGILGPIKNKPILLENGVLLCGSSVESWNSWGAWMEVTSDSGRSWRKFGPIYMKNRSLSVIQPVPYQTANGNLRVLLRSFTGIDSICMSESNDGGHNWSFAIPTNLPNPNSGIDGVKLRDGRLLLVYNTVSRGVLKVGLSLDDGDSWQDVMTLEDEPENEFSYPAVIEASDDSIHITYTYRRKQIKHVVFKLKVHEKH >KGN65886 pep chromosome:ASM407v2:1:19367201:19367467:1 gene:Csa_1G537360 transcript:KGN65886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVRSFPLFKINDPKFKFTGERFGTVKRAFVVTEDDLAAPKKFQMWMVENNPPDITVEIRGSDHMAMVSKPLELADGLQRIVQQLSPT >KGN63836 pep chromosome:ASM407v2:1:2454786:2458098:1 gene:Csa_1G024220 transcript:KGN63836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTISSSNPKLHIHFKSFFSPFLLFSAGLAAGFTLTLFIFPFPFFQFSSSLSLPFTFNQLQLSPSPLPSSPPPPPPPPSRVGLKEFLNPPPVLHDMTEEELLWRASLVPRRIPKLPSTETTTSTRKIAFLFLTKDGVSLAPLWELFFKGYAGLYSIYVHRTPSSSSTSTVDSSSVFYGRSIPSKGVKWGEPSMMEAERRLLANALLDFSNERFILLSESCIPLFNFSTVYNYLMGSKSTFIEAYDLPGPVGRGRYNPKMRPIIKLHQWRKGSQWFEMDRTIASQVISDQKYFSVFQKFCKPSCYMDEHYLPTFVGIRFPKTNSNRTLTWVDWSRGGAHPTRFVRTDVTLELLKRLRNGGHCEYNGVKTNLCHLFARKFMANSLNRLLMFAPKLMHFNR >KGN65565 pep chromosome:ASM407v2:1:16459233:16461676:1 gene:Csa_1G448960 transcript:KGN65565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTLLFQQQHVTDLSGRETLVRITGGMKVKADRDESSPYAAMLAAQDVSQRCKELGITALHIKLRATGGNKTKTPGPGAQSALRALARSGMRIGRIEDVTPIPTDSTRRKGGRRGRRL >KGN64194 pep chromosome:ASM407v2:1:4621341:4621727:1 gene:Csa_1G042985 transcript:KGN64194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLNNIRRISPTKNLHLSENLATNGGVAVAVDDLECVSSGGAFMTDFVDGAAVTVTEDLELVEVGGGDGGGSSRSRGSRGRREWKGEARTTVGEIGETEVEVAAITDQSHKREGEGRNWKNGGFRELG >KGN65020 pep chromosome:ASM407v2:1:10983539:10985219:1 gene:Csa_1G181330 transcript:KGN65020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPELLSSGAGKFGYVTFLAGNGDYVKGVVGLAKGLRKVKSKYPLLVAVLPDVPEEHRELLRWQGCVVKEIQPVYPPQNHTQFAMPYYVINYSKLRIWEFVEYKKLIYLDGDIQVMENIDHLFQMEDSFFYAVMDCFCEKTWSHTAQYEIGYCQQRPNEVQWPASELGPKPPLYFNAGMFVYEPNLETYHSLLSTLNITPPTPFAEQDFLNMFFKDKYKPIPPVYNLVMAMLWRHPENIELHKVKVVHYCAAGSKPWRYTGKEENMDREDVKMLVKKWWEIYDDETLDYINYKMIDDGDTDTRQPFLAALSEAGVVHYGHTAPSAA >KGN63818 pep chromosome:ASM407v2:1:2342598:2343587:-1 gene:Csa_1G023060 transcript:KGN63818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMSCNGCRVLRKGCSESCSIRPCLQWIKSPQSQANATFFLAKFYGRAGLVNLINAGPDHLRPAIFKSLLFEACGRIVNPIFGSAGLLWSGSWQLCQDAVEAVLKGAPILPVSSETAASHMGPPLKGCDIRHVSKQQDSASGSAVRKIKTRRRFKRSGTAKLKPKEEPSVVVVPEFKFDDQTQIVSEPQCLKFELDNWKGRESPEKDCGSDDTECLSVETVEGCQGGDVELELTLGFGQSWMLT >KGN65814 pep chromosome:ASM407v2:1:18745135:18748365:-1 gene:Csa_1G532220 transcript:KGN65814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLRAGRLCLLLMFMVMIVLYLPISGKGDGEMKTIMRGKRCLTVKINDYDDPSPNEGHNPFKSKPKKPLKGNRKGKPNKIHS >KGN66378 pep chromosome:ASM407v2:1:23130985:23136579:1 gene:Csa_1G600180 transcript:KGN66378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHFHSYTRLHFNFLTFNNPPILPLPRSAQTRIRFTPMEVARISSPHLILIPTTVNRTLTPNPYSLASNRNPLPRSRSRSFSNFKTPTHRNAIRKCELLRTAMASDVVTSERPEVEEEKFDWFAEWYPVMPVCDLDKKVPIGKKVLGLDLVVWWDRNENAWKVFDDSCPHRLAPLSEGRIDQWGRLQCVYHGWCFNGSGDCKFIPQAPPDGPPVHSSKKACVAIYPTTVQNGILWFWPNSDPKFKDIILEKEPPFIPELDDPSYVKLEGNRDMAYGEGGRPLELVVEKLKADGFVGRHERLRHKFFAPCVYYFFTDPELVQGNVESSTKNDEAVSSTANVKKPPTEISQRRSFLVFFCIPVSPGKSRLIWAFPRNFGKWMNYIVPRWMFHIGQNLILDSDMYFLRVEEHKYEEIGPSNWHKACYVPVKSDALVVGFRRWLNKYAGGRVDWGGKYSGSLPPIPPREQVFERYWSHVVNCKSCNGAYKALNIAEVSLQAISMAAIGALALIKHGVLSATVRATIVTVAILCFAASKWLSHFIHKTFHFQVYNHALV >KGN65624 pep chromosome:ASM407v2:1:16975158:16980283:-1 gene:Csa_1G470400 transcript:KGN65624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKKKQSEGIALLSMYNDEDDEMEDVEDLEEEEDGELHPQQMEEEGGEEDYAGVRVAEEELVANSDRMIISDSANDSTPPVAGENLTPDKLKFGSSTPQPPQVVVSSSPMVLQIGQLDNSGRRRGTLAIVDYGHDEAAMSPEAEDGEIEESGRVTFGDELLGTNGDFDRSSPGTVMISTSNNLSTPQISESPHSGSMNNVMPESETEKVEETVEEEKKDIDPLDKFLPPPPKEKCSEDLQRKINKFLEYKKAGKSFNAEVRNRKDYRNPDFLLHAVRYQDIDQIGSCFSKEVFDPHGYDKSDYYTEIEADMKREMERKELERKKSPKMEFVTGGTQPGGTVVTAPKINIPFSGVSAITTSGLHSAAPASDAIPRDGRQNKKSKWDKVDGDRRNPVISGGSDAASAHAALLSAANVGSGYMAFAQQRRREAEEKRSDDQGEKWKRNCTRAKEEAPFSYSGFSPMSKKGKSEPLKEIRRCTKSMSYNHQVGHIETVTFLPYSFMPCPCPFPHASGSSSTLQTAKTPSNYEPHNQIKLLDFRLSSLHLCFLVFPHTHITKPNITECFKVNTIKAFNSSLDACFAERKPEFDLKQNYDVDLEKGILCFTSKQRGFEGSNKKDGLVYNYNVVESDQSLLFFLQVVVAYFQHKYVWVSLKR >KGN65881 pep chromosome:ASM407v2:1:19320630:19322494:-1 gene:Csa_1G537320 transcript:KGN65881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPKFATPRGIPVKRTTMLRNGTKKTKWYWKLKLGIQFKAIKAALSSSLHNQRRRLTCSTAITTTTPQHTPTNGLVSPLVIHQSKSSKKNDLRLAKPLASLLRMPLRASDFIDFGNHMTPTLSPRDKISAVWRDLHGASDWEGLLDPLHPFLRREIVKYGEFAQATYDAFDFDPLSEFCGSCRYNRQKLLNELGLAQNGYKVTKYIYALSPVDGPDWFESSKIGEVWSRDSNWMGFVAVSSDEESDRIGRRDILVSWRGTVTPTEWYIDLKTKLKKIDRSNKKVKVQRGFLTIYKSKDEDSKFNKTSASEQVMEELHRLIDFFKQKGDREISLTITGHSLGGALSLLTAYEAGVTFPADVHVSVVSFGAPRVGNLAFREKLNEMGVKILRVVIGQDIVPKLPGLFVNSIVNKLSAVTGKLNWVYRHVGKELRMNMFMSPYLKKDSDMSGSHNLEIYLHLVDGFVTKKGKFRWNSRRDVALVNKGSDMLVEELRIPEFWYQLPHKGLIKNRFGRWVKPGRNVEDIPSPFSQPSQV >KGN64556 pep chromosome:ASM407v2:1:6847780:6850456:1 gene:Csa_1G064780 transcript:KGN64556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMLNFAIIFHILLTFLIHANSQTLYRCIPNNNYTANSSYESSLSLLFSTLSTNAPGTNGFFNSSVIRTPNDTVYGIFQCRGDTTDGSCRDCVMEATRNIAHQFCPFRKSAVVWYDDCLLRYSDQNFFSVVSTEPPYPLDSQVDIGFDTDRFNQLVMATLTAIGANASSSVAGEMFATHEAIFTSNITLYTLAQCNSDLSNTNCQDCLTRVIRGIPRCCANKVGGRNLFPSCYVRYELYPFYQLSRITNQIPPSEPATPDQQPPLHSSAIVVPITASILLLVLCLSVLLWRANRKYMILVKQESVLNEIIDVDSLQFDFDTIHAATNNFSEENRVGEGGFGVVYKGRLENGQEIAVKRLSRGSLQDEEMDPKISDFGMARIIQIDETQKNTRRIAGIGEDISTYVSKLICFGGQAWKLWNDGTPLDILESSLRDKCSRDMVIRCIHIALLCVHDDPIQRPSMASIVLMLNSYSFTLPEPKEPMYFKSNIRENNDIAAVDVDHSKDPSSNTISTSEMYPR >KGN65579 pep chromosome:ASM407v2:1:16591535:16594513:-1 gene:Csa_1G462040 transcript:KGN65579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSGVKKRKAARKKKEKEAKIDSSINEEFKSQNGSNFESREVNANYDRHNCHNPLDGSNGKVVENIYDASPVLSTVDEDKSLGTEIQGNNEVVHEFGFDGNGAVEVEKELTSAKNNACQSISIEYVEGKKYDEREDENSSCSSAEECSITDKKTKAYMSSYKTNVCNNNPDESSTPIIGINESASAGECTNLLVETTQVPDSVKYEVPVPTEKICPVKVAAGENLVVSETAKSGLKENANTLLSKQCDGTRVVSLTNPDSVPKENKDKVLSEEMKNHHMSSNMMEQEFKYLEGKQFLSSVSPAVGATKNDAITKDSEIPICPTKQNSAAAPIVAQRTFIFTCCGLFDLLKGSD >KGN65612 pep chromosome:ASM407v2:1:16914301:16916046:1 gene:Csa_1G470280 transcript:KGN65612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPPLPPLFILSILLLLSTVNAAASVPTKPTIIPAAPIEVPPTPSPTSAPSQARPLLPPTSPSPSSSSSPPPPPPSSSSSSNSLDPKQLRALQSLNIPTFKDPCKQPSLHNATVCDSSEPFRHLVFLRLANCSEDVALSFTALKSLSTLRSLEFVDCPISPIRFPADLVASLRSFTCTNSLRRLTGVWLSRLENLTDLSVSNVPVNASGPFVILGKMNIIKSVTVSNANLTGFFPRHLNHNLTHIDFSGNTLKGKLPTSITLLENLESLNLASNSFTGEIPTSVGDLISLQNLSLASNSFSGSIPESISAIPGLVHLDLSSNQFNGTIPSFLSEMKSLKYLNLENNQFHGVMPFNGSFLKRLEMFRIKGNSNLCYNHSILSSKLKLGIAPCDRHGLPLSPPPAKDDSSADENSDYDDSDGDDSSTHHKESHNGPNKVVLGVAIGLSSIIFLIIFLVCMSKCCR >KGN64226 pep chromosome:ASM407v2:1:4841022:4841318:1 gene:Csa_1G043285 transcript:KGN64226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRVTKRSGEIRKHVGVVRDEDLPVYVAVTAARGFAAIADGGEKPSRKRGGVDDKWKKQNPRRVFLFSLFLIYLDNFYPQLLTSLKLLFTWELFQILP >KGN66450 pep chromosome:ASM407v2:1:23816300:23825042:1 gene:Csa_1G611270 transcript:KGN66450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRRGRKVMDLKGYLVVKLAFILVGVVSGNNSYGVDSNLNRNSFPQGFVFGSASSSYQYEGAANKDGRRPSIWDTFTHKYPGKIQDGSNGDKANDAYHRYKEDVGIMKDMNFDAYRFSISWSRILPNGELSGGVNQNGIEYYNNLINELVAKGIKPFITLFHWDLPQALEDKYGGFLSPHIVNDFQDYAELCFKTFGDRVKHWITLNEPWTYSMGGYAQGSFAPNRCSDWQNLNCSGGNAATEPYIASHYQILAHAAAVKLYRDKYQKSQKGLIGITLVSHWFVPVSNGRRERNAAYRALDFMFGWFMDPLTFGEYPKSMQSLVRKRLPTFTKEQSELVKGSFDFLGFNYYTANYASYTPPPNANHMTYFSDARAALSTERNGVPIGPKAASPWLAVYPRGIRDVLLYIKGKYNDPLIYITENGVDEFNNASLPLKEALVDNFRIDYYKAHLSFLKKAIEDGVRVKGYFAWSLLDNFEWSSGYTVRFGINFVDYKDGFKRYPKSSAHWFKKFLKH >KGN65609 pep chromosome:ASM407v2:1:16890100:16890830:1 gene:Csa_1G470250 transcript:KGN65609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGQQVLENFDSLWFFATVFSNRTPPVVGKPIQNDFVGSLGEEIATPITRNEENNVCEAKEMETEEREEKRRKNRGGRSWGLEQRKKFIVGEIDLSYAVKEICECWSFEEMRIGGGEKTKKMPSFEDSMAMKEHIRSWAYAVACTVR >KGN66098 pep chromosome:ASM407v2:1:21139760:21145721:1 gene:Csa_1G570230 transcript:KGN66098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHRGEKWKDDEVTWVNFPKYPHPFRFSARVSSTLFPLSGHLRRKTRNSLSGHRRCPSQLIVGARHTPGFGPVLGISVGDFSSSVFGLVHFLFSCPDLLITHSVLALERRVRRFFTPVLQGVSGCIIKLGMGDSEVGGKLTRMVFVTVGTTSFDALVRAVDTEQVKQILYARGYTHLLIQMGRGTYNPTKSHGEDGLVVDYFSFSSSIADHLKSASLVISHAGSGSIFETLRLGKPLIVVVNEDLMDNHQIELAEELAERKHLYCARPQTLHQTIESLNLESIIPYTPGDAKPVAALINRFLGFPED >KGN63813 pep chromosome:ASM407v2:1:2314025:2315363:-1 gene:Csa_1G022520 transcript:KGN63813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLTITNGGATVGTSGGILYRTFTRTPSNYFLFRRNALFHPSKEARTLHLVQAKKSSFRTGRFDSKNRKSSTTIKEQEEEEERNRTAGVEMGSPVVENAGVVFDVDENLPQLPGLQPDFWEGPQWDAFGFFLEYLWAFGIVFAIIACGIAVTTYNEGATDFKETPAYKESVQTREILEPEASNPDVFESNPTEVAPSLE >KGN64313 pep chromosome:ASM407v2:1:5309087:5320678:-1 gene:Csa_1G046095 transcript:KGN64313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPGKNLKPEICGLKGLNQEPDDKNQLVDKEAGLSGGERDMAPVTGNSIHRSGSRPQLDLSKAEIQGNFEERDPTILLPNQSDDISHLALDIGGSLIKLVYFSRHEDQSVDDKRKRSVKQRLGLSNGNRRSYPVLGGRLHFVKFETSKINECLDFIHSKQLHRGAYKDLPHWHSDGPENENAIIKATGGGAYKFADLFKERLGVSIDKEDEMDCLVAGANFLLKAIRHEAFTHMEGKKEFVQLDHNELFPYLLVNIGSGVSMIKVDGDGKFQRVSGTNVGGGTYWGLGRLLTKCNSFDELLELSQKGDNSTIDMLVGDIYGGMDYSKIGLSASTIASSFGKTISVNKELEDYRPEDISLSLLRMISYNIGQISYLNALRFGLKRIFFGGFFIRGHAYTMDTISFAVHFWSKGEAQAMFLRHEGFLGALGAFMSYEKHGLDDLLAHQLVERFPMGAPYTGGKVHGPPLGDLNEKISWMEKFLQKRTEITAPVPTAPPGTTGLGGFEVPLSKGETLRSDASALNVGVLHLVPTLEVFPLLADPKTYEPNTIDLSDHSELEYWFTVLSEHVPDLVDKAVASEGGTDDAKRRGDAFARAFSAHLRRLMEEPTAYGKLGLANLLELREECLREFQFFDAYRSIKQRENEASLAVLPDLLLELDSMNEEARLLTLIEGVLAANIFDWGSRACVDLYHKGTIIEIYRMSRNKMQRPWRVDDFDAFRERMLGSGDERPPPHKRALLFVDNSGADVVLGMLPLARELLRRGTEVVLVANSLPALNDVTAMELPDIVAEAAKHCDILRRAAEAGGLIVDAMIDSADGSKEGSSFVPLMVVENGCGSPCIDLRQVSSELAAAAKDADLVILEGMGRALHTNFNAQFKCDALKLAMVKNQRLAEKLIKGNIYDCVCRYEPSH >KGN64554 pep chromosome:ASM407v2:1:6842192:6843022:1 gene:Csa_1G064760 transcript:KGN64554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSPSHLPVHHTDTPEQHPTAPTKRHHSARYYAHRVKESLTTRVSKLICAIFLSLLLIIGIITFILWLSLRPHRPRFFIHDFTVTGLSLENGFESAQIVFNATARNSNLNIGIYYDAMSGSVYYKEQKIGSTPLLDSYYEGPKTTKVLTAALSGATLNIDRQRWMEISNERSKGVVVFRLEITSTIRFRISAWDSKRHVMHANCPVSVGSDGMILPSSKDLRCPVYFT >KGN66157 pep chromosome:ASM407v2:1:21513803:21514105:1 gene:Csa_1G574250 transcript:KGN66157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEGETMQSDDLLGILLESNFNEIKEHGNVNLGMSINDLIEECKIFYFAGQETTAVLLVWTMILLGSYTKWQDRARAEVLQVFGNNKPDFDGLNRLKIVS >KGN65992 pep chromosome:ASM407v2:1:20411050:20412162:-1 gene:Csa_1G560750 transcript:KGN65992 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRIP and coiled-coil domain-containing protein MVKDNSASIEPPKNEEQPNEAPHVKESEVIAKDIGESLVPSKDMEGPVEGFPVIGSEEMGAKEMGGSTLGSEEIEKLEPCKVTEVRDGKEELSEITNHVQNDYVEVETEQIAKGENVEDQNPLAIGNDSTSPLGVGQIHKEEEQCKEQSDKQDSVYQKGQPSVNLVAPDIPPHDVKEDEKKESGNIDVVAQLSVEEAPAMEKVGEENKEKGMKTEKADEATHENIQKITLPREEVAPRDYETDVVVEGKSIDDQKAGKVANLIAETKVDESITDEKLAPVETASVQVNETPKEPQELELEVKDKENVRAEAEVPKVNDKKEVPSKPSHKHSHNILSKVKQSLVKAKKAIIGKSPSSKTLSSEARDDIKVK >KGN63603 pep chromosome:ASM407v2:1:1088384:1094279:1 gene:Csa_1G005640 transcript:KGN63603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGAAPKVEEPQAHPPKDQLPNVSFCITSPPPWPEAILLGFQHYLVMLGTTVLIPSSLVPQMGGGFEEKAKVIQTLLFVAGLNTLLQSLFGTRLPAVIGGSYTFVPTTISIILAGRFSDTADPIDKFKKIMRAIQGALIVASTLQIVLGFSGLWRNVARFLSPLSAAPLVSLVGFGLFELGFPGVAKCVEIGLPELILLVFVSQYLPHIIKSGKHLFDRFAVIFCVVLVWIYAHLLTVGGAYKGAPPKTQLSCRTDRSGLIDNAPWIKLPYPFQWGAPSFDAGEAFAMMMASFVALVESSGAFIATSRYASATQLPPSILSRGVGWQGVGILLSGLFGTVNGSSVSVENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPAPIVAALYCLFFAYVGMGGLSYLQFCNLNSFRTKFVLGFSIFLGLSIPQYFNEFTAINGFGPVHTRARWFNDMVNVPFSSEPFVAGIVAYFLDNTLHKKDGAIRKDRGKHWWDKFRSFKGDTRSEEFYSLPFNLNKYFPSV >KGN64206 pep chromosome:ASM407v2:1:4722151:4723979:1 gene:Csa_1G043090 transcript:KGN64206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLCFHLARPLFLISKSTDLQKSIALRISRKSFVSKSENSSVKLEDFYVSFLQRCVLTSDSRHGSAIHAKFLKGFLPFSLFFHNHVLNFYVKCGRLSYGLQLFDEMPERNVVSWSAIIAGFVQHGRPNEALSLFGRMHCDGTIMPNEFTLVSALHACSLTQRLICSYQIYAFIVRLGYGSNVFLMNAFLTALIRHEKLLEALEVFESCLSKDTVSWNAMMAGYLQLAYFELPKFWRRMNLESVKPDNFTFASILTGLAALSEFRLGLQVHGQLVKSGYGNDICVGNSLCDMYVKNQKLLDGFKAFDEMSSSDVCSWTQMAAGCLQCGEPMKALEVIYEMKNVGVRLNKFTLATALNSCANLASIEEGKKFHGLRIKLGTDVDVCVDNALLDMYAKCGCMTSANVVFRSMDERSVVSWTTMIMGFAHNGQTKEALQIFDEMRKGEAEPNHITFICVLNACSQGGFIDEAWKYFSSMSADHGIAPSEDHYVCMVNLLGRAGCIKEAEDLILQMPFQPGSLVWQTLLGACLVHGDIETGKRAAEHALNLDRNDPSTYILLSNMFAGGDNWDSVGILRELMETRDVKKVPGSSWMSNMRRTID >KGN64982 pep chromosome:ASM407v2:1:10628553:10631997:-1 gene:Csa_1G171040 transcript:KGN64982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLFCLMLGFQSYIVLLGSHSHGLEVTDEDLKRVADSHHKLLGSIFGSDEKARNAIFYSYKKNINGFAAIMDEEEAAQLAKHPEVAAVLPNRAKKLHTTHSWEFMHLEKNGVIPPSSAWRRAKSGKDVIIANLDTGVWPESKSFGEHGIVGPVPSKWKGGCTDKTLDRVPCNRKLIGAKYFNKGFLAYLKSENLTALVINSTRDYDGHGSHTLSTAGGSYVSGASVFGLGVGTAKGGSPKARVAAYKVCWPLEDGGCFDADIAQAFDHAIHDRVDVLSLSLGGEPADYYDDGIAISAFHAVKKGIPVVCSAGNSGPGAQTVSNTAPWILTVGASTMDREFQAPVELQNGHRYMGSSLSKGLKGDKLYPLITGAEAKAKNATAEEARLCKPKTLDHSKVKGKILVCLRGDTARVDKGEQAALAGAVGMILCNDELSGFETIADPHVLPASHINYNDGQAVFSYIKTTKNPMGYLIPPTAKVNTKPAPTMAAFSSRGPNLISPEIIKPDVTAPGVNIIAAFSEAVSPTGEPFDNRTVPFITMSGTSMSCPHVSGLVGLLRTLHPQWSPSAIKSAIMTSARIRDNTKKPMLDGGSPDLAPSTPFAYGSGHIRPTGAIDPGLVYDLSPNDYLEFLCASGYNEKTIQAFSDGPFKCPASASILNLNYPSIGVQNLTGSVTVTRKLKNVSTPGVYKGRVRHPNGVKVLVKPKVLKFERVGEEKSFELTITGDVPEDQVVDGVLIWTDGKHFVRSPIVVSSSLF >KGN63777 pep chromosome:ASM407v2:1:2103999:2106471:-1 gene:Csa_1G015710 transcript:KGN63777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWTPDGYVAVVTMYNFQQYRHIQAPGWTLGWTWAKKEVIWSMMGSQTTEQGDCSRFKGNTPHCCKKDPTVVDLLPGTPYNQQIANCCKGGVINSWAQDPANAASSFQVSVGSAGTSNKTVKLPKNFTLKAPGPGYTCGPAKIVRPTKFVSGDKRRVTQALMTWNVTCTYSQFLAQKTPTCCVSLSSFYNDTIVNCPTCTCGCQNNTAGSGSCVDPDSPFLASVVSAPDKSGNNPPLVQCTSHMCPIRVHWHVKLNYKDYWRVKITITNFNYRMNYTLWNLVVQHPNFDNITKLFSFNYKSLSPYGDLNDTAMLWGVKFYNDLLNQAGPLGNVQSELLFQKDQNTFTFDKGWAFPRRVYFNGDNCVMPPPEAYPYLPNASSRPAISLLVTILISLALLLNFAQ >KGN63754 pep chromosome:ASM407v2:1:1953698:1954004:1 gene:Csa_1G014510 transcript:KGN63754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVVWKEFLQWNSPIPYIFGGLAVVFGITSAVLFILACSHQIWMRNSINNDKEKASKNKGSEQFDTTPSIAVIMAGDDHPKYMAKPVSFVKN >KGN64468 pep chromosome:ASM407v2:1:6294585:6304558:1 gene:Csa_1G057040 transcript:KGN64468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERNVKKSTLDQPSNYEQIRLTSMEGRNQGLGSTNQRTFHDPSSNISTNIRPPEYNMLVVGVASPGHNYSIQTGEEFALEFMRERVNAKHHFVPTNSPDPGVSTGYMDLKGMLGIPHASSESGSSIAMLNPVEKDHVQHFERGSLPHEEKSSYNSMRFVPRASSRNDVSRLHSFTSSGASDSTSRKVKFLCSFGGKVMPRPSDGKLRYVGGETRIIRITKDISWSNLLQKTSTIYDQVHTIKYQLPGEDLDALVSVSCDEDLQNMMEECNIPENGGSTKPRMFLFSISDLEDSQMGVGSAEGGSEIEYVIAVNGMDLSSRRNSTPLGNTSGNNLDELLALNVGLESGQVAPLSDNMKSSLTITPSFPQSSQTIWTNSSSGLKSSLQPLSGQKLQQGELGPPQPSSFRPMQSFPEKLGKTSVSSSIQSQHDYVLNTNATSVENVPPMPSKGYLNQHYPVSGFHTQDPDSSSREGKITEISTSKLSEPDEIQSLEKEVSFNDAQMKRESSLHKIDEANESPNFEHECGVSSNLNDASVLNYNTKGMQVINSDTDVGSSLLLTKNNKHQDPAPESVSLEASNEGNRGTKEDKFSSDELPTSGFGASKADETGFSYLEPILPQRVFHSERIPREQAELNRLSKSDDSFGSQFLRTQGNSDYSQTIIESAETLLDGNMTLESEQFVSSSKLPCGNHQTIEDGLEPFEKYKTSADKNSKTMNISGEHDGSEVSDMSNIKSPSACRKEAEGLAHLTAGEEVPDKHKEESLMGPLESGWIEGSTHNNHGNETQEQPEPSSLTENPGKNATQVEPGVGIGTSEHGDILIDINDRFPRDFLSDIFSKARNSENISGINPLHGNGAGLSVNVENHEPKRWSYFRNLAQEEFVGRDVSLMDQDHLGFSSSLGNVEEGGTVNRFPLLNSDVGAIYEKESHNFDDNIQPESRLLTGPSTTNLYTEYNSSQLKGNETMHEPSSKSPQDENVDAKLDGQDIGVPLVDFYLKDFDISTLQIIKNEDLEEQRELGSGTFGTVYHGKWRGTDVAIKRIKKSCFTCRSSEQERLTIEFWREAEILSKLHHPNVVAFYGVVQDGPGGTLATVTEFMVNGSLRNVLLSKERYLDRRKRLIIAMDAAFGMEYLHSKNIVHFDLKCDNLLVNLKDPFRPICKVGDFGLSKIKRNTLVTGGVRGTLPWMAPELLNGSSSKVSEKVDVFSFGIVLWEILTGEEPYANMHYGAIIGGIVNNTLRPPVPSFCDPDWRLLMEQCWSPDPVARPSFTDIARRLRVMSTAAQTRSPQNQMPK >KGN66711 pep chromosome:ASM407v2:1:26739846:26740717:1 gene:Csa_1G662750 transcript:KGN66711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFYGISSTYFPLFIVVVIINCLRNEVVCEEANFDQNYIVTYGQDHFLRSEGGAQVQLSLDLASGTGFKSKIGYGSGHFHIKLKLPSRHSPGLHSSPDKNVGAHDEVDFEFLGTGPVYVLQTNIFANDNGGREQKIRLWFDPSLSFHDYAILWNSHQIV >KGN64146 pep chromosome:ASM407v2:1:4365612:4366462:-1 gene:Csa_1G042560 transcript:KGN64146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKASQIALSIIVMFMTVEITMAVTCSPVQLSSCVSAITSSVPPSKLCCSKIKEQKPCLCKYMQNPTLKKFVASPNARKVANTCGTPFPKC >KGN64308 pep chromosome:ASM407v2:1:5287727:5290312:-1 gene:Csa_1G046060 transcript:KGN64308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENNQDQCQLQFQPFGNGHRFENGVEVFVGSSKNTGNDDFSDRFSDAGDSSTGHDHDSVVSDSESGISGPSMEQLEWRNEGLVKLVEEDKIYDLIKRRFVSGLGLLGPQTTVSAVYKNSHSTHIGQARLHTFQIYSKAVEKKNGGNANVKYAWLGASKDQINSILGYGFSHCNKPESSQCLGSGIYLSPDNHPLESLEDAVVDADGLRHLLLCRVVLGKSELIHPGSRQNHPSCEAFDSGADNLFAPKKYIVWSTHMNTHILPEYLISFRTPPRLKGTLKARQPFRMPTSPWMPFPSLISVLSKYLPAPEIAMITKYHKDHRDHKISRHELIKRVRLIAGDKLLIHVIKSFRTQESNVDVGFEGKGSRSGARNGQKAAGNVESPILLE >KGN65704 pep chromosome:ASM407v2:1:17875007:17876022:1 gene:Csa_1G505400 transcript:KGN65704 gene_biotype:protein_coding transcript_biotype:protein_coding description:Osmotin-like protein MATISLSFFLPLLLTFLSLSSLSAATYPGSGLIMTLVNNCPYPIWPAIQPNAGHPVPERGGFYLPSLSHRSFPAPYQHWSGRVWARTGCTGHHNHLTCATGDCGGKLECNGAGGKTPATLAQFSLHHGHKDFSSYGVSLVDGFNIPLTITPHEGQGVCPVVGCKANLLLTCPRELQVHAPGRYGGVIACKSGCEAFNSDAFCCRGHYNSPQTCNASSFSRFFKDACPSTFTYAHDTPSLMHECAAPRELKVIFCH >KGN65938 pep chromosome:ASM407v2:1:19910483:19911275:1 gene:Csa_1G539340 transcript:KGN65938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDMKAHFSSFLDIYELVATLSTKFPELGLIKQDCLEVRWVESTLIIASGVFQTIESLEPLLNRTPTTLDSTKIKSDYIKKPIPKAAIEGIWQRLKARDIEGPQFVFAPYGARMSQISKSETPFSHRAGYLYQIGYMVGWKGQNLKAKNRHISWIRELYEYMAPFVSKSPRAAYTNYRDLDIGSNNKYGKTSYKQASIWGLKYFGNNFKRLVYVKTKVDPHDFFRHEQSIPTL >KGN64106 pep chromosome:ASM407v2:1:4128095:4129330:-1 gene:Csa_1G042180 transcript:KGN64106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFSTNSSGNTKNVHDPFGNLSHDLDHSFHLPISFFPFPSPFEVDDDRDLNFTTNSPSNSSQNYNNNPPPIISTCNSPPIDNMSMVVMNSDQKMKKMMKKVPQRKRLSKKDRHSKITTAKGVRDRRMRLSLPVAKQFFGLQDMLGVDKGSKTVEWLLIQAKPEILKLATEKKNHNCFTISNSSSNYSNSSELDNEVGVIIGDQNLNTKDNNIKISKKKIKKKKIMRAKQLPIRKTMAKELREKARERARARTLEKLKSQMFIRDSACCSDDHQPNFTNINSSSSWSSPFETTGGEESAGTTTHQSYNNNNNPITSQFDSQFHQIFSSFDVILPKWSPSDDSTFNFLHQQHEFEDSDIFG >KGN64234 pep chromosome:ASM407v2:1:4883798:4884628:-1 gene:Csa_1G044830 transcript:KGN64234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNMSDEQLPVVKFCSQNLKSGTEEWVSTTKKVREALEEYGCFVALYDTVSAEVSSNMFDSLKELFDEVPLERKLQNVSEKPYHGYFGQNPLMPIHESMGIEHPILPTNINSFTNLMWPSRGNHSFRENVTAYANLVSELDKRVKSMVFESYGVGNALRSHMESTKYLMRMIKYRVPKEKEMNLGAFPHTDKSFLTILHQNEVNGLQIKTRDNKWIQYHPFSSSSTSSFIVMAGDAFFVSSISFY >KGN66494 pep chromosome:ASM407v2:1:24208346:24209536:1 gene:Csa_1G614640 transcript:KGN66494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTFCWSSNFGGGSHSLDSEEYIKKGKNLLKWKIPKIPTTKIYKSNPFIFFSDPFIKTKEETMPCENGSQVFRLISGNPMVDNFQEKRFYTRLNMGMIQIGVKTLTTKIPSNASIILCVFDTRNDNFEDSILGLVESKLIDGPIFFNIFPNITMPIFHPKLLESFVLIAMVQGFEQLPQGTSPISLMWRTCYKLQASALPTALIESPQGKTVFFQTNFENSKVADQKVSQWDEVICKVRNNIMKH >KGN64786 pep chromosome:ASM407v2:1:8552838:8554814:1 gene:Csa_1G097655 transcript:KGN64786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAQLPPRSDAGHSCRPLQFPLQVITGRWFTVFATFLIMAGAGATYLFGVYSKQIKSALGYDQTTLNLMGFFKDLGANVGVLSGLVAEVTPTWFVLLLGSALNFTGYFMIWLAVSGRIAKPKVWQMCLYICVGANSQNFANTGALVTCIQNFPESRGAMMGLLKGFTGLSGAILTEIYRAVYADDATALILLIGWLPAAISVVFVFTIRRLRSERQPNEKRVFYHFLYISIGLAVFIMIMNIVQKKVQFNHTAYASSATVICVFLFLPLLVVIREELRIWNTKKSTSVPIESPQPKPIDEPKIITEESKQITEIQKQNLATPPPESCFSNICQKPPRGDDYTILQALLSIDMFVLFVATFCGLGTSLTAVDNLGQIGESLGYPLKTVSSFVSLVSIWNYFGRIFAGFVSESLLARFKFPRPLMMTLVLLLSCVGQLLIAFPVPGSVYIASVIIGFSFGAQLPLLFAIISELFGLKYFSTLFNCGQIASPLGSYILNVKVAGMLYDMEALKQLKEKGLDRSAVKELICMGKQCYRKSFSITAIVTFVGAMVSLVLVMRTREFYKGDIYKKFREEEMKKEEMVINGGGEVTARESK >KGN66432 pep chromosome:ASM407v2:1:23683162:23686681:1 gene:Csa_1G605610 transcript:KGN66432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIENQHPFQIDLGHLMAFNPHHQFPSLPSSREDLVSECLQKGTELVQSIANDLFNLPSTEDRDGPLVRLPPPTTRLPREKPLPKPKPPTKWELFAQKKGIKNRKKDKRVYDEQTHTWKRRHGYDRANDEDNIPIIEAKMTDESGEDPFAARRADKKKRVEKQEKNRLQNLKQAAKVGALPSHIQLAATALPITGTQAAPKKITKDELGNVAGMAATSTASGGKFDKKFAGEKPAKHQGKYRKFLPVVEGTGIGSREREQTEKVLSRLISKNSHEILNVNKAVNMYNVKKEKKQRNQGKSSSMSSKLKPNKKLQKKPLKKGSSKQGKAK >KGN64159 pep chromosome:ASM407v2:1:4430048:4431545:-1 gene:Csa_1G042670 transcript:KGN64159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPPFPNSLLFHKTFHSSAPTFRTWRVYAAQAPNNDRRRPPPGVDTRIHWQNEEEGWIGRKKKESNDQNNVSNNMLGPSLADLLNNSSDSHYQFLGVDAEAEVEEIKSAYRRLSKEYHPDTTSLPLKVASEKFMKLKQVYEVLSNEESRKFYDWTLAQEEASRQADKLRMKLEDPYEQELQNWVSTPDMVDRLGGRNLELSDQASTALTLDIFIILFAIACIVYVLLFKEPYY >KGN65249 pep chromosome:ASM407v2:1:13122979:13124178:-1 gene:Csa_1G269910 transcript:KGN65249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNAGDILFDVLSRLPTKTLLEMRFVSKAWHRLILDRSFVQAQFQKCGLALSGFMFQEKYRKCPIDISTFTYMPIDADSKVQKMVFGFLPEDVVILQSCNGLVCCRSCFRTQHSTTHSTTIYVCNPLFKKWVSFEVAQLDSFSSIALAFDPILDPVNTATNFKVVRIQQLENEQEEMYYTFEIYSSETGTWKESSEVCYSDGNLLKNNGTYAKGVLHWLTDTDQILAFDTEKELSLLVPSPIPALEMFIDAPGTCIGESKGLLHFIMICEDGIIVWCLDDYFEAKWTLKHSKQLQMIEDENPNMFFKLYKIMQRRRLPGMEPYMDPWAFKDEVLLMRVFSTVYFYHIETGKVVEVCNVASLGPNAFVSPPVIPYSLSLIPLDVSLSRSDPNNNTNPNEN >KGN65664 pep chromosome:ASM407v2:1:17363675:17368993:-1 gene:Csa_1G480680 transcript:KGN65664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGNRSEEEAQISNKHGGWITFPFVIGTFACMTLATGGWLSNLIVYLIKEYNINSIDATLISNIVSGCLCVFPVVGAVLADSFFGSFFVILISTSISLLAMVSLTLTATIHSLRPQPCDHNNTSITCSSSPSKLQYTILYSTIILACLGSGGSRFTTATFGANQYDTTKDQNIFFNWFFVTLYAGFVASSTAIVYIQDNVSWGWGFGISLAANVISLAIFLLGNRFYRLDKPEGSPFTSLARVLVATARKRLAQMQVGSDEGCYYYSDQDHRVGMPIVDGVTLTKSFRCLNRAALITRGDIHLDGTIAKPWRLCKVQEVEDFKTLLKIFPLWSTSIFLSVPIAIQGSLTVLQALTMDRHLGPNFKIPAGSFAVIIFISTTISLTLVDRFLYPIWKKLIGRMPRPLERIGLGHVFNFLSMVVSALGESKRLKIAHVHHLQDQAEAIVPISALWLFPQLVLVGMGEAFHFPGQVGLYYQEFPTSLRSTATAMISLVIAVAYYLSTGLIDLLHKVTKWLPDDINQGRVDNVYWMIFVIGVINFGYYLVCARCYKYQNVEDGGKNINDSITEH >KGN65202 pep chromosome:ASM407v2:1:12771246:12774927:-1 gene:Csa_1G264530 transcript:KGN65202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFFTFPFLFSLFLLLHLHSSSASNHSSHLHSLPFHVGRGEFVTAVELWEPAGRNLAESTVDNSSLILAETRTNRKDPLNNFQRYTGGWNIKNKHYWASVAFTAAPFFVIAGIWFVVFGLSLFLICLCYCCCRREPYGYSRLAYALSLIFLILFTIAAIVGCIVLYTGQGKFHSITTKTLDYVVGQAEDTVVNLRNVSGYLSAAKKIGVATAFLSPDIQGRIDEIDRKLNSSAITLSEKTGENSKNIQYVLDHIRLALIILAAIMLLLAFLGFLFSILGMQSLVYSLVIIGWILVAGTFILCGVFLLLHNVVADTCVSMNEWVQNPTAHTALDDILPCVDNATAQETLTQSKNVAFQLVDVVNNVITGISNANFPPSAGAPFYFNQSGPSMPILCNPFYANLTDRLCASGEVELGNATVVWKNFVCEASASGICTTPGRLTPTYYSQMTAAVNVTFGLYKYGPYLVSLQDCSFVRQTFTDIQDNYCPGLGRYTQWIYIGLVMVSAAVMLSLIFWVIYARERRHRVYTKSHMGNYSQ >KGN66294 pep chromosome:ASM407v2:1:22541569:22545633:1 gene:Csa_1G596440 transcript:KGN66294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVLRSREVISPPPTPKSLKSPSETPHHSSTPSKHQEIQPLHSPPHTSPVSTALSSDGLSSPGVSRRRSFRLAAKGLGPEHCDVDRVRDNFSGTLMKSETIDNRDLGLASDGKLVVSSICNEIEGFGVNEGAEGLNEFTGSKSDEVNVNGKRKLNPTMDSPPGEWEDESSWRKECLSLRWGKRKTVKQGPRLKDSDNVATDLNGIGGVLMKELNEECSRIEENDCTDSRNRFSRKEKGKWIVDDRNSNRNDTAVLHSEPNDELSDNLVEHQNYQFVRDRLKGVVIEENTTNLSGASYSDGGNMDANGYTAIEGNASEHNVEGRLIAEALLSLSTDFTMDSNSRYKYNSIEGEASGPAHLVDDGPQSNDSQEMESSSEEVGRLDLYLRRRTAIGFARYNEGNDRLQNVEAESEDNIEDWPGPFSTAMKIASDRANGVRVRVRKSLEENDPEPVEWIPKRRAYCRRSQSLPPSLGDLCLRVLAENADAISSLDFVPDTFRHKLSRLLCDSRKMNSQFFNLLLCGSPTEVCIRDCSWLSEEEFVQSFQGCDTSKLMILQLYQCGRSIYDIVLLSTLARSSNSLPALRSLSLTGACCLSDDGVAALVCSAPALHSLNLSQCSFLTFSSIESIANSLGSTLRELYLDDCLKIDPMLMVPAMNKLQHLEVLSLAGMEDVCDKFIQEFLTAGGHNLKQLILTNCVKLTNKSIKAISETCSALCAIDLVNLSKITDYALCCLASGCQALQKLKLSRNLFSDEAVAAFVEISRGNLKELSLNSVKKVSRCTAISLARFSKNLVSLDLSWCRKLSDEALGLIVDNCPSLRELKLFGCSQVTNVFLDGHSNPNVEIIGLKLSPIWQVEPHISWEGPSYHSSVPSSF >KGN66887 pep chromosome:ASM407v2:1:28635527:28640433:1 gene:Csa_1G704090 transcript:KGN66887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSLKTVMAIKSYQSQADALVKNYLLADHFVPFTSVLGGMLACKLVYDLTQLVSNFYFKSYLGLTKIQRVEWNNRGMSTFHAIYISIMSLYFVFWSDLFSDQRHPGLVTFQSSTLSTFILGISVGYFLADLGLIVWLYPSLGGMEYVVHHTLSGLAVAYSVFSGEGQLYTYMVLISEITTPEINMRWYLDTAGMKRSCAYLINGIVIFFAWLIARILLFGYTFYHVYLHYDQVIKMHVIGYLLVFGVPTVLGMMNLMWFAKIVKGLMKTISKR >KGN63809 pep chromosome:ASM407v2:1:2298826:2300295:1 gene:Csa_1G022480 transcript:KGN63809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTSLSYAFLFLTFFASLHFPSILSRKLTTQSPYSTNTFDVSASINQALNALSIKPKPFQTTHSNYHSSSPLSLSLHPRLTVHNPSYEDYGSLVRARLARGAARAQSLNRKLELSLKGGKQFGRRINGSDSTNSLTAPVTSGASQGAGEYFARIGVGQPVQSYFFVPDTGSDVSWLQCQPCDGENGCYKQIGPIFDPKSSSSYSPLSCDSEQCHLLDEAACDANSCIYEVEYGDGSFTVGELATETFSFRHSNSIPNLPIGCGHDNEGLFVGAAGLIGLGGGAISLSSQLEATSFSYCLVDLDSESSSTLDFNADQPSDSLTSPLVKNDRFPTFRYVKVIGMSVGGKPLPISSSSFEIDESGSGGIIVDSGTTITEIPSDVYDVLRDAFVGLTKNLPPAPGVSPFDTCYDLSSQSNVEVPTIAFILPGENSLQLPAKNCLFQVDSAGTFCLAFLPSTFPLSIIGNVQQQGIRVSYDLANSLVGFSTDKC >KGN65184 pep chromosome:ASM407v2:1:12652979:12656395:1 gene:Csa_1G257900 transcript:KGN65184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVISSSNSHSKEVSIRKRIASIFNKREEDFSSLREYNDYLEEVEDMTFNLIEGIDVQAIEAKIARYQEENAEQIMINRARKAEEYAAALAASKGIPAQADNDGAADQSFQAGLSTGTQGQYAPTFAGGQPRPTGQPVPLGAGPDMQGYGLDDEEMLRLRAERGGRAGGWSVEFSRKRALEEAFGSIWIC >KGN65957 pep chromosome:ASM407v2:1:20077965:20080614:-1 gene:Csa_1G542480 transcript:KGN65957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKHLLEKNEKGHVVATCRNPGQATGLLELKNKFDERLCILQLDVTNETTIKASAKSIEERYGSLNLLINASGILSIPNVIQPETTLYKVEKSSLLHAYEVNAVGPILVIKHLWPFLKAGGGSGTEREVAVVANLSARVGSIGDNRLGGWHSYRASKTALNQLTKNVSVEFARKKDPIVCILLHPGTVDTDLSRPFQRNVPEGKLFTKEFSVQKLMTIINNAKSQDNGKFFAWDGQEIPW >KGN65325 pep chromosome:ASM407v2:1:13842891:13843244:1 gene:Csa_1G328370 transcript:KGN65325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGTHSPNLVAQNFPSEDSWEGMTQWLEKLQQETDTIWPKSIELSLPQTCRLEIVNNSMEGLKSLWESLTKEHRIEFVKAYRNITDLKYTSINAHALQALVQFWDPVLKCFTFNTFD >KGN65200 pep chromosome:ASM407v2:1:12759118:12759423:1 gene:Csa_1G264270 transcript:KGN65200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRSDERRQGSPQYIAFNSSNSNQRVRHRRSPCVEPIRRVRSRRLRLNAFVKTTPERTRMCGWRTHAGPLLLALLLHHLMIPTGTRQNRDRAIRRNGPVQ >KGN64673 pep chromosome:ASM407v2:1:7556015:7557837:1 gene:Csa_1G073800 transcript:KGN64673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDKRYFPLSTADIATRMNLILRVHGLEQVEDYFNNMPSQLKRCQVHIALLNCYAHEKYADKANAVLQKIKEMGFAKTSLPYNITMNLYHQIGEFERLDSPLKETDVDHDQFTYTTRLNWNCYVIAANAYNKVGLIDKSISMLKKSEGLLANVKKKGFAFNVYLKLYARNGKKDEIHLIWNLYKKEKIFNKGFISMITSLFVLDDIKGAERIYKEWETQKLSYDLRIPNLLVDAYCRAASGYLQKDQLPQAVETLKKAASLCPSELNYAKEILAAFLDGKQDEEETEKVVNLLREKDDSHPARAHDILVER >KGN63930 pep chromosome:ASM407v2:1:3118841:3119646:1 gene:Csa_1G029570 transcript:KGN63930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSRGHWRPAEDEKLRELVERYGPHNWNAIAQKLQGRSGKSCRLRWFNQLDPRINRTPFTEEEEERLMASHRVHGNRWAIIARLFPGRTDNAVKNHWHVIMARRSRNKSKTQTLTQTLTQTHNNNNNNNRLLLSSFLQLHAYPTSNISFIPKPPPDDAAAAAAAHSSCSIIHYYDFLQVNSTDSMNGSEVIDNSSRKEDYEEVNNNNNGEQQAVLLPFIDFFSASSNNTSIS >KGN65785 pep chromosome:ASM407v2:1:18493874:18518770:1 gene:Csa_1G528500 transcript:KGN65785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPIEATKELSFRVGFSGHSGHLRVEPLSTVERSTPIRSLPDFILPPAFPKETPETIKNYIEETYLQPRLDPDEFSPEKVGRQWDFDWFEMAKVSLDPSPPRSVVVPTWVLPFERPKKDGAAGGTWEPDSRQVDVSELNVETQESGSQPRVPGPAKDFVRGSINNRPFRPGGLDDSQSIDRILPDAASNGEWVHEVLNGGPAQFIPPILKKGLDLGDLKEYPNSWNVYENQSSSSFKTSPIENLQSELSVQFDDLFKKAWEEDAIESVEDGQSPKAESIKSEDRVRELEAISIAPAPGISALDEILSLESGGFSLSSDQATEVGAQKKEAWVVVGGREDISLRFHDLVPDMALDFPFELDTFQKEAIYHLEKGDSVFVAAHTSAGKTVVAEYAFALATKHCTRAVYTAPIKTISNQKYRDFCGKFDVGLLTGDVSLRPEASCLIMTTEILRSMLYRGADIIRDIEWVIFDEVHYVNDIERGVVWEEVIIMLPRHINIVLLSATVPNTIEFADWIGRTKQKRIHVTGTAKRPVPLEHCIFYSGELYKICESEIFLSHGLKAAKDASKKKNSSVVGGAGGSHAGASVANDGTKNRKVESFNRSKQNKHSGSQNLGNFSGTSWGNQKNGDGHNNWGSRRSDASLWLLLINRLSKKSLLPVVIFCFSKNRCDKSADNIYSIDLTSSSEKSEIRVFCDKAFSRLKGSDRSLPQIVRVQGLLRRGIGVHHAGLLPIVKEVVEMLFCRGVIKVLFSTETFAMGVNAPARTVVFDTLRKFDGKEFRQLLPGEYTQMAGRAGRRGLDKIGTVIVMCREEIPEEKDLKRVIVGTATKLESQFRLTYIMILHLLRVEELKVEDMLKRSFAEFHAQKKLPEKQQLLMRKLAQPTRTIECIKGEATIEEYYDLYAEAEKPSNQLSEAVMQSSAIQQFLVPGRVVIVKSQSAKDHLLGVIVKANMNRQYIVLVLMPDSLPTQSSSSSDLEKKKQDLTQGYFMVPKSKRGLENDYYSPSTRKGSGLVNIRLPHAGAAVGISYEVRGVDAKDFLCVCTKKIKLDSGRLLEEVSNVAYSQTVQQLLDIKSDGKYPPALDPLKDLKLKDVNLVEAYKNLTDISLKMIANKCHGCIKLGEHLKLAAEIKKHKEEVNNLKFQMSDEALQQMPDFQGRIDVLKEIGCINSDLVVQMKGRVACEMNSGEELICTECLFENQLDNLEPEEAVALMSAFVFQQKNTSEPSLTPKLSMAKKRLYETAIRLGQLQAQFRLQIDPEEYARDNLKFGLVEVVYEWAKGTPFADICELTDVPEGMIVRTIVRLDETCREFKNAAAIMGNSALHKKMETASNAIKRDIVFAASLYITGL >KGN65476 pep chromosome:ASM407v2:1:15603700:15610477:1 gene:Csa_1G423340 transcript:KGN65476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATEAEGNKGGGLKKSYFDVLGICCSSEIPVIENILKDIEGIKEIRVIVATRTVIVLHDDLLVSQAQIVKALNQARFEANVRAYGDQKDHRKKWPSPYAVASGLLLLLSLLKYVNPIFRWVALAAVAAGILPIVLKSFAAVRHLRIDINILALIAVIGTIVLKDYLEAATIVFLFTIAEWLESRAAHKANAVMSSLLSIAPQKAVLADTGEVVGADEVKLGTLLAVKAGEDIPIDGIVVEGKCEVDEKTLTGESFPVPKQKNSTVWAGTINLNGYVTVKTTALAEDCVVAKMAKLVEEAQNNKSRTQRFIDKCAKFYTPAVIIISTCIVVIPLALRLPNRSHWFHLALVVLVSACPCALILSTPVASFCALTKAATSGLLIKGGDYLETLGKIKIMAFDKTGTITRGEFMVTEFQVLDKDNISLDTLLYWVSSIESKSSHPMAAALVDHGRSLSIDPKPENVDDFQNFPGEGVHGRIDGKDIYIGNRKIATRANCATVPEIKDEAKDGRTVGYIFCGTIAAGVFSLSDSCRTGAKEAMDELRSLGIKTAMLTGDSSAAALQAQKELGKALQAVHAELLPQDKTRLINDFKKEGPTAMIGDGLNDAPALATADIGISMGISGSALAIETGDVILMTNDIRKVPKAIRLARRANNKVIENVILSVVPRIAILGLAFGGHPLVWAAVLADVGACVLVILNSMLLLRGTDGHKGKKAGKFSATHGSSKHKCCHVSSHSDECSGHTHDHGCNHRSSHSSSHSHHHHHHHHEHEDCGSLKNTHDGCLQKNHPSMCDSKLKNSSSCKKSKLMNPSSKIDGSTGCVKLCEHDHTHDHGCSDGSDSSSHSHHQHHHHHHHEHEDCHSLEKTHDVCLPQNHASKCDSRSKNLSSFNEGKHIDSCSKVDGSTGSVQLCEHDHMHDHGCNTDSTDSSSHSHHHHYEHEDCGSLKKTHDGCVLQNCASKCDSGMKSSSSCKKSKLVDSSSKVDDSASSLKPCEHGHICNDQPAEHDHHPYSSCTDHHAKDELCSPENTQEFCSFQKCASNSCEKIKCTSSPASHDGSAVIVELDESGCCTHNTQSAQHDHDIQSPKCDFDDSHSPSLEHHISNGCCSQKNTHKDFLSHPMRDSETCKEGVHLHCKASNEDNGAINNTVNIKLEADHSNSKRGNTSNKPMENRETNNCKSCRRGSSQFKIGKSCAGLNKREVGGCCKSYMKECCRKHGDIRMAVRGGLNEIIIE >KGN64077 pep chromosome:ASM407v2:1:3960104:3962962:1 gene:Csa_1G039910 transcript:KGN64077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSMEKILERYERYSYAERRLVANDSQPNGNWTLEHAKLKARIEVLQKNHRHFMGEDLDSLSLKELQNIEQQLDSALKHIRARKNQLMHESITELKKKGKVLQEHNNILGKKIKEKEKSRAHNPQMEQQQHQNSNVIESSPLLLPQPFQSLSMSCPYPTHGLEENESAPNHERSDTLLPPWMLRHHLGD >KGN64909 pep chromosome:ASM407v2:1:9973572:9974504:1 gene:Csa_1G153540 transcript:KGN64909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAASSSSSHSQPPRSQQQMIHKFIDALRQQGFLDDDFNNRRTAPGHLDSLVTFCVESEPKLEIIQKSLEGEHLDFRTAISTAEMIRSAAARVGGSRVASACIALQEHLNNNNLNGSKEAYKKLSWEYYVIRDSFHHMLQAEKTVEV >KGN65109 pep chromosome:ASM407v2:1:11794027:11801260:-1 gene:Csa_1G224890 transcript:KGN65109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNDWINSYLEAILDVGPGIDEAKSAKQSSSLLLRERGHFSPTRYFVEEVITGFDESDLYRSWVKAAATRSPQERNTRLENMCWRIWNLARQKKQLEGEEALRMAKRRLERERGRREATADMSEDLSEGEKGDVVNDVSVHGDVSVHGDNAKTRLPRISSVDAMEVWASQQKGKKLYIVLVSIHGLIRGDNMELGRDSDTGGQVKYVVELARALGSMPGVYRVDLLTRQVASPDVDWSYAEPTEMLTPTNSEGLVGEMGESSGAYIIRIPFGPRDKYIPKELLWPHIPEFVDGALSHVIQMSKVLGEQIGVGHPVWPVAIHGHYADAGDSAALLSGALNVPMLFTGHSLGRDKLEQLLKQGRLSRDEINSTYKIMRRIEAEELALDASEIIITSTRQEIEEQWRLYDGFDPILERKLRARIKRNVSCYGRFMPRMAIIPPGMEFHHIIPHEGDMDVETEGNEDHPAQPDPPIWFEIMRFFTNPRKPMILALARPDPKKNITTLVKAFGECRPLRELANLTLIMGNREGIDEMSSTNSSVLLAVLKLIDKYDLYGQVAYPKHHKQSDVPDIYRLAAKTKGVFINPAFIEPFGLTLIEAAAHGLPIVATKNGGPVDIHRVLDNGLLVDPHDQQSIADALLKLVADKQLWARCRQSGLKNIHLFSWPEHCKTYLSKIASCKPRYPHWQRNEDEDDNSESGSPGDSWRDIQDISLNLKFSLDGEKSGGTDRSLESDDRTSKLENAVLSWSKGVSKDSRKSVAEKADQNSNVGKFPALRRRKHLFVIAVDSDSITGLVDTTRKLFEAVEKERSEGTIGFILSTSLTISEVNSFLVSGGYRANDFDAFICNSGSDLYYSSTNLEDDPFVVDFYYHSHIEYRWGGEGLRKTLVKWAASVSDKNSSTEDKIVSAAEQLSTNYCYTFNVRKPEVIPAVKELRKSLRIQALRCHVVYCQNGTRLNIIPVLASRSQALRYLYVRWGTELSKMVVFVGESGDTDYEGLLGGLHKSIVLKGVCNGAVNQLHANRNYPLSDVVPVDSANIAQASEEATSSDIRASLETIGLLKG >KGN65351 pep chromosome:ASM407v2:1:14253373:14257532:1 gene:Csa_1G366980 transcript:KGN65351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDGILGRGFTSKCKSLIKLTKSRIDVIRRKKKATLKFLKKDIADLLANGLDINAYGRAEGLLVELTISSCYDFVEQSCDTVLQHLPIMQKQRECPDECCEAIASLMFAAARFSDLPELRELRQIFQERFGTSLEHLENQKFVENLASKPSTLEKKVQLLQDIALEFSIKWDSVGFEKRMSTPPAYAKGVPKDQRSYNAVVDKSSHAKEIDPRVGKDGGVSYKENFEHANGRHRFVNPSDSTISGGKEPKFQVRQELPGHGHENRVYDKEETPMEFDGRLNHYGEKKGSTVSKHEARNGTVGSSPRIGRMGSSSSSEVPGDADNGLVVHNGRGRTVPDYLKSPYNIPGPPISKHEAGNGMMGSAFRTSRMGSSSSSEVLGDADDRPVVHNARERTVPNILKSSPYNNPGLAPSDAGLQLKSDIKEPSSGNTHTGHNGLILKSDLKDSSFVNTHNGHRYAVLQGKVEEDKQSLKPSYNSILPPPYVKANSRRKDHRSHSELLRTGHDNNCVSTDPQKPVKSEITAPALQLEPGHPDHERQVTSPIRSSSRGGEMDHVFGTRIPPDALPKPRSVRRRHHKPRSSHSVDDNAEDIRMVRKKSRSSRRRDDKRGLQLLVDEQENERDEEERIIDKLLIHYSKKPSSFEPGKMRRKPKNLLAPENGAHAAKSPLNLISRDGADEQADSVPPARSASLPREHNLGLSEATKVFTRAASFQPDRSSAAKHVHPKLPDYDDLAARFAALRGR >KGN64129 pep chromosome:ASM407v2:1:4293243:4294312:1 gene:Csa_1G042410 transcript:KGN64129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGHVYDPFDSLAKLCLISHSQEDILRHCSFAGAPNSLDASRFPPSQFLHPYPTPVSTASPPESLEQREQLISPDACQPPPEQSGGRRPVVVDDPSVQDAAAAVGGGCVSNVPTGVDLGKNDELGFLEVQSTRQTDGIEIIGVRRSKVSESGTDGEAESASKRLKLSNEALGKDSSVPFVGLLIEKSVPVGEESGKIDDGKVSNGEETHCNKNKQNLTEKKVENSQPEVPGEYDGVMNRDAGRETLISILNGLISKEKNDDATSSGESGSGNSIIMEILKILSQVERSDEDEKLADMNLIEVAMSRGMTFPRPCWWPEEYGSNGLEKKK >KGN66299 pep chromosome:ASM407v2:1:22562231:22563059:-1 gene:Csa_1G596490 transcript:KGN66299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLAAAPRKKLSRRGLGLGSSQLNTRLSSFFHPSVAFRSDKSNSPSKAMECYTLDGETPVVESITSLRSDLSSMGHV >KGN66173 pep chromosome:ASM407v2:1:21685628:21688515:-1 gene:Csa_1G574900 transcript:KGN66173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFNFRSSKSQASMKPSNSIQSPRRNPDPEQLKQEILLQIQGCRVHLMDGGEALELANGEFKLERILENEVSLATIVKVGDDLQWPLTKDEPVVKLNSLNYLFSLPMRDGDPLSYGVTFLEQNSSSLNWLDSFLKDNSCFSSSSSSLCNANNKSMINWKEYAPKIDDYNNILAKAIAEGTGQIVQGIFKCSNSYANQVNKGGEMILNSPPPVASVERSVSSPSATKNNKTSINQSLKRVRKMTKMTEKLSKSMLDMVGVASGSVMGPVMKSQAGRAFFAMVPGQVLLASLDAVNKIMDAAEAAEKQALLATTQATTRMVSNKFGESAGEATGDVLATAGHCANTAWNVFKIRKAINPASSVSAGALKNAAKTRNF >KGN66225 pep chromosome:ASM407v2:1:22034124:22034653:-1 gene:Csa_1G586870 transcript:KGN66225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIFHGISNQARSYRDSYIGMENQSSLDFRSYQLGELGAVSMTCKVIPLHFQLLMGPTSLKFIAKLKIDTAPAPQTKDPKTVTK >KGN66879 pep chromosome:ASM407v2:1:28532987:28539952:-1 gene:Csa_1G702040 transcript:KGN66879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEKEKEMRREEKDKPLIPPFRLPSKISHCFASNIPNSLSHPLPSPLPPPLPPLSLTGFLPSDHPSSPPLPRTQGPSTPMAVLLEEIVKSVELWLKLINKPDPFIDPNLDPVLLVPGVGGSILNAVNNDTGKQERVWVRIFGADSKLQTELWSFYDPTSGESVCFDPKIKIRVPDERNGLYAIDTLDPDMIIGCDSIYYYHDMIVEMTKWGFQEGKTLFGFGYDFRQSNRLPETLDLLAAKLEAVYNASGGKKINLISHSMGGLLVKCFMGLRSKIFEKYVQNWIAIAAPFQGAPGYVTSTFVSGMSFVNGWRQNFFISKWSMHQLLIECPSIYELMGAPDFNWQHIPLLEVWREKHDKDGNPHNVLESYPLQESVEILTESLSTNKILHNGVDIPLPFNLDILKWANETREILKDAKLPPQVKFYNIYATGLETPHTVCYGDAENPVADLHKLRYIEPKYVYVDGDGTVPVESAMADGLDAVARVGVPGEHQSVLRDHRLFRSLKHWLKAGDPDPFYDPLNDYVILPTGFEIESHVEKGLEVAALKEEWEIISNDQNKPYELCNGKPMVSSITLSQVVGDCPSSRAEACATVIVHPQQDGKQHIELNALSVSVDA >KGN65588 pep chromosome:ASM407v2:1:16692334:16693650:1 gene:Csa_1G467080 transcript:KGN65588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMARTAASLPPTLFFLLLLTALPPSLSFSFSQFKTLFSLAHSLMSRVANLRASRGDFAGSQRARNIAQKLERGLGLSFWGSIWSLAWDYTKNYAWRDLPFSELYDAVPDMNELLRTFAELSQLESDFARANWVSRNYQSVLRVSNKLLERLLKVFRKSGAWREVVETVQKEVVDGGLLKDCLELGSGDLKGIVQILKDLALNFYSSGRSNEL >KGN66448 pep chromosome:ASM407v2:1:23797606:23800311:1 gene:Csa_1G605770 transcript:KGN66448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRGKGRKLTVTNHDDAGSGEEEKIPAQKRRGRPQKPLKDEIDEEEAEKIEDEDSENLKGSDIVSKEMKNPPAAENGKRRRRNSQVKEKLDPVKDENGDGTRSSTDESTRSNGFRHNGSRRKSKPRRAAEAGVSCK >KGN66536 pep chromosome:ASM407v2:1:24669568:24673208:1 gene:Csa_1G627440 transcript:KGN66536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKGVFADIVTYNTLINAYCREGLVEEAFQLLNSFSSRGMEPGLLTYNAILYGLCKIGKYDRAKDVLIEMLQLGLTPNAATYNTLLVEICRRDNILEAQEIFDEMSRRGVLPDLVSFSSLIGVLARNGHLYQALMHFREMERSGIVPDNVIYTILIDGFCRNGALSDALKMRDEMLARGCFMDVVTYNTFLNGLCKKKMFADADMLFNEMVERGMVPDFYTFTTLIRGYCKDGNMDKALNLFEAMVRTNLKPDKVTYNTLIDGFCKAGEMGRAKELWDDMIRKDIIPDHISYGTVLNGFCSSGLLPEALNLCDQMLEKGIRPNLVTCNTLIKGYCRSGDMPKAYEYLSKMISNGIIPDSFSYNTLIDGYLKEANLEKAFILINEMEKRGLQFNIITYNLILNGFCAEGKMQEAEQVLRKMIEIGINPDGATYSSLINGHVSQDNMKEAFRFHDEMLQRGLVPDDRF >KGN66302 pep chromosome:ASM407v2:1:22578291:22581757:-1 gene:Csa_1G596520 transcript:KGN66302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRLFFVSSLLLVLSCAVAGSVFDDSNPIRMVSDRLRELELEVVRVLGQVPHALRFARFAHRYGKKYETAEEMKLRFGIFLESLELIKSTNKQGLSYKLGVNQFADWTWEEFRKHRLGAAQNCSATTKGSHKLTDTALPESKDWRKDGIVSPVKDQGHCGSCWTFSTTGALEAAYAQAHGKGISLSEQQLVDCGRGFNNFGCNGGLPSQAFEYIKYNGGLDTEEAYPYTGVDGSCKFVPENVGVQVIDSVNITLGAEDELKHAVAFVRPVSVAFEVVSGFRLYSKGVYTSNSCGSTPMDVNHAVLAVGYGVEDGIPYWLIKNSWGGNWGDNGYFKMEMGKNMCGVATCASYPIVA >KGN65757 pep chromosome:ASM407v2:1:18337352:18339308:1 gene:Csa_1G525280 transcript:KGN65757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKEDTVKLISAEGFEFVIHKDAAMVSQTIRNMLTSPGNFAESQHREVTFPEISTTILEKICQYFHWNLQFASGKETEFPIEPELTLELMMAANYLHT >KGN66163 pep chromosome:ASM407v2:1:21582208:21586531:1 gene:Csa_1G574800 transcript:KGN66163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVDNEIFPQSVYIVTFAISQEILQIRNQKCLDIWIINCWTLLFEFEEQTDRMEEIKGGIKKDVTELIGNTPMVYLSKMADGCFARIAAKLETMEPCSSVKDRIGLSMIEDAEDKGLITPGKTVLIEVTSGNTGIALASIAAVKGYKLIVVMPASYSLERRMLLLAFGAELHITDPAAGLEGLLKKVEEIMVVTPNSYFLKQFENPSNPKIHYETTGPEIWKDSGGKVDALVVGIGTGGTITGAGNFLKEQNPDIKVYAVEPAESAVLSGEKPGKHLIQGIGSGFIPPVLDLKVYDEIIQISSEEAIETAKLLALKEGLLVGISSGAAAAAAIKVAKRQESEGKLIVVVFPSSGERYLSTKLFDSIRHEAENMTYV >KGN63733 pep chromosome:ASM407v2:1:1828943:1835030:-1 gene:Csa_1G013810 transcript:KGN63733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATQEASSSPTPSAQVVGNAFVGQYYHILHHSPQLVHRFYQDTSLLSRPDGNGVMTTVTSMQAINDKIISLNYGDYTAEIITADAQESHEKGVIVLVTGCLTGKDSLRRKFSQTFFLAPQDKGYYVLNDVLRYVEETESIRSNSSSGDAIKDNTVTVTSTPEPEPSHVPNHLTVEPPTALEEEDMNNVPEVCDPSSNDEGSVIEEEVVVEAPHPSEHEVVVTAVDAAPVAQEDAPKKSYASIVKVPKTVSGPVYVPTTTVRAPPPANPDHQSTGLVKPAPVPDVSAANGDNLPESSNLHEEAEGHSIYVRNLPFDATVDHLEEEFKKFGPIKRDGIQVRSNKQGFCFGFVEFEQLSSVHGALEASPLTIGDCQAVVEEKRTTTRVSGSGRGRFSTGRGGGFRNDSFRARGNYGGGRGGYGRNEFRNQGEFSGRPRGSTGRNGEGGYQRANQNGGGRGGGRQGGANRTAVSG >KGN64329 pep chromosome:ASM407v2:1:5420026:5422451:1 gene:Csa_1G046260 transcript:KGN64329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSSSSSSCSCFVVLLLVFTSFSSVLSSSISHQIPTKNQTLFHPAKELKKLKHIRNYLRKINKPPIKIIQSSDGDVIDCVLSHLQPAFDHPDLKGHSPLEPPERPRGNSNSTEEAIENFQLWSESGEFCPEGTIPIRRTTEKDIYRASSYRRYGRKPIKHVKRDSSGNGHEHAVVYVNGEQYYGAKASLNIWAPRVTDQYEFSISQIWVISGSFENDLNTIEAGWQVSPELYGDNNPRFFTYWTTDAYQATGCYNLLCSGFVQTNNRIAIGAAISPISSYRGKQFDIGLMVWKDPKHGHWWLEYGSGLLVGYWPAFLFSHLRSHASMVQFGGEVVNSRSNSGFHTGTQMGSGHFAEEGFGKASYFRNLQVVDWDNNLLPLTNLQVLADHSDCYDIRQTTNNVWGTYFYYGGPGRNVKCP >KGN65976 pep chromosome:ASM407v2:1:20302990:20308070:-1 gene:Csa_1G555610 transcript:KGN65976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAWRNIVSNHRSILQPYSAPLFARFFSKSSPYIVKVGIPEFLTGIGHGVESHVAKLESEIGDFQKLLVTRTLKLKKLGIPCKHRKLILKYTHKYRLGLWRPRFDSLKA >KGN66591 pep chromosome:ASM407v2:1:25361687:25362913:1 gene:Csa_1G633420 transcript:KGN66591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAAVPPYFICPISLQIMKDPVTATTGITYDRESIQNWFLTSKDDAIFCPLTKQSLTKASDLTPNHTLRRLIKSWMVENASSAGGDDQILTPRPLLDKTCLRKILREVAASDERLRIDSVKKLHALAIESDTANRGRMEEVGVAKGMVLFVIRRFREGRVGGLEEALKILSLLSHYSISETRVLDFFESLTWVLGLEMENHIIIKSYAIEVLKKATDVAPSTILTQINIEFFKNITNLLREKISNSSLKTTLTILTNICPCGRNRVKLVEVGAVFDLIALELEKPEKKTTELIFNLLAHLCSTADGRAELIRHAGGIAVVSKRILRVSIATTDRAIQILSLISKHSARKDVLLEMLRVGAVSKLCMMMQSNCEGYLKEKAREILRMHSNVWSNSPCIGVYVMTRDPR >KGN66597 pep chromosome:ASM407v2:1:25477859:25480208:-1 gene:Csa_1G637950 transcript:KGN66597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCATPMLLLCAGVVDMDALSPKHLIFATQKSLNSKRKSLNSILSRSICSRYPISRSRIQAKRANFQDFQDYAKPSHLIQTSELEVCTKASIEKILSSLKENESQALFKVDISTSKLYGSSLSDMNAGVLLCLIDEKGNSILQRISTSSVTDLGHSKENDILIGPEILLFQRGSFDEFVFKGPKLGRLEAVWLSVDSGQWRVGSLSLYVISQLKYEGEELQYMGLKFEFPAEDILLGEGSDKSMVELRPCLVSEVPEIEPFSFLTKNSNVATIDSISNEESMKEYAELKLSLLAYDALLILAGSSVSFFLDGEDAGLAFLAGGVLGFLYLLLLQRSVDELPAPTPNSETSGNEDRRYKGSLSVLALAIGFSIFIVKLNLGASTMMLSPKEVVIGMLGFLACKVAVVLGAVKPMALDRKVNE >KGN64108 pep chromosome:ASM407v2:1:4144920:4150271:-1 gene:Csa_1G042200 transcript:KGN64108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQRVVDDVLAVTKESVKTFTYESLNNVVRLINGLSALLLTLLPGKGSVLEGVNGWELRPTFRGPRFPRWMENGVSSFNQFIHELSVDSDDSSLDYSSGEEDGFGNEYPATPSSQGSRGSRSRVGFHAKSVWHWTERIRSIVFWILLPAKFLLGIPFRIFHFFFIKWSGSTTTPGSPWPSIRRVHSHKDHVVHTTDRRRGVIEDLHLASEIFIESVFEIVHKAAHFILSPLDALRTSFRWFFPRDSCERYHDADVTVPTATLGDDDSAPSERSYTFHQSLNTDARTCQDVITELGYPYEAIRVVTNDGYVLLLERIPRRDARKVLYLQHGIFDSSMGWVSNGVVGSPAFAAFDQGYDVFLGNFRGLVSREHIDKKISSRQYWKYSINEHAMEDIPAMIEKIYEVKNSELKLSQPEIAEVTDNDQPFKLCALCHSMGGAGMLMYVITRRIEEKPHRLSRLVLLSPAGFHDDAPFIFTVIENLLLLLAPILAPFVPGLYIPTRFCRMLLNKLARDFQHYPAVGGLVQTVVSYFLGGDSSNWVGVLGTPHYNMNDMPGVSFRVGLHLAQMKHAKKFRMFDYGNASLNMEAYGSPEPLDLGEYFGLIDIPVDLVAGRKDQVIRPTMVKRYYRMMKDAAVDVSFNEFEYAHLDFTFSHREELLSYVMSRLLLVDEPGSKLKPKVSKVPKLKRKEKLDG >KGN63575 pep chromosome:ASM407v2:1:864543:865307:1 gene:Csa_1G004905 transcript:KGN63575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITSRDVQDIISKLSSDKAKTREEGIKLLNTWLEGEKAIDFCKFIGQNTAKLKPEEIPSPETWPFITKLLIQCVSMEISSSKRRLPKLMFAKTLRGVVQKAEANKFSGE >KGN64525 pep chromosome:ASM407v2:1:6682035:6682589:1 gene:Csa_1G063490 transcript:KGN64525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCCRSQSSTMVFAGDDWGSFSSKHGRGCGRNVSAATSGGDPEKHRLLGEKEAGSCSQPLVKIKMTKRELEVLVKKLEMQGLSLEQVIGRMMKGEEEFEIEHHRSWRPSLQSIPEDY >KGN63797 pep chromosome:ASM407v2:1:2205580:2214050:-1 gene:Csa_1G020880 transcript:KGN63797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIEPFNRLVKLAARAFYDDITTKGDNQPKTGRSDNRGIAVVVLDALTRRQWVREEDLAKNLKLHSKQLRRTLRFLEEEKLITRDHRRETAKGAKIFSAAVAATADGPHHSKEGDEKIKMHTHSYCSLDYAQVYDVVRYRMHRMKKKLKDELEDKNTVQEYICPNCSRRYTALDALRLISFEDEYFHCENCNGELVAESDKLAAVQGVDGDDNVRKRRHEKLKDMLQKMEAQLKPLVEQLSRVKDLPVPEFGTLLAWEARASAAARGINGDLNGNDPSKSSQGYGGTPMPFVGETKVEVAFSGAEGTGVDAKSESENTSLKVLPPWMIKKGMNLTKEQRGEVKDESKTDAGSASAQFSDDKKSLANDDDKTNIQDEYVKAYYAAILKKQQELEEAAKGQQELSSTEVTESVANTNSSRQVGMKAKREEDEDDDIEWEEAPIGGNANENYKVDLNVEASALVEEEEEEDDDVDWEEG >KGN64649 pep chromosome:ASM407v2:1:7419263:7420939:-1 gene:Csa_1G073090 transcript:KGN64649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSSLLLLSSYSQTKTKSSISSNKRHVVVNYVSCKAASNNGEDSVSKFDRREVLFGLGGLYGVVTATSSVLAAPLTPDIFNCHMAKTPGGIKDCCPPQIGKIIHFVPPKIQQPYVRRPAAHLMNQTQIEELESGIKKLKQLDEGDPHSFLHQAKIHCAYCDYVYNQLGSITETRFGVHSNALFFPFHRAYLYFFERILRHYIGNPNFAIPFWNWDSPPGMYMPEFYNRPSSSLYDHLRDPRHDPHKLIYLNYNGKTEDHSFDVVDCNLRWMNDRMQVQSPRSFLGRVLKAGETPNPTDMGTIEQSPHNNIHDWLGTKTPHFEDMGSFYSAALDPLFYAHHANIDRLWNIWKTLDGNPKDHIDPDWLNSSFVFYDENKNAVNIKVSDCLDTEKLGYVYQEVPLPWLHMNKIPTPNPWIRTEESEPPIVNFPQILNSDITTMVDRPEEDRSGEEVDEVLVLEDVEYDPTTRVHFDVLVNVVDKAQDRCAAFLEFVGSFKNIPHGLHHHDKTSLRFVITEVIQGLGLERDKNLAVKIEPMVGGEGVTVGGIKIQLEPLR >KGN63894 pep chromosome:ASM407v2:1:2830570:2834993:1 gene:Csa_1G025270 transcript:KGN63894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRIKQKKFKFEDDDDELQVLKTAAPKSTNSPSSDDDEANEDLTLKIVEKAMQLRSGKLVTDAGVNKDGKCEQSGNDDVYAVGAIELVSSSLEGAEVDAGTSKASADNIATASKKTVKKRKKKVKKLGTEERNVVVTEEEKIETTTGVIDQVDPVEPNLTGTTDNNVFRKLLRGPRYFDPPDSWGTCYNCGEEGHNAVNCKSAKRKRPCFVCGSLEHNAKSCSKARDCFICKKSGHRANACPEKHKNGSSSLRICLKCGDSGHDMFSCQNHYADDDLKKIQCYICQKFGHLCCVNFTSDTSVVSCYKCGQTGHTGLSCSRLRGEASGAVSSSQCYRCGDEGHFARECTSSTKSGKRNREEASGAASPNPCYKCGEEGHFARECTSSTKGGKRILEETSGAASPSSCYRCGEQGHFARECAGSTKGGKRNRELSNPKWRSQVEETNYMGSKSAPHDKKKKINHEERYSNLPRKSGQRGRWMMEDPGPDNFSPGTFNRNDWNSPVTPSRWGHDYYAEYDGQYADTHFSGHYASPQSSGHYSNHQSFSKRHAFHPGTPQNGYSASRFGGFSNGGRSRSYGWW >KGN64697 pep chromosome:ASM407v2:1:7716004:7721552:1 gene:Csa_1G075020 transcript:KGN64697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRFILLCLLIHGFLFSLSSARPLPFALRISCGARNDVHTPPTNTPWFKDFAYTGGIPANATRPSFISPPLKTLRYFPLYTGPENCYIINRVPRGHYSVRIFFGLVNQNNFDTEPLFDVSVEGTQFCSLKSGWSNNDEQTFAEALVFLTDGSASICFHSTGHGDPAILAIEILQIDEKAYDFGPNWGEGVILRTATRLSCGDGKPKFDADSHGNHWGGDRFWTAIKTFGQQSDRIISVENSIKQASISPNFYPEALYRSALVSTDSQPELTYVMDVDPNRNYSIWLHFAEIDGSIRNVGQRIFDILINGDVAFPNVDILKLTGGHYTALVLNSTVAVNGRTLTITLQPKEGSYAIINAIEVFEVVSAEVKTSPNEVRALRSLKKALALPVRLGWNGDPCVPQQHPWSGADCQYDKVGNKWVIDGLGLDNQGLTGFLPNETSQLRHLQSINLSGNSIHGPIPASLGTIAKLEVLDLSYNFFNGSIPESLGQLTSLRTLNLNGNSLSGRVPAALGARLLHRASFNFTDNAGLCGIPGLPACGPHLSAGAKIGIAFGALIIFLLIITFAVCCWKRRQNILRAQNAARAAPYAKARTQLSRDIQMTMHHNQGHTRTPNENGRLIS >KGN64687 pep chromosome:ASM407v2:1:7652045:7655640:1 gene:Csa_1G074920 transcript:KGN64687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSSIHQDNPSPPSSLLPSPSTRVSNSTTTSTSTSNGLHPQFNHLHSPKPITRSESVNPYPTTFVQADTSSFKQVVQMLTGSPETAKQASVKSAPGVPNSDSHSKTHIPPIKSLPRRQQSGFKLYERRNSLNKLKINPVFPVFASGAHSGFSPRKHEILSPSILDFPALALSPVTPLIPDPFDRSGLANCSYLKNGNGKLDAEAEEKAIKEKGFYLHPSPTTTPRDSEPRLLPLFPLTSPRVPGFMIPKLI >KGN65271 pep chromosome:ASM407v2:1:13318197:13323499:1 gene:Csa_1G294020 transcript:KGN65271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAPLASWPWENLGMFKYLLYGPLLANGLYTLYEEGNIIHNWCLHILLISLLRVGIHVVWSSYSNMLFLTRNRRILQQGVDFKQIDMEWEWDNFLLLQALMTSMMVYLFPSLGNLPLWNPKGLIAVLILHIVIAEPLFYFFHRLFHSNHYLFTHYHSLHHSSSVPQSFTAGNGTVLEHLAWSIVIGAPIVGTSLLGYGSTATFACYVLVFDFLRCLGLSNVEIVSHRLFDAIPVLRYLLYTPTYHTLHHTEKETNFCLFMPLFDAIGNTLHKCSWKLHKQNSLNAGKNGRVPDFVFLAHVVDVTSSMHAPFVSRFFASRPFVTKLSLFPSWPAAFIVMLIMWGRSKIFLYSYYNLRNWLHQTWVVPRFGFQYFLPFAREGINKHIEDAILRADKLGVKVISLAALNKNEALNGGGTLFVEKHPNLRVRVVHGNTLTAAVILNEIPKDVKEVFLTGATSKLGRAIALYLCRRKVRVLMLTLSTERFEKIQKEAPVDCQNYLVQVTKYQAARNCKTWIVGKWITPREQSWAPSGTHFHQFVVPPILAFRRDCTYGDLAAMRLPEDVQGLGNCEYTMSRGVVHACHAGGVVHHLEGWTHHEVGALDVDRIDLVWEAALKHGLKPVSTK >KGN66850 pep chromosome:ASM407v2:1:28247800:28249876:1 gene:Csa_1G701260 transcript:KGN66850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVREFKGKAGIGEGRIASFGNQAPTVSRFSSRGPDYININRSLADVLKPDILAPGHQIWAAWSPLSASEPLLKGYHFALMSGTSMAAPHIVGIAALIKQKYPSWTPSMIASAMSTTATKYDMNGDLIQAEGFNLHALYPSTPFDFGAGLVSPSNALDPGLVFPTEYEDNINFLCSLPGVDPAVVKSATGGQCNASISHSHPADLNLPSITISSLVGHQVVQRRVKNVGGKVETYVWSVIPPNGTTVNINPPVFTVAAEEVQNLEIQIIATHKTDHFTFGEIILTGSLNHIARIPLSILVVSAS >KGN63878 pep chromosome:ASM407v2:1:2721682:2731823:-1 gene:Csa_1G025100 transcript:KGN63878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNSANLWVLLGLGLAGILLMTKKLKKAIREDFGAFIEKFQLLPPPQPAPPKAPHPLTGLTFAVSDVFEIEGHVTGFGHPDWAKTHDAASRTSPVVSALVEGGATCIGKTVTNELSMGISGENKHYETPTNPASHSKVPGGSSSGAAVAVAANLVDFSLGIDTVGDVRIPASFCGILGFRPSHGSVSQVGIVPVSLSLDTVGMFAKDPNVLRRVGHVLLQLPYAVQRNPRQIIIADDCFQLLKIPVDRITQAVTKSTEKLFGRQALKHENLGSYLSSKVPNLKELIGKKTNGNLNSASIRVLANLMQTVERIEFKGNHGEWIDSVKPDLDSALMEQLNEKLEISDTMIEKFKSVRNEMRMAINSLLKDDGVLVIPTTVDSPPKLGGKEIFSEEYQTRVFSSLSIASISGCCQVTLPLGFHDSCPVSVSFLARHGADRFLLDIVQTMYRSLQEEAEAASKSKFSKNAVSQEQSAEIAKEKGNQAYKEKQWEKAIGCYTEAIKLNSRNATYYSNRAAAYLELGRFHQAEADCSKAIDLDKKNVKSYLRRGTAREMLGFYKEAIEDFSHALVLEPTNKRASISAERLRKLFTS >KGN66818 pep chromosome:ASM407v2:1:27973815:27974415:1 gene:Csa_1G696510 transcript:KGN66818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARQSSKCVKKTIQKNCEPLPWRVIYSVVARRGHLLLKTDECHYCSYWCQWRSHRMLNARTLCKLYWEKTHWH >KGN64869 pep chromosome:ASM407v2:1:9521827:9522446:1 gene:Csa_1G135270 transcript:KGN64869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQFHKSDQQKVLEAPEAPEHGDRSTEEGRGEALKAAEHDDGESCRTPTSPQHRIPIAQSCPTTPRKQRVVRKRKFSDQSFFEATGRGEF >KGN64073 pep chromosome:ASM407v2:1:3928985:3929352:-1 gene:Csa_1G039870 transcript:KGN64073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMTCEEKVKELGKYVVADPPPPHLDRTPSKPPVANLAYALNLNRRRPVVSLSVSNSD >KGN64458 pep chromosome:ASM407v2:1:6232530:6234700:1 gene:Csa_1G056940 transcript:KGN64458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVSHHHLVFICTPAIGNLVPAVEFAIRLINHDSRFFVTFLAIDIPGRSLVNAYTQSRSSLSPSPNLQFIHLPSLQPPSPNLYHSHTAYLSLIFNSHKPNVKHTLSDLQKKLPNSARIVGMFVDMFTTTFIDVANDLQIPPYLFFASPATFLSLMVQVSKTDHDRFNSLIRNSEAEFVLPSYVHPLTVSMLPLTLSKTEDGLFWYGYHGRRFGETKGIVINTFEELEPHALRSLELDEVPPVYAIGPMVDLGGPAQWQGGEGRVERVVKWLDGQEEGSVVLLSFGSMGSLDEGQVREIAFGLERGGFRFVWVVRQPPKAKLEQPDDYSDLSDVLPEGFLSRTAGRGLVCGWVPQVTILSHRAIGGFVSHCGWNSILESLWFGVPIATWPLYAEQQMNAFEMVKELELAVEVRLDYMEGSKVVTGEELERALRRLMDDNNKVKSRVNRMREKCKMVLMENGSAYVAFNSLIEKLRA >KGN65336 pep chromosome:ASM407v2:1:13970558:13971515:-1 gene:Csa_1G340430 transcript:KGN65336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKCKKFRGVRQRHWGSWVSEIRHPLLKRRIWLGTFETAEEAAKAYDQAAVLISGRNAKTNFPTSSSSNGETINNIVTTAKDSPKGLSEILQAKLKKCCRTPSRSMTCLRLDTENSNIGVWQKRAGQQSTSNWVMTVELGNKKRVSNENESENDNNADSHMMADVASDHQSPVVEVVEVAEIPSELDEEEKMLALQMVEELPYINFDPAEPFEIQQGKDINYL >KGN64559 pep chromosome:ASM407v2:1:6867129:6868528:1 gene:Csa_1G064810 transcript:KGN64559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRYSIRFVNMRSFVVFLVSSLVSLSMAMEAPTNILIHPSLSAPISPSHQTLLTSLHLLNASAFDPPTPNTFPPPPLDAPPPAQSSTKSNPSPSPSSSLSSPSTPLPPPTSRRSPISVGNRSAPVQIVAIVIATTIIFIGALVGGFCYLRRRVLQNPPLVASEVVNLIMSRELWLALEELYGATSKSPYKSIGIILQNTRKGTMRMTEYLSMMKQTLENMQLAGSPISHEDLFSYVLVGLDVEYIPIVCDIEGKNSPTWHDV >KGN64425 pep chromosome:ASM407v2:1:6043733:6046014:-1 gene:Csa_1G051630 transcript:KGN64425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIAPLSFNPTFLTTHFSKLQNNNCYSYASCYPRGLNNRRCNFCIVMVRGRRSSSRRSVDLVNGKKVNGVHVEAPVFSGEKRGSLLVEESGAIEGEKPLHDCLLGRFVEDKFVYGQTFIIRSYEIGPDKTATMETLMNLLQETALNHVTCSGLAGNDFGATREMSLRKLIWVVTRVHVQVQRYSCWGDVVEIDTWVDAAGKNGMRRDWIIRDYHTREVITRATSTWVIMNKETRRLSKIPDQVREELTPFYLNRIAIPTDQNDSEKIDKLTDKTAERIRSGLAPRWNDMDANQHVNNVKYIGWILESVPITVLEDFDLTSMTLEYRRECRQSNLVESLTSTTTTATGDLSKNLHYTHLLRMQPNKDEIVRARTQWNSKPKQYFTN >KGN65468 pep chromosome:ASM407v2:1:15520761:15524262:-1 gene:Csa_1G423260 transcript:KGN65468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPINSESTPPPLIGKIGPYTVFMTPPPTPSPTSQPQPLFESPKKVIQPPPVQPPPHQFDKSVSLAAVPNGNASVSGFFRNAVSKVQNAHSSLDDHLARWFGLNQSKYQWALDDYYESKGMETGDMKAKEPPSKAQSV >KGN66849 pep chromosome:ASM407v2:1:28244454:28247035:1 gene:Csa_1G701250 transcript:KGN66849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFNFKKTGNTFSGEPNPGPVPHGEIGGEGEWDRAVRTETESGIPGPVSPRGHLYGNSCGWLDIGGGTKIDLSNVWCLLEAVIDGVDILALSVGPNEPSDVGFTFLSIYDIAILSATRAGILVVQAAGNNGPARATVVSYSPWAIGVAASGTDRVYSTSLLLGNGQKVGGVGMSGPSLGSEFFLHKLVLAKDATKQNETNYNDIPSYIEECQHPEAFDPNIVQNSIVLCSFSQGFLNGTSSLAAIIHTAKQLKFMGFVLIANPNYGDFIAEPIPFRVPGILVPSVSDTQV >KGN64209 pep chromosome:ASM407v2:1:4736644:4741623:-1 gene:Csa_1G043120 transcript:KGN64209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGKIEPVNGSVKSGSNNQTNSATITSNAKSGLLDSFSFKSFKLKTKQQELLIRVSILFLVYVLAFITRLFSVLRYESMIHEFDPYFNYRTTLFLTQKGFYEFWNWFDSESWYPLGRIIGGTLYPGLMVTAAIIYWGLRFLKFAVHIREVCVLTAPFFASNTTLVAYFFGKEIWDSGAGLVAAALIAICPGYISRSVAGSYDNEGVAIFALLLTFYLFVKAVNTGSLAWALASAFGYFYMVSAWGGYVFIINLIPLYVLVLLITGRYSMRLYVAYNCMYILGMLLAMQIRFVGFQHVQSGEHMAAMGVFFLIQVFYFLDWVKYMLSDTKLFQAFLKITVTSAVAVGTVALGVGTASGYISPWTGRFYSLLDPTYAKDHIPIIASVSEHQPTAWSSFMFDFHILLFLFPAGLYFCFKRLSDATIFIVMYGLTSMYFAGVMVRLILVATPAVCLISAIAVSATIKNLTQLLRAKPKVSQISSSKGVSNAKSFSKGSLDQSQPFQKNGAIALLFGAFYLLSKYATHCTWVTSEAYSSPSIVLAARGAHGNRVIFDDYREAYFWLRQNTPQDAKIMSWWDYGYQITAMGNRTVIVDNNTWNNTHIATVGRAMSSYEGEAYEIMRSLDVDYVLVVFGGVTGYSSDDINKFLWMVRIGGGVFPVIKEPDYLVNGEYRVDKGAAPKMLNCLMYKLSYYRFGELVTEYGKPPGFDRARGVEIGNKDIKLEHLEEAFTTSNWIVRIYRVKPPNNRW >KGN65391 pep chromosome:ASM407v2:1:14852794:14856664:-1 gene:Csa_1G404660 transcript:KGN65391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASISKLAFNDCRMQRFDALHNRNFHFSPGKLQSHLDVRGKLCLSDSRRRSLVVAQSMLLKPLVSSASPCAVRVTSRKLQILCEAASDVSGEIVPGDSPDGMSTYERVIETLTTLFPVWVILGTILGIYKPAAVTWLETDLFTVGLGFLMLSMGLTLTFEDFRRCLRNPWTVGVGFLAQYLIKPMLGFVIAMTLKLSAPLATGLILVSCCPGGQASNVATYISKGNVALSVLMTTCSTIGAIIMTPLLTKILAGQLVPVDAAGLAISTFQVVLVPTVVGVLANEFFPKFTSKIITVTPLIGVILTTLLCASPIGQVADVLKTQGAQLLLPVAILHGAAFALGYWLSKLSFGESTSRTISIECGMQSSALGFLLAQKHFTNPLVAVPSAVSVVCMALGGSALAVFWRNMPIPADDKDDFKE >KGN66280 pep chromosome:ASM407v2:1:22471375:22472550:1 gene:Csa_1G595840 transcript:KGN66280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSALSMALPFTHATRKPLSNDAFFNPLPSFKSRKPIATAPISNGRILAVRSSFKEKAVAGISAAALTASMVIPEVAEAAGSGVSPSLKNFLLSIAAGGVVVVAILGAVIGVANFDPVKRS >KGN63623 pep chromosome:ASM407v2:1:1191038:1192594:-1 gene:Csa_1G006330 transcript:KGN63623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRNSKLKQSKLDVRREQWLSRGAVKNKKWNEEDNRLDSVVIKTRKDDDLSSEKINMREIGEENDRSVHHHSDYSDSPSNSPPSLGSSILGGNDSGPHFTGSSSSSSCRSSSSGCRSGSITEEEEEEEGDDDCLDDWEAIADALAATDKQHDQCSESSPRGNVISQLDSCGDRRNELGVGDGDSSVERGRIVQRASMNCRAWRPDDAFRPQSLPTLSKQLSLPTTDRRFGCGGVSWACGGVIPVPTSCPICFEDLDLTDSSFLPCFCGFRLCLFCHKRILEEDGRCPGCRKPYDRDPADNETNVLAGSPTLPLARSCSMISRS >KGN64575 pep chromosome:ASM407v2:1:6934285:6935335:1 gene:Csa_1G065950 transcript:KGN64575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQWGYAEEITPRACQTCLNNSITLLPKNCPSQVEAIGWYEHCMFRYSDLSFFSLMELSPGFYMTNSFNSSDPLRFTQAATNLLQRLTAEAALGDSRLKFAIGSGTIPNSPLFYGAVQCTPDMSAHDCTDCLLGAIAQIREYCDGKIGGRIQRPGCRLRFELDRFFQNQPASSPPPLPSNTTTSSSPRDRIHVFVILSFFFPQEIPFFAYSSSFLCLLIKEEIHVNVKIKRKPPFEHHHSFTGFTVVSFVCFNSLTFDPQIWLKIKLKMQNLCSSILTPLESLCMDSPMQINLGEVGLELFIR >KGN65947 pep chromosome:ASM407v2:1:19991277:20019978:-1 gene:Csa_1G541890 transcript:KGN65947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFLHNNHCLYTPFSKLSSFSSPSCLMGSFPLCKSTSLVFLSHLSSSSSSSSQKYFLYRSISLLHGSFPVRPLSLVKPFAMKPNGVSSFTSHANVPRPPALLENPPDKASSSTRLNILRKKLQDLDIDIEACVPGQFYSLLCPMCKGGDSEERSFSLNISEDGGAAVWNCFRGKCGWKGHTLAFTDGRSSYKDLGQVALKQNIRKITVESLQLEPLCDELVDYFAERLISKQTLLRNSVMQKRSDNQIAVAFTYYRGGALISCKYRDANKKFWQEPNTERIFYGIDDIDGASDIIIVEGEMDKLSMAEAGIHNCVSVPDGAPASVSEKDVPPADKDKKFQFLWNCKDYLNKASRIILATDGDTPGQALAEEIARRVGRERCWRVKWPKKNEVDHFKDANEVLMYLGPEALKEVVDNAELYPISGLFRFKDYFHEIDAYYHKKFGNEFGVPTGWRCLNDLYNVVPGELTIVTGVPNSGKSEWIDALLCNLNASAGWKFALCSMENKVREHGRKLLEKHIKKPFFVGRYGGSVERLSDEELEQGKQWLDDTFFLLRSEKDSLPSISWVLDLAKAAVLRHGVSGLVIDPYNELDHQRLPNQTETEYVSQMLTKVKRFAQHHGCHVWFVAHPRQLQNWSGSPPNMYDISGSAHFINKCDNGIVIHRNRDPESGPIDLVQVCVRKVRNKVAGTIGEAYLEYNRVTGEFLDAAGDVKLKKPSS >KGN63982 pep chromosome:ASM407v2:1:3488311:3490698:1 gene:Csa_1G033010 transcript:KGN63982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNIGMMDSAYFVGRNEILTWINNCLQLNLSRIEEAASGAVQCQMIDMTYPGAVPMHKVNFDAKTEYDMIQNYKVLQDVFNKLKIEKYWIINSVFFRFCPQLFLECHNRNYNPVERRCKGGKGGATMKGCQKAAKSLQTHNMHSHGSGDSVEPRSKPGKNGVTGGTNSSTEIQALSKEITELKMSVDLLEKERDFYFAKLRDIEIFCQMSELEDLPMTAAIKMILYAADAKESALTEAQEYIYQSQNRSDVENENEE >KGN64936 pep chromosome:ASM407v2:1:10287840:10288195:1 gene:Csa_1G164700 transcript:KGN64936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLPRRRRAVAASVYLLCLVLATFLSFSTSFSGSPLSDWSQFTLPPIIACVSASLNTFEHQPSYQSPFG >KGN66590 pep chromosome:ASM407v2:1:25342040:25346045:-1 gene:Csa_1G633410 transcript:KGN66590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKTKYKELRGSQILRQNLVLATLSRTPVRIHDIRPNTISPGLRPYEKSLLDLLEKICDDCFIDINETGTILKYKPGIVMGGKNLEHDCGLTRSIGYFLEPLILLALFGSKPLSIKLKGITNDSKDPSVDTFQSTTLPMLKRFGVNPDDVNLKIESRGVPPLGGGEVILTIPTVKSFSAVSWTDEGMVKRIRGVSFSTRVSSQFEHAMITATRGVFNRLLPDVHIGTDHRKGPRAGNSPGFGISLVAETTTDCLMSVDTAVSYGYAEETTELEEEKQELPNPEDVGEGMAYALLNDIGKGGVVDSTHQGLLFLLCALCPNDVSKVRVGEITPYGIETLRNIYDFLKVMFHIEPDPSNQTVLLKCVGYDLKNFSMKHF >KGN66583 pep chromosome:ASM407v2:1:25203205:25211033:-1 gene:Csa_1G631860 transcript:KGN66583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMECDEKVRVGKRMSLWMKGLFAKLVEIGNETKALWKDDPRRVIHALKLGLTLTIVSLLYYYRPLYDNFGVSAMWAVMTVVVVFEFSVGATVGKGLNRAFATLFAGGLGAGAHHLAALSGRVGQPIITSIFVFLIACTLTFMRFFPSIKAKYDYGMMISILSFSFVSISGLRDDEIFLLLQKRVSTIFLGVCVCLIISISISPFWAGQDLHNRIALNIEYLALFFEGYGSEYFKTLQDREANKDENFSQSYKSILKSSGIEDTLYNFARWEPGHGCFQFRHPWKQYLKIGALTYQCAFRVDALHRNLSSNFQLSQEIRAEIQEPCMEMSMESGKTLRKLVSSIREMNQPTQAEIHIHNSKAAAKKLKASLKSSRMWENCDLLTLIPAATIGSLLIDVVDCTEKIAEAVQELASLAHFKSAKPGVVSLVKSEAAVQSGKEKVQPNIGLPFVTIPISTG >KGN64377 pep chromosome:ASM407v2:1:5761846:5764806:-1 gene:Csa_1G050160 transcript:KGN64377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKRKRQQPVVFIDLEHPITGHSNSVELEEPENVKNLQPVSPSISGMGPVRRRRQLTKKVGRNGAIPVRKRKLDSRAFEYCFQNLWRSSPEEKKIQFTYLDCLWFNLYLKASHRRKVLKWIKDKEIFSKKYVFVPIVCWSHWSLLIFCHFDASPESKRRKPCMLLLDSLQEANPRRLEPEIRKFVFDIFKEDGKCKNLNVICKIPLMVPKVPQQKNGDECGKFVLYFIHLFMEAAPANFRIKDYPYFMKENWFTEEGVCQFYKTFGHSDEDACL >KGN64736 pep chromosome:ASM407v2:1:8073843:8074364:1 gene:Csa_1G084840 transcript:KGN64736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVPLHPRALIGCKGIGSLLGRPIRDCEESALHILWGCKFARRVWRLSHSALGLSCGKFKTIQESMAIVSSSLDLAPKKELRVSTLGSSLRMA >KGN66575 pep chromosome:ASM407v2:1:25118367:25123345:1 gene:Csa_1G630300 transcript:KGN66575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRERWRGIVILLLFGMCINALGAFVGVNLGTGVSNLPSASDIVAILKSHQITHLRLYNADFQLLKALTNSSIEVIVGVTNEEVLRIGESPAAAAAWVNKNVAAHLPGTNITAIAVGSEVLTTIPHVGPVLVPAMYSLHKALVAANLNYLIKVSTPQSMDIIPRAFPPSTASFDASWNSTIYQLLQFLKNTKSFYMLNAYPYYGYTSGNGSFPLDYALFRSLPTIKQIVDPNTLFHYNSMFDALVDATYYSIEAFNFSGIPVVVTETGWPSFGGANEPDATIQNAGTYISNLIRRVSNDSGPPSQPTIPINTYIYELFNEDKRPGPISEKNWGILFPNGSAVYPLSSMSGRATANSSVVYCVAKDGADEDKLQDGLNWACGQGGANCAAIQQGRPCFLPNNITDHASYAYNDYYQKMRGAGGTCDFDSTAMLTTVDPSHGSCIFTGSSNSSGGGGFSPPASGPSGLLPGASSKLQISSFQLFILVIFSWALMFIFT >KGN63803 pep chromosome:ASM407v2:1:2266375:2267605:-1 gene:Csa_1G021930 transcript:KGN63803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQIKHAVVVKVMGRTGSRGQVTQVRVKFLDDQNRYIMRNVKGPVREGDILTLLESEREARRLR >KGN65674 pep chromosome:ASM407v2:1:17472410:17472784:-1 gene:Csa_1G488740 transcript:KGN65674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQSSNFSLNFPPPSALQNTTSPNSFETAIHPFNDHMGDAAPLRVPCSVSQWPEISQPPPNSCDEFEEAWRLNMVEMQKQKQEPVCSLGAWEIEDCDVHMAAPDWLMEDSMVENLWSLEDHHLI >KGN63499 pep chromosome:ASM407v2:1:409305:415394:1 gene:Csa_1G002680 transcript:KGN63499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTVPIEFAGQKESRKYSLSQAMGKSRKYSKGLSFGFVPDYRHAVETVGESEGFGSSGRMDTGISTLDDSRAIKRKRISMNADGYDCFGAPLQVFSLSTLSRSERKDLELRLKLELEQVRLLQKRASNVSSNFAVSSSSNIQSSSDQHRGAPPETLNRLNEASVPPAKKQLVPSGRNGPSAKRSSSGRFESAKPAAVSASSTASLKQCEQLLQRLMSHTFGWVFNTPVDVVKLNIPDYFTVIKHPMDLGTVKSKLTAGEYTHPLDFAADVRLTFSNAMTYNPPANDVHTMAKTLSKFFEVRWKTIEKKFPTTTEEQRQVPSATTVPKEAESALPVPPPKKTKFPTNDPDVQPTSVVKVMTDQEKHKLSVELEALLGELPESIINFLKEHSSNSQAGEDEIEIDIDALSDDTLFALRKLLDDYMMEKQKCTKAEPCVVELHNESGFSNSSMPPSKGNDPIDEDVDILGGNDPPVSSYPPIEIEKDAVRRDSKCSNSSSSSSESGSSSSDSGTESLSGSESNAAKALESNVAPKEILCFETNVDQKQCELGDLEIGNYEENEIGLVDQTAEANTNTIEPDSYQEEGESAPSKRQVSPDRLYRAALLRNRFADTILKAREKALEKGDKRDPEKVRMEREELERQQREEKARLQAEAKAAEDARRKAEAEAAAEAKKKRELDREAARQALLKMEKTVDINENSQFMEDLEMLRASNDELLPNFTEESSPEHSQNGFGSFKLQGSNPLEQLGLYMKVDEEDEEEESEPPQSVNKAANDVEEGEID >KGN66822 pep chromosome:ASM407v2:1:28009607:28014984:-1 gene:Csa_1G697530 transcript:KGN66822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRGVLQSSVMQQMMGSENPNWWNMMNISGSMRSSTITQHSSPNHTNNSSSSSNILFPHSSLPFPSNCYYDAQDHHLIPESWSQLLLGGLVGEDDQKGCMGMFQSKKLEDWEEEILNSNNNNTQHQQQVDVKKEHSPHASSYVYGHGGGGSGGGGVGGGVGGDDYQLVASKQNWSPMIQSSSPQSCVTSFSSNMLDFSNNNNNNKSLADHSRPRNPPQVLDRSSECNSNVNGGAVKKARVQSSSNQTTFKVRKEKLGDRITALHQLVSPFGKTDTASVLLEAIGYIRFLQSQIEALSLPYLGNTSGSTRQHQHQQHSLQGERNCVFPEDPGQLLNENCLKRKGVSEQEEEGKKDLRSRGLCLVPVSCTLQVGSDNGADYWAPAFGGGGGGGVFR >KGN66010 pep chromosome:ASM407v2:1:20550313:20552173:-1 gene:Csa_1G561410 transcript:KGN66010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCEEETLIQKVCELYDEISSLESLKPCNNVDTLFTKLVVTCMSPTSPHFHINSLSKPLQQMRSNLIRLCGQAESLLEFHFSDLLAKFDSPIDHLHVFPYFSNYIKLSLLEFSILHRHGPRALPSAVAFVGSGPLPLTSVVLATRHLTSTVFHNYDLDPVANSKASNLVSRDPDLKTRMVFHTCDIMKVTEELKQYEVVFLAALVGMEKEEKLKVIKHLSEYMSEGAYLMVRSAHGGRAFLYPVVEDSDLLGFEVLSVFHPTDEVINSVIIARKTMKFDNDNDSDDNNNNDNGCCLFVNSDENENVKISSGVVHNNKCSEIQNGFNNHGGKIEEFAMEAAE >KGN65948 pep chromosome:ASM407v2:1:20028965:20031814:-1 gene:Csa_1G541900 transcript:KGN65948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVVLKLQNWSGCPPNMHDISGNAHFINKCDNGIVIHRNRDPESGPIDLVQVCVRKVRNKVAGTIGEAYLAYNRVTGEFFDAAGDMKLKKPSS >KGN65742 pep chromosome:ASM407v2:1:18242456:18245496:1 gene:Csa_1G524640 transcript:KGN65742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLLGLFSLFILLLCLQWSHPKHKLLPPGSMGWPYIGETFKLYTQNPNSFFSIRQKRYGDVFKTHILGCPCVMISSPKAARVVLVSKAHLFKPTYPPSKERMIGPQALFFHQGPYHSYLKKLIQSSFLPSAIKHSISQIENIVLNLLPSWNNSQINTLQQMKKFAFDVAMISAFGDQQDLEIERIKHLYQCLEKGYNSMPLDLPGTPFRKAMKARKVLSETLGKMIEKRRRNKEHGGGLLAVLLSGGGGEEEEKKKLSDSQIADNIIGVIFAAQDTTASVLTWILKYLHDNHHLLEAVKKEQDAIYERKLCEGKRGLSWDDTRRMPFTSRVILETLRRASVVSFTFREAVEEVEFEGYLIPKGWKVLPLFRTIHHSPDFFPHPHNFDPSRFEEPPRPNTYMPFGNGVHSCPGSEMAKLEMLVLLHHLTTTYRFFPFSLIFSHIIFL >KGN64653 pep chromosome:ASM407v2:1:7434069:7434551:-1 gene:Csa_1G073610 transcript:KGN64653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGVTIRTTKVRTWESSTPPQETQFSTPITPTSIAVVNLEVHQLKAQRHSDPDFLNTSLLFYNEKAEPVCVYVRDSLDTKKLGYVFQSIDIPWLKSRPKPLNKKVKSKKSIAASIVPFGVGAALDEKAKSIHLRIKMLCRRRNNWQEKILTFYIYDSMVF >KGN63776 pep chromosome:ASM407v2:1:2101140:2104132:1 gene:Csa_1G015700 transcript:KGN63776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVNTYIHSLHKILFQWECYSPRQQKIALLALLIFTAFYSTGAYDPLDPNGNITIKWDVVSWTPDGYVAVVTMNNFQMYRHINSPGWTLGWSWAKKEVIWSMVGAQTTEQGDCSKFKGNVPHCCKKTPIVVDLLPGVPYNQQFSNCCKGGVLASWGQDPTSSVSAFQVSVGLAGTSNKTVKLPKNFTLLGPGPGYTCGPAKVVPSTVFLTPDRRRKTQALMTWNVTCTYSQFLASKNPTCCVSLSTFYNDTITSCPTCACGCQNKHNCIKSDSKLLHKEGINTPKKDNTPLLQCTHHMCPVRVHWHVKINYKDYWRVKVAVTNFNYRMNYTLWTLAVQHPNLNNVTQVFSFDYKPLVPYESINDTGMFYGMKFYNDLLMEAGPFGNVQSEVLMKKDKDTFSFKQGWAFPRKVYFNGDECQMPPPESYPFLPNSSPVGYGPVTKFTTSLLILILWFMW >KGN64913 pep chromosome:ASM407v2:1:10012436:10013366:1 gene:Csa_1G154070 transcript:KGN64913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFLILFHFSSSLLNLTLPSLLQTATAAITTIVVPRARHVTFGHCCIGREKVKGDIFLRSLRMINAFRKSKFKQLQNSW >KGN65352 pep chromosome:ASM407v2:1:14257831:14259228:1 gene:Csa_1G366990 transcript:KGN65352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGTSKVILGATLIMVVSLAIVLGLILVLLAELYCSLLLRRRRLRVAPPTTTSSNLPGDLVATATNISQSLPSAHRRDNNRSAISLSSLYAHGVLSAPRNFLFPTVPCVKDNNVDDVAEPEAKSQSSQLHRIIDIDTLESSLSPRPIGLISTPSLPSSPIISASPDVSILKACAQSKLSPAIACNDSSSNGSDHFMYISNPIYDNDAIRPSRGENTPFETPNSSPSRLERCSSSEEDEIVASLSSTIHSLPNTPPLTPMKKLPAEACSVTLRDARSLGNSGSDSNTHNGLSSSSSGSPCTSPSW >KGN64835 pep chromosome:ASM407v2:1:9221163:9231129:1 gene:Csa_1G123500 transcript:KGN64835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVPDMPKSILCVENEHVKDEAEKFFEYVMDSHHILTEPATTTLLQAFQLSLCWSAASMLDHKIDYKESLALAKEHLNFDCHRQEVYLLYSRLRCLKKIFYKHLKCSKGTESPYNVLSDDEFQRAVVKSINRIQKTCRKKFKKLKQKQQEKRDEFDKTCDEEKSQLDRQFRMESVVIRSCLHNSLLMRNNKLQVLENRYAKKLEEHRYQMEIRCRKLEEEQIDERNKMVATEAHWVDTLTSWLQVELLNKQILNKTKHFHYLKNDTTICDHLPEEIYSKIAHSVSGTRKEIFEIPGSVFSEDIICSNTVEEGSLQTRHNGETAALDTMGSQGPSASEFVDDNGINISNGIEGNVTSENSCSVEKLPERVILGNPDKEISMKGPKSRCSVSVHMVSHVDEEVPHKLTEAAGLIESSTRVLTIPLLPSMERGGNVATLNPGIEISNATCRIGNSEPFVDAHSNLESSPRELNLPVNEVERLSEVANLVGVRKNLSASQSSSRESIPNKSMGSTSEIEFSSTMTVSASCEALEVGCSNSQNDGDNHRELVNPCVVEDTIGNTDPNVHSHEPSVTLSPLDLAVTPTTQGNVSLLFNEAAHEEMNQQSSSTRSIDYIMEAVEMAIVNGDPEAPISYVADQSNQEECENLQSSCTGSMENNMQATEMVNANEDTEAPITHVADQSNQEEQDEINLQSSCIGSMNDIRQTTAMVNTNGDNETPNPYVASQSNQEAQIVEPQTLTVPLATNSSVGFFQADLSSAGGMENQINCEDYSSDQLAQTASQPIEDSIELIEEALLQPVTCTAPHSIFNAGISDTRTSFTDTRSISGNFDISTGLMQPTQPSVSQMLPLSYVDPLEKELEKLRKEMEHNKDVHAKQKLQLKSEREKEIEEVNKKYDTKVQESEIEFDLRKKDLDVNYNKVLMNKILAEAFRWKYSDTKSWDIVPVLGPQIFQPTVMPILQRPPLVVRPSFTPSLVSSHTSNAPSVNIQRTSAVANLSTNSPVSSQGTTSTSIHGHHASPHFSSNSMRPLHIGSISSPTGNPQVSSVIRAPAPHLQPFRPKSSSLPPNPRGITSQHGPTIPSATPPSFPHHPPRPPVSSPFQSIPLNRPYRPNSLEQLPTLSSAPLSALDLLMDMNNRAGVNFPHNFPLPDASLNTHQPNPPVSTGNMQVNAVNTTGDSNVVCLSDDD >KGN63703 pep chromosome:ASM407v2:1:1651043:1666742:1 gene:Csa_1G011550 transcript:KGN63703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDITEEQRKRAEANRLAAIAKRKALVESSNGQLQHHEPWKLFKCRKFSTEFDASTTIQSSKSLTVNNTHLPEKFRVRLEICSPDSFSITPEVVEGCFYPGEENCFRILSDCLSNVTHSHYTQIIGGGKACVYKLRDYCSILKCLKNSKDIDVEEIPWTTFNVVERLSHSFSSGRWMPCRPEHLSDEKVEELMKKLPDRLLNRLLPFQLDGIRFGLQRGGRCLIADEMGLGKTLQAIAIACCLMDEEGSILVVCPAVLRFSWAEELERWLPFCLPSDIHLVFGHLDNPCHLSKFPKIVVISYTMLQRLRKSIFQQKWSLLIVDESHHVRCAKKSSEPEEIKAVLDLATKVQHIILLSGTPSLSRPYDIFHQINMLWPGLLGKTKYEFAKTYCAVKFVSTSQGKTFKDFSKGIRLNELNVLLKQTVMIRRLKVHVLAQLPPKRRQIIRLLLKSSDIIGAKAATREVINCGHDRNAAENSSHNICGEETDDGGDCGIGKLSFQELGIAKLSGFREWFSIHPIISESDGLMDLDLKTDSQKMIIFAHHHKVLDGLQELMCEKGIQFVRIDGTTLARDRQSAVLLFQSSAEVKIAIIGITAGGVGLDFSSAQNVVFLELPQSPSLMLQAEDRSHRRGQTKAVNIYIFCAKDTSDESHWQNLNKSLRRITSTTDGKYDAIQEIAVEHISYLEACGRSGASSESDMNSACIELCSKGTRAQGHVSLEVEVKDELNANIDYPSDQNDDIDNTTQTETDQIAIKDEMLSVLLNKDLLSMGKSEENVTEVDTRSPERASSPQMDEQCGESDQAQKEENLGSGASMVHNGEPHLIIEPEKNSLNHVQILRFEVSQYTGRVHLYACIPGIDLRPRPLFLNFRPEEVELMNCSVDDCQKTDFNLDTTLYKHALQEFLGEWRKLRPIEQRKLHGKALQLPLDIELCYLKENINHNAAGVLKGKSLRRTTPLDDISRPLPSSAVWKLVQLGCGFGKRKKEYAQGWTLTEEPLCKLCQTPCQGINAKAPEYLEDLFCNLGCYEEYRVRISTTSLRRELFQMEHGVCSNCRLDCHKLVKHIQPLTLDMRRDYIEKVAPNLASRKKLLEKIVNNPTEGNAWHADHIVPVYRGGGECRLENMRTLCVACHFDVTAEQRAERRLVRLKAKKQLKDAIIDIKKGGNTGRIDTDIQKQVHDEQESVIDDQLILVKVPGSAYSKDDCLVNNNNNIEGPGESTGA >KGN66606 pep chromosome:ASM407v2:1:25548419:25551055:-1 gene:Csa_1G639510 transcript:KGN66606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKNWSEGKKLGSPPPSSSANLSLRSPLESLSLSLHFSFSTAHTVAEFHESKLSQKPKFSISSSFSSSSSSSTSLTIPDHNHHHFPHSQSPSFSRFSFNSSHFPPLRLVIPLPFPSMFSTPFVLSFSLLLSLPILFLLAPHFIPSRPQSIPIPPPDDHDDLFLFNRATAGSNPNNPSTFSHLSSSSNPKLKIAFLFLTNSDLHFAPLWIRFFPNSSDLYNVYVHADPSINITRPGGPFLGRFIVAKRTYRGSPTLISATRRLIATAMIDDPANAYFALLSQYCIPLHSFSYVYNSLFSSTTFDSTSTPSELTHLGVRIRFKSFIEIVSKERHLWKRYNARGRFTMMPEVPFEKFRVGSQFFVLTRKHALVVVNDRTLWRKFKIPCQSSDDCYPEEHYFPTLLSMRDLSGCTQYTLTRVNWTGTANGHPYTYRSSEVSPKLIHQLRKSNYSESYLFARKFTPDCLRPLMAIAKSVIFRD >KGN64286 pep chromosome:ASM407v2:1:5162747:5166189:-1 gene:Csa_1G045840 transcript:KGN64286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTVSFSLRTFIKQFSPLPKRTPFSFPIRTSFKRLTVTCNSSRFLHFETLTSRQKDQVHLFVDALLQWNQKMNLTAITEVNEVMERHVEDSLAIIPPIRNLYMSHCSTSPCDDIKLVDVGTGAGLPGLIIAIACPEWQVTLMESMNKRCLFLEHAVGHTGLTNVQVVRGRAENLGHDLSLREKFDVVVARAVAEMRILAEYCLPMVRVGGLFLAAKGHDPLAEVTNAEKAIEMMGASLLQICPVESHSPYGQRTAVVCFKERHTPRKYPRDPGTPAKSPL >KGN64116 pep chromosome:ASM407v2:1:4219201:4220028:-1 gene:Csa_1G042280 transcript:KGN64116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEREKRKEMSEGEKGGVVEEMKRRKKKKIKKEEEKKEAVAAAEVEEPSTEEVEEFYAILRRMDEALRYLKGKRVPERRTTMGETAVAVENKELNLNEVPSDSSN >KGN65299 pep chromosome:ASM407v2:1:13575192:13578277:-1 gene:Csa_1G305720 transcript:KGN65299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKFKKGSKLKILSKKKVPLGTQCSMEALRSNGPNYIAGHVKSKGVDNHAMVKQVSENVIMPCHTHLDLSGAWAPGDVVEVFDNNSWKLATVSEVLGKMHILVRLLGSSQEFKVRKTDIRARQSWKDDDTAWVMVGKGSKNFNGGELHANLILNHSHDSTSQVQKTNSRTTLWKKDDCSAIRNQNVQDNYNVKILKRSTDCSSRAIYGANHKVRLIEKEGRYVKVVVANPTELPKLQVDPVSYPRDSLGERQRAASLNHRLGGYLELDIKGKELAASPVRELNDADSIICSVGSCSISSDNSSEMPCDVSGGVTDQIAGHFCDDRSPHQSGYEGHCLPTNEELAAEIHRLELQAYRCTIEALHASGPLSWDQEVLITNLRLSLNISNDEHLMQLKYLISADTSIPIK >KGN66267 pep chromosome:ASM407v2:1:22386647:22387399:1 gene:Csa_1G589740 transcript:KGN66267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDCNLISAAEDLKSEEDRLAADKWQLAIMKLRCCGCWMILTSAIWMQQSSIRNSQEDNVTMEHFITSNGHVSLGKRCWELTEGNVSLRTKSVFTRFGSDKEQWDDRRSPHKSKMQPNGPSSGKPS >KGN64748 pep chromosome:ASM407v2:1:8219680:8223696:1 gene:Csa_1G086910 transcript:KGN64748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATQNGRRPDSSSPPKPFKFSAYQNPALSAALTANSVQPSKYTFLGIFFLSSVSASAFLSILSWENAIVGNLKLKNFPEEAAYLSAKAAQIVVGSIFLGTVLAFIKALSLYRKRFSGVVSVISAKGTKEQTPLSKRQLGLMGLKPKVDNGTSEKAVKPPKSKPYSSPSSSDILVPLHHSIGNFSYSSQKNIDKSNSASGSKVQSFATPSTSPGSASSLYLVSGVASPLPSAQSSSGRDSVVHTPWSSKRVSTLKEITSEEDFERFLTEVDEKLTESSGKLATPPPTMGSVGIASPSTVANSANTSGTTRSTPLRPVRMSPSSQKFTTPPKKVEGDDPSPMSMEEMVEAFKHLGVYPQIEEWRDRLRQWFSSTLLSPLVEKIETSHVHVKEAAAKLGVSITISPVGDSTGSLPIASLVDRTNEWQPTLTLDEDGLLHQLRATLMQSIDASTIKMPLANTPLSPQQNPLIPTMQECVDAIAEHQKLLALMKGEWVKGLLPQSSIRADYTVQRIKELSEGTCLKNYEYLGTGEVYDKKSKKWTLELPTDSHLLLYLFCAFLEHPKWMLHLDPSIYAGAQSSKNPLFLGILPPKERFPEKYIAIIYGVPSVIHPGACILAVGRKNPPVFSLYWDKKLQFSLQGRTALWDAILLLCHRVKIGYGGVIRGMQLGSSSLRILPVLNSEPVD >KGN63592 pep chromosome:ASM407v2:1:1018006:1021541:-1 gene:Csa_1G005530 transcript:KGN63592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKKKGNDKKGSWEEKKGWNGRRRRRRRRRKDMHNIAYSRLGGLQKSLTLYRKVPWWDKILEPDSEFVVRWNLIFLVTCLFALFIDPLYFYLIIIGGPGCMRFNTRLGIVITFFRTIVDFFSLFHISMKFRTAFVAPNSRVFGRGDLVMDPSAIAMRYLKKDFLIDLAATLPLPQIVIWFVLPALKNPSASHANHTLALIVLIQYAPRLFVIFPLNRQINKTTGAIAKTAWAGAAYNLLLYLLASHVIGAAWYVASIQRQDECWKLECRKEMNKTHSPSCKPIFLDCESLDKPERKAWLRSTHVLTNCDAFNDEKNFEFGMFADAFTDEVASAVFYEKYFYCLWFGLKSLSAYGQNLTTSTYSGEILFSIVICSMGLVLFSHLIGQVQSYLQSTTARLEQWRVKRRDTEEWMTHRQLPLHLQERVRRFVQYKWIATRGVDEESILRSLPLDLRRQIQRHLSLALVRRVPFFAQMDAQLLDAICERLVSSLNTKDTFLTREGDPVNEMLFIIRGQLESSTTNGGRSGFFNSITLRPGDFCGEELLTWALVPTPSLNFPSSTRTVKSLTEVEAFALRAEDLKFVASQFKRLHSKKLQHAFRYYSHQWRTWGSCFIQAAWRRYVKRKLAMELARQEELYYTNILDQDHHSSHGNEMMVGSEGVGETSSTHGSSKTTITTNNKKQNLGITMLASKFAANTRRGVHQKLAALGPDAASLKMPKLFKPDEPDFSAFQDDN >KGN65321 pep chromosome:ASM407v2:1:13807162:13811064:1 gene:Csa_1G324370 transcript:KGN65321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGKKLSWTVADAVDYKGFPADKSKTGGWIPAALILGIEICERLSTMGIAVNLVTYLGGVMHLPSAASANIVTDFMGTCFLLCLLGGFLADSFLGRYYTILISALIQTLGTAALAVSTKLPQLRPPPCDGTKTCKEANGFQMGILYLALYLIALGTGGLKSSISGFGTDQFDDTDEKEKAKMAYFFNRFFFFISTGTLMAVTVLVYIQDEVGRSWAYGICSVSMLGAILLFLSGTKRYRYKKSMGSPVVHILQVIAAAIKKRKLELPQNVGLLYEDSPDQASRIEHTKQFQFLDKAAIVAEGDFEKHGAVSALNPWKLSSVTRVEEVKMMARLLPIWATTIIFWTTYAQMITFSVQQASTMERSIGSFQIPAGSLTVFFVAAILITLGVYDRLIMPLWKKWKGKQGFTNIQRMAIGLVLSILGMAAAALAESKRLGVAKAVGGDTTTLPISVFLLIPQFFLVGAGEAFIYTGQLDFFITKSPKGMKTMSTGLFLSTLSLGFFISSFLVSVVKRVTGNDGEGWLADNVNYGRLDCFYGLLTILSIINFVAFLVCVIWYMPQKRKDFQKNGIVNGNLAEEKC >KGN66807 pep chromosome:ASM407v2:1:27897898:27900233:-1 gene:Csa_1G695420 transcript:KGN66807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHFKNFATGLIRFRYIFLNRHFSNSNSLVNGSTAPSKDDYFAAIHHISHIVRRDFYMERTLNKLRISNLNSELVFRVLRACSNSGTESFRFFNWACSHNPSYQPTTLEFEELVKTLARTRKYTTMWKVLLQMKTQNLKISPETISFIIQEYGKQGLVDNAVTIFNQCSKSIDCPQTVEVYNALLFALCEVKMFHGAYALIRRMIRKGVTPDKKTYGTLVTGWCSAGKMKEAQEFLEEMSQKGFNPPLRGRDLLVEGLLNAGYLESAKDMVRKMTKEGSVPDIGTFNSLIDVICNSGEVDFCINIFHEVCKLGLCPDINTYKILIPATSKVGRIDEAFRLLHCCIEDGHVPFPSLYGPILKGMCKRGQFDDAFCFFGDMKHKGHPPNRPVYTMLITMCGRGGRFVDAANYLMEMAELGLPPISRCFDMVTDGLKNCGKHDLAKKIEQLEVSIRGI >KGN63907 pep chromosome:ASM407v2:1:2903542:2905726:-1 gene:Csa_1G025880 transcript:KGN63907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQESGGSMVQDGSNVESNPESLDHIGKVRKLLFRRMLIGIKDGRFFLGNFYCIDKQGNIILQDAVEYRSTRRSSPSPMEQRCLGLILIPNSCRVSCHVDSTIDEQLALLSV >KGN64111 pep chromosome:ASM407v2:1:4170130:4173640:-1 gene:Csa_1G042230 transcript:KGN64111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIGASISSVAALRLPFSFHCFPTSKTLISFPSLSLLHSPTFHIFSSITSSITASMSTSSSPTTEQPALDDKKPAPPPKPWLIVGLGNPGKKYDRTRHNVGFEMVDAVAEAEGISISNVSFKALIGKGFIGDVPVMLAKPQTFMNASGESVGAIVSYYKIPLKQVLVIFDDLDLPFAKLRMLPKGGHGGHNGMRSIIDHFKGSRDFPRLRIGIGRPPGKMDAANFVLRPFNKQEREELNFTLQVGEDAIRILLLEGFNKSATFVNTNKSLEQIS >KGN65496 pep chromosome:ASM407v2:1:15762257:15762675:-1 gene:Csa_1G426970 transcript:KGN65496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMEILDEFSPLTPLSSLQFIIPKHKTEQQQQEDQEEECLTPTAAAARLKPAIICPPAPKKPRPPRRKLNFLPPPFFEAPQDLNSVYFQFHIPSKKIKPIH >KGN64774 pep chromosome:ASM407v2:1:8462003:8462908:1 gene:Csa_1G096085 transcript:KGN64774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTKTWDFTEQVIKGRWFSVFAGLILMLGNGSTYIYGTYSKVIKTGFNYSQTQLSILGFAKDLGSNVGIFAGLLAEVAPPWVLFLTGLYGPKDPSNLVLLFAWLPSTLILVLSFSIRLIRIRKHPEELKVFYHFLYAFVILALFILFSTIAQKEVAFSRGGYRNGAAVIIVLLFLPLVIVCREEHLLYKLNKQNEDSSFNDQKPRSSITTEKIKNLRSCF >KGN66094 pep chromosome:ASM407v2:1:21125820:21128401:1 gene:Csa_1G570190 transcript:KGN66094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTTTDKASSTMVAVSKKVLITGVSRGLGRALALELATYGHTVIGCSRDQTKLDSLQLQLSKVSPNANHLLLSIDVKCNRSVEEFARTVMENELIPDIIVNNAGVANKRSNMWEIDVQDFDNVIDTNIKGTTNILRHFIPLMIPYNQGIIVNISSDAGRDNIPYKSLAPYCASKWGIEGLSKCIAQELPKGMAIVALDPGIIHTDMLKSWMAGDYLPSQYQTPEHWATKAAPIILNLTTNNNGASLTIN >KGN66602 pep chromosome:ASM407v2:1:25528449:25528685:1 gene:Csa_1G638490 transcript:KGN66602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLEHPSFKADISSWWNKPVQGRWEGYRFMEKLRVLKCKLRVQKREVCGDIQVKKKEILNRIEEIDALELDEPLDSS >KGN66376 pep chromosome:ASM407v2:1:23123097:23127122:1 gene:Csa_1G600160 transcript:KGN66376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAATITSSLHLLHLPTTLVKPPRSRLLSSNNFKITTTQRNVFRNNCGSLHTAMASDDVVTSERRKMEEEKFDWFSEWYPVMPVCDLDKKVPIGKKVLGLDLVVWWDRNENAWKVFDDSCPHRLAPLSEGRIDQWGRLQCVYHGWCFNGSGDCKFIPQAPPDGPPVHSSKKACAAVYPSTTQNGIVWFWPNLDPKFKDIIMEKKPPFIPELDDPSYVKLEGNRDMAYGEH >KGN63546 pep chromosome:ASM407v2:1:646345:646832:-1 gene:Csa_1G004120 transcript:KGN63546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRNSCCLKPKLRKGLWSPEEDEKLFNYITTFGVGCWSSVPKLAGLERCGKSCRLRWINYLRPDLKRGMFSQQEEDLIISLHEVLGNR >KGN63665 pep chromosome:ASM407v2:1:1444698:1446536:1 gene:Csa_1G009690 transcript:KGN63665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQRLTYRKRHSYATKSNQHRVVKTPGGKLVYQTTKKRASGPKCPVTGKRIQGIPHLRPAEYKRSRLARNRRTVNRAYGGVVSGSAVRERIIRAFLVEEQKIVKKVLKIQKAKEKLASKS >KGN63808 pep chromosome:ASM407v2:1:2291889:2292899:1 gene:Csa_1G021980 transcript:KGN63808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVGQPQQPSFFVLDTGSDVTWLQCLPCAGKNGCYEQITPIFDPELSSSYNPVSCDSEQCQLLDEAGCNVNSCIYKVEYGDGSFTIGELATETLTFVHSNSIPNISIGCGHDNEGLFVGADGLIGLGGGAISISSQLKASSFSYCLVDIDSPSFSTLDFNTDPPSDSLISPLVKNDRFPSFRYVKVIGMSVGGKPLPISSSRFEIDESGLGGIIVDSGTTITQLPSDVYEVLREAFLGLTTNLPPAPEISPFDTCYDLSSQSNVEVPTIAFILPGENSLQLPAKNCLFQVDSAGTFCLAFVSATFPLSIIGNFQQQGIRVSYDLTNSLVGFSTNKC >KGN66466 pep chromosome:ASM407v2:1:23961136:23964299:1 gene:Csa_1G612900 transcript:KGN66466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENIMMVDDALGDCSDMEVDDIRCDNIAEKDVSDEEIDAEDLERRMWKDRIKLKRIKEREKIAAQQAAEKQKPKQTSDQARRKKMSRAQDGILKYMLKLMEVCKARGFVYGIIPEKGKPVSGASDNIRAWWKEKVKFDKNGPAAITKYEAECLAKGEADGNGNGNSQSVLQDLQDATLGSLLSSLMQHCDPPQRKYPLEKGVPPPWWPSGNEDWWVKLGLSHGNSPPYKKPHDLKKMWKVGVLTAVIKHMSPDIAKIRRHVRQSKCLQDKMTAKESAIWLGVLSREESLIQQPSSDNGASGITETPVRGHVEKQAAASSESDYDVDLADDGVGSVSSKEDRRPRSVEVEPSSNLPNNSQPADGKEQGEKQRKRHRGGRIKPANRTLAPSQNAEEPSVEPRNTQLDINHSNVPLDRFEIPGNQQQQDIATALRPLEKDLDVQSEIPDPQLFNMFSAPSSDNVNIISTQSMFVDGRPLLYPVMQNSEMQHENAYNIYNPSVEYRSNFDVQHSQFVNEPQMRLEEGGVHIPTQHRNNETIAGELNYVKETFNAKQDRPVDPQFGSPINSLSLDYGAFNSPFHLGIDGASSFDDFLVDDDLIQYFGA >KGN65809 pep chromosome:ASM407v2:1:18686122:18691581:1 gene:Csa_1G531190 transcript:KGN65809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHSFKVCFFFRRRFRANVSEAPEDVKMMFDEYSENGTMNIEQLQKFLKDVQGEGRKKAQAIFNNFKHLNFFQRRGLHLEEFFSYLLDQDLNHALSPSHGDNQDMTAPLSHYYIFTGHNSYLTGNQLSSDSSETPIIRALKKGVRAIELDLWPNSKKDGIHVRHGGTLTAPVELNKCLKAIKDHAFTASEYPVVITFEDHLTHDLRQDVAEMLNSTFRDILYVPKRGEDVHQFPSPELLKGKILISTKPPERRTKEKPPADDQSANSQDDIDEEYLEMLDKDEDIAIPEYESLIAIRAKKMKRGSDLQTFFNDVEKVSRVSLSERELVDVVSKYKHEIISFTQESLLRVYPKGLRVDSSNYDPMLAWNHGAQMVAFNMQRNDKHLWIMEGMFRGNNGCGYIKKPEFLLNNPSNSRSLSATRINGLKIKVYMGEGWNLDFRRTHFDFYSPPDLYVKIKMVGVENDKARNKTIPIEDQWVPVWNEEFSFSISTPELAFLQIIVRDYDTSGKDDFAGQTCLPVKDLRSGIRAVPLYNKRGERYKHVKLLMGFELQFE >KGN66017 pep chromosome:ASM407v2:1:20605956:20606342:1 gene:Csa_1G561970 transcript:KGN66017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLNNKAQMIKSLTHKFQFPTLSSIKQSTTTKMEAVQRRQYLGAARGQRMKRMETTLETIKEIRSPRERVVEATKMWKKSVKKWWKNNGENKRKGGRVAKYKLYDLNSSKLKISINNGFKWMKNNKC >KGN66770 pep chromosome:ASM407v2:1:27515597:27518530:1 gene:Csa_1G687630 transcript:KGN66770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRVEGHAVCIPVPVQSHVNAMLSVAKLLHQRGFFITFVITEYTHKRIISSRGPSSLDGLLNFQFKTIWDYCVEPIDAPQNFPSLCDSISNDFLSPFCDLLSQLKNNHEIPPVTCIIPDAFMSFCIQAGLEFNIPTSQFWPISACSILGIYHFEELVKRGAVPFKDESYFSNGYMETTIDWIPGMKNVKMKDLPSFIRTTDPNDTLLNFCIQQLKWAPKASCIVLNTFEALDHDVLEALSHLFPPIYTIGPIHLFSKQIKDKTQEMIATNHWEEQQECISWLDSQQPDTVIYINFGSLAILTLDQLTELAWGIANSEQPFLWILRPDVLEGKSPKLPHNFVEETKGRGMIGSWCSQVEVLNHPSIKGFLTHSGWNSTIESISAGVPMISWPFFGDQQTTCHYCCVHWGIALEIQNNVKRDEVESCIKELIEGNNGKEMKAKVMELRRKAEESYTPGGSSYLNFDRLITQLLLQN >KGN66633 pep chromosome:ASM407v2:1:25808766:25826256:1 gene:Csa_1G651670 transcript:KGN66633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKISEDEAEDRLQDAIQEKFAVFGDKDHQAIDILLAEIDIYELFAFKHCKGRKVKLALCEELDERMRDLKNELQSFDGEEYDEDHKRKAIDALKRMENWNLFSDTYEEFQNYTVARDTFLAHLGATLWGSMRHIISPSLSDGAFHYFEKISFQLFFITQEKARNIKQLPVDLKAIKDGLSSLLLPSQKPLFSQTMLPLSEDPALAMAFSVARRAAAVPLLLVNGTYRKTIRTYLDSSILQYQLQRLDHSLKGTNAPHSSTLEVPIFWFIHTEPLLVDKHYQAKALSDMVIVVQSEISSWESHLQCNGKSLVWDMRKPIKAALSATAEHLSGLLPLHLAYSPSHDTAVEDWIWSVGCNPFSITSRGWHVSQFQSDTIARSYIITALEESIQRVNSAIHLLLMERTTEKSFKLFLSQERDLVKKHQYVVSLWRRISTVSGELRYIDAVRLLYTLNEASKGFADQVNTTLALLHPIHCSRERKVDVVFDGTTIPAFMVILGLLYVLLRPRRTKPKIN >KGN65966 pep chromosome:ASM407v2:1:20197642:20198759:1 gene:Csa_1G554530 transcript:KGN65966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWTNRQLPAPSSAPNRTSPSSSLSPSFLYFHLLSDSIPLTTPPIFTFSLIFSQYPHPHFFFFFVLSSSNFNSSPPLPFNSCYFFAIKAVQLPRRDFIPQLHL >KGN66515 pep chromosome:ASM407v2:1:24464556:24466393:-1 gene:Csa_1G616330 transcript:KGN66515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQDEGTSSITSSPLQFFTMMSLSPKLGSPYPWLRELKSEERGLYLIHLLLTCANHVAVGSLDSANLALDQISHLASPDGDTMQRIAAYFAEALADRILKTWPGLYKAFNSTKIPMVSEEILVKKLFFDMFPFLKVAFVLTNQAIVEAMEGEKMIHIIDLNANETAQWLALLQILSVRPEGPPHLRITGVHPRQEILDQMARRLTNEAEKLDIPFQFNSVVSRLEDLDMEKLRVKTGEALAINSVLQLHNFLGYDNEALQKPSPSAAKNVNGVQYSRYPHLNQTTLRELLDKDMVNGCSPSPDSVSSSALSQANATKIDSFLNGLWSLTPKVMVVTEQDSNHNGTTVMERLLEALHTYAAIFDCLESNMSRTSLERLKLEKMLFGEEIKNIIACETILFISIRLANKNDQDVVFLLEKFMRPSCLLKL >KGN65604 pep chromosome:ASM407v2:1:16793411:16796035:-1 gene:Csa_1G467720 transcript:KGN65604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAIFSSLRRRRSPSLEAFLAPVDLSDVALVQTLTIVATELVSRFSDKSFFFQRRNSRSLIRKLEVCLVFLEFLKETDANLPHTALLCLKELYLLLYRSKILLDYCSESSKLWLLLQNHSISGHFNDLNLELLTFFDVFPIEEVELGADVREQVELLQKQLRRTRLFVDERDEVLRTRFLSFLDEFENGRLPNPREMREFFVDKLKIWNAKSCRAEIEFLEEQIVNHEGDIEPTVAVLNGFVALTRYSRFFLFGFEEDDVDSGTSNQKKLKKNLITQEIAETFLTIPRDFCCPISLDLMKDPVTISTGQTYDRSSITRWMEEGHNTCPKTGQMLIHTRLAPNRALRNLIVQWCIAHGVPYDPPDGMDASSESYAIASPTRAALEANRATAMILIQQLSIGSQDAKTIAAREIRLLAKTGKENRAFIAEAGAIPHLQKLLASPNAVAQENSVTAMLNLSIYDKNKSLIMSEVGCLGAITDVLRFGHSTEARENAAATLFSLSAVHDYKKRIAEEGGAVEALAGLLRDGTPRGKKDAVTALFNLSTHTDNCVQMIEAGAVTALVGALGNEGVAEEAAGALALIVRQPVGAEAVAKQERAVAGLIAMMRCGTPRGKENAVAALLELCRSGGAATTEQVFKAPALAGLLQTLLFTGTKRARRKAASLARVFQRCEHVTMHYGGLGVGYAYASNSASNRETNFASEVSVPISISVPVV >KGN66312 pep chromosome:ASM407v2:1:22638125:22641767:-1 gene:Csa_1G597100 transcript:KGN66312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALDSNNGGEVGVDNSLQSICYKRGSLRLLDQRKLPLETVYLDIKDATDGWHAIRDMVVRGAPAIAISAALALAAEVNNSAPFNGTPNDAASFIADKLDYLVSSRPTAVNLGDAAIKLKEIVSRAAAISSEASSVFQAFIEAAEAMLEDDVASNKAIGLYGANYIRDQQKSLEKFSVLTHCNTGSLATAGYGTALGVIRALHSAGVLERAYCTETRPFNQGSRLTAYELVHEKIPSTLIADSAAAALMKFGRVNAVVVGADRVAANGDTANKIGTYSLALCAMHHKIPFFVAAPLTSIDLSLSSGEEIVIEERSPKELLNSRGGLGEQVAASGIAVWNPAFDVTPAHLICGIITEKGVITKAGSDSFNIKEFVQKTAGPSA >KGN66048 pep chromosome:ASM407v2:1:20874676:20879122:-1 gene:Csa_1G569250 transcript:KGN66048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHPINFLLYYYGPARSNSQKWERAIQHYKKGFENPDSYSPLSSTPAAPADTRRAFVMGIDLVAGGKSKKTKRVAPKSDDIYLKLLVKLYRFLVRRTGSNFNAVILKRLFMSKVNKPPLSLSRLIQFTKGKESKIAVVVGTITDDIRVYEVPALKVAALRFTETARARIEKAGGECLTFDQLALRAPLGQNTVLLRGPKNSREAVKHFGPAPGVPHSHSKPYVRSKGRKFERARGKRNSRGYRV >KGN66105 pep chromosome:ASM407v2:1:21201975:21210793:1 gene:Csa_1G571280 transcript:KGN66105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSHSSRSLSLTPTHSTKHFYFSPSKLYPNQNQIRNLINFKSHPFLCSSSSSQVLPLKCSKAGEFDTQAKSLRTMADVHGIDRELLQEMVYDALVWSSLHGLVVGDKSVKRSGEVPGVGLSHSPIALLPGLFPGTHWNQACELSSIFNELVDRVSMDGKFLQESLSRTKKGDAFTSRLLDIHSKMLQIGKKEEIRLGLHRSDYMLDDKTKLLLQVELNTISCSFPGLSCLVSELHRALLGEYGKVLGLDYRNVPENYAANHFADVLAKAWIEYNDPSAVILFVVQAEERNMYDQHWLSVNLRKRHNVKTLRKTLAEIDREGVLRSDGKLIVDGQAIAVVYFRAGYTPRDYPSESEWRARLLMEQSSAVKCPSISYHLVGTKKIQQELARPNVLERFLDSEDDIAKIRKCFAGLWSLDDQDIVNKAIETPELFVMKPQREGGGNNIYGDDVREALLRLQKEGSEEDGAYILMQRIFPSVSPTIFVRDGICHKDHAVSELGIFGAYLRNKDKVIVNEQSGYLMRTKVSSSNEGGVAAGFAVLDSIYLT >KGN64879 pep chromosome:ASM407v2:1:9647114:9647581:1 gene:Csa_1G144320 transcript:KGN64879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQYASLVHTSLLNYGFTHKMNPSYWRYDMVKSRPSPQRSFRVKVVQDTEGPSRIVDIIRLVPELSRNYFRTFGVNDVFATVVCILLTDVTRFYYNRPKVTFPIALLNNFKMGFTCGLFIDAFKLTI >KGN64533 pep chromosome:ASM407v2:1:6713902:6722735:-1 gene:Csa_1G063570 transcript:KGN64533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEEELPSPSPSPSPFKPPPSSIFKDISNFKTPKRHSRISTLQSPSQPFFTASKRTPLVSSTLRRPRPSLAPSSSAARSKASRKLKAFELEQSQSSRKVQVKKEQSLKSLANSLTVWLNFLFENPRSCGCDWPVGDDGCSTGSRGNRKRDFNSCPAVGVDMVWRCPKRQRELSWGFPSGDVAENEVEFSNSRYVKLRESLKDVCSFDDLTQRMRVYLSSNNCKDTLDIMAQVAKNIDDGRLKMKAHCPIITDVRLKESATRILMAYNPIWLHIGLYIIFGGDSLLSTEEVNSEQDNAFLKMVLGKQFFSHSGLAKAYSYNRMVEGLYRPGYYEALGNIILKRFLLLVLILDKAKCQSSLPLDYGIDGVDGGSPLLFLVQSVIKSSRQMINDFLSSDVMHGEGNLLAHLVIMGYKVSYAQRPISEYDFKITDLFVDIQDGVRLCRAIQLLLNDCSILTKIVVPSDSHKKNLANCGKAVQYLKQAGVALCDEDGMILVEDDIANGEKEMVLSLLSNMFVHLQLPLIVNKNLLVEEVCKIRGVEKSEIDKSTPLEVILDWIQVVCDNYDIKISSFSSLVDGKAIWCLLDYYFRKDLHCSISSKDPQKTNGEESIMSVTHCSDAAHNFILLQKLASLLGDFPEILQISDILEYGGACSDRSVIILLTFLASELIVKKSVDQLNFHKLLDCDCQSPNKIHFCSRQYVLNSVAVPNIEGFDVQNTGETDGAKKFKTIRAWWQDMVEQNKRSFSKPDATSLFLPSGKQRNKKQREDAARIIQSYYRRLVERRKFINLMHEISFLQRFIKAWLIRRQKLACTEPDAPRTLSCERPKQLEIVGRYSTLTVDTRDLLTLQRSAICIQRATRNWMIRKNQVSREVASFDRNGPAVTHLNIASIADEEIGIIDRIKETPEFQVVAEECPILNKDVVVREAFCNEHLAAIQIQSYFRGKFLRRKFLSLRMATIVIQKNIRMLRCQKEYTHNKNVVTSAIVIQSLVRGWIARREGHRQRRLIVLVQSFWRRWLAQKEFLLQRESVIKIQTATRCMIGRIAFHRQRHAAIEIQRLIRGQITRMKLLGAASELRSTFYSGNFSRSSCKMFELKLVLGSILKLQRWWKGVLLLRLRSRSIIVIQSHIRGWISRRRAATERQQIVLIQSHWKGYLARKRSKGQLRDLRLRVQNSAANVDDGKRIINRLVVALSELLSMRSVRGILHTCATLDMATGHSQKCCETLVGAGAISTLLKLIRSVSRSIPDQEVLKHALSTLRNLSRYPHLIEVLIDTHGSVEILLWELLRNKDDGFFIASEVLKMICRNEKGIEAVRKSSGHLKRLSSLAEELTRKAYNEKRTARGLDGRENIERRLKEAVELLKLTTNNW >KGN65472 pep chromosome:ASM407v2:1:15555489:15565620:-1 gene:Csa_1G423300 transcript:KGN65472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSMRDLDQAFQGAGQKAGLEVWRIENFRPVLVPKSSHGKFFMGDSYIVLKTTSLKSGALRHDIHYWLGKDTTQDEAGTAAIKTVELDAALGGRAVQYREVQGHETEKFLSYFKPCIIPQEGGVSSGFKHAEAEEHKTILYICKGKRVVHVKEVPFARSSLNHDDIFILDTKSKIFQFNGSNSSIQERAKALEVVQYIKDTYHDGKCEVAAIEDGKLMADVETGEFWALFGGFAPLPRKVAGEGDKTVESHPTKLLRVEKGNREPIEADSLARELLETNKCYILDSGTEVFIWMGRNSSLDERKNSSRAAEELVTGPDRPQSHIMRVIEGFEPIIFRAKFDSWPETAAVAVSEDGRGKVAALLKRQGINVKGLLKAEPVKEEPQPYIDCTGNLQVWRVSGQEKLLLPVSDQTKFYTGDCYIFQYSYSGEDKEEFLVGTWFGKQSVEGERAAALSLASKMVESLKFLPVQARIYEGHEPIQFYSIFQSFIVFKGGLSDGYKNYITENEIPDVTDSEDGVALFRVQGSGPENMQAIQVEAVGSSLNSSYCYILHSGSTVFTWCGSLTNTDDQELVERFLDVIKPNCQSKPHKEGAESEQFWDLLGGKVEYPSQKIARNNESDPHLFSCTFAKENLKVAEIYNFGQDDLMTEDIDILSCHSDIFVWVGQQVDPKTKVHALKIGEKFLEIDFFLEKLSRETPVYIVMEGSEPPFFTRFFSWDSAKSAMHGNSFQRKFALVRNGGTPTVDKPKRRAPVIYGGRSSSVPEKSQRSRSVSFSPDRVRVRGRSPAFNALAANFENPNARNLSTPPPMVRKLYPKSVTPDSSRLASKNAAIAALSASFEQPLPAREVIIPRSLRGSLGALKPKPESDNNEENSMSNRIESLTIAEDVKEDEVEDEEGLTIHPYESLATNSSNPVSDIDVTKRETYLSSAEFREKFGMAKDAFYKLPKWKQNKLKMALHLF >KGN65148 pep chromosome:ASM407v2:1:12414708:12417705:-1 gene:Csa_1G248120 transcript:KGN65148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELGKYKKRNIRYNWKRKEWCELREAKRLYIINVVVKKENILLLLFISVVCSQPNVIRFWVLHSQFSSSPCFLSREDMVSNSLSLLLPISFPSIFKPDSPSSSSSSSSSSIPTKFPFFSDSSRFPKSFRLFRCQIPASSSSASNQLRDDASPDPFFQNNSIADFMRFKRDGPSAELQTAIVSYKKKFPWSILQPFVQVDLVSTIHIADKEYFKALQKELESYDSILYEMVASKESLENRKNPAAMKKLKSSRSRGLNILGCIQRQMARVLTLDFQLDCLDYQASNWYHADLDYETFRILQTEKGENFFTFARDMTIRSTKAMVQPTTVPEDLEPWKSKLLWASRVLPMPLVGLLIIGSVCADGGSQASEFPEFEALSRLDLGAAMKVFLAKRLTSEFTQVTAEVEESSVIIGERNKAATEALRDALDKGHNRIAILYGGGHMPDLGRRLREEFDLIPCRVKWITAWSITKRKLGSSSLPFLKALADVSGWPLNRYQTLALLIFSSVLAVDLWFWELFFGTAANWISEVALEVYQYIDNVQLM >KGN65053 pep chromosome:ASM407v2:1:11252020:11255751:-1 gene:Csa_1G185102 transcript:KGN65053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKRRSNSLLDEEELGPDLKRHKLLGEVSPSSSPPASENPQLPGFNYGDDDEEEDFKFKQNGSKYDGDEGDYNDDEEDDEEYDNNGNQVKRSRDVEVRKDCPYLDTVNRQVLDFDFEKFCSVSLSNLNVYACLVCGKYYQGRGKKSHAYTHSLEAGHHVYINLRTEKVYCLPDGYEINDPSLDDIRYVLNPRFAKEQVEQLDKNKQWSRALDGSDYLPGMVGLNNIKETDFVNVTIQSLMRVTPLRNFFLIPANYQHCRSPLVHRFGELTRKIWHARNFKGQVSPHEFLQAVMKASKKRFRIGAQSDPVEFMSWFLNTLHSELRITKKSSSIIYECFQGELEVVKEIHSKALIEKKENGEEQDAGTEGSSVAMETSRMPFLMLGLDLPPPPLFKDVMEKNIIPQVPLFNILKKFDGETITEVVRPRIARMRYRVTRLPQYLILHMRRFTKNNFFVEKNPTLVNFPVKNLELKDYIPLPTPKDNDKLRSKYDLIANIVHDGKPNEGYYRVFVQRKSEELWYEMQDLHVSETLPQMVALSEAYMQIYERQQ >KGN66031 pep chromosome:ASM407v2:1:20743377:20744463:-1 gene:Csa_1G569090 transcript:KGN66031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFMDLSQKGLLLADTKCCVDCKTTKTPLWRGGPTGPKSLCNACGIRFRKRRISTRGTNRRDKKREKVNDNHSSAVATVSATTTSSSGTTITTTTSSSGVDGDENSGECGSLRMRLMMSLEEDVMVVKKQQWQWQRKVGEEEKQAAMSLIALSNDSLIS >KGN64751 pep chromosome:ASM407v2:1:8263183:8282489:-1 gene:Csa_1G087430 transcript:KGN64751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISCQIRTRMIEMVSKGLATLEVSLKHSGSLFMYAGHEGGAYAKNSFGNIYTAVGVFVLGRMFREAWGAEAAKKQAEFNDFLESNRMCISMELVTAVLGDHGQRPREDYVVVTAVTELGKGKPKFYSTAEIIAFCRNWRLPTNHVWLFSSRKSVTSFFAAFDALCEEGTATSVCKALDEVAEISVPGSKDHIKVQGEILEGLVARMVSHESSKHMQKVLEEFPALPDNEGGGLDLGPSLREICAANRSDEKQQIKALLQNVGTAFCPDHSDWYGDSHSRNADRSVLSKFLQANPADFSTSKLQEMIRLMRERRLPAAFKCYHNFHKVASISNDNLFYKMVIHVHSDSAFRRYQKELRHKPSLWPLYRGFFVDINLFKENKDKAAELVKSKSNLMDTEGNGTLGRDGFADEDSNLMIKLKFLTYKLRTFLIRNGLSILFKEGAVAYKAYYLRQMKLWGTSAGKQRELSKMLDEWAVYMRRKYGNKQLSSATYLSEAEPFLEQYAKRSPQNQALIGSAGNLVRAEDFLAIVEEGMDEEGDLQKELEAAPSSPMLSGKDAVPKAEGLIVFFPGIPGCAKSALCKEILKAPGALGDDRPVNTLMGDLIKGRYWQKVADDRRRKPYSIMLADKNAPNEEVWRQIEDMCRSTRASAVPVIPDSEGTDSNPFSLDALAVFMFRVLQRVNHPGNLDKASPNAGYVLLMFYHLYDGKSRREFEGELIDRFGSLVKMPLLKSDRNPLPDDLKTILEEGISLYKLHTSRHGRVDSTKGSYAKEWAKWEKQLRETLFSNTEYLNAIQVPFELAVQDVLEQLKKVSKGDYKSPITERRKSGAIVFAAVSLPVQEIQNLLGTLAKKNSRIEAFLREHYKDYKLKGAHVTLAHKRSHGVKGVADYGIFENKEVPVELTALLFSDKMAAFEARLGSIENERVISKNEWPHVTLWTREGVAAKEANALPQLVSEGKATLVEINPPIIISGMVKFF >KGN64883 pep chromosome:ASM407v2:1:9680217:9681112:-1 gene:Csa_1G145850 transcript:KGN64883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTTPTINETYVSGVKEGLILESPYVMGDTLNGKCGLQIEDELKLVDHKLSDLRAEGATQQSITLTMKDLGIQRSQNYGWTNTYVFTKAMGEMLISELKENIPVVIIRPTIVSSTYKEPFPGWVEGVRYAFYISKYFIIYFHFE >KGN63936 pep chromosome:ASM407v2:1:3156915:3190485:-1 gene:Csa_1G030620 transcript:KGN63936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFQGKKLINNPDNVVTEFIEGLVETYPGLQYLDGFPKVKVVLRADVSSATYDKVAIISGGGSGHEPAHAGFVGEGMLTAAICGDVFASPPVDSILAGIRAVTGPMGCLLIVKNYTGDRLNFGLAAEQAKSEGYKVETVIVGDDCALPPPRGITGRRGLAGTILVHKVAGAAAAAGLSLLDVATEAKHAAEMIGTMGVALSVCTLPGQVTSDRLGPGKMELGLGIHGEPGAAVADLQPVDVIVSHVLKQILSPETNYVPITRGNRVVLMVNGLGATPVMELMIATGKAVPKLQLEHGLAVDRVYSGSFMTSLDMAGFSITIMKSDQTVLQRLDAATKAPCWPIGADGSHLPSKIPVPLPPPALATKNSETLGGPVQLNQQGIILEAAIEAAAKAVINLKDKLNEWDSKVGDGDCGSTMFRGATTIIEDLKCYPLNDAAETVNEIGSSIRRVMGGTSGIIYTIFCKAAYTKLKSANLDNITSQKWAEALEASIAAISKYGGATAGYRTLLDALIPASEVLRKKLDTGENPITAFIHSSEAALAGAEATKNMQAQAGRSSYVFGEILATVPDPGAMAAAAWYRAAAMAVMERCHAAS >KGN65583 pep chromosome:ASM407v2:1:16649648:16650128:-1 gene:Csa_1G464560 transcript:KGN65583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEFQESDLFFSDPRDHCRHLRRDDDDFFNFNFNSNSNSRRGVLAPPRTKYHHRTMRDACVRLSKKEISRSLPVRIPERNLHRTLEEDDDMDEDEIIPPHLVAERRVARKMAFSVCTGNGRTLKGRDLSRVRNSVLRLTGFLET >KGN66518 pep chromosome:ASM407v2:1:24473267:24480586:-1 gene:Csa_1G616850 transcript:KGN66518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSALSRISNLSRHFHTPNSKTRDDPQGAIDAPGRGLIKISSEVSAAISRGHPVVALESTIISHGMPYPQNLETAKEVETIVRKNGAVPATVAILDGTPCVGLNEKELERLSILGNRVQKTARRDIAQVVARGGNGATTVSATMFFASKVGIPVFVTGGIGGVHRHGEQTMDISSDLTELGRTPVAVISAGVKSILDIPRTLEYLETQGVCVAAYRTNEFPAFFTETSGCKAPCRVDTPEEAAKLIDANMNLELGSGVLIAVPIPNEHSASGSLIENAIQTALQESREKNIVGNAETPFLLKRVNELTGGASLASNIALVKNNALVGAKIAVALAKLRVQ >KGN64999 pep chromosome:ASM407v2:1:10770564:10771196:-1 gene:Csa_1G173190 transcript:KGN64999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQHLSESSLNLNNYDVIFRSTSSSKNCSSKVEWLKRMVKLTVFCLLLGLFFSLFSLFPHSFSVYFSTFLFSILTHAVERKYMFLICNIGILFLLATSSVSSSSSSSSFGNYCPFPHSRHNHHHNLFEHDVVAAVATDEESDSDHTQEEEEEKEEGICRGELLEEELEEDEGCFTDEVEEEEEEEKEGKKRRKKERIRDSGEHRRIEQEN >KGN64068 pep chromosome:ASM407v2:1:3889415:3894143:-1 gene:Csa_1G039330 transcript:KGN64068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGIGSQRVPLLDRGGGGKSNGSSDDRQLTDLELGDAVPPANVGFGRVLSLAKPEAGKLIIATIALLIASTTSILIPKFGGKIIDIVSGDIDTPEQKSKALKEVTSTIVYITSIVLVGSVCSAVRAWLFSSASERVVARLRKNLFTHLLNQEIAFFDVTRTGELLSRLSEDTQIIKNAATTNLSEALRNLSTATIGLTFMFSTSWKLTLLALVVVPVISVAVRKFGRFLRELSHKTQAAAAVCASIAEESFGAVRTVRSFAQESYEVSRYSKKVEETLQLGLKQAKVVGLFSGGLYAASTLSVIVVVIYGANLTIKGFMSPGSLTSFILYSLTVGTSVSGLSGLYTVAMKAAGASRRVFQLLDRVSTMTNSGNKCPIGDLDGEVELDDVWFAYPSRPDHTVLKGISLRLQPGSKVALVGPSGGGKTTIANLIERFYDPTKGRILINGVPLVEISHDHLHKRISIVSQEPVLFNCSIEENIAYGLDGKVDSIDVENAAKMANAHDFILNFPEKYKTHVGERGVRLSGGQKQRVAIARALLMNPRILLLDEATSALDAESEHLVQDAMDSLMKGRTVLVIAHRLSTVKTADTVAVISDGQIVESGTHEELLSKDGVYTALVKRQLQDTKTT >KGN66502 pep chromosome:ASM407v2:1:24293969:24298576:1 gene:Csa_1G615210 transcript:KGN66502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQRLANTWRLSANEKKFIETALLSDLRVDGRGPFDYRNLTINFGKDDGSSEVQLGQTHVMGFVTAQLVQPYRDRPNEGTLSIYTEFSPMADPSFEPGRPGESAVELGRVIDRGLRESRAVDTESLCVVSGKSVWAIRVDLHILDNGGNLVDAANVAALAALSTFRRPECSLSGDDGQEVIVHPPEVREPLPLIIHHLPIAVTFAFFRSESILVIDPTHCEEAVMGGRMTVTLNANNDVCAIQKAGGEGVLKSVIMQCLRIASVKAGDITTKIKNAVETYSSARQLRKIKRHPSVNLDVGGGTGSLKDSQGISDGQKSINDQESVVSQSGSIKNDASTNQGQLDKRDSDAKNFIGGPSCWDPHSKGADSDFLKATLASRGNLTATKKEDLSDEMMSSESMVDEQEAKVDEVNLSPVAAKTLSEENGKKTLKDAVKPKNKRKKKKTTTPIDVD >KGN64638 pep chromosome:ASM407v2:1:7334960:7343561:-1 gene:Csa_1G072490 transcript:KGN64638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRGYKLQEFAAHSGNVNCLSIGKKACRLFITGGDDYKVNLWAIGKPNSLMSLCGHTNPVESVAFDSAEVLVLAGASSGAIKLWDLEEAKMVRTLSGHRSNCTAVEFHPFGEFFASGSRDTNLKIWDIRKKGCIHTYKGHTQGISTIKFTPDGRWVVSGGFDSAVKVWDLTAGKLMHDFKFHEGPIRSIDFHPLEFLLATGSADKTVKFWDLETFELIGSTRPEAAGVRAITFHPDGRTLFSGLDESLKVYSWEPVICHDSVDMGWSTLGDLCIHAGKLLSCSSYRNSVGVWVADVALIEPYGASSVSGQKEPKEQNLGSAFKNSLASKSTGSDLVTSNLQSLSPDYETKEIKNIYIDTTGGKPVSPQKAESLSSPKIVFALDSKEISYNASKKQNLASRVEVKSSELSKDKPPVIPCDSPEVKDPPSSVRESITFSKTRRGMLLRPAHIRKPSNSKIDLEKLSVSVDSSRKTDLERLPVVDSVNVCNTTNDLNKAPVQNLKTSVVSEVIHVDPCGTNSDLISIEKFEKIPSVSAETTSEQETCNKSVENSEGANSVKFVNGVAVVPGRTRTLVERFERREKTNDVQTTISPSNVLEPEKTREQTNSLEVQVVTPQILETEKTHEQTNDFRVHEVTMPSHVSETETRCERTSNYEVQAVAIPSHVSEPEKAREQTNNYEVRAMVIPRTPETKRMGINYEAKPRSNYEAKPRSNYEAKPRSNYEAKPRSNYEAKPRSNYEAKPRSNYEAKPRSNYEAKPRSNYEAKTRNNYEAKSTLISSHVPETDKTDNLQKGEPQISGRDSTSANDRDVIEDLMQSHDVFLSTLRSRLTKLQVVRHFWERNDMKGAINAMTKLPDHSVQADVISVLVDKMDVLTLDLFSCLLPVLVGLLDSKIERHASLSMEILVKLVAVFGHVISSAVSAPPVVGVDLHAEQRVQCCKQCFTQLQKVQKIIPSFVRRGGSLARSAQELNLVLQQC >KGN65641 pep chromosome:ASM407v2:1:17160267:17162275:-1 gene:Csa_1G477510 transcript:KGN65641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSCKNCHSRSIFRDDVSGNQICSSCGIVQEFDNYDAQLGGINGPQGTFVRVGTSGSGSVLNYKDKKIYEAQKVIEDITFRLGFSASKSNDVRILVSTITEGEYGLGDWFPILVGACAYVSMRKDSRPLSMSEVASAVECDLHELGRMVMRVVEFLDLRGSEFPVFDIVGSLERAARNSPSFSRLEADILERIVKQGIFLLQCAMKWFLTTGRQPLPMVAAVLVLVSKLNEVDVSIENVGMEVHANVSTCKKRYRELLEALVEVGKKLPWGKDITTKNIVKNAPFVIQYMELKSMSKASGKGKDLENVEIDLQSAVSECLRKELEYESEVYNLEDDSQYFELQRSRWQDESNRDNGNRLNISHECLSLIYNKFLDEMAELRSSGGINEVYGTKQGRKTGFYSSTEWWEGKSELSKKLLLQQLLETDIGSQGIPPSFVSSCNAYERRKEKVNAAKKRIQRIMHPSTAPADDVNIKKKRKRKGADVIEWEDIIIETLLLHGVQEEEIEKGHYKVLLDLYVFTSSTF >KGN65665 pep chromosome:ASM407v2:1:17372347:17376024:1 gene:Csa_1G480690 transcript:KGN65665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPLDMSLDDIIKNNKKSGSSNFRARGGASSGPGPSRRFRNRGLNRATPYSTSKAPETAWSHDMFVDHGAAYPSHPPRASAIETGTKLYVSNLDYGVSNEDIKELFSEVGDVKRYSINYDKSGRSKGTAEIVFSRQADALAAIKRYNNVQLDGKPMKLEIVGTNIVTPAVPAPSNASFGNPNGFPRGGRAMGRNRGGGRGRGPGRGRGRGRGSGSGSGSGSGRGHGEKLSAEDLDADLDKYHEEAMQIN >KGN64365 pep chromosome:ASM407v2:1:5706945:5713163:1 gene:Csa_1G050040 transcript:KGN64365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRFFKPIEKEGSSKKVALSPSLKDGDDGDSEASVPDKKEPLKFVTWNANSLLLRVKNDWSEFTKFVTNLDPDAIAIQEVRIPAAGSKGASKNQGELKDDTNTSREEKQMLMRALSSPPFANYRVWWSLSDSKYAGTALFIKKCFQPKKVFFNLDRIASKHEVDGRVILAEFETFRLLNTYSPNNGWKEEEKSFKRRRKWDKRMLEFVIQSSDKPLIWCGDLNVSHEEIDVSHPDFFSAAKLNGYIPPNKEDCGQPGFTLAERNRFNAILKEGKLIDAHRFLHKEKDMERGFSWSGHPIGKYRGKRMRIDYFLVSESLVGRIVSCEMHGQGIELKGFYGSDHCPVSLELSEASSCPESQKN >KGN64510 pep chromosome:ASM407v2:1:6597017:6600269:-1 gene:Csa_1G062360 transcript:KGN64510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSWSCKKCTFLNPSSQKAACKICLSPSSPPPSSSSSSTTPKWSCKACTFLNSFTNSECELCGTRAPALSLSSFKDLIDVSEDENADSSVGSVFFPLQPSKKRKMDDPVPLESHGEYAELSTFRGTKASMNAVAEMGDSSSRACLKTVKIMTYNVWFREDLELRNRMRALGQLIQRHSPDVICFQEVTPDIYDIFQITNWWKVYRCSVIKDSHSSGYFCMLLSKLPVKSFSCQPFPNSIMGRELCIGNLEVQKGISLTVATSHLESPCPAPPTWNQMFSKERVVQAKQSVGFLKETPNVIFGGDMNWDDKLDGRFPFPDGWIDAWEELRPGENGWTYDTKSNKMLSGNRTLQKRLDRFICKLQDFKVNSIEMIGTDSIPGLSYTKEKKVGKDRKTLELPVLPSDHYGLLLTISSL >KGN63537 pep chromosome:ASM407v2:1:606147:607595:-1 gene:Csa_1G003540 transcript:KGN63537 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation factor Tu MAAISLASVSTSSKLVFPHPSSSSSSPSSSSSSSSLSSTLFSKPSSNLSSSFLNSSSIRPLSFSSPSVSRPRSLTIRAARGKFERKKPHVNIGTIGHVDHAILVVSGADGPMPQTKEHILLAKQVGVPNMVVFLNKKDQVDDEELLELVELEMRELLSSYEFPGDDVPIIAGSALLALEALMANNNIARGENEWVDKIFELMDAVDSYIPIPERQTDLPFLLAVEDVFSITGRGTVATGRVERGTVRVGETVDIVGLRETRNTTVTGVEMFQKILDEALAGDNVGLLLRGVQKADIQRGMVLAKPGTITPHTKFSAVVYVLKKEEGGRHSPFFAGYRPQFYMRTTDVTGKVSSIMNDKDEESKMVMPGDRVKMVVELIMPVACEQGMRFAIREGGKTVGAGVIQSIIE >KGN66337 pep chromosome:ASM407v2:1:22835658:22851470:1 gene:Csa_1G598330 transcript:KGN66337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGNGVVGILSESCNKWERRVPLAPEHCARLLHGGKQKTGISRIIIQPSTKRIYHDAQYEDVGCEISEDLSECGLILGVKQPKLEMILPDRAYGFFSHTHKAQKENMPLLDKILTEKASLYDYELIVGDHGKRLLAFGKFAGRAGFIDILHGLGQRYLSLGISTPFLSLGMSYMYTSLAAAKAAVISVGEEIATQGLPPEICPLVIVFTGSGNVSHGAQEIFKLLPHTFVDPSKLPEICGKNVELCQHGATKKRVFQVFGCVVSCQHMVEHKDSTKKYDRVDYYAHPDQYRPIFHEKIAPYASVIVNCMYWEGRFPRLLTTVQFQDLMRSGCPLVGISDITCDVGGSIEFINQTTSIDSPFFRYDHISDLYHHDLEGNGVICSAVDILPTEFAKEASQHFGDILSTFVGSLASVVDILELPMHLRRACIAHRGALTSLFEYIPRMRKSESEESSVDIANGHSNKMFNIQVSLSGHLFDQFLINEALDIIESAGGSFHLVHCQVGQNANAMSHSDLEIGADDVVILDNIIQSLSRMANPQENLDLVNNETNKIFLKVGKIQESGFKSEDVKRKTAVLLLGAGRVCYPAVDLLASSGNSCCQFWRTFLEQYAEDWNDIEVIVASLYLKDAKEITEGIANATAVQLDISDSEKLFMYISQVEVVISLLPPSCHLTVANACIELRKHLVTASYINDTMTLLDEKARNAGITILGEMGLDPGIDHMLAMKMINESHLQNRIVKSFISYCGGIPSPESANNPLAYKFSWNPAGAIRAGSNPATYRYEGKTVKVEGKDLYDSAVRLRLPDLPAFALECIPNRNSLIYGDVYGIGQEASTIFRGTLRYEGFSKVMGTLARIGFLDTEVHSFLRNGRPLFRDFLLELLKIKGVSSGSTIGEKAISESIISSGLCKEQETAVRVAKTIIFLGFHEPTEIPSSCQSAFDVTCYRMEERLAYSKNEQDMVLLHHEIQVATPDGQHTESRKATLLDFGTTKNGKSTSAMALTVGIPAAIGALLLLTNKIKTRGVLRPIESEVYIPALDLLQAYGFKLTEKVES >KGN65871 pep chromosome:ASM407v2:1:19155064:19155325:-1 gene:Csa_1G534770 transcript:KGN65871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNNTTTLTPSSQPSTPLTRFSAIAPPRFSCASRPHIQITLYTIYEEETEVKICQAPSSSFSSALSCFRPLRSVKCS >KGN63503 pep chromosome:ASM407v2:1:459978:461915:1 gene:Csa_1G002720 transcript:KGN63503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVSNRQRKPRVLLAACGSVAALKFRTICQCFSEWADVRAVATRAALFFIDRQALPKNVFLYIDDDDWSSWKKIGDNVLHIHLCNWADIMVIAPLSANTLGKIAGGLCDNLLTCIVRAWDYTKPIFVAPAMNALMWNNSFTERHLVLVDDLGITLIRPVADGMERCNGVMAEPSHIYATVVLFMELQRKKNKTAHQ >KGN63641 pep chromosome:ASM407v2:1:1293444:1296125:-1 gene:Csa_1G008470 transcript:KGN63641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSNFMSNKWIATVLGIWIQCICGASYTFSIYSSALKSTQSYDQSTLDTVSVFKDIGANAGIISGFLYSAVTPFNPRRAFAGPWMVHAAGAIQWFLGYIFIWAAVSGVIDRPPVPAMCFFMFLAAHAQTFFNTANVVTGVHNFANYSGTIVGIMKGYLGLSGALLIQVYNTTCNEDPSNFLLMLAVLPTVLSVMFMWFVRIDKTESSNEMKHLNSLSALAVIVAFYLMVVIILNNAFSLSSWTRYFTFSILLILLAAPLGIAINAQKEDFRGSSSSLIAEKSHVVNKPESIDAEDSVEYHELPREENQIMVVSNTRAPQTMNVLEAIRTINFWLLFLAMVCGMGSGLATINNMSQLGQSLGYTETETKTFVSLWSIWNFLGRFGAGYTSDFLFHTYGWARPLLMAITLLIMSGGHIVIASGFSGNLYVGSILVGICYGSQWSLMPTITSEIFGLEHMGTIFNTIAIASPLGSYIFSVRVIGYIYDREAAREHGACSGIHCFVVSFFVMAIVAFLGFLVAAALFFRTRRFYQLASQRRVNRVS >KGN65533 pep chromosome:ASM407v2:1:16049986:16052332:1 gene:Csa_1G435780 transcript:KGN65533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRVRLSSQQTPVLKLGDSQMTLSPKFRLAIIKSHLLNPSSDLESSICGEPLIPGLPDDVALNCLLRLPVHSHAACRVVCKRWHQLLGSKERFFTRRKELGFKEPWLFVFAFHKCTGKIQWQVLDLTHFSWHSIPLMPCKDKVCPHGFRCVSIPHEGTLFVCGGMVSDVDCPLDLVLKYEMQKNRWTVMNQMITARSFFASGVIDGKIYVAGGNSTDLFELDSAEVLDPIQGNWNSVASMGTNMASYDAAVLNGKLLVTEGWLWPFYVAPRGQVYDPTTNNWETMAIGLREGWTGSSVVVYGHLFVVSELERMKLKVYDAASDSWEAIEGPPLPEQICKPFAVNACDSTIYVVGRNLHVAVGRISQLIKKGTCENKWSFNVSWHVVDAPECFSDLTPSSSQVLFA >KGN65248 pep chromosome:ASM407v2:1:13114303:13117564:-1 gene:Csa_1G269900 transcript:KGN65248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVGRRKVGVSSNSVVSGSVWESRMKMDEVKGGIKVFNGEDGSSNKEGKVVTRSMSKRISLEGSEKSPIQIGKGKRNCDETKSPIQLRKMRSEEIERSPNQLRKSKSEMVERNEKTPVQLRKVKSESVKTIVDDNAKAIVVVGGKNGGNSEHLQCPESESNEELSEIEKGIHGSVKEIEKPQVENETYCKEFGVCLISTMAGPIKPTQEVSINDNENEANEEEETEEEEEDGDDNEIEKESFDIKEINLPEPKKIVNEVKKFHQIYEKPVPHSSKPTYTSKEYHNFQEKSNKLQSLVDLIMWKEVSRSAFVFGIGSFVIISSSYTNDINISFISVVSYVGLFYLAATFLYRSIIYRGAIDMENVRNVVGEEEAIWVLKLLLPYLNEFLLKVRALFCGDPATTMKLAVLLFASARCGSSITVWKVAKWGFFGVFTLPKICSSYSSHLAAYAKFWIRRFRDAWESCSHKKGVALAIFVLVWNLSSVVARVWAAFMLFVAFRYYQQSIQTEEWDGDGDGDEDEVEEGDETCQASIAKEKYVSGSLFGGIGSVKQKKKF >KGN64516 pep chromosome:ASM407v2:1:6627151:6628060:-1 gene:Csa_1G062910 transcript:KGN64516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANWSSALRKNYGFSDDLEEEDVWNSVEGKEDSSNFISRKSSDYYYSSSSSSSSSLSSSSSTWRLPTAPKMIPKSISTRAHETQMANNRSSAPVDIPDWSKIYGKMGSSSTGDKDDVRDQEDGEDEDMVPPHEWIAQKLARSRISSFSVCEGVGRTLKGRDLSKVRNAILTKTGFLE >KGN64721 pep chromosome:ASM407v2:1:7933351:7939920:1 gene:Csa_1G077230 transcript:KGN64721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRFLTKQLRSRIRARISPFSSIFLRSFSQFSRPTFGIAFDIDGVLLRGDAPIGGSPQALRKLYDDSGVLRVPFIFLTNGGGFRESKRASDLSEVLGVNISPLQVVQGHSPFKHLVNRYENKLVIAVGKGEPAAVMSEYGFRNVLSIDEYASFFDNIDPLAPYKKWTTIKGANHEKTIADLTEKKKICSEKVEAVFIVSDSVDWSRDIQVLCDILRTGGLPGREFGNQPDLYFAHDDLEYQAAFPCERFGMGAFRIALQSIYNKIHPHALQYTCYGKPNPLVFHNAESVLKLVSSIHQNKVDVNTETHHFKTLYMIGDNPSVDINGAIQAGSPWFSIMTRTGVFKGKENHDKYSADLVVDTVEEAVNFIFKKEGIS >KGN63710 pep chromosome:ASM407v2:1:1698098:1701286:-1 gene:Csa_1G012110 transcript:KGN63710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYHHQQQPPPPSSVPLYFPPHPHIVNANPSSFHPFPQPVLPPAVPSEPGLHPPGTDPYSNSTVLTSTYVGLEPQPQFYADPSLASHTWVLPQLDAAGFAFKSLLENQIASTSSNSLLNGNWPTQSLAYNATRTKHVKTKFTQPVRCEVCKIDCNSKDVFDKHVMGRKHKKNLEVPNSSLTSSTPSDGNTIVLNQMGNVSGQVAQVTADVPAARKGLKSKKRKLIDTSMKADCTRVCTVCNIVCTSQEVFDKHTSGKKHAAQAALTSQVPYVTAIGPQYDGNLKKKLKKNKVVQSAWCEVCKISCNSNEIYAVHLSGKKHLKNVEKVKKGTSDVSTSSTPQLATVPVIGPMENPAANNPSSDGIQKTQTSSTQTPEELETKKRKIVKSGSAANSVRTCTICNVVCNSQTVFDSHLAGQKHATMMKKQGVSGMSMVVPPLITALPN >KGN65241 pep chromosome:ASM407v2:1:13038030:13038561:-1 gene:Csa_1G269340 transcript:KGN65241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRFDFITNSIVKRITSLDSKNFHVNILGCNNLTFQGVNIIAPEDSPNTDGIHIGRSIGISILKSRIATGDDCISLGDGSKQVKVANVTCGPGHGISIGSLGKYTNEEPVEGVIVKNCTIMNTTNGVRIKTWPLLMLVLPPTCIFQTLQWSTLATQFS >KGN64625 pep chromosome:ASM407v2:1:7246876:7249315:-1 gene:Csa_1G071880 transcript:KGN64625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREERGPRSAETDPFKSPDFSLNTPTLRQLILLISSLISLSHSVKVFASKWKLIRDKLEELNSGLIAADNCDSDENPAISDLIRKLILTATECNDLARRCVDLSFSGKLLMQSDLDVICAKFDRHAKKLSDIYTAGILSQGFAIVVSRPGLGACKDDMRFYVRDIVTRMKIGCSDLKRQALVNLLAAVTEDEKYVKVIIEIGEIVNLLVNFLGSPETELQEAALKVLHIISGFDSYKAVLVGSGVIAPLIRVMECGSEVGKNIAARCLLKFTENSENAWSVSAHGGVTALLKICSNADSKAELISPACGVLSNLVGVEEIKRFMIEEGAISTFISLSQSRDEAVQISSIVFLQNIAYGDESVNRLLVKEGGIRALVRVMDPKSSSSSKTLEVTMRAIENLCFSSVSNVNTLINYGFMDNLLYFLRDGEVSLQEVALKVAVRLCGTSEEAKKTMGDGGFMPEFIKFLGAKSYEVREMAAEALSGMVMIPKNRKRFAQDNRNIEMLLQMLDTEEGNSGNKRFLFSILNSLTGSSSGRRKIVNSGYMKNIEKLAEAEVYDAKKLVRKLSTNKFRSLLNGIWNS >KGN65676 pep chromosome:ASM407v2:1:17490348:17491394:-1 gene:Csa_1G489250 transcript:KGN65676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDFASLWSYHQEGVDELKQKLLYTTIELESVKMEANQEMIKNKENVKNLLNLLQLAYKERDEARNQLHKVLNKLNFQPESPLIKANSSITESNSLSADNNNNSNTFNNVSGSPVVESFFDATAVSSPTDFSSFNVGDSHNVDQCSLVIESIVKGKKLPEKGRLLQSVMEAGPLLQTLLVAGPLPRWRNPPPLQPMKVLPPVLINSTPRMCSSSMLNFSNAFGLDSCCFNQNGLASTTTNSSSFASSQFQSTKRQRLH >KGN66091 pep chromosome:ASM407v2:1:21091823:21095183:-1 gene:Csa_1G570160 transcript:KGN66091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSITFFKSSALFLTILSVFIFFVLFTPYPSPESPSRRSLIATGDSNSSFSPTPSCSSVESHSDGLINYLYFHFCFFDENPSLSVPFLTLFLLLHFYILIKTAQDHFSIVTSKLAFHLNLSPSMAAVTLLALGNGAPDVFASVAAVRGGQYRTGFGAILSAGTFVSAFVVGFVAIYAAPFSVNPAQFVRDVLFYLTAALFLFYVYLSAEIFLWQAVGFVGFYLFFVGLVFWMDLRMGSGKAKSGGDMGVTREADVFHGDLPKDCEIGEGYRNADEGKTNSGFWKALRMIRKAWEAPVSFLLKLTIPQPAPSEWSRLFASANISLCPVALLFACNSFMSFNHPIAFLLPNTHLPLWFVVLLASSSLAILHFVMETEPPKTEQVPIVLAAFVMSVFWISTIAGELLNCLAVLGVLLKLPPALLGLTVLAWGNSVGDLVADVALAKAGQPLLAMAGCFAGPMFNMLVGLGTALVIQTANSYPDAYQLQFHIGIVIAFVFLLFSLMGSLLVIIWCRFRVPRFWGFCLVLLYIVFMAASLLMAKFSP >KGN65628 pep chromosome:ASM407v2:1:17011743:17015792:-1 gene:Csa_1G470440 transcript:KGN65628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDGWIPQAFRFSTFLALIVVSGIEVCFSLNDEGLALLAFRAQVTSDPYAAFDDWNPNENDPCRWTRVHCVSGEVHKLDLSGLMLKGTLAPELGRLVHLQSLIVYKNCFFGTIPREFEGLTQLELLDLRENNLSGKIPTEISRIINLKYLLLCDNEFEGSVPLELQNLSSLYELQFDDDLVFLWDAEIGSVYRNFGHWIWQRNPIYMKSSDASASEDSAFVRHFSSLPNPLLKHGKDSQPDHTESNDDYLPSSVLEEVDDSAQNIVNTHRRKLLDESSNLAAAPVSSSLPSSDSINTVTSSRSSGTFPAVPKKHKQGTTPIPAPLPHPHLRNTSSNFSDPLTTEQNQTDNSRNFWKYVIIIGGVSLSVILATIIFCMCRSRGVTTIGPWKTGLSGQLQKAFITGAPKLNRPELEVACEDFSNIIDTFDCSTIYKGTLSSGVEIAVASVSVTSSKDWSKSSEQTYRKKIDTLSRINHKNFVNLLGYCEEEEPFTRMMVFEYAPNGTLFEHLHVKEVEHLDWGARMRIIMGTAYCLQYMHHDLNPPVAHTNLTSTSIYLTDDYAAKIAEIIFLSNGISKYKNGSSHDNAEHSELPPLADPESNVYSFGVLLLEIISGKLPYSEEQGPLVNWAAEFLNDKRSISYMIDQSLKSFKNNELDVICEVIQDCIKSDPRMRPTMKDITAKLREVIGLSPDQSVPRLSPLWWAELEILSVEAT >KGN65083 pep chromosome:ASM407v2:1:11527031:11528009:1 gene:Csa_1G196250 transcript:KGN65083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSTSGGSYHTQPKWRKVAYGGMQPGFDDNHTDESFLEDIVMNANVVKRDILKVMLDSVSISEYLCIVTLVGLVWTYTLRSTLDENSLLFLDIGLLGSGFLILLFTEGSLSLSLLLHYILNISYFTTGLYVLAPIYQTLTRSISSDSIWALSVSLIILHLFLHDYSGSTVRAPGDVKNPSLTSCISLNASIVASVLIASRLPSRSHVFAIMLFSLQVFLFAPLVFYSIKKFSLHLHLLFSFCLVTITLVYVYFLHQLFFILLASLLIFVTIVCPYWLIRIQEFKFEINGPWDEAKLCFDIRD >KGN65322 pep chromosome:ASM407v2:1:13814581:13815288:-1 gene:Csa_1G324870 transcript:KGN65322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISGATEALNRVPLSEVVSDCVKRWFKDTLKEAKAGDINMQVLVGQMYYSGYGVPRDAQKGRIWMTKASRSRSSVWKVSDKHPG >KGN65756 pep chromosome:ASM407v2:1:18333360:18334921:1 gene:Csa_1G525270 transcript:KGN65756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEEVLILKTEHSDEINIPIVAKKMNDVIPTSTSSEVKCCSSGCAFSRLEHSNLESLKRSKSAMKLGGLILFYTIAIVVEIIGGFRANSLSVMTDAAHLLSDVAGFSVSLFAVWVSGWEATPQHSFGYNRLEVLGALVSVQLIWLISGILIYEAIDRILAPKTKVDGFLMFAVAAFGFLLNLFMVIWLGHSHHHHHSHSSHCCHHDHHSHSHQNHLEHEEEEVYTLTKQEGASLGSKDNSSTLNINLQGAYLHVITDMIQSIGVMIAGLVLWFKPEWIVVDLICTLVFSVLALATTFSMLRHTAVILMEGTPREVHIESLENDIKNMKGVYDLHDLHIWSITVGKVVLSCHVVAEAGVCSRELILKIKSHCEKRYNIVHTTIQVE >KGN66707 pep chromosome:ASM407v2:1:26699215:26699812:-1 gene:Csa_1G661740 transcript:KGN66707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLATTPATQVLEDDEIATEKEKEIEGEDLEETSITQSLEDDDEEKDANVEENKRRERKSKFRNGEKVMHMGVV >KGN64260 pep chromosome:ASM407v2:1:5019007:5020918:1 gene:Csa_1G045580 transcript:KGN64260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVAPLPSSHPPPPPCQKLGGWRAVKYIIGNESFEKLSSMSLISNITVYLSTQYNVNGTFVVNVVNIWIGTSNIATLAGAFIADTRLGRYRTLLYGSIASFLGMGTVALTAALHQLRPPHCNADDSGHCPQPHLWQLLVLFTGLGLLSIGAGGIRPCNVAFGADQFDTTTEKGKSQLESFFNWWYLSFTIALLIALTGVVYVQTNVSWTLGFAIPTICFFISISIFLLGRHTYIIVKPRGSMLTDVARVIVAAYRKRGHSISSSSFYDSPMEDSTCGEKLIHTDRFKWLDRAAIIVNPEEELDEQGKPKNSWRLCSLQQVEGFKCLVSIIPVWISGIGCFIVFNQPNTFGILQAIQSNRSIGPHFKFPPGWMSLAGMIALSIWIIIYERVLIKLGKKITGKERRLTMEQRITIGILLSIFSMITSGVVEKHRRDAALKNRLFISPTSFALLLPQHVLTGLMEAFALVAIMEFFTMHMPEHMRTVAGAIFFLTISVASYLSSLIVYVIKKVSAKIAKSPWVGGHDLNQNRLDYYYFTLAVLETLNLLYFVIFARRFVRGYDDKVKLTENVRRNDLPVKDEEC >KGN65835 pep chromosome:ASM407v2:1:18888654:18902644:1 gene:Csa_1G533420 transcript:KGN65835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTKVESNGEDEALDDEEVEHFDDFTIASTWERFISEIEAVCRQWMADGPNNLLKKGSTQLEISTNLYKVKSDLKCDNKNYSMEYYFGNSNHDKVVDWELSLHELQLCFGVQEFLVIAPQSISGVILDSPEASKLLSAVAIALTNCSSFWPAFVPVHDPSRKAYIGIQNMGTTFTRRFEADRVGTQVPIKLMHLEGLYELFVSKFAYSSVDIPTNFFKVHFTMKLTFRILLSDDDDIRRADADMTESAEENADGTHGKVQWDDDCPWSEWYSSEDPVKGFELIASWSEKMIESSLEMAELENSSPHEAKKWILFPILSPNITDSTMGNRVGFSSQMYLLIDALDMSFQAQFMEDFVSVENPGSDNLKSSTVVPPPTVVDRVLKELFHEGKKFHYFAKGEHRNSQAIKAAPVDSLFAQFCLHVLWFGNCNIRAIATLWVEFVREVRWCWEEVQPLPRMPISSSIDLSSCLINQKLQMLAICIERKHQSIEEFQDCLGSQDPESPRDEDDGPVSEDFSLMQTPGENFDGKCDSHSSEDEFESKANLLEDSSKSEDLISFTDQKSPDSMRRGSAGIVGNMMLLNSYQIMHAPFTQDVPLMTEDMHEERLQAVEAFGDSFDFSAQLEKDILSSDMSAFKAANPDGVFEDFIRWHSPGDWEEESGPKDEDLPDSSATETSKNNWPPRGHLSKRMSEHGNLWRQLWNDAPALPVSEQKSLLDPNREGEKILHYLETLRPHQLLEQMVCTSFKAAADTLSQTNYGGLKLMKTKMEQLYTTMASVLKFLQGNRLSAESEVIDDLRRLCVVFEHVEKLMALAASLHRKLSQAPRLSEVIFKDYFDFYLPRMGTGSSGSKFRTEFNKKQLVRSHERGVISSMFIPPTASQSWRKVLSMGNLFNGHEPMLREIVFSLRDRVNGNHYADSTPTAQEEEIDTHRMYINGTANDLRVALSVTSCD >KGN64988 pep chromosome:ASM407v2:1:10679690:10681234:-1 gene:Csa_1G172590 transcript:KGN64988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDGKKVVVMMLKVDLQCDRCYKKVKKVLCKFPQIRDQIYDEKQNLVIIKVVCCNPEKLRDKICCKGCGVIKSIEIKEPEPPKPPPPKPADPPPPKKVDPPPSKKPDPPPPQKVDPPPPKKADPPPPKKADTPPPSKAADPPPPQKAADPPPPKKADPPPPKKVDPPPPKANPPPPKKVDPPPVVVPQPTPVPIPVPVQPEPYPVNMCVPVPGYPPGYPIGVCCRQCHEGRGGGPCYSGFGGPGPCCDGCASGRPIYDSYGGGRPCYVSHCEYLNEENASGCIVM >KGN66750 pep chromosome:ASM407v2:1:27247577:27249258:1 gene:Csa_1G675040 transcript:KGN66750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNITVCARFRPLSSKERRDHGDALCIQYVDSETFIFKDEKAEELTFSFDRVFYDKSEQIDVYRHLAQPIVQDALNAINGTIITFGQTGAGKTYSMEGPGVLECDAVKKGLLSRVVEGIFECTKSSDDTSKYSIKLSMVEIYMEKVRYKYICYWYDYFPAIRTSRDQISRS >KGN65909 pep chromosome:ASM407v2:1:19610111:19612225:1 gene:Csa_1G537570 transcript:KGN65909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNGCILTISFLAFSFFAVFASDQNPIQDFCVADNGTTVLLNGLTCKDPKQVVADDFSFGGLHIAANTSNALGCHVTPVTPLEMPGLNTLGISIVRIDYAPQGINPLHTHPRASEILIVLEGSLEVGFITSFPENRHIGKVLQKGDAFVFPVGLVHYQRNPGPTNAVAIAALSSQNPGVIIVANAVFGSTPNISSDILEKSFQINKQVIGYLQNKF >KGN66772 pep chromosome:ASM407v2:1:27546159:27549058:-1 gene:Csa_1G688630 transcript:KGN66772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGRVKETFENAPRRNKKHLHPLPATATTLAAAIDYCSFQQNPWRRAATRLGKGRLVCNSCDSLTPSKKGSTVSPTGSQAELAAARRIEFPTAAHSFQQKW >KGN66661 pep chromosome:ASM407v2:1:26198926:26206651:1 gene:Csa_1G654900 transcript:KGN66661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRTSTAASHSLIVASSTNASKSFSNLNRNIPRFLGFNHFSPNLSDCSCSGYVSFGWNTSSNRKFNRSRMEGFAVRASAQPLQNADELIDSVETFIFDCDGVIWKGDKLIDGVPETLDMLRSKGKRLVFVTNNSTKSRKQYGKKFETLGLSVTEEEIFASSFAAAAYLKSIDFPKEKKIYVIGEEGILKELELAGYQYLGGPEDGGKKIELKPGFLMEHDEDVGAVVVGFDRYFNYYKVQYGTLCIRENPGCLFIATNRDAVTHLTDAQEWAGGGSMVGALCGSTQREPLVVGKPSTFMMDYLANKFGIQKSQICMVGDRLDTDILFGQNGGCKTLLVLSGVTSLSTLQSPNNSIQPDFYTNKISDFLSLKAATV >KGN63956 pep chromosome:ASM407v2:1:3354454:3359606:1 gene:Csa_1G031770 transcript:KGN63956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSGGKFQLCLLTAAPSNLASSSSLFSTSLIHPRRFDNINKRFSFPHPSTPSLDCIRCCISQSQSMEINTESKQHDEVPNSLNFLSVRPYVPPSWASHLNPIPTHLSSLARLPTPIHKWNLPNLPNNTEVWLKRDDLSGMQLSGNKVRKLEFLIADALQQGADCIITIGGIQSNHCRATAVAAKYFNLDTYLILRTSKVLVNEDPGLTGNLLVERLVGAHVELISKEEYAKIGSVALTDFLKSKLVAEGRKPYVIPVGGSNSLGTWGYIEAIRELEQQLDSGNGKIKFDDIVVACGRTFSMKGCWFAHVWQHHLCCDVEAKGLGYAINTPDELNFVKEVAESTGVVLDPVYSGKAAYGMMKDMAENPKKWEGRKILFIHTGGLLGLYDKADQINSTLGKWHRLDVNETVPRIDGVGKMF >KGN66155 pep chromosome:ASM407v2:1:21500173:21500967:1 gene:Csa_1G574230 transcript:KGN66155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTMELSRITLRPFELSDVDDFMVWAGDDRVMKFIRWNVFTSKEQAHDFIRDVCIPHPWRRSICVDGRSVGFVSVYPWSGEDRCKADVGYAVAREYWGRGIATEALRMAVPKVFERFPDVVRLQAFVYTENRASQRVVEKVGFQKEGILRKYCYIKGEIMDLIVYSFLSSDS >KGN66483 pep chromosome:ASM407v2:1:24100545:24102350:-1 gene:Csa_1G613550 transcript:KGN66483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLKPLKPSISIAQFTQIHAKLLTNPKPHIFNPLLGSLVNSIFPENGLFLYNQMLRYPSSHNHFTFTYALKACCFLHQTQKGLEIHAHLIKSGHLSDIFIQNSLLHFYILDGDVSSASLIFDSIPDPDVVSWTSIISGLSKLGFEKEALSKFLSMNVRPNSTTLVTALSACSSLRCLKLGKAIHGLRMRTLNEENVILENALLDFYVRCAYLRSAENLFEKMPKRDVVSWTTMIGGYAQSGLCEEAVRVFQNMVHVGEAIPNEATLVNVLSACSSISALHLGQWVHSYINSRHDVIIDGNVGNALINMYVKCGNMEMAILIFKAIEHKDIVSWSTIISGLAMNGLGKQAFVLFSLMLVHGVSPDDITFLGLLSACSHGGLINQGMMVFEAMKDVYNISPQMRHYACMVDMYGKAGLLDEAEAFIKEMPMEAEGPVWGALLHACQLHGNEKKYEKVREWLLGSKGVTVGTFALLSNTYACCDRWNDANDVRVAMRSRGLKKMAGRSWIEMVDSTYPLR >KGN66484 pep chromosome:ASM407v2:1:24102532:24104343:-1 gene:Csa_1G613560 transcript:KGN66484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSRLTRFIPTRSSSTFDTGASQPPTFRLGRKLLIAIPLASNQFTLSFISVSSQFFGHQPARIRAFSIKDKVIV >KGN63534 pep chromosome:ASM407v2:1:592299:594662:-1 gene:Csa_1G003520 transcript:KGN63534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIVGCLPNISLTSITQFPENPKSLILQQCKTPKDLQQVHAHLLKTRRLLDPIITEAVLESAALLLPDTIDYALSIFNHIDKPESSAYNVMIRGLAFKRSPDNALLLFKKMHEKSVQHDKFTFSSVLKACSRMKALREGEQVHALILKSGFKSNEFVENTLIQMYANCGQIGVARHVFDGMPERSIVAWNSMLSGYTKNGLWDEVVKLFRKILELRIEFDDVTMISVLMACGRLANLEIGELIGEYIVSKGLRRNNTLTTSLIDMYAKCGQVDTARKLFDEMDKRDVVAWSAMISGYAQADRCKEALNLFHEMQKGNVYPNEVTMVSVLYSCAMLGAYETGKWVHFYIKKKKMKLTVTLGTQLIDFYAKCGYIDRSVEVFKEMSFKNVFTWTALIQGLANNGEGKMALEFFSSMLENDVKPNDVTFIGVLSACSHACLVDQGRHLFNSMRRDFDIEPRIEHYGCMVDILGRAGFLEEAYQFIDNMPFPPNAVVWRTLLASCRAHKNIEMAEKSLEHITRLEPAHSGDYILLSNTYALVGRVEDAIRVRSLIKEKEIKKIPGCSLIELDGVVHEFFSEDGEHKHSKEIHDALDKMMKQIKRLGYVPNTDDARLEAEEESKETSVSHHSEKLAIAYGLIRTSPRTTIRISKNLRMCRDCHNATKFISQVFERMIIVRDRNRFHHFKDGLCSCNDYW >KGN66650 pep chromosome:ASM407v2:1:26049043:26049237:-1 gene:Csa_1G653310 transcript:KGN66650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVYHSSPNFLYCRYGRFRPWCFPLSRKVKGWQMLWWHYAQQSVLLDVRKRLKKCSWRYLGQRL >KGN66584 pep chromosome:ASM407v2:1:25231787:25232107:-1 gene:Csa_1G632360 transcript:KGN66584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPSLSNAQLSLQGKRREGYIRPFLSFFSSVGRSFSFRVHRSPTSSSSSFVEFVSLSSVATHVSRFLSTSCHMHMHQLDPSQSSSKLEWLASIPSCAFWPPTTNL >KGN64405 pep chromosome:ASM407v2:1:5924268:5924680:1 gene:Csa_1G050440 transcript:KGN64405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAQYVLISFNVQRRSPLQELAILALECLASKSTICSIWAMKSLESENIKLEIKVDPSFTDLPASHSFCAPSSPPPSSSSEFTIPQKPNSDYCKIALNPKATAEVA >KGN63929 pep chromosome:ASM407v2:1:3103279:3107169:1 gene:Csa_1G028070 transcript:KGN63929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSLARSDRKFKRLESRKSHSWWWDSHVSPKNSRWLTENLEEMDRSIKRMLKLIEEDADSFAKKAEMYYQKRPVLISHVEEFYRMYRSLAERYDHVTGELRKNIPSDLQSQGSGISDLGSEPPSTWPSPDQRLGRRKSGPRAAGFDFFLGSGGSNSDTCQKEGDESSSLTESEPESDDSSVNNYSGGDQGLNRKMIELEIELREAKEKLRMKADNAEGSFPFKGAADENSDYVFARIAGYEEELRNANEKLRISDVQIMRLKSELQKYRESVMTKGLQVESLSDTMEETQRHEDGVPLVINQESEVDEHHRGSGADHAITVEGLVEEQKITKERLEISQKELSKLKLELENNRSPEKICHLQNELEAARKDTTTWKAKLSAERREVSKLQERISRLKASLSDRDHEIRDLKLAVSDAEQKIFPEKAQVKAEMSKLLEEQTVLMEQVRESEHRARLLEDEIRKIKGEKVDLEERLNGEIERLETTIVEKVECMEYFKNGLNDLESERDQLQDEIVALKEKLRSKDKQVDDIRKHVEKLERERVELVSGIDKADKVAEKLRLREKELEGEVEKQRILIMEGAEEKREAIRQLCFSIEHYRSGYHMLREVFIGQKRVPVLAS >KGN64848 pep chromosome:ASM407v2:1:9393136:9393604:1 gene:Csa_1G132090 transcript:KGN64848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHCCNSTCRLPSPVIVTYQITVDTGLHGTRCSLNVRVLSVKPTFLHSPFVEAPPKANLPSSMLPLAIHLYPELRHCSPTAEPNSLTQVVSFSPKLCASFYFLSSVQAISKLILLPFSQEQVVTSS >KGN63541 pep chromosome:ASM407v2:1:620814:621020:1 gene:Csa_1G004070 transcript:KGN63541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLNFGYQPIRSSNLKALTHSYWALKPIIIQTDRIVSFQFVLISKYEPFFFVFALWILNNSNRNSQKL >KGN64220 pep chromosome:ASM407v2:1:4798417:4801657:-1 gene:Csa_1G043230 transcript:KGN64220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKTFEDGGQNLSAIETNPSKGIYGNENSESNIACMTPEKADEYLKGKLKEDGIKLQEKCRAIVEFFTCFTSSLRLLKMRKRMSTFHNVSGQVSIMTKRMFLDKHLAQILYIIPEAVNIDKVMIHDKKTLCMKPEMIINLQLDVVKGHSEHSDFLALHKVFASRVSKYFAMHPEKSEVPEGELPWPFNRRKIFSLDQLFDNSSARSRPISIDSDSDLTLEELCPHLSFKRHFSKKFISSIEISELVASSIVVSPSIPAKLLEDIPTISPQVATANSSDSPLVKSVMSSASSSIMTQTPVQLTPKRSMLPSSEVKTRKPASVGSVCKPAKRFLNFSGMESDNGKSSLGVDGLQCYEIPGKKNFLDEHIQKKNYTSKEVISQESSSCLPELVCVVYNIFKSVNCSSITKEELVHKIIMNCLDITERREVEERIEQLEKLVPNWISKKLTISGDVTFSINTKEDLESVVAKTARI >KGN66451 pep chromosome:ASM407v2:1:23830894:23837640:1 gene:Csa_1G611280 transcript:KGN66451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKQSHSCLSFVLLVVLIVIAKANGEPSHIPIDVVRRSSFPKGFVFGTASSAYQFEGGAFEDGKRPSIWDNYTHQHPEKIYDHSNGDVAVNQYHRYKEDVALMKKMGFDAYRFSIAWSRVLPKGKLSGGVNKKGIQYYNNLINELLAKGIQPYVTLFHWDTPQALEDEYGGFLGHQIVNDFRDFAEVCFKEFGDRVKHWITLNEPWSFAMGGYAQGALAPGRCSSWQPFNCLGGNSGTEPYIVGHNQILAHAAAVKVYKTKYQAHQKGVIGITLVSIWYTPYSNSEADKKAANRSLDFALGWFLHPLTYGDYPPIMRELVKERLPKFTRAEAALIKGSMDFLGLNYYTTNYAKDNPKAPGPQPSYLTDYRADLSTDRNGVSIGPKFNATSWLAVYPKGFKDLLIHTKTKYKNPIIYITENGYLDIEGPPLKEMLMDRRRVKYHHDHLMALKEAMQAGVRVKGYFAWSFLDNFEWASGYVIRFGLTYIDYKNNLKRIPKLSAKWFENFLKN >KGN65782 pep chromosome:ASM407v2:1:18468077:18470302:1 gene:Csa_1G528470 transcript:KGN65782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRKRFQEAKTGMQLMKSMRAERFLKKVGLGREDRYFWKQVGKALLCTYTLIGVAWLYNETSPFGWWTLKPRSKAEKDLAHLYERREFPYPGDEEAMEDFIVKGGMIGTAIGPKGILDFDKDSYNYQKELQNTKLEQEAQKLWFRMRNEVISELQEKGYDVE >KGN64050 pep chromosome:ASM407v2:1:3819526:3823086:1 gene:Csa_1G039160 transcript:KGN64050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAALRREGRRLATVISPQPITALRSSLLYEEQAPLGVRSISTQVVRTRMKSVKNIQKITKAMKMVAASKLRAIQVRTENSRGLWQPFTALLGDNPSVDVKKNVIVTVSSDKGLCGGINSTSVKISRALRKINSGPEKETKYVVLGEKAKAQLVRDSKKDIEIIFTELQKNPLNYTQVSVLADDILKNVEYDALRVVFNKFQSVVSFLPTTATILSPEIVEREAESGGSLCDLDSYEIEGGETKAEILQNLAEFQFSCVLFNAVLENACSEQGARMSAMDSSSRNAGDMLDRLTLTYNRTRQASITTELIEIISGASALEG >KGN65044 pep chromosome:ASM407v2:1:11166020:11169584:1 gene:Csa_1G181570 transcript:KGN65044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENVTLEEYLATAVDAAKKAGEIIRKGFYLTKNIEHKGQVDLVTETDKACEDLIFNYLKDHYPSHKFIGEETTAAYGHTELTDEPTWIVDPLDGTTNFVHGFPFVCVSIGLTIGKVPTVGVVYNPIIDELFTGVRGKGAFLNCRPIKVSSQDELLKSLLATEVGTKRDKLTVDATTDRINRLLLKVRSVRMSGSCALNLCGVACGRIDIFFETGFGGPWDVAGGAVIVTEAGGLMFDPSGKDFDITSQRVAASNPLIKDAFVEVLKL >KGN64483 pep chromosome:ASM407v2:1:6416276:6420849:1 gene:Csa_1G058660 transcript:KGN64483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSGQTSHTEDDDISSDSNGEEEPTTPLTPQSPNAPPAAEVVVDIDDGEGDGDQGHGEGEEGEGEDEDEEEQENEDEEEESNSDAMPRSSMEGNRSHTGTPTPDGSHNTTDSSSDGGVEPEPNPNPNPPAENQSSILYNTRKKKGLLPVHVVLYQSAIKGDWKTAKSIFDVDSSAITMKITDGEDTPLHIAAAAKHISFVENLVKEYSSPSDLAIKNGNGDTALAFAAASGVVRIAKVMVDNNAELPNLYNANKPFPVLMAVAYKRKEMASFLLSKTDFQKLNNFEQIELLIAAISSDYYDIALDILTKKPELAKARMGLKETGGNWSENPEGETALHILSRKSDVIGSSSNLSFWRRHMNSRS >KGN66000 pep chromosome:ASM407v2:1:20480178:20480600:1 gene:Csa_1G560820 transcript:KGN66000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQLRPTSCRNKLSSPPKKLQGLEFLANIDGHGECVQARTTKDFVGLIPSLLLELGSFGQQFHLTSKVPLASSRFATAGACSSNVRAMTPLSYRFNVYGAKRFPIYLSILFVVNGHKYNQF >KGN63611 pep chromosome:ASM407v2:1:1137074:1138303:1 gene:Csa_1G005720 transcript:KGN63611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVRGHHHFPPLPISYNFSHPQPHLHFPPFFIPPHLHLPQSVIVLLLIPSDHQTLHKPFLHCSGTEEAGFPPPPSSSAMASFPPPASLFVIILFAVPFLVESQLSLDYYQKTCPDFAKIVHETVTLELSCPGIVSCSDVLAQATRDLIAITGGPSYNVPLGREDSLVSKSSEVEGNIPKMNQTIDELIKLYTAKGFTIQEMVALYGGRTIGFSNCKEFGDRIFKFSKSTPTDPEIHPKFAEALKKSCEGYEKNPGMSAYSDVVTPGKFDNVYFQNLLKGLGLSASEHAMVKDARTRKFVEMYAGNQALFFKDFSSAMEKLSVREVKTGGKGEVRRKCDVFNSIQT >KGN63514 pep chromosome:ASM407v2:1:506451:509905:-1 gene:Csa_1G002830 transcript:KGN63514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVTSSMAAKFAFFPPNPPSYKILKDQPTGLLLLDPFPHRENVDVWKLPTRKGNEIVAVYIRYPMATSTLLYSHGNAADVGQMYELFIELSIHLRVNLLGYDYSGYGQSTGKPSEHNTYADIEAAYKCLEEKYGAKQEEIILYGQSVGSGPTLDLAARLPRLRAVVLHSPILSGLRVMYPVKRTYWFDIYKNIDKIPYVKCPVLVIHGTSDDVVDFSHGKQLWELCQEKYEPLWLKGGNHCDLELFPEYIRHLKKFVTTVERSPSRRNGSRKSTDRMEQSRRSTDCFEAPRRSTDRREKPRKSVDRLDKSRPQGYKFNNIEKLEKLKISIDQVERSRRSVEFYEKPRRSIDQQFEKARKSVDWLDRIRAG >KGN64581 pep chromosome:ASM407v2:1:6956441:6958479:1 gene:Csa_1G066500 transcript:KGN64581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLRSNFVTLLPLFLLLVSNAKGQSAAGGGVFDVTSFGAKPNGDITGVRTLKLNFITNSIVKDITSLDSKNYHINLLGCKNLTFDHVTITAPENSPNTDGIHVSSSEQINILNTNIATGDDCISVGDTNKQIVISDVTCGPGHGISIGSLGKYTKEKEVVGVTVKKCKLTSTTNGVRIKTWPDSVGTFPATDMHFEDIEMDNVSNPIIIDQEYCPWNQCNRKENKILRSVVNLHQLMVHQPIEVL >KGN64893 pep chromosome:ASM407v2:1:9798018:9801359:1 gene:Csa_1G145950 transcript:KGN64893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVESITPEKQNNTLGSRKRLSCTTCFDALWFCYSPVHQMQQYYRVGVFDNCSNKWTALVDCLTLKTKRASEVQEILESREKAKSHIWTFRTPEEASSHWKELFGHLEEIE >KGN65576 pep chromosome:ASM407v2:1:16569846:16577964:1 gene:Csa_1G461020 transcript:KGN65576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSTSPLCSSTSFFHSFPNISILNRCSQLFRRRLPLTCKGSASLILQLPLYHLHSFAHSQPSRRRVASSEILETVEAPSGFVEIGYISNVHGTEGEVRVKPITDFPELRFSTPGTRWLKQQVSGREEIREVDLEDGREHPGRKSWILKFIGIDTADQAKLLIGSTLLVKEEDRPELEEGEFYSRDLVGMSVILKETSQSLGTVVNVFDSGGADLLHVMLNSSEDILDTSGNPTSIETRVSGRLIWIPFVEAIVPIVDLQRREMKITPPKGLLELNFQSDERSKKERRQLEWKERKKFQKCLVAAKKKLSEMEQEHVFDGFKFGEKDQGKLLADQIVGINSKLLQKALQDIEIPTRRLTVNELFDASKLVKVQNTLGISDRCVISGANEEELGTHANLQKNGLDLISSGKIAIVLVVNDEGWYSNLGLVCDIADHPASSSLPDLLSDDRRFAKIEDRPYVPLILVCSASTIHSIEKLFVDNDYFAFDSEKIWFLKEERLPVVSNVVDEQSKFKILMKSPWEILQSPVGSGGVINLLSSPNILERLTELGMEYVEICSSNHRNAGMNSLLLGYTHSCSANVGIQLHKGNGDFEKSFDLIFSMNFIRKLMKQIDKPQFYAIPKSNAHVEKVEKEWVELSPSSPNSYQLYSSIFSWLDECSFDEIFTMENS >KGN65242 pep chromosome:ASM407v2:1:13048526:13051476:1 gene:Csa_1G269350 transcript:KGN65242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPCSREMQSLNSLLNHSQISLQDLHADHHLNPPPPQIPPSHFHHFDPSAASNDDFLEQMLNTIPSCSWPDLNPSNPKSPWDLNPINKPSRDISDDPHQNHLTATSPAAKAAVMLQQQLLLSRGMSGSAGNGVADHGLPPMPLSLGNADLDRSQNDVVDGSCFRPPNSGGSLQSNSFGAPGNVMNQTPGGGSAGVSQSQPKQKVRARRGQATDPHSIAERLRRERIAERMKALQELVPNANKTDKASMLDEIIDYVKFLQLQVKVLSMSRLGGAAAVAPLVADVSSEGGGECMQGSGAQAGGRNSNNNGNGGNQTASTNDSMTVTEQQVAKLMEKDMGSAMQYLQGKGLCLMPISLATAISTSTCHSRNPLMNGGGGGGGSQHPVMGSNGEGPSSPSMSVLTVQSTSMGNGSVKDAASVSKP >KGN64446 pep chromosome:ASM407v2:1:6175250:6176335:1 gene:Csa_1G051830 transcript:KGN64446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPALPTSGNFNNSRSISGKLEFIVSTYSPDNAECAEKEKQISVDPISLRESSAREDIMVDPLTAPDVADLHLPPPLPPTQFKFLSYSLPNSANSSPQIGLIKKKGKFENQVSLLKVSNSTKLNSSVHDIQSTPQEDAQFRRSKSCGEGRASAPADDLDLWLNKAKLPETKSYDDGFSKTESNKKLEAPDDGFNCGALCLFLPGFGKGKSVKSIRKEEETTEVEKVRISKTEIGSVISRTVSLEKFECGSWASSVLPNEPGEDEAGNSLFYDLPLELMRSSVDANAPVNAAFVFDKDHKGVMKNNSSTKVVQKSHESTSHRARFSASSPSSGPSSPASCITPKLRKAREEFNAFLEAQSSA >KGN66629 pep chromosome:ASM407v2:1:25769117:25774659:1 gene:Csa_1G651140 transcript:KGN66629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLEREVLVGLLLLSFCLPGFCNLVFEVQHKFKGRERSLNALKSHDVRRHGRLLSVIDLELGGNGHPAETGLYYARIGIGSPPNDFHVQVDTGSDILWVNCVGCSNCPKKSDIGVDLQLYNPKSSSTSTLITCDQPFCSATYDAPIPGCKPDLLCQYKVIYGDGSATAGYFVNDYIQLQRAVGNHKTSETNGSIVFGCGAKQSGELGSSSEALDGILGFGQANSSMISQLAATGKVKKIFAHCLDSISGGGIFAIGEVVEPKLKTTPVVPNQAHYNVVLNGVKVGDTALDLPLGLFETSYKRGAIIDSGTTLAYLPDSIYLPLMEKILGAQPDLKLRTVDDQFTCFVFDKNVDDGFPTVTFKFEESLILTIYPHEYLFQIRDDVWCVGWQNSGAQSKDGNEVTLLGDLVLQNKLVYYNLENQTIGWTEYNCSSGIKLKDVKSGEVYTVGAHKLSSAESLLVIGRLLPFLLAFTLFFIH >KGN65760 pep chromosome:ASM407v2:1:18354032:18354573:-1 gene:Csa_1G525310 transcript:KGN65760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVVPRRRSTSTRIHILALDGIVNVNSLFTFAVFLGVAWYPTANPAANLLPDDDDGPCAAADSVAENLIACHVYSFSCFLFSSLIASALKQAIRLITGGDGGQGETHAPALRVGMMASAVGSVLGCGFLVAALLNLIQIKLGVFGCRRWETVAAAVPLVSLVPLALFIYIALVIHAFTR >KGN65883 pep chromosome:ASM407v2:1:19332314:19335124:-1 gene:Csa_1G537330 transcript:KGN65883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSINNEIADIDFNVVNPLDPEEFRIQAHKVVDFIADYYKRIEQFPVVSQVVPGYLQKSIPQDSVPNSPESLESILQDVRRYVVPGITHWQSPNFFAYFPASNSTAGLLGEMLGTAFNVVGFNWLSSPAVTELEMLVLDWFGEMLNLPKAFMFSGGCGGGGVLQGTTCEAILCTLVAARDMKLKEIGREKMSKLVVYGSDQTHMSLQKALQVAGFSLENFRVIKTTKSESFGLSPTSLKMAIQSDIQKGFVPLYLCATIGTTSTNAVDPLDSLCDIAQQNGIWVHVDAAYAGSACICPEFRHFMNGIEKANSFSLNAHKWFFSAPDCCCLWLKDSSALRNSLSVNPTYLKNRATDSGEVVDYKDWQITLSRRFRAMKLWVVMKSYGVANLRKFLRSHVKMAKIFEGLVERDERFEVAVPRNFGLVCFRLSLDEDQKSNMINLKLLEGINKTGRVYMTHAVVEGMYLIRFAVGGTMTEERHVVMAWKLVQEVAEKVLEGV >KGN66100 pep chromosome:ASM407v2:1:21172226:21175317:1 gene:Csa_1G570740 transcript:KGN66100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEAVVFPQDPFVYKDHLFMNLVNSSSCLNFIDNHQANDQDDHDFYYLPNLSVQNNSPIFLDDDHYNFNDESVRQVVDSTLMTSEMKDGGGSERMGRRRQQRRRGKTQKNKEEIENQRMTHIVVERNRRKQMNEYLSTLRSLMPHSYVQRGDQASIIGGAINFVKELEQQVHLLSAQTYHVNPFFPSHVPPNNNIIPSSSSSFPFSQYPSFTFPSSSSPSSSSSSFSLDDNNGSTIVAMTTSNNLNNLNSVIQTSIGDIEVSMVDSHANLKIRCKKLPKQLLKIVSGLHSLHLTVLHLNVSTAHPFVLYSFSLKVEEDCGLSSVDEISNGVYQLLCRIQEEAFSN >KGN64905 pep chromosome:ASM407v2:1:9933186:9935009:1 gene:Csa_1G153010 transcript:KGN64905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKVKTSKIKYPNGWELIEPTLRELDAKMREAENDPQDGKRKCEALWPIFKISHQRSRYIFDLFYKRSEISRELYEFCLEQGYADANLIAKWKKPGYERLCCLRCIQPRDHNFGTTCVCRVPKHLREEKVVECVHCGCRGCASGD >KGN65946 pep chromosome:ASM407v2:1:19986058:19988343:-1 gene:Csa_1G541390 transcript:KGN65946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVEVVKVEGASIAAMEVPEEPTKVVIEEEGVRDEKCGVKTVEDQVLKPTVIEKSSSYKEESNHLSDLKEFEKKALVELKSKLEEAILGNNLIKEDEPVTKETETEKKPQEEEEEEKEESNPSDEQTQKINEEKNTCDEKSDVEKEVFLWGVPLLPSKGTDSTDVILLKFLRAREFKVNEAFEMLQKTLSWRKKSNIDSILKEEFASDLESAALMNGVDHEGHPVCYNVFGVFENEELYQKTFGTEEKREQFLRWRCQVMEKGIQKLDLKPGGVSSLLQINDLKNSPGPAKKELRIATKQAVGILQDNYPELVAKNIFINVPFWYYALNALLSPFLTQRTKSKFVVARPAKVTETLLKYIPAEEIPVQYGGFKRDNDCEFTAEDGAVSEINLKAGSTASIEIPAPLGESNLVWDLTVVGWEVNYKEEFVPTDEGSYTIIVQKGKKMSGNEEPVRNSFRNSEPGKIVLTVENFSNKRKRVLYRFKTKKIE >KGN64386 pep chromosome:ASM407v2:1:5809522:5813435:1 gene:Csa_1G050250 transcript:KGN64386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKIKIGINGFGRIGRLVARVALQRNDVELVAVNDPFITTDYMTYMFKYDSVHGQWKHEDVKVKDSKTLLFGEQAVTVFGIRNPEEIPWGETGAEYIVESTGVFTDKDKAAAHLKGGAKKVIISAPSKDAPMFVVGVNEKEYKPDLDVISNASCTTNCLAPLAKVINDRFGIVEGLMTTVHSMTATQKTVDGPSMKDWRGGRAASFNIIPSSTGAAKAVGKVLPALNGKLTGMAFRVPTVDVSVVDLTVRLEKPASYEDIKAAIKEESEGNLKGILGYTEDEVVSTDFLGDNRSSIFDAKAGIALNKNYVKLISWYDNELGYSTRVIDLIVHIASVN >KGN64750 pep chromosome:ASM407v2:1:8256645:8258420:1 gene:Csa_1G086930 transcript:KGN64750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLLLRIRIHFSTNSIDHLFNSNPSYPHFVCFRRFSIHSWWLNNTHHYNLPHFLRVSQIHPYSGPNLSFTNFLLKFYSRAAPSRSFRKRANKRLKSSLKPKLDETQFQLAVSKIPPRFTSEELCNVISLQRDPLVCFELFNWASQQPRFRHDDSSYEITIKKLGEAKMYEEMDHVVNQALAVSSIGSETLYNTMIYFFTEARKLTRAVNIFKHMQNNRNLNCRPSIRTYNLLFTAFLSRGRNTYINHMYMETIRCLFRQMVNDDGIEPDIFSLNCMIKGYVLSLHVNDALRIFHQMGVVYSCLPNSYSFDYLIHGLCAQARTDNAKELCNEMKEKGFVPSSISYNSIVNALALNGEVEDAVNYLWEMIDNRRSPDFITYKTVLDELCRQGKVVEATSLLRELQEKDLVDGHTYRKLLYVLEDDYGNLN >KGN64296 pep chromosome:ASM407v2:1:5224806:5226152:1 gene:Csa_1G045940 transcript:KGN64296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRFLNEMKQKDGYLIYPSPLSPFDGGTDPKSNDSTPSPSSSSSFSSISPILLLVIVILAVIFFISGLLHLLVRFLLKRSSPSIYQSNRYAERPGSHTLQRQLQQLFRLHDSGLDQTFIDALPVFLYKDIMGLKEPFDCAVCLYEFSDQDRLRLLPICSHAFHISCIDTWLLSNSTCPLCRATLLGSSFPSENPNLNEIFGQEHNYHRQPENTVSGNHQKRVTTTMEESAGEMRVLSVRLGKFKKLNNEEEEEEDDDDDEIEEKGESSSQNNLNARRCYSMGTYQYVVGESDLQVMKEKLNIENVRGNGEMDGKKISGRSKGESFSVSKIWQWSKKSELPITSSSNSEWKTAVV >KGN63989 pep chromosome:ASM407v2:1:3513474:3519051:-1 gene:Csa_1G033090 transcript:KGN63989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSGQWLEKALDDLCKKMETGWGLDKDMISGLVSYCELAQPQDAKEYLDNIIGQEVGKSVINEYLRLRGHSDLCSKTLDVPTSTLHTYVKPPSHEVSFGGSKKPVKTPKTISISSKEIEPKKATTSSNVESQVSSDTRNSSSGKGNQSSSRKKKATKVVSLAEAAKGSIVFQQGKPCSCQARRHRLVSNCLSCGKIVCEQEGEGPCSFCGSLVLREGSTYAGMDEGFTPLSDAEAAAEAYAKRLVEYDRNSAARTSVIDDQSDYYQIEGNSWLSNEEKELLKKKQEEIEEAERAKRNKVVVTFDLVGRKVLLNEDDSSELESHTNIMRPADEREVNRIKPNPSLQIHPVFLDPGPREKSTKDRNSNKAVGKKGICLEITGRVQHDSNELKHLMMESV >KGN64622 pep chromosome:ASM407v2:1:7230584:7230879:1 gene:Csa_1G071850 transcript:KGN64622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDPTLKQEPMLEGPLRDHHHHHHRRRHHSESSSNQTAVPVVLSKAEQLKRAEESLRTVMYLSCWGPN >KGN64518 pep chromosome:ASM407v2:1:6644442:6646178:-1 gene:Csa_1G062930 transcript:KGN64518 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase gamma chain MSCSNLTMWVSSKPTVSDASSLSFRSFLSPFQLPSQNSTPARSWSVTPIHCGLRELRDRIDSVKNTQKITEAMKLVAAAKVRRAQEAVVNGRPFSEALVEVLYNINEQLQTEDVDVPLTKVRPVKKVALVVVTGDRGLCGGFNNSIIKKAEARIKELKALGLDYTVISVGKKGNSYFLRRPYIPVDKFLEGGTLPTAKEAQAIADDVFSLFVSEEVDKVELLYTKFVSLVKSDPVIHTLLPLSPKGEICDINGVCVDAAEDEFFRLTTKEGKLTVERDSVRTSTSDFSPILEFEQDPVQILDALLPLYLNSQILRALQESLASELAARMSAMSNATDNASELKRTLSIVYNRQRQAKITGEILEIVAGANALT >KGN63618 pep chromosome:ASM407v2:1:1169141:1173256:1 gene:Csa_1G006280 transcript:KGN63618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTEEKVVAVIMVGGPTKGTRFRPLSLNIPKPLFPLAGQPMVHHPISACKRIPNLAQIFLVGFYEEREFALYLSSISNELKVPIRYLKEQKPHGSAGGLFHFRDLIMEDSPSHIFLLNCDVCSSFPLPKMLDAHKSYGGMGTILVIRVSAEAAHQFGELIADPITNELLHYAEKPETFVSDLINCGVYIFTPDIFSTIQGVSTQRKERANLSRLSSFEALQSATTRSLPKDYVRLDQDILSPFAGKKQLYTYETMDYWEQIKTPAMSLKCSGLYLAQFRVTSSNLLASGDGMKNAIIIGDVYIHPSAKVHPTAKIGPNVSISANARIGAGSRLISCIILDDVEIKDNAVVIHAIVGWKSSIGRWSRVQADGDYNAKRGITILGEAVVVEDEVVVTNSIVLPNKTLNVSVQEEIIL >KGN63650 pep chromosome:ASM407v2:1:1359004:1363226:1 gene:Csa_1G008560 transcript:KGN63650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTTATPLETEKHVEEAQRLNLWEDYVDWRKRPAVKGRHGGMLAASFVLVVEVLENLAFLANASNLVLYLSKFMHYSPSESANIVTNFMGTAFLLALLGGFLSDAFFTTYSIFLISAAIESLGLVILTLQADVPYLKPSRCVSNAVGNGNPCHKVEGGEAAMLFAGLYMVALGVGGIKGALPPHGAEQFDETSFEGRKKRSVFFNYFIFCLSCGALIAVTLVVWMEDNKGWQWGFGISTLTILISIPIFLLGSPTYRIKTPAGSPITTIFKVLVSAAFNNRKKKTRNNVVMNISTSSVSSDTTTDIIADEQRQTMEKESDPSPIQTQAMEFLNKATIDNPSHPQLNCTVKQVEEAKIVLKILPIFTSTIMLNCCLAQLSTFSVQQAATMNTKLGSLKVPPASLPVFPVLFIMILAPSYNHLVLPVARKLTKSEMGITHLQRIGTGLVLSTVAMAVAALVETKRKNLAIKTHKLDSPDPLPITFLWVALQYLFLGSADLFSLAGMMEFFFTEAPLSMRSLATALSWASLAMGYYFSSVLVALVNGVTKACGLRPWLSGKSLNHYHLERFYWVMCILSGLNFLQYLFWASRYTYRSINGGEQSLKDQPYKSDLVEEREV >KGN65880 pep chromosome:ASM407v2:1:19276657:19282070:-1 gene:Csa_1G536820 transcript:KGN65880 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor 4 MRLSAGGFSPQAPEGERRVLNSELWHACAGPLVSLPAVGSRVVYFPQGHSEQVAASTNREVDAQIPNYPSLPPQLICQLHNLTMHADAETDEVYAQMTLQPLSAQELKEAYLPAELGTPSRQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFSMQPPAQELIARDLHDNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDAVLFIWNEKNQLLLGIRRASRPQTVMPSSVLSSDSMHLGLLAAAAHAAATISRFTIFFNPRASPSEFVIPLAKYVKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDPVRWQNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSPFPLRLKRPWPTGLPSFGIKDSDLGMNSPFMWLRGDNSDRGIQCLNFQGAGVSPWMQPRLDPSMMGMQSDMYQVMATAALQEMRAIDYSKISPASVLQFQQPQSLPCQSSTLMQPQMLHQSQPQQAFLQSVQENQQHSQPQSQTQSHHLQPQLPQQSFNNHSQQHQQQPRQTQPLDHQQIPSSIPAISQFASCSQSQSPSLQTVPSLCQQPSFSDSNGNPATSPTVSPLHSLAGSFVQDDSSQLLNLQRAHSVIPSAGWPSKRAAIDPLCTGASQYFLPQVEMLGTQQSSISQNTVALPPFPGRECPIDDREESSDPQNHVLFGVNIDSSSLLMQNGMSTLRGVCNDSVSTTLPFSSNYMSTAGTNFPVNPTMTSSNCIDESGLLQSHENVGQVNPPNGTFVKVHKSGTYSRSLDITKFNSYPELRSELARMFGLEGELEDPLRSGWQLVFVDRENDVLLLGDGPWPEFVNSVWCIKILSPEEVQDMGKRGLELLNSVPIQRLSNSTCDDYGSRQDSRNLISGIASVGPLDY >KGN66018 pep chromosome:ASM407v2:1:20607223:20614272:-1 gene:Csa_1G561980 transcript:KGN66018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFDQTVAEPLDPIDQTHLAWKITVHSLSDLSYISPVVFLYLLKECYIRGTLKATKKFRFLQQQVHLVLHNGPQPGPATFVIRCLYVLPIFGLYSEGFSHLITSALQRFLKVVITPADLDEAKDLAAQLFIDIVGGFIAHDDRIVVKIIQIFDVQLSDVEKVMFESKARNRCSSDSAKDFVEQYVSELLETQGYATAVDVLEHFSIHQSGQSLLYGMLQNNEFKAAEKWATFMGKQMLHLLVQELINRNKLKSAYGVIKKNDLQKEFPDVYQKCKESSLKNLAEKGCWDVAEAKANNNRQFLEYLVYLALEAGYFEKVDELCTRYSLTGFLNIKEREGSYEQKLPNHYLDLNQLIDGNILWIDNADALHRATCHIEECKVVGIDCEWKPNYIKGKKPNKVSIMQIASEKMAFIFDLIKLYDDVPDILDNCLTRILQSSSILKLGYNFLCDVKQLSHSYESLKCFKHYEMLLDIQNIFDHSGGLSGLAQKVLGAGLNKTRRNSDWEQRPLTVNQLEYAALDAVVLVHIFQHVRDQSQPSTTTEGETRLERKSFIVSHMDNSSKLKKKKERSKKEAEVVTKL >KGN66453 pep chromosome:ASM407v2:1:23864338:23868698:1 gene:Csa_1G611300 transcript:KGN66453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFKHTFFLGLVFLISLIVSEAARQPSSPIPIIRKSNFPKDFVFGSSSSAYQYEGAVDIDGRKPKRIADGKNGDIAVDEYHRYKEDVAIMKRIGFGAYRFSISWSRILPKGKLIGGVNKKGIDYYNRLINELLSKGIQSYVTIFHWDVPQALEDAYQGFLSPKIINDYQDFAELCFKEFGDRVKHWITFNEQYVFIINGYGVGAFAPGRCSSWQPFNCLGGNSGTEPYIVGHYQILSHAAAVKIYKSKYQAHQKGEIGVTLFSNWFVPYSNSEADRNATVRALDFQLGWFLNPVVYGDYPASMKALVKDRLPKFTKEETKLINGSYDFIGINYYTSNYAQNNPNVDPSKPSLLTDLRANSSTDRNGVSIGPKVNASSWLAVYPEGLKDLMIHIKNHYKNPNLYITENGYLDFDTPEVYKLIRDEGRVKYYRQHLSKLSESIKAGVRVKGFFAWSLLDNFEWSSGYTMRFGLVYVDFKHRLMRFPKLSAKWFQNFLRS >KGN64600 pep chromosome:ASM407v2:1:7112508:7115042:-1 gene:Csa_1G071140 transcript:KGN64600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLISRCNLCAFLFLCAIIALFSKNSSATDSIKAGEFINASTQILVSAKQKFVLGMFNPKDSKFHYLGIWYNNIPQTIVWVANRDKPLVNSSAGLTFNGGNLILQSERDEILWSTTSSEPAENQIAQLQDNGNLVIRSWSENYVWQSFDYPTDTLLPGMKLGWDSKTGLNRTLKSWRNQNDPSSGEFSFGIQLDGLPQLVLHKGQVIKYRTGPWFNGRFSGSDPLGDTAVYSTKFAYSAGEVAYSYEAISSLDIIFQLNSTGILLILHWDDGKKYWHLKYTLANDPCDQYGLCGNFGYCDSLTVNCNCLDGFQPKSRDDWEKFRWSDWCVRKDNRTCKNGERFKRISNVKLPDSSGYLVNVTTSIDDCETVCLNNCSCLAYGTMELSTGGYGCVTWFQKLIDITTVPAWNGQNLYLRVAADSVDSWKLIVGVTVSVASLIGFLVIVIGEGGFGPVYKVFFPTISLLLTNNNNKCYTLQGKLSNGKKIAVKKLAEDDKKRSLLKWKKRLDIIIGIARGLLYLHRDSRLVIIHRDLKVSNILLDNKMNPKISDFGMARMFAEDQTITKTKRVVGT >KGN64933 pep chromosome:ASM407v2:1:10256597:10259332:-1 gene:Csa_1G164670 transcript:KGN64933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFFNRFTSYSNSSSNSNSNSHAPSAPSPPSAPETQGQEHSHATASPYHSEQNQPQPYGFNYGGVSSYGSYGFPPGTSPEVIRSFQMVDRDRSGFIDENELQQALSSGYQRFSLRTVRLLIFLFRNPIDSSRMGPNEFTALWNCLGQWRGMFERYDRDRSGRIDALEMRDALYGLGYAVPSSVLQLLISLYDDRSGQQVEFNFDSFVECGMIVKGLTEKFKEKDRNYTGSATLTYEDFMSTILPFLVSYS >KGN64659 pep chromosome:ASM407v2:1:7467138:7470203:-1 gene:Csa_1G073670 transcript:KGN64659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEINHNGEDQQQIKPTTDQVKKVDLNDWLPITQSRNGNWWYSAFHNVTAMVGAGVLGLPYAMSQLGWGPGVAVIILSWIITLYTLWQMVEMHEEVPGKRFDRYHELGQRAFGEKMGLWVVVPQQLMVEVGVNIVYMITGGNSLKKIHDLACPDCKPIKTTYFIMIFASVHFFLSHLPSFDSITLVSLAAAVMSLSYSTIAWAASAHKGVVPDVSYGHRATTTAGNVFNFLSGLGDVAFAYAGHNVVLEIQATIPSTPDCPSKKPMWKGVVVAYLVVALCYFPVAFVGYLVFGDSVQDNILISLNRPVWLIIAANLFVVIHVIGSYQIFAMPVFDMLESFLVKQMKFQPSRCLRFVTRTTYVALTMLVAMTFPFFGGLLSFFGGFAFAPTTYYLPCIMWLAIKKPKRYSLSWFINWICIIIGVLLMVLAPIGALRNIILQAKTFNFFS >KGN64540 pep chromosome:ASM407v2:1:6747728:6748169:-1 gene:Csa_1G063640 transcript:KGN64540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRGTPLIEYTFEFIDITSNLITFTEAQKKEHTWEGTFPKSLLDKFEWANGYTNRKVWALPEDYHRTLKRTPKLSFPNFDGSQCHRSCVKESDLTKQHPSVI >KGN64995 pep chromosome:ASM407v2:1:10760184:10760704:1 gene:Csa_1G173150 transcript:KGN64995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCIVLPSVRSPQKKLRGLKKATELATTIVCSATECGNDPLLKDFKSSTKTSLQVCEVRNVVPCLKELENLLASLKIVYSDEAGAKKVKIVVTKEQFKLLLSNAKKLQYRHRLLSYTGPRKGCKNWRPTLSAISEEEDF >KGN66303 pep chromosome:ASM407v2:1:22588892:22589141:1 gene:Csa_1G596770 transcript:KGN66303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGWPAMISRKQSPKVCSTTIVGKQLSEESHENSDRRFTGDSHGRRWSTTVGEDDCQRLASKE >KGN63995 pep chromosome:ASM407v2:1:3529865:3530137:1 gene:Csa_1G033150 transcript:KGN63995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSQSQLHLQLNVQKILTLSMVEEKKSGGSWNVDSSPFVERREDLNYPIAFSWLTRLNEHPIAKVIDPSKEKVMGHLMVKSNSQIYKSHS >KGN63748 pep chromosome:ASM407v2:1:1919529:1922236:1 gene:Csa_1G014450 transcript:KGN63748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSKGEVVFNSLNLNPQLFINEALNTVDDLVDDAFDFYQSQASAALKTESSDRSQDLTLGISQVRALVQLGLHKRLAMWEKYCLNHCFSVPEGFSLPSDDESPGVTSISHDHDVDLDTELDLLRNKLSEVRKENIVLNQELQALERQTASSNSQISHFNEALQLYEQSSVNDMFQEMIRTASELRVKIGKLKKRTEETKLAIVEKVHTNGDISHHHKGFSNAKLDDIQEFLSGLKKI >KGN65217 pep chromosome:ASM407v2:1:12877737:12878211:-1 gene:Csa_1G265650 transcript:KGN65217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADALLRCVYYGCIVSPDAEIDRRPYHKNCSCALHDRDNKRCSHSMSKHKSVSYPIRRAWSEGCLAMVAASGISSPSSPSMDQVESLGSYKR >KGN66489 pep chromosome:ASM407v2:1:24160189:24166415:-1 gene:Csa_1G613610 transcript:KGN66489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTSASSAIPKPSSDLIARLTVADAAVNLKALRDIKNQIIGNRTKKLSFIKLGVVPHVAAILSSTSDPNILVQSAAVLGSFTCGVDAGVSAVLDAGAFPRLLRLLAHPDPKVVDAGARSLRMIYQSKLAPKHDFLQQENTKFLLSLLNSQNENVTGLGASIIVHSCETIAEQKALHDGGVLEKLIDLLDGSLSQRDASLESIATIFKNNVEAIARFMQPGREDCLNYIIELLKDRNPKTRLLACVCLIVIRNSSPCYFQDIGIKMKLIHSLLELLDSPDQVGDEAPFVFSTLIAEKEELQKLAFEANAIDKLYNHLQKDQLSPRRFQGMLLAFSHLCSKLESCRSRFLSLQVMNIVIDAIKHESSDIRIAACTCLRSVSRSIKNLSAGYFMNEAVVLPIVRLLHDPCNDVQLAALGAISNIVVEFSTKRSIFIGCGGVKELVRLSKSMDLEIRLNALWALRNLMFLTNIMCKEAIFMELTASLLASLVCDPEPSIQEHAMALVRNLIDGCEDSIEYVFAEDAIVLNTIGQQLQNISTDEIGVQGMYVLCNVASGNEFHKEGVMKQLFPRGDDVTRSFVVKFLQSDNSQLRIAVIWAIINLTLPSSPRALDRVTKLRNAGIVSQIKNMVNDPCLDVKLRVRTVLGQLIAFGDGITL >KGN66186 pep chromosome:ASM407v2:1:21761293:21762652:1 gene:Csa_1G575020 transcript:KGN66186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKWILRRNVNPKYKVDELNEPPPRLPGIRSLLPLPGGDLLTGGTDLRIRRWNHYSPDRTYCVCGPNVKGIGNEDFYETRSSFGVQVVQETRRRPLSTKLTTKAILAAAATDSAGCHRDSILSLASVKLNQRLLLSGSRDGAIKVWK >KGN66147 pep chromosome:ASM407v2:1:21449510:21451091:-1 gene:Csa_1G573660 transcript:KGN66147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLAPRLSCIHHLSNVRISSLQLLQIHAQLITNGFKSPSPYAKLITHLCKKSSSESIAHAHLIFRHHQYSPNLFLFNTLIRCAPPHHSISIFATWVSTSHFEFDDFTFIFVLGACARAPSVSTLMIGRQIHTHILKRGIVSNIWVQTTMIHFYSINKDVGSARKLFDEMSLRNSVTWNAMIAGYCSQGGKVSQKYARDALELFRGMLVESTNFEVKPTDTTMVCILSAASQLGMLETGSCVHAYIKKTVDSPEKDVFIGTGLVNMYSKCGLLNSASSVFKQMKQKNVLTWTSMATGLAVHGRGKEALELLDAMGAHGVKPNAVTFTSLLSACCHGGLIEEGLHLFRVMERKFGVVPQMQHYGCIVDLLGRSGHLREAYKLILEMPMEPDGVLWRSLLSSCMLHGDVEMGERVGKLLVERQGGESFDDEWCVGSEDFVALSNVYASVERWDDVEALRDEMKIKGIENKAGCSSLQTTGSQGLVEALL >KGN64136 pep chromosome:ASM407v2:1:4333508:4335917:-1 gene:Csa_1G042480 transcript:KGN64136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMYLKPRNSTLFFFSIAFHLSICFSEDCGESYNCGELVNIRYPFWGNKKETVCGQQEFKLKCRNNQTTTIYINSFEYNVLRIDQSNSRMRIARSDLFENCCPEKEIQVATMNGHRFVYSSNNQNISVWYNCSTDNEIQIPDTYKFWCGGKWEKLRRPNYAFEPSAKSWSLERGECGMNIEVMVTREGLKEGIKERKSLVEKAVKWGFDVEYENWYKDACNECNENGGKCGGNNTHPYYCICTNGIASSYDCKAPPPPLPPPHAPNIIKGSYDTWKKIMIGVGSGLGGIVIMSLIFLIRNRLNKNKHPYASSSILLPNNSRDRLMKALDQHGENSVAVPLFSYQELVRATDKFNTTNELGDGGFGTVYYGKLRDGREVAVKRLFQNSYRKVEHFMNEVEILTRLRHPHLVTLYGCASQRCRELFLIYEFVPNGTVADHLHGIQARPGQLPWLTRLKIAIETASALAFLHASETIHRDVKTTNILVDNNFNVKVADFGLSRLFPTQVTHVSTSPQGTPGYVDPEYHECYQLTKKSDVFSFGVVLVELISSKPAVDITRHRHEINLSTMAINKIQNNELDDFVDPCLGFKTDERIRDMICRVAELAFQCLQSVRDTRPSMLETLQILRNIENRS >KGN64974 pep chromosome:ASM407v2:1:10557695:10558006:1 gene:Csa_1G169980 transcript:KGN64974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPYPPPLYQLNNPHLSLAKPAKTLSHFQLKTFFTHLHQLTPSCLNHPFSPNQRSPCLTISSKIQLPHPSSTMNTSLTHTGLHPNPIIANLTPPNTKPHKVLP >KGN64027 pep chromosome:ASM407v2:1:3675857:3679423:1 gene:Csa_1G038940 transcript:KGN64027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLGIGANMQGSGNYPNFYFSRDLKGVVGGCIWPQISEDKMLNGGRNTKSFLSPLSAGLYVECGREALKQIMLKQEAIFRDQIYELHRLYKRQREFIAEMKRESRKHDMCITTTQSDFYKSRVSALCAQDARNFSVYSQLFISGEKTTSLLSRLGKNTQTGSDSPLNGMSSKNPYYSESKTKILRKGMFDLELPTDRNYLNSQDELTNVSEMSSCHLKRMPEIVHISDRQFKYDSLLKKTKVSVDLNNPPNSAEESACRSIDSVNASGHREILFHDLYGKANSKLFGFSEQDSNGRRNGLSTNGYSEPISFYDRSQRYQPNKDIANSSLSSSTTSVTKSAQGPIGHHILDTESSKMLVENAMLAEVDLCCVKNLRPSFGSDSVSPVEGSFCNSSKSEIVKEEARPLFKASANWMEGQIDLNVCINEEFLATPCCSTEMKLEVPVSPGKENHSSTPGEFGDNRVESHFLESVGIEDDGKSLEDLSTIAAEALVSISSSVAQNYHKITGCSSVQPSFESLCWLAEIVSSIGADPEKDELALKCKDTDSEELLADFMDEFEVMTLKLKEIEEKGCSLTASNHQVDALKNVSSPSCQPGKGRARRGQRKNFQTEILPSLATLSRYEVTEDIQMIGGLMEVASSHSIAGVTKTTCRGRMTGTRGKRRLCDSSSKTTETVIRSTTDQVSSDNERENKERKVIVWGNITRRRRGQRYPARNRKIILGQV >KGN65749 pep chromosome:ASM407v2:1:18299655:18300230:-1 gene:Csa_1G524710 transcript:KGN65749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDQQEDASCEYQEEQSGVNQSTVRSYECNFCKRGFTNAQALGGHMNIHRKEKAKLKQSITTSSLSSSPNKMVVDTPKMMSTPTRLPWVVISPSTRKEHGRSKGGLEETLISEEFQQLPLFVENNPSNMDQNLEIRQVLPIDVDCDSKLGSPSRHGSSVSELDLELRLGPEPQNSTSSSSLPTGRTTHFF >KGN65866 pep chromosome:ASM407v2:1:19117183:19119438:-1 gene:Csa_1G534720 transcript:KGN65866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHLHRSKPIIHSPIFLNFPATQSRLLNTLSLLFSRCNSIQHLQQIHARFILHGFHQNPTLSSKLIDCYANLGLLNHSLQVFCSVIDPNLTLFNAILRNLTRYGESERTLLVYQQMVAKSMHPDEETYPFVLRSCSSFSNVGFGRTIHGYLVKLGFDLFDVVATALAEMYEECIEFENAHQLFDKRSVKDLGWPSSLTTEGPQNDNGEGIFRVFGRMIAEQLVPDSFTFFNLLRFIAGLNSIQLAKIVHCIAIVSKLSGDLLVNTAVLSLYSKLRSLVDARKLFDKMPEKDRVVWNIMIAAYAREGKPTECLELFKSMARSGIRSDLFTALPVISSIAQLKCVDWGKQTHAHILRNGSDSQVSVHNSLIDMYCECKILDSACKIFNWMTDKSVISWSAMIKGYVKNGQSLTALSLFSKMKSDGIQADFVIMINILPAFVHIGALENVKYLHGYSMKLGLTSLPSLNTALLITYAKCGSIEMAQRLFEEEKIDDKDLIMWNSMISAHANHGDWSQCFKLYNRMKCSNSKPDQVTFLGLLTACVNSGLVEKGKEFFKEMTESYGCQPSQEHYACMVNLLGRAGLISEAGELVKNMPIKPDARVWGPLLSACKMHPGSKLAEFAAEKLINMEPRNAGNYILLSNIYAAAGKWDGVAKMRSFLRNKGLKKIPGCSWLEINGHVTEFRVADQTHPRAGDIYTILGNLELEIKEVREKSPDTLVNPLL >KGN65913 pep chromosome:ASM407v2:1:19639523:19642422:-1 gene:Csa_1G537610 transcript:KGN65913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWTNNSKNNFPCKGFLTPPPSWKSGPFRSPKTAPFSERKRSSPNFANKSDLFHVIHKVPAGDSPYVKAKQVQLIEKDPSRAVSLFWAAINAGDRVDSALKDMAVVMKQLDRSDEAIEAIKSFRHLCPYDSQESIDNVLIELYKRSGRIEEEIDMLQRKLKQIEDGTIFGGKRTKAARSQGKKVQITIEQEKSRVLGNLAWAFLQLNNIYVAEDYYRKALSLEADNNKKCNLAICQILTNRLTEAKSLLQSVRASSGGKPTEESYAKSFERAFHMLTEKESKSFNSTGNEEDNGAGTTITSKNTTGRTGHCVPQIAASTRWTHDDEQMYINENSRDIDPHWDCCDDKSVGAVNSSHNYLHSDKWIEGCCIENLGKTVSCIPIKMKGNRNRDSLFRLVEESFNCCSLHTSPTPTKKNVEVPFTQQKNSFWEFNTRWRSKERKQQQKRTRKVLFENPSRKDQSFDSGFVVDYSSESDETEPASNYKTKYRSAAPDSIELEVPFTQPRSCSWGMNGGGNSRKTTECFRSLLSRSSSRKLSFELPTSTENTQAMTDSNLGRSKLSREISDEPQDLAGGDWKQTSYGDIEYEEGTIPNDSMKIMEEHMTIDHKFKHNSPTVGGKKSWADMVEEEEEDSDDKNEDDTEETLSSSGRGQVNCFDDNWSSSSDNVEYKFNDETLTVHQELEC >KGN65989 pep chromosome:ASM407v2:1:20390208:20390859:1 gene:Csa_1G560730 transcript:KGN65989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGNRQKKSHNSSFSFLGLFKSKRSVRKGDHYYDHQQQGNSWEDFSCPSKVWPSDADKCHRWVAEPGIDRKAKDYIDRIHRNRVFETPRQTVTLPPESRS >KGN65717 pep chromosome:ASM407v2:1:18038255:18038698:1 gene:Csa_1G514470 transcript:KGN65717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSPSPLPSLHSLILLPRYIEDLLMASMFLSIVLLLFISILCRKRSAKSDVEEFPENVDIEAPIFHYGGDEGGEQDCAICLCEIEEGEKCRKMKTCGHVFHKDCIGRWFKVDGHCPICRTSVCVVVVDRGGNAITSSTSLLIPYMN >KGN66543 pep chromosome:ASM407v2:1:24798237:24798744:1 gene:Csa_1G629000 transcript:KGN66543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMVEKDCERVELGPSGGLQFPQWNQLSPEVEAAMSSKSKPQNPQKPNPNPKVPSKIPIAHPPSSFFLSLKFFITCSHQQPPQSSSSSSFLFIFLSIFSPFIPLKPPPPHGGD >KGN63587 pep chromosome:ASM407v2:1:987005:990966:-1 gene:Csa_1G004990 transcript:KGN63587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARGVDFKWYDGFFLSMLATSVVIVSINWKRYHTCVHPLHIWIVVDYTTVFVFRLLMFVDNGLAAGMGLDFGWQQRYARFCGRIVVLSVLAVLLYPFLWAWTAIGTIWFREAHKCLPEQGQKWGFLIWLLFSYCALVCIACMSLGKWLTRRQALLFRAQQGIPVSEYGVLVDMVRVPDWAFEAAGQETRVMGQDAAAYHPGLYLTPAQREAVEALIEELPKFRLKAVPTDCSECPICLEEFHVGNEVRGLPCAHNFHVGCIDEWLRLNVKCPRCRCSVFPNLDLSALSNLRSDTDRPSASVLTANRYVGSQPSSQSYLVRLQGLLRPVRTEENDAHGDLGPASALEAAENGSAHEQTIPERSPVRPMSLIQSTSQL >KGN63791 pep chromosome:ASM407v2:1:2175413:2175985:1 gene:Csa_1G015830 transcript:KGN63791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKTMTINLCLILLSFGVSSTTATKSYARNIDPKSLKLNNKQHQKLTHLRLYWHDTVSGGRPSSVAVLPPLNNVTEFGQVNMFDNPLTAGPELGSQLVGRSQGFYAGAAQDQIGLLMAMNFAFTHGKYKGSSLTVIGRNHISDAVREMPVVGGSGKFRFGSGYALAKTHCLDPVTFDAVVEYNVYVLHY >KGN66073 pep chromosome:ASM407v2:1:21012103:21014081:-1 gene:Csa_1G569490 transcript:KGN66073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLLPLHPIPSLPNSTKFNPSPIFHSLSSCSSMSELKQFHSQIIRLGLSTDNNAIGRLIKFCAVSKYGDLHYALLLFNSIPYPDAFIYNTLIRAYLHFNSPKSSLLLYLQMLHNSVFPNKFTFPSVIRACCIDNSVEEGKQIHTHVVKFGFSKDRFCQNNLIHMYANFQSLEDARRVFDCIELPDVVAWTTLLTGYAQLGYVDESLRVFESMPERNSASWNAMISCFVQNNRFHEAFGLFNRMRIEKVVLEKYVAASMLSACTGLGALEQGKWIHRYIERNGIEFDSKLATTLIDMYCKCGCLDCAYEVFVHLPEKGISSWNCMIGGMAMHGKGEAAIELFKDMETKMVKPDNITFLNVLSACAHSGLVEKGQHYFYRFTQVYGIEPRTEHYGCMVDLYGRAGLLEEAMKVIDEMPMSPDVGVLGAFVGACKIHGNIELGEEVGKRVIELEPTNSGRYVLLGNLYAEAGRWEGVAEVRKLMNDREVKKAAGVSMIELEGVVYEFIAGGRNHPEAKEIYDKLNEMLECIRSEGYVAENEIEEEKDNPVYYHSEKLAIAFGLLKTKAGEILRITKNLRSVLVMIIGKQNINSAFLMLLVIIVLPIKFSLKDC >KGN66804 pep chromosome:ASM407v2:1:27876267:27879443:1 gene:Csa_1G695390 transcript:KGN66804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTTDSITAFSHLLQPPDFSHYVEIDPTGRYGRYDEILGKGASKTVYRAFDEYEGIEVAWNQVKLCNFLQCPEDLERLYSEIHLLKTLKHKNIMKFYTSWVDTANKNINFVTEMFTSGTLRQYRLKHRRANIRAVKRWCRQILRGLHYLHSQDPPVIHRDLKCDNIFVNGNQGEIKIGDLGLAAILRKSHADHCVGTPEFMAPEVYEEAYNELVDIYSFGMCVLEMVTFEYPYSECNHPVQIYKKVISGKKPAALYKVKDPSMRQFVEKCLAPVSCRLSARELLSDPFLEIDGCESKLKISDSRRELDDFASTIVRPFLEREKRFSSISYSLEGSDEWRYRSVQKEPDGIELFEDNDNDQLVSLDNNIKGKIREDGSIVLRLRITDKEGLIRNIYFPFDTKNDTALTVATEMIAELDITDQDVIKIAEKIDGEISSLVPEWKPGPGIDETPRISYDGGSQSYNACNQPSDNILIENKGNGIKLYQILNLSTDGHALAHEHFEQEQFSLKADRPTQPNVSSQHYQPDSVLNENQALSSHSFRQRHSDDNYKKIDQSLTVGYNKEKLPVNKATVIDTSQRSLLGSRSLSTVSSYCEDKFSSQIHWEIRWLWN >KGN66527 pep chromosome:ASM407v2:1:24572595:24573564:-1 gene:Csa_1G619390 transcript:KGN66527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTSILFFQRYNRIRKHSNLQPPLPPGPKLWPFVGYLPAMLLSNNLSTHEWIHSIMKQFNTEIASIHFRNTYIIPVTSPELALEFLHTYDSIFGSRSSSFGDSDMLTRGSISAILSPTGPQWRKMKRILTSEILNPSTIHRMLAQRTSEANTLLCYIFNQTCKNGGGAVINIRRITQHYCGNILRRMLFNRRYYGKRREDGGPTFEDKEHNQALLTAVRRVNAFSISDFIPCFKPFDLDGHKKILKNALNVLRKYDEPIIEERVQQWKNDKKIEGAEDILDILISLKDDNGNILLTIEEIKTLIIVSILQFLVLTYMQIWI >KGN65849 pep chromosome:ASM407v2:1:18986649:18989000:-1 gene:Csa_1G533560 transcript:KGN65849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPPKLGFFLCISYLILFLSSFFLLSSASTSTYGTHKVSLKLYYESLCPYSANFIVNYLIKLFDDDLISIVDLRLVPYGNARVGRNDSITCQHGPSECLLNTMEACAINAWPELDGHFPFIYCVEYLVYKRKYTQWESCFEKLGLNPKPISDCYSTELGKKLELEYAAETDNLQPPHKYVPWVVVDGQPLYEDYENFINYICEAYKGPAVPTACKASSISVI >KGN66193 pep chromosome:ASM407v2:1:21802115:21803537:-1 gene:Csa_1G575090 transcript:KGN66193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLLLTNTNIFISFFHQKLKMKNFSFLTSLLFFILTNSPATRSFEVAVNGGIGVGVTIGGSGGTGVWIGGNNGSPTPRLNRAYTALQSWKSAITSDPLGILTTWVGPNVCSYRGVFCAQVQDETTSSPVDIVAGIDLNHANLGGTLVKELSFLTEITLFHLNTNRFAGTVPESFRELSSLQELDLSNNLFSGPFPIQTLYIPNLMYLDLRFNDFHGPIPEDLFNKKLDAIFLNNNHFEGEIPQNLGNSPASVINLANNKLYGNLPNGFGLLGSTIREILLLNNQLTGCVPEGIGFFSEMQVFDVSFNSLMGHLPDTLSCLNEIQIMNFGHNRLSGVVPDFICSLKSLVNLTVSFNFFSGLKEDCSSSRNNVLGNLGFDFSGNCIPGKDSQRPRPECNAIPGGSLNCFRIPSLVKPLVCGTLGGRTESDLSSSPP >KGN63730 pep chromosome:ASM407v2:1:1814174:1817189:-1 gene:Csa_1G013770 transcript:KGN63730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLSKFGNILRQGANKRIGLDLHRSSLSLSQAVRWMSSMESSKVFVGGISFSTDDQSLREAFTKYGEVIEARVIVDRETGRSRGFGFVTYTSSEEASSAIQALDGQDLHGRRVRVNYANDRARGGGGGYGGGGYGGGGYGGGGGYGGGGGYGAGGGGAYGGGGYSGGGAGGYGGYSGMGGGNYGGGSGGNFSNDYASDNRGSVGGFGGNDAGYNAASNFATGNTFGSESNAGFGSSDYFAKSEGEQFGSNETNTMKASGEDHFEENARDEDDSNDFAKRA >KGN65343 pep chromosome:ASM407v2:1:14078932:14080459:-1 gene:Csa_1G351960 transcript:KGN65343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRSLRVNSRRLRPKYLLFMLLMLVPISVITIFNYGQKISYFFRPLWDNPPRPFVRLPHYYAENVSMEHLCRLHGWSLRSEPRRVFDAIIFSNELDLLEIRWQELYPYVWKFVILESHTTFTGIQKPLLFNANRARFAFAENKTVHDVFSGKIAPHGLHRNPFDLESQQRVAMNGLLQRAGISNGDLLIMSDTDEIPSPHTVKLLQWCDDVPPIVHLEMRNYMYSFEFPVDYSSWRATIHIYGPHTHYRHSRQSELIFSDAGWHCSFCFRNIQDFAFKMTAYSHADRVRRRDFLNYSRIQKLICQGDDLFDMLPEEYTFQELIKKMGSIPRSSSAVHLPAYLIENADKFRFLLPGGCIRTSEDNIPGLNRQP >KGN66519 pep chromosome:ASM407v2:1:24486342:24491427:1 gene:Csa_1G616860 transcript:KGN66519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATITKLSLSYIPHFPHPPTTFHKTFQTLPLFTLPPLCSSSSFENQLRKTISSCRLPSPAIRAINQEVVQTPSSKSTRDSKTDPVASSKFVLVVGGSGGVGQLVAAALLDRNVKLRLLLRDPEKATTLFGEQDEKLLQVCKGDTRNPEDLDPSIFEGVTHVICCTGTTAFPSRRWDEDNTPERVDWEGVRNLISAIPRSVKRVVLVSSIGVTKFNELPWSIMNLFGVLKYKKQGEDFLRDSGLPFTIIRPGRLTDGPYTSYDLNTLLKATAGQRRAVLLGQGDKLVGEASRIVVAEACIQALDIGFTEGQAYEINSIEGEGPGNDPQKWSELFKGAADTQ >KGN64791 pep chromosome:ASM407v2:1:8576230:8585634:1 gene:Csa_1G097690 transcript:KGN64791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDVEGSPGSSMHGVTGREQTFAFSVASPIVPTDTTAKFALPVDSEHKAKVFRIWSLANPHMRTFHLSWISFFTCFVSTFAAAPLVPIIRDNLNLTKVDIGNAGVTSVSGSIFSRLVMGAVCDLLGPRYGCAFLIMLSAPTRGPRRSHTAPITRREKILPETDVTPAFPMSTFVRLRLSRMMGTRGAAAKVDTKHVKKETQERWKVLMWGLARDHILKTLALCSESTGNANLAVVSVGTIGEATENAKVCSLPVTPCIELPGEPSTSPILLLLLFWLKNERSQIEPES >KGN64486 pep chromosome:ASM407v2:1:6443482:6446858:1 gene:Csa_1G059180 transcript:KGN64486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSVQTSHSKDNDISSESNGEEELTTLPTAQRSNAPPADDQFVVNIRAGVGDAEGDVEGDAEGDGEGEDGEEQENENEEQERNNNAMPRSSMEGNRSYTGAPTQDRTDNTTDPRSNHGVEPEPNPNPDPAAENQSSILFNSTKKKGMLPVQVVLYQAAIKGDWKTAKSIFDVDSSAITMKITGGVDTPLHIAAAAKHISFVEKLVEKYSLSDLAIKNKNGDTALAFAAASGVVRIAEVMVDKNEKLPNICNANTKFPVLMAVAYKRKEMASFLLSKTNFQKIEAFEQIELLISAISSDYYDIALDILTKKPELAKARIGLKDSDGNWRTQKVKRLCMYYLKSQM >KGN63558 pep chromosome:ASM407v2:1:751721:756989:-1 gene:Csa_1G004250 transcript:KGN63558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDPTGSSASDKGQELSSSSDQNNTKRDFSTAILERKKSPNRLVVDEAINDDNSVVSLHPATMEKLQFFRGDTILLKGKKRRDTVCIVLADEQCEESKIRMNKIVRGNLRVRLGDIVSVHQCPDVKYGNRVHILPIDDTIEGVTGNLFDAYLKPYFLEAYRPVRKGDLFLVRGGMRSVEFKVIETDPGEYCVVAPDTEIFCEGEPVKREDEERLNEVGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFLINGPEIMSKLAGESESNLRKAFEEAEKNAPSIIFIDELDSIAPKREKTHGEVERRIVSQLLTLMDGLKTRAHVIIIGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLSIHTKNMKLAEDVDLERVARDTHGYVGADLAALCTEAALQCIREKMDVIDLEDETIDAEVLNSMAVSNEHFQTALGSSNPSALRETVVEVPNVSWDDIGGLENVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISVKGPELLTMWFGESEANVREIFDKARQSAPCVLFFDELDSIATQRGSSVGDAGGAADRVLNQLLTEMDGMTAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDESSRLQIFKACLRKSPVAKDVNLSALAGYTHGFSGADITEICQRACKYAIRENIEKDLERERKQGENSEAMEEDEIDDVSEIKAAHFEESMKYARRSVSDADIRKYQLFAQTLQQSRGIGSEFRFPDRNDNVAAGAADPYASTMGAGDDDDLYS >KGN65253 pep chromosome:ASM407v2:1:13144497:13148180:1 gene:Csa_1G275920 transcript:KGN65253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKSTAQQVQIREANGNNVVMKTKTKRTRRSVPRDSPPQRSSIYRGVTRHRWTGRYEAHLWDKNCWNESQNKKGRQVYLGAYDDEEAAAHAYDLAALKYWGQETILNFPLTTYQKELKEMEGQSREEYIGSLRRKSSGFSRGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYATQEEAATAYDIAAIEYRGLNAVTNFDLSRYIKWLKPSNDVVYDNNRILTVDSILPSPKQELDLGLFPPDQNQSSTDSATPEPIALPPSRRSTTSTTTTTTTSALGLLLQSSKFKEMMEMNSAAECPSTPSSSEQLERRRCLFPDDVQTFFACETSGSYCYGEADDAMFSDFNSFVPPPLSHYDFAD >KGN64628 pep chromosome:ASM407v2:1:7263759:7268911:-1 gene:Csa_1G071900 transcript:KGN64628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRHRLQEDEYEAKEPKNARVSDAFEFKKQQPVVLNPADCDLDFEIKSDGLQGSALYEKGFAYCWSGARTTVGITGGKYCFGCKIISLQPVEMSDTAPDQQHLCRVGISRGDSPVGNLGETIQSFGFGGTGKFSNAGKFSDYGEKFGFGDSIVCAVNLESKPLASIGFSKNGKWLGTSIQFDAGPRGLGVVDSPLRKCRWESAFFPHVLLKNVEVQLQFSIEEGLVPEEGFKPWACALDDNNALLGPTFNNVRDCEVMMMVGLPASGKSTWAKKWADDHPEKRQILLGTNLILDQMKLPGLLRKHNYGERFDRLMDQATEIFNILLSRAAAVPRNYIIDQTNVYKHARKRKLKPFADFQKIAVVVFPKPEELQRRSAERFREMGKEVPADAVNKMLANYILPTSKDIPGSGEYFDEVIFVELDRPESQRCLDAMKRALPSESCDDFTSFSKASTAPLVSPSSRDQGRLDMPDAGRYGIPRFISEVHQENMTSPLPRFIPPHGPYGSLIDETRYPGHLLHSYPGTDPYSIGGRGHFLDSRQMGELCQGQYTDVAHPLPPTGPPYRTPPPRITHQFPSNMPYDGRGYTSPRPRYY >KGN64257 pep chromosome:ASM407v2:1:5002826:5005731:1 gene:Csa_1G045550 transcript:KGN64257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRRVYGGDDGGSISGGSSNHSVLLQNRCGSFASEPLNALFLSGSSSSSSPSLLGSRSMMSFEDIRGGNGSNRSFFCPLDSEDNGDEDLDDYFHHPEKKRRLTVDQVRFLEKSFETENKLEPERKVQLAKDLGLQPRQVAIWFQNRRARWKTKQLEKDYEALQSSYGSLKVDYENLLKEKDSLKAEILLLTDKLLHKEKERGNSVLSEVDKFGEELPHNLVADSNLEDEVSKSSKLGCKQEDISSVKSDIFDSDSPHYTDGVHSSLLEPGDSSYIFDPDQSDLSQDEEDNLGRNLLPPYIFPKLEDVDYSDPPTSSCNFVFPIEDNALWSWSL >KGN66888 pep chromosome:ASM407v2:1:28653784:28655340:1 gene:Csa_1G704590 transcript:KGN66888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSISSISTATKFLSLFLLLVHVSTQTLATNPKTNFPKDSLVLGLVHSRTSLLTPKKGYNFISKKRMKAMDQTDGDDNVIEPLREIRDGYLMSLSIGTPPQVVQVYMDTGSDLTWVPCGNLSFDCQDCEEYQNNISGPRLAAFLPTHSSTSIRDTCGSSFCMDIHSSDNPFDPCTIAGCSLASLVKGTCPRPCPSFAYTYGASGVVTGSLTRDVLFTHGNYNNNNNNNKQIPRFCFGCVGATYREPIGIAGFGRGLLSLPFQLGFSHKGFSHCFLPFKFSNNPNFSSPLILGNLAISSKDENLQFTPLLKSPMYPNYYYIGLESITIGNGDNNFRFGVSFKLREIDTKGNGGMLIDSGTTYTHLPEPLYSQLISNLELVIGYPRAKQVELNTGFDLCYKVPCKNNNSSFVDDAQLPSITFHFLNNVSVVLPQGNNFYAMAAPINSTVVKCLLYQSMDGVGDDNDSDDNGPAGIFGSFQQQNIEVVYDLEKERLGFQPMDCVSVAAKQGLHKNVRRNES >KGN64975 pep chromosome:ASM407v2:1:10561760:10566276:-1 gene:Csa_1G169990 transcript:KGN64975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVHGGGNLRRVAVVGGGIAGSLVAKSLQFFAHITLIDPKEYLEIPYGSLRSMVEPCFAERMLINHSHYFSNGRLITSPAVGVTESQVLVADGRNVDFDFVVVATGHHDPSLPITRTHRLHQYTAENERIRRAESILIIGGGPTGIELAGEITTEFPDKAITLVHDGPRVLEFMGPKASEKALRWLISKRVNVKLEQTIDVNDMWDGNKSFRSSKGEIIIADCHFVCTGKAVASSWLQKSILKKSLDTNGRLMVDAYLRVKGQHNIFAIGDITDIRESKQGESAKRQAKVAAKNLKMLMVGKEGKMERYVARTPTTAMVSLGRKQAVAQFSITTISGILPAFIKSRDLFVGNTRKKLGLHPTLLD >KGN65699 pep chromosome:ASM407v2:1:17798201:17798368:-1 gene:Csa_1G502870 transcript:KGN65699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKGQFSYWEKMVDNGVEQRKERQKLSWTSLFNVADKGRDPSLDLRNCISKEGF >KGN64939 pep chromosome:ASM407v2:1:10308381:10308962:-1 gene:Csa_1G165220 transcript:KGN64939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPISLTDTILKIQGLQEQNVEKKSCCEEEDMDDQGASQAQQLSPLWTQRPSHRKKKYGIEQVKAFGDKEFSRTVELEQANKWLRALEKCFRVLQCLEERKIDLAAFLLHRKAED >KGN66441 pep chromosome:ASM407v2:1:23748587:23750448:1 gene:Csa_1G605700 transcript:KGN66441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNCTVESCKVETSDGVKLHTRVFKPKDEEAKEIENLGVVLVHPYSILGGCQGLLRGIAAGLAERGYKAVTFDMRGAGKSSGRASLTGFAEIKDVIAVCKWVCENLSVHRILLVGSSAGAPIAGSSVDLIEQVVGYVSLGYPFGLAASILFGRHHKAILHSPKPKLFVMGTRDGFTSVKQLQNKLKSAAGRVESHLIEGVSHFEMEGPAYDAQMVNLILHFISSL >KGN65193 pep chromosome:ASM407v2:1:12716610:12716786:-1 gene:Csa_1G263965 transcript:KGN65193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKIAVFKGILDNHVFVVVLGSTTIFQKIIIKVLGTFATTTALCMLQWALNLVIGNLR >KGN64535 pep chromosome:ASM407v2:1:6730178:6731880:1 gene:Csa_1G063590 transcript:KGN64535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLYRLRSAFPSNSTYINYRLHYRSLSTILSPDSSNPLSAKQKSRAALSLLKTEENPERIIDICRAASLTPEFHLDRIAFSVAISKLSKFKHFDGIRRFLEELKSRPDLKNERFACHAIVLYGQANMLDHAIRTFKQIDELGVRHSVKTLNALLFACNLAKDYKELKRVYMEFPKIYGIEPDIDTYNRVIKAFSESGSSSSVSSIVAEMDRKDVKPNATTFANWLAGCYMEEKFEDVEKVLNLMEKYGVRRGVATYNARIRSLCKLKRSTEAKALFDGMLSRGMDPNSVTYCELIHGFCKEGNLDEAKSIFKRMINSGCQPDSECYFTLTYFLCRGGDYETAFKICLESMKKGWVPNFSTMKSLVDGLVSISKVEEAKQLIGQIKERFSKNVEKWSEIEAGLPQ >KGN63718 pep chromosome:ASM407v2:1:1751779:1754989:1 gene:Csa_1G013170 transcript:KGN63718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSALETYGHDLVEKAEKQTLDPIFGRHKEICRLLTILCRKTKCNPILIGEPGVGKTAIVEALAQKFAAGNVPAKLSGARIVELDMGAIMAGTIWRGQLEERLKDVMTEVKGSEGKVIVFIDEIHMLVRSDHQGTAAEILKPALGRGGFRCIGATTLKEYKRYIEKDGALARRFKQVYVNEPSVEDSINILRVLKERYEKHHVLIIKDSALIAAAKLSHRYITGRRLPDKAIDLVDEASACMRVQLDTQSEELDELQNEKSKLEAEVNALEKEEDKASQARLPQAKKELNDVNNQLQPLLSKYQKQKSEMEKLTKLKQKKQEILVEIQAAQKRQDLIRAADLRRQKLDDVELKIGDVERRIRKHGFIEKDTVGPEEIADEVSRWTGVPVSRLTGEEKEWVMGLAGRLKKRVVGQNEAVDSVAEAVMRFRAGLALPNQPNGSFLFLGPSGVGKTELAKGLAHELFNDENRMVRIDMSEYMEKHSVSRLIGSPPGYVGYHEGGQLTEPVKRRPYCVVLLDEVEKAHVDVLNILLQVLDDGRLTDGQGSTVDFRNTVIIMTSNLGAGHLFSEKYCPMQVARERVIQKVKEHFKPEFVNRLDEILIFRPLSKIQQRRVTKSMMKDVARRLSEKGIAMAVTKSALDFVLDQSFDPVYGARPIRRWLEKKVVTNISKMLMKEEIGEEYTVYVDANDDGKDLKYNVEKNNGLIDGISDGRYEILIQIPTMEKNNDDESEEAEGGTEEEDVETTSVDSD >KGN65069 pep chromosome:ASM407v2:1:11378641:11379300:1 gene:Csa_1G191680 transcript:KGN65069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRKKVKLAYITNDASRKATFKKRKKGLLKKLAELTTLCGIEACAIIFNPSNSQPDLWPSTLGLQKVLSKFKSLPEMEQCKKMVNQETFLRDRIAKAADQLKKLQRENREKEITRVMFQSLVAGATPPLDLNVIDLNDLGWLVDQKMADIGKRMELLTVNRSSRVATNEPSWFMEMVNQGANDEDHMGFNIGDDVIQLPSFGEDDNHGTFWSNNNVIFP >KGN63912 pep chromosome:ASM407v2:1:2938924:2939223:1 gene:Csa_1G025925 transcript:KGN63912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSEYNNQWYMYILCMEYLIVLSFSESSLFLSMNLRGEMKRGCEKISLKVDGDKSLFYNLVGFEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEE >KGN66542 pep chromosome:ASM407v2:1:24761047:24763733:1 gene:Csa_1G628000 transcript:KGN66542 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor MWPSSRPLTIGYNHTPAPTPDMVGLMASGFGVGVSVLPLLSGLAPSSVGIEEANLMISRGGGGDDGGGGIQFNGNNLDGFGILGGGSSLNSGVGTCHDCGNQAKKDCSHRRCRSCCKSRGFDCSTHVKSTWVPAARRRERQMMGAASDAAAGGTSSGSTSGGKKPRLIASQTTTNSHTSTSNTPTPSFDASSAADMSSKKAKKLPEQIRAPAVFKCVRVTAVEGGTSGGGNEYAYQAVVKIGGHVFKGYLYDHGVEARDGFPMMSNLHLGDGGSTSSPVIDPADVYGSSTTRGGGLAGGSGYGNSIN >KGN65741 pep chromosome:ASM407v2:1:18228978:18229484:-1 gene:Csa_1G524140 transcript:KGN65741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEKWNLSKKEGSGSSYHHSSTNAKSSFLRSGSTSKSPLLRCSSQKSIPSSNSKNPHDLPRSYSQKSSSNSIGRKYSSLAKEQKARFYIMRRCVAMLVCWHKHGDS >KGN65070 pep chromosome:ASM407v2:1:11380610:11381317:-1 gene:Csa_1G191690 transcript:KGN65070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGANLCTIRCPGTADASGDGLGFAGYEICGWRRRHWWRRERNDVKRELEASIEKKEFMSLEELLLASPGVPSERGFGNDGEFNVSRHGGFKRKVHPARILECSSACDERSGFVGKSVAEDEYSSHGGFPICGSQSGKLKKKVSFRLPEVSDVIVIPSLEDDVIADERNVDS >KGN63822 pep chromosome:ASM407v2:1:2366177:2366933:-1 gene:Csa_1G023590 transcript:KGN63822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIFRLKDVENVTIPNPISALVGPNTFIAVSHRKLGNLQEALGHLLQVQSKTGIMYEFSQYLVLCRFQMGSLFINILCI >KGN65298 pep chromosome:ASM407v2:1:13568039:13570320:1 gene:Csa_1G305710 transcript:KGN65298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEVKIESPAWSATVVTIVVLLIFIITAKVGLVLWWKPKKIEKHFDRQGIKGPPYRFFIGNFKELVSLMLAASSHPMPNFSHNILPRVLSFYHHWKKIYGSTFLIWFGPIVRVAVSDPDMIREIFATNSHFYEKNEAHPLVKQLEGDGLLTLTGQKWALHRKIISPTFHVDNLKLLIPLVEESVVEMVEKWWSMAESMDSGEVEIDVYDWFQTLTEDVITKTAFGSSYEDGKAIFKLQTQQMILASQAFQKVFIPGYRFLPTRTNINSWKLDREIKKSLMKLIEGRKRNLEKKNWGMNEKRPKDLLGLMIQASLLNNNNNNNSSITVHDIVEECKSFFFAGKQTTSNLLTWTTVLLAMHPQWQIQARDEVFNVCGPRALPSKHDVSNFKILSMILNESLRLYPPTVATIRRAKTDVELAGRMIPRGTEFLIPIIAVHHDQTIWGNDASEFNPGRFSEGVSKAANHPAGFIPFGLGVRTCIGQNLALLEAKLTLAIILQRFTFRLAPTYQHAPTVLMLLYPQYGAPIIFQKIPIN >KGN66151 pep chromosome:ASM407v2:1:21469935:21473478:-1 gene:Csa_1G573700 transcript:KGN66151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLELKEPIRYASGDHLESWLNSLLCLDVTSSVPPISRLPLPSECNLYYVNRDTLFSFHKDSEIFLQRMMALYVASHYKNSPNDLQLMADAPAHHLFVLLGPVDELQNVLPDILCVIQVCLEGQISRNSALQSLQMGRQPPGDQIPWKFCQVFKDTDFPSLSGAHVEGYGSSAMELLTRYYEGKLTKLSEGECENVSTTNEKVVEHAKKVSLQEETIVPKQNLPPLLVPVSERLPEKIDYVGASFGLTTELFGFWKKLEFLPFYISRNPNDMTGECSCMGLRPLNLDQIIDDCSQPHGLTTAFYKRFRSKFILDVPHFFRDLDYKVVMSILDPKISFSEVEYVASQGKESSNSLIDLASFGELEAYVNNQIDYKEVFHVATMLAQSYFDGKLGVTLSAVEASILSCIGLQLKDISSLEVNMKLGRTHVLSKFYKLMKKFYQHFKDLLMKEMGTRFPQQKEVILKPHEISVDADLYDGAQQVNMI >KGN64345 pep chromosome:ASM407v2:1:5495306:5500005:1 gene:Csa_1G046890 transcript:KGN64345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPMEISFPNPPLEAQMSESNGISSESAASSIVNEDKILVSVEVCLKSSSTARIEDVRLAVERMLEKRSLSYVDGPIPVPLDDAFLTENVHGIRICDSDDGVQSHDILLFWQVKPVVHVFQLSEEGPCEELGGEGQISSFNEWILPAKEFDGIWESLIFESGLKQRLLRYAASALLFTEKGVDPFLVSWNRIVLLHGPPGTGKTSLCKALAQKLSIRYLSRYPHSVLIEVNAHSLFSKWFSESGKLVAKLFQKIQEMIEEEDNLVFVLIDEVESLAAARKAALSGSEPSDSIRVVNALLTQIDKLKSSPNVVILTTSNITAAIDIAFVDRADIKAYVGPPTLQARYEILRSCLQELIRTGILSTSEGCDHSMLPNYAGLKEKVNIPETEKVDVPLHISKLLLKVAEACEGCSGRFLRKLPFLAHATLANPCTGDPSKFLFAMLETARRDRTELPE >KGN65356 pep chromosome:ASM407v2:1:14294037:14294417:-1 gene:Csa_1G369510 transcript:KGN65356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCYPHHFLSRRDFSSLQCNWVLFQTTPAEDATPAIESCGDESGKRRCLCSPTRHPGSFRCRLHRNEYVWVARRRTVKNGPAEGKILTNDK >KGN63795 pep chromosome:ASM407v2:1:2196957:2197823:-1 gene:Csa_1G015870 transcript:KGN63795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFSTPDHPPTPDSGRTAKTPSTGLRGSVAALAALCAKKAALLTKKLKFKPSQDHPSHPSPRSPLARPKKMLKTISQSAMSLVHKKRTGRPVEEEEEEEEEEKWGQGGVWQRGILMGDKCQPLDFSGAIYYDSNGQKMDEPPLRSPRASPLPGYLLRKSQP >KGN64406 pep chromosome:ASM407v2:1:5924785:5927984:1 gene:Csa_1G050450 transcript:KGN64406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKRTTHTYSSEDAAPDGPDSDLFVYYCKHCGSHVLITDTQLQKMPKRKTDKAFVLDKKKHLARLNINEAGKILLKRGEGKLERQYRMNCIGCGLFVCYRSEEDLEFASFIYVVDGALSTVAAETNPQDAPVPPCISQLEGGLVQVAIEVEDRAQRSAITRVNADDVRVAVAAPAARGEANNELLEFMGKVLGLRLSQMTLQRGWNNKSKLLVVEDLSARQVYEKLLEAVQP >KGN66210 pep chromosome:ASM407v2:1:21924833:21928394:-1 gene:Csa_1G580250 transcript:KGN66210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRDYNYCIKRFVICPYGVRVKGVGAARVCTNTFLQTEDPSSKKIVPWSFRRNRDTPIFVIFFLKKRNSLFSCSSIFNLQGIVEF >KGN66645 pep chromosome:ASM407v2:1:25963505:25965024:1 gene:Csa_1G652280 transcript:KGN66645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGIFQMGAVILHSRLLTTANYSPQVSCLTNMPCLIHYWGYEAIFNGRSSSFLLKSAPFPRKSLQTSRHVNKLAFALDTGGVSSSGGGDNIDDGDESNLGRTRLGRLVSSGARQLLEKLNSARKNFPTKIFLLLLGFYTANALATILGQTGDWDVLVAGVVVAAIEWIGILMYRKPPPLLTRRLKSFIVMVNYWKAGVCLGLFVDAFKLGS >KGN66898 pep chromosome:ASM407v2:1:28821428:28824549:-1 gene:Csa_1G707630 transcript:KGN66898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQKSLIYAFVARGTVILAEYTEYSGNFNSIAFQCLQKLPTANNKFTYNCDGHTFNYLVDNGYTYCVVATESAGRQVPIAFLERIKDDFVSKYAGGKASTAPANSLNKEFGSKLKEHMQYCVDHPEEVSKLAKVKAQVSEVKGVMMENIEKVSIQFCLVRSWKLSLDLFNILKSTSDELLYLASSCTYFSSNKMELFSILIFKAFNTF >KGN63788 pep chromosome:ASM407v2:1:2159806:2163445:1 gene:Csa_1G015800 transcript:KGN63788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPMDIVGKSKEDASLPKATMTKIIKEMLPPDVRVARDAQDLLIECCVEFINLVSSESNEVCSKEEKRTIAPEHVLKALEVLGFSEYIAEVYAAYEQHRIETMQDSLKGGKWSNGAEMTEEEALAEQQRMFAEARARMNGSNTAPKQSEPEQSLES >KGN64994 pep chromosome:ASM407v2:1:10756493:10758977:1 gene:Csa_1G173140 transcript:KGN64994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFQLCYSPPTFFTEHHFLSNSLTPQRKTTLSNSSPLFKLSPIPRHSKPFLQITNVSLQEHAPQDTQNTIPSADEISKYPDSKSGSSSNSSVWVNPRSPRASKLRKQSYEARYASLIRVSESLDSSNPCEVDVADVLKVIGNNILERDAILVLNNMSNSQTALLALRYFQDMLKSSKQTIFYNVTLKVFRKCRDMEGAEKLFEEMINRGVKPDNVTFSTIISCARLCSLPSKAVEWFEKMPSFDCNPDDVTYSTMIDAYGRAGNVDMAFSLYDRARTENWRIDPATFSTMIKIHGVAGNYDGCLNVYEEMKAIGIKPNLVIYNCLLDAMGRAKRPWQIKTIYKEMIKNGFSPSWATYASLLRAYGRARYGEDALIVYKEMKEKGLQLNVILYNTLLAMCADVGYVNEAVEIFQDMKSSGTCSPDSWTFSSMITIYSCGGKVSEAEEMLNDMVEAGFDPNIFVLTSLIQCYGKAKRVDDVVRTFNQLIELGLTPDDRFCGCLLNVITQTPKGELGKLIDCVVRANPKLGFVVELLLGEQDKEGNFRTEASELFSVVSADVRKAYCNCLIDLCVNLDLLDKACELLDLGLTLQIYKDLQSRSPTQWSLYLKGLSLGAALTALHVWIKDLTKVLESGEELPPLLGINTGHGKHKYSDKGLASVFESHLKELNAPFHEAPEKVGWFLTTKVAAKSWLESRSSPELVAA >KGN66217 pep chromosome:ASM407v2:1:21986032:21989533:1 gene:Csa_1G586790 transcript:KGN66217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSNDSVSVDMEKIYLGGKVSFLFSKNMSCFQGLFFFPEAASLLLHNFCIYHISPPGHELGAAEICEDDPSPSADDLADQILEVLNYFGLGAVMCMGVTAGAYILSLFALKYRERVLGLILISPLCKSPSWSEWFYNKVMSNLLYFYGMCGLLKECLLQRYFSKEVRGSAEVAESDIVQACRKLLDERQSNNVLRFLQAINRRPDITEGLKRLRCRTLIFVGDSSPFHSEALHMISKLDRRYSALVEVQACGSMVTEEQPHAMLIPMEYFFMGYGLYRPCQFSDSPRSPLSPSCISPELLSPESMGLKLKPIKTRIS >KGN66201 pep chromosome:ASM407v2:1:21865627:21868080:-1 gene:Csa_1G575170 transcript:KGN66201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKRGLSLDEKREKMLQIFYESQDFFLLKELEKLGPRKGVISQSVKDVVQSLVDDDLVSKEKIGTSVYFWSLPSCAGNQLRNVCRKLESDLQNSKNRLEQLTEQCNQLKKGREESEEREEALAELKAIDLKHKELKDEMLQYADNDPAAFEAMKNAIDDAHAAANRWTDNIFTLRQWCSNNFPQAKEQLENLYKEVGMTEDFDYLELSPTPLSSVID >KGN65646 pep chromosome:ASM407v2:1:17191981:17210152:1 gene:Csa_1G478050 transcript:KGN65646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTSLGPSLCLNLPKTPLHVSSRSFLSMAFPPSHCNASSASNDNNPNQPSLLVFSGGTAFNGVIEELKSFTTRIAHVLPVSDDGGSTAEIVRVLGGPAVGDIRSRCLRLSDQSTAEALAVRRLLGHRLPLDAHQAKSEWYDIAEGENSLWDGVSKPYRETIRAFLAYFQNQILRRSEMKFCFSNGSIGNFFFAGARIFFQSLDAAIFLFSRVSDIPSDSLVLPVISTNDRLTLGCELQNGTIIRGQNEISHPTTGPSEIINKGNSLAPALPSRIKRVFYMSSEGCNLLHEVFPPVNPVVLNQLNEVDCIVYAMGSLFTSICPSLVLLGIGEIISSRSCPKVLLLNGTHDRETSGFTASCFTTAISDALNRTYGDTHNCLNNTPDQYINTILVPKDGEIPVDFQALAAQGIFDVIVVDSVRDSKVGVVFDPKSLIGTLAKLIARYTRSHISVQV >KGN66728 pep chromosome:ASM407v2:1:26987910:26991834:-1 gene:Csa_1G665890 transcript:KGN66728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTLFIPKFPLNSLFLFSNSPFPFPIAAFRSLSFHSFHRSPSSAASSSSPTMPPRLAMISHVDSGGPLARRLWNKCRRESILSMYTPFCVCLACGTLNIDTFRHYIGQDVHFLKAFARAYELAAECADDDDAKHSINELRKAVSEELKMHASFVKEWTAADGKESPVNPATVKYTDFLLATASGKIEGAEGLANLATPFERTKLAAYALGAMTPCMRLYAYLAKEFKGVLGALHGDHPYKTWIENYASKGFEEAAERTEDVLEKLAATLTGEELDTIEKLYHQAMKLEQEFFCSQPVSQKTVLPLIKDHNPAEDRLVLFSDFDLTCTVVDSSAILAEIAIVRAPKPEQIQPEDQPITRMSSADLRNTWGVISRQYTEEYEECIDKVLPPKTEEFKFEDLCTALELLSDFEKRANNRVIESGVLKGLNFEDIRRAGEHLIIQDGCFNFFGTACKSENLNVGVHILSYCWCADLIRSSFNSGGLLTQVTIHANEFAFEEAVSTGDLVRRVESPLDKVHAFRKVLENYGNDRNNLTVYIGDSIGDLLCLLEADIGIVIGSSASLRRLATRFGVSFVPLYPSVVRKQKDLTKDSRRSWRGLSGILYTVNSWAEIHAFVLGC >KGN64772 pep chromosome:ASM407v2:1:8450035:8453158:1 gene:Csa_1G096070 transcript:KGN64772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLIAYRRWLRTPNVDGSRFRKFCTRRRNLELDNENDSHFVYVLEQIVRGNQSWKIAFNNSSISGNIEPHHVEKVLIRTLDDSRLALRFFNFLGLHRNFHHSTASFCILIHSLLQNNLFWPASSLLQTLLLRGLNPHQIFENFFESYKKYKFSSSSGFDMLIQHYVQNKRVMDGVLVVNLMRDYGLLPEVRTLSALLNALARIRKFRQVLELFDTLVNAGVKPDCYIYTVVVKCLCELKDFNKAKEIINQAEGNGCSLSIVTYNVFINGLCKSKRVWEAVEVKRSLGEKGLKADLVTYCTLVLGLCRIQEFEVGMEMMDEMIELGYVPSEAAVSGLIEGLIKMGSIEGAFELLNKVGKLGVVPNLFVYNSMINSLCKTGKLEEAELLFSVMAERGLNPNDVTYTILIDGFGRRAKLDVAFYYFNKMIECGISATVYSYNSMINCQCKFGKMKMAELLFKEMVDKGLKPTVATYTSLISGYCKDGLVPKAFKLYHEMTGKGIAPNTVTFTALICGLCQINKMAEASKLFDEMVELKILPNEVTYNVLIEGHCREGNTTRAFELLDEMIKKGLSPDTYTYRPLIAGLCSTGRVSEAKEFINDLHHKHQRLDELCYTALLQGFCKEGRIKEALVARQEMVGRGLQMDLVSYAVLISGALNQNDRILFELLREMHGKGMQPDNVIYTILIDGFIKSGNLKKAFEFWYIMIGEGYVPNSVTYTALVNGLFKAGYVNEAKLLFKRMLVGEAIPNHITYGCFLDHLTKEGNMENALQLHNAMLQGSFANTVTYNILIRGYCQIGKFQEAAKLLDVMIGIGMVPDCITYSTFIYEYCKRGNVDAAMDMWECMLQRGLKPDRVVFNFLIHACCLNGELDRALQLRNDMMLRGLKPTQSTYHSLMVQLAQRARLTQVQ >KGN65079 pep chromosome:ASM407v2:1:11494777:11496416:-1 gene:Csa_1G195230 transcript:KGN65079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVDPWLIAVLEEQKNEAAENEATDNVEDEALESDDVEPSSTVATKGELQDEKPDINDVPMEESQSQDNDRPVRQDLNESTLDLSLNLNALDDGGEASSKADHIRDGKRKG >KGN64039 pep chromosome:ASM407v2:1:3736518:3743355:-1 gene:Csa_1G039060 transcript:KGN64039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDEEWEIGLLFPSWNSKNPTDRLFVISCFSAAIIGILTIAFTAFQWRRNINLSWMRAIARSKRRNPKKTQRVPVAAHDWILESVSRGKNLSCCVCLKFVSPSQTLGPMVASDSFIHRCNICGVAAHLSCSSNAQKDCKCVSMIGFDHVMHQWAVRWTEITDQSDETSFCSYCEEPCSGSFLGGSPIWCCLWCQRLVHVDCHSSMCNETGDICDLGSFRRLILSPLYVKESNRISGGFLSSITHGANEIASSVRASIRSQSKKSKHSRKPSIHHTGSSGNLRDMSTESTADSHHRVNGYHGTERNCNGSRTSEGRHQNGDINDKSISNTSLKKNSSLNHKDETHILGMNLRYEVIEMPSDARPLLVFINKKSGARRGDSLKQRLNMLLNPVQVFELSSTQGPESGLYLFRKVPHFKVLVCGGDGTVGWVLNCIDKQNFVSPPPVAILPAGTGNDLARVLNWGGGLGSVERQGGLCTVLHHVENAAVTLLDRWKVAMVDQQGKQLKSPQFMNNYLGIGCDAKVALDIHNLREENPEKFYNQFMNKVLYAREGAKSIMDRTFADIPWQVRVEVDGVEVEVPEDAEGVLVANIGSYMGGVDLWHNEDETFDNFDAQSMHDKLLEVVSISGTWHLGKLQVGLSRARRLAQGKSIRIQLCAALPVQIDGEPWFQEVPCTLVISHHGQAFMLKRAVEEPLGHAAAIITDVLESAESNNVINASQKRVLLQEMAKRLT >KGN65314 pep chromosome:ASM407v2:1:13722856:13723029:-1 gene:Csa_1G320330 transcript:KGN65314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAKRPLKEKKETPVAIKPQWSLGPISSAAQPTKHKSGFKKRETEKSKPKPLKGMKK >KGN66242 pep chromosome:ASM407v2:1:22178676:22180591:1 gene:Csa_1G588510 transcript:KGN66242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKPVTSPVPEAWYPTLAVLMISVGLIVTASFFIYEATSSRKNRSLAKELTRGTIASIFLGFGSLFLLLASGVYV >KGN64914 pep chromosome:ASM407v2:1:10018444:10018777:1 gene:Csa_1G154080 transcript:KGN64914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEHGLRAKGTEAKCSGSRWEQRNEAARKWASKIGVEQVRISVGRGWSNGHLGMMEERWLSQNLGLSYYLGLYFSD >KGN65279 pep chromosome:ASM407v2:1:13356488:13359950:-1 gene:Csa_1G294100 transcript:KGN65279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFLSAVVHSFGCSASGERLVSAARDGDFQEAQALLEYNPRLARYSTFGVRNSPLHYSAAHGHHEIVYLLLHSGVDINLRNYRGQTALMQACQHGHWEVVLILVLFGANVHKADYLNGWTALHLAATNGHSRCIRLLLADYIPSIPNFREIMSRQLTDNEESISEFDHRALSQIINQKADGGITALHMAALNGQVESVQLLLEFGASVSEVTVGDGTVIDLIGAGSTALHYAACGGNAKCCQLLIARGASLTTENENGWTPLMVSRSWNKNWLEDILTTEPVERPKLIPSRYISLPLMSIVQIAREYGWTSKSAPGCQDPCVVCLERKCTVAAEGCDHEFCTRCALYLCSTNCGTTISRGPPGSVSCPLCRNGIVSFNKLRSKALAKEIARTRLSFPIRQCSQETPSKPTPLTTQLRSLELCRVHDTPLGIYFCCHRLPSINLCRKTPDICHSLVPRCANGNFQNHLGRC >KGN65899 pep chromosome:ASM407v2:1:19518432:19519130:1 gene:Csa_1G537490 transcript:KGN65899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTRFSELGLKRNDCIEMSWIQSVLFFADFSIDAPLEVLMDRSSPQISDAFFTAKSDYVTSPISENGLEGLWSKLLEEDKSELIFTPYGGKMSQISESQIPFPHREGRIFGIQYLATWDNANENEKHLSWIREVYAYMESYVSKSPRAAYLNYRDLDLGTNYGRNTSYEEAKVWGLKYFSDNFKRLVRVKTKVDPSNFFWNEQSIPLLYHYEDDTKVTKVHSGLDFEIIQER >KGN65611 pep chromosome:ASM407v2:1:16904557:16911371:1 gene:Csa_1G470270 transcript:KGN65611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVSDVPICHTCGEPVGVDGNGQLFVACHECHFPICKVCVQYDIKEGRNVCLRCGSPFDENLLMDADTKRSGDRNTMASHLSHSQDVGVHARHVSSVSTVDSELNDESGNPIWKNRVESWKDKKNKKKRPAVKTEQEAQIPVHQQMEEKQISEEAAVTHSFSSVYPIPSSRLTPYRIVIIMRLIILALFFQYRITNPVDSAFGLWLTSIICEIWFAFSWVLDQFPKWFPVCRDTFIDRLSARFEREGEPSQLAAVDFFVSTVDPLKEPPLITANTVLSILAVDYPVDKVSCYVSDDGAAMLTFESLVETADFARMWVPFCKKFSIEPRAPEFYFSQKIDYLKDKVQPSFVKERRAMKRAYEEFKVRVNALVAKAQKTPDEGWSMQDGTAWPGNNPRDHPGMIQVFLGNTGAHDIEGNELPRLVYVSREKRPGYQHHKKAGAENALVRVSAVLTNAPFILNLDCDHYVNNSQAIREAMCFLMDPQVGRDVCYVQFPQRFDGIDRSDRYANRNTVFFDVNMKGLDGIQGPVYVGTGCVFNRQALYGYGPPTLPSLSKKSSSSSCSWCGCCSCCCPSKKISKDPTEIQRDAKREELDAAIFNLGEIDNYDEYERSMLISQLSFEKTFGLSSVFIESTLMENGGVAESANPSTLIKEAIHVIGCGYEEKTAWGKEIGWIYGSVTEDILTGFKMHCRGWRSIYCMPMRPAFKGSAPINLSDRLHQVLRWALGSVEIFLSRHCPLWYGFAGGRLKWLQRMAYINTIVYPFTSLPLVAYCSLPAICLLTGKFIIPTLSNLASTLFLGLFLSIILTSVLELRWSGVSIEDIWRNEQFWVIGGVSAHLFAVFQGFLKMLAGIDTNFTVTAKAADDAEFGELYMVKWTTLLIPPTTLIVVNMVGVVAGFSDALNGGYEAWGPLFGKVFFAFWVIFHLYPFLKGLMGRQNRTPTIVVLWSVLLASVFSLVWVKINPFVNQVDSTTVAQSCIAIDC >KGN66548 pep chromosome:ASM407v2:1:24851001:24855488:-1 gene:Csa_1G629050 transcript:KGN66548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKSIPFFFFFFFIITPFLHASPITTIVVLVMENRSFDHMLGWMKKLNPQINGVDGSESNLLSTTDPNSKRFFFQDQSHYVDPDPGHSFQAIREQIFGSDNTSANPPPMNGFAQQAFSMDNTSAMSGDVMNGFLPDKVAVYKTLVSEFAVFDRWFASVPASTQPNRLYVHSATSAGATSNIPALLAKGYPQRTIFENLDDAGMSFGIYYQNIPATLFYRNLRKLKYVNKFHDYGLNFKKDAKQGKLPNYVVVEQRYIDLPLEPANDDHPSHDVYQGQMFIKEVYETLRSSPQWNETLFIITYDEHGGFFDHVPTPVTGVPSPDGIVGPEPFLFGFNRLGVRVPTIMISPWIEKGTVVHSPKGSPFQTSEFEHSSIPATVKKLFNLSSPFLTKRDEWAGSFEFIVQTRTEPRTDCPEQLPTPVKIRETPANEKANLTEFQQELMQLAAVMKGDNIFTSYPEAIGKDMNVKEGRQYMREAVRRFFEAGRLAKRMGVSEDQIVQMRPSLATRSSLKPKQLP >KGN63783 pep chromosome:ASM407v2:1:2130067:2130666:1 gene:Csa_1G015755 transcript:KGN63783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNSSSLPPNQEINHGRRRFLGVRQRPSGRWVAEIKVSSQKLRLWLGTFNRAEDAAMAYDRAARLLRGRSAKTNFSYDYHHGFFNSTINQEQTPSLFEHSPKLCRLLQHALMKNRSRLISTTDHQYHHRRRHQQQQISRNNGGIDSVVEDTIFCSSTNLVENNNNSSNKGCGCGFSFGGSKVYTSVFVAPSFSSDVQK >KGN65164 pep chromosome:ASM407v2:1:12543265:12543605:1 gene:Csa_1G256730 transcript:KGN65164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVVGSRDTRGNFGNIKSRSFRLILSSQSPFSLDKQEASCAGEEPQWCAEPEMGRSFCRRWHLLAVILSLPMLCSSSVFGFSL >KGN64506 pep chromosome:ASM407v2:1:6580913:6581138:1 gene:Csa_1G062320 transcript:KGN64506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFHQNDAGFEPNLIAGSGLLETGVAEYKILSTVQYNSSIRVDNDEHELQLIGLGLH >KGN65054 pep chromosome:ASM407v2:1:11256013:11258751:-1 gene:Csa_1G185105 transcript:KGN65054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVVFSSSVFQFHAQVSRAYSSSQFLFLARRPSPSSQAPSISLFLAAVRLPPGFLPPILLPKAILTAWLADILHTHKIYWLVSL >KGN65774 pep chromosome:ASM407v2:1:18416840:18417141:1 gene:Csa_1G527900 transcript:KGN65774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCQSKFSKNEALGFDGFDESDQFEGILPMSPKLRNRIWDGSVQIDHLSLVSVQTDQRDRIIKSKGPKP >KGN64421 pep chromosome:ASM407v2:1:6001183:6003831:-1 gene:Csa_1G051590 transcript:KGN64421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTSGSSKRARGTHNGNTQPVSCLVDGCNSDLSNCRDYHRRHKVCELHSKTPQVTIAGLKQRFCQQCSRFHSLEEFDEGKRSCRKRLDGHNRRRRKPQTDLLPRSAAGPFSYHQGPQLLPFTSSQVFPSTTVSSHGWSSGGSDNATDSGVHHNRNPQLNFVEKQQSLFVETSDQTNSINNYKASPPLGLMHGGGGSERNKMIYDRFRIGINESDCALSLLSSPQTQIQSDHQPQQQRNASISLLHPLTHQNAFDNSSDTSSSSVQISNDTHHHHHHHPHPHPHGVLNFSGIFGISSDNPGNQSPSTLPFHWE >KGN64769 pep chromosome:ASM407v2:1:8436450:8438485:1 gene:Csa_1G096040 transcript:KGN64769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSTTLPVGNNNGGTRSVEISSFATQVVLGRWFALFASFLVMTGAVVLVTCVKNFPERRGVILGLLKGFLGIGGAVLTQIHYAIYGHETKSIILLIAWFPSLITLLFAFTIREIRVVKHPNEFRVFFHFLFVSLILPFFLFILIILQGRVHFDQLAYTFVVVAIMGLLLTPLFIAIREELVQWNLTKITQLVKSQTITQKRLTSISPPTPKTTSFFENIFDKPERGEDYTFLQAVMSIDMFILYLTMIIGIGSSFTAMDNLAQIGESQRYSTESIDLIISMASIFNFLGRIFSGFASEILLEKFKFPRPLMLTFTLLVSCIGNILVAFPFHHSLYVASILIGFCLGSQIPLYFAMISEIFGLKHYSLLYNFGQLSCPVGSYILNVLVAGRFYDEEAKTINGNSIYLTCKGEFCYRNSFAILTGMSLVGAVISLILVKRTNEFYKGDIYRKFREDMDSLKSEVELYRIDTKSEIDSVNVCRQTTDTSSMRL >KGN64839 pep chromosome:ASM407v2:1:9264483:9268143:1 gene:Csa_1G124520 transcript:KGN64839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMFRNPTMGNGDCIEGMIKDYVGGKGKLRPQRSSSTKIVAGLTCLQFAFALYATFLLYYVSPAIDLRTKPDFSWATRIAQQWKQFVIPPHVVGRYQEPNSMMMQAELRPITPEEACENEKIDFEQKKSNDGQMIKLKTELYNEILDFQSKSFGTETLSQLMAMKSKWDLKGPNKPKVTVILNHFKRKTLCAQLNSLLHQTLPFHHVWVLAFGSPNELSLKRIVDSYNNSKISFISSSYDFKYYGRFQMALQTEADLVYILDDDMIPGRKMLQILSHVAGTDKYKNSVLGSIGRILPFRQKDFTFPSYRKFRSKEAGLYLPDPAYDITVNKIVQVDFLSSSWFLSAELVKTLFIETPFTFATGEDLHLSYQLQKYRDAGSFVLPVDPKDKETWGDSEHRLAYVSETTVIFKDIVQVRDDQWWKALSTGYITQWAAMHPQKIDALFYAHSVDEAKALAPLLEKFRSTVGKKAYIVVSGGNFCPCEDVADALKWPKLVCKERRFKIFDLAIGALSGISNSEVPVVQAVYASMKGLIKIHNPSVIITVADIDPNVKKALKMASEANLNGTTLVLLPRPSISKVLWMANLRSTALPNWNKMRISINIITQNRASSLTRLLKSLKDAYYLGDEIPISFNMDSKVDEETIKLVSSFEWPHGPKSLRRRIIQGGLIRAVSESWYPASDDDYGLLLEDDIEVSPYYYLWIKYALLAYHYDPQISLPELSSISLYTPRLVEVVKERPKWNATEFFKRIHPNTPYLHQLPCSWGAVFFPKHWREFYVYMNSRFTENAKENPVQIPKSRTNGWQASWKKFLIDMMYLRGYVSLYPNFPDQASFSTNHMEPGAHISAKDNIVKHKKEDFEVPLLKENFVNFLPNEKMPAASRLPSLNLFNQPVSLKGLKSAGAKLRQDVLKCEVSEIVVVNHGTGLPSHCAKF >KGN65292 pep chromosome:ASM407v2:1:13476932:13477329:-1 gene:Csa_1G302190 transcript:KGN65292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFQRIKINMGKRNKNNRELQIGYLKLRKDSDADDCDSYELPIIVIFILHSFFPISHSSSSSSLGPHLIRKFVMGMGSSLLGPTEDFHVYPQQTV >KGN66473 pep chromosome:ASM407v2:1:24003627:24003956:-1 gene:Csa_1G612960 transcript:KGN66473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLYNLISNRLGEEKRREEKRREEKRREEKRREEKRREEKRREEKRREEKRREEKRREEKRREEKRREEKRREEKRREEKRRGGVDVGKENRCSGPVRDPHVAHSNEGG >KGN64414 pep chromosome:ASM407v2:1:5973112:5973641:1 gene:Csa_1G050530 transcript:KGN64414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTWGFIQTSVIFLARLVAMSRVEGGFPVVAGNGSSVTMSQLFTDPLEKESRLNEGSELKKRVKGHASQSEVRNKTMGEIPV >KGN64195 pep chromosome:ASM407v2:1:4626326:4633021:1 gene:Csa_1G042990 transcript:KGN64195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQWMLLAYTVAVEAAIALLLTVPSPKLLKKRFVSLISLILQPALFVVPFSGFQILDIYWKNEHRLMCTSEICTAAERDRYEKSIYKAQRNVILCIAACLLYWCIYRICKYNKEIESLEEVEKRYKEQLEIQLSHVFFISFLHFFLSGTVRLPPFSRVSLLLRVRMEAAMATVIGSPVGVFARLNSSGRSEIFGNGLGFVRFPSLSHVPIRLCPQVSYSKLWNKKLGTGIRRSTIVKASMDAESSESDEPIAPLQLESPIGQFLTQILVSHPHLVPAAVEQQLDQLQNDRDAEGNKEASGSGTDLVLYRRIAEVKANERKQVLEEILYALVVQKFMDANVPLIPAITPSSSDVSGRVDTWAANDENLEHLHSPEAYEMIQNHLSLILGNRVSDSTSVVQISKLRVGQVYAASVMYGYFLKRVDQRFQLEKTVKVLPKASNSEDSIIQQAIGEDVRPYVGENSPPVSPHPEIASWPDHDENSFGGVSQSVKASRLRNYVMAFDGETLQRYATIRSKEAVGIIEKHTEALFGRAEIVITPQGTIDPSKDEQLKISFGGLKGLVLEAVTFGSFLWDVESYVDSRYHFVVS >KGN66416 pep chromosome:ASM407v2:1:23452856:23456105:1 gene:Csa_1G602530 transcript:KGN66416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVANQPSLIPMPFLVIFFVFSIFFGFGITLSKAVSQTRYYKFEVEYMFWSPDCIENIVMGINGTFPGPPIRANVNDTVVVEVINNLSTEGVVIHWHGILQRGSPWADGTASISQCPINPGENFTYEFKVDKPGTYFYHGHFGMQRAAGLYGSLIVDLEEGKKEPFQYDEEINLLLSDWWHASVHEQEVGLKSNPMRWVGEPQTILINGTGQFNCTSLEKYNTSSEHCEWKDQCAPFVLKVEPNKTYRIRIASTTSLAALNFAISKKFHHFSFLFQNHTMLVVEADGNYVQQFVTSDIDIYSGESYSVLVTTNQSTTQNYWASIGVRGREPKTSPALLLFNYLPNSVAKLPISPPPEIPKWNDYDRSKNFTYRVLASAMNTTKPPPIFTRRISLLNTQNLMNGNFIKWAINNISLTLPSTPYLGAIKFGLNSTFNQTAPPETFPKNYNIKIPPPNPNTNTGNSVYRFRSGEIVDVILQNANALSNNTSEIHPWHLHGHDFWVLGYGEGKFSDEDETKLNLKNPPLKNTVVIFPFGWTAIRFVADNPGVWIFHCHIEPHLDMGMGVIFAEAVEKVREIPPYALACGRTATPQVVPIIPRPNPPGLDNPYYIIWIGLVIFLCVIGLCYVCLYRIRFNNLSWRPYLGISESQSNQIEFSDLSQSNPNPNPTHEEP >KGN65186 pep chromosome:ASM407v2:1:12662970:12665234:-1 gene:Csa_1G257920 transcript:KGN65186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASMAVSFGLRFSPLCSRPSFRVSHTSVGIASVNKVNSLKLSSSHNISGFGFNSLQKPSHISPTSQIRTPFTVFAAKGYKMKTHKASAKRFRVTGRGKIVRRRAGKQHLLCKKNAKRRLRLSKMHPVSRSDYDNVIGALPYLKVNRQAQ >KGN66297 pep chromosome:ASM407v2:1:22555178:22556400:-1 gene:Csa_1G596470 transcript:KGN66297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSTSSSSCSSSSSTFVSQEEFNLFHKIDRQLYTILAINIGRDPIESLQIMAFWLWLERVGFRHVVFRLLRLPVLLINELAEEALAALACIVSDHPPPPSSDEYNNTNIPLTQNFMKKEISLQFLYANRHTAFEGVAKIRNEVCFRAMKDIMLRALSHRQISAAAAVVTAPLPPPPSGGDLQTQVPPEERAMFVTFSKGYPVHEWEVKDFFNTNYGDCIENFQMQEVEANEQALFARIVFKFPSTIDLILRGQPRMKFTINGKHIWARKFIPKQRLSPPSPPPSSAVAPPTPLITNNLRR >KGN66135 pep chromosome:ASM407v2:1:21378678:21378881:1 gene:Csa_1G573050 transcript:KGN66135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMITGNLNANAAVCCNNNPSLGSCKPGVDDSPQANGKCWRLCTSGCTKGGFCKRVGRNGRHVCHCYC >KGN64067 pep chromosome:ASM407v2:1:3883862:3888739:-1 gene:Csa_1G039320 transcript:KGN64067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKLFSRKLVSRLSNSYANSLDCKDCASFSTLVRTHNQNHLFGSFVTRPIQDHSRSWSSHRSFAQKIHGFFSVPVVGKRFIVNPSNTYFKGSMKSVVESRSLFTGTPFSKLKFQLKPGFVHQWGGRRSWFQRLTPNEVVLGLIIANVAVFLLWRIADPIFMSKNFTISLENVRSGRIHTLITSAFSHIDTGHIVSNMVGLYFFGMNIGNVFGSEFLLKLYLAGAIGGSAFYLAHHLFQALSSKSRSFWGEDPVRAKGLGASGAVNAIMLLDIFLFPKATLYLQFFIPVPAILLGIFLIGKDMLRMLENDSQISGSAHLGGAAVAALAWARVRRGRF >KGN66292 pep chromosome:ASM407v2:1:22537064:22539018:1 gene:Csa_1G596420 transcript:KGN66292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKMMVLPLFFAFSFLAASLSDALVQDFCVADLMSADTPSGFPCKKASLVTEKDFVFSGLGVAGNTSNLIKAAVTPAFTAQFPGVNGLGVSLARLDIAVGGVIPMHTHPAGSEILLVVEGVICAGFVSSANTVYFKTLNKGDIMIFPQGLLHFQINSGKTAALGFVSFSSPNPGLQILDFALFGNELPTDIVAKTTFLDPVTIKKLKGVLGGSG >KGN63441 pep chromosome:ASM407v2:1:99074:100705:-1 gene:Csa_1G000650 transcript:KGN63441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYLETPAGAGFSYANNSASHGTMDDEATANDNLIFLRRWFDQFPHYKHRDLFLTGESYAGHYIPQLARLMTELDKKKKLLNLKGIALGNPVLEYATDLNSRAEFFWSHGLISDSTYTFFTATCNYSRYVSEYYRDSVSEVCLRVMTQVNKETSNFVDKYDVTLDVCISSVLWQSKYLRPRPQHEGERTDVCIEDETVKYINREDVRKALHARLVGVHKLTVCSEVLDYELLNLEIPTISIVGSLVEADIRILIYSGDQDSVIPLMGSRLLVHRLATKLGLNTSVPYGAWFQGKQVAGWSQVYGNVLSFATIRGDSHEAPFSQPQRSLVLFKSFLDNRPPPQVL >KGN63732 pep chromosome:ASM407v2:1:1823926:1827666:1 gene:Csa_1G013800 transcript:KGN63732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAPTAGGISKVLSNPSPDSPSDVPDVVVQVIDLKATGNRYMFTASDGVMKLKAILPSNLTSDVISGNIQNLGLIRILDYSLNDIPNKSEKYLIVTKCEVVSPALEKEVKTEVKTEEAGTILKPKVELDDKSTFNNGSGIILKPKQELVAKSAAQIVHEQRMNMAPAARMSMTRRVQPLVYLNPYLGDWTIKVRVTDKGNLRTYKNAQGEGCVFNVVLTDEDGTQIQATMFNDAARKFYEKFSLGKVYYVSRGTLKVANKKFKTVQNDYEMTLNVNSQVDEVSNEAAFVPETKYNFVKIDMLGPHVNGRDLVDVIGVVQNVSSTMSIRRKINNETAPKRDVTIADETKKTVVISLWNDLATTVGQELLDIADQSPIIALKYLRVGDFQGVSLSTISRSSITINPDIKEAHDLRSWYDSEGKAVSMSSVSSGLSPSTKSGSRSMYSDRISLSHILENKSLGEEKPTFFSIRAYVSFIKPDQTMWYRACKTCNKKVTEAIGSGYWCDNCQKNDEECSLRYIMVVRVSDASGEAWVSTFNEEAERIMGCSADELDQLKSQIGEENSYQLKLKEATWVPHLFRVSVSQNEYNNEKRQRITVRSVAPVDFAAESRFLLEEIAKMKAS >KGN63948 pep chromosome:ASM407v2:1:3304034:3306353:-1 gene:Csa_1G031720 transcript:KGN63948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLTLSLMLCLLSVAAMQTVRGEDPYFFFTWNVTYGTISPLGVPQQGILINGQFPGPNINSTTNNNLVINVFNNLDEPFLLHWSGIQHRKNSWQDGLLGTNCPIPPGTNFTYHFQVKDQIGSFFYYPSTAMHKAVGAFGGLRVNSRLLIPVPYADPEDDYTVLIGDWYTKSHSTLKKFLDSGRSIARPDGVLINGRIAKGDGTDEPLFTMKPEKTYKYRICNVGLKTSLNFRIQGHTMKLVEMEGSHTVQNVYQSLDVHVGQCFSVLVTADKDPKDYYMVASTRFTKNILVGKGIIRYTNGKAPASPEIPEAPRGWAWSLNQFRTFRWNLTASAARPNPQGSYHYGSINITRTIKIVNSATNVDGKLRYAINGVSHVDPETPLKLAEYFEVADKVFKYDTISDEGLSKTANIVTVAPNVLNATFRNFVEIVFENQEKSLQSWHLDGYSFFAVADEYNIPDNTLLCGLVKDMPLPPPYTI >KGN66039 pep chromosome:ASM407v2:1:20835665:20839318:-1 gene:Csa_1G569170 transcript:KGN66039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSSSFNMKKRGAASEGVELVEENGLKRRSCSSSPLPTGSGHDTTQAGALIEQLRWNFMTDKLRELEYEIEKRISHSINSPSCGDETEGREREREVLRDGLIKEKLPEWENEFRETVMKELDRIAQLQLKPPPPNPLSYQYERGSGSGTWKLRLHFCNQIASIMYPKDEIKGIDDNPLRLEIRDAYYNTIIDTGLLSSAPFQIFLFEEENDSKRSPDFVPADKLRELMSFKAIQICTYLFTA >KGN63445 pep chromosome:ASM407v2:1:107382:112580:-1 gene:Csa_1G000690 transcript:KGN63445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISVLPGLSSSTYRPYVHLHIPLFRFVPKADAYVSKSLPLPTHFPEFNDDDSKLRNWKRFSSNHLGIATSMIPIPTRKVLNGLKKTGYEVYLVGGCVRDLILNRVPKDFDIITSAQLKEVSRIFLWCEIVGRRFPICHVHIDGTIVEVSSFSTSNRPFDRQLTSAAIEKPMNCEEIDFVRWKNCLQRDFTINGLMYDPYNNVVYDYLGGMEDIRKAKVRTVVPACTSFQEDFARIFRAIRFAARLQFHFSKDIAGSIKNLSCLVFTLNKARLQMEMNYLLSYGSAEASLRLLWKYGLLEILLPIQAAYFIRNGFRRSDKGSNMLLSLFSSLDKLLAPNRPCHSSLWVAVLALHVALSDQPRSPLVVAAFGLAVHNGGNMMEAISIAKSINRAHNANFCELLEPENLEVQALIDEVMDLTTFVKAALHKMTDEHFVSLALETYPQAPASDLVFIPLVVYLKVCKIFECVVEGAERGFVPKRGKINYECLALGNLLELRHVFARIVFDTVYPL >KGN63869 pep chromosome:ASM407v2:1:2653366:2656281:-1 gene:Csa_1G025010 transcript:KGN63869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSLDEDCDYLFKAVLTGDSGVGKSNLLSRFSKNEFRFDSRPTIGVEFAYRNIKVADKLVKTQIWDTAGQERFRAITSSYYRGALGALLVYDITRVATFDNIKKWLRELREFGNPEMVIILVGNKCDLHQSREVQEEEARHLAELENLFFMETSAKDNLNVEEAFLEMVRRIHAIASQKTLDLHKKFEKLVAFPNGKEIISIHEVTPTKHNSNCCSL >KGN66012 pep chromosome:ASM407v2:1:20574007:20575392:1 gene:Csa_1G561920 transcript:KGN66012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRKELLMTSILNPLLLHIGTWKFEGNGMKDVMVVKLNFVRKQICYEVMWNGSRKKIEVDWSNIVGIRASLNDNGFGILEVELSRPPNLYEILNNNNNVDSHRRHNKWVVGWDFTQGQAPLCREHLIVFPLGMLDKHYRRLISFDKRLFELSQKPFPSNEMPFFYEQQQPNIVYRPNQFTMSTSNNQILQLPHHLPQHLTQFSVRPSGCVRLPPSSRSNIRTSNTMNNNYFHWKPVVPAEIVDNGSSCIVGQGMLMVSETIGYTTWHNVDLVMSRSSNNNNNPPDRSSQHYSGEGSSRGYNSSNWTTNENRVRKPPPQ >KGN66588 pep chromosome:ASM407v2:1:25330477:25332239:1 gene:Csa_1G633390 transcript:KGN66588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKEQEHQSPRSNWSETVEDLVTAGDTDAAISLLQSVVSDLQTSQNSNPDPQLAAALTDLSALYSSKGLSLKADDIAAKAFLLKHQAQVSCPTGYGKIMNEDRTSPTTVSLSSVDEASVGTGNLDRTRDSPDNAVSCSASLDDDDFYMLDSIDFYMLDSMNWKSKFPLILEDGNRFGFLEDKQIVPLWQTSCASFWLVFNLPQRVVSKQSDL >KGN64807 pep chromosome:ASM407v2:1:8775945:8782633:1 gene:Csa_1G108810 transcript:KGN64807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPKESPANNPGLQATPDDATKGYIMQQTMYRIKDPKVSLDFYSRVLGMSLLKRLDFPEMKFSLYFMGYEDTASAPESSVDRTVWTFGRKATIELTHNWGTESDPEFKGYHNGNSDPRGFGHIGITVDDTIKACERFERLGVEFVKKPDDGKMKGIAFIKDPDGYWIEIFDLKTVGKVTSEAA >KGN66510 pep chromosome:ASM407v2:1:24393283:24397532:-1 gene:Csa_1G616280 transcript:KGN66510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNVGARLSSMTTEDVFIVQFPCQILLGDHSPSLVPSETTFFTVSTLSRPPSFSFCFGQCSLSGETKPLLQPTTTKERPLQLLFRCGPAVSTRSALFFCFFIQQQHYTTPLLVNNRHQLCFLLFRPFGHVPASVQVHFVQWLS >KGN64265 pep chromosome:ASM407v2:1:5053138:5058427:1 gene:Csa_1G045630 transcript:KGN64265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTTKGGKVMNPTDAYRKELRKKELKRNKKERKKVREVGILKKDPDAIKEQIQKLEMMKADGALDKARKHKKRQLEDTLNLVLKKRREYEDKMKEKGEVPVMFSHLGPPKRRTAEEEEERGKHPNPEDSVYHHPTLNPTGAPPPGKPPMFKSSIGPRVPLSDASTSGAAPSLNMEPEDVPLAVPPPPPPPPLPDSAKLGSADGPALPDSLPLPPPPPLPPKPVASNLGLPLPPPPPGPPPREQVAGRPPFLLPPSLQQSTMMLPSGTSEGEKERSQPSAFLDDSTSKMPAQVPTTLPPPPPPPGMPPKGDQSEGVSGDEEANNSLVIKDVSKLVPPPPPPRPPPGPGPSLIPTLQPDVLPPGISRFPPPPPPPDMRPTLSAPGVPLPPGMMVPLMPRPPFGPPLGPPPMMRPPLPPGPPPIFHEDDHNAQMPLVPQKPSYVKSAASTVVKRPLAQHTPELTAMVPASVRVRRELAAPKSKPKPSPSTTAAPSLPTAPIVGKPELVSSSSAPKKQSIDDSYMAFLEDMKALGALDE >KGN64878 pep chromosome:ASM407v2:1:9646249:9646558:-1 gene:Csa_1G144310 transcript:KGN64878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLEIDEEERVAVRLMKRKLEKTIAITLEERQRRKPEKKRRTKREKVIGEELNKEKRKRNERREKESRRRKPKNKEEKQREENKNGTRRNSFLLFPQISY >KGN64959 pep chromosome:ASM407v2:1:10452789:10457383:-1 gene:Csa_1G168360 transcript:KGN64959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVPTTAPTDSQLIAEVDMGSDSSVMNVRATVVQASTIFYDTPATLDKAERLLAEAAGFGSQLVVFPEAFVGGYPRGSNFGVSIGNRTPKGKEEFRKYHASAIDVPGPEVDRLAAMAGKYRVHLVMGVIERDGYTLYCTVLFFDPQGRYLGKHRKVMPTALERIIWGFGDGSTIPVFETSIGKIGAAICWENRMPLLRTAMYAKGIEIYCAPTADSRDTWQASMTHIALEGGCFVLSANQFCRRKDYPPPPEYVFSGTEEELTPDSVVCAGGSAIISPSGTILAGPNYDGEALISADLDLGEIARAKFDFDVVGHYARPEVLSLVVRDHPTTPVTFTSTSTKVEDSCKK >KGN64632 pep chromosome:ASM407v2:1:7285425:7287035:-1 gene:Csa_1G071940 transcript:KGN64632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWLLSLKATLMSAGVISMALALKVSVPLVFEFSVLYVPLIWNSLISCLRPPYIYIIINGIIISIVASSRFHQKEADAYVEIPSATKASEDIGYREIVSEYTVIESPMVYEQRDELIVSELKAIDAIDFQVEEIIAPEVKEIEAVVLPREEVIAPETKVIEAISSIEAEAEDEDKFVISTNRTRNSLKRMGLPEKPLVSSRFGHRKSAKASPEGGRALGVISKAKRHETLENTWKAITEGRAMPLSRHMKKWETWENQMNGGEVEIKAERTNQGTTTVKLRKEASMSQDDLNRRVEDFIRRFNEEMRLQREQSLKKYWEMVNRES >KGN65552 pep chromosome:ASM407v2:1:16284452:16284859:-1 gene:Csa_1G445885 transcript:KGN65552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFNVELLLCMLQPGRIPFYVTCYNRLACSEVREFEYREKTPTLSRPNALKCAPEDELWFQMCLIRLLNLGSEENLLNYSIKKCEKCQIIGLINSSRNDVAKWRMTKGSQGSIKSDGMNHNDYMIQSLLEDKLCK >KGN65513 pep chromosome:ASM407v2:1:15867719:15870747:-1 gene:Csa_1G433610 transcript:KGN65513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQFLLLLLLPSIVFLPSHALNIGVQALDASVTMSKDCSRKCESEFCSVPPLLRYGKYCGLLYSGCPGEHPCDGLDACCMKHDACVVAKNDDYLSQECSQSFLNCMENFKRSRSSPFKGNKCQVDEVIEVISLVMEAALIAGRVFHKP >KGN65932 pep chromosome:ASM407v2:1:19824437:19838341:-1 gene:Csa_1G538790 transcript:KGN65932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKWSLLWPFWAILAIHGVAILIFVKGFLLTRTELPYFSHCSDVSQSPCFTPDSFFHSNPSVPSPSYATNFSRCWTNPAVNRIIIIVFDALRFDFVAPSSFFEESKPWMDKLRVLHKMASERASSAKIFKAIADPPTTSLQRLKGITTGGLPTFIDVGNSFGAPAIIEDNLIHQLVQNGKRVVMMGDDTWMQLFPNHFQKAFPYPSFNVKDLHTVDNGCIEHLLPSLYEDDWDVLIAHFLGVDHAGHIFGVDSSPMFEKLEQYNTILEKVVDVLESQSETGGLHENTLLLVMGDHGQTLNGDHGGGSAEEVETSLFAMSFNKLSASIPSEFGTSSCQLDSQGREICTSSIQQLDFPVTLSALLGIPFPYGSIGRVNPELYALGAGSMKLDGTKVGSYLNQSGGWMQNYVNVLCVNSWQVKRYIDNYTASSVIGFSDEDLLHTRSLYDDAMESWSHIRKGLLSNDDGSDNIPSLKRQIDAYSNFLASVAELARSKWTEFNLKMMTLGFSLMLASLFVHFLAIKRISKLCSSSFANEDCGTTFELMLSCFLVAIRACSFLSNSFILEEGKATSFLLATSGIIMLRYSIAERKHFLKVVIFLLLMIYCRFTIEVGLLKQADTSAFLKVYPSWVLEIASLLPGWTYVTEAVPIIALILLVQLLLKNVSGSQSKGMWQFVVYGTIFCYILTGVHWALENDMLHFVPAVEGIGKNCLPRIIYAIGLGQLSLLLFRQLFGEDKPLNCRKTLVTKTVTMLAACSPTVIILAGKQGSLVALASVLGGYCIISMDNLRHGGDGNDRVLTVDSLPVTQWSLFAICLFFSSGHWCAFDGLRYAAAFIGFDEFVLVRQAVLLMIDTFGFSIILPIFGLPFIVANKYSSTQAAKVESSLFMGLSQAYLMYGLVTAVPVTATILCVILQRRHLMVWGLFAPKFVFDVVALILTDVCICLATLYYVPHLSS >KGN66776 pep chromosome:ASM407v2:1:27586691:27588117:-1 gene:Csa_1G690150 transcript:KGN66776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADMIVNSQYQILEELGRGRFGIITRCFCPISNKFFACKTISKNDLNDETDKECLVKEPKIMALLPSHPNILQLIDVLENDHYLCLISDLCESLSLYDRIIRRPFSESEAAVVMKQLLQALAHCHSHGVVHRDVKPDNVLFDSRNNLKLIDFGSAEWCDEDGFMYGVVGTPYYVAPEVLRGSEYGRKVDVWSAGVILYTMLAGFPPFYGESAAEVFEAVLRGNLRFPTRVFRSVSSAAKDLMKKMICRDVSRRFSAEQALRHPWILSGGEATSSVE >KGN65491 pep chromosome:ASM407v2:1:15734430:15737698:1 gene:Csa_1G426440 transcript:KGN65491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFVAEKWKFSILYLGFIYSIIFLLHSLISHKLLLGYQAVHIKKNPDLPLRFRSDGTFKILQVADMHFGNGVNTRCRDVLDIEFEHCSDLNTTRFFKRMIEAENPDFIAFTGDNIFGPSTADAAESLFKAFRPAIEHQVPWAAVLGNHDQESTMTREELMSLISLMDYSVSQTNPSTNNLPSNGNQMIRNIDGFGNYDINVYGAPGSHLANSSVLNLYFLDSGDKAVVQGARTYGWIKESQLKWLRDVSQRYQGTNQERFPSMDALAQGKPLALTFFHIPIPEIWNLYYKKIVGQFQEGVACSSVNSGVLQNLVAMGDVKAVFIGHDHTNDFCGNLDGIWFCYGGGFGYHGYGRLGWSRRGRVIVAELGNNKKSWMGVERIRTWKRLDDEELTKIDEQILWERDQQPQ >KGN65915 pep chromosome:ASM407v2:1:19647432:19655465:1 gene:Csa_1G537630 transcript:KGN65915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFVKPENALKRAEELINVGQKQDALQALHDLITSKKYRAWQKPLERIMFKYVELCVDMRKGRFAKDGLIQYRIVCQQVNVTSLEEVIKHFMHLSTEKAEQARTQAQALEEALDVDDLEADKRPEDLMLSYVSGEKGKDRSDRELVTPWFKFLWETYRTVLDILRNNSKLEALYAMTAHRAFQFCKVYKRTTEFRRLCEIIRNHLANLNKYRDQRDRPDLSAPESLQLYLDTRFEQLKVATELELWQEAFRSVEDIHGLMCMVKKTPKPSLMVVYYVKLTEIFWISDSNLYHAYAWLKLFSLQKSFNKNLSQKDLQLIASSVLLAALAVSPYDSKHGASHLELEHEKERNLRMANLIGFSLDSKLESRDVLSRENLFSELVSKGVLSCATQEVKDLYHLLEHEFFHLDLATKLQPLLNKVSKLGGKLSSASSVPEVQLSQYVPALEKLATLRLLQQVSKVYQTMKIESLSQMIPFFDFSAVEKISVDAVKQNFIGMKVDHSRNIVLFGNLGIESDGLRDHLTVFAESLNKARAMIYPPVLKASKTSDILPDLADIVDKEHKRLLARKSIIEKRKEEQERQLLEMEREEESRRLKLQKITEEAEQKRLAAEYEQRKNQRIRREIEERELEEAQALLQEAEKRVGKKKGSRKPVLDSEKLTKQTLMQLALTEQLRERQEMEKKLQKLAKTMDYLERAKREEAATLIEAAFQQRLLEERMIHERDQQLEVELSKQRHEGDLKEKNRLSRMMESKKSFQERVISLRQEEFSRRRDEREEHIRQIIQARKAEREAQRKKIFYVRREEERIRILREEEEARKREGDAPARPDVAPSSQPLEPGTAAPAAAAAAAAATSPAKYVPRFRRTEASNPDAPPPDSGRWGGSRPDNRPSRPDSWRNDDSRSAFGSSRPSWSSSRAPARASTDR >KGN64730 pep chromosome:ASM407v2:1:8021218:8022246:1 gene:Csa_1G084290 transcript:KGN64730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVASFCCALLSVLLLMQVSVSADSPADSPAPPPETGVDSPPPRSSSGISSPPDASPRNAPVRSPPSPPPSDLAPTSPSPPPSSSPAPSPPPSEASDFGRSSVINEDESDEESKDGMSGAQKFGIAIGVVAAVAFIGFGGVVYKKRQDNIRRSHYGYTARREIL >KGN64317 pep chromosome:ASM407v2:1:5361199:5364366:1 gene:Csa_1G046140 transcript:KGN64317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDDTTTSSYWLNWRVVLCIIWVLLTLSFALFLIWKYEARGNKECDREESQKEEAGALYDDETWRPCFKGIHPAWLLAFRVLAFCVLLVLLIVTAIVDGGDIFYFYTQWTFTSITIYFGLGSLLSINGCYQYQKKVSGERVDNVEGDAEQGTSAGGNGSITSNTEKNSSRHEEHHLVRQRAGFWGYVFQIIFQMNAGAVMLTDCVFWFIIVPFLTIKDYNLNFLIINMHTINAVFLIGDTALNSLRFPWFRIGYFFLWTVVYVIFQWIVHACVRLWWPYPFLDLSSSYAPLWYLSVALMHIPCYGIFTLIMKLKHHVFSTRCPQSYQCMR >KGN65234 pep chromosome:ASM407v2:1:12996004:12997771:1 gene:Csa_1G268280 transcript:KGN65234 gene_biotype:protein_coding transcript_biotype:protein_coding description:Temperature-induced lipocalin MAKKEMEVVKGLDLEKYMGRWYEIASVPSRNQPKNGVNTRANYSLNEDGTVKVVNETWSDGKRSSIEGVAYKADPSSQEAKFKVKFYLPPFLPIIPVVGNYWVLYIDGDYQYVLVGEPSRKNLWILCRNTQLNEAIYNELLQKAKDEGYDVTKLHKTPQSEPPPETDEGPKDTKGIWWFKSILGK >KGN63438 pep chromosome:ASM407v2:1:88982:89296:-1 gene:Csa_1G000620 transcript:KGN63438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERDVANDILLLKLLSSLNCVNLGPPFVLFASSPEILSTPPSTTQTMHCSLIKLEKSDIHKSTSTSTTFFNKNKNVLPRTNFNSQYTITPFLCSISLSRPAKAF >KGN63920 pep chromosome:ASM407v2:1:3000102:3001283:-1 gene:Csa_1G026000 transcript:KGN63920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDGGFRKARLELEALYEGVPDDSVNLTFRHLTDVNLQPNSSFEKRRANPSIPIPIPIPIPGDKETTPLNKLPSLDFNRALQAANQHSRPDAKISLHPSAQCGLRGGKPYHGGESRIGGIGSMYDHHRATAGSRRPGIPHSNICTNCTTYIYIFRHRCLVCGRVYCRQCVRIGMGEMTEGRKCIQCLGRKFSHRYIGKAGDVGCFSWRYSSAVKQAELKWAEKGPRRKGERALHRRGYGGGVTNSISMTSPSQSPWAPTTTSVDVSTIYSSRFAVMSTSSPHSPVHRHHLPF >KGN66920 pep chromosome:ASM407v2:1:29125936:29138564:-1 gene:Csa_1G716240 transcript:KGN66920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELEVRTVGGMENCFVSLPLVLIQTLERRPGFASAMDRLSEILVLELRNSSSDEVWTVSWSGATSTSSAIEVSKQFADCISLPDCTTVQVRAVSSVPNATEVLIEPYSEDDWEVLELNAEIAEAAMLNQLRIIHEAMRFPLWLHGRTVVTFRVVSTSPKCGVVQLVHGTEVEVFSKTRKKFMDSRKAMLRVQDLDKRLIYNSNCTGIEIRVVPTSVAFIHPQTAKSFSLNSLELVSIMPRSSRKDSGQRSENNDLGKLKGSTAEANSGERNNGEKNQPTIVYLLNSNLVNEGHIMMARSLRLYLRINLHSWVLVKPQNVNLKVDFSSASLSPCYFKVYEDDVPLAKNDLKASDIHRSVKRKNMVGKTSSLSFMDVANVSAHEQVVDVLTRESSCREDEDACHLPSVKKGLQILFREWFFAHLNAMASSVGTEVNSVLLGNQSLLHFEVSGLKFGTKGNIKSASVNASEYTTKTVEILYAMTIFEEPLQGVFSNAFKLSFDEQNKCVINLGGVELSKRLHFGDPVSFSTIKEKTYVEVDSLDVSSLSWLDESLPNVINRIKVLLSPRAGVWFGTHNIPLPGHILICGPPGSGKTLLARAAAKFLQEYDDLLAHVVFVCCSQLASEKVQTIRQSLLNYVSEALEHAPSLIVFDDLDSIILSTSESEGSQLSASMSAITEFLIDMIDEYEEKRKSSCQVGPIAFVASVQTLDKIPQSLRSSGRFDFHVELPAPAALERAAILKHEVQRRALDCSDVTLQDIASKCDGYDAYDLVRSHFLLCCYFYFLLLNILRIDINVIRAIDSTNC >KGN66080 pep chromosome:ASM407v2:1:21029667:21034336:-1 gene:Csa_1G569560 transcript:KGN66080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYTAIDTFYLTDEQLKNSPSRKDGIDETTETTLRIYGCDLIQEAGILLKLPQAVMATGQVLFHRFYCKKSFARFNVKKVASSCVWLASKLEENPRKARQVIIVFHRMECRRENLSIEFLDPSLKKYADLKIELSRTERHILKEMGFICHVEHPHKFISNYLATLGTPPELRQEAWNLANDSLRTTLCVRFKSEVVACGVVYAAARRFQVPLPENPPWWKAFDGEKSGIDEVCRVLAHLYTLPKAQYIPVCKDGDSFTFSNKSWDSQSLPVAKEVPQSSPTANDDPSIVKATSGTNLESGVSKDEMLKLALNKLKESKKSDDESKSLMPEATTREELVPRSKSDRRADIGERNKERERERDRERERERDRTKSRDRDRGRDSDRERERDDADREKIKDRAHRSKDRGKELGGHLEKSRHHSSRDREYHSSSYSSRDKDRHRHH >KGN65397 pep chromosome:ASM407v2:1:14941014:14943934:1 gene:Csa_1G408200 transcript:KGN65397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVMGSTAELSLECTVESYSMLLKYSFGDHHDHDQSYDKLQDFVSRLEEERLKIDVFKRELPLCMQLLTNAVETSRRQLQACKTMEAAAAVGAPTEPILEEFMPLKNSTPAEDEKPTTITSDKANWMTSVQLWSQAGDVSKQQSESTDDHSKLQDRNNNNNNNNKDNNLETCSQRINNNGAFVPFNKDRSSGPAAKMELLEKGEKKNCSDNNSSIDGGVVVMAAEQRSENGAAEVGSGPTTTQTHRKARRCWSPDLHRRFVNALQMLGGSQVATPKQIRELMKVDGLTNDEVKSHLQKYRLHTRRPGPTQQASGPPAAQLVVLGLGGLWVPPEYAAAAAAPPALYGPAAPSHPPSHFYTPPEFYARTAPPPHLQHHHHHHHQVQLYKGAPPQATQSSPESEVRDTAERSESFEEEKSGSSSWRIEGGENGRGSCGRRSEENEESNNRSEITLKF >KGN65783 pep chromosome:ASM407v2:1:18471573:18475507:1 gene:Csa_1G528480 transcript:KGN65783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSTIAFGRPFRCQRFSGDLSPKPILHEPAKFKLPPRSLQLSKRNCTGSLSSAGYFPVFGLRNGSSSDSGFVLPSRIGVSSNDAQFGSFAEDKDMESPSFFEFITSERVKVVAMLALALALCNADRVVMSVAIVPLSLSNGWSRSFAGIVQSSFLWGYFVSPIAGGALVDYYGGKMVMGWGVALWSLATFLTPWAAETSLWALLAMRALLGIAEGVALPCMNNMVARWFPPTERARAVGIAMAGFQLGSAIGLVLSPILMSQAGIFGPFVIFGLSGFLWVLVWLSAISSTPDRNLQISKYELEYVLSKRQQPSVVENVPKKTVIPPFKRLLSKMPTWSLIIANAMHSWGFFVILSWMPIYFNSVYHVDLRQAAWFSAVPWAVMALMGYVGGLWSDGLIKSGTSVTLTRKIMQSIGFIGPGIALIGLTSARSASLASAWLTLAVGLKSFSHSGFLVNLQEIAPQYSGVLHGMSNTAGTLAAIVGTVGVGFFVEIVGSFQGFLLLTSALYFTAAIFYIIFSTGKRVNFEETG >KGN66854 pep chromosome:ASM407v2:1:28299402:28304664:1 gene:Csa_1G701300 transcript:KGN66854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKKGLAILMRTKMRPNNDLSNFSLSPLSNPIQSNTQESTGRVMEHSLDETGKRLSFSGKAEGDFDLVRGWMHSAISMKKMEGLDAMLNDFSKGYFSLSLENRRKLLLLLAKEYDFNRTQVRDLMKQYLGIELPSGDNAQPAGQQDDIPFSAFYSLERNLRHALKPTYEVLFERLNTHPGGLGFLSILRADILSILAEENTASLRALDTYLKEKLSMWLSPAVLELHQITWDDPASLLEKIVAYEAVHPISNLIDLKRRLGVGRRCFGYLHPAIPPHYNLKFSPGEPLIFIEVALLKNVAQTVQEVLWDDPPIPESEATCALFYSISSTKPGLSGINLGKFLIKRVITLVKRDMPYINTFATLSPIPGFMQWLLSKLSSQSNRAETEVASSTSGDESASTFWENILEPEEERVIIESSQDFVTGMNGMEVMFRLLTLSNHEWINSTKLLSALKQPLMRLCARYLVEEKKRGKALDSVANFHLQNGAMVERLNWMADRSIKGLLQSGGIMVNYVYRMEKIEEYAHSYFRTGQIHSSPDILRYVKSKQLEDESKE >KGN63686 pep chromosome:ASM407v2:1:1547580:1548477:1 gene:Csa_1G009900 transcript:KGN63686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISTSFLFSFTIVFILIFSSFSQTYSNPHNIIQETCKKSAASTPNLTYKFCVTSLESDTRSRYANLHKLGLISMDLLRHNVTSTRREIKKLLRNKKMEEFIKGCLKDCVELYSDAVPTLKEAKREYKNRNYKDANIKVSSIMEAPTTCENGFKEKEGIISPLTKNNSDVFQLAALTLSIINMNLHDIQ >KGN64417 pep chromosome:ASM407v2:1:5978944:5980865:-1 gene:Csa_1G050560 transcript:KGN64417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAVDSVVDPLREFAKDSVRLVKRCHKPDRKGMFLAFTLYCIDLIRFRIHQGCRSYRYRLRCDGICRVLCQANLHSDQQYHRRIWLVR >KGN66402 pep chromosome:ASM407v2:1:23296596:23300583:1 gene:Csa_1G600920 transcript:KGN66402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTRIENLEVDLTARMGVAKSFRIRHCHSLLTYESNDIYHSHTHRSQKICIPLASTLLPINNYSPIFASKVQYTKYFIKDSSVREYFELYGVVTGANKGIGFETAKQLASEGITVILTARNEQRGLEAVSKLHEIGLTNVVFHQLDVLDPDSIQSLAKFIADKFGRLDILVNNAGASGVVVDEEGLRAMNIDFSSWLSGKATNLVQSVIKTNCEKAEEGLNTNYYGLKNVTEALLPLLQKSLEGARIVNVSSLRGELKRIPSEQIRTELGDVENLSEEKIDGVLKRFLHDLKEDRLEVNGWTMMLPPYSISKAAVNAYTRILARKYPKMYINCVHPGYVNTDINWHTGILSVEEGAKGPLKLALLPDGGPTGCYFDETELGEF >KGN64344 pep chromosome:ASM407v2:1:5493658:5494329:-1 gene:Csa_1G046880 transcript:KGN64344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKPDLHQLHDDDRHLEILKAVAQAWHSHTGTSKPASEFDAHRRNSRPMPSRFKIEAIRSRTSTVSDRRWDFAQSLWDSYEIVTVSKKLEAGLVLDGDGFREAESGGGRSQRKRRESCNSLRNLFNRASSRRFNY >KGN64170 pep chromosome:ASM407v2:1:4490833:4491913:1 gene:Csa_1G042770 transcript:KGN64170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLISLAQAGYDLDSDILKNAAGMEEIKLPVPEGLDALAVKTKRLAISSQPETNGTFQPMPPPSTPWFATKSGSKVLWVLFSIFEH >KGN63682 pep chromosome:ASM407v2:1:1513881:1515700:-1 gene:Csa_1G009860 transcript:KGN63682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQRLTYRKRHSYATKSNQHRVVKTPGGKLVYQTTKKRASGPKCPVTGKRIQGIPHLRPAEYKRSRLARNRRTVNRAYGGVLSGGAVRERIIRAFLVEEQKIVKRY >KGN64333 pep chromosome:ASM407v2:1:5442477:5443207:-1 gene:Csa_1G046300 transcript:KGN64333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEFPHQRPHAQNQPNYDSPTTHPFQAILPQKSISSSHLLAFLTLFPIAAILLFFAGISFIGTVVALAVTSPLFLIFSPVLVPAALVIALAVAGFLTSGAFGVTALSSLSWMANYLRRSRVPLNLDQAKQWVRETAAQAAETAKEAGQAIQSKAQDNGKAKEVEVGSAQDGEKTDEVSPAQPSPAQEEGKTQAQSTSKEETAQEPAKAQESGKAQEGGKAQDRGKAQGGKTRGGGKT >KGN64877 pep chromosome:ASM407v2:1:9640901:9643242:1 gene:Csa_1G144300 transcript:KGN64877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSIVSATSVSSILVKGNGGIGCQNTMVHFKANSRRRPPKNLLCPRRAKLPPNPAVNQFFNNKTSAPSPPFTDLISSKIFQDEHEEIHAHDYTKDTDVVWDSDEIEAISSLFQGRIPQKPGKLNRERPLPLPLPHKLRPPRLPNPKIRPTTVVSSRALLSKQVYKRPDFLIGLAREIRDLSPEENVSKVLNRWGPFLQKGSLSLTIKELGHMGLPDRALNTFCWAQEQHRLFPDDRVLASTVEVLSRNHELKVAVNLEEFTKLASRGVLEAMMRGFIRGGSLNLAWKLLVAAKKGKRMLDPSVYVKLILELGKNPDKNMLVLTLLEELGQREALKLNQQDCTTIVKVCTRLGKFEIAEKLYSWYVESGHEPSIVMYTALVHSRYSDRKYREALSLVWEMESGNCPFDLPAYSVVIKLFVALGDLSRAVRYFAKLKEAGFSPTYNVYRNMITIYLVSGRLAKCKEIYKEAENAGFMMDKQITSMLLQAKR >KGN65985 pep chromosome:ASM407v2:1:20359762:20370482:1 gene:Csa_1G560690 transcript:KGN65985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFSLRSIFITICIILLSININMIEVVNASRFSDYNDPIADELCKFHINGIISGVGWFIGLPPFELSNAPSLHLPISSLSPSTFSNHLYTISMTSNLGGKAIDLWSELIASEGSDLQEEASVEEVYRRRKPTQKTVHPPHPKQNLGSNGCNVNRVSLAAVDSKRISWNRALSIRGRVSIAVEACIDRQRQCKQAKRKGKPALPKGKYVQPTNFDKERAYFQEVDAFELLEESPSPKSFSTWTSSQFDSSTIPSLCSRIEKWLISKKSKYSLAPSSTLSKILETPLGSIEPIGGIHLDKFKLKTPENSARDRDAHWCSIQRRFIFSINDIDALKIDSNDNRSNRAEEMRTEDREDIEVAVKKLSLTSTSTSFHKYDLDPLSALLAVCGQSTPSTLKDVFSNYCELETIVKVGEGTYGEAFKVGNTVCKVVPIDGDLKVNGEIQKRSVELLEEVILSRTLNSLRSNERCADNFCTTFIRTIDLRVCQGSYDAVLVKAWEDWDEKHGSENDHPKEFPEKQLYVVFVLQHGGKDLESFVLLNYDEAQSLLVQVTAALAVAEAAYQFEHRDLHWGNVLLSRNDYEALQFTLESKNMTVKTFGLQISIIDFTLSRINTGEDILFLDLSSDPYLFKGPRGDRQSETYRKMKEVTGDCWEGSFPRTNVLWLLYLVDILLLKKSFERSSKHERELRAFKKRLDKYTSTKEAIYDQFFSELIVWSSSVE >KGN64926 pep chromosome:ASM407v2:1:10205504:10209364:1 gene:Csa_1G163110 transcript:KGN64926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQSELCWTANAFLFLLFLPGILGWGREGHYMICKIAEKYLTEDALSMVKELLPSYAEGDLAAVCSWADELRAHPDYHWSGALHYVDTPDFFCNYKCSRDCHDNYRHKGRCVTAAIYNYTMQLESAYKEITSEIKYNLTEALMFLSHFIGDVHQPLHVGFVGDIGGNLIKVSWYRRRTNLHHVWDTMIIDSALKRFYHSNLLLMIQAIQNNISDEWHNEVSAWRNCTVNQTTCPNPYASESVSMACKYAYKNATPGSVLEDSYFLSRLPVIEKRLAQGGIRLASTLNRIFASEGKVAEI >KGN66249 pep chromosome:ASM407v2:1:22247524:22248204:-1 gene:Csa_1G589070 transcript:KGN66249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAPNPFLLIIFTCFLFLQNAPFFGVAFSVAFPKAISDKLKYDLQTISLASKDYGLMVQHNPYAVFFPVTGHDVAGLIRFMYMLPVPLHIAARGQGHCVRGQALVDQNGVVVNMTSLGGLRQKTSRIVVTTTSPLGPYADVGAEQLWIDVLHATTQKGLSPVSWVDYLHITVGGTLSNAGISGQTFRFGPQINNVYELDVITGTYFFNDSFTFFYILLITLHFFSF >KGN66821 pep chromosome:ASM407v2:1:28001303:28001488:-1 gene:Csa_1G697030 transcript:KGN66821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGERTVEEQRMTNSCRWRKTNHGGRQKTHERKTFSQQRTIREWRMANSCRWRKTNDEEDE >KGN63648 pep chromosome:ASM407v2:1:1345180:1347575:-1 gene:Csa_1G008540 transcript:KGN63648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERNNEDVNNLDEPNVNYRGVKAMPFVVGNETFEKLGTTGTSSNLLVYLTDVFHMKNITATTLMNIFHGSTNFSTLFGAFLCDTYFGRYKTLGYASIASLMGMVVLTLTATIEKLHPPDCGKGSAGGACLEPTSWQIAFLLFGLGLLVIGAGGIRPCNLAFGADQFNPNTASGKLGINSFFNWYYFTFTFAMMISLTIIVYVQTEVSWAWGLAIPAFLMFLSCALFFMGSWIYVKLEPDGSPFTSVMRVLMAALKKRQLPLPDQQWPSLFNHIPSNSINSKLPYTNQFSFFDKAAIITSEDKFKSDGSAADPWTLCSTQQVEEVKCLVRVIPIWGAAIIYHVATTQQQTYAVFQALQSDRRLFFGNTHYFKIPAASYTIFTMIGLTIWIPFYDRILVPSLRRITAREGGITLLQKMGFGMGIAIITMFISALVEQKRRNLALTQPLCEETGRRGSISSMSALWLVPQLTLIGLSEAFTVIAQVEFYYKEFPENMRSIGGSLSFVGLALSNYLSGFMVTVVHRLTAGKWLPEDLNKGRLDYFYFLVSGLEAVNLGYFLLCSKWYKYKGSGSHGVDEMDFGKTEFEKTVVY >KGN65179 pep chromosome:ASM407v2:1:12621014:12623602:1 gene:Csa_1G257360 transcript:KGN65179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDESGSETEIKGVKEALGAGQQLLQKLYLELDKEREASASAASEALSMILRLQEEKAAMKMEASQYQRMAEEKISHAEEYLTSFEEMILQKEMEIASLEFQVQAYRYKLLSLGCPDLGPCEPRFADKSLLQKEVSSAESGVSGKVRRAKSLPPTQFDELYDREDAAETETFEVLKPDCHPNIVERPNHCSWEQIEILDEQIKEISDSRGSSEDRSESLNIGMGVCPSSIHDKTDESRAVKPDVSPKCSSSSSVQDIYEIPEADMDFKGCRNRIQLQKKFLFEGENGLGNPEPMPIEPFKLHHKGEQTKAKKASSCKSQKNKSPKLRRGKAIGSSAISARQQIDEIVAESQPFQQLCHIIEKLEQNKTSARQEITGTGVGELLKEIQEQLNSIQSEMKSLQIKQRPAQKNSLFDLLQEAMLHCWF >KGN66355 pep chromosome:ASM407v2:1:22968116:22968805:1 gene:Csa_1G599490 transcript:KGN66355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQLMGMVNSLKTPVTSSDIIPVVFSTFLGNLTHLIVNWKKSFISLPAGPNLDIYVLLDLSGNHLSGQIPTSIGDLKSIKLLNLADNNLSGNIPSTFGNLEHVETLDLSHNKLSGSIPKSLAKLHQLAVLDVSNNQLTGRIPVGGQMSTMNILSYYANNSGLCGIQIQQPCAEDQQPGKGIKEEEKQQEFSWIGAGIGFPVGFAFTVLNVYMSGYLSPLTPHRHIIRRT >KGN64306 pep chromosome:ASM407v2:1:5271519:5274430:1 gene:Csa_1G046040 transcript:KGN64306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNLNWFKPISINGKPGRRLSLGEYQRAVSWSKYLVSSGAEIKGEGEEEWSADMSQLFIGFKFATGRHSRIYRGVYKQRDVAIKLISQPEEDENLANFLENQFISEVALLFRLRHPNIITFIAACKKPPVFCIITEYMTGGSLRKYLHQQEPHSVPLNLVLKLALDISRGMQYLHSQGILHRDLKSENLLLGEDMCVKVADFGISCLESQCGSAKGFTGTYRWMAPEMIKEKHHTKKVDVYSFGIVLWELLTALTPFDNLTPEQAAFAVCQKNARPPLPSACPQAFRHLIKRCWSKKPDKRPHFDEIVSILETYVESYNEDPEFFCHYVPSSSRYIAWKCLPKCITKQSSASLKPRNSSSS >KGN63442 pep chromosome:ASM407v2:1:100765:101277:-1 gene:Csa_1G000660 transcript:KGN63442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSTRKTMAIAALILHLCIYKEVDSSHDHSDKIISLPGQLPVGFNQFSGYLHVDDQKHRALFYYFVEAEIDPASKPLVLWLNGGLGCSSLGVGAFSEN >KGN63577 pep chromosome:ASM407v2:1:921056:928599:1 gene:Csa_1G004908 transcript:KGN63577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDREEADKLQDDRDNFLNLALEGYKRCLEVGDKYDVRVVFRLVSLWFSLSSRPNVINNMLSTIAEVQSYKFIPLVYQIASRMGCAKDGQGPNNFQVALVSLVKKMAIDHPYHTIFQLLALANGDRVKDKQRSRNSFIVDMDKKFAAEYLLEELSSNHGALIRQVKQMVEIYIKLAELETRREDTNKRMMLPRELRSLQPLELVPVVTATFPVDRSCQYQEGSFPYFKGLGDTVRIMNGINAPKVIECEGSDGHRYRQLAKSGNDDLRQDAVMEQFFGLVNTFLQNYQDAKRRRLGIRTYKVVPFTPSAGVLEWVDGTIPLGEYLIGSTRNGGAHGRYGIGDWSFLECRDYIAKEKDKRKAFQEVSENFRPVMHYFFLERFLQPADWFEKRLAYTRSVAASSMVGYIVGLGDRHSMNILIDQATAEVVHIDLGVAFEQGLMLKTPERVPFRLTRDVIDGMGVAGVEGVFRRCCEETLSVMRTNKEALLTIVEVFIHDPLYKWALSPLKALQRQKETDDDLETSLEGSEDEYEGNKDAARALLRVKQKLDGYEDGEMRSVHGQVQQLIQDAIDPDRLCHMFPGWGAWL >KGN65194 pep chromosome:ASM407v2:1:12723460:12724155:1 gene:Csa_1G263970 transcript:KGN65194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAYSYSSREDLDEYTVKIMEIIETVLIAMASSLDVEPNSFTDQVGKRPTLLTRFNFYPPCSTPHLVLGLKEHSDGSAITILLLDKQVEGLQLRKDDQWYRVPVPAIADSLLLVIGEQAEVMSNGIFKSSIHRAVTNSERQRISLVCFCCPEKDIEIKPIEGLIDEKRPRLFKSVKNYLETYFQNYQKGRRPVDGLRI >KGN63476 pep chromosome:ASM407v2:1:250939:256284:1 gene:Csa_1G001480 transcript:KGN63476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSQGGSSRRSLSLTNMSSQGKKKAHENGNSDAPRKSLSSSRSLQLTGERTVKRLRLSRALTVPESTTISEACRRMAARRVDALLLTDSNALLCGILTDKDIATRVIACGINLEETSVSKVMTRNPVFVLSDTLAVEALQKMVQGKFRHLPVVENGEVIALLDIAKCLYDAIARMERAAEKGKAIAAAVEGVEKSWGTSVSGPNTFIETLRERMFRPSLSTIIPENLKIVTVPPTETVLMATKKMLELRVSSAVVTVDSKPQGILTSKDILMRLIAQNLPPDSTLVEKVMTPNPECASIDTPIVDALHTMHDGKFLHLPVVDRDGNVVAVVDVIHITHAAVATVGNTSGVGNEAASSMMQKFWDSAMALSPNDDDDELRSEGSMKLASEETDTLRTLPFPSPGMSNTFSFKLEDRRGRMHRFSCDTRSLTDVITAILQRVGDDIDRNKLPQILYEDEDHDKVVLGSDSDLAAAVEHARLAGWKGLRLHLEYPGSHGRRRDAGNMDYAQRDAWASAYSAVAAGAALVAGLGVLAYLRRSGN >KGN64387 pep chromosome:ASM407v2:1:5815084:5819109:1 gene:Csa_1G050260 transcript:KGN64387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAFKSTTKRTPIGASVPSNDDSASSNRTSFHRRSRSLSRFSHPLPSSPIDKVFGEASPAPRGRFVNTSRGSGFPEISLDDLAVEFFGSTDRGRSAARSSELSGAMNSSVASNRRGRSVSRHGGGKTSGGGCENKGRGGSSVSGGKVVPESNSRRRRSLSVVRYQISDSESDDRSQSSGTRVKEKSFGIGNKQKPISHKTDDSSRRPTLRRSLSQNDFKCHDGYSSHSSVLTDDEGKDASFGNSVIEKTMRSIYARKAKQANGGVVDNGLYEAMRKELRHAVEEIRVELEQEMVNRNSSVETFSDDLLSSDSGVRHHTSPFTRNYSAKQEQPEKRRDSLGKMVMEKQRGQDLAKMVKNLPPDLKNVVADNSSRTRKRSKDRSRMSKRLSEEAEKYIEDFISNVEDTDISSLDGDRSDTSSSLGGKVKPNFKIPAASRYVPPGMDGVLLPWLQWETSNDATPYPRKNMFEPPTTPQTFPWDVNQDTSNAQDLCNHSGSSQGSWSPGVTIGLSGKVVEDNGSRFKGLGKYQNQSYSESRETRFDIDEYLKRPSSEDFLLERWKQQHKVTCSGLLLCNRLFL >KGN64720 pep chromosome:ASM407v2:1:7929002:7932499:1 gene:Csa_1G077220 transcript:KGN64720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENDSSSAMDIKGTPTYDSKYLLYNVLGSFFEVSAKYSPSIQPVGRGAYGIVCCTTNSETKEEVAIKKIGNAFDNRIDAKRTLREIKLLCHMDHDNIIKIKDIIPPPDKEKFNDVYIVYELMDTDLHQIIRSSQALTDDHCQYFLYQLLRGLKYLHSANVLHRDLKPSNLLLNANCDLKICDFGLARTTSETDFMTEYVVTRWYRAPELLLNTSEYTAAIDIWSVGCILMEILRREPLFPGKDYVQQLGLITELLGSPDDSDLGFLRSDNARKYVKQLPHFPKQPLIEKFPDLPPLAVDLAERMLLFDPSKRITVEEAMNHPYIVSLHEINEEPTCPSPFNFDFEQASLDEEDIKELIWRESIKFNPNHI >KGN66758 pep chromosome:ASM407v2:1:27355344:27357029:-1 gene:Csa_1G679560 transcript:KGN66758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGKIRKEKPKAAAKAATPYQGGISFHKSKGQHILKNPLLVDSIVQKSGIKSTDVILEIGPGTGNLTKKLLECGKMVIAVELDPRMVLELQRRFQDTPYSSRLKVIQGDVLKTELPYFDICVANIPYQISSPLTFKLLNHQPAFRCAIIMFQREFAMRLVAQPGDKLYCRLTVNTQLLARVSHLLKVGKNNFRPPPKVDSSVVRIEPRKPRIEVKQKEWDGFLRICFNRKNKTLGSIFRQKSVLSLLEKNYKTLCSLNILQQGSGGNDDSALDYSNEDQSMEVDEDGDEEEMDMEDGDAEEGEASEFKGKVMGVLKEGDFEEKRSSKLTLQEFLYLLSLFNKAGIHFS >KGN65736 pep chromosome:ASM407v2:1:18183705:18187522:1 gene:Csa_1G523110 transcript:KGN65736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLQKRLDYGFHGYQVPTKPRATRSARKRSLCRKGTEGSQMRAFDLLATVADKLLHGTDGPTTTATDTSTVNDRNAVMNKELDANSLLKVEPSDGGSCYQGLVPETILSGHNKKCDRNDSLPQHDEHSPQLVSIVTNFEKCRKEMENLVSEVHLGSPSSKESDNCELDRKHKVTVKYELHKTEELLTGAQNQDDSCKREDPVIWDQKARLLGNNSDSCGKMTQDKKFVQNSSFATQNNVKVDDRDDDENSSGCTHPVSSIKSFRTLPSSRDRRIRKVSASKSWKVVPRYRDESLSKSDGSWKSMFRSKSSHDSCRRQKSQMNIPFKKRKFFDCTSTVSNSDGGINSEGLSDSTGKVVNTDKSGRSCSASGQGHQKSFPSKDSHVKIRIKSFRVPELFIEIAETATVGSLKRTVMEAVTAILGGGLRVGVLLQGKKIRDDNKTLFQTGISHDNQLDSLGFALEPNPSRTPHALDQEDCPCILPHDAAEPPTRYSRNASADNPGSSTVLSEPHGDSLGNFIESDHDSAPSPTDTSNHKSSTDSKALVTVPEMTVEALNVVPMHRKSKRSEVAQRRIRRPFSVAEVEALVQAVEKLGTGRWRDVKLRAFDNAKHRTYVDLKDKWKTLVHTARISPQQRRGEPVPQELLDRVLTAHAYWSKQQAKYQLKRQPETCLLL >KGN63801 pep chromosome:ASM407v2:1:2255436:2261434:1 gene:Csa_1G021910 transcript:KGN63801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSPSFSVKSEVNVKLDPESLQKWVVAFCIIRFDLEQGQLIEECYPPDCLTQDEELEVAFSSFPDSISQHQNRSSIHDCIFFFRLRRKGVSQPRNISSEITEVDELSQNTNDSKLPRSKSSLGTKPKYMYGFVFNRQRHDERLRRGGEQKSVVILSHSPYSTVFKPLLQIMGPLYFDIGRRALEHIAAYVSMWPAPVPGKQMELPIGNAMLKAHLPPVHSLVMDGETFSEESTSSMAPFLPNNQSVPQGLFHDSDLFGTFRGLLLQLWLLWELQLIGEPILIIAPTPPQCCEAVAGLVSLVAPLLCSVDFRPYFTIHDPEFSRLNALQDGATFPPMVLGVTNLFFLKALRNIPHIVSVGNPAVNRLAQTSRSSSGSVPGAPEGFGFRQLSLKKFSPSNLLNAVKLRRDGPLCLMTEHKEAIWSTYPAAMKPDTSILNRLIDAGLSPRVEESMSVVNNEILRRHFLELTTNFLAPFGPYFRTTTPSEGCSPFDEPSRPQFSADEFLANLSTRGPGKFLAKRMRSNWLDLYRRFLKGPNFMPWFQRRCAVAEQEQHRLWRQARMNSDIPRLMSKMPELEVVDLFNAIERHLLREMELQESRRAYVDSVATCQKLKGDLLTVFNVLPKDMQQLLLLNPQRASLLRGSPEPAKLPGRPLVQVGVVSPR >KGN65213 pep chromosome:ASM407v2:1:12855106:12859212:1 gene:Csa_1G265130 transcript:KGN65213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFDQNAIPTGLRPLNVARTLVEDSHLTLVANTGQNPQRVTPLHPYDIANADNLPLPCKGNVSDMGLAELGYRNFVAGVTAWCPRMPPPLAHTATVPAVGLGYVMSNRGGANAIELASSCMTVGPNHNTNLGHRVGGGGLEFVCSNTSMGSGDSTNLCNKVTGNDDQISSDSTSGFSSHLRSSVGGNSGNVVDQVSEEGGDGSISKKKVKFMCSFGGKIFPRPSDGMLRYIGGQTRIISVRRDVTFNELNRKMADTCGQAVVIKYQLPDEDLDALISVSCPDDLDNMMDEYEKLVERSSDGSTKLRMFLFSASELDSSGMVQFGDLHDSGQRYVETVNEIFDGVGGRITKKESCASATSTQNSDLSGTEAMDIPNNDLGVVSGPPSTTLPLPGGNLGTAVAIDPGLVKVDPVSAVLLDASAVPSSIPFVNSVPPGASFQPETELGRSVPVTLMQQQPGVDFSPPVSHLQPTGDPRQAACVNFIQLRPQLGFPNSHHIGASGSVFIQQPNTLGITPHQFVPAVHMTMAPSSRPSIMPNAYQSMVQYPQSQTECFSNPSTFGPRVVQLSAEQGYNSAQVPAPPISVGVGFGLHQVPWPDQTVISDELVSHHQTTFSEKIERLDDSYFCQKAMPHAHSNSSLQNQSENLADLVTDSKFSYYSHHLEDQLTAHPMKNVTETVALGQSTIEHGVGVQTRIFNPMDPEVENLSVDVLSFPQHLEDRYENENTLKDQCNHGCGRISAPQGALGRQGDIQSPHVAIVAQNPQSGEVDTLQRHHVAVENQFHPNLVVDRHNICFGGAPFLASEYNTHENPEEYSNSHHGIISNQNATHTGIQYDHLRPIVGNLESLSICPTDICANLDHCKSPIERTRKEDNFGTCSQPVSQREILLDNNFVKPIAFLNPNHIESTTFTCSSLEVPYLMNERPAESSEVAQSSVGGFPGTLSQAENGIQYLESNEVCHSRNLHLFDMKTEQRNNEVSVSAEWKDPSLFESGMVSGDVESVSLPIRTGNVQDTANSLFSNQDPWNLQHDAHLLPPRPNKIQARNEALATREPLTETPFRNVGELNVEALLDDGLCHPLVNSNKGSAEEQIRKDLQAVAEGVAASVLQSAQSSNSELNERSNSICETSTERDVQNNDDGRTRHSDKANLGFPMSEGLGRLQVIKNSDLEELRELGSGTFGTVYHGKWRGTDVAIKRVNDRCFAGKPSEQDRMVVLFK >KGN65869 pep chromosome:ASM407v2:1:19147451:19148640:1 gene:Csa_1G534750 transcript:KGN65869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRGVGVAMQKTLLLTALALIGIIAGAAAQNCGCAAGLCCSRFGFCGNGEDFCGTGCREGPCNIPPLTPSVNDVNVAEFVTEEFFNGIINEADAGCAGRGFYSRATFLEALQSFDRFGRIGSVEDSKREIAAFFAHVTHETGHLCFIEEIDGATRDYCDEENTQYPCNPSKGYFGRGPIQLSWNFNYGPAGENIGFDGLNNPEIVATNPVVSFKTALWYWMNFVRPVINQGFGATIRAINGALECDGANTATVQRRIQFYNQYCNQLNVAPGNNLTC >KGN63529 pep chromosome:ASM407v2:1:577763:578995:1 gene:Csa_1G003470 transcript:KGN63529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSLEFWSYEFLVLMSGLLPNPELETSMLSISLSTSSLVFRIAYGFGSVVSTRVSNELGAGKAMAAKLAVKVVLVLGLVEGIALGVLLISLRNQWGFVYTNEPQVIQYLSSIMPILAISNFMDAIQGVLSGTARGCGWQKIAAWVNLGAYYLVGLPCAITFTFMLHFGGKGLWMGITCGSCLQSILLLLIAFTTNWEEQAIKAKQRMMYTTSSLPTITTPLLQ >KGN63821 pep chromosome:ASM407v2:1:2362747:2364551:1 gene:Csa_1G023580 transcript:KGN63821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENLPPGFRFHPTDEELISYYLTQKLSDSSFTSKAIGVVDLNKNEPWDLPAKATMGEKEWYFFSLRDRKYPTGLRTNRATEAGYWKTTGKDKEIFGSVGHALVGMKKTLVFYKGRAPRGEKSNWVMHEYRQQSSHFFKPSKEEWVVCRVFQKQNTPKKPQQSSSSQPSLSSPCDTNSIVNEFGDHIELSNFNNNNIISSQQTYENNNMINNNNNNNNMMNMNMNLNLNNWVSPTNETLIPSLSWPLNSNLLTSSNNLSMNSLLLKALQLRTFQQQRDNVLASSSSNTFNNNIHDHRHQAANIVHSQFGTTNDHLSCFQPSSSSKVFEPSSQQQQEEQPLNLDSLW >KGN64368 pep chromosome:ASM407v2:1:5729950:5731935:-1 gene:Csa_1G050070 transcript:KGN64368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDVQPSLTISTVALVTNYCVLLLTNLSFSILYCSHSLFSLSVFGLLLSDPRPFRPAIMASQDKGRALPKFGDWDVNNPASAEGFTVIFNKARNEKKTTGTAANMVPQERNEPVFNNESYPQYPPPKKRWFCCG >KGN64197 pep chromosome:ASM407v2:1:4650282:4654179:1 gene:Csa_1G043010 transcript:KGN64197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPIVKGNPTVLSSSSSSSLILTSGASGRINALLSMRALKSLIMLVNAFVLLLLFPFRGPKRGQSVADKPRDDKSERKCPTVRVPATIVSWKSSSSNNSNSNSTNSLSPTVAVAVDQEVAVRRALAIRRVVEDKDKSEDSIREFLLFQSPRGNTIFTQSWTPVSLKIRGLVVLLHGLNEHSGRYSDFAKQLNANGYKVFGMDWIGHGGSDGLHAYVHSLDDAVFDLVCSDFHHLLFICVLFPRGAMVLKAVLDPSIGSCISGVVLTSPAVGVQPSHSIYAVLAPIVSLLLPTLQVGSANKTTLPVTRDPDALIAKYSDPLVYTGAIRVRTGYEILKISSYLQQNLSKISVPFLVLHGTADEVTDPTASQKLYKEASSTDKSIKLLEGFLHDLLFEPERQSIMKDIIDWMNNRL >KGN65545 pep chromosome:ASM407v2:1:16220121:16226456:1 gene:Csa_1G442350 transcript:KGN65545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEPSLITPGQISFLLGISPIFVSWIYSEFLEYRKSSAPPKAHSDINLADLGGVTVKEDDQAVLLEGGLARPASAKIHSSSITTNLIRFFTLDDTFLLENRSTLRAMSEFGAILLYFFVCDRTSILADSKKNYSRDLFLFLYILLIIVSAATSLKKHSDKSAFSGKSILYLNRHQTEEWKGWMQVLFLMYHYFAAAEIYNAIRMFIAAYVWMTGFGNFSYYYIRKDFSVARFAQMMWRLNFFVIFCCIVLNNDYMLYYICPMHTLFTLMVYGALGIFNKYNEKSSVIAAKILACFLVVILIWEVPGVFDALWSPLTFFLGYTDPAKPQLPKLHEWHFRSGLDRYIWIVGMIYAYFHPNVEKWMEKLEEADTRKRVSIKACIVTVALSVGYMWYEWIYKLDKISYNKYHPYTSWIPITVYICLRNFTQQFRNYSLTLFAWLGKITLETYISQFHIWLRSNVPNGQPKWLLCIIPEYPMLNFMLTTTIYIFLSLRIFELTNTLKAAFIPTKDDRRLLHNLIAGGAIGLCLYSMSLIFSGFFV >KGN63433 pep chromosome:ASM407v2:1:44586:46222:-1 gene:Csa_1G000570 transcript:KGN63433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHSALSRYPTLCLHPDSTSNSRFFLSPTPIKLIKFSRTLNLNVSAVATELPIVDGATIAAIGGGSVAALAAVLSLTDPERRRRLQAEEVGGGDKDVVKEYFNNSGFQRWKKIYGETDDVNRVQRDIRLGHSKTVENVMAMLKDEGSLRGVTVCDAGCGTGSLSIPLAKEGAMVFASDISAAMVAEAENKAKEEVVGGVVPKFEVKDLESLEGKYDTVVCLDVLIHYPQNKADGMIAHLASLAEKRLIISFAPKTFYYDLLKRVGELFPGPSKATRAYLHSEADVETALRRAGWKIRKRGLISTQFYFATLVEAVPA >KGN64853 pep chromosome:ASM407v2:1:9430661:9433236:-1 gene:Csa_1G132140 transcript:KGN64853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEFSSVMIRWDLFYGNDTLMENVSDPSHIDLAHHQEWRLTQSFLYLAINGFAPSMCQWVLEKLVLLYSARNFFQFSMPGPAWWQVVPRWHEHWTSNKVYDGDMIVLQGSGEDIFIDGSTEKANLNGLGLAANSLCHQQFYPTIVWIVSIKLNAKGTNTLF >KGN64621 pep chromosome:ASM407v2:1:7223088:7224298:-1 gene:Csa_1G071840 transcript:KGN64621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRTPCCDKNGLKKGPWTPEEDQKLIDYIQKNGHGNWRTLPKNAGLQRCGKSCRLRWTNYLRPDIKRGRFSFEEEETIIQLHSVLGNKKRLLRMGIDPVTHSPRLDLLDLSSILRSTLYNSSQMNLSSLLGVQPLVNPELLKLAASLMSSERKNPSFSPQNSSHTTTATIQFSNPQLQMQVPMQEIVQFPSQVVEPNIASELNDDQWGNGQLNNFDLATGSFEYCGLDQQQQAGAIAVDNSYETATFNFHNGNGNGNNFSLGSVLSSPCSSSPTQMNSNSTYFTSPTEDERESYCSQILNFEISDIFDEPFI >KGN64891 pep chromosome:ASM407v2:1:9774706:9782686:1 gene:Csa_1G145930 transcript:KGN64891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEVQNDVNDQVADIAPFDPTKKKKKKKVVLQDPTDESVDKLAEKTESLSVSDGLEAATFSGLKKKKKKPVEASSLNEDGADATEDLEDQAGENEDREGLVLQYRYPWEGTDRDYEYEELLGRVFNILRENNPELAGDRRRTVMRPPQVLREGTKKTVFVNFMDLCKTMHRQPDHVMAFLLAELGTSGSLDGQQRLVVKGRFAPKNFEGILRRYVNEYVICIGCKSPDTILSKENRLFFLRCEKCGSGRSVAPIKAGFVARVGRRNTGT >KGN66425 pep chromosome:ASM407v2:1:23571234:23576034:1 gene:Csa_1G604600 transcript:KGN66425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSKTVRKLQVESPVPADIVIANSVEPLHIDEIAKELNLTPNHYDLYGKYKAKVLLSVLDEVKGSRDGYYVVVGGITPTPLGEGKSTTTVGLCQALGAFLDKKVVTCLRQPSQGPTFGIKGGAAGGGYSQVIPMDEFNLHLTGDIHAITAANNLLAAAIDTRIFHEASQSDKALFNRLCPTNKEGKRSFNDIMFRRLKKLEITKTRPEDLTPEEIKKFARLDIDPDSITWRRVMDVNDRFLRKISIGQGPDEKGMVRETGFDISVASEIMAVLALTTSLADMRERLGKMVIGNSKAGDPITADDLGLGGALTVLMKDAINPTLMQTLEGTPVLVHAGPFANIAHGNSSIVADKIALKLVGPGGFVVTEAGFGADIGAEKFMNIKCRYSGLTPQCAVIVATIRALKMHGGGPEVVAGRPLDHAYLNENVGLVEAGCVNLARHIANTKDYGVNVVVAVNKFATDTEAEMNAVRNAALAAGAYDAVICTHHAHGGKGAVDLGIAVQKACENVTQPLKFLYPLDISIKDKIEGIAKSYGASGVEYSEQAEKQIEMYSRQGFSNLPICMAKTQYSFSHNAKEKGAPSGFKLPIRDVRASIGAGFIYPLVGTMSTMPGLPTRPCFYDIDLDTATGKVIGLS >KGN65567 pep chromosome:ASM407v2:1:16470029:16473188:1 gene:Csa_1G448980 transcript:KGN65567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFTMGLNLLLLVAMVATNILSLYHLSSTLQSTKSPVSQPVPDHLIRQLQTIRATINHLTRLHPTAAASASKTKLSIPSDLVLYSQFSPIASSCHTNPELLHKFMNYTPFSSCPSDSDLAEALILRGCHPLPRRRCFAKTPQKPSSSLPQNPFASSLPESNIIWEKYSCKGLGCLNRLNPNLGFDPSHEITKFMTFKTELDLPIPQLLQIAKAANSVLRLGLDIGGGTATFAARMKLYNVTMVTTTMNLGAPYNEVAALRGLVPLHVPLQQRLPIFDGVMDLVRCGHAVNRWIPVKSMEFLFYDLDRVLRVGGYLWFDHFFSKGVDLDKLYSPLITKLGYRKVKWATASKTDSGGLKNGEVYLTALLQKPVPS >KGN63855 pep chromosome:ASM407v2:1:2573029:2578499:1 gene:Csa_1G024870 transcript:KGN63855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLDPSKKVADRYLKREVLGEGTYGVVYKAIDTQTGQTVAIKKIRLGKQKEGVNFTALREIKLLKELKDSNIIELIDAFPHKGNLHLVFEFMETDLEAVIRDRNIFLSPADIKSYLQMTLKGLAYCHKKWVLHRDMKPNNLLIGSNGQLKLADFGLARIFGSPDRRFTHQVFARWYRAPELLFGTKQYGSAVDVWAAACIFAELLLRRPFLQGSSDIDQLGKIFAAFGTPTPSQWPDMLYLPDYVEFQYVPAPPLRSLFPMASDDTLDLLSKMFAYDPKSRITIQQALEHRYFTSAPLPTDPSKLPRPTSKREPTNSNSRVLDLNSNDGPTVLSPPRKSRRVMPDREAFEGNAYRADRLDDHVNEVRELAAGNTSKNEAAPMSLDFSVFGGKPPNRPTINSADRSHLKRKLDLEFQQ >KGN64361 pep chromosome:ASM407v2:1:5687460:5691215:1 gene:Csa_1G050000 transcript:KGN64361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVQQHHLTYPFLSIAGANLKQNTSNSFSFFQSNTQKLACCLCAASPNPSTQSPSPIFLHLFEEEEEEEEEEVPSKEGHGGNKTEEDWNDPLFRFFKSQTSTTQDPSRESKLPLQKNRRSSWHLASDVEFFNEAEVTLEEDKEQLRSASRNSRVLPGGPVGEIVGIARNLSQNMTLGEALGEFEGRISEKECWEVLRLLGEENLVVCCLYFFEWMGLQETSLVTSRAYSLLFPLLGRAGMGEKIMVLFKNLPLKKEFQDVHVYNSAISGLMVCKRYDDACKVYEAMETNNVNPDHVTCSIMITVMRKIGRSAKDSWDYFEKMNQKGVKWSSEVLGALIKSFCDEGLKSQALILQLEMEKKGVASNVIMYNTIMDAFSKSNQIEEAEGVFAEMKSKGVKPTSASFNILMNAYSRRMQPEIVEKLLVEMKDMGLEPNVKSYTCLISAYGRQKKMSDMAADAFLRMKKNGIRPTSHSYTALIHAYSVSGWHEKAYSAFENMLREGLKPSIETYTTLLDAFRRAGDTVSLMKIWKLMIREKVLGTRVTFNTLLDGFAKHGHYVEARDVISEFDKIGLQPTVMTYNMLMNAYARGGQHLKLPQLLQEMAARDLKPDSVTYSTMIYAFVRVRDFKRAFFYHKKMVKSGQVPDVKSYQKLKSILDVKLATKNRKDKSAILGIINSKMGMVKAKKQGKKDEFWKTKRRHVRTQDSFSR >KGN64927 pep chromosome:ASM407v2:1:10210883:10211593:1 gene:Csa_1G163120 transcript:KGN64927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTLPRRMHLTERKQLPQPQANSSLLSQAASGASNALPTLSQPETALIRRKEVVARVTMVVRFRVLQTSSVLSISLQMKADFTLSPSPSGEERQSGKSGQMILIPWKKERPIPLRRLGLQMKADFTLSPSPSGEERQSGKSGLAGPS >KGN66047 pep chromosome:ASM407v2:1:20871049:20873675:1 gene:Csa_1G569240 transcript:KGN66047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTISHRTVSVNGINMHIAEKGEGPIVLFIHGFPELWYTWRHQILALSSLGYHAVAPDLRGYGDSDAPDSISSYSIMHIVGDLVALVESFGVKEVFVVAHDWGALIAWSLCLFRAEMVKAFVCLSVPFRPRHPNRKPVETMRMVFGDDYYICRFQNPGEIEEEMAQVGAKDVLRGILTTRRQGPPIYPKKQAFRARAGPPSPLPSWLSEEDLSYFASKYEQKGFTGPLNYYRSMDLNWELTAPWTGVQVKVPVKFIVGDVDMVYTTPGVKEYVNGGGFKKDVPFLQDVVVMDGVGHFLNQEKPEEINTHIYDFIKKF >KGN66238 pep chromosome:ASM407v2:1:22127896:22132955:-1 gene:Csa_1G587980 transcript:KGN66238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKMSKIMVVFLGLVLWVCSSVMASVTYDHKAIIINGRRRILISGSIHYPRSIPQMWPDLIQKAKDGGLDVIETYVFWNGHEPSPGQYNFEDRYDLVRFVKLVHQAGLYVHLRIGPYVCAEWNFGGFPVWLKYVPGIAFRTDNGPFKAAMQKFTEKIVGLMKGEKLYESQGGPIILSQLFGNVLRCQIENEYGPVEWEIGAPGKSYTKWAAQMALGLNTGVPWVMCKQDDAPDPVIDTCNGFYCENFKPNKVYKPKMWTEAWTGWFTEFGGPAPYRPVEDMAYSVARFIQNGGSFINYYMYHGGTNFGRTAGGPFIATSYDYDAPIDEYGLLREPKWSHLRDLHKAIKLCEPALVSVDPTVSYLGSNQEAHVFKTRSGSCAAFLANYDASSSATVTFGNNQYDLPPWSVSILPDCKSVIFNTAKVGAPTSQPKMTPVSSFSWLSYNEETASAYTEDTTTMAGLVEQISVTRDSTDYLWYMTDIRIDPNEGFLKSGQWPLLTVFSAGHALHVFINGQLSGTTYGGSENYKLTFSKYVNLRAGINKLSILSVAVGLPNGGLHYETWNTGVLGPVTLKGLNEDTRDMSGYKWSYKIGLKGEALNLHSVSGSSSVEWVTGSLVAQKQPLTWYKSCPLYIDFTSYNLCVLDDKAFQMSGIMFLALG >KGN66581 pep chromosome:ASM407v2:1:25181619:25184725:1 gene:Csa_1G630850 transcript:KGN66581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEKNSNGYEGLLPLCLRWLKPIFAKLSTVSVKLATMAKKLAKDDSRRVVHALKVGLAISLVSLLYYFKPLYDGFGTSTMWAIVTVIVVFEFSVGGTLGRGLNRVMATLLAGGLGFGTHYLASLGGDTGRPIILALFVFILASVSTFTRFFPKIKARYDYGLLILILTFCMVSLSGYRDEEIAKLALSRILTILIGCCVTLIVCIFVRPVWAGTDLHCLVANNIQSLALFFQGFGAEFFGLSQEGEVSNDDMQKYRTILNSKSNEESLTNLARWEPRHGKFRYRHPWKQYLKIGSLNRECAYRLELLNGYLKTNQFQMPSQQIHGQFKEECMKICSESSRGLRELALALRKMVLPLTAKSHIEKAKIAAENLKSHLEEWRFEEVNNAMEIVQVVSLASLLFDTICCIEKIVDSVQELASMAGFKAVEVQSSVAPEQQMDLQDQDQYALQPLSHGAAVLLAHHAITIDEQSPC >KGN63661 pep chromosome:ASM407v2:1:1419543:1422302:-1 gene:Csa_1G009650 transcript:KGN63661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGAGSFFKVILKNFDVLAGPLVSLVYPLYASVRAIETKSPVDDQQWLTYWILYSMLTLFELTFAKLLEWIPIWPYAKLILSCWLVIPYFSGAAYVYEHFVRPLFINKQTVNIWYVPKMKDFFSKPDDILTAAEKYIAENGTEGLQNIINRADKARTSNRYMSYTENHDQDHDHDHDYNHDYWH >KGN66662 pep chromosome:ASM407v2:1:26215100:26215446:-1 gene:Csa_1G654910 transcript:KGN66662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIDKAIEAGAPRAAVEPENHRILRRTPQMSYERTLRSCFNNTDHVYHIN >KGN65092 pep chromosome:ASM407v2:1:11594643:11597589:-1 gene:Csa_1G207300 transcript:KGN65092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGYFGEPSLGNNNDRGSGSSSSSSPSSRRGKKGGSDKQPRQPQRGLGVAQLEKIRLHGEIASAGFHPTSYTFTNDQEIARVQTGYGSVPSSTSSSPSYGFPSNIMMGFGEYERRNLRDGDSQYSTRWDPSNNGGILESQHFAQPNMTCYLQNPQADQWTQSRRSKRQERSGNMGQNPEGCESQELDLELRLSII >KGN66412 pep chromosome:ASM407v2:1:23366629:23372691:-1 gene:Csa_1G601510 transcript:KGN66412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEIGAVESMDKLNSLRITSLDDDDDDDIHDDEELQDDYDTDEDEEMNESVVLGFVTNPKNSWSLLPQLFPSKAGGVPAWLDPVNLPSGRSCLCDICGEPLQFLIQIYAPIVEKDSTFHRTLFVFMCPSMTCLLRDQHEQWKSKSEKSSRSVKVFRGQLPRNNPFYSSEPPKHDGSDKLCQSGVARCTWCGTWKGDKVCSKCRTVRYCSEKHQATHWRTGHKTDCQRMCMSSQLSSSSLTNNHHETDNEKVSSKHLWPEFEIMHEYESEFDIEISQDNSYANALVCKDRMDDSMKSLLAKFEGDDDRKSWASFQARISKAPEQVLRYCRDVGSKPLWPTSSGLPSKADIPKCNYCGGPMCYEFQILPQLLYYFGVKNDVDSLDWATIVVYACEASCESNIAYNEEFAWVQLSVPSAGHQ >KGN63900 pep chromosome:ASM407v2:1:2876552:2876998:1 gene:Csa_1G025820 transcript:KGN63900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVYIFSKNKVYTDLKDGKSHIVNTSWVLLALIQTDQAQRDPSPLHRAAMVLINSQMDDGDFPQQVFSLFLSIVSWRYIY >KGN65986 pep chromosome:ASM407v2:1:20372311:20374929:1 gene:Csa_1G560700 transcript:KGN65986 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydrolase, hydrolyzing O-glycosyl compounds MAQTASKCFFFSIMSLLAICSSGRFGGSHLGVEENASSELKWKFPEFGRTTMHDATTNFPTTPDTSTPTIITVPSTNPVTITPSSPAATPVSIPLTTPFTVPANSPVPLTNPVAPPVTVPGAQPITNPVTTYPAPSGGAPVLTPPTNPVPVSPPATTNAPVIPGQSWCVARSGASEMALQSALDYACGTGGADCSQIQQSGSCYNPNTLENHASFAFNSYFQKNPSSTSCDFGGSAMVTNSNPSTGSCIYPSSSSSATPASMTPSVPTQTPTTTAPITVSPTTVTNPTTSSPVGTGMPENGSPPGVFNTDNPASSIGSTTGFGTEIPPSSSTSISVAAGLRPFTCFIILTMSFITHRIITLDW >KGN65746 pep chromosome:ASM407v2:1:18279956:18282671:1 gene:Csa_1G524680 transcript:KGN65746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQFHHLQTHGGIASPTMEQLLRDGDASRWPPTQASPVLGKDHDLEDDIDHHQKKSVFTKVKEKAKKLRNSLSNKKRHGEDENITPSWGYNLDEEEEEEEGVDAEYLGAPMYESELAPEDCKENARQHPRADPVIAESHTLANTIKLAFGHNEKPSNSPETLSQMDVESSIGNSKTSDETTTANSAMKNIQEKEVAKLSSPTKTLTETVTEKLAPVYSTVTDATHAIASKIQSLTISAPSDSSTPKKASSPTIQSSDPIATQAPSLNKGTEQVWDKGVSVKEYLMHKFEPGEDERALSQVLSDALSPRAKPGVGVVEKVREAVNSMLRAGDEPQPKSTHLTAKSSSQVEVAPQPVAAHSVAKSSSRAEKAPELVAAKSVRAESTLQSLAAKPSSSVKKTQRAVAAKSSSHAEVAPQAILAVHLTPKSSPQAKAAPQAILATNLAAKPSPRAKAAPQAILATRLTAKPSLPAEATPHPMVTHLAAKPSLPAEATPQPIVTHLAAKPSLPAEATPQPIVTHLAAKSSSSAPIFTTTHRVAEEENLERILQAN >KGN66043 pep chromosome:ASM407v2:1:20856613:20858438:1 gene:Csa_1G569210 transcript:KGN66043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRVSLAIHIFMLIFLPVLQVSCFDVNVIYPDEQNNVTQSMISQICADIEDRNSCISNIQLEMGRSVNSNPNSVLSAAIRATINEARRAIESITKFSTFSFSYREEMAIEDCKELLDFSVAELAWSLAEMKRIRAGKNEAPDEGNLKAWLSAALSNQDTCLEGFEGTDRHIVGFIRGSLKQVTLLISNVLALYTQLHSLPFQPPRNETMEKTKSSEFPDWMMDSEHKIVKSHPRNVHVDAIVALDGSGDFRSITEAVNEAPSYSNRRYIIYVKKGVYKENIDMKRKKTNIMFIGDGIGETIVTGSRNFLQGWTTFRTATVAVSGKGFIARDMTFRNTAGPENHQAVALRVDSDQSAFFRCSFEGHQDTLYVHSLRQFYRECNIYGTIDYIFGNGAAVFQKCNIYTRVPLPLQKVTITAQGRKSPHQSTGFSIQDSFIYATQPTYLGRPWKLYSRTVFLNTYMSGLVQPRGWLEWYGNFALGTLWYGEYKNYGPGASLSGRVKWPGYHNIQDPTMARFFTSEHFIDGRTWLPKTGIKFTLGLSN >KGN64645 pep chromosome:ASM407v2:1:7384912:7389419:1 gene:Csa_1G073050 transcript:KGN64645 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase MMKIFSIVATVFLVCTVVVVEPSGSFGLGKRQWHLQKSPLNPFFAGEEDLVTNLPGQPSVGFRHFAGYVTVHQSHGRALFYWFYEAASSPHQKPLVLWLNGGPGCSSVGYGATQEIGPFIVDNDANGLKLNDYSWNKEANMLFLESPIGVGFSYSNTSNDYDNLGDEFTANDAYNFLQKWFLKFPSYRNHTFYIAGESYAGKYVPELAELIHDKNKDSSFHINLHGVLLGNPETSDSDDWRGMVDYAWSHAVISDETHKIIRESCDFNSNDTWSNDNCSEAVDELLSQYKQIDIYSLYTSLCIANSASAEGNSVQTLTIKRSTTMMPRMMGGYDPCLDGYAKTFYNRRDVQQALHVISDGHQLKNWSICNNTIFDSWYDSKPSIIPIYEKLIGAGLRVWIYSGDTDGRVPVLSTRYSLKSLSLPITKAWRPWYHQKQVSGWYQEYEGLTFATFRGAGHAVPCFKPSSSLAFFASFLNGHSPPSVK >KGN65245 pep chromosome:ASM407v2:1:13085445:13086464:1 gene:Csa_1G269870 transcript:KGN65245 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding protein RAV1 MKQEFSSMISKAKTNAVGETLDSSCITCPLPINGCSRQGRSLTSKFKGVVPQQNGHWGAQIYANHQRIWLGTFKSENEAAMAYDSAAIRIRSGDCHRNFPWTKVTIEEPNFQKLYTTETLLNMIKDGSYRTKFSEYLRDRSESTQTSASPSTEKAHNNGGTSIKQLFQKELTPSDVGKLNRLVIPKKYAVKYFPRISASTTENVEHVDDDRDLQLLFFDKMMRQWKFRYCYWKSSQSYVFTRGWNRFVKEKQLKANDTIAFYLCEAAKSSDSKTTFCVVDVKNRDNSGGLVENETTCSELQLNLRHGEVEESVSPKHIDDELKDEREVKGFKLFGVHIK >KGN66593 pep chromosome:ASM407v2:1:25438891:25441836:-1 gene:Csa_1G635930 transcript:KGN66593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIEVPSDFLCPISLQLMRDPVTISTGITYDRESIKKWLSSCKNQPLSCPVSKQALSSVDLTPNHTLRRVIQGWCSLNERHGVEQIPTPEDESDRGDVVKLILKEAMKSPRSSMLECLKRLKSIVAENESNKIYLQNVESMVFLTSILFEDEDEDEDEDAMVEEAIEIITDINSPTIILNNVLTQNPQLIDTLISIITSTKSPKSRASTIAFLSSLYTISDQMHKTFTKDILFIQLTRALKDQVATKPALQILLRLAPFGRNRIKAVKHGVVFCVVELLLNSTNGKECELAMAVLDRLCECAEGRAELLQHGGGMAVVGRKILRVSNLGNEKAVRILYNVCKNNVGNCGVAEEMVEVGVVGKLCLMLQVGGNLKTKERIKEILHLLQCVFKGTKCVVVPSGFDRF >KGN63966 pep chromosome:ASM407v2:1:3401296:3401869:-1 gene:Csa_1G031870 transcript:KGN63966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDPYSGAKGGRLTFKGGVLASRSKDIDKKKKKKKKDKSKTDENPTDDGEILTSADGVEDGDGAMYTIDAAKRMKYEELFPVETRKFGYDPNNSNTKFKSVEDALDDRVKKKADRYCK >KGN65772 pep chromosome:ASM407v2:1:18413398:18413754:-1 gene:Csa_1G527880 transcript:KGN65772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITRCLAGNLNGFHICFLPIRLRNHHSKNSVLHRCPNFLRFHILRHSKSPLKLSTASFYPMPFLVLILFLYVPFAANLNHSSVFNLHFHLLFLQSRQIGFQNMSLRRLLPIYMSVHYS >KGN63807 pep chromosome:ASM407v2:1:2281097:2289387:-1 gene:Csa_1G021970 transcript:KGN63807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGGDEVSAIVIDLGSHTCKAGYAGEDAPKAVFPSVVGCTDEMDVDDTTSTEKNSGSAGESKSNAKTLDSDKGKGKRKLYVGSQALGFRRDNMEVLSPIKDGVVVDWDMVDSIWDHAFRECLLIDPQEHPMLLAEPSSNSQQQREKTAEIMFEKYKVPALFLAKNAVLTSFASGRATSLVVDSGGGSTTVAPVHDGYVFQKAVVSSPVGGDFLTDCLLKSLESKGIKIMPRYSFKRKEIRPGEFQIVELDFPNTTESYKLYSQRVIASDIKECVCRAPDTPYDESAYSNIPMTPYELPDGQTIEIGADRFKIPDVLFNPSLVQTIPGMESFTETARSVQGLPHMVIESINKCDVDIRRELFSSILLAGGTASMQQLKERLEKDLLEESPQAARVKVLASGNATERRFSVWIGGSILASLGSFQQMWFSKSEFEEHGASYIQRKCP >KGN63721 pep chromosome:ASM407v2:1:1765955:1766303:1 gene:Csa_1G013200 transcript:KGN63721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKSDTNKTEQMNENGTNKDRVRYQTCEMEGSKMVAFSHVRVDSVNLSANPTEPPSKRHIKVSPVGGNSSPASSTFRVLRQH >KGN66281 pep chromosome:ASM407v2:1:22481078:22483073:-1 gene:Csa_1G595850 transcript:KGN66281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDTFSGLGSSPQIDSKILQTFQKNFVQVQNILDQNKLLISEINQNHESKIPDNLNRNVGLIRELNNNIRRVVDLYADLSCSFTRSMEVSSEGDSSGALKSDGKAGQKRNRAA >KGN66748 pep chromosome:ASM407v2:1:27201696:27203618:-1 gene:Csa_1G674040 transcript:KGN66748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTFVISLASLKHLFLSLDTTCSVAVFSKTILISPHGLSILLQGVLIVGESGTGKTSLALAIAAEAKVPVVTVKAQELEPGLWVGQSASNVRELFQTARDLAPVIIFVEDFDLFAGVRGKFIHTKEQDHEAFINQLLVELDG >KGN65608 pep chromosome:ASM407v2:1:16885749:16886597:1 gene:Csa_1G470240 transcript:KGN65608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFDHLLNLFDSFWFQRQVLNNHPFPSNPQILQPQIQDPDPLPKESFLIPRLRTRSISEDLSSKLSFMSNSNSPDSVLLSPKLQTIFSSKDIAGAESPETSHKVEIERRPKTEYRRRLRGRRTRRSESRSLSELEFEELKGFMDLGFVFSEEDKGSSLASIVPGLNRLGKREEKGNKEGEEEEEEKEEERKLGGEISRPYLSEAWEAIAEEEEKEELLKRPLMMKWRFPSNQIDMKDNLKWWAHAVASTVR >KGN66410 pep chromosome:ASM407v2:1:23349277:23350207:-1 gene:Csa_1G601000 transcript:KGN66410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRVHPTADSPRPSTSSTLSDTTKPSSPPPGTYVIQLPKDQIYRLPPPENAHRFKLYTRQSHRRRNRCRSCLFCLLAILAILIILLGITLAVFYFVVRPKSPNYSIDAISISGLNNLTSSAISPVFNLSVRADNPNKKIGIYYLTGSSVRIYSSNEKLSEGVLPDFFQPSKNVSVLRAVVRGAGVNLSSGAKNEIIEWVKQRAVLLKVEIGVPIKVKIGSVKSWKIKVKVNCDVTVDELTAAAKIVKKNCDYSVKIW >KGN65687 pep chromosome:ASM407v2:1:17607062:17607702:1 gene:Csa_1G497810 transcript:KGN65687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVCISECVDNACIVSAARPTYVNLQRWSEEEKWSTERRRPRPRAADGISRRQMYLRSYTFSREDIVVPETTTQNCFGKIRRRRRKPATIRGGRRRSRCLAMVKAAASQVSSGALLFLFRRLLCCVAKVDGRRSRP >KGN64837 pep chromosome:ASM407v2:1:9253675:9254025:1 gene:Csa_1G124010 transcript:KGN64837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVESRPDPKTSLATRLKLDSETSDCWGTLHELQACTGEVVTFFLTGETYLGSNCCQAIKVIQHECWPTLLASLGYTTEEGDVLEAYCDTTIDTIKTSSSPLSLSIEQNNMPKSIAP >KGN64987 pep chromosome:ASM407v2:1:10675569:10675949:-1 gene:Csa_1G172580 transcript:KGN64987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKLYSSWILTIVLIIAVAMISIPQGALAARCQDILYNSGCTLADCGQKCWEKHHEASRQCIPTDPDQTIYACYCFYTCG >KGN65804 pep chromosome:ASM407v2:1:18660012:18660329:-1 gene:Csa_1G530160 transcript:KGN65804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLFGCKNERMDALLSQLFEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEERAVVGIWSSIAWLVGMTILISILSQYIVATIEVT >KGN65349 pep chromosome:ASM407v2:1:14244384:14247311:1 gene:Csa_1G366960 transcript:KGN65349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASISFLTSKTLVLPSVTYLPLSSSSSSSTTHSCCLGGCICSFPIKPISTSNLIFGRRRTGRQVVRMAPDEEKLTRRNPLDFPVEWERPRPGRRPDIFPQFSPMKTPLPPPLPCDPPLEEDEEEEEKEEEEEENPDKENPDEPGEE >KGN63599 pep chromosome:ASM407v2:1:1058243:1060286:1 gene:Csa_1G005600 transcript:KGN63599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNFHLHYRLFSVTLYLLLLLLSFPLSFSQSQYVDDEPDQDSPVSRFQRYLRIKTAHPDPDYASAVAFLRSQAQEIGLHTQILEFVTGKPLLLVTWYGLDPSLPSILLNSHMDSVPAEPSKWVHPPFSAVRTSDGKIFARGSQDDKCIAIQYLEAIRNLRNRDFVPVRTIHISYVPDEEIGGSDGAAKFVQSKEFKELNVGFMMDEGQASPGDEFRVFYADRSPWSLIIKAKGSPGHGSRMYDNSAMENLMKSVEIMTRFRESQFEIVKAGEAANSEVISVNPVFVNAGTPSPTGFVMNMQPSEAEAGFDLRLPPTADPDAMRRRIAEEWAPARRNMTYQILEKGPIRDYLGSPLMTMTNASNPWWAVFKAAISDAGGKLSKPEILATTTDARFMRQMRIPVLGFSPMINTPILLHDHNEFLSDSVFIRGIKVYESLISALSSFQEDVSSQ >KGN65854 pep chromosome:ASM407v2:1:19016352:19017675:1 gene:Csa_1G533610 transcript:KGN65854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFNRSRVVIENKTNPKPILILILIFISFFFFLFLMVFILSSFCSSIMSSYNGDSDNTRSCSFGKSVQYSIIANCEDDHHQDQFMRLPRRGLGGPGSSPPRCASKCGKCTPCTAVHVPVPPGTPVTAEYYPEAWRCKCGNKLYMP >KGN63687 pep chromosome:ASM407v2:1:1549446:1552674:1 gene:Csa_1G009910 transcript:KGN63687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPTEDRSEIAFFDVETTVPTRQGQKFSILEFGAILVCPKKLVELESYSTLVKPSDLSLISSLSVRCNGITRDAVISSPTFAQIADRVYDILHGRIWAGHNILRFDCARIREAFAEIGVPAPEPKGTIDSLALLTQRFGRRAGDMKMATLASYFGIGQQTHRSLDDVRMNLEVLKYCATVLFLESSLPEVFPENSWVSPNAVTRRRAAKSSPQGNSSNNNSHASSSNIGGNPISLPVQQGETHPILSLVTICSEDRASILAEPSATESDSFNMHTTSDQITGATLETDINMEEHATVSTEASPSEDTSTSLCTTKFLEPDQVSVSFITASFVPFFRGSQRIQLWHKDDCLQLLCNNLRVRFGISTKFTDYAGRPRLSFVVDVPPNLCTVLEASDGVAQRLFSDSGSGSEWRPAVTRKNGYFNYPTMRLHIPTAVSGDVANYATEMHQKEASGAVQRLIFSKFDAAELDSLIKPGAILDAFISLDTYDYQQSAGIRLVAKKLIIRS >KGN64810 pep chromosome:ASM407v2:1:8823885:8827560:-1 gene:Csa_1G109330 transcript:KGN64810 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase MAASFSHRCLSFLFLLALPLASATSLDEEQATINYPILQAEKLIRDLNLFPKDSINIAAEEPSFSSSGIVEKSFQFPFIERKKSQGPSVQDLGHHAGYYPLPHTKSARMFYLFFESRNSKKDPVVIWLTGGPGCSSELAMFYENGPFQIANNLSLVWNEYGWDKASNLIYVDQPTGTGFSYTSDDDDIRHDEEGVSNDLYDFLQAFFTEHKEFAANDFYITGESYGFAIGNGLTNPEIQYKAYTDYALEMGLIEKSDFDSINKLVPGCEKAIKTCGANGGSACVTSYVICNQIFNRIMGIVGDKNYYDVRKECIGSLCYDFSNMEKFLNQKSVRSALGVGNMDFVSCSSKVYSAMLMDWMRNLEVGIPALIDDGIKVLVYAGEYDLICNWLGNSRWVHEMNWSGQKAFAASQIVPFLVDGKEAGLLKTHGPLAFIKVHNAGHMVPMDQPKASLQMLQSWMQGKLTLDDTHQKLSPK >KGN64964 pep chromosome:ASM407v2:1:10487863:10489893:1 gene:Csa_1G168900 transcript:KGN64964 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S5 MAVEVDVASQELTQSHHDVKLFNRWTFDDVQVNDISLVDYVGVAPAKHATYVPHTAGRYSVKRFRKAQCPIVERRTNSLMMHGRNNGKKLMAVRIIKHAMEIIHLLTDLNPIQVIVDAVVNSGPREDATRIGSAGVVRRQAVDISPLRRVNQAIYLLTTGAREAAFRNIKTIAECLADELINAAKGSSNSYAIKKKDEIERVAKANR >KGN65057 pep chromosome:ASM407v2:1:11281213:11281661:1 gene:Csa_1G186620 transcript:KGN65057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRSLQITEVVILMTAVWLTVGCPAEGKVCADCIRNRMEQDCPACAPPLRCMAQCLWGGDSRSRCVNRCDSGGAWASLASCKQCITKCKCRCSSSSFMSVQSVN >KGN65586 pep chromosome:ASM407v2:1:16668644:16675534:-1 gene:Csa_1G467060 transcript:KGN65586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKHEMLTVWEKSIVTISSPMLVIVVGRLMRKMLPVSAVRKGKETWHLEISVGGLVSALLGLKEFEARWIGWAGVNVPDEAGQRALEKALAEKKCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRSFQSQFDAYKKANQMFADVVNKYYEEGDVVWCHDYHLMFLPKCLKEHNNTMKVGWFLHTPFPSSEIHRTLPSRSELLRSVLAADLVGFHTYDYARHFVSACTRILGLEGTPEGVEDQGKLTRIAAFPIGIDSERFIRALKLPQVQDHIKELQERFAGRKVMLGVDRLDMIKGIPQKILAFEKFLEENPKWRDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGTLSAVPIHHLDRSLDFHALCALYAVTDVALVTSLRDGMNLVSYEFVACQASKKGVLILSEFAGAAQSLGAGAILVNPWNITEVAASIGYALNMPADERERRHHHNFMHVTTHTSQEWAATFVSELNDTIVEAQIRTRQIPPLLPIREAVNCYSRSHNRLIILGFNATLTEALDALGRRYGQIRDMDLRLHPDVKEPLKKLCDDQNTTVVVLSGSDRSVLDDNFGNYNMWLAAENGMFLRPTKGEWMTTMPENLHMDWVESVKHVFEYFTERTPRSHFELRETSLVWNYKYADVEFGRLQARDLLQHLWTGPISNASVDVVQGSRSVEVRAVGVTKGAAIDRILGEIVRDKGINKPIDYVLCVGHFLTKDEDVYTFFEPDLPIEVPAAAARNTVTNSFGGVPAPKISNNGVSPSRSARLKKQRSLTILEKRANTHGSAVFWRPVVQDRLSLHEGSSVLDLKGDDYFSCAVGRKRSNARYLLGSSDDVVTLVKELSECSVSNLS >KGN65240 pep chromosome:ASM407v2:1:13032846:13034589:1 gene:Csa_1G269330 transcript:KGN65240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPKNQHRFQIFLQSPDLQIESKIVNLPQTPAKTLEDLKFSLLTEILASRIASSFYFTLNGKPLLDSTTISLIPPLSTLILRTRVLGGGGDGGATGAESRDCYLNMYAEKKPDKVDPNEQRLSKWLNCALSNEPLREPCVIDWLGNVFNKESLVQALLEKKLPKGFGHIKGLKDMIKINFSMIPGTESRGNAISEPRYQCPVTGLEFNGKYKFFALRTCGHVLSAKALKEVKSSSCLVCHAEFAERDKFVINGSEEEVEEMRERMEEEKSKSKSKEKKTKKVRNGEVGMNGDVSVDLAISRLSGKKHAIEVKSLEKVSAKPERQERLDGGAQVKDATSNGAVKRFKAADMVPANATKEVYASIFTSSRKSDFKETYSCRSLPLGRN >KGN66838 pep chromosome:ASM407v2:1:28171840:28172004:1 gene:Csa_1G700650 transcript:KGN66838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDDGRVRWATIANDKDVQRWSVAFEVVEFVRILSAAFGGIRSCSMVYERFRRG >KGN65493 pep chromosome:ASM407v2:1:15754359:15754761:1 gene:Csa_1G426700 transcript:KGN65493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLACVWEEKEQELGRQQAPGTCPFCQGKVYAIDVERQWKLCFLPLCLKIKRKYLCTLCSRRLELCHW >KGN66357 pep chromosome:ASM407v2:1:22983436:22987057:1 gene:Csa_1G599510 transcript:KGN66357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSARFSRCRTVEALVVVFSVLGMVSLCCGTRLESGSRQKLEVQKHLRRLNKPAVKTIESPDGDLIDCVHMSHQPAFDHPFLKDHKIQMRPSFHPEGLFDENKVAEKASEKPKPINQLWHVNGKCPEGTIPIRRTKHEDVLRASSVKRYGRKKHRSTPIPPRSAEPDLINQSGHQHAIAYVEGDKYYGAKATMNVWEPSIQQPNEFSLSQLWILGGSFGEDLNSIEAGWQVSPDLYGDNNTRLFTYWTSDAYQATGCYNLLCSGFIQINSDIAMGASISPVSAYRNSQYDISILVWKDPKEGHWWMQFGNGYVMGYWPSFLFSYLADSASMIEWGGEVVNSEPNGEHTSTQMGSGHFPDEGFGKASYFRNIQVVDGSNNLKPPKGIGTFTEQPDCYDVQTGSNGDWGHFFYYGGPGRNANCQ >KGN63489 pep chromosome:ASM407v2:1:337108:339522:1 gene:Csa_1G002090 transcript:KGN63489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYFTMSLFLLLSTSLWAFFLLYLCIFKFYCFSRKRFYYSPSSYPFIGCLISFYKNRRRLLTWYTDLLSDSPTQTIVMHRLGSRRTILTANPANVEHMLKTNFLNYPKGKPFTDILGDLLGCGIFNVDGDLWSTQRKLASHAFSAKSLREFVVKTLEDEVHFRLIPLLHNAARTNAVLDLQDVLGRLAFDTVCKVTLGTDEQCLDMSRPIPEIVKAFDVATAISARRAVTPLYLTWKVKRMLNLGSEKKLKQVVQTVHEWISNIIHNKVLNNNDNKDRNHNQTQNNSDLLSRLLSAGLNEEVIRDMIVSFIMAGRDTTSAAMTWLFWLLTNHRNIEQTIINEATSLSDHDYSKTTSLGYGYEELKDMKYLKACLCESMRLYPPVAWDSKHAAAADILPDGTQVRKGDRVTYFPYGMGRMEELWGKDRLEFKPERWFQNGELKTVSAFKFPVFQAGPRMCLGTEMAFIQMKYVMATVLKRFEFRRVSENNEPVFVPLLTAHMAGGLKVYVRERTEQ >KGN63505 pep chromosome:ASM407v2:1:470541:477905:1 gene:Csa_1G002740 transcript:KGN63505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKTKKPGKGKEKTEKKTAKAEEKRARRESKKLSPEDDIDAILLSIQKEEAKKKEVHVEENVPAPSPRSNCSLTINPLKETELILYGGEFYNGTKTYVYGDLYRYDVEKLEWKVISSPNSPPPRSAHQAVAWKNYLYIFGGEFTSPNQERFHHYKDFWVLDLKTNQWEQLNLKGCPSPRSGHRMVLYKHKIIVFGGFYDTLREVRYYNDMYVFDLDQYKWQEVKPSPGAMWPSPRSGFQFFVHQDEVFLYGGYFKEIQSDKGTSERGVVHADMWSLDPRTWQWNKVKKGGMPPGPRAGFSMCVHKRRALLFGGVVDMEVEGGDAMMSLFLNELYAFQIDNHRWYPLELRKEKSIKAKIKKISDQKDNEVAFDDNINEEVVDVGKTETPVMDESCSMETDIDEISHHISSSVSINNGGLETSSGKKQQESSSNKNAVLPEVIKPCGRINSCVVVGRDTLYIYGGMMEIKDQEITLDDLYALNLSKLDEWKCIIPATESEWVEASEDEDEEDDEDESENDDNSEGSNESDGDSDEEDFEAGNDGSRKVGDAVALIKGEGRNLRRKEKRARIEQIRANLGLSDSQRTPMPGESLREFYKRTNLYWQMAAHEHTQHTGKELRKDGFDLAESRYRELKPILDELAILEAEQKAEEAEAPETSSRKRGKKKNK >KGN65990 pep chromosome:ASM407v2:1:20398807:20399627:-1 gene:Csa_1G560740 transcript:KGN65990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRKKVKLAYIANDSARKATYKKRKRGLMKKVSELSTLCGIEACAIIFSPYDSQPELWPSPIGVQRVLSQFKKMPEMEQSKKMVNQETFLRQRIAKANEQLKKMRKDNREKEITRLMFQSLTAAKGLHGLNMLDLNDLGWLIDQNLKDITIRIDSLKIKPSSSQPQAQVQAQAQAPPTQPQTAAWLMELVSPQDQMGFVGDDMLLPFGDQTYNHNNAMWSNAFFP >KGN66855 pep chromosome:ASM407v2:1:28325113:28325869:1 gene:Csa_1G701310 transcript:KGN66855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEITSRGDLPTSKAPFPESSTFQTENSSLKSSSHPYGSHRPTVHHHFTPPKTQFLVRLIISGKQQSAASLLHLPLPHFSVFWLVQDSGAWDWRLIQSKDFLFFSFLCSSL >KGN64389 pep chromosome:ASM407v2:1:5838700:5843546:-1 gene:Csa_1G050280 transcript:KGN64389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRNSNFTTSPHSIDLRSGFCPQTKIFHSLRPPLSLPPLSHPLSVIQHAFSLLQSSPPPPNSTLLIDFNSGLHLSYTVFLRQIRNLASNLKSLTSLCNGQVAFILAPTSLQIPVLYFALLSLGVVLSPANPTSSVSEISHQIQLSKPVIAFATSSTASKLPKLRFGTVLIDSPHFHSMLTETNGSDGIPNIKIDQSDSAAILYSSGTTGRVKGVLLSHRNLIAVNAGPNSLQLEIQEGEMEPHPVVLCPLPLFHIFGFVMLVRAVSRGDTLVLMERFEFEGMLRAVEKYRVKYIPVSPPLVVAMAKSELVGKYDLSSLQMLGCGGAPLGKEVIDKFHQKLPGVEIVQGYGLTESTAGASRTMDPEEISNTKSVGRLSGNMEAKIVDPASGDALLPNHKGELWLRGPTIMKGYVGDDKATTETLDPDGWLKTGDLCYFDSDGFLYIVDRLKELIKYKAYQVPPAELEHLLQSNPEIIDAAVIPYPDEEAGEIPMAYVVRKPGSNMSEAQVIDFIAKQVAPYKKIRRVSFIDAIPKSPAGKILRRELAKHALSHGSSKL >KGN64852 pep chromosome:ASM407v2:1:9427336:9428175:-1 gene:Csa_1G132130 transcript:KGN64852 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2B MAPKAEKKPAEKKPAAEEKKAEKAPAEKKPRAEKKLPKDASDKKKKRAKKSIETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQESSKLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >KGN64991 pep chromosome:ASM407v2:1:10702017:10705226:-1 gene:Csa_1G172620 transcript:KGN64991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVACCHFPCFLMIEGVLLRFYKGTVKSYDPIKRKHVILYDDGDVEVLRLEKERWEVIDSDHKTSKKLKLSRSLPSLEVTLGLKNKDSGGSCSVKKPFKITKGKRTPKKNLKHSQNGASKLKFSDAGEKGSSDITNPGTSKRSNVYDEVDSGDSGGEHTQNVDKEFTDQEESDREIKSDSRGPGAGDHHIEESDEEEKPDADDDHKEKPEADDEEEKDELVEDVESFDQHADNAREKTDEDGEADESSSRENIGDDDSDSGKNEGTRFKQQSSPGEEEKGEVDELSDDEPLSKWKHRAGKRGLR >KGN64457 pep chromosome:ASM407v2:1:6226709:6228592:-1 gene:Csa_1G056930 transcript:KGN64457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAGVVVLVFLKSSYQMVEAENGVSAIFVFGDSLVDVGNNNFLHSAARANYYPYGVDFTDGPTGRFSNGRTVIDMFVDMLGIPNAPEFSNPDTSGDRILNGVNYASAAAGILDETGRHYGDRYTLSQQVVNFESTLNDLRRSMGSWNLTRYLSKSIAFLAFGSNDYINNYLMPNLYTTRFRYNSNQFANLLLNRYSRQLLALQSVGVKKLVIAGLGPLGCIPNQRATGVTLPGRCADKVNEMLGAFNEGLKSLVTQLNSQYPDTKFVYTNIYGIFGDILNNPETYGFSVVDTACCGVGLNRGQITCLPLQFPCLNRNEYVFWDAFHPTEAASYILAGRAFRGPPSDSYPINVQQLALI >KGN66911 pep chromosome:ASM407v2:1:29037770:29039419:-1 gene:Csa_1G714680 transcript:KGN66911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTIPTNLSKPLLKPKFSSPLALKPKPSSSILCSASQNQNQNTSSSLQAFSAALALSSILLSAPLPAVADISGLTPCKESKQFAKREKQQIKKLESSLKNYAPDSAPALAIKATIEKTKRRFAFYGKQGLLCGADGLPHLIVSGDQRHWGEFITPGFLFLYIAGWIGWVGRSYLIAIRDEKKPTQKEIIIDVPLATSLVFRGFSWPVAAYRELVNGELIAKDV >KGN66111 pep chromosome:ASM407v2:1:21229227:21230163:-1 gene:Csa_1G571830 transcript:KGN66111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKHSDHKPQLSPLIQSHNHETHDDSDTGPVSVTSAIIFTTLVAVSGSYVFGTAIGYSSPAQSGIMTELALTVSEVNENKLLSLMLK >KGN65855 pep chromosome:ASM407v2:1:19018740:19019535:1 gene:Csa_1G533620 transcript:KGN65855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPLRLILIFLSATLAGFVLIRNLKSPSQDFHADDHTFDSNSSSSPNSNKISSGFWTVVDMASGRYLWRHLFSSSSSEKASD >KGN66870 pep chromosome:ASM407v2:1:28469128:28472106:1 gene:Csa_1G701950 transcript:KGN66870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVNMENSAHGTVPECGAIFMSNCVTRAECFRRKLFGLPYWLGDFVLQIKSGMILFLFEYENRVLHGVFQATSDGAMNIVPHAYSSSGQKFPAQVKFSILWSCNPIFEDRFQSAIKDNYFSAKKFNFGLSRMQVHRLLSLFSLTKFSDQLHTRQLSSDPFECSSDYLICESQSVADGNGPILNERLQGKLMEGEDQVNSMQESAPLSHYNIRNIIPTKESAVYCPYMVTRNPTCSSGCLGGAQITTPSLHSQSDCMNSMALQSSVYFENIIVPSITQSQINVSCSNPNLLPLPIREFEHDGSLRRSIVTSEYPSNGLNDSFFSYQNEQGLTRQENMEIYVPVTKEFPSQLPFDSVVVSSMPSIEHTAANHGQECYGSSKSIYSDHERKGNVFSRLSYPSDASLQEYNGCNHEMLFLDPSVLEVSGQWKKTDHEVPRPKPNAGRNFVKKKCTKSLLSSYSNCFQVSDEHGAINEDSIGGNSDHSAIEIPFVNFKRRRKHLKVEHCTPTGGELSGLQQKRKKLIRPSFACSELHDSGDTNIVSPSLCGPSFACSELDESGDTNSIFTSSGGMSMVRVLRGKSNINHINETDKAEKLYPAVELPDTIWLVDDDEKNIDIETVATAENCCELNKISEDKIASSNYISNSDLNITSKDLIVKESCKSTHNCSTSENHMKFQNLNNSGLCRQELSLESSEVNTGNSFIRFNEGGNRCNAKELILSVKIAEPFHGPVAVIESSVKSSSPLNSDSESASEDVIERRKENNENEES >KGN64948 pep chromosome:ASM407v2:1:10365165:10374294:-1 gene:Csa_1G166770 transcript:KGN64948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPRKAVAVSAMQFACTDEISTNVNTAERLVRSAHAKGANIILIQELFEGYYFCQAQREDFIQRAKPYKGHPTILRMQQLAKELGVVIPVSFFEEANNAHYNSIAIIDADGSDLGLYRKSHIPDGPGYQEKFYFNPGDTGFKVFQTKFAKIGVAICWDQWFPEAARAMVLQGAEILFYPTAIGSEPQDQGLDSCNHWKRVMQGHAGANVVPLVASNRIGTEIIETEHGKSTITFYGNSFIAGPTGEIVAAANDKDEDVLVAEFDLDKIKSKRHAWGVFRDRRPELYKVLLTLDGINPIL >KGN65508 pep chromosome:ASM407v2:1:15853308:15854765:1 gene:Csa_1G433070 transcript:KGN65508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRRILQGSSKDQMMKSTSSLLQSPVFCFFLGMLAVLVILLILLLIFRKSFKQNKVVKLLRQSGTTQASTDLLSDNLHSLSYFDFHTLKKATKNFNPTNLLGQGGFGPVYLGTLEDGRLVAIKKLSLNKSQQGEAEFLSEVRLITSIQHKNLVRLLGCCSDGPQRLLVYEYMENRSLDLIIYVEHHNSHKTGGSEQILNWNTRLKIIRGIAKGLHLPKHYICWNSVSLSFDFSWPHLINHWLVYKI >KGN66899 pep chromosome:ASM407v2:1:28833083:28836999:-1 gene:Csa_1G707640 transcript:KGN66899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIQSLPSICRPSISLFHFISFDSHYPLIPAISPPTRRALSFSALISTAISTFPSSSFAAPSKSAAPDFFDLPDSAGVKALELRTGSGETPIDGDQVVVHYYGRLAAKQGWRFDTTYDHKDENGDPLPFTFVLGSGKVIAGMEAAVKSMKVGGIRRVIIPPSQGYQNTSQEPIPPNYFDRQRLFTTIFNPTRLANGEGSSLGTLIFDIELLQIRHLTNKFASPGS >KGN64381 pep chromosome:ASM407v2:1:5780762:5783424:-1 gene:Csa_1G050200 transcript:KGN64381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVAIFGSGAPPRLRPPTRTFCSLARSRTAVLRRRDHLSAFPSNSNLFFKLSTRYRAASSDNESFIFLPHLVASLERVDQTYIMVKPDGVQRGLVGEIISRFEKKGFKLTGLKLFQCSKELAEEHYKDLKGKSFFPGLIEYITSGPVVCMAWEGVGVVASARKLIGVTNPLEAEPGTIRGDLAIQKGRNVIHGSDSPESGKREVALWFKEGELVEWEPALVPWLIE >KGN64126 pep chromosome:ASM407v2:1:4279742:4280439:-1 gene:Csa_1G042380 transcript:KGN64126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMYGYEKHQMRMFRETKTIMEWRQDINSKLCFPVALRKFKSVPSSHSPISCSAVTTTSSAQFTYGLAGGVKKLKPANSAGADEADDEDVSTDIFFELNTLQIATNFFSEVNKLGNGGFGPVYKVRFICSGVMNLRRCFWEFGMFNIFVLFFLIFGSD >KGN65845 pep chromosome:ASM407v2:1:18973833:18976600:1 gene:Csa_1G533520 transcript:KGN65845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATRIDFAIVVVRDETRERLKREMAALALHASVPQSQPFIPFPSFSLSTFSQSSNRRNLLFCAPPQLHHVRSEMTLSVGTHLIPHPNKALTGGEDAFFVSSYSGGVIAVADGVSGWAEENVDPSLFPREFLANASDLVGNDDEVNNDPRILLRKAHAATSATGSATVIIAMMERDGMLKIANVGDCGLKIIRKGQIIFSTSPQEHFFDCPYQLSSERVGQTFLDAMVSNVELIEGDILVMGSDGLFDNVFDHEIVATATKYIDVGEAAKALANLASSHSADIAFESPYSLEARSKGYDVPFWKKMLGMKLTGGKLDDITVVVGQVVSM >KGN66181 pep chromosome:ASM407v2:1:21714318:21718496:-1 gene:Csa_1G574970 transcript:KGN66181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKVVVGTALVCAAAVCTAAVLVVRHRMKNCGKWSKAMGILKEFEDKCRTSTEKMKQLAEAMAVEMHAGLASEGGSKLKMLISYVDNLPTGDEKGLFYALDLGGTNFRVLRVQLGGKDDRVARQEFVEVSIPPHLMTGSSEDLFGFIAEALAKFVEEEGDGYHPVSGRQRELGFTFSFPVRQTSIASGTLIKWTKGFNIEDTVGQDVVGELTKAMEKIGLDMRVAALVNDTIGTLAGGRYHNDNVIAAVILGTGTNAAYVERAHAIPKWQGLLPQSGEMVINMEWGNFRSSHLPFTEYDQALDLESLNPGEQIFEKMISGMYLGEIVRRVLCRMAEEAALFGDVVPPKLKKPFILRTPDMSAMHHDTSPDLKVVGSKLNNILEVSNSPLPLRKIVFMLCDIVATRGARLSAAGIYGIIKKLGRDTPKDGDNQKSVIAVDGGLFEHYTKFRNSLESSLKELLGDQVADNFVIEHSNDGSGIGAALLAASHSQYLGVEES >KGN65293 pep chromosome:ASM407v2:1:13477054:13480348:1 gene:Csa_1G302200 transcript:KGN65293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGEVQLESSIGQNPSDSDPLLENQNGSSSHGTSDEIKDEDTEAGSIPCCRICLESDAEPEDELISPCMCKGTQQFVHRSCLDHWRSVKEGFAFSHCTTCKAQFHLKVSLFEDNSWRKVKFRLFVARDVLLVFIAVQTLIAAIGGYAYIMDKDGAFRNSFSDGWDRILSKHPIPFYYCIGVLAFFVLLGFFGLILHCSSLNSNDPRVAGCHNCCYGWGILDCFPASMEACFALVIVFVVIFVILGIAYGFLAATMAIQRIWQRHYHILTKRELTKEYVVEDLHGCYTPPKLEPEHEQHLKMLQLL >KGN63891 pep chromosome:ASM407v2:1:2812045:2812946:-1 gene:Csa_1G025240 transcript:KGN63891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRKVLLRSAAIRHRSPLRDGKIDAPRERRQFAEVAGGSAAECTAVCCCCPWTVLNILIFAIYRMPAGLCRKAINRRKRHRRMKRKYLIQQRKAASEDFTDGSVGPIIDGYGTHEANDVAAGEDLKKLEDEMWGRFSQTGFWRSSSQRHK >KGN63586 pep chromosome:ASM407v2:1:978297:983671:-1 gene:Csa_1G004980 transcript:KGN63586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVAGQAIPMLQFTPFCSFDLSPKKSNDSRLIMSWGCAIHINNPKLSVISTRHPRNSALFCRCSANGGTSESNSNLSSSSSSSSSSLEWDWVRWNRYFSEIEQAENFASVLKFQLEEAVEKEDFEEAAKLKLVIAETARKDSVTEIMHQLKSAIEEERYQDASMLCKCTGSGLVGWWVGYSQDSDDPFGRLIRITPGVGRFIGRGYSPRQLVTASPGTPLFEIFIVKDDEERYVMQVVYMQRSKGNSKISTSSPSEPSNSPSTSGVKNQTAVDIPENVVTKEESEEKGVTMEEATEEGIKGVINFLKDKIPGLKVKVMNTNVPEEVIDDANSVKQLMQEDSEKTGTSGNSDDEMDRLDEIQPEAVSLGENSDASDDEKDLDVKLYIGGVVHNSEETPTKDEFVRHPAFIQNMDRDSFVLHIPERSLDLDAAENKVSKVKVAALAAQGVSELMPADVAKVFWGVDKVSPKISRNVREIVKRAVSQAQKRSKLSEYTTFNRITTASGDLDPFDGLYVGAFGPYGTEVVQLRRKFGHWNDLDNKDDTSDIEFFEYVEAVKLTGDLNLPAGQVTFRAKIGRGIRNANRGIYPDELGVVASYKGQGRIAEFGFRNPQWIDGELLQLNGRGIGPYVKGADLGFLYVVPEHSFLVLFNRLKLPE >KGN64442 pep chromosome:ASM407v2:1:6154682:6157696:-1 gene:Csa_1G051790 transcript:KGN64442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTNSNDNNNSVGWNRAGGLIMKTLVLVGGALLLKRLTKSTTRWDHARFVSQSLSGEKFSKEQAARDPDNYFNIRMITCPAAEMVDGSTVLYFEQAFSRTPQKPFRQRFYTVKPCSKEMKCDVELSSYAIREMEEYKNFCDRTKDQRPLPEEIVGDIAEHLTTLHLKRCDRGKRCLYEGSTPPGGFPNSWSGASYCTSEIAILKNNEVHTWERGYDEDGNQVWGTKEGPYEFKPVPASSLKDMFSPLNFSQPSMEKRILEGSFVLQ >KGN63458 pep chromosome:ASM407v2:1:165034:166640:1 gene:Csa_1G001300 transcript:KGN63458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISPQTLAFVFGLLGNIISFMVFLAPLPTFYKIYKKKSAEGYQSVPYVVALFSAMLWIYYALLKTNATFLITINSFGCVIESLYILLFIIYAPTKLRFQTAKVIFLLNVLGFGLMLALTLVLAKGEKRLKVLGWICLVFNLSVFAAPLFIMGKVIKTKSVEYMPFALSFFLTLNAVMWFFYGLLLKDYYIALPNVVGFVFGIIQMILYVIVKHIGNKSRIPVKDEKAAAPPQLHELSEQIIDAVKLGTMVCTELNPVPVTVLQPNMDVVDAVVEAVIDNIQKKKDQDIITN >KGN65183 pep chromosome:ASM407v2:1:12647630:12650314:-1 gene:Csa_1G257890 transcript:KGN65183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLKLSFSLHSFDSNKFDFPLNSPLLSDYCSLFSINAHLHLNKSSIIYSLARVHKPSKVSQVEQDASDVSQSRFDEIVARKKYFTSKKPSKRAAGSHFSFSRNCNDNILFNGGELDVNYSTISSDLSLEDCNAILKRLEKCNDSKTLGFFEWMRSNGKLKHNVSAYNLVLRVLGRQEDWDAAEKLIEEVRAELGSQLDFQVFNTLIYACYKSRFVEQGTKWFRMMLECQVQPNVATFGMLMGLYQKKCDIKESEFAFNQMRNFGIVCETAYASMITIYIRMNLYDKAEEVIQLMQEDKVIPNLENWVVMLNAYCQQGKMEEAELVFASMEEAGFSSNIIAYNTLITGYGKASNMDTAQRLFLGIKNSGVEPDETTYRSMIEGWGRAGNYKMAEWYYKELKRRGYMPNSSNLFTLINLQAKHEDEAGTLKTLNDMLKIGCRPSSIVGNVLQAYEKARRMKSVPVLLTGSFYRKVLSSQTSCSILVMAYVKHCLVDDALKVLREKEWKDHHFEENLYHLLICSCKELGHLENAIKIYTQLPKRENKPNLHITCTMIDIYSIMGRFSDGEKLYLSLRSSGIPLDLIAYNVVVRMYVKAGSLEDACSVLDLMAEQQDIVPDIYLLRDMLRIYQRCGMVHKLADLYYRILKSGVSWDQEMYNCVINCCSRALPVDELSRLFDEMLQCGFAPNTVTLNVMLDVYGKSKLFTKARNLFGLAQKRGLVDAISYNTMISVYGKNKDFKNMSSTVQKMKFNGFSVSLEAYNCMLDAYGKECQMENFRSVLQRMQETSSECDHYTYNIMINIYGEQGWIDEVAEVLTELKACGLEPDLYSYNTLIKAYGIAGMVEEAAQLVKEMREKRIEPDRITYINMIRALQRNDQFLEAVKWSLWMKQMKY >KGN64204 pep chromosome:ASM407v2:1:4716148:4718260:-1 gene:Csa_1G043070 transcript:KGN64204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRGANVVLSRANRMKTKLQSALSASLLEIEDVSHQHAGHAAVKGSAGETHFNVKIVSPKFEGQSLVKRHRMVYDALAEELQSGLHAISIVAKTPQETGAK >KGN66216 pep chromosome:ASM407v2:1:21977625:21981556:1 gene:Csa_1G586780 transcript:KGN66216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGLVESMESINPLKQNPFLGENYEFTLAQSIQNVLAEIRKGNVVFSQFTKRFYKLIQARADPPLESIWFYSALKFRSSFNPKGDFLERVAAMKVLFQLVCSCSAPCGSSKTITLLSPVVSEVYKLVIDMRGKDLNSTREKKAMREVKSLVEAILGFMNLSSREDSDKNDKSLDFSLITPFMDLISIWTQPNEGLDQFLPLVCSEVREEFSSGECDVRRLAGVVIAEIFLMKLCLDFNYGRSRQDLEKDLITWAVGSITQIRNFYSFETLVRVLLEATLPVTSLLSTDNEALLRKVLYDALILVDYSFLKPEIAINLPAEHVAFLAVKRLILTYEAIEFYREHGDQNRAISYLNAFSSSLVSSQIIRWIKSQMPSNENLNCPNGLSPKVFLEWLLKAEDQGVRVFDNTISNRRSKLVLDTSKSVSFEGDKVDDDLLFYIDKQGGNVNGSEEDTTMDESVNAALASAAPTMSTTENSSVKKLSRKAKKRNKKLKLLSQLKSAVEGDLLFCINKQGENENGNEEDTTMNEPVNEALVSAAPTMSTTENSSVKSLKRKAKRKNKKNKLVKYDLVPNTDATQLKSAVENNDTHSEGEVHNPHSDKDSDMKQ >KGN63740 pep chromosome:ASM407v2:1:1883152:1891284:-1 gene:Csa_1G014370 transcript:KGN63740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWERVELLSRQGQGGALGEIPGPGKRWGHTCNAIKDGRYLYVFGGYGKDNCQTNQVHVFDTAKQTWSQPVIKGSPPTPRDSHTCTTIGDNLFVFGGTDGMSPLKDLYILDTSMHTWICPSLRGNGPEAREGHSATLVGKRLFIFGGCGKSTSNNDEVYYNDLYILNTETFVWKQATTMGTPPSPRDSHTCSSWKNKVIVIGGEDAHDYYLSDVHILDTDTLVWTELNTSGQLLPPRAGHTTIAFGRSLFVFGGFTDAQNLYNDLHMLDIENGVWTKITTMGDGPSARFSVAGDCLDPYKVGTLALLGGCNKGLEALGDMYYLFTGLAKETERKPEKLSLRKQLKLKCQEQNLNAIHGRAMVPIGVNADLFQPITVQGYGTPYKHNFPLNQSQHLQGKKSFQAKVTESIANGYTIETVIDGKPLRGVIFSNRPNPTHFAHHSTVRKRTFGDIDPISNGDINIKSKIPRRTKQDEVDNKQEHGSSINAPTMHEPEMVVASATPIKDPVSSDASLPSKVSSSSELPPSLKDVSTSAQAPMDIGVENSGVAKTNDALDSTADIQNESIPTPASIKETIAFFPDQDVKRPTTIEEVTQVSK >KGN65189 pep chromosome:ASM407v2:1:12686873:12690216:-1 gene:Csa_1G257950 transcript:KGN65189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSHRKCDDRRDVEVNYKEKRIRARKNETRSLQRRLVKFKDLPEYLKDNEFILDYYRCEWPVKEALYSVFSWHNETLNIWTHLIGFLIFGAMVVLTLMEGTELGDFLLANFSRGTVTVPFWTTMGMEKDVNGSDSFIPETRHVSKSSVFLVDRADTTTALPRWPWFVFLVSAMGCLVCSSLSHLLACHSKRYNLFFWRLDYAGISLMIVGSFFAPIYYVFLCNFYSQLFYLSSISVLGVAAIVTLLAPALSAPRFRAFRASLFLTMGFSGIIPTAHAVALYWGHQRIYLAFSYEFVMAVLYAAGAGLYVSRIPERWKPGAFDIAGHSHQLFHVFVVLAALVHSAATMYIVDFRRSSPTCSS >KGN64174 pep chromosome:ASM407v2:1:4514500:4514739:1 gene:Csa_1G042810 transcript:KGN64174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRRRRNLSKVKPMNPQRRGGIWKSSWIFGCLVFLFAAFHGCSKTLKELTALFSLFVDVENFVASDFPDFGGPLYIEAA >KGN66439 pep chromosome:ASM407v2:1:23731848:23735154:-1 gene:Csa_1G605680 transcript:KGN66439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPVKREPTVSTRSNRNRGGDGGGGGGGGAVDGASLRDSKRERVARERENSGEENMNEEVGQQDLTKRRVLRSRYLAVIHEISERREDLSKDLDKFNVIINEVEKLHEQVQKPREQVADAEALQDIANSLVTSIRSQSNEGVTPSDFVSCLLREFADINGSINSEEQDLVSVNWKDIGLSVSSIFMNGYGCRTMLGPMSNQLKQRKTNNVPRKRVRPTESSRPAEVEDNGSEPKTDTDKNMGIMFGILRRNKTVKLEHLILNRKSFGQTVENLFALSFLVKDGRAEITIDKNGSHFVSPKNAPAHSAIMSHEVNYSHFVFRFDYKDWKPMVDMVPVGEELMPHRTFLNSVQVSQEEVAADNSESAGLPTTPIRKLSRNRGLVMQSVVEDSPDNGSQSGATAILRGKRKLSQGWVN >KGN65197 pep chromosome:ASM407v2:1:12742295:12747268:1 gene:Csa_1G264000 transcript:KGN65197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQLLWLILLAGYLCHFALADQIFPAQLAGGTFSRNSRGPKYNIEFHQQNSPYNPDEDQESVFMPNKNGKNYLCYLPKVEKSKSGKPSIQVNMSSMIVESEKRVKLKTPDELLEALKEQCFVRQEGWWTYEFCYQKALRQFHLEDEKVVQEFVLGVYDPEATAKLNENLSDISTLKDPRSKDASQRYHAHHYTNGTMCDLTNQPRETEVRFVCSEPPRAMINSITELSTCKYALTVRCPTLCKHMLFEEERPVWYIINCNELPDDYKETERSEESTDEIVMVTDIKYPKNESED >KGN64259 pep chromosome:ASM407v2:1:5013207:5017891:-1 gene:Csa_1G045570 transcript:KGN64259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLVLVVSSLLLSTLFSICTFALTPDGLTLLEIRRAFNDSKNLLGDWEASDEFPCKWPGISCHPEDQRVSSINLPYMQLGGIISPSIGKLSRLQRLALHENGLHGNIPSEITKCTQLRALYLRSNYLQGGIPSDIGSLSALTILDLSSNALKGAIPSSIGQLSLLRHLNLSTNFFSGEIPDFGVLSTFGSNSFIGNLDLCGHQVNKACRTSLGFPAVLPHAESDEASVPMKKSSHYIKGVLIGAMSTMGVALVVLVPFLWIRWLSKKERAVKRYTEVKKQVVHEPSTKLITFHGDLPYPSCEIIEKLESLDEEDVVGSGGFGIVYRMVMNDCGTFAVKKIDGSRKGSDQVFERELEILGCIKHINLVNLRGYCSLPTSKLLIYDFLAMGSLDDFLHEHGPERQPLDWRARLRIAFGSARGIAYLHHDCCPKIVHRDIKSSNILLDENLVPHVSDFGLAKLLVDDDAHVTTVVAGTFGYLAPKYLQSGRATEKSDIYSFGVLLLELVTGKRPTDPSFVKRGLNVVGWMHILLGENKMDEIVDKRCKDVDADTVEAILEIAAKCTDADPDNRPSMSQVLQFLEQEVMSPCPSDFYESQSDYS >KGN64334 pep chromosome:ASM407v2:1:5447872:5448372:-1 gene:Csa_1G046305 transcript:KGN64334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTTTSNHYYSPCAACKFLRRKCLAGCVFAPYFPPEEPQKFANVHKVFGASNVAKLLNEVLPHQRQDAVVSLAYEAEARIRDPVYGCVGAISFLQKQVQRLQKELDAAKARLFLYSCTDFSTPFLPHSQIINTPHHPVIWNNNSNYNINNHNDINNPSMYFNGGI >KGN64076 pep chromosome:ASM407v2:1:3951223:3953605:1 gene:Csa_1G039900 transcript:KGN64076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKTLEKYRRCSYGIPNATHQPQSFDDYLNLKATVEFMQQSQRNLLGEDLGPLNAKELEQLEHQLETSLERIRSTKTQSLLEQLTELQRKEQMLVEDNRGLKKKLEESSAQVAVAAAGAWGWEDGAGGHNMEYPSRGVASQSDAFFHPIVQPTPTLQIGYSSIGSMGMNHIGSPSQNANNNAFHLGWMI >KGN65953 pep chromosome:ASM407v2:1:20070394:20072539:1 gene:Csa_1G542440 transcript:KGN65953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRILIGSTAFLRRVVSTSILSSSFQEIHHVSAKLVPTSSDHPQISLNQSNLLLKIGFTQSQIRDFLSQNHRFFTNSNLHDIEPSLPLLLSFKISPKDLVSIVFDCPAVLDLVFLKKWKVSLSLIDLPNVTVSMIRSMLVLSQRFDLDPSLFRRAVDLLKRFGISDAAVIRVLEDYPEIVFTNEEEILRTIEFLMGIGIRRDEIDRVICSIPRVLGFRVEGRLRSLICEFNGLGFDQNVIAREIVREPRTLATELGEISRCVELLRNLKCRNSIKERIFREGSFRAAFEVKQRVDCLCKHGLIRTRAFKLLWKEPRLVTYEIENIEKKIDFLIHKMKFGVDSLIDVPEYLGINFEKQIVPRYNVIEYLDSKGWLGSQVGLREIIKPSRLRFYNLFVKPYPQCGKMFGKFAGDNRTESPSRHPLGLWKAFKPPRHPESKEDIENMKSFMESLV >KGN65872 pep chromosome:ASM407v2:1:19166066:19166609:1 gene:Csa_1G534780 transcript:KGN65872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATSRLARFISEACPPQFVSVMRRRSPRVLDTINEEDQREALVSSSSFKIDKFSVPSPPSPDSSAAAINSKYFLDQVPVPLGPFSIFEH >KGN66431 pep chromosome:ASM407v2:1:23637158:23670099:-1 gene:Csa_1G605110 transcript:KGN66431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSRVGPDQPPPLQRRITRTQTTGNLGESVFDSEVVPSSLKEIAPILRVANEVESSNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENDPTLMGRVKKSDAREMQSFYQHYYKKYIQALQSAADKADRAQLTKAYQTANVLFEVLKAVNMTQSIEVDREILEAQDKVAQKTQIFLPYNILPLDPDSANQTIMRYHEIQAAVIALRNTRGLLWPTDHKRKDGEDILDWLQAMFGFQEGNVANQREHLILLLANVHIRQVPKSDQQPKLDERAVTEVMKKLFKNYKQWCKYLDRKSSLWLPTIQQEVQQRKLLYMALYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGNISPMTGENVKPAYGGENEAFLRKVVTPIYEVIAKEAARSKQGKSKHSQWRNYDDLNEYFWSVDCFRLGWPMRADADFFCLPHDQIHADRSGENKPSSKDRWVGKVNFVEIRSYWHVFRSFDRMWSFFILCLQAMIIVAWNGSGQPSSIFNVDVFMKVLSVFITAAILKLCQALLDVILSWKAHRSMSFYVKLRYILKVVSAAAWVVILPVTYAYSWENPSGFAQTIKGWFGGNTSNSPSLFILAIVIYLSPNMLAGVFFLFPFIRRFLESSNYRIVMLMMWWSQPRLYVGRGMHESTFSLVKYTLFWVLLIATKLAFSYYIEIKPLVGPTKAIMNVRITVFQWHEFFPRAKNNIGVVIALWAPIILVYFMDAQIWYAIFSTLFGGIYGAFRRLGEIRTLGMLRSRFESLPGAFNACLIPEEQSEPKKKGLKATLSRNFSVISSNKEKEGARFAQLWNKIISSFREEDLISNREMDLLLVPYWADTELGLMQWPPFLLASKIPIALDMAKDSNGKDRELKKRIAADSYMSSAIRECYASFKKIIKHLVQGAHIYWVIDYIFTEVDKHIEEDSLISEFKMSALPKLYDRFVKLTKYLLDNKQEDKDAVVILFQDMLEDVTRDIMNEDHISSLLETLHGGSWHEGMTSLDQQYQLFASTGAIKFPVDQTEAWKEKIKRLYLLLTTKESAMDVPSNLEARRRISFFSNSLFMDMPAAPKVRNMLSFSVLTPYYTEEVLFSLHDLEEPNEDGVSILFYLQKIYPDEWKNFLERVKCSGEEELKGVNELEEELRLWASYRGQTLTKTVRGMMYYRKALELQAFLDTAEDQDLMEGYKAVELNSEENSKGDRSLWGHCQAISDMKFTYVVSCQQYGIQKQSGDARAQDILKLMTKYPSLRVAYIDEVEEPSKDKSKKNQKTYYSSLVKAASPKSINDTEHVQLDEIIYQIKLPGPAILGEGKPENQNHAIIFTRGEGLQTIDMNQDNYMEEAMKMRNLLQEFLKKHDGIRHPSILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRLLANPLKVRFHYGHPDVFDRIFHLTRGGVSKASKVINLSEDIFAGFNSTLREGNVTHHEYIQVGKGRDVGLNQISMFEAKIANGNGEQTLSRDIYRLGHRFDFFRMLSCYFTTIGFYFSTLITVLTVYVFLYGRLYLVLSGLEKGLSTQPAIRDNKPLQVALASQSFVQIGFLMALPMLMEIGLERGFRTALSEFVLMQLQLAPVFFTFSLGTKTHYYGRTLLHGGAKYRPTGRGFVVFHAKFADNYRLYSRSHFVKGLELMILLLVYQIFSHTYRSALAYVLITVSMWFMVGTWLFAPFLFNPSGFEWQKIVDDWTDWNKWISNRGGIGVPPEKSWESWWEEEQEHLRHSGKRGLVAEILLASRFFIYQYGLVYHLSITQRTNTKSFLVYGISWLVIFLILFVMKTVSVGRRKFSADFQLVFRLIKGLIFLTFVSILVTLIALPHMTVQDIIVCILAFMPTGWGMLLIAQALRPLVVRAGFWGSVRTLARGYEIIMGLLLFTPVAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGHRKDRSSRNKD >KGN66319 pep chromosome:ASM407v2:1:22674711:22679888:1 gene:Csa_1G597170 transcript:KGN66319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVETSIFGVRFNNQRIDLKRCVPAFFSTYRTVFTVFWIAAVATIFLWQSTIGDGFFFLQRGSARPLPRLRPAVFNLTDFGAVGDGVTLNTKAFEKAILAISKLRTKGGGQLNVPAGRWLTAPFNLTSHLTLFLDEGAVILGIQDEKYWPLMPPLPSYGYGREHIGPRYGSLIHGQNLRDVVITGHNGTISGQGKTWWKKYRQKLLNHTRGPLVQIMWSRDILISNITLRDSPFWTLHPYDCKNITIRNVTILAPVHDAPNTDGIDPDSCEDMLIEDCYISVGDDGIAIKSGWDQYGIAYGQPSKNIRIRNVVLQSMVSAGISIGSEMSGGVSGITVENVVVWNSRRGVRIKTAPGRGGYVQDITYRNLTLDTVRVGIVIKTDYNEHPDEGYDPKALPVLKDISFTSIHGQGVRVPVRMHGSKDIPVRNVTFKDMSVGITYKKKHIFQCAFVHGRVIGTIFPAPCDNLDRYDERQRLIKHSASQNATDIDYDF >KGN63837 pep chromosome:ASM407v2:1:2457607:2458017:-1 gene:Csa_1G024225 transcript:KGN63837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEISFNSIILAVATIPQPLKQFKGDIGSNEPGRVSAASGPIDPSQGPVGVGLRESDSNKGGEVVLVHVARRFAKFLEDGEILLVGDDLGGDGSVHFEPLGTLAPLMELDYWPHFWVVAASAHWAWKVIGLNECGF >KGN64459 pep chromosome:ASM407v2:1:6240247:6242350:1 gene:Csa_1G056950 transcript:KGN64459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFSHHMDMSLHSSMNPTIPFSYNIISSSNCGIISTTSNNNNVALLTTGPRMDSRIWSKLPQRILDRIVAFLPPPAFFRARCVCKRWYGLLFYASFLELYLQISPYRRHWFLFFKLKGVSSHIYRNNNNSPLAGPDHSRPTYEGYLFDPYDVAWYRLSFAQIPAGFSPVASSGGLICWAPDEGGPKTLILSNPILGTLSQLPPTTRPRLFPSIGFAITPSSIDITVAGDDLISPYAVKNLTAETFHIDATGFYSMWATTSTLPRLCSFESSRMVHVGGRLYSMNYSPFSILAYDMSHNNWWKIQAPMRRFLRSPNLVESQGKLLLIAAVEKSKLNIPKSLRIWGLQSCGTTWIEMERMPQQLYVQFEELEKSCGFECVAHGEFVMVLIRGCWDKAALLYDMAKKLWQWVPPCPYIGTAGGGRGGEEVLHGFAYEPRLATPVTGLIDHFSIPFQNYNANHQ >KGN66896 pep chromosome:ASM407v2:1:28765183:28766737:-1 gene:Csa_1G707120 transcript:KGN66896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEARPMNLLPSHQFIIPNDRDFIKQNQELANTHMTPVTDTTTPGIIWPYTAVKPAVTPETTLNNFATVYDWGKAESGLTSNNFPSTAPTRKRTRSRSFYDEPGGRLLDEEIINSHIQQQQSEMDRFIAIHREKMRIEMEMRKKRESGMLVRAIEERVVKKLKEKEEEIERMGKLNWVLQERVKRLCVENQVWRDLAESNEATVNCLRNNLEQVILMAANKNVGGVAGAKEKEEKAESSCGSTSECGRKEEEEEEAESGGGGGRCRKCGAGESRVLVLPCRHLCLCTMCGSTIHSCPVCNSAINASVHVNFS >KGN66571 pep chromosome:ASM407v2:1:25050581:25053791:-1 gene:Csa_1G629770 transcript:KGN66571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAFFTCPLAKYIDKKNGGESESVTVKFINFGDDEVKALQRSTSSDSGDLKPSVIKSVGLQKAELDSSVRLSGRDLEKMTSTEITDIPLQEAELDFVANSPKSNDMESQSSRPENHDGTQAVMDLAATNMEHMAATELQKVYKSFRTRRRLADCAVIAEKSWWKLLNFADLRRSSISFYDIEKHKTAISRWSRARTKAARIDPRHRYGQNLQFYYDKWLYSQSEQPFFYWLDIGEGKGVDLVEECPRVKLQQQCIQYLGPLERTAYEVVVEDGKFIYKQSGELLHITRVDKREKWIFVLSTSKALYVGKKMKGKFHHSSFLAGGATLAAGRLVVENGILQAIWPHSGHYRPTEDNFREFISFLSENNVDLTHVKMSPRDEEGEEDNVLQTQKGSLHVRKGSSEEDWIEQVSGGSDDGVSKIVAAETIGGKSDFQEQLASSTIKTFEPNMPINLRRKLNNLHIRENIEMRSLKYVSELDTETQKKNMLEEENRSYEVGIIPDESVLKRINSHKETKSYQLGKYLSCKWTTGAGPRIGCVRDYPIELQHRALEQVML >KGN63870 pep chromosome:ASM407v2:1:2661928:2662918:-1 gene:Csa_1G025020 transcript:KGN63870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDPNPIPTSKSEADQIAKQNPSVLLQDDEELRKVFERFDANGDGKISISELDAVLTSLTLKSAIPLEELRSVMDDLDSDKDGYINIDEFAAFCKKPMASDEAGAAELRDAFDLYDQDRNGLISQSELHLVLNRLGISCSKEDCQKMINSVDSDGDGNVNFEEFRKMMTDNSKSKAAQQNGTAAAAP >KGN64776 pep chromosome:ASM407v2:1:8470670:8472391:-1 gene:Csa_1G096100 transcript:KGN64776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEAWAFIKQVAEGRWFSVWAVVFSQSAYASGASVVIALLFLPLLIACREEFLLYKLKKQNHNLEPSVTLSIIDQKVPNSHKPFSTLEEIAEISPSSIFSVDMVLICLATFAGSLVMLVLAYRTREYYRWDVYKNYKEDMWIPQAEMEFYRLDNKKNIDD >KGN66406 pep chromosome:ASM407v2:1:23323965:23324189:1 gene:Csa_1G600960 transcript:KGN66406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVFFSIPDNSWRLLATSGIAAQVLAALLSVATESELSTSLLSDKNGDCHNLKPKNLILT >KGN66359 pep chromosome:ASM407v2:1:23021828:23024383:-1 gene:Csa_1G599530 transcript:KGN66359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEEIGQKSNKSGETDFLLQWGNRKRMRYMKVKEPQRITSKPDCLGKKKVSSKGDRRVVTAEKASSTQQTHRLNKSIASPTDNQRTTITSPEKEDRYYTTRGSMGVDDKVSMDHPMGNDRKGFVWPRLYISLSSKEKEEDFMAMKGCKLPQRPKKRAKLLQKSLVLVMPGSWLSDLCQERYEVREKKTSKKRPRGLKAMRSMESDSE >KGN63853 pep chromosome:ASM407v2:1:2566921:2569118:1 gene:Csa_1G024850 transcript:KGN63853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLTVAASSFSSFSLTRFAHSSSNNSLIPPKVLKVPLNANSQSSISFKSSNTPSIYRFPSLKTCAALDGKDPNGATPVLVEEESSTSSNIVNEEVEKSVKVLKNAAKTRKVPAEEVLSAFSVLEKAKLDPSKFFNTLGGTSSPGRTWMLIFTAEKKLKKGRYFPVTAIQRFDAAGKRIENGVFLGPIGSLTFEGRLSWKTRILAFIFERVRIKIGPLNPLEISLGQKEEREPSTKDPCFIWFYVDEEIAVARGRSGGTAFWCRCRRVNT >KGN65832 pep chromosome:ASM407v2:1:18866750:18869534:-1 gene:Csa_1G533390 transcript:KGN65832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMPNEDNASSQTQLSSNLFGDLLDSVIVDIASECHRIARLGLDRNLEEEEEELRLSAQARVRVADSSNSSEANGKYVVDIFGQTHPSVANEIFDCMNCGRSIMAGRFAPHLEKCMGRGRKARPKVTRSSTAAQSRYSRGNPVSAYSPYPNSTSTNRLPNGTSSLAGEEYSNGTSEDP >KGN64655 pep chromosome:ASM407v2:1:7440278:7440874:1 gene:Csa_1G073630 transcript:KGN64655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKKQPGIMDLKLSRKLQLRSTPPFLCKASSVIFHGWGVAICALGAVVQVGRTTRVEWRGYYWIIRRRDILGRELKLRNLRYIMNEEFSSWRDNEWWGFFVSAEKWDGKSGGTGGGCRGGVKWHFENN >KGN64630 pep chromosome:ASM407v2:1:7274318:7279966:-1 gene:Csa_1G071920 transcript:KGN64630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIKNSISNPLKMVVSGVILDLDGTLLHTDGIVNDVLKSFLGKYGKQWDGREALRVTGKTPYESAAVIVEDYGLPCSSAELMSQISPLFAERWCNIKALPGANRLIKHFSNHRVPIALASNSSRENIESKISFHPGWKDSFSVIIGSNEVTAAKPSPEIFLESAKRLNLEPSSCLVIEDSVPGVAAGKAAGMKVVAVPSLPKKSHLYSSADEVINSLLDFQPQKWGLPPFEDWVENTLPINPLYIGGPVVKGYGRGSKVLGIPTANLSTEGYSDVLSEHPSGVYFGWAGLSTRGIFKMVMSIGWNPFFDNVEKTIEPWLLHDFDGDFYGEDLRLVVVGYIRPEANFPSLESLIAKIHEDGRIAERALDLPLYSKYRNDQYLKQRDA >KGN64290 pep chromosome:ASM407v2:1:5189352:5191012:-1 gene:Csa_1G045880 transcript:KGN64290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPFPFPLLFLVTIILPFPSLVHSKLSLGYYQKTCPDFEKIIRETVTNKQITSPVTAAGTLRLFFHDCMVDGCDASVLISSNSFNQAEREAEINHSLSGDAFDVVVHAKTNLELACPGIVSCSDILAQATRDLVVMVGGPFYNVRLGRKDGMISKAGNVEGNLPTVNFTMDKLIDYFVERGFTVQELVALSGGHTIGFSHCKEFTDRLFHHSPTSPTDPDIYPKFAEKLKTMCANYEKDTAMSAFNDVITPGKFDNMFYQNLPRGLGLLATDNALDKDPRTKPFVDLYAVNQTAFFHDFGRAMEKLSVHGVKTGRKGEVRRRCDLFNSINT >KGN63790 pep chromosome:ASM407v2:1:2167786:2172483:-1 gene:Csa_1G015820 transcript:KGN63790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVSTRSQIMNDGQIDSIPGQEDKQQTNLPPRNLHHGLKEKMKALTLLYEQQKLASAALKRPSLKEEDLRFTTHPSVELNGSCKKEEKEPKLENVMKENAMPNSTVTRTYVLPQPPMADAKENVVVGSDRIVGFSCSKKASLSTNVARKLSLGNSMPVVEMKENVGCKKLQEMEELGSCSEKESLGESRILVFVRLRPMAKKEKEAGSRSCVKIVNRRDLYLTEFANENDYLRLKRLRGRHFTFDASFPDTTNQQEVYSTTTAELVEAVLQGRNGSVFCYGATGAGKTYTMLGTVENPGVMVLAIKDLFTKIRQRSCDGNHTVHLSYIEVYNETVRDLLSPGRPLVLREDKQGIVAAGLTQYRAYSTDEVMALLQRGNQNRTTEPTRVNETSSRSHAILQVTVEYRARDGATNVVNRVGKLSLIDLAGSERALATDQRTLRSLEGANINRSLLALSSCINALVEGKKHIPYRNSKLTQLLKDSLGGACNTVMIANISPSNLSFGETQNTLHWADRAKEIRTKVAEANEEIIKAPESESDQAKLLLELQKENRELRVQLARQQQKLLTLQAQSLAAVGSPTPSSATSLLTPPTSVYQNEKRKPRSSFLNGNCFTPESRRKGAEEGVRELRRTVKQLEAELEKTKKEHVVQLKQKDDIIGELLKKSEKTAGLVRGEGAKRAETRTSLRPKEPSIGELKSPSHRFKSPAPTAKKRSFWDITTTNSPSVATLNGRKTRSHVLAEPPTAAPSMLRQPGFARQKP >KGN65362 pep chromosome:ASM407v2:1:14432365:14448417:1 gene:Csa_1G374520 transcript:KGN65362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLGILVDFLSHSTILGFMGGTAVIICLQQLKGIFGLTHFTSKTDVYSVLHAVFSLRKEWKWESALVGVVFLLFLQFTRYLRNRKPKLFWVSAMAPMVTVIVGCLFAYFIKGSQHGILTVGHLSKGINPISIHFLNFDSKYLSAVVQTGLITGLIALAEGIAIGRSFAIIKNEQIDGNKEMIAFGLMNIVGSFTSCYLTTGPFSKTAVNFNAGCRTAMSNIVMAIFMALTLLFLAPVFSYTPLVALSAIIMSAMLGLIKYEEMYHLLKVDKFDFCIYSRPPPSIFFSFVPLPVTYQSPSPSPIFFSSLFALAVGRLKPIARPRSGSHRVTPRRVTPSTVPNCRRYAMVVIAHVVDVYSSHVFRARVEPLLQASCGFYFVQHFSCRFACGCPCFTLHLHL >KGN66693 pep chromosome:ASM407v2:1:26511822:26514693:1 gene:Csa_1G660130 transcript:KGN66693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTTIHKPNAAAAIQTNPKFPHLPSLLFSSKLAHDATSAAMTKLCRKLVRWRLLERVSLVRHFFRLVWDRLFACSSGTTPKKYRRLPSRSPPPPTDGGSSPDQPTTSGGFDSDFDFDSADLVSLKISLLGDCQIGKTSFVIKYVGDEQERNSLQMSGINLMNKTLNIQNALISFCIWDVKGDSSSQDQLPLACKDAVAILFMFDLTSRRTLNSVVDWYTEARKWNQTAIPILIGTKFDDFVRLPPNLQWTIVSQARAYARVMKATLFFSSSIHNINVNKIFKFIAAKLFDIPWSIERNLTIGEPIIDF >KGN66026 pep chromosome:ASM407v2:1:20697893:20701670:-1 gene:Csa_1G568550 transcript:KGN66026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQTEVSRIKTPLVPLATLIGRELRNEKVEKPFVKYGQAALAKKGEDYFLIKPDCQRIPGNPSTAFSVFAIFDGHNGISAAIFAKEHLLENVLSAIPQGANREKWLQALPRALVAGFVKTDIEFQQKGETSGTTVTFVVIDGWTVTVASVGDSRCILDTQGGVVSLLTVDHRLEENEEERERVTASGGEVGRLNVFGGNEVGPLRCWPGGLCLSRSIGDTDVGEYIVPIPHVKQVKLSNAGGRLIIASDGIWDALSSEMAAKSCRGLPAELAAKLVVKEALRSRGLKDDTTCLVVDIIPSEQPFLIPSTPRKKQNVLTSFFGKKYPNSLGKSANKLSAVGVVEELFEEGSAMLAERLGKDFPSDPNSGIFKCAVCQADQPPNENLSMNSGPFFSPSSKPWEGPFLCATCRKKKDAMEGKRPIKPTITV >KGN65339 pep chromosome:ASM407v2:1:14013708:14015380:1 gene:Csa_1G348440 transcript:KGN65339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKLMSLSSIACLLLVGAFLHVASAVDYDVGGDFGWSLPPNPTFFSDWARNKTFFVGDKLVFRSKASETHDVAEPDGQVDLDGCVEPGISLSTSAVLSISLDSPRRRYFICTIGNHCNAGMKFAVDVFINPNSAMPPPPSSASSLRFGAVLAAAMAGLFFLLTI >KGN65592 pep chromosome:ASM407v2:1:16725161:16729582:-1 gene:Csa_1G467120 transcript:KGN65592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METNNSNNNGVRFLLGKQSSMAPDRQPEEAELAEDGEEIDPGVRLMYLANEGDLEGIKELLDSGIDVNFHDIDNRTALHIAACQGCNEIVDLLLRRGAEIDPKDRWGSTPLADAIFYKNHEVIKLLEKRGAKHLMAPMHVKHAREVPEYEIDPKEFDFTNSVNLTKGTFHLASWRGIQVAVKELPEDVISEEDKVNAFRDELALLQKIRHPNVVQFLGAVTQSSPMMIVTEYLPKGDLCQLLHKKGPLKPIVAVKFALDIARGMNYLHENKPAPIIHRDLEPSNILRDDTGNLKVADFGVSKLLTVKEDKPLTCQDTACRYVAPEVFKNNGYDTKVDVFSFALILQEMIEGQPPFSNKKENAICKGYAAGMRPPFKAPAKCYAHGIKELIEACWDERPSKRPTFRQIITRLETIHHSLSHRRRWKLPTLRCFQDPDAKIRRDHLSSSRSLSSRSASSI >KGN66564 pep chromosome:ASM407v2:1:25002537:25003366:1 gene:Csa_1G629700 transcript:KGN66564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYQKLYLPGSLPGSCNRKERQNYLLWTTKMDHLLATTLVEQEKEGSKVDGAWKPVECAALQVLNENLGDGLTKEHVRSRLKTWKKRFHILKELLAHKGFEWDEAKKMVAAENSVWNNYIKAHPNARQYRGKFIELYDEWCIIMGERAISIFSDDDAEVKEIRTKGKDSSGSLIALDARTNDKMAKKLRWTSDMDHYLGRTLVEYVTKGCKLDKSLQRGVLNLAVSALNEKYGPNLTKEHIKNRLKT >KGN65214 pep chromosome:ASM407v2:1:12862512:12863455:1 gene:Csa_1G265380 transcript:KGN65214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTVLEFVEGGLILGVQRKVGDLGLSKVKRQTLISGGVRGTLPWMAPELLNGSSNMVSEKVDVFSFGIVLWELLTGEEPYANLHYGVIIGGIVSNTLRPEVPESCDPEWRSLMERCWSSEPLERPSFTEIANELRSMAAKVPSKVPN >KGN66675 pep chromosome:ASM407v2:1:26339577:26340507:1 gene:Csa_1G657510 transcript:KGN66675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGKAKPKKHTAKEIAAKIDAATTNRGGGKAGLADRSGHEKGGHAKFECPYCKITAPDVKSMQIHHESKHPKVPFEEEKVINLHAVRVAAEASKTKPGVRGSYKK >KGN63694 pep chromosome:ASM407v2:1:1585020:1585561:-1 gene:Csa_1G011460 transcript:KGN63694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERDKYWMLTDLSSPSKGILYAGRCNSYDGFGSSGRVIQKKNKCVEIASPSKSSHSTKPCTELL >KGN66321 pep chromosome:ASM407v2:1:22688199:22688569:1 gene:Csa_1G597190 transcript:KGN66321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGRCINGATKNCCCLMMKAYGNDGKVAAGSGEGCAESEWLRNGMGQLGVWISILQAFT >KGN64054 pep chromosome:ASM407v2:1:3835681:3836587:1 gene:Csa_1G039200 transcript:KGN64054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYIHTLTVFRDSLVFLLQDQATDVLSPTTELQSEVNSIPCPMAYHLQSITSHLLSNLNNKSKLYKDDALRHIFLMNNIHYIVQKVENSDLIAFLGSGWMREHIRMFQSHATIYMRATWQSVLSLLRLDGDGMKTSKAVFKEKYRAFNAAFEEIYKNQTGWNVPDPQLRDDLLIQTSNCVIQAYRILCGSRSQFNREKYIKYTTDDLSKHMLDLLQGSSRSLQSSRRR >KGN64802 pep chromosome:ASM407v2:1:8730631:8730819:-1 gene:Csa_1G108275 transcript:KGN64802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSINTPSSTQIETEPTTNQEIQLDPNRYQVWSGTMPVGTLEFTNLLNQVKTTKLDKGNFSF >KGN66551 pep chromosome:ASM407v2:1:24877012:24899072:-1 gene:Csa_1G629080 transcript:KGN66551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASLAAFERPRAGASNTVFKSGPLFISSKGLGWKSWKKRWFILTRTSLVFFKNDPSALPQRGGEVNLTLGGIDLNNSGSVVVREDKKLLTVLFPDGRDGRAFTLKAETSDDLFEWKTALEQALAQAPSAALVMGHNGIFRSDTNDKIDSSFHPWRDKRPVKSLVVGRPILLALEDIDGGPSFLEKALRFLETFGTKVEGILRQSADVEEVDRRVQEYEQGKTEFGSDEDAHVIGDCIKHILRELPSSPVPASCCTALLEAYKIDRKEARINAMRSSILETFPEPNRRLLQRVLKMMHTISSHAHENRMTPSAVAACMAPLLLRPLLAGECELEDEFDVSGDNSAQLLAAANAANNAQAIVTTLLEEFENIFDDENLHRCSISADSQIENSGSDDSTDDENLDVKGNGYHNVENGVDPDTDDDPERVLSGKLSESSGYAGSDLYDYKAFGGDDSDVGSPRENHDLAQSSNSCLDHHKNSETNVQPIGDLTKQKKGNANSLTEVETPNISLAGESYRSMGEILNSMDPGNESSSGKPVGKVSSSNINAKRSTFWGRSSARKTPSIESVDSSGEEELAIQRLEMTKNDLQQRIAKEARGNAILQASLERRKQALHERRLALEQDVSRLQEQLQAERDLRAALEVGLSMSSGQFNNSRGMDSKTRAELEEIALAEADVARLKQKVAELHHQLNQQRQHNYGSLSDACDRYQHVQNHGSQLRFLQQDFDSTLAFVNHERKQRNEEGLMGSDWRNMKGQVLGSANSSKPTPRKLFVDSLSPSDSKSTEVSTSMSVDELAVDSASLPSTSKAGEVLDYTRHSTVPSSTLVELTTRLDFFKERRSQLMEQLHNLDLNYGASSSQDFIYKQPPSPPWK >KGN65130 pep chromosome:ASM407v2:1:12095587:12097206:-1 gene:Csa_1G231020 transcript:KGN65130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHIEPIVPDSCCISPRFHSNSFRRSLRYNRLPPQLLRLSVLKLDGSSFEVQVERTATVAAVRDAVESVFCEMSMNKEDFNISWPHVWGHFCLCYKHFKLMDDKSRIQHFGIRDGDQLHFVELRCPIEE >KGN66215 pep chromosome:ASM407v2:1:21973151:21976351:-1 gene:Csa_1G586770 transcript:KGN66215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQLVIVVLVIFIIGLIPTFECSKKPSAAARKEDIPYIKCQVCEKLAAELYHQVEKKQAEIAPKKISEYQIIEIAENVCNLKKAEADWILQIDIVEQGDKLELVDQNTEGQCNSECKTIERACQEVMGYSDTDVAEYLYSSKPKIDSLVNYLCKDLTKSCTTKPPPVPKDRTPGEPFVAKSSKEAEMEKMMRSMEGMPGAPGMKMYSRDDLMNMKNFGGEDDDEEEEEEEENFPSNLGKVLREKERKNNDWKNRITKGVSKAGEALKQHAYKVSNKVRHWWRAKTGGLKSSKPTKQEL >KGN66140 pep chromosome:ASM407v2:1:21400324:21402208:-1 gene:Csa_1G573590 transcript:KGN66140 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S25 MAPKKDKAPPPSSKPAKSGGGKQKKKKWSKGKQKEKVNNMVLFDQGTYDKLLVEVPKYKLVTLSILSDRLRINGSLARRAIKDLMARGLIRMVSAHASQEIYTRATNT >KGN65789 pep chromosome:ASM407v2:1:18537255:18543750:-1 gene:Csa_1G528540 transcript:KGN65789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKNDCMYRNPGAAIEDRIKDLLSRMSLREKIGQMTQIERSVVTPSALTDLAVGSVLSGGDNPPFDKAMSLDWADMVDGFQSLALQSRLGIPIIYGIDAVHGSSNVYGATIFPHNVGLGATRDGKLVRRIGTVTALEVRASGVHYAFAPCLAVSRDPRWGRCYESYSEHTEVVRKMTSLVEGLQGKPPEGYPKGYPFVAGRNNVIACAKHFVGDGGTDKGLNEGNTIIDSYDELERIHIAPYLDCIAQGLSTVMASYSSWNGNPLHTHHFLLTQVLKEKLGFKGFVISDWEALDRLSNPRGSNYRSCICTAVNAGIDMVMVPFRYEEFIKDLLSLVESGEIPIARIDDAVERILRVKFVAGLFEHPFSDRSLIDVVGCKIHRDLAREAVRKSLVLLRNGKDPMKPFLPLDRKAKKILVAGSHADDLGYQCGGWTISWNGSTGRTTVGTTILDAIKEAVGDQTKVIYEQNPSAVTLNDQDISFAIVAIGESPYAESAGDNSKLIIPFNGNEIVKAVAGKIPTLVILISGRPLVLEPTVIENVEALIAAWLPGTEGNGITDVIFGDYDFTGRLPVTWFKTVEQLPVHAENNLQDSLFPFGFGLSYGKEISSL >KGN63671 pep chromosome:ASM407v2:1:1473721:1475646:1 gene:Csa_1G009750 transcript:KGN63671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVIFRSPSILSLKYHHHSISFSHFEREPLISLIKSCTHKSQLLQIHAHIITTSSIQDPIVSLRFLTRTASAPFRDLGYSRRLFDLLTNPFVSHYNAMLRAYSLSRSPLEGLYMYRDMERQGVRADPLSSSFAVKSCIKLLSLLFGIQIHARIFINGHQADSLLLTSMMDLYSHCGKPEEACKLFDEVPQKDVVAWNVLISCLTRNKRTRDALGLFEIMQSPTYLCQPDKVTCLLLLQACADLNALEFGERIHGYIQQHGYNTESNLCNSLISMYSRCGRMDKAYEVFDKMTEKNVVSWSAMISGLSMNGHGREAIEAFWEMQKNGVEPGDHTFTAVLSACSHCGLVDEGMAFFDRMRQEFMIAPNVHHYGCIVDLLGRAGMLDQAYELIMSMEVRPDATMWRTLLGACRIHGHGNLGERIVEHLIELKSQEAGDYVLLLNIYSSAGNWDKVTELRKLMKEKGIYTTPCCTTIELNGVVHQFAVDDISHPMKDKIYKQLDEINKQLKIAGYEAEMSSELHRLEPKDKGYALSNHSEKLAIAFGVLATPPGRTIRIANNIRTCMDCHNFAKYISSVYNRKVVVRDRSRFHHFQEGRCSCNDFW >KGN65615 pep chromosome:ASM407v2:1:16920623:16922511:-1 gene:Csa_1G470310 transcript:KGN65615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEKKLSNPMRDIKVQKLVLNISVGESGDRLTRAAKVLEQLSGQSPVFSKARYTVRSFGIRRNEKIACYVTVRGEKAMQLLESGLKVKEYELLRRNFSDTGCFGFGIQEHIDLGIKYDPSTGIYGMDFYVVLERPGYRVGRRRRCKSSVGIQHRVTKEDAMKWFQVKYEGVILNKSQNITG >KGN64530 pep chromosome:ASM407v2:1:6702611:6703998:-1 gene:Csa_1G063540 transcript:KGN64530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPAPGVAPHNMPHQAPQYQQQQPPYMMMPPQPPQPQPVPQMWPQQPQAGSPQGQPPQSANGDEVSSVKVIRNKQTGQSEGYGFIEFLTRPAAERVLQTYNGTAMPNGAQNFRLNWASAGEKRQDDSPDYTIFVGDLAGDVTDYVLQETFRARYNSVKGAKVVIDRLTGRTKGYGFVKFGDESEQMRAMTEMNGVHCSSRPMRIGPAANKNTSGGQQFSKRCQKALSAWGPLLISADMLTL >KGN64658 pep chromosome:ASM407v2:1:7462872:7463712:-1 gene:Csa_1G073660 transcript:KGN64658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGNFNPLKEFTFHFLSSLPTSFLSLLLLFLLAYNGLHVFSISPPSPEKTIPHPAPFSPQKRPTADSTSTHLLFSIHENHPPPVLKSNTSVFHHPHLKSRPAKRVGKHKRRLRSLRSELKESDFSARIEEFFAANSLIESYGLYRVYSKTSQIFETQLGLKPWI >KGN66220 pep chromosome:ASM407v2:1:22000542:22003844:-1 gene:Csa_1G586820 transcript:KGN66220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAELSPIRLKREDCKRTKHDSDFSKWEILVASSDWEDYSLGKEGAERYRIHNLPKVSGPGLYELGITVSSSGLGREIAKLDADWIVVVYLGEADNVRTRLQHYGRSGSHLGNAYFCVVDCKVFPLEKGPSLFQEMFSRGYSIVYRWAPMKNKKNAQMTETQLLKTFDYAWNTSGNGARRHDDVLKKLENIASQTTKSTFISRKLLPFTQKKMGIKIKTSKSIPMVNKPAEDAEERNNFFSRILSFSRSRPRLVDNTNDVNWADSNSCGVVIGHNGEVCRKPPVEGRKRCAEHKGMKINGLLKNSSSRFILQKPVNVGTTIYGEKDFSCSKSEIPNSTEECSVSNSFPNKGSSLPICGVVLYDGSPCRRPPVQGRKRCEEHKGQRICRSTLVTSKYQQTSPISKPESTVIAQGTSSELSSSGLERMCGVDLGNGLNCTRQPVKGRVRCGEHKGMRTNKLISTLATSNKPDVSDTGSVS >KGN65127 pep chromosome:ASM407v2:1:12048356:12048777:1 gene:Csa_1G230010 transcript:KGN65127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSYKRLQSVRNYIQSKDKEDEGMDENGGTASLKVAKLKQSSGVIEDTEDTVKR >KGN63753 pep chromosome:ASM407v2:1:1949039:1952873:1 gene:Csa_1G014500 transcript:KGN63753 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein kinase MGCTFSGLNAFYDAVNSGGDVWINENRFRIVRQLGEGGFAYVFLVKELLADTSSDAVQSGLRKKFKDSTHLSDDGSYALKKVLIQTNEQLELVKEEIRVSSLFSHPNLLPLLDHAIIATKPTQERSWNHEAYLLFPVHLDGTLLDNAKTMKAKKEFFSTSDVLQIFRQLCAGLKHMHNFDPPYAHNDIKPGNVLITRRKGQPPLAILMDFGSARPARRQISSRSEALQLQEWASEHCSAPFRAPELWDCASHSDVDERTDIWSLGCTLYAIMYGVSPFDYVLGESGGSLQLAIVNVQIKWPAGPTPPYPEALHQFVKWMLQPQAAVRPHIDDIVIHVDKLISKFSN >KGN64972 pep chromosome:ASM407v2:1:10545862:10546098:-1 gene:Csa_1G169960 transcript:KGN64972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGLGAAWKVFDGMHDRNEVLRSVLIGRLGQVEEEEKLIMEMEVEPDKGLWGAMLSACRIHGKADVADRVQKRFMKQQ >KGN63439 pep chromosome:ASM407v2:1:90254:96398:1 gene:Csa_1G000630 transcript:KGN63439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGFGHGLRVIGRQMHLLHQREGPSEVLKGKIAELEKFRKMKRSTKKDQFTVDVPESNSFLDTPSMPMILTAVGIALFAKLLMMYDESRSEELIERKIKNAPPGQGTVRMLSRQEWEEIREVRPRTPFESKLARPNARIRTGEPLHMEDLKDWTVDVLSNALTRAEESVRHGSTSK >KGN64759 pep chromosome:ASM407v2:1:8352899:8353238:-1 gene:Csa_1G089480 transcript:KGN64759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNWDCRAQPSPSQVCTLHAISNLLHGYPAKGDHHDSAVWDSRAPPSPQLRVRRCTQTNEEESVGSGHRKTQFLEVSN >KGN65156 pep chromosome:ASM407v2:1:12502644:12503249:-1 gene:Csa_1G255670 transcript:KGN65156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCHGIFNPTTNEFYHVPEVFYDIYHFGLGYIPSTKQYKLFRLAHSKTQQEPITIIDVFHFGRNQWRQLHSLPYLIDDGGIYMDGVIYFLVKVKNKPNDYAIYALDVETEEIELSAILEVGPGLISSSRSQILQSYGNVYVIIFIKLPTTTNSSVQVWRMQEKTQTQRKCLMGICQIETLNFGLLRNILACDEKENQNQGDR >KGN63561 pep chromosome:ASM407v2:1:764123:768199:-1 gene:Csa_1G004280 transcript:KGN63561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLAAFVASFLLASTSFLLSAPPITAENFKPAALEYQKLNNVKAYLKNINKPPIKIIQSPDGDLIDCVLSHLQPAFDHHKLKGQLPLDPPERPRGYNSSADSVAESFQLWRQTGESCPEGTVPIRRTTEQDILRASSVQRFGRKPLKSIRRDSTGSGHEHAVVFVNGEQYYGAKANINVWAPHVSDQYEFSLSQIWVISGSFNNDLNTIEAGWQVSPELYGDNYPRFFTYWTTDAYQATGCYNLLCSGFVQTNNKIAIGAAISPRSYYNGRQFDVGLMIWKDPRHGNWWLEIGQGLLVGYWPAFLFSHLGSHASMIQFGGEIVNTRSTGFHTSTQMGSGHFAEEGYGKASYFRNLQIIDWDNSLLPVSNLHLLADHPNCYDIRQGKNKLWGNYFYYGGPGRNVHCP >KGN65195 pep chromosome:ASM407v2:1:12726836:12727416:1 gene:Csa_1G263980 transcript:KGN65195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVASLKLPIIFLVCIAILALPVMTRTTTTLDQFSTKDVASVNCTVFTFEATKCMIDVMKNSMPPHPSCCRAILKLNDCNPEVYKDVPSTDKKLIKKICELWGA >KGN65747 pep chromosome:ASM407v2:1:18282798:18286712:-1 gene:Csa_1G524690 transcript:KGN65747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCSSLPCPNSSFFLCDRSAISMAFSLPIRPLFTPKPSHSYTRKAHLKASQVLFSSTPTTPLLVSSFTANLEASFHNPISSSSVSKLRLIPKVGGDRADYSSGEEETKSVDSEAANEEEFSWSSVILPFLFPALGGLLFGYDIGATSGATLSLQSPELSGTSWFNLSAVQLGLVVSGSLYGALLGSLLVYPIADFLGRRRELIIAAGLYAIGSLTTAYSPDLGFLLAGRLLYGLGIGLAMHGAPLYIAETCPSKIRGTLVSLKELFIVLGILMGYLFGSLQINAVGGWRYMYGLSAPVAFMMGLGMWLLPPSPRWLLLRAAQGKAPSQDSKEEAIAALSKLRGRPPGDKVSEKQIEETFLSLKSAYSEQESEGSIWEVLQGPSLKAFIIGGGLVLFQQITGQPSVLYYAGPILQNAGFAAATDATRVSVVIGVFKLLMTWVAVLKVDDLGRRPLLIGGVSGIALSLLLLSAYYKFLGGFPIVAVGALLLYVGCYQISFGPISWLMVSEIFPLRTRGKGISLAVLTNFGSNAIVTFAFSPLKELLGAENLFLLFGAIALLSLLFVVLKVPETKGLSLEDIESKILK >KGN63549 pep chromosome:ASM407v2:1:658180:659035:1 gene:Csa_1G004150 transcript:KGN63549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPCEVMIPFKLWLFSAGFEDATSDLWIFTSAEDLKILCSFLLRDQKVLMVKTITLFEVLHFDCCFNAAYSDF >KGN64337 pep chromosome:ASM407v2:1:5457967:5458244:-1 gene:Csa_1G046320 transcript:KGN64337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEHSIHRNGPNTFKAKHKRVICHRPKHFSPPVFHACALFLKSSGFISHPHTRPDPTLFTLTVFLRHPDVAHLSRL >KGN63860 pep chromosome:ASM407v2:1:2608208:2612388:1 gene:Csa_1G024920 transcript:KGN63860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFALFSSPFVHPQLQQIVAKMTLLDTLLFYVVHFVDKLGLWHRLPVLLGLAYLGMRRHLHQRYNLLHVGSMYGQKYDHQQFCYRTADGSCNHPFDSLVGSQGTFFGRNMPPSNSPYGVLDPHPTVVATKLLERKKYIDNGKQFNMIACSWIQFMIHDWIDHLEDTKQVELTAPEEVANGCPLKSFKFFGTKVVSTDSPYLKTGTLNTRTPWWDGSVIYGNNEEGMRRVRAFQDGKMKIAGDGLLEHDEKGIPISGDVRNCWAGFSLLQALFVKEHNAVCDMLKERYPDLDDEQLYRHARLVTSAVIAKIHTIDWTVELLKTETLLAGMRINWYGFLGKKFKDTFGHICGPILSGLVGLKKPRDHGIPYSLTEEFVSVYRMHCLLPDTLVIRDLNSTNSDYSDPPIIEEVPMEQLVGKDGEKRSAKLGMEQMLVSMGHQACGALSLWNYPSWMRKLIAHDVDGDDRPDPVDMAAMEIYRDRERGVARYNEFRRNLLMSPISKWEDLTDDNEVVSALEEVYGNDVEKLDLLVGLHAEKKIKGFAISETSFFIFLLIASRRLEADRFFTTNYNSKTYTEEGLEWVNKTETLKDVIDRHFPDMTKRWMRCSSAFSVWDSLPNPTNYIPLYLRPAT >KGN64105 pep chromosome:ASM407v2:1:4099339:4115931:1 gene:Csa_1G042170 transcript:KGN64105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPRKPKNTDDAKPPDNSGAVVRHQKLCLSIDIDNRRVYGFTELEIAVPDIGIVGLHAENLGIVSVSVDGDPTEFEYYPRPQHVENERSFKAVSSPSSAADAAGSIYLSSIEKELVPNLLINCCKAFKSGSEQQDQPFLENGVQTADEDKQNVRLVRIDYWVEKSEVGIHFYNRMAHTDNQIRRARCWFPCMDDGLQRCKYDLEFTVSQNLVAVSNGILLYQVLSKDNPPRKTFVYRVDIPVNARWISLAVGPFEILADHQNVLISHMCSPVNSLKLKHTVDFFHSAFSCYKDYLSVDFPFGSYKQIFIEPEIAVSSACLGVSMCIFSSHLLFDEKIIDQTIDTRIKLAYALARQWFGIYITPEAPNDEWLLDGLAGFLTDLFIKKNLGNNEARYQRYKANCSVCRADDCGLTTLSSSSACKDLHGTQCIGIYGKIRSWKSVAILQMLEKQMGPESFRKILQNIVSHAKDTGSTSQLLSTKEFRQLANKIGNLERPFLKEFFPRWVESCGCPLLRMGFSYNKRKNMVEMAVSRECTATPATNVENRDSDAGWPGMMSIRIYELDGVFDHPVLPMTGESWQLLEIQCHSKLAARRLQKTKKGSKPDGSDDNADIPALDIRSSVESPLLWLRADPEMEYLAEIHFHQPVQMWINQLEKDKDVIAQAQAIATLEMLPQPSFSIVNALNNFLKDPKAFWRVRIEAALAMAKTASEDTDWAGLLNLIKFFKSQRFDADTGLPKPNEFRDFPEYFVLEAIPHAVAMVRGTDQKSPREAVEFVLQLLKYNDNNGNPYSDVFWLAALVQSVGELEFGQQSILFLASLLKRIDRLLQFDRLMPSYNGILTISCIRTLTQIALKLSGLLSLDRIIELIRPFRDFNSMWQVRIEATRSLLDLEYHCNGIDATLLLFIKYLEEENSLRGQVKLAVHVMRLCQIMRRSGSNDVVNNDTLVALLLLLEGNMAFNNVYLRHYLFSILQVLSGRSPTLYGVPREYKTLHMGDTGTFSEQKRMLTSIIPEFNPPEPSSVSAVAPMPCIPATLSSEPLHVPTPRPDNLAVPELSKEEGAIAEDPKQAMAIVEAPREAASVSSSHERKLPVVKIKVRSSAATSRADADNLTTERSHAAPRETDVGPSSSVSVDAPPRNTAEATSISNRILEEVNSCHDHGSHMTASIGSAKLASYGDELGKEFQCTADSSSRAFGHFQPEDPSSSSIIQDNNIDADAQKYASLQTLSLPQHDHGLASSHSRHGKKEKKKDKEKKRKRESHKEHRNDPEYIERKRLKKEKKQKEKEMAKLLNEEVKPQPTAMPRIKEPPTKSTPVQLETNEPSGSRLIIGSVHSKPEASEGTTSAAPKLRIKFKNRTLNNS >KGN64996 pep chromosome:ASM407v2:1:10763873:10764440:1 gene:Csa_1G173160 transcript:KGN64996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIHAKVLQHKDQLTVVAAVSVVLSLFVYAAPRFLSILAFFWPLFASTAVLLVVMNAFGGGFQVGTEIHGMRAGEGILDYVAGRPENGENHYKYQ >KGN66917 pep chromosome:ASM407v2:1:29097116:29098602:-1 gene:Csa_1G715230 transcript:KGN66917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPERAVLEPLGEEFDISTHFRTSIRSLAVSPVSDSETLIYAGTKSGALVLFSVTPKYSSSTALDSETASLDTPRITSPSEGLSLLRTVAVSVSSIVCLHVLRGIGKVLVLCSDGFLYIVDSLLSLPVKRLAGLKGVSLIAKRIRSSESEFSSLYGSVDNNSGFVSPSQRLLQRLGSGMRTNGLKIKESESPREESDFVFAALVGKRLILFEVVLGHRTGRSDRNTNDPIESLLILKELQCKEGFSTMVWLNDSIIVGTASGYYLFSCVTGESSLIFKLPELSSPPCLKLLRKECKVLLLVDRVGITVNAYGQPMGGSLVFHDIPKSVAEISTYVVVASSGQLKLYHRNTGSCIQTITFNGNRTEPCIVSDEEDGSGDVIAVAVTNKVYALLLILTNFILSCNAKTCDTTEVRNSLGQYML >KGN66878 pep chromosome:ASM407v2:1:28527893:28529502:-1 gene:Csa_1G702030 transcript:KGN66878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSEEEITKLFRARKTVLQMLRDRGFVVGDFELAMSREQFKNQYGETMKKEDLVINKSMRNNSSDQIYVFFPEEPKVSVKTVRTYINRMKSDNVFRAILVVQEKLSSFARSSICEAPKFHLEVFQEAELLVNIKDHVLIPEHQLLTNEDKKTLLERYTVKDTQLPRMQVTDPIARYYGMKRGQVVKIIRPSETAGRYVTYRYVV >KGN66918 pep chromosome:ASM407v2:1:29099935:29100183:1 gene:Csa_1G715240 transcript:KGN66918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLVGRPREGKEPLKRLASKAFGTCVRRQDKYQEGHVLKLRLGGKLERSVGEEGCHARKACSQALGGCHARKAFARRLARHI >KGN66279 pep chromosome:ASM407v2:1:22467618:22470245:1 gene:Csa_1G595830 transcript:KGN66279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKKGMEQLVLRILEGEEGVRESSKDLNKPSVGSFPDFDLKETRSFRCTIPQSVVGSSPSHEISRMTPHKPPKIPGETVTRRASFACSSFTKPKSRLIEPPCPDGASLAEEKELAKSTLYSSSKVDSPAKITTVTSPKEALKAAPITPKTPLIGTTGNEEEDDEEVYRTAELKVKEKSGKRLKKTVIVEWIAFLCLTGCLIASLTIETLVTKEIWGLGLWKWCVLVLVIFCGRLFSQWFINCLVFLIERNFLLKRKVLYFVYGLRKSVIIFIWLALVLLAWGLLFDQSSKRSKEGNKILNYVTRALGASLIGAGLWLVKTLLVKILAASFQCTRFFDRIQESIFHQYILRILSGPPLMEMAERVGRAASTGQLSFKHLKKESDDGNEGKEEVIDVDKLKKMKQEKISAWTMRGLINVIRGSGLSTISNTIENFKEEEVEKKDKEINSEWEARAAAYQIFRNVAKPGSKYIDEEDLFRFMSKEEIDNVLPLFEGGAETGKIKRKTLKNWLVNVYVERKSLAHSLNDTKTAIEELNKLSSAVILIVIIIEWLLLMGFLTTQVLVFISSQILLVVFMFGNTARTVFEAIIFVFVMHPFDVGDRCVVDGVQMVVEEMNILTTIFLRYDNEKIFYPNSVLATKPISNYYRSPEMSDSIDFSVDFSTSIESIGALKARIKTYLESKPQFWRPNYSVVVKEIENVNKMKLALCVNHTINFQNYGDKSNRRSDLVLELKKIFEELGIKYHLLPQEVQLNYVSSAAPSQR >KGN65354 pep chromosome:ASM407v2:1:14280909:14281509:1 gene:Csa_1G369490 transcript:KGN65354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQAKMSIRIPSTAKSAGEGDGVLGHSHSCSSKGQCLCSPTTHPGSFRCRLHRSNSLPWSRQSKSTAASADASNTSDLSPKSVESA >KGN65702 pep chromosome:ASM407v2:1:17842210:17846968:1 gene:Csa_1G503390 transcript:KGN65702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLWFTCFAAGCRTAVACSIIAAATVYGPLFLRRQVTFPAFSYVTAILIVTNATLGDTVRGCWLALYATLQTVCPAMAVFWFIGPTKFSYETIALTVALASIVVVLPSSSHVLAKRIALGQIVIIYVVGFIGGVQTHPLMHPVHVASTTAMGVAASFLATLLPFPRLASLEVKEKSKAMVENVAERLRVLVKAFLADNDTVAVGSLSKAALLSTSATKLLQPIKQYQESMKWEWIPLKVCKLGWLGNSQKLQDLERPIRGMELALSNIPSYPILQPLQIESLQNGINSLENQIVQSLNQGIAYSPSDSHTFPESNPYDEDQDQDPVMNTIQLINPTNHKNLPSFFFIFCLKLLQEKSQNNKLPNPQKSEEQKQTPNTTKWAIPSGILSSKKVMGALKSAISLGISVYLGLIYSKENGFWASLGVAVSIACTREATFKISNVKLQGTVIGSVYGVLCFVIFEKFLIGRLLCLLPCFVFTSFLQRSKMYGAAGGVSAIIGAVIILGRTNYGSPKELAFARIVETIIGVSSSIMVDIILHPTRASKLAKFQLTSTLRVLLKCIDSMSFQPPDLKGSLKELGSHVVELKKLIDEANVEPNFWFLPFQSGCYGKLLKSLLKTVDLFAFVNRSVEGIGQNLLVLEDPLSWAKIGENLEEDVEDFKEMASGLVRCCVDVSSLKSLKVLEKEVEKKNKGEGDFEDVEMGESKMVIEMEEMEKEKLLCSFMKHYVEVIEQSGESEDGKREALLSFSALAFCLSSLMKEIEEIGKATRELIQRENPSSHVDFNEISSKIHVVQKGVK >KGN65870 pep chromosome:ASM407v2:1:19151870:19152507:1 gene:Csa_1G534760 transcript:KGN65870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHGSKNIASEKKLLREISSSRKKEIRSTTVEELDVPIKKKNEEIENSHLYLYTTDFEGINKEMKRLEMVKEKAVANAAVGGKLWSSLGTKKDIQQKVNVMYEELDELRLKQIETRNETKYGEKKLRIIQKQILYLHKQLEIIYP >KGN63429 pep chromosome:ASM407v2:1:22969:27457:-1 gene:Csa_1G000040 transcript:KGN63429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSLSWAIFVPLFAAFLTTTDAIVRERSQRTERISGSAGDVLEDNPVGRLKVFVYELPSKYNKKILQKDPRCLNHMFAAEIFMHRFLLTSPVRTLNPEEADWFYTPVYTTCDLTPNGLPLPFKSPRMMRSAIQLISSNWPYWNRTEGADHFFVVPHDFGACFHYQEEKAIERGILPLLQRATLVQTFGQRNHVCLKEGSITIPPYAPPQKMHAHLIPEKTPRSIFVYFRGLFYDVGNDPEGGYYARGARAAVWENFKDNPLFDISTEHPTTYYEDMQRAVFCLCPLGWAPWSPRLVEAVIFGCIPVIIADDIVLPFADAIPWEEIGVFLDEKDVANLDTILTSIPLEMILRKQRLLANPSMKQAMLFPQPAQPGDAFHQVLNGLARKLPHDRSVYIKAGEKILNWTAGPVGDLKPW >KGN65259 pep chromosome:ASM407v2:1:13208303:13210503:-1 gene:Csa_1G277460 transcript:KGN65259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHSKPTLCVLDASSYVGFWVTKGLLNKGYPVHAAVQNTGKPEIEKTIREMGEVENGLAVFSVDIMDYQSILVALKGCSGLFCCMDTHHVYDEKMVDLEVRGTINVVEACAQTDSVEKIVFTSSLTAAVWRENILSEKDVDERCWSDKEFCRKMKLWYPLAKTLSEQAAWALAMDRRLKMVSINAGLVLGPAVAEENSGSTISYLKGAAQMYENGVLAVVDVKFLVDVHIRAMEDSSTGGRYFCFDQIVNSEEETVKLANILRPLISIPQRYEVQGREAFAERLRTRKLHKLIEGTA >KGN66296 pep chromosome:ASM407v2:1:22549847:22552246:-1 gene:Csa_1G596460 transcript:KGN66296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGSSGDEPTSWEDLCSINLMPSELFLKFRKELQGFRVGVNLEFYNAPCNEYEAKLVLKPLYPNQRWKFIYEPIRQDIRLLSKKIPVTKFLNLQVGIGHNFQMHATGWKWKLTTCLGGDGVSRIRNKSSISPFPGLDFRFGWRADYVLPEITGALGTGEPLFNMNSGRLEASLDRIEAIVTHGDES >KGN63601 pep chromosome:ASM407v2:1:1067842:1074466:-1 gene:Csa_1G005620 transcript:KGN63601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGRRGEDWKRIRHMWTVPTPATQILEADGSSSSSSSAPNSFCKGGRRISVGDCALFKPPQDSPPFIGIIRWLSAGKENKLKLGVNWLYRSSELRLGKGILLEAAPNEVFYSFHKDEIPAASLLHPCKVAFLPKDVELPSGISSFVCRRVYDITNKCLWWLTDQDYIHERQEEVDQLLYKTRLEMHASVQPGGRSPKPTSGPTSTSQLKANSDSVQTTAFPSHTKGKKRERSDQGLESVKRERIIKADEGDSANCRLENILKSEIAKFAEKGGLVDSEAVEKLVQLMLTDRNDKKIDLAGRSALAGVIAATDKVECLSQFVHLKGLPVLDEWLQEVHKGKIGSGGSPKDSDKSVEEFLLVLLRALDKLPVNLPALQMCNIGKSVNHLRSHKNLEIQKKARSLVDTWKKRVEAEMNINDAKSGSNQAVAWSARTRPSDVSHGGRNQDASSEVAMKSSVSQFSTSKSASVKLAQDDSVTRSASASPGSMKPVLSPATASINSKDGSSRNPGVCGTTDHVQTIARDEKSSSSSQSHNNSQSCSSEHGKSGGLGKEDARSSTAGSMSVNKISGGGSRQRKSVNGFPGPVLSGGQRDVGSGKSSLHRNTVLERSSQSGMTFEKASDGLIGEGNSPKLIVKITNRGRSPAQSASGGSFEDPSTINSRASSPPLSEKHDQLDHSKSDTCQPNITGDVNAEPWQNSDVKDMVIGADGDDGSPTAVNGEERCRAAEDVTVSKATPLSLANDHKNGKLHEASFSSINALIESCIKCSEPSMPTSLTDNVGMNLLASVAAVEMSKSDFVLPSDTQGNLTATDRSSRGSDCKIKASCPEEDARDIDGTEQGVITSSLGGKNVEGRSGSQSEEKVVGDLNGHLKSPGVNLQQTAAPLADGCMKINDPGGPASPARVPEKGFESKGVKPVKGRKTADVVDGDSSPESKPKPSSSFPDGGMVGDGISNREVEMDVLDESLHRRQEVEGNTNNRLNGINTADQRLSSKLNSDSAKLRNDGLLQASGSSSDLVSVNASGMKGEKDDETTADVKLEKHQSDLDSMPSESRGLGVLCSATNHEDEHVEENLEPKENTERSGGQTHHGQSIISPVHETEHPKPSKRSKLAGVESEEAEESTSTAADAGSMSAVGVSDMDAKLEFDLNEGFNVDDGKCSEPSSFTPSGCLTTVQLISPLPLTVSNVANNLPASITVAAAAKGGFVPPDDLLRSKGELGWKGSAATSAFRPAEPRKVLEMPLGLATTPLADVSASKISRPPLDIDLNIPDERILEDMNAQMSTQEVASKSDLGHGIGTTQGRCSGGLDLDLNRVDDAPDPSNFSLNNCRRIEAPLSVKSSTVPLSDKVNFRRDFDLNGPIVDEATTEPSIFPQHARSSMPAQPSVSGLWMNNAEMGNFPSWFPPGNAYSAVAIPSILPDRAEQSFPVVATNGPPRILGPTSGSSPYSPDVFRGPVLSSSPAVPFPSAPFQYPVLSFGNSFPLSSATFSGNATAYVDSSSASRLCFPAVPSQFLGPPGTVSTPYPRPYVVSHSDGGNNTSSDSSRKWGRQGLDLNAGPVVPDIEGREESSSLVPRQLSVASSQATAEEHMRVYQPAIGIMKRKEPEGGWDGYKQSSWS >KGN63547 pep chromosome:ASM407v2:1:652611:655662:1 gene:Csa_1G004130 transcript:KGN63547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDDIHGGASLPSGPDGRKRRVTYFYEPTIGDYYYGQGHPMKPHRIRMAHNLIVHYGLHRRMEINRPYPAGPEDIRRFHSDDYVDFLASVSPETLSDHAFSRHLKRFNVGEDCPVFDGLFGFCQASAGGSIGAAVKLNRGDADIAINWAGGLHHAKKSEASGFCYVNDIVLGILELLKYHKRVLYIDIDVHHGDGVEEAFYTTDRVMTVSFHKFGDFFPGTGHIKDVGVGTGKNYALNVPLNDGMDDDSFRGLFRPLIQKVMEVYQPDAVVLQCGADSLSGDRLGCFNLSVKGHADCLRFLRSFNVPLMVLGGGGYTIRNVARCWCYETAVAVGVEPDNKLPYNEYFEYFGPDYALHIEPSNMENLNSPKDMEKIRNTLLEQLSRLPHAPSVPFQMTPSSEIPEEVEESIERRPKRRIWNGEDYDSDSEENRKRPNISEGTGVNNLTRDVVDDMEEDKNMGHQCC >KGN63761 pep chromosome:ASM407v2:1:1998626:1998829:1 gene:Csa_1G015070 transcript:KGN63761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKREIDWVSVKHNHTQTTEANGMKDWWTVMSNAVKAPERRQSNGVEKPNGKQLPSIRKDEESASV >KGN64001 pep chromosome:ASM407v2:1:3559294:3559719:1 gene:Csa_1G033205 transcript:KGN64001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMKKRQVVVKRSSEGGGSSSSSSANNNNRNISSSSRVRSVVRYGECQKNHAANIGGYAVDGCREFLATGEEGSHGALTCAACGCHRNFHRREVESEVVCEYSPP >KGN66038 pep chromosome:ASM407v2:1:20821199:20830055:-1 gene:Csa_1G569160 transcript:KGN66038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSTSSTANNENTKKRSVSSEEEAQEVQNGSKRICPDSSPLPTSFGVLTSRCSEVIWKEHGPQILLQVLKEMEKKQTFAGLYSLPTSPSCYGPDSTPLELAIGVVVNRFIVKEGPTLEHESRQQVSKELNEIAYNQTSSTNIKGRRLANERRRGKKAHAARHWRSRRWAEVVAARILGEKMSIELWKKIVEHAKQCVGYDPTHYRQILQANDCHADSNHNSEVHNSQNQSVETNHFGEAEVEANDSNQNFKTHQQNQNLHEVKGLYIGIILY >KGN65255 pep chromosome:ASM407v2:1:13158410:13160185:-1 gene:Csa_1G275940 transcript:KGN65255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEKVGVEGGGKRYALLQAVKDSEYVKKMYGGYLNVFVAAFGDEGEKWDLLMVVDGVFPAMNELQNYAGFIITGSTYDAYGNESWILDLCFLLQNLDAMGKKLLGICFGHQVLCRALGGKVGKAETGWDIGVRKVEIIGSSEWESVKEMEEIPRSLFIIECHQDEVWEIPFGAQVVGFSDKIGVEIFAIGDHILGIQGHPEYSKDILYNLVDRLANNDTIQREFAEDAKVCIQAVEPDTKWWKKTCNNFLKG >KGN66532 pep chromosome:ASM407v2:1:24622315:24624814:-1 gene:Csa_1G627420 transcript:KGN66532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLITPKYFSPVEDAENIKKACLGLGTDENAIISILGHRNATQRKLIRLAYEEIYNEDLIQQLNSELCGDFERAICHWTLDPADRDATLANNALKSSTPDYRVIIEIACVQSAEDLLAVKRAYRFRFKRSLEEDVASCTTGNMRKLLVGVVSAYRCEGNEIDENMAELEANIIDDEIKGKGLKNNEEMIRIVSTRSKPQLHATFNRYRDIHATSITKGLIGDSSDEYLAALRTVIRCIRDPKKYYAKVLRNAMNTDRVDKDGISRVIVTRAEKDLKEIMEMYLKRNNISLEEAVSREIGGDYKAFLLALLGIDQPLNLKD >KGN63871 pep chromosome:ASM407v2:1:2668733:2670781:-1 gene:Csa_1G025030 transcript:KGN63871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRAAHRSLSIKIVSITPSISILFTRTANFQRLHPENGSDSREWAPEESVADVSYWTKKIHGLCTKDRNVDEALQLLDALRLHGYQFHPLNLASVIHGLCDAHRFHEAHCRFMLSIASRCVPDERTCNVLIARLLDYRSPYCTLRLLVCLFDAKPEFVPSIVNYNRLIDQFCSFSLPNVAHRVLFDMKSRGHCPNVVSYTALIDGYCRVCNVSAAEKLFDEMPGNYVEPNSLTYSVLINGFLYKRDFETGKALICNLWERMKGELDSSVNNAAFAHLVDSLCLVGSFHEVFTIAEDMPQGQSVPEEFAYGQMIDSLCKAKRYHGASRIVYIMRKKGLNPGLLSYNSIIHGLSKEGGCMRAYQLLVEGVEFGYSPSEHTYKVLLEGLCKELDTQKAKEVLQIMIHKQGVDRTRIYNIYLRAVCLTNNSTELLNTLVEMLQTNCQPDVITLNTVIKGFCKVGSIEEALKVLNDMIGGKFCTPDHVTFTTIIFGLLNVGRIRESLDILYKVMPEKGIVPGVITYNATIRGLFKLQQANQAMNTFDRMVRNGIQADSTTYAVVIDGLCDCNQIEEVKRFWKDIVWPSKIHDSFVYSAILKGLCHSSKFNEACHFLYELSDSGVSPTIFCYNIVINTACKLGLKGEAYRLVKEMRKNGLAPDAVTWRILHKLHQNETDNPLPRI >KGN63663 pep chromosome:ASM407v2:1:1425721:1431069:-1 gene:Csa_1G009670 transcript:KGN63663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRTGIFSAHSTTVALIWLTSAVLFFFLFQMALHNSTSSSDSSVSNSELRSKLYDKMERDLDEKGAVFLKGGETSQSLSLSDIFTIKDGTVTPVLKAANPPVRANVLYLSTEYSVPIFEAVKSIFDPYFDEAIWFQNSSLYHFSMFHASHHITPIPASNDEIEAEASAVKSATEHMCHLKIVLDRVILTSTGVLLGCWQVISGTDPVTIRAKLRTALPHAPEKQLYDAAILHTSFARLLGHPKISQTLGRSSDELQLFHELVARLNKQIRGFEAVVSELWYVEEYDVLALALNGRMKVRKFPLGCDSS >KGN63885 pep chromosome:ASM407v2:1:2774944:2780126:1 gene:Csa_1G025170 transcript:KGN63885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEEPSSGLRLKKKLKLAVGKSRSSKNFDRSTGDSVEKAVRKGKLRPAIDNVKRHRVYGGENSDTKDTSLTDVSKSKRKSLFRRRHDEEVSEMPSVLPSKTFNRSFKKQEYAVRSSKVLYEKRKSRGEKDHYVECLRKSYSKNTKDSIKTLNYTEKKVSKVFYEKRKSRGEKDHNVEYIRKTNTKNTKDSIKSLDSTEKKSWGVSPSDPAKKRDSKKRGENGDSELLIDQPKRRKLTILRNPYDLSNKRLDDGTITDENVRQEKSKTVQKDKMSKNAEFRAIQPSKSIISFVEENLLGRRRMIEIERAGYNTDLTSPLDNIPFSKSEERERIEENIFRNKLTFFAAAKVSSSFPPPDVPEIAFAGRSNVGKSSLLNALTRQWGVVRTSDKPGLTQTINFFNLGSKLSLVDLPGYGFAYAKEEVKDAWEELVKEYVSTRVGLRRVCLLVDTKWGMKPRDQELIDLMERSQTKYQVVLTKTDTVFPMDVARRAMQIEERLTRNKSIVQPLMMVSSKSGAGIRSLRSVLATIARFAKV >KGN65323 pep chromosome:ASM407v2:1:13816470:13817921:-1 gene:Csa_1G325370 transcript:KGN65323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIGVVSPYSAQVVTIQRKIGKKYNCNGFNVKVSSVDGFQGGVEDIIIISTVWCNTGSSIGFLSSNQRTNVALTRAR >KGN66099 pep chromosome:ASM407v2:1:21151042:21154538:1 gene:Csa_1G570240 transcript:KGN66099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVNNNSRTLPPPPPPRTHFHNHNNSKPHQHFHTHNAILSSNSILIIIASIISITILLAIFLLIFMLLRLKSARNTATATSASSATTQSTTFIIPHTTINFDSSPEVKGGGCLYGGNSGRIPQYRIRGVQVFTYKELELATDNFSEANVIGNGRLGFVYRGVLADGAVVAIKMLHRDGKQRERSFRMEVDLLSRLHSPCLVELLGYCADQHHRLLIFEFMHNGTLHHHLHNPNSESQPLDWNTRLRIALDCAKALEFLHEHAVPSVIHRNFKCTNVLLDQDLRAKVSDFGSAKMGSDKINGQISTQVLGTTGYLAPEYASTGKLTTKSDVYSFGVVLLELLTGRVPVDIKRPQGEHVLVSWALPRLTNREKVEKMIDPAIQGKYSKKDLIQVAAIAAMCVQPEADYRPLMTDVVQSLVPLVKNPSSSYRFFN >KGN66695 pep chromosome:ASM407v2:1:26530546:26534882:-1 gene:Csa_1G660150 transcript:KGN66695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICAVKQCSRGIHCSDGVIRSKTSVPSQRSSFLPPLPVQKPRNSAISVYKAVHVSSLENFGTLGVRKANLIKCEAYEAERSQPIESSIELPQSQVPSEAAKKVKIGSYFALWWALNVVFNIYNKKVLNAYPYPWLTSTLSLAAGSLIMLISWMTRIAEAPKTDVEFWKSLFPVAVAHTIGHVAATVSMSKVAVSFTHIIKSGEPAFSVLVSRFLLGETFPLPVYLSLLPIIGGCALAAVTELNFNMTGFMGAMISNLAFVFRNIFSKKGMKGKSVSGMNYYACLSILSLLLLTPFAFAVEGPQLWAAGWQTAVSQIGPHFVWWVAAQSIFYHLYNQVSYMSLDEISPLTFSIGNTMKRISVIVSSIIIFRTPVQPVNALGAAIAVLGTFIYSQAKQ >KGN65155 pep chromosome:ASM407v2:1:12496633:12501089:1 gene:Csa_1G255170 transcript:KGN65155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACRLVDSMALFNGPHHNFARTQKIASHCSPGILQVKGEILFQKKIKRQNYLLPVKRAAILRAIAVPAAPPSSSADSAEYRKQLSESYGFEQIGEPLPDNVRLKDVVESLPKEVFEINDVKAWKSVLVSVASYSLGLVMIAKAPWYLLPLAWAWTGTAITGFFVIGHDCAHKSFSRNKLVEDIVGTLAFMPLIYPYEPWRFKHDQHHAKTNMLEEDTAWHPVWKEEFDSAPLLRKAIIYGYGPFRPWMSIAHWLLWHFDLKKFRPNEVKRVKISLACVFAFMFIGWPLIIYKTGILGWVKFWLMPWLGYHFWMSTFTMVHHTAPHIPFKSSEEWNAAQAQLNGTVHCAYPQWIEILCHDINVHIPHHISPRIPSYNLRAAHKSLQENWGKHLNEASWNWRLMKTIMTICHVYNKEENYVAFDRLNKEDSYPITFLKKVMPDLA >KGN66205 pep chromosome:ASM407v2:1:21894843:21896391:-1 gene:Csa_1G580200 transcript:KGN66205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEKTKGRKEEVVSREYTINLHKRLHGCTFKKKAPKAIKEIRKFAEKAMGTKDVRVDVKLNKHIWSRGIRSVPRRIRVRIARKRNDDEDAKEELYSLVTVAEIPAEGLKGLGTKVIDDEED >KGN63689 pep chromosome:ASM407v2:1:1555560:1557876:1 gene:Csa_1G009930 transcript:KGN63689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIDNISAQKRTGSGGNGLPTTAATANGRRSRGFPGIPRGRQIQKTFNNIKITILCGFVTILVLRGTIGIGNLGSSEADAVNQNIIEETNRILAEIRSDSDPNDPDDPAETQINPNVTYTLGPKIVNWNQERKVWLDQNPEFPNYVNKRARILLVTGSPPKPCDNPIGDHYLLKAIKNKIDYCRLHGIEIIYNIAHLDKELAGYWAKLPLIRRLMLSHPEVEWIWWMDSDALFTDMVFEIPLEKYDNYNLVVHGYPDLMFNQKSWIALNTGSFLFRNCQWSLDLLDAWAPMGPKGPIREEAGKILTANLKGRPAFEADDQSALIYLLLSQKDQWMDKVFLENSYYLHGYWAGLVDRYEEMVEKYHPGLGDERWPFVTHFVGCKPCGSYGDYPVERCLSSMERAFNFADNQVLKLYGFRHRGLLSPKIKRIRNETATPLESVDQNDIRRHVLHQTNGPPTK >KGN65912 pep chromosome:ASM407v2:1:19627509:19636110:-1 gene:Csa_1G537600 transcript:KGN65912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSTPLPPSRAFCLYFFSTPSTTPAAGVSPPLCSHSRLLPLPSSLRSRRRSFPPSGFALIFYSLFCYCFSLMETGGAGRKPVLTPKAVIHQKFGSKACYTIEEVHEPPQNGCPGLAIAQKGACLYRCNLELPDVSVVSGTFKRKRDAEQSAAELAIEKLGIHTRTNDLTSEEACDELVARINYLFSSEFLSALHPLSGHFRDAMQREGDSHCLVPISVIFAYDARICNLSKWIDPHVESNPYLVIPCILRAAAKLSESLSAPNGQLSLQRKNPYPSEVIASSVIEPSLSSKRSLIEVVLIPHFLDKPVESITLDLSPTGYYLDLIAKQLGLCDAAKVFISRPIGRASSETRLYFAASETFLSDLPSDLLDFKKALHFREPLNARATYLCGQDIYGDAILANIGYTWKSKDLSYENIGLQSYYRMLINKTPSGIYKLSREAMVTAQLPSTFTTKANWRGAFPRDVLCTLCRQQRLPEPIISSIGVIPSSSKSSDKQNLQVTDSKAAQEHTNGGTIAENKGQVVESEDTFRCEVRIYSKNQELVLECSPKDTFKKQFDSIQNVSLKVLLWLDIYFKDLNVSLERLTSYADALFIQFNSQRFFEELASYRSIHSGLNSKVQEEISHKSKDLKFPCTHLGYGDSSLNIHGSDSDISPSNGSLVCISYNVSLKAEGVEVRETIEKNDDYEFEIGSGCVIPCLEAIVQQMSVGQSACFCAELAPREFILAATLNSARILHLLDSSSCCLEYSCTLIRVTEPLEARMEQALFSPPLSKQRVEFAVKYIKESHACTLVDFGCGSGSLLDSLLNYQTSLEKIVGVDISQKSLSRAAKILHSKLSTEPNIHVPRTPIKSAVLYDGSITDFDPRLCEFDIATCLEVIEHMEEAQAYLFGNLVLSSFCPKLLVVSTPNYEYNVILQGSNLSSQEGDSDDKTQLQSCKFRNHDHKFEWTREQFNHWARDLATRHNYSVEFSGVGGLGHMEPGYASQIAIFRRSETRHVHPIDDKAEPAYKYQIIWEWNSRNK >KGN65449 pep chromosome:ASM407v2:1:15385968:15386939:-1 gene:Csa_1G423070 transcript:KGN65449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVTRSPNKSNRRRFVLLSFFFILFLCVLASINEVRFDTLLKFGQCSGVAATTSFNSSLVNSSSVSNHTDIRILIGILTLPDQYNRRHFLRLIYGTQSFSGAKIDVKFVFCNLTKEDQRILVALEIMRYDDIIILNCKENMNKGKTYTYFSSLPEIFNNSDGGGSYPPYHYVMKADDDTYIRLNSLVESLRPLPREDLYYGYVIPCPSMDPFVHYMSGMGYLISWDLAEWIRESEIPKKHLEGPEDKVFGDWIREGRRAKNRFNAKWSMYNYPEPWTGCTHELWPETIAVHLLKNQEKWIRTLKYFNVTANLKQSKLYHIDDA >KGN64388 pep chromosome:ASM407v2:1:5827494:5828657:-1 gene:Csa_1G050270 transcript:KGN64388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDANGRGGCCIARYVGPSHDMSKVDRIMLRFRPIAPKPAVSSGSASADSTPEKSEVTGRVGRGKKRCNRDTGTKRCNNRRKKSSVGNDAVLGSSVVTTLPLLPEMPDCVKREGRNAPVWLSFEGVKEDRTVVSSAVAVPQAVRMVASSVIVERVAEITWGEGEELGCTDEERRRNLERDTCPGFISDGGGKVTWTNEAYREMVGGTAGDAVRIWLELKETTEEWWPAFTCRVKVQYRSRWGKEKSSLTAPCDAWRMDGGGFAWRLDVKAALSLGLCR >KGN64348 pep chromosome:ASM407v2:1:5513844:5516285:-1 gene:Csa_1G046920 transcript:KGN64348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLKKASSREEEKRHRAVDNFSGEILSKVVRHSRNKSESYSTSSAAEEEELTNPASAVQKWISNILKPPPNPAISIPDPPPSTPRKSRFHAHLPPSRLPNTPSDALLSPPKTLTDPPPRRTVSSPAFSLQTVRSKSNLNGFSQNDYGDLEFGLNGFLKEQRMKLKRVVLEMGILHCSVLRNLLIFENAGTSSMVAAICYAWLLENKLRQTNVETGRECLVVPVMNMQRGKMWNQRQVAWLFYHLGLDASSILFTDEVDLESLMIAGQTSISVVGQDVLKMNDGVGSQCTILTDNYCEDAYHLLQTPLLKNLLLAGILLDTKNLDASSQSSMTRDAEAVQLLSVGSAPNSKNGLYDQLMRVQKESSFLDALIQNYGKPPSDGSNNHVGNTNHIKERNQPSSPPHGNAINQQKKSSDIGTAKTSKVSPKSGTSLNPVRYLFKHQLEKHPTPPVERVKTSWQNGSVLDRNEITLAWFVFEVY >KGN63988 pep chromosome:ASM407v2:1:3511124:3512954:-1 gene:Csa_1G033080 transcript:KGN63988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESECKAHPPNVLSSYPTPNEDKFLQKNPPVKSEQQQLPYATSSNQKALCSKNMKKTASSEEEIGVFRAERYYGMKLEDESTRVVENCGSNYAKKKEQRPDVQYRRQKSRSGTSSVTSESSWNSQAALFPSFLRNSSQNIQNKTKGRSLLVSLTCNRSCSDKKSILVHRNFQGQKGLQGNDVKKEATRNEQNHIIMDGRMKFQTATMVKHKPKSSISGVTTREEELVFPISNSQLQNLSKIQDEDPRKSIEVFGSNKLDKKDLVAKNLEKKLSVLKWDAIPKAKATQTAPRSDQMIEDIGSDASSDLFEIENISGINGKPFTRNTSDVISSSMTAYEPSEASIEWSAVTASAADFSSVADYDEKKVTARTKTTQLEKDLHKSHHSGLLGCKSYKAVSIAETSYRNIEKLNSDSRRFPRLDSTMIATNATG >KGN63610 pep chromosome:ASM407v2:1:1129665:1135512:-1 gene:Csa_1G005710 transcript:KGN63610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRRSFAWILLLFIIFFTLFNPSSSSSYLTSKRMRMREKARRMFYHAYDNYMTYAFPNDELKPLTKTFTNSLSELGNLKLEHLPQNYTGSALTLIESLSSLVILGNNTEFQKAVLWLSENLHFDVDARINLFECNIRVLGGLVSAHVLATDSTNRLARQSYKNQLLVLAEDLGNRFLPAFNTPTGLPYAWINLKHGVMEDETTETSTSGCGSLILEMGALSRLTGDPRFEHAALRALRKLWSMRSSLNLLGTTLDVETGEWIEFSSGIGAGVDSFYEYLLKAHVLFGKEEFWRMFHAAYLAVQKYFRHGPWYHEADMRTGRATYWQLTSLQAFWPGLQVLVGDIPAANSSHREFFYVWEKYGVLPERYLLDRQMLHPTEKYYPLRPELAESTFYLYQATKDPWYFEVGESIIKSLISHTKVEGGFASVRDVTTMQLEDHQHSFFLSETCKYLYLLFDDSFLVDQNYIFTTEGHPLPVLSSWHERLPEVYGLTNGTSIKGENSSRRLSAMSLQVCPATSLNTAGDGGQQIESACHILDARADHKCFSDEECGVDSTTCRRRSCSSSGYCGQWLHL >KGN66457 pep chromosome:ASM407v2:1:23906192:23911140:1 gene:Csa_1G612320 transcript:KGN66457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEAPDSSFIPTVIRRSTFPPGFVFGSASSAYQYGRTPSIWDTFTHLHPDRIDDGSNADVTVDQYHRYPEDVDIIKKIGFDAYRFSISWSRVLPTGKLSGGVNQQGIDYYNRLINDLISKGIQPYVTIFHWDVPQALEDEYLGFLSEQIIDDYRDFAELCFKEFGDRVKHWITFNEQYIFASYGYATGLFAPGRGASSKHLDYLCGDSEHKPHVGLVPRRGFFWKQLDCELEGNPGTEPYIVGHNQILAHAATVKLYKSKYEYQNGEIGVTLNTDWYVPNSNHEDDKRAASRALDFSLGWFLHPLVYGDYPDSMRELVKERLPKFTDDEVSLVKGSYDFLGINYYTANYAKNNPNVDPNKPSEVTDPHADVSTDRDGVSIGPKVSKDSWLAVYPQGLRDLMVHIKHHYEDPPIYITENGYLDYDSPDVAKLLMDEGRVKYHQQHLIKLYESMEAGVNVKGYFAWTLLDDFEWSRGYTMRFGITYIDFKDKTLERIPKLSSKWFTHFLSS >KGN63569 pep chromosome:ASM407v2:1:826445:828712:-1 gene:Csa_1G004360 transcript:KGN63569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMLIGSCSRSFFSSLLLLSPSTFTRSFVSYRPRPSLARSSLIALDLLSLVRLLSPSTFSRSFVSYRPRPSLARSSLIALDLLSLVRLLSPSTFSRSFVSYRPRPSLARSSLIALDLLSLVRLLSPSTFSRSFVSYRPRPSLARSSLIALDLLSLVRLLSPSTFSRSFVSYRPRPSLARSSLIALDLLSLVRLLSPSTFSRSFVSYRPRPSLARSSLIALDLLSLVRLLSPSTFSRSFVSYRPRPSLARSSLIAHDLLSLVRLLSPTTFSRSFVSYRPRPSLARSSLIAHDLLSLVRLLSPTTFSRSFVSYRPRPSLARSSLIAHDLLSLVRLLSPTTFSRSFVSYRPRPSLARSSLIAHDLLSLVRLLSPTTFSRSFVSYRPRPSLARSSLIAHDLLSLVRLLSPTTFSRSFVSYRPRPSLARSSLIAHDLLSLVRLLSPTTFSRSFVSYRPRPSLARSSLIAHDLLSLVRLLSPTTFSRSFVSYRPRPSLARSSLIAHDLLSLVLLVLLLSPTTFSRSFFSFFSYRPRPSLARSSRSSLIAHDLLSLVLLVLLLSPTTFSRSFFSFFSYRPRPSLARSSRSSLIAHDLLSLVLLVLLLSPTTFSRSFFSFFSYRPRPSLARSSRSSLIAHDLLSLVLLVLLLSPTTFSRSFFSFFSYRPRPSLARSSRSSLIAHDLLSLVLLVLLLSPTTFSRSFFSFFSYRPRPSLARSSRSSLIAHDLLSLVLLVLLLSPTTFSRSGARSRPSLARALRSI >KGN66664 pep chromosome:ASM407v2:1:26232787:26238195:-1 gene:Csa_1G655920 transcript:KGN66664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHPLQHLRGNAVRALRSQGVQVDVLGEDLQSRDIEEAQKACLLVNAPLICKAASQVPFSVLKYAMTLDGKIAATTGHSAWISSVTSRHRVSELRGRSDAIVVGGNTIRKDDPKLTARHGGGHTPVRVVMSRTLDLPEEAKVWDTSSVPTMVLTQRGATRSFQKLLASKGVEVVEFDNLNPRDVVEYFHDRGYLSVLWECGGTLAASAIASGIVHKVFAFVAPKIIGGRNAPSPVGELGMVEMTQALELIDVQYEKVEEDMMISGFLQPISDVAPIIPSRDETLAVDPTVVSYEPSIISFYNTWDNYGALSNFSPHSIHIVDEIGDYSTWMTVEHYYQAHKFVGVDDPSAQECVEKIKSASSPEEATRIARLLQKQHPDLVRSDWETAKFDVMYKALKCKFSSYPVLKSMLLSTAGSILVESSPHDLVWGGGRFGEGLNYLGRLLMKLRVEFLSPL >KGN66078 pep chromosome:ASM407v2:1:21024887:21025391:1 gene:Csa_1G569540 transcript:KGN66078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKPSIIVISKMLNHQWLVFSLVVTLACFYFCKGDNVSYDSNAIIINGERRVILSGLVRMCVQNGTTEAFHCGCIICQESNFERTIKSTRMKCKLLQQR >KGN65427 pep chromosome:ASM407v2:1:15259556:15261304:-1 gene:Csa_1G420880 transcript:KGN65427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPCCDKANVKKGPWSPEEDARLKAYIEEHGTGGNWIALPQKIGLKRCGKSCRLRWLNYLRPNIKHGGFSEEEDNIICNLYISIGSSNNDDPKELLSNSALERLQLHMQLQGFQNPFSFYTHPQLWPKLHPVQEKIVQTLQSLNNNTQNPNDLQSTTTDTQLLQSHPPKAATDFFIHPQTNTNSISLPCKIPENSDHHQVESSSGHHQVSNFMQVVDGFLEDHNKGEGFMAQSDEQVAEFDWFKEVGGCSKDSLIWWGQNNEHDMRSAASSSNSWDSSSVLQSELMFQDFELGYNL >KGN65521 pep chromosome:ASM407v2:1:15944096:15947164:-1 gene:Csa_1G434190 transcript:KGN65521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILKLLSKLSLHTPTIIDTTVSSNSRSKYDLSKAIAKQTKAESQLAQIENRLKNWSIPKLEAKEVYRINTFNFSQQDMIVITEENVAMKDEFTTVKLLPEETLFRSREKFKYLHIECVQVALKPLFREGLDVSVYLALRDKRHRRFTPSLLGIIQSNLERGPVYFNCKPNITISLHDDTIMDTLSLDVHSQGLELKALYVSPKEKTMLMEVNTEKSSMTIPRTLHWKELTKNLIWRLQEETAPIKRSSNEASITEFPDGNVEVQFTNDISYPRISEIMSSRPSTFSIRLEVPYKDTLRRSESIRTSVDFTRSIPNVHYEREDGSLSPTQFDMERRSEPAFNQINVISDDRERFREHYIKYVETWTKAPAETKKPFLYMSAFVENEAEASAKQEAKMVNMIKNNHNPSPQASTSKILLIAQWAAYAFSARKSIYETSQILILGFNGNLRRWWHNQLTEHDRQRILTATKTIVKTENSSVPVQTEEPDMICDYRKELGTFCKQYGLSNGPKEEKEKKKKKNYPSRNIIFRKKKSKNQEPPRRRKHNYKKGKSNEETKQSLLYAIRSDDDNSSQTESSSKEDIINVLQEERSSSEEEFFSQSDSSDDEGAIPCTRQCAGHINVITKDQETLFDLIDQIPDETAKKTCLLKLRQSIEEQTPQQTVHSSIMYSYQNILNRIKGQNKNAHSS >KGN66044 pep chromosome:ASM407v2:1:20860581:20862959:1 gene:Csa_1G569220 transcript:KGN66044 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase MGYKDDDSQNKKRYAIIGVSSMLLVAMVVAVTVGVNLNQDETSDPATGNKSHEISSSMKAIKAICQPTDYKQECVASLKATGNNSSDPKELVQAGFKAAMKLIQAAANKSVALNQLEKDPRASKALAGCKELMDFAIDELKYSMNKLGEFDISKLDEMLIDIRIWLSATITYQETCLDGFANTTGNAAEKMKKALKTSMKLSSNGLAMVSQISSMLSELQIPGISRRRLLEIPVLGHDDYPDWANPGMRRLLAAGSKVKPNVVVAKDGSGQFKTIQEAIDQVPKRKNNATYVIHIKAGVYQEYVLVKKTLTHLMLIGDGPKKTIITGNKNFIDGTPTFKTATVAVTAEHFMARDIGFENTAGPQKHQAVALRVQADKAVFYNCEMHGYQDTLYVHTMRQFYRDCTVSGTIDFIFGDAAAIFQSCTFLVRKPLPNQQCIVTAHGRKERRQPSALIIQNCSFKPHADLVPVQKQFRSFLGRPWKEYSRTIIMESYIGDLIQPEGWLPWAGDWGLRTCFYTEYNNYGPGSDKSKRVKWRGIKNITPQHAVDFTPGRFLKGDRWIKPTGVPYVSGLTRTGGAGAAAH >KGN64882 pep chromosome:ASM407v2:1:9672068:9673528:-1 gene:Csa_1G145840 transcript:KGN64882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPWSSVALNIHLTNNLLSVAHTRLKSVCRKATIDSLAVAYGKGKITCFLGDVNALVDVIPADMVVNAMLVAMVAHASQLSSYTIYHVSSSMRNPIMYRKLQEYGFHYFSANPWINKDGQPVKVGKVTILNDMASFHRYMTIRYLVFLKVCFLSPLSFNKDI >KGN64598 pep chromosome:ASM407v2:1:7101004:7105794:1 gene:Csa_1G071120 transcript:KGN64598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDVLQPLLSNKNACNDELENLLSNTHLPLLHRYTQATWIEMKLLFYLATPAIFVYMMNYLMTMFTQIFSGHLGNLELAASSLGNNGIQIFAYGLMLGMGSAVETLCGQAYGAEKHEMLGIYLQKSAILLTLTGFVLTIVYISCKPILIILGESNKIASAAEVFVYGLIPQIFAYAINFPIQKFLQAQSIVFPSAFISAGTLVVHVVLSWVVAYKMGLGLMGVALVLSLSWWILVVLQFVYIVKSKRCKETWRGFSAKAFSGLPEFFKLSVSSAVMLCLETWYFQILVLLAGLLEHPQLALDSLSICTTISEWALMISVGFNAAASVRVGNELGSKHPKSAAFSVVVVTVVAFTISVFCSVIVLALRNVISYAFTEGLVVAAAVSDLCPLLALTILLNGIQPVLSGVAVGCGWQAFVAYVNIGCYYIVGVPLGVLLGFYYKFGAKGIWLGMMGGTCIQTIILIWVTFGTDWNKEVEESMKRLNKWDDKQEITLKD >KGN65509 pep chromosome:ASM407v2:1:15855052:15855348:1 gene:Csa_1G433080 transcript:KGN65509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAWKLYERSTLIELVDPKMKEGGFLEKNVAHAIQVALLCLQPHGNLRPAMSEIVAMLTYKFEIVQTPLKPAFLERRHKRNRDLSLTNYADITPSPLQ >KGN65106 pep chromosome:ASM407v2:1:11753658:11754137:1 gene:Csa_1G223630 transcript:KGN65106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAASASETQAFRTLFKISPLIALFSLFLNPSPTSNCTPNFATQLANGCFSKNLFSPALPVTSLLSSAVCFPSPIKSPPNSDLCNSTSLSIKSCSSIASTVSLRPKYPISTMQSMAKTLICRSAQFNKLIIFGISPFDSISSTNGDLINLIKEQRIFNE >KGN66208 pep chromosome:ASM407v2:1:21909995:21914750:-1 gene:Csa_1G580230 transcript:KGN66208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSKSFKPAKCKTSLKLAVSRIKLLRNKKDVHIKQLKGDLAKLLEAGQDQTARIRVEHFVREEKSKEAYELIEIFCELIVARMPMIESQKNCPIDLKEAVSSVIFASPRCADIPELLDVRKHFKSKYGKEFVSAAVELRPECGVNRMLVEKLSAKAPDGQTKLKILTAIAEEYNIKWDPKSFGDSINPPADLLSGPNTFGKASQIQMESISGPSSFDHKESSRKHVPFKPDERPHVPERSPEHSLRSEHQSKQSNFAHVNANQSNITGRHNSETSFEGMHRHSNSGEQNNYSSGRQQWSMDFKDATSAAKAAAESAELASLAARAAAELSSRGNISQPSSSEFQKSSSYNLRAEGPQGYPSVHLRDQQLPKDQVVSAPRKSSTPDDNWRDNETRTYMGDNSKNYSYPSSSVSNNDVNISVTNLSAAERSSFKKSSEPRFSGSLGSSATLEKQTRKHDASTSVTSFNAADRYSFKNSFEPGVSGSLDSGDEQPRNFSSNISSTNFNESDTYSLNKPSESGFSDSLGRTSMEKQPRNVDVEYVSDQPFSTGFDRTSSYGDVRIESDSIKVPSHEKLGNDAYENPFAMDKPNESESTVDMSFYDHASVVFDDYGPDDDYIPDYDIPRRESIPDLSSPKGKVPINPSPDDTWIFNGNKNDSAEKAVSHAQISDHTSLFAESIGAFDDPSHSDELLPATFDHSDGSGSESEEELKESEIIAKENSSEFCKKQDLYSEKSEWTRNISHGLSGSSDEDSSSMPSHRLSSELNSVHESKKNDSPLSSPDIVEESTSDGSSGLNFGKLKGGRRNQKSNKLPFANNSSRNDSSSKQAYENDASKTEQSTFISSSTARTSLRSKASEETYATSVEERRGQEKESQTKLNSFNSNLDDSKEKFSVYTLRSDQEPHSKNVVDEILKNPAPTRVAVKYPGFHNDDDSDEDLPEQNMKNSPHRVIGLSRRTKASPKSPSPHLEDSHRTPTTMSHEDIIERKASTSFYATTSPLRAKTGTRYSDRLEISEQPQSSKPFKQTHETKRSFIEERSRPSAEEQQYNYPPEINRRGNFESSKFSSSRDTTAAPVKTRVQSSNSEQPQSMKPSKPIPETKKSIHEEKLKSPTKDLPSTPSPKLETQGNSESSKKEKTEAVEKASHVHPKLPDYDNFAAHFLALRQNNK >KGN66263 pep chromosome:ASM407v2:1:22345505:22347675:-1 gene:Csa_1G589700 transcript:KGN66263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLLSLSTPPSPINLRRKVNLPNFSSISTNSSFKKHGKIERVGGCGYHGSCFTTRAKGGIEQDVLEKDKEPIEIDVENGLQINEARPHDKLPYRGAWLWVGAEMIHLMELPNPDPLTGRPEHGGRDRHTCLGIRDVSKLKAILDKAGIPYTLSKSGRPAIFTRDPDANALEFTQVDG >KGN65316 pep chromosome:ASM407v2:1:13730367:13732443:1 gene:Csa_1G320340 transcript:KGN65316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEITEGVNSITLTADSQKKNRIQVSNTKKPLFFYVNLAKRYMQQYNEVELSALGMAIATVVTVAEILKNNGLALEKKIMTSTVDIKDDSRGRPVQKAKIEIVLGKTENFDELMAAAAEERENEDGEEQS >KGN64567 pep chromosome:ASM407v2:1:6896000:6898633:1 gene:Csa_1G065380 transcript:KGN64567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHSNLFNFIIIITIIFIFISPAISQPNFSCSNKTGNYTANSIYSANLQHILSSLPTDERIVEGFLNISYGKGSNRVNAIALCRGDLPQEVCRSCFGDSVLELPTRCPNEKEAVIWYPNCMFRYSNRWIFGVVQDAPSFWIWNIEDAQDLQGFSKAVQGLLTQVAEEAALGDSKLKFAAGNVSIENEDYSSVYGLVQCTPDISQSECFDCLQTLAGVVQTRCPGKIGARMMRPNCILRYEIQSFFDPAVSIPSQSTPPPSPPSPLFPISPPPLSSNTSTNGEEKDSKRLKTVIVIVLPVVVVVLVEGLGKKFNLHTFTCSSGSEVDDTADLETLVFDISTIRNATDDFSDENHIGQGGFGTVYKGSLVNGQEIAVKRLSQNSMQGENFGMAKLFQDDETRGNTNKIVGTQGYMAPEYAIYGAFSDKSDVFSFGVLVLEIVTGQKNSSFYLEKNIDDLISYAWRNWREGTALNVVDPILKGGPSNEIKKCINIGLLCAQEHSADRPTMDTVLLMLSSDTITLPILSPPADFMNRKPKTDISSPESSGSHVIEME >KGN66699 pep chromosome:ASM407v2:1:26576332:26580128:1 gene:Csa_1G660190 transcript:KGN66699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALWSSSTSPKGIVITFPVLLLTGFAVILFCFFFISNLSSCSCPVTPRIVVSGTAASNGAHIGDGVLTNKDDVDWLKDQIKANGLQMHENVLRKGINPRTRAQQLEDLRQFKGISHYEGPEDQNRTALPCPGELLVEEHHSNYGEPWAGGRDVFEFLAEFSHITPNAHVLEIGCGTLRVGLHFIRYLKRGHYHCLERDELSMMAAFRYELPSQGLLSKRPLIVKGEDMNFNKFGSEVLYDLIYASAVFLHIPDKLVWVGLERLSNKLKPYDGRIFVSHNIKFCSRLGGEECTKRLTNLGLEYLGKRTHDSLLFNHYEIWFEFRRSKP >KGN63968 pep chromosome:ASM407v2:1:3408816:3413089:-1 gene:Csa_1G031890 transcript:KGN63968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMASASLMRLDTPISDSLNDALRRSRNQMKKCFARFVENGKRLMKCQDLMKDVEITIEDKRERSHVLEGFLGYVLSNTQEAAVVPPNIALAVRPSPGFWEFVMVNATSLEVGDFTASEYLKFKEAIFDENWANDENALEIDFGAIEFTAPRLSLPSSIGNGVNLISKFIGSRFGEDKQNVNALVDYLLALQHRGQSLMINKKLNTVSKLQSALFAAQVYVSSLPKDTPYEEFKHKMKGWGFEKGWGSTSERVRETMLLLSEVLQAPDPAKLELMFSKLPTTLNIVIFSPHGYFGQAGVLGLPDTGGQVTRLIPDARGTKCNVELEPIENTKHSNILRVPFYTQNGVLRQWVSRFDVYPYLERFAKDATAKILEVMDCKPDLIIGNYTDGNLVASLMAKKLGITQGTIAHALEKTKYEDSDAKWKELDPKYHFSCQFTADMISMNATDFIITSTYQEISGSKNRPGQYESHEAFTMPGLYRVVSGINVFDPKFNIASPGADQSVYFPFTEKSKRLTNFHPEIEELLYSRENNDEHIGYLADKKKPIIFSMARLDTVKNITGLTEWYGKNRRLRSLVNLVLVAGFFDPSKSKDREEIAEIKKMHSLIEKYKLKGQIRWIAAQTDRYRNGELYRCIADTKGAFVQPALYEGFGLTVIEAMNIGLPTFATNQGGPAEIIVDGVSGFHIDPNNGEEASKKIVAFFEKCKSDGGYWNKMSEAGLQRIHECYTWNIYAKKALNMGSIYGFWRQLTKDQKQAKMRYIEMIYSLLFRNMVKNISIPTEESLPAPTATTSSQQQTSELRSRRPDGTQLEPRPRSEERGSVELGQSGNGLSLTLKKMCFLAGSLLVAFYILKRISGVNQAFDDLPL >KGN65353 pep chromosome:ASM407v2:1:14275772:14275927:-1 gene:Csa_1G368490 transcript:KGN65353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTAIEEVGILKTPATKPVEVSLHPSALLEEIRLGKMKVGGKDIKNPPSR >KGN64221 pep chromosome:ASM407v2:1:4811811:4811969:1 gene:Csa_1G043240 transcript:KGN64221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEMIGVAFGRGNSLNAMGILISSASPTTTACYAFPTVATANGADLCEVEWP >KGN63978 pep chromosome:ASM407v2:1:3465560:3468668:-1 gene:Csa_1G032480 transcript:KGN63978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKEVREYTNLSDPKDKKWGKGKDKIDDEDITFQRMVAKMQEVAGERGGYLHGRGALDSDDLLYLKEQMEAEEDAERLLRRTEKRAFAAFKKAASLADSAPASVPLALRVEPKPKSGIRQQDLLKRVVEVKPKRPRVSNQADLSNPKHDNDNEKVKEKPLVKTNKTEGETEAENPVKSLLGLAYASSDDDEDE >KGN64502 pep chromosome:ASM407v2:1:6563949:6565658:-1 gene:Csa_1G062280 transcript:KGN64502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRTTHWPSPNWLETTGFSSGDHHHGIDVGGDYYGGSSSMNVKEDQDQPMMGGDDDKTSQHKMGNRRREHDSIHIQLCEAATRGDWKAAEEIEKKSKGILSEVISKDRKETALHIATRFNKAAFVEKLIKYKLTQTDLEAKNIYGNTALCIAATSGAVDIAELMFRKHNDLVLTRGSANATPVLIAARYKHSHMVSFLLKSMNKIVQKMEISEQMELLLSAIASDHYDIAFLIIEWNKSLALIRDSNDYTPLHIMARKSNGTIGTKNNPTKWQSSINKC >KGN64861 pep chromosome:ASM407v2:1:9457403:9458435:-1 gene:Csa_1G132710 transcript:KGN64861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQIQYSEKYFDDIYEYRHVVLTPEVAKLLPKNRLLSENEWRAIGVQQSRGWVHYAIHRPEPHIMLFRRPLNYQQQQENQAQQQILAK >KGN64894 pep chromosome:ASM407v2:1:9804968:9808152:-1 gene:Csa_1G145960 transcript:KGN64894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHTKPSISPTLLLSNMYQRPDMITPGVDAQGNPIDPRNIQDHFEEFYEDLFQELNKYGEIESLNVCDNLADHMVGNVYVQFREEEQAANALRNLSGRFYAGRPIIVDFSPVTDFREATCRQYEENMCNRGGYCNFMHLKRIGRELRHELFAMYRRRHSHSRSRSRSPYRHRSYEERSYGKHGHSRRYDERDAYHESRSRRHRTTSPGHRSRSRSPRGRKNRSPVREGSEERRAKIEQWNKEREQGNDNNANSDDNRNNHEKSYDSEVKYANQTCGYEEQQQRQPPEQGYGY >KGN65920 pep chromosome:ASM407v2:1:19714388:19718520:1 gene:Csa_1G538180 transcript:KGN65920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRALRILAVSFMLVSAMAQLPSQDILALLEFKKGIKHDPTGFVVSSWNEESIDFDGCPSSWNGIVCNSGSVAGVVLDGLGLSADVDLNVFSNLTKLAKLSLSNNSITGKMPDNIAEFQSLEFLDISNNLFSSSLPQGFGRLTSLQNLSLAGNNFSGNIDPIADLQSIRSLDLSHNSFSGSLPTALTKLTNLVYLDLSFNGFTDRIPKGFELLSELEVLDLHGNMLDGTLDVEFFTLSGATHVDFSNNMLTSSDMGHGKFLPRLSDSIKHLNLSHNQLTGSLVNGGELSLFENLKTLDLSYNQFSGELPGFSFVYDLQILKLSNNRFSGDIPNNLLKGDASVLTELDLSANNLSGPVSMITSTTLLVLNLSSNQLTGELPLLTGSCAVLDLSNNQFKGNLTRMIKWGNLEFLDLSQNLLTGPIPELTPQFLRLNFLNLSHNTLSSSLPSAITKYPKLRVLDLSSNQFDGPLLADLLTMSTLEELYLENNLLNGAVKFLLPSPGKANLEVLDLSHNQLDGYFPDEFVSLTGLTMLNIAGNNFSGSLPTSMSDLSALISLDMSQNHFTGPLPSNLSSDIQNFNVSSNDLSGTVPENLRKFPRSAFFPGNSKLNLPNGPGSSNNQDGRSGRKKMNTIVKVIIIVSCVIALVIIVLLAIFFHYICISRKNPPELASTKDTRRHSSLSSSAIGGTGAGSNLVVSAEDLVTSRKGSSSEIISPDEKLAVGTGFSPAKNSHFSWSPESGDSFTAENLARLDVRSPDRLVGELHFLDDSISLTPEELSRAPAEVLGRSSHGTSYRATLESGMFLTVKWLREGVAKQRKEFAKEAKKFANIRHPNVVGLRGYYWGPTQHEKLILSDYISPGSLAVFLYDRPSRKGPLTWAQRLKIAVDIARGLNYLHFDRAVPHGNLKATNVLLDGADLNARVADYCLHRLMTHAGTIEQILDAGVLGYRAPELAASKKPQPSFKSDVYAFGVILLELLTGRCAGDVISGEEGGVDLTDWVRLRVAEGRGSDCFDTLLLPEMSNAAAEKGMKEVLGIALRCIRTVSERPGIKTIYEDLSSI >KGN66385 pep chromosome:ASM407v2:1:23183521:23187730:1 gene:Csa_1G600250 transcript:KGN66385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNANGREQSTPGAPPAGGRPDVDEQSPAITSETSETSNVVSSDSMGNTPPQSPGKFRSPILFAPQIPVAPLQGGNGPTHYSGAWQNEFEGAVDSPPEQGVPTIITWSFGGNNVAVEGSWDNWASRKTLQRTGKDFSLLMVLPSGVYHYKFIVDGQRRYIPDLPFIADEMGNVFNLLNVSDSVPDILQSVAEFEPPQSPETTYSQTFPTEEDFAKEPAAVPSQLHLTVLGMENADEASSSKPQHVVLNHLFIEKGWASQSVVALGLTHRFHSKYVTVVLYKPLNR >KGN66790 pep chromosome:ASM407v2:1:27713726:27715334:-1 gene:Csa_1G690280 transcript:KGN66790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSTITNANSLSSLSSIAHTTSIFLHQPRDSPLRLFLRRRLNPISAIINPSSSSLAAKQQVYQPFRPPPSPLPPQYRSLDTEGKLNILSNRLGLWFEYAPLISSLLQEGFTPPVLEEITGISGVQQNSFIVGAQVRESLLQSNDSDPDVIASFDTGGAELLYEIRLLSTEKRAAAAKYIVENRLDSKGAQDLARAMKDFPRRRGDKGWEYFDYDFAGDCLAYMYYRLSREYNSSTERRTAALEEALKVVVTEKARDLIVGDLEGKGDGKDGVEEEIGAAVKVPVVRMKIGEVAEATTVVVMPVCKAGEGEKGVGEAPMEVRSEGEFGVVVAEKGWSRWVVLPGWEPVAGLVKGGGVVVAFEDARVLPWRVNRWYKEEPILVVADRSRREVVAGDGFYLMGGGDGGGDLKVERGNALMEMGVKESLGTVLLVVRPPRETEDDQLSDEDWD >KGN64274 pep chromosome:ASM407v2:1:5096857:5097580:1 gene:Csa_1G045720 transcript:KGN64274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGKESRSTESLCEKSMLLVANLIKLSSSISFARTANNEPTAGSSTTTRRRSGGNPAATPLIPGSKRLQEPQSRAKPIYVTKPGGGGFQIGHGSPSPRYSSSSSSSVIYEESDFDEGNVDGWASEYIEKVHKNRKDFEQSTMKKPKSMAKSRFRRTNSSVLN >KGN65745 pep chromosome:ASM407v2:1:18272158:18276716:-1 gene:Csa_1G524670 transcript:KGN65745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPALAAHTHLPTSTNFPNSPVSRRTCRIPPPTAASINPHLPTFKCHLSSSSQTPEPIQSTSSKPHLKSIAKALALSSSVTLVLKFCSFLGNGDGNFGGGGGFGGGSGGGGGGGGGDSGGFWRKFFSSAALADERQNQEWDSHGLPANIVVQLNKLSGFKKYKVSDILFFDRRRGITVGTEDSFFEMVSLRPGGVYTKAQLQKELETLATCGMFERVDLDSNTNADGTIGVRILFTESTWQSAERFRCINVGLMQQTKPMEMDADMTDKEKMEYYRSQERDYKRRIERARPCMLPEAVYRDVLLMLRTQGKVSARSLQQIRDMVQKWYHDEGYACAQVVNFGNLNTKEVVCEVVEGDITQLVIQFQDKLGNVVEGNTQLSVVRRELPKQLRPGYVFNIEAGKQALRNINSLALFSNIEVNPRPDEKNEGGIIVEIKLKELDQKTAEVSSEWSIVPGRGGRPTLASLQPGGTVTFEHRNIKGLNRSILGTITTSNFFNPQDDLSFKLEYVHPYLDGIYSPRNRTLRVSCFNSRKLSPVFTGGPGADEVPPIWVDRAGVKANITENFTRQSKFTYGAVVEEIITRDESSNICPNGQRALLGGGISADGPPTTLSGTGTDRMAFLQANITRDNTKFVNGAIVGDRNVFQVDQGIGVGSNYPFFNRHQLTLTRFLQLKEVEEGAGKPPPPVLVLHGHYGGCVGDLPSYDAFTLGGPYSVRGYNMGELGAARNILELAAELRIPVKGTHVYAFAEHGNDLGSSKDVKGNPTEVYRRTGRGSSYGAGVKLGLVRAEYAVDNNSGTGALFFRFGERF >KGN66019 pep chromosome:ASM407v2:1:20658576:20660471:-1 gene:Csa_1G568480 transcript:KGN66019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQNTNSPPPFDHLQGPPNIICGLSFPYGSGVGAEVSIPRSWAHLENFLRGVIREEVEAKVEAHFFRVKEGKEGSGDGGGSGGTNENLRLRFRSKIPSIVYTANNIEAKNGEELGVELFDVVNDRIIDWLGNRLSDRQWKTMVKQALQYVPITIPTFDPPNLQENLELQNEAMDQNASVFNQNNIGASNGNYEFQNQTLLGNFHDFSFNHLTSEDHYNYTIQTLLSPGEASTSNAQNINNYDENTSTCNPYFQGTWNKLVLKPK >KGN63550 pep chromosome:ASM407v2:1:659158:659958:-1 gene:Csa_1G004160 transcript:KGN63550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKELSFFLFKNSLAAKMRKGFRTFCNGDGSTSTLNQQKTNQDQFPISPDLHCRQTPPTLEEMILQLELEEETARRAKLYNYDEMRGRMSCVNNSDILRSARNALNQYPRFSLDGKDAMYRSSFRNLDAAERVGRKSVCCEYGLKGRVHDNEFNLTLETALRLPSTIAGENVVWRKPGVVAKLMGLEAMPMPLNARSSKATLTSILKRQSLRKRAKRQEKERRFSVDYPGSDGTITGRLSSCSSNNGCYIVKPIATESAAWRAREFL >KGN65084 pep chromosome:ASM407v2:1:11529689:11530921:1 gene:Csa_1G196260 transcript:KGN65084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIGENRKSEKSVQVHVELKLDGKSNPFGFKPEAAPTSMKSRIWTVRLGVYCVYSNSRVSREYFHPHAFPLPLRQYLQHLLQSPLALYPTPLHTPTPPIKSLPLFPLQPIPPSLSIHSLFWSPMKKLYRKRGTVHPSPLIISDHLSFLPTVILTLAAALSLHDREVLAYLISSCSNDFTAVINSSSHRGKATHQKHAAAMGGLDHPPAFSCYCFQCYTSYWVRWDSSPNRQLIHEIIDAYEEKLAESKVGKNNKKERKKRNNRGPVSGPGEGKGSEAATKEEEWRVTEREVAEGGEEGAEKGPVRRIVSLLGEKIWGSWN >KGN66511 pep chromosome:ASM407v2:1:24402339:24407852:-1 gene:Csa_1G616290 transcript:KGN66511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASADVEAVDFEPEEDDLMDEDGAAEADASPRAPFPKLKSAITGGASSSLSGGPKKTKGRGFREESDRNTRLAGSDFDSLKSADGPGPQRSIEGWIILVTGVHEEAQEDDLQNAFGEFGEIKNLHLNLDRRTGFVKGYALIEYESFEEAEKAISAMDGAELLTQIINVDWAFSHGPIRRKNARPARERRSRSPRRRY >KGN63559 pep chromosome:ASM407v2:1:756955:757288:1 gene:Csa_1G004260 transcript:KGN63559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRHGSKAIGEVGAIFHPISLLEKNRSTAATGDVPPLVSLLVLTATAVLKDENFSKANDGYRNLWKNRQSKWERTEL >KGN66667 pep chromosome:ASM407v2:1:26244719:26249442:-1 gene:Csa_1G655950 transcript:KGN66667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFTLGASFPILHANRLPTAVVSAAATTTNPSSNSSTSHLFEATFIRRAAEIADKSAGFTAPHPNYGCIITTSSGAVAGEGFLYGQGTESAELQAVQAAGERCRGATAFLNLESSDCPSDNTAVAALVQAGIERVVVGMRHPLQHLRGNAVKALRNQGVLVDVLGEELKSRAVEEARKACLLVNAPLICKASSRVPFSVLKYAMTLDGKIAASSGHAAWISSVSSRRRVFQLRGRSDAVIVGGNTVRQDDPRLTARHGGGHIPLRVVMSRTLNLPEQANVWDTKGMSTMVVTQRGTKRSFQKLLASKGVEVVEFDNLNPRDVMEYFHDRGFLSILWECGGTLAASAIAGGVIHEVYAFVAPKIIGGKNAPSPVGELGMVEMSQALELIDVEYEKIGKDMLVSGFLQPILDVAPVIPSCDETFAIDPTVTPYEPSIIFFYKTWDPYGAFSNFSRHPIHLTDENGNGCTWLTVEHYYQAHKFVGIDDPVAQDCVEKIKSARSPEEAARIGRLMQRQHPKMIRSDWRTAKLDTMYRALKCKFSSYPHLKSMLLSTAGSVLVEASPHDLFWGGGRDGEGLNYLGRLLMQLRAEFLGQSTTPNSSTTEFN >KGN66352 pep chromosome:ASM407v2:1:22946237:22951389:1 gene:Csa_1G599460 transcript:KGN66352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKLKFELERFLSENPIVACENVFAEESVQKGVPSMGADSCSGLSLEKLHSNGSLENGPVLINEFQQSSTGQFRTGESNRIGDRSLTSAVEKLSLGGEGTGQTWMNHPNLMDDQFESYMNKQSINSEASTVANPSLRSSNRVSNGYYEIPVPGLSPQLSFSARLVSDVQKKGDAAHLTPFETPNSAMPFTHEVPARNLQFSLPSHHDQMLLNGLSPVHFMHPQQMNHGEIGPNSVKGEQLHSCRMQWQQQYLHDLHNQQLERSNLFESCGNAAFGSLRFQSPKQQRFVEVPFHPCREQSKHEGFCNGAAHCAASGIPNHAFATPFLDTLDAQEKCFKQSSPRKIPTRAHGLIGVDTEKLKYYFSQNGFLCPSCYVRQYGFPSTAKDCICHDNFRVSSMLSSHANRKTEIPPLKCNSLDEATGKIYLMAKDQHGCRFLQRMFSEGTKEDIEMIFGEIIHHVSELMVDPFGNYLIQKLLGVCDEDQRLQILYKINRPGELIRISCNMHGTRAVQKLIETLKTPEQFSLIVSLLKTGIVILMKNVNGNHVAQHCLQYLMPDYIGFLFDAATKSCVEVATDRHGCCVLQKCLAVSDARHRDRLLSEVVRNALVLSQDQYGNYVVQFALELARCPSILPWVTSGIFKRLEGHFSDLSIQKYSSNVVERCVYAGDEYLAKVVDELINDERFSQIMLNPYGNYAVQAVLARSGICKSSVHAKLVAAIRPHVPLLRTNMYGKKVLAVLAKTN >KGN64461 pep chromosome:ASM407v2:1:6249272:6253474:-1 gene:Csa_1G056970 transcript:KGN64461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAFSNSDSLSAFLIKDHHYHHHHHSSFSVLFPYLLFFLLFSSPPTAYAFTGDVAEDSKNRRADLFVQILKDEAVGRLNELGKVSDAARYLERTFLSPASIKASFLLQKWMEDAGLRTWVDCMGNLHGRTEGRNASAEALLIGSHLDTVVDAGKFDGALGIISAISALKVLNMNGKLEELKRPIEVIAFSDEEGVRFQSTFLGSAAIAGILPVSSLEISDKSGITIKDVIKESGVQITEENLLQLKYDRKSVWGYVEVHIEQGPVLEWSGFPLGVVRGIAGQTRLKVTVRGSQGHAGTVPMPMRQDPMAASAELIVQLEKLCKQPESYLSFDGHCTDSTLKSLSTSLVCTVGEISTWPSASNVIPGQVTFTVDLRTIDDIGREAVIYEFSNQVHNICSSRSVSCNIERKHDANAIISNSELSSQLKSAASTALKKMVGEIQEEVPVLMSGAGHDAMAMSHLTKVGMLFVRCRGGVSHSPAEHVLDDDIWAAGLAVLEFLENHL >KGN65426 pep chromosome:ASM407v2:1:15256813:15257043:1 gene:Csa_1G420870 transcript:KGN65426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIYTKDPSSPYFSSPIKMGDFGLALKLAADCAPAVATASNVVMTVAPFLAPVVAPVMVAATVVTSVTGVLKIFGI >KGN64670 pep chromosome:ASM407v2:1:7542306:7546457:1 gene:Csa_1G073770 transcript:KGN64670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEITYSFVAITKTPRIFPRLLRPVFSLSTTHELMPFRIATSQTLKNETLRVLEWSSICKQLSKFTSTSMGFDVAQKADVRFGRTREESQKLLDQTTAAEAVVSTSRRLDFSGIEDVSGILNSAISGKLLTIAELCSVRRTLKAARELFEELQALAVGNHYSDRFLPLIEILQNCDFLVELERKIEFCIDCNYSIILDRASEDLELIRLEKKRNMEELDSLLKEVSFKIYQAGGIDRPLITKRRSRMCVAVRATHKNLVSDGILLSTSSSGATYFMEPKNAVDLNNMEVRLSNSEKAEEISILSMLSTEISESENHIRCLLDKILELDLALARAAYGRWMSGVCPCFSAKGYEGLNSSITDNTLSVDIDAIQNPLLLSNYLKSSPDNVLSYSANVGQFDKRGNMIVSEEFSGSVPDFPMPIAIDIKIMHQTRVVVISGPNTGGKTASLKTLGLASLMAKAGMYLPAKNHPKLPWFDLVLADIGDHQSLEQNLSTFSGHISRICKILEVSSDESLVLIDEIGSGTDPSEGVALSTSILRYLKNCVNLAIVTTHYADLSRIKDSDSSFENAAMEFSLETLKPTYKILWGSTGDSNALTIAESIGFDPVIIERAKQWMVNLTPERQDERKGSLFKSLIGERDKLEAQRQKVASLHADISALYYEIQEEAKDLDKRERALMALETKRAQQEAAAIKSKIETVVQEFEEQLKTSGTDQINSLIKKAESAIASICEAYSPTEHSRPSVANTNSYTPQLGEQVFVTGLGNKLATVVEVSDDEEMILVQYGKIKARVKKSSVKALPNSGKKAAANTLPFSKKQGRQSRESVSRPDESKDGDSYGPVVQTSKNTVDLRGMRVEEASYHLDMAIASRGSNSVLFIIHGMGTGAVKEHVLETLRKHPRVAKYDQESPMNYGCTVAFLK >KGN66474 pep chromosome:ASM407v2:1:24031788:24034502:1 gene:Csa_1G613460 transcript:KGN66474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAEVICKRMEEVEMKMVVGETYRHKEVVEMGMVVGVICKYMEEAVMEMVEGETYKHKEVVEMVMVEEETYKHKEVVEMEMVEEETYKHKEVVEMEMVEEETYKHKEVVEMEMVEEEICKHRGEVVMEMVEEEICKHRGEVEEVMEMVEEEICKHMVAVVMVKAEEATYRHKEVVEKVMVGVVICKHMEEVEMETVGEETYRHTEVVEMVMEVEEIYRYTEVVEMVMEVEEIYRYTEVVEMVMEVEEIYRYTEVVEMVMEVEEIYRYTEVVEMVMEVEEIYRYTEVVEMVMEVEEIYRYTEVVEMVMEVEEIYRYTEVVEMVMEVEEIYRYTEVVEMVMEVEEIYRYTEVVEMVMEVEEIYRYTEVVEMVMEVEEIYRYTEVVEMVMEVEEIYRYTEVVEMVMEVEEIYRYTEVVEMVMEVEEIYRYTEVVEMVMVVVVIYKCMEVAVMEKVEEVSYRHKGEVVEICNNKVMAVVETSMAVVVNYNNKGMVAVVMHKHKGFSQPQL >KGN63854 pep chromosome:ASM407v2:1:2569643:2571076:-1 gene:Csa_1G024860 transcript:KGN63854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVKIIGSAGSLFCIRVEWALKLKGIEFEYIVEDLRNKSELLLKSNPVHKKIPVFLHNDKAISESLLIIEYIDETWKENPILPEDPYDRANARFWAKFLDEKGLIGAWEACQAEGEEKEKAVEAAIQNLALLDKEIQGKKFFGGEQIGYLDLAAGWICHWLNVLDEVGEMNVFDRERVPSLHEWAQNFIHVPVIKESLPPRETLVNYFKGSLSYVRSLAANKN >KGN65917 pep chromosome:ASM407v2:1:19688513:19689842:1 gene:Csa_1G538150 transcript:KGN65917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISEGGLIIGLYENSKDTQDFHSLFQNSRRALEKLKKHGVLTPLRPSPPPDPIPSLNLTLEVMLPKENTEKKQIRGKLTLRVPFLLLKKLGIPLLPQLEEPTATSCRATLFRSQFEPQLQSKAASLPIRVVGSLSASLPHPSLRIG >KGN63962 pep chromosome:ASM407v2:1:3383089:3386883:1 gene:Csa_1G031830 transcript:KGN63962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPEEEEVSINRTSSSPSCDTFSSNRNSVTLSTKHSSTLSSSLAEMEQRISLLAMKNAEEDNVGDSFAERAEFYYNKRPQLLALLQELYTAYTTLSDRYIQTVAKHHNRQFSVTSTLDSFDGAEDSGISQIESDAESSLSYQQVSVTSTKYYGMVDNDAFIAELVMKNVEYDILTNEVTTLEKQCCDSSRKIELQKSLLDVLESERLILLNENARLGYRVESLMEENKGLVAESVFMKQKAGEMARCMLKLRDDHRVYLLNQKIEDLQGQIYGLEKRNKEYYDQLVKTDKAMVESRCSNGKEVTLEACFQIGRLKSKRNVGVIGKTKSSGKKSYKWWAKVKSMDMFLCGHSTNPT >KGN64454 pep chromosome:ASM407v2:1:6214150:6218556:1 gene:Csa_1G051910 transcript:KGN64454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSISFHQPTHRFISCPQVKDFRSFPSPRFTNNSSISPKARLRPIKAATGIPAFPLLQPPKADESPSELEPADPDFYKIGYVRSMRAYGIEFKEGPDGFGVYASKDVEPLRRARVIMEIPLELMLTISQKLPWMFFPDIIPLGHPIFDIINSTNPETDWDLRLACLLLYAFDRDDNFWQLYGDFLPSIDECTSLLLASEEELLELQDQNLASTIRDQQRRALEFWERNWHSGVPLKIKRLARDPKRFIWALSIAQSRCINMETRIGALVQNANMLIPYADMLNHSFQPNCFFHWRFKDRMLEVMINAGQQIKKGQEMTVNYMNGQQNNMFLQRYGFSSPVNPWDMIEFSSNACIHLDSFLSVFNIAGLPENYYYNGRLSSKEDTFVDGAVIAAARSLPSWSDGDIPPSPSRERKAVKELQEECQRMLAAFPTTSDKDQKMLDSMSQATRTLEASIKYRLHRKLFIEKVIKALDVYQERILF >KGN65075 pep chromosome:ASM407v2:1:11428958:11449643:1 gene:Csa_1G194700 transcript:KGN65075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRENSFNYPSSPSARLRHRRRSNEGIVEDLKSNGSPLLVNDRNKYKSMLIRAYSSVWMIGGFALVIYLGHLYIMAMVVVIQIFMARELFNLLRRTHEDRQLPGFRSLNWYFFFTAMFFVYGRLLSQRLVNTVTTDKVLYQLVSSLVKYQMAICYFLYIAGFMWFILTLKKKMYKYQFGQYAWTHMILIVVFTQSSFTVANIFEGIFWFLLPATLIVINDIAAYFFGFFFGRTPLIKISPKKTWEGFIGASVTTIISAFMLANIMGRFSWLTCPRKDLSTGWLHCDPGPMFTPEFFTLPGWIPTWFPWKDVTILRVQWHAICLGLFASIIAPFGGFFASGFKRAFKIKDFGDSIPGHGGITDRMDCQMVMAVFSYIYHQSFVVSQSITVESIIDQVLMNLTFEEQQLLFTKLGQMLQDRLFLQ >KGN65680 pep chromosome:ASM407v2:1:17514058:17522001:-1 gene:Csa_1G495280 transcript:KGN65680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDDNNNNHEDTQKWILDSDVIDVMVDVPIIDGGGGNKKGSFKTRDDQRRHGVSRPAGMRLGRRQSKAERGFKSLKFLDRSVTGKEVDAWRAIENRFQRYAIDHRLPRDKFGVCIGMGGDSKDFAGELFDTLMRRRRLCLGGGITLEELQGFWEDVTSQDMDFRLQIFFEMCDKNGDGKLSESEVKEVIILSASANKLGNLKSQAGYYASMIMEELDPDHLGYIELSQLETLLREVMVFDQDNSKIMGKKTCSLTRAMIPKRYRTPVSRVISRTVELIHDNWKRIWVIVAWLAINVLLFFWKFNEYKKHKGFKIMGNCLCVAKGGAETTKFNMALILVPVCRSTLTSLRSTFLSRIIPFDDNINFHKVIAIGIVIGTFLHVFMHITCDFPRIIGCPREKFMLLLGPNFNYHQPSYQELARSIAGVSGVLMLIIMGISYVLASHQFRRNVIKLPSPLHHLAGFNAFWYSHHLLIVAYVLFIIHGYYLFLVTQWYLKSTWMYLAIPMSLYASERLLASVNELKHNVDIIKAVIYTGNVLALYLTRPQVFRYKSGMYLFIKCPDISNFEWHPFTITSAPGDDYLSCHIRTLGDWTAELHNRFAKVCEMERAQARKGSLVRMETTAYAGDSYSQLRYPRIVIKGPYGAPAQDYKKYDILFLIGLGIGATPMISIIKDVLNTIKPIDHYSDSVHKHSLDSSRRGPERTYFYWITREQGSFEWFKGVMNDISEYDHDHVIEMHNYLTSVYEEGDVRSALITLVQKLQHARNGVDVLSESRIRTHFARPNWRKVFAELASNHMASRIGVFYCGSATLTQTLRKLCQEFSSSSTTRFHFHKENF >KGN64907 pep chromosome:ASM407v2:1:9949372:9953538:1 gene:Csa_1G153520 transcript:KGN64907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIESKVLAIACSEEDGKRAMLYSYKKSFSGFSAKLNASQAIALSKMEDVISVFESRTMKLHTTRSWDFLGLPIPSYTNNRSARFSLHLPSYGDHDVVVAIFDSGVWPESKSFEESEGIGRIPCNWKGKCVKGYRFNPASACNRKLIGARYYLKGFEAQYGALNTTADNPEFRSPRDFLGHGTHTASTAVGAVVHNVGFPTSSSLAKGTARGGAPWARLAVYKVCWGKDYEGKCTDADVMAAFDDALHDGVDVISASFGERPPLIPLFESASAIGSFHAMQRGVSVVFSAGNDGSHPSLVQNVSPWSICVAASTMDRTFPTTIFILNHFSIMGESLITRNIINVKLADAINYFNDGICERENIRKGGKSGKGKVVVCFSTIGQVSIATAQEAVKAINASALIFGAPPTTELPDLDLIPTVRIDIHQATQIRNFLAELPRLPMVEIGVARSVIGKSVAPTVAYFSSRGPSSILPDILKPDISAPGVNILAAWPPETAPTVRPSGKINEEEEEEEEGVKWNFQSGTSMSCPHVSGVVALIKSVHPNWSPAAIRSAIITTATKIDSSGNTILAGGSMKASDPFDIGAGQVNPIMAINPGLIYDITTNDYITFLCNIGYTDQQISNLILNPSPHFCCRQSTATIANFNYPSITLANLRSTTTIRRIVRNVSLNKNAIYFLRVLPPYGVRVQVWPRVLFFSCYRQQISYYITITPLRKSRGRYGFGEIQWFNRFHTVTSPLVVRLAT >KGN66508 pep chromosome:ASM407v2:1:24381764:24386719:-1 gene:Csa_1G616260 transcript:KGN66508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDLPPDRLSILHPSTTISNFEFGQTDAIVVALLVREKEPEREVKEGGSREERREKDMLPDYIHMRVAESILFAGKAIRVLRNPSNAFWCQGAGNQSHSNMPRLPLNVKGNTRNFPLQKEPFVATKLTGEELLLQSEADKIEAMLLDLKESSEFHKRSFESAVDSIRAIAASHLWQLVVVRADLNGHLKALKDYFLLAKGDFFQCFLEESRHLMRLPPRQSTAEADLMIPFQLAATKTTSEEDRYFCRVSLRMPSFGVKVKSAQGDLKEKPIIDGNPGGALSNLSLDMSLDGWDGVSLEYYIDWPLQLFFTQEVLSKYCRVFQYLLRLKRTQMELEKSWASLMHQDHADFANNRKAQFDGSISLQRRQRFRRMWRVREHMAFLIRNLQFYIQVDVIESQWNILQDHIQDSHDFTELVGFHQEYLSALISQSFLDIGSVSRILDGIMKLCLQFCWSIENQDSSSDPSELEHLTEEFNKKSNSLYTILRSSRLVGSQRAPFLRRFLMRLNFNSFFEATARGVLNVVRPRPAALPVLNQQ >KGN64161 pep chromosome:ASM407v2:1:4437475:4442279:1 gene:Csa_1G042690 transcript:KGN64161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIKDLLRFMKPYIEPIHIKKYAGERVGIDAYSWLHRGAYSCSMEICLNSNSDKKWRYIDYCMHRINLLRHYEIVPVVVFDGGNIPCKAVTHQERHRKKEKNRELAMEKLKEGNVNAASELFQRAVNITPSIANQLIKLLREHDIEFVVAPYEADAQLAYLSSLGTLNGGIAAVITEDSDMIAYGCKATIFKMDRYGNGEEMMLDKIFDSAGCTPSFKDFDKELLTGMCVLAGCDFLPSVPGIGIARAYALVSKYRNLERALSALKLQKKEQMPEDYFKLFRQAMAVFQHAKIYDAETRKLIPMKPLPLELLQVLGEEIDFLGPDMPPSIAVSIAEGRLNPVTMEAFNYFSSEECNQDLIIKNKEILPRTEKAEVSEEESCFMVVGKDRERHIPDKKIKPVAGYKKSKEDFALEKLITPSSVPRTNEVKPVLNYKSIKTPDNNPFKRRKVDELPLEPTQRVDEEVSVVSEDECALLSSATSDNLISKSSNKRKLNEALTDQKDNAIEHISGVTQEEDLVLLELPPESQKSVNSKASSAIGRKRVVGKENKGKSNSSGIPKNSILNFFSRVLSCKFLS >KGN66339 pep chromosome:ASM407v2:1:22855778:22856099:1 gene:Csa_1G598350 transcript:KGN66339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLEKVLNDNNQSKTKNPDWGMKLKSEKSGVEIVEQKHPHNQKVDEFIESSIRKIGDFDPLFFLLNDLKSHFS >KGN63757 pep chromosome:ASM407v2:1:1964783:1974876:1 gene:Csa_1G015030 transcript:KGN63757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLLLHLQAPHLASSFNRRTLSFIISNSNSSSYSSLQCRFALPLSSSSSSSSSLRRCFSLRAFDDNAPETKRVEKEETDASNEAPMSSDVVRTRDEDYPSGEFEFQKFGPWRSFLVKLKMLVAFPWERVRKGSVLTMKLRGQISDQLKSRFSSGLSLPQICENFVKAAYDPRISGIYLQIEALNCGWGKVEEIRRHILDFKKSGKFVVAYIPTCQEKEYYLACACEEIYAPPSAYVSLFGLTVQASFLRGIFDKVGIEPQVERIGKYKSAGDQLARRNMSEENCEMLTTLLDNIYGNWLDKVSSTNGKKKDDVENFINEGVYQIEKLKEDGWITNIQYEDEVLSMLSERLGLPKDKKVPMVDYRKYSRVRQWTVGLSGGGDQIAVIRAGGSITRVRSPLSVPSSGIIGEQFIEKIRTVRESKRFKAAIIRIDSPGGDALASDLMWREIRLLAASKPVVASMADVAASGGYYMAMAAGTIVAEDLTLTGSIGVVTGKFNLGKLYEKIGFNKEIISRGRFAELLAAEQRPFRPDEAELFAKSAQNAYKQFRDKAAFSRSMTVDEMEKVAQGRVWTGKDAASRGLVDAIGGFSRAVAIAKLKANISQDSQVNLVELSRPSPTLPEILSGVGSTIIGVDRTMKDLLQDLSLGEGVQARMEGIMLQRMEGFSYGNPILNFIKDYFTSL >KGN64857 pep chromosome:ASM407v2:1:9447590:9448041:-1 gene:Csa_1G132180 transcript:KGN64857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIECLCRTPLPSPSSLFTSHPPRLLAARSSSRCRLSDAQTSGADVSFAAAGVRRAAVWLFHTRSPKPSPLRLRCPSAAAGPVNH >KGN63767 pep chromosome:ASM407v2:1:2043055:2044624:1 gene:Csa_1G015610 transcript:KGN63767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDIHSIAGGSRLFGGGGGGDRRLRPHLHHHQNHQALKCPRCDSLNTKFCYYNNYNLSQPRHFCKSCRRYWTKGGVLRNVPVGGGCRKTKRSSSSKSKSNSSDAVATPPPLPPPPLPLPLRDRKSTSHSSSESSSLTATTTTAAAAAAAAATEAVSAPSSNSASGLLNVHDTKLFTGSSTTNTNPNFEVPDCGIFSEIGSFTSLITSSNETLAFGFGNMADVTAFAMNNHHQFQNQTANQWPPPPPPSQRMMNVNDELKMQGMTDSSGGGGGGGGGGYMDQTAQVDPNSRSNNIGFGALDWQSNGDHQVLFDLPTAVDQAYWSQNQWNDQDQPNLYLP >KGN66507 pep chromosome:ASM407v2:1:24356434:24362055:-1 gene:Csa_1G616250 transcript:KGN66507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAYEATTLVYAKIKNLEPENASKIMGFLLIQDLGDKELIRLAFGPETLLHSLILKAKAHLGFPLNSSSSTPSSPSPLNPIARPSNSNPFSQSSPRIPNNGFDLTKNPSSPSTTGSWSLSGITNNVISPKSSPLLSYDSIRAAPFSMPPFMQHKNGFVDSEVIEEPQVNEYLSFLNESSSSRGEDLVDPRMELGRGLQNWTQSMDNADTPFHRRSYSASDVCFGSEDGGFGVGYKPCLYFARGFCKNGSNCKFLHGDFSDSVDPSAAIVGSPSKLEGLFDQREEFMRYKAAQQQRLATASELMAGVSPSQYNKYINFLLQQQNENHRATAAALMMGDEYHKFGMSRSERNDFLALAAEKRNSASRQIYLTFPADSTFKDEDVSEYFSKFGPVQDVRIPYQQKRMFGFVTFVYPETVKNILAKGNPHFICESRVLVKPYKEKGKVPEKRQQHQQQQLDRGDFSPCLSPSGLDARDPYDLNLGARMMYNNTQGMMLRRKLEEQVELQQALELQGRRLLNLQLPDLKNDQLHHHHPHNLPICAPLSIESHNQMNQNIFPPDFINPEASEGHENNQLATNNAISTQQNFQLEENLCFIQSNSGGKATEDGYNSELLEIHKSVEQVLPDSPFASPKKSALGQHSDISSVKGDGCGPNTLPSSSQSNPSLPTGPAGDIASP >KGN65270 pep chromosome:ASM407v2:1:13292849:13295537:-1 gene:Csa_1G293020 transcript:KGN65270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHISVSKLHFTHYRVLSSSSISKPTALNSLHFFSSTQEPISTATQNGSPNDPSASSDAALPQTGESAAVNGVQQVKGRIPRGRPRDPEKLEKIICKMMANREWTTRLQNSIRSLVPQFDHNLVYNVLHAAKKSEHALNFFRWVERAGLFQHDRETHFKIIEILGRASKLNHARCILLDMPNKGVQWDEDLFVVLIESYGKAGIVQEAVKIFQKMKELGVERSVKSYDALFKEIMRRGRYMMAKRYFNAMLNEGIEPIRHTYNVMLWGFFLSLRLETAKRFYEDMKSRGISPDVVTYNTMINGYCRFKMMEEAEQFFTEMKGKNIAPTVISYTTMIKGYVSVSRADDALRLFEEMKAAGEKPNDITYSTLLPGLCDAEKLPEARKILTEMVTRHFAPKDNSIFMRLLSCQCKHGDLDAAMHVLKAMIRLSIPTEAGHYGILIENCCKAGMYDQAVKLLENLVEKEIILRPQSTLEMEASAYNLIIQYLCNHGQTGKADTFFRQLLKKGIQDEVAFNNLIRGHAKEGNPDLAFEMLKIMGRRGVSRDAESYKLLIKSYLSKGEPADAKTALDSMIENGHSPDSALFRSVMESLFADGRVQTASRVMNSMLDKGITENLDLVAKILEALFMRGHDEEALGRINLLMNCNCPPDFNSLLSVLCEKGKTTSAFKLLDFGLERECNIEFSSYEKVLDALLGAGKTLNAYAILCKIMEKGGAKDWSSCDDLIKSLNQEGNTKQADILSRMIKGGDRKRSKKPSLAA >KGN63858 pep chromosome:ASM407v2:1:2588614:2593466:-1 gene:Csa_1G024900 transcript:KGN63858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKDTNSSLNSPLLHISEDGLTSSNGERERRVNDINHRRQQVGEEIKKQLWLAGPLILVSLLQYCLQMISVMFVGHLGELSLSGASMATSFATVTGFSLLMGMASALDTFCGQSYGAKQFHMLGIHMQRAMFVLSIVSIPLAVIWANTGEILKFLGQDAEISAEAGKYAIFMIPSLFAYGLLQCLNRFLQTQNVVFPMVMCSGIAALLHIPICWILIFEIGLEIRGAAMANAISYWINVLMLMLYVKYSSSCSKSWTGFSVQAFQNIPNFLRLAIPSACMVCLEMWSFELIVLLSGLLPNPKLETSVLSISLNTATIFWMIPFGMSGAGSTRVSNELGAGRSAAAKLAGCVVVSMATIEGLLLAIILVLIRNVWGYAYSSEPEVVEYLANMLPIVAISSFLDGLQCVLSGIARGCGWQKIGAYVNLGSYYIVGIPSAVLLAFVLHVGGKGLWFGIILALIVQVLSLATITIRTNWDQEAKIATERVYDAIIPSNVVS >KGN65192 pep chromosome:ASM407v2:1:12714781:12716795:1 gene:Csa_1G263960 transcript:KGN65192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQNSQNTQTQTLQQQLLINGGHTPESYIYKGGYHGGGSNNNTPLPLAEIPVVDLSQLSSPSAGEGPLNDLRLALSTWGCFQAINHSISSSFLEKMRKISEQFFSLPIEEKMRYGREVDGMEGYGNDLTFSNQQTLDWSDRLYFVTNPEDERRLELWPLNPPSFSCGCKCSQDFDDDFLEDGGGTKDNNKHMVIKYAFEHSDFVHLP >KGN64744 pep chromosome:ASM407v2:1:8159427:8165253:-1 gene:Csa_1G085890 transcript:KGN64744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAAGGKSLEQTPTWAVAVVCFVLLVISIFIEYSLHLIGHWLKKRHKRALFEALEKIKSELMLLGFISLLLTVGQGPITEICIPQHVAATWHPCTKEREDEMNKEVEKSVEHLGLNRRRLLHLLGNGESFRRSLAAAGGEDKCAAKGKASFISADGIHQLHIFIFVLAVFHVLYCVLTYALARAKMRSWKTWEKETKTAEYQFSHDPERFRFARDTSFGRRHLSFWTKNPALMWIVCFFRQFVRSVPKVDYLTLRHGFIMAHLAPQSHTQFDFQKYINRSLEEDFKVVVGISPPIWFFAVLFLLSNTHGWRAYLWLPFIPLIILLLIGTKLQVIITKMALRIQERGEVVKGVPVVEPGDDLFWFNRPRLILYLINFVLFQNAFQVAFFAWTWYEFGLNSCFHEHIEDVVIRISMGVLVQILCSYVTLPLYALVTQMGSTMKPTIFNERVAEALRNWYHSARKHIKHNRGSVTPMSSRPATPTHSMSPVHLLRHYKSEVDSFHTSPRRSPFDTDRWDNDSPSPSRHVDGSSSSQPHVEMGGYEKDPVESSSSQVDPVQPSRNRNQHEIHIGGPKDFSFDRVE >KGN66287 pep chromosome:ASM407v2:1:22512341:22513837:-1 gene:Csa_1G595880 transcript:KGN66287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSARSMFPFTSAQWQELEHQALIFKYMVSGIPIPPELLFSIKRSALDSPFTSKLFPHHPQPVGWNYLQMSLGRKIDPEPGRCRRTDGKKWRCSKEAYPDSKYCERHMHRGKNRSRKPVDVLKTTATNDINHLSNPTFSSLNSNSSLLSYRPFPAHQISSTPNNGNGISMKDTNTLLLLEPGSYSDKNPDYRSRYTCGLKEEVNEKVFFSQEPSEAIGRADFSASSIASSLQLTPLTMSSSLYPKQNNSSSLQSDQSSFYQLHQNLTEPLKQQKRDESQKTVHRFFDEWPPKDRGSWLDFADKSSNTSSVSTTRLSISIPTASNDFPIFRSKNP >KGN64273 pep chromosome:ASM407v2:1:5091120:5094954:-1 gene:Csa_1G045710 transcript:KGN64273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFLELENSSPNIFIPEEWSEAAESIVFDSPTSPPPVVLICGAKNCGKSTFSRHLLNVFLRRYKKVVYLDSDVGQPEFTPPGFLSLTVVDRLIPDLSTPCLKTPERCFFFGDISSKRDPKAYLSYANTLYDYYHKEYNSFNKTEELAKIELPLIVNTPGWVKGIGYEILVDMLKYIAPSHVVKINISAESKNLPAGEFWLEEEENYGTTSLIEIRSARQDEFNRSILVQKDTSLMRDLRIMAYFRQCFPRDCNITTIKELANALASHPPYQVPISSIKIRHLHCQVPSDQIFYSLNATIVGLATCSNNSENSSWCVGLGIVRGIDTFKGLLYVITPVPHGTLEKVDLLLQGFIQIPSCLLQVKGCISPYMCANILPTS >KGN64357 pep chromosome:ASM407v2:1:5665803:5668426:-1 gene:Csa_1G049960 transcript:KGN64357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPALPLSLLSLFLFLFSISLSAALSPNPAAVSNPELVVQEVHRSIINATKRRNLGYLSCGTGNPIDDCWRCDSNWEKNRQRLADCGIGFGKNAIGGRDGKIYVVTDSGDDDPVNPKPGTLRYAVIQDEPLWIIFARDMVIRLKEELIMNSFKTIDGRGASVHIAGGPCITIQYVTNIIIHGLNIHDCKQGGNTDVRDSPRHFGFRTISDGDGVSIFGGSHVWVDHCSLSNCNDGLIDAIHGSTAITISNNYMTHHDKVMLLGHSDSYTQDKNMQVTIAFNHFGEGLVQRMPRCRHGYFHVVNNDYTHWEMYAIGGSAAPTINSQGNRFVAPNDRFSKEVTKYEDAPESEWKNWHWRSEGDLMLNGAYFTASGAGASSSYARASSLGARPSSLVGTITTNAGALNCRKGSRC >KGN64358 pep chromosome:ASM407v2:1:5670513:5670668:1 gene:Csa_1G049970 transcript:KGN64358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNETLREEEEEERQRRRKKASSGTLGYKEDARNRKENISSEWTDLQCRIL >KGN64708 pep chromosome:ASM407v2:1:7826101:7831489:1 gene:Csa_1G076600 transcript:KGN64708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHRPRLSHLVLLLIFFLLHFPTFFSKSQALTHGGRKNKAPPNNSSKSVSALLVFGDSTVDPGNNNFVPTMFRSNFPPYGKDFPYHIPTGRFSNGRLCTDFIASYYGVKDYVPPYLDPMLSIEDLMTGVSFASAGSGFDPLTPKVGNVVSIPAQVEYFKEYKQRLESVLGKQRTMNHIKNTVFFISAGTNDFVITYFNLPLRRKTFTLSAYQQFIIQQISQFFQALWAEGARRFAMPGLAPMGCLPVVITLYSSNAFLERGCIDRYSSVARDFNVLLQAELLSLQTRLSQKSPTFIAYINAYDRVIDIIRDGGKSGFEKVDVGCCGSGFLEMSLLCNYKSPVCPDAGKYLFFDAIHPTEKTYYNLFQAGIPAFDYITRDLH >KGN65779 pep chromosome:ASM407v2:1:18448255:18454179:-1 gene:Csa_1G527950 transcript:KGN65779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFDNECILNIQSLAGEYFCPVCRLLVYPHEALQSQCTHLYCKPCLTYVVSTTRACPYDGYLVTEADSKPLVESNKTLAETIGKIAVHCLYHRSGCTWQGPLSDCVTHCSGCAFGNSPVLCNRCGIQLVHRQVQEHAQTCPGVQQPQAQQADAAQGTTVSGTAAATDQAQSAGMGKSQGQAQPSQSVGVSAPAQDPNQQANATSQGPAVVQAALPSSEQWYQQQQQQYQQYYQQYPGYDSYQHQYQNYYPYQQQAGVPYQHSQPSQALPSQVATQHPSQAYIQPQTQTQPQPQPQPQTQVPVHHQPQSQSQPQPQPQPQTQAQPQSLSQPQPLSQPHGQSQLHMHAQTPAVGQSQNQGQVNPQQQIYHAATLHSQIQPQGLPPAPGQPQPQPHSYPQVQPTSQQPVHMPQYQQSHSQAQIQQQMHPPFHPPHHSVSQPPSQSQAPTQHHSQLPNPQINQSLSLTPNAQPQTQNPPTYASTGYPSYPQPQHHQQMQLGVPQNVPSAPQGGAHQQSQPLVQMQSQLPQPPPMRPSQPPLYQNQQQPPILPSSNQVQNVSSAQQLHIHSHAQQPGGPGQAANQRPVMQLVQQSQSQQVVHQHQHFGQQGQFIQHQLHMTPQMRLPGPPNSLSQHNHAYAHLQHNANLPHGMQHNPSQSSEGRPLVPNQGAQSIPYSQSMVGVPVRAIQPGANQPTIKQGPTFGKNSNQVQLPDGFGERKLEKGPDGRESGLSSQKDAKRAANHLDVSSTMGTNAGELKIDKSEADKGRYAFGDKSIHFDTSTERTPQNGAMDSNLHVGDSGKTKQVELKVKVEAAEGTFDHSSNDKLGEVSILDQKDLGTEPKKKEDLVIENKGNQEEFKISSQDTELREEQSKRMQNDTSGTPHPSSGTNESQQGATTTSSLILGSPGMLNQHGYQDKNPPQTGGTQIGAAVTSHPASLVAHTRHQTPPSSYVSSALQHGVAAPSLPGPPPGPYHQAQFSNNPSMQVRPRAPGLVAHPGQPFNPSESFHLGGIPESGSASSFGRGLGQYGPQQALERSIGSQATYSLSQPSASQGGSKMSLGDPVGAHFRSKLPGAFDSRGLLHAPEAQIGVQRPIHPLEAEIFSNQRPRLDSHLPGTMEHHPPHLTGIPPNVLPLNGAPGPDSSSKLGLRDERFKLLHEEQLNSFPLDPARRPINQTDAEDILRQFPRPSHLESELAQRIGNYSLRPFDRGVHGQNFDTGLTIDGAAASRVLPPRHIGGALYPTDAERPIAFYEDSTGQADRSRGHSDFPAPGSYGRRFVDGFGPRSPLHEYHGRGFGGRGFTGVEEIDGQDFPHHFGDPLSFRESRFPIFRSHLQRGDFESSGNFRMSEHLRTGDLIGQDRHFGPRSLPGHLRLGELTAFGSHPGHSRIGDLSVLGNFEPFGGGHRPNNPRLGEPGFRSSFSRQGLVDDGRFFAGDVESFDNSRKRKPISMGWCRICKVDCETVEGLELHSQTREHQKMAMDMVQSIKQNAKKHKVTPNDHSSEDGKSKNVGLESRGKKH >KGN66601 pep chromosome:ASM407v2:1:25526520:25527137:-1 gene:Csa_1G638480 transcript:KGN66601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQIKNPCLQLLSLIVQLLTPIPIEFQDALWPYERYDLPSCMAISRIRTMVLGLKNLWVPTFGWAKGSPLISFPLAKIIFLFKSLPTPLIPKLGLKRLKHAGPTKDRLKRHRSDLIVLDYDRTQNLIGKEKSKWLTMQQTGIQSELKKGDSTSSNPLHQPTNEVMNSSILKMEEHRAMKPRRDKHQSAET >KGN63464 pep chromosome:ASM407v2:1:199481:201164:-1 gene:Csa_1G001360 transcript:KGN63464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSGKSGFQLNVQQHKPSLITPAEETPKGLYFLSNLDQNIAVIVRTIYSFKSESRGNEDAAQVIKDALSQVLVHYYPLAGRLTISSDKKLIVDCTAEGAVFVEAEADCSIEDIGDITKPDPDTLGKLVYDIPGATNILQMPPLVAQVTKFKCGGFVVGLCMNHCMFDGIGAMEFVNSWGETARGLPLTVPPFLDRSILKAREPPVIEFSHGEFAEIEDVSNTFKLYGDEEMHYRSFCFEPEKLNKLKQIALEDGVLKKCTTFEALSGFVWKARTEALRMKPDQQTKLLFAVDGRSRFKPTIPKGYSGNAIVLTNSICSAGELLENPLSYGVGLVQKAVEMITDSYMRSAIDFFETTRSRPSLAATLLITTWSRLGFHTTDFGWGEPVFSGPVALPEKEVILFLSHGKERKSINVLLGLPASAMEIFQQAMKF >KGN64011 pep chromosome:ASM407v2:1:3602044:3605237:1 gene:Csa_1G033300 transcript:KGN64011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSPSGKPALYEGERSNIEEVITKFAELTPQERAKRKMESLEVLKKTFKKLDHDVNIDDFVGSSSQDFEELTNEASLLRDQIGETHKRLSYWRNPDSINNVDQLQQMEDLLRESLNQTRLHKENLRRHQLLSQDFTGQYSCAGMSLPLLMEEMQGTQPLLWLANYGTQQIPLPNEPSFLQPGDVECSFPSYPSFFNPGKQIEAGISGQVDSMPQGDGALNELSGTSCSTLQLSDQYPYPTCDGSNFQDEKRLKMEMEMNLHAACVDTQLNDRLELSRSLYDDNQHPWASIPGPCSIPMYQSNEYHHVGSLLTGPSKT >KGN66032 pep chromosome:ASM407v2:1:20747675:20749729:-1 gene:Csa_1G569100 transcript:KGN66032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDTIFRRVSKEFDRKLLSSYTDQFLLSLSSSAIQRNNHNNEETTKFSLCFLNQIPSTIFTNNEIETGNADALQVALIDVKNSNAILSNGLLSIAQIELLGKNVSNKSWNAMVTNAMECVPLVKSFAPSFKRQDLLEYEGMGSEGFEENLEVSEQRNSSDWNKILETTFEEWEPMQEASTSYKYNP >KGN65171 pep chromosome:ASM407v2:1:12573914:12574672:-1 gene:Csa_1G256790 transcript:KGN65171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLVWPWTGPAASAKLAPYLVGIVVQFAFEQYARCKKSCSWPVIQIVFQVYRLHQLNITAQLVTTLSFTIKGAEMTPNNLAINSSLGTLLNVLQCLGIICIWSLSSFLMRFFPSNAATVHRIERFQNILLYNSKLKCMLIWEFVDDVMIKGE >KGN65510 pep chromosome:ASM407v2:1:15855919:15859848:-1 gene:Csa_1G433090 transcript:KGN65510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYVTAIGSSAPRKLVAGIEASHPCNQNPSSLPTRFPFKLKLFLLSQTKFTNLLVEFHSNPLHTLNILPFARLSCSVSALFAWDSWRWAAQFISYQLFFLCLVAQAHDYPSPSVRYPCEDVNMYYANVAQFKGELLKRKLNSIVAAHHSLSYKEVWDAIKILDAADVDNPEASSAIVEIYSQRIVPKSLAGKPEGWNREHLWPRSYGLRRGPSLTDLHNIHPADTNVNSSRGNKYFGECQVKSPECLKPASKEAASDTESDKEKWAPPKHVRGDIARAVMYMAVSYGFQLSDSPNKGNNEMGLLSTLLKWNKGDPPSREEKLRNDRICKFYQHNRNPFIDHPEYAQLIWKQISPIRESSKFLKGKQ >KGN63702 pep chromosome:ASM407v2:1:1643858:1645938:-1 gene:Csa_1G011540 transcript:KGN63702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFRPKYEGMERTWESGDQQAAAIEDHGHCHGKVLPQMPSTKHSWSESEDTLESIVHSSLSRKRTRSNPECWKDETLMTEASLESHRTFKSKNSIQDLALEHDGSEKEYNMKGKTDGSCSNRRTRTAAINHNQYERRRRDRINQRMKDLQKLVPNGSKTDRASLLDDTIQYLKQLQAQVQFMDSIRSAVPQMVMPLGIQQQQLQMSLLAARMGLLGAASMASSSSSFPCAATFPQIQLPSIVSTTKPKSKLSTRAFVPPTDPFCTFLAQSMDMDFYSKMVTLYCQEVNRTPQQTSKLMQSQRIGGDKEDMHQS >KGN63970 pep chromosome:ASM407v2:1:3427507:3428852:1 gene:Csa_1G031910 transcript:KGN63970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTTTQQLSVLSLISFILLISTAVSYSEAHGLNMNAIDRCWRSNPYWRKNRQQLATCSVGFAGKMTNNMGRNLMHYQVTDPSDDPINPRPGTLRYGATMIKHKVWITFKKDMHIVLQKPLLVSSFTAIDGRGSTVHITGNACLMVVRATNIIIHGLIIHHCKSQAAGQVMGPNAKVISLGNVDGDAIRLVTASKVWIDHNTLYRCEDGLLDVTRGSTDITISNNWFRDQDKVILLGHDDGYVRDRSMRVTVLYNHFGPNCNQRMPRIRYGYAHVANNLYQGWTQYAIGGSMNPSVKSEANLFIASKSKQVIWSTGKVEEAKWKFHSVRDAFENGASFAEIGVGKGRVKPNYNPQQRFPVADAKWIRALTSSSGALRCTSNSKC >KGN65818 pep chromosome:ASM407v2:1:18765620:18765929:-1 gene:Csa_1G532260 transcript:KGN65818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYSKARLSLALFLLLSFALVASARIIPHSENQEAAYVINDYPDPGANPRHRPPPPSRHSSEVSVVKSRLIKNKP >KGN64464 pep chromosome:ASM407v2:1:6278546:6279190:1 gene:Csa_1G057000 transcript:KGN64464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLVSRISHLLPNVFANTIRSSTVALNPLNRNGLHRNYAQPSRIEEEEEEVEIDQRRLPADYDPANFDPTEHRSPPTDRVFRLVDEISGLTLVEVAEMSSILMKKLGMTEMPVAGYMKPGAVGLAGMVKKGSSATAKEEKKAEKTVYELKLESYEASAKIKIIKEVRSLTDLGLKEAKDLVDKAPSVLKKGLSKEEGEQIVEKMKALGAKVILE >KGN65319 pep chromosome:ASM407v2:1:13758097:13760519:-1 gene:Csa_1G320370 transcript:KGN65319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTRHLNFKSKQRFPTFNFFRSFRHDHNLFDQSPPPNAASFNRVLLNYLPRDGAFQSLRFFKNNFRWGLDGNADEFTLVLALKACCGFPKLGRQIHGFVISSGFVSHITVSNSLMNMYCKSGQLERAFSVFQNLHDPDIVSWNTILSGFEKSENALSFALRMNLNGVKFDSVTYTTALSFCLDGEEFLFGWQLHTLALKCGFKGDVFVGNALVTMYSRWEHLVDARKVFDEMPSRDRVSWSAMITGYAQEGDNGLQAIFVFVQNGIHCVAVKTGHETHTSVGNVLISTYSKCEIIEDAKAVFELINDRNVISWTTMISLYEEGAVSLFNKMRLDGVYPNDVTFIGLLHAITIRNMVEQGLMNLSVGNSLITMYAKFEFMQDASRVFIELPYREIISWNALISGYAQNALCQEALEAFLYAIMEYKPNEYTFGSVLNAISAGEDISLKHGQRCHSHLIKVGLNVDPIISGALLDMYAKRGSIQESQRVFNETSKESQFAWTALISGYAQHGDYESVIKLFEEMEKERIKPDAVIFLSVLTACSRNRMVDMGRQFFNMMIKDHMIEPEGEHYSCMVDMLGRAGRLEEAEEILARIPGGPGVSALQSLLGACRTHGNVEMAERIANDLMKKEPLESGPYVLMSNLYAQKGDWEKVAKVRKEMRERGVMKEIGFSWVDVGNFGASNLYLHGFSSGDVSHPQSEEIFRMAKYMGAEMKFLKDRERECHISVIGELNLTDLFVLDG >KGN64602 pep chromosome:ASM407v2:1:7124699:7127292:-1 gene:Csa_1G071160 transcript:KGN64602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLISICLFFWTTTALFPRKSLAIDSIKAGESISASAQILVSAQQKFVLGIFNPEGSKFKYLGIWYKNIPQRTIVWVANRDNPFVSSSAKLTFNEEGNVILVDETDGVLWSSTSSIYVKEPVAQLLDNGNLVLGESGSENYVWQSFDYVSDTLLPGMKLGRDLKAGMTWKLTSWKNQNDPSSGDFTYVMDPGGLPQLEIHRGNVTTYRSGPWLGSRFSGGYYLRETAIITPRFVNNSDEAFYSYESAKNLTVRYTLNAEGYFNLFYWNDDGNYWQSLFKSPGDACDDYRLCGNFGICTFSVIAICDCIPGFQPKSPDDWEKQGTAGGCVRRDNKTCKNGEGFKRISNVKLPDSSAKNLVKVNTSIQDCTAACLSDCSCLAYGRMEFSTGDNGCIIWFERLVDMKMLPQYGQDIYVRLAASELESPKRKQLIVGLSVSVASLISFLIFVACFIYWRKRRRVEGNEVEAQEDEVELPLYDFAKIETATNYFSFSNKIGEGGFGPVYKGMIPLGQEIAVKRLAEDDKKRSLLSWKKRMDIIIGIARGLLYLHRDSRLIVIHRDLKVSNILLDNEMNPKISDFGMARMFGEDQTMTQTKRVVGTYFLT >KGN64880 pep chromosome:ASM407v2:1:9652326:9659578:-1 gene:Csa_1G144330 transcript:KGN64880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAWTCFDDINTEKLRIAAKANGIETDLFYFDPKSINWDDYFMNIHIPGVVREPLTQTSANSNLLIKTSSSKSYLPIKFEPLYHRFTISKKEKTSDCSCRWRQRETIVTFPDFKQASFTAADNSTIGVLTINTSIFGTMCIAAFVWQSHSLYSLILFQNRDEYHNRPTKPMSWWEDNEIAGGRDEVGGGTWLGCSRKGKVAFVTNVLEPHEIPDAKSRGHLPLLFLQSTKSPKEFAEEIKAEAYQYNGFNLIVADISTKTMIYISNRTKGDHILIQEVPPGLHVLSNAELDTAWHKVQRLRLKFNEQLCIYAEGEIPEKEMVERLMRDHVKADESKLPRISSPDWEYNTSSVFVQFQTPLGCFGTRSTSVLTITKTGMVHFYETYLETGTWKEKALSYFIE >KGN66587 pep chromosome:ASM407v2:1:25303892:25323839:-1 gene:Csa_1G633380 transcript:KGN66587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPETSLELVKHGVTVLLLDVPQYTLLGIDTQMFSVGPSFKGIKMIPPGPHFLYYSSSSRDGREFSPITGFFVDAGPSEVIVRRWDQREERLVKVLEEEEGQFREAIRRLEFDRQLGPYNLGQYGEWKRMSNHINSTTIKRLEPIGGDITVVCEPGISQSTSKSAVEKVLDDQLKGSKFATPVDSSQSRGCYYAKIPHVIKQRGVHGQELTYLNLDKTLLLENQLKKYFGGSEDLLLGELQFAFVVFLMGQSLEGFLQWKSLVTLLFECREAPFCTRSQLFTKWILLLTSCCSLDKVSGKSHVYPSTEVVETQSSVLLSSVRVWFDFQPLHGVLFLISFVDILFQFIKVIYHQLKFGLEKDRSNDKAGSSSILLDESWFSADSFLHHLCKDFFSLVLEAPVVDGDLLTWTRKLKELLENRLGWKFQNIAIDGISFDEDDEFAPVVVRMDDSSSS >KGN66438 pep chromosome:ASM407v2:1:23726219:23731982:1 gene:Csa_1G605670 transcript:KGN66438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEASSSLLSHIKNPILAEEVSDSNFTYYAIPEAKRPRLDNDLNDVMAVGKFKGVVPQQNGRWGAQIYANHQRIWLGTFKTEKDAAMAYDSASIKLRTRDSHRNFPWTRRTIEEPNFQIKFSTDAVLSMIKDGSYYSKFSAYLRTRSQIHDTNIQNPKKIDNGDGDSLFSCSHLFQKELTPSDVGKLNRLVIPKKYAVKHFPYISESAEENGDDIEIVFYDTSMKIWKFRYCYWRSSQSFVFTRGWNRFVKEKKLKANDIITFYTYESCGREENGGSLNFIDVIYKKPEDDQSESSCLAAEKESVKNEKILEREKQNEEKDYEKMKKKELLSFELNHNDNKVGEKRIRLFGRIAFSKMSTTTAPNLQMATLGSYKLSSPRGFKGIVTPSLGGHLKAMPWAKLWSVYNVSSLKYFHLNSNTSSSTRFGKIAIKARSGSSENGPIAGLPVDLKGKRAFIAGVADDNGYGWAIAKSLAAAGAEIIVGTWVPALNIFESSLRRGKFDESRVLPDGSLMEISKIYPLDAVFDNPGDVPEDIKTNKRYAGSSNWTVQEVAESVKKDFGTIDILVHSLANGPEVSKPLLETSRKGYLAALSASSYSYISLLKHFVPIMNPGGSSISLTYIASERIIPGYGGGMSSAKAALESDTRVLAFEAGRKNKIRVNTISAGPLGSRAAKAIGFIDKMINYSLANAPLQKELSAEEVGNAAAFLVSPLASAITGSVIYVDNGLNAMGVGLDSPILDGL >KGN66224 pep chromosome:ASM407v2:1:22026016:22027545:1 gene:Csa_1G586860 transcript:KGN66224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKMFLKDELLFVLGVPWTEEEHRKFLIGLEKLGRGDWRGISKNYVTTRTPTQVASHAQKYFLRQSTLNKKNRRSSLFDMVGTAYETTTIALSQCLKISTNSQNDDDHNNHIIIDHFNKKEVMMSSNITTTFASSSQQLPYNNNNNNNMEVINNKNNNNNNQISSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSLWVYGLINSHLKSPPNLYNNYSKYSTPPKYPIISSSSSSNDHQPHLELTLASPMASNLELEQNKNPPTISVT >KGN65735 pep chromosome:ASM407v2:1:18182013:18183620:1 gene:Csa_1G523100 transcript:KGN65735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSSGRQEPVFRSLFRLHLVVFFAGISFSGDEDLKFVLFQELICILTL >KGN63536 pep chromosome:ASM407v2:1:596403:596741:1 gene:Csa_1G003535 transcript:KGN63536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSVVHSFGETVPCSLEFLGHSVNQAQIWIVTSSDGPQKNAAILQRGLVWPIHHKLIKLDSLTHHLALLKLPGDLYVSVDVNFMISMLHFFNHAPKSLDGVIPSKNPSNCIV >KGN63538 pep chromosome:ASM407v2:1:612649:613677:1 gene:Csa_1G004040 transcript:KGN63538 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MNTFLLHLLPPSLQLSLYSISFTAFILLLIFLLQKRLLSSSQSSPPSPPAKIPIFGHLLSLGSLPHLTLQNYARLHGPLFLLRLGSVPTLVVSSSELARDIMKTHDLIFANRPKSSISDKLLYGSRDVAASPYGEYWRQMKSVCVLHMLSNKRVQSFRCVREEEVKLMIEKIEQNPVGVNLTEILSGLTNDVVCRVGLGRKYRVGEDGVKFMSLLKKFGELLGSFSVRDFIPWLGWIDWISGLDGKANRIAKELDEFFDRVIEDHMNPENKEMRNFDEQKDLVDVLLWIQRENSIGFPLEMESIKALILVRKCLFPFYPFNKTCIYIPSIRFSSDSQIKLMD >KGN63695 pep chromosome:ASM407v2:1:1590325:1599954:-1 gene:Csa_1G011470 transcript:KGN63695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFRRFFYRKPPDRLLEISERVYVFDCCFSTEVLEEEEYKVYLDGIVAQLQSHFPDASFMVFNFREGGRRSQISDVLTQYDMTVMDYPRQYEGCPLLPLEMIHHFLRSSESWLSLEGQQNVLLMHCERGGWPVLAFMLAGLLLYRKQYSGEQKTLEMVYKQAPKELLHLLSSLNPQPSQLRYLQYISRRNLGSDWPPSDTPLILDCLILRVLPLFDGGKGCRPVVRIYGQDPSAPANRTSKLLFSTPIKRKHIRNYLQAECMLVKIDIHCHVQGDVVLECIHMDEDLVHEEMMFRVMFHTAFVRSNIMILNRDEVDVLWDARDQYPKDFRVEALFLDADAVVPNLTASFDDEDGNETGAASPEEFFEVEEIFSNVMDGQEAKGSNDPHVVNHVNRKEEWKEDFDPPAFQDCASDDGNLKHYKKSDFDAVKDITVDDVKYKLDENIYSELNAVKDIAVDDGEMNSNSFLVATNVPTHVKVQGLVDDAYEKFEDMEEKDDGRDTSPEKLENKVLQKKLSADGSRQKSEKLQTPIPKKQPVSSGKPTNDMGLTKQKVKQQETQGFSAKQAKPNAVSRWIPPNKGSYMNSMHVSYPPSRYNSAPAALASIASSKDVNANSKTKATATLDSLVSSDVFTERKNYKVDTVRPSHSAPGNLMHGPSSPVESIGETPSSSEILKPSHSDVQLEVPPPPPVPTKPPPPPPPPPPPPSPPPTSFHHIGTTYSALPLSVSLAPPPIFNSPKAVDTPPTPAPAPVPPLPSSRQNSVFPHSSTQPSWEKIYSSVDTGKVTGSIPLPLPSSVDMLSTLKNIARTSLLASSHKEISLSPPPHPPPPPSMKHEALIPPPPPPPPIISGHVPPPPPPPTPPSMYGASLPPPPPPPPSMYGASPPPPPPPPPPPSTCGASPPPPPPPPPSMYGALHPPPPSPPPSMYGSPSPPPPPPPLPSSMFGATSPLTPIMRGIPSPPPPPSMCGAPPPPPPPPPPPMHQASPPSTPMRGAPPPPPPPPPPMPGAPPPSPPPISRGAPPPPPPPPMYGAPPPPPPPPPMHGPPPPPPPPGGGRAPPPPPPPPPPMRGAPPPPPPPPPPMHGAPPPPPPPPMHGPPPPPPPPGGGRAPPPPPPPGGGRAPPPPPPPGGGGAPAPPPPPGGGRGAPGPPRPPGVGPPPPPPLGARGAAPPDPRGLSSGRGRGLSRSTATAPRRSSLKPLHWSKVTRALQGSLWEELQRYGEPQIAPEFDVSELETLFSATVPKPAEKSGGRRKSVGSKTDKVHLIDLRRANNTEIMLTKVKMPLPDMMAAVLAMDESVLDVDQVENLIKFCPTKEEMELLKGYTGDMDNLGKCEQYFLELMKVPRVESKMRVFSFKIQFGSQIVEFKKSLNTVNSACDEVRNSTKLKEIMKKILYLGNTLNQGTARASPLHLALPFFHPPKYSPFWLESFHILLAMNLEPDATCINVVTLEGSGGQSKYVAVRDERES >KGN63614 pep chromosome:ASM407v2:1:1151555:1154643:1 gene:Csa_1G005750 transcript:KGN63614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHNFAGNVEMYGILDSIASGPIEARSSPYSTMVGVGMSMEGIEQNPVVYDLMSEMAFQHNKVDVKKWLPQYSVRRYGHLVPSIQDAWDVLYHTVYNCTDGANDKNRDVIVAFPDVDPSAILVLPEGSNRHGNLDSSVDRLQDATFDRPHLWYPTSEVISALKLFIAGGDQLSSSNTYRYDLVDLTRQALAKYSNELFFRIVKAYQLHDVQTMASLSQEFLELVNDIDTLLACHEGFLLGPWLQSAKQLARSEEEEKQYEWNARTQITMWFDNTEEEASLLRDYVLEWTLGRLLLSSSCNILEVLERKFGEWIQISIE >KGN66407 pep chromosome:ASM407v2:1:23325326:23333544:-1 gene:Csa_1G600970 transcript:KGN66407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRPGYVDERPMGRFMGRSSGGYQDWDSNRGGHGDALNPGGGQREGLMTYKQFMQELEDDILPAEAERRYQEYKSEYITTQKQAFFDSHKDEEWLRDKYHPTNLVTVIERRNELAQRTAKDFLLDLQSGTLDLGPGISAAATNKSGQASEPNSDDEADNDGKRRRHGRGPAKEMDLLSAAPKAHPVSSEPRRIQIDIGQAQALVRKLDSEKGIEENILSGSDNDKLGRDKAHGGSSGPVIIIRGLTTVKGLEGVELLDTLLTYLWRIHGIDYYGMVETREAKGLRHVREEGKSSNMAGGADEWEKKLDLRWQERLRGQDLLEVMTAKDKIEAAALEAFDPYVRKIRDEKYGWKYGCGAKGCTKLFHAPEFVHKHLNLKHPELVMELTSKVREELYFQNYMNDTDAPGGTPVMQQSLPKDKPQRRRMNLDGRLKDDRGNRRDRDNWANGGDRFDRSENPQSSDFPSANDGTDGGNIDDPMFDSFGGQGRHVAPFASEIPPPVLMPVPGAGPLGPFVPAPPEVAMRMLREQGGPPPFEGGGRNGRPGPQLGGSAPILALSSGFRQDPRRIRSYQDLDAPEDEVTVIDYRSL >KGN63511 pep chromosome:ASM407v2:1:497568:499103:-1 gene:Csa_1G002800 transcript:KGN63511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLDGDGGSFFSTDFTSVTKEDEDTMGDSGAAHWLSLLDDTTASSRWVISFSDEFRHKRLKIETESTPTEDAEAVEEAAAAIINAEESAAEEDASADGMRLLHLLVACAEAVACRDRSHASILLSELRANALVFGSSFQRVASCFVQGLADRLALVQPLGYVGFGLPIMSRVDHSSDRKKKDEALNLAYEIYPHIQFGHFVANSSILEVFEGENSVHVLDLGMAFGLPYGHQWHSLIERLAESSNRRLLRVTGIGLSVNRYRVMGEKLKAHAEGVGVQVEVLAVEGNLENLRPQDIKLHDGEALVITSIFQMHCVVKESRGALTSVLRMIYDLSPKALVLVEQDSNHNGPFFLGRFMEALHYYSAIFDSLDAMLPKYDTRRAKIEQFYFAEEIKNIVSCEGMARVERHERVDQWRRRMSRAGFQASPIKVMAQAKQWIGKFKANEGYTIVEEKGCLVLGWKSKPIVAASCWKC >KGN64301 pep chromosome:ASM407v2:1:5238473:5240296:1 gene:Csa_1G045990 transcript:KGN64301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNLPDSVVLCFSELHLTFQKILFLFEDCSRSNAKIWMLMKSQFVATQFWVLIRALATALDVLPLSRIDTSDEVKELVELVAKQARIAKFGLDKDDELTVKRLQSILLQFDKGIEPDLTAIKRVLNYLEIRRWSDCNKEIKFLQEEIDFQYSDLKERDVQILSSLVGFMSYSRVTLFEALDFRDKNQAEFKCNPEILSCLNPDDFRCPISLELMIDPVTVSTGQTYDRASIQKWLSAGNFICPKTGERLTSLELVPNSSVKKLINQFCADNGISLAKFNVRSHDITRTIIPGSLAAAEAIKFTSEFLLRRLVFGTSTEKNKAAYEIRLLAKSNIFNRSCLIKAGAIPPLLNLLSSFDKSTQENAIAAILKLSKHSTGKILVMENGGLPPILSVLKSGFCLESRQLAAATLFYLSSVKEYRKLIGEIPDAIAGLIDLVKEGTTCGKKNAVVAIFGLLLCPKNNKTVLNSGAVPILLDIIATSNNSELISDSLAVIAALAESTEGTNAILQASALPLLIKTLNSESTLAGKEYCVSTLRSLCSHGGEEVVAALADDRTIAGSLYSVVTEGNAAAGKKARWLLKILHKFRENDVAVNTAADQERSVDVW >KGN65890 pep chromosome:ASM407v2:1:19388569:19390841:-1 gene:Csa_1G537400 transcript:KGN65890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQHLPPESDALNSNSSQNSMSSESCSSFSRLSFDAAIDLPTSRSSPASLHLKPHRSSDFAYSAIRRRKSKLTFRDFRLLRRIGAGDIGTVYLCQLRNLSKGCCYEDEDDEDDDVDDEDDDDDDVSCLYAMKVVDKDALELKKKVQRAEMERKILKMLDHPFLPTLYAEFEASHFSCIVMEFCSGGDLHSLRHKQPRKRFSLTSARFYAAEVLVALEYLHMLGIIYRDLKPENVLVRSDGHIMLSDFDLSLCSDATPAVESPNSSPNTAFHESTAYPKTNVAVSAASAAPFSCLYNRLFRSRKVQTLSPNWLFVAEPVSARSCSFVGTHEYVSPEVAAGGSHGNAVDWWAFGIFLYELIYGRTPFAASSNETTLRSIIKKPLTFPTAVPSGALEHHARDLISGLLNKDPTRRLGSKRGSADIKKHPFFKGLNFALIRSLTPPEIPGVRRRKATPAPVAKTKDQKSGQSTGFDYF >KGN65008 pep chromosome:ASM407v2:1:10859280:10869937:-1 gene:Csa_1G178240 transcript:KGN65008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCRDFGGANFQLPVVCDFGVFLLLLQQKRTNKANLPKENLSRGSVGFSSRRSTMPMEDEAQIMLISPADPKGSPVKDQQAAGVGILLQIMMLVLSFVLGHVLRRHRLYFLPEASASLLIGLIVGGLANISNTETNIRAWFNFHEEFFFLFLLPPIIFQSGFSLSPKPFFSNFGAIVTFAILGTFIASVVTGGLVYLGGLAFLMYRLPFVECLMFGALISATDPVTVLSIFQELGTDVNLYALVFGESVLNDAMAISLYRTMSLVRSHAYSGKNFFFVIVRFLETFVGSLSAGVGVGFTSALLFKYAGLDIDNLQNLECCLFVLFPYFSYMLAEGFGLSGIVSILFTGMVMKHYTYSNLSQSSQQFVAEFFHLISSLAETFIFIYMGLDIAMEQHSWSHVGFIFFSILFIGVARAANVFSCAYLVNLVRPANRKIPSNHQKALWYSGLRGAMAFALALQSIHDLPDGHGQTIFTATTAIVVLTVLLIGGSTGTMLEALQVVGDSDDHEYSLTEVSFMNSVFLVL >KGN64023 pep chromosome:ASM407v2:1:3649948:3658263:-1 gene:Csa_1G038900 transcript:KGN64023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFRKLFYRKPPDRLLEIAERVYVFDCCFSNEVLEEDEYKVYLASIIPKLQDHFPDASFMVFNFSERKRKTKTSDILSQYGMTVMEYPLQYEGCSLLPLEMIHHFIRSSESWLSLERQKNVLLMNCERGGWPILAFMLSAILLYRKQYEGEQKTLEMVYRQAPRELFQVLSPVNSQPSQMRYLQYISRRNLGSDWPPPDAPLILDCLILRELPMLNGGKGWRPIIRIYGQDPLTPRNRSPKLVFSSAKMEGHGRQYLQVASRLVKVDVHCHVQGDVVLECIRLNGDLLREEVIFRIMFHTAFVHSNSLKLNRDEVDVLWDARDQFPKDFRAEVIFRDADHVVPNHSTTARSDDKIEIESNSTEEFFEVEEIFSNTVDVQEVKKDYDVQMVHTNETDYIDHQTVWKEDADPPTFQRCKSFVGSQNFDKKMDCNIEAVKDITVDDITFKTAEKVDSGLQVVKDIVVDYGDKKPNPLLFSVNVLRRMAIKELIDDAYDKLEGVQHKGYGEDTAIAHLESKLPLKKLEADAWRVEYEKLQSASRKQPSSTVKLTNHTTVAKQKTKQPEDQNFIVKQAKPNTLSRWISHEKESCTNSMHLFYPSTRQTVASPTSISSPTKDSYSYSTSKPAAASAISGLLLSETADEQKSNKTTPKKPLSSAAEILTSKPQSPLGSPRPLPNTVLHQDPTLPLSPTTLLQPPALQANTSFFQASSPKSSLSPSSYFHKNARSPPPAPPPPPPPPSNHAAPKSSLLVCGNKPKQNAPPAPPPPPIRRAHPQLPLPRPLPSHGALLSPRLSDAGALPPPPPPPPPIQRTAPPHLTQGQPALTATTCVVTSLPSPICEASSPPQPTTSPLPMVPSSSQPSGGVSPHLGAKGVSSSTDMKTAPTVRGRGFLRSMGIGVATPGPQRSSLKPLHWSKVTRVLQGSLWEELQRCGESESVPEFDVSELETLFSVIVPKPMVDSGGKSGGRRKSVGSKLDKVHLIDLRRANNTEIMLTKVRMPLSDMMAAVLSMDESVLDVDQVENLIKFCPTKEEMELLKGYSGDKDKLGKCEQYFLEMMQVPRVESKLRVFSFKIHFSSQIVEFKKSLNTVNSVCQEVKSSRKLKEILKKILCLGNMLNQGTARGSAIGFRLDSLSKLTDTRASNNKLTLMHYLCKVLASKSPSLLDFHLDLGSLEAASKIQLKSLAEEMQAITKGLEKVKQELIASKSDGPISEIFHKILEGFVTLAEREVESVTVLYSVAGRNADALALYFGEDPARCPFEQVTGTLFHFTRSFLKAHEENCKQLEMEMRKLRK >KGN63666 pep chromosome:ASM407v2:1:1449518:1450591:1 gene:Csa_1G009700 transcript:KGN63666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPPCCSQTIAVKKGPWTPEEDIILVSYIHQHGPGNWRSVPNNTGLLRCSKSCRLRWTNYLRPGIKRGGFSDDEENMIVHLQALLGNRWAAIASYLPQRTDNDIKNYWNTHLKKKLKLGFDDDQSPQSPPPHHHHDLQKNGNFSKGQWERRLQTDIQTAKQALREALSLTTKKDFIQNKLLLLSSSPPNKNNNKQFHISSSSSSPSTSSSSSSSTYVSNAENIAELLKNWTKNSPKKAMARSRNSESSSSCQNNNNNNNTNCSNLWVEEEISYYSNNNNNNVQEGGVPFNLLEKWLFDEAAPTPQYHPQYQNDHFLNLSLQDSQTPFSFN >KGN65960 pep chromosome:ASM407v2:1:20106889:20109116:-1 gene:Csa_1G542510 transcript:KGN65960 gene_biotype:protein_coding transcript_biotype:protein_coding description:phloem protein MVCIETEARESLQIQESYGHCLTYILPKTLNVTVHWPTYAKLYQQLIAGITLNKGTKLYWFDKKGKGNRYVLLPKSLTIIWIDDCQYWKWIPGEIYGKKIDVAQLIKVCWLDISGKLKQYALSSGVLYEVLCHVCVTPCASGWQEPVIFVITLPDGKKIETKESLQCKPRDVWFTIKIGEFKVDKHDCNSDKEYEFRVYNHSSQWKTGLKFKGFEIRPKQSSCGC >KGN65879 pep chromosome:ASM407v2:1:19268668:19270900:1 gene:Csa_1G536320 transcript:KGN65879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWCVGFNDKEIYVSFVVFKPMVLVVDDFVEALKQFSFSTNNLGCIQNCVLKSIHGNMIIWCGIWTKKLIQNPQLLSETLLQTMISKTSNMATLLDLSFFEAYGGESMDGSCVAKFTSKSIISMISIAAKCGDINDLSYACLAIFKSRFRKIEGVNSGICLKSQNRPTVVSLHVWNSPFYCYSWILNSDHLNSMLPYLDHFSLCIKYDIYQVVNISDANVPNFKDLDNDEERGNKCKSFDVK >KGN63440 pep chromosome:ASM407v2:1:97221:98905:1 gene:Csa_1G000640 transcript:KGN63440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASISASSLSFHLPPKPHYKLNQEDGGTDRNSMFLDSASNRLSLKSSFISPLRKIPSLRKQNSVVAAASPKFSMRVASKQAYICRDCGYIYNDRTPFDKLPDKYFCPVCGAPKRRFRPYEQTVSKNDNEFDVRKARKAQIQKDEAIGKVLPIAAALGIVALVGLYLYLNSAF >KGN65816 pep chromosome:ASM407v2:1:18756085:18756419:-1 gene:Csa_1G532240 transcript:KGN65816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYSKARLALFLLLSFALATSARIIPHSENQEATYIMNDYSDPGANPRHDPFPPPLEHSFEVNAVRGRHITKNP >KGN63770 pep chromosome:ASM407v2:1:2049671:2050903:-1 gene:Csa_1G015640 transcript:KGN63770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSSKILVISMAILVAVCSTEALDPNVTRIQFYMHDIVSGPNPTAIQVAGRQTNYAGTDPIAAMFGSVFMMDNPLTVTPELNSTLIGRAQGIYAMSAQQNEFSLLMTLTFGMTGGQYNGSSFSVVGRNPIMNEVREMPVVGGTGIFRLVRGYCLARTFSFRNMDAVIGYNVTLIHDLYDYSR >KGN63771 pep chromosome:ASM407v2:1:2052166:2061925:1 gene:Csa_1G015650 transcript:KGN63771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESEREISIKTLSGQSLTVSVSGNSTIDDIKLLLRRNFPSAIISPNFHLFFKGTKLKAQSQISACCIDHGEFLVLIPFNKKESSKSRLRDQYELESSVSSGTSISQFADSAWSDMVQDLSYLHGCSVEGREATVPESERGSSEVGGVDAELEASCSTSFLSSKAKGKVGFGYDGLNGSLDDVLRNFSLSPTEGFLNEQTGESFIKFLESVDCLTDPRNGSCMLAKQANSRSGNKKALNSTRGSSCICPIWLKKIMKAFSFLNVFSMFLQLQEEIMTVSRLEQATDQLQKRRLMFCMEDIHNLSRLCPKAVHFASGRLEDTRVDKLIIIIYLTEKNGRPKEDIDNTVSMDVNPLKRRERSFKLYLWEAIKCHMLKHGSGREMCVPFSLEAVITSNETDVDGSETKRAKKSDTASSSSQSDRIRCHDTLKLLPEDMVEHLGKSVGPEGQIVHINDILARKANYVEIPKELSNSVVSALKCIGVAKLYSHQARSIEASLAGKHVAVATMTSSGKSLCYNLPVLEAMSQNVSSCALYLFPTKALAQDQLRSLLVMMKGFNHNLNIGVYDGDTSLADRILLRDNARLLITNPDMLHVSILPHHRQFGRILSNLRFIVIDEAHTYKGAFGCHTALILRRLRRLCSHVYGSDPSFIFCTATSANPREHCMELGNLSSLELIDNDGSPSARKLFLLWNPVMALKSYQRGIDSPQSTKKNISFKNPSPIMDIARLFAEMVQHGLRCIAFCKTRKLCELVLCYTREILKESAPHLVQSVCAYRAGYTAEDRRRIESDFFGGNLCGVAATNALELGIDVGHIDATLHLGFPGSIASLWQQAGRAGRREKTSLSVYVAFEGPLDQYFMKHPEKLFGSPIECCHIDAENQQVLEQHLLCAAYEHPVCLAYDQKFFGPGLNNALMSLKNRGDLIPEPSCGSSKSIWNYIGQKKMPSCSVSIRAIEAERYKVVDQRRNEVLEEIEESKAFFQVYEGAVYMHQGRTYLVKSLNLSTMLAFCEEADLKYYTKTRDYTDIHVIGGNLAYPRRAPNIPPSKTTAQANDCRVTTTWFGFYRIQKGSNQILDSVDLSLPKYSYNSQAVWIPVPQSVKEEVKRKNFNFRAGLHGASHALLNVVPLRIICNMSDLAPECANPHDTRYFPERILLYDQHPGGTGMSLQIQPVFIELLNAAFELLTSCRCYGETGCPNCVQSLACHEYNEVLHKDAASLIIKGVLDAEKAYCR >KGN64765 pep chromosome:ASM407v2:1:8399505:8401835:1 gene:Csa_1G095020 transcript:KGN64765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVLPKMVEISRTSKKKRFLNFNIINHRKNTLICFKSSKYLLLSTCFSFYVFTSFLLTHNNSPNHPNLIHHLSNSKPFPSKALVESTFDYYGNTNDLKVFVYDLPPEFNANWLSDARCGGHLFASEVAIHKALLTSHVRTLDPSEADFFFVPVYVSCNFSSFNGFPAIAHAPSLLASAVDVISGQFPFWNRSRGFDHVFVASHDYGACFHSLEDMAIANGIPEFLKNSIILQTFGVKYKHPCQDVENILIPPYISPEFMEPAVVDGRRRDIFAFFRGKMEVNPKNVGGRFYGKRVRTTIWKKFHRDRRFYLRRHRFAGYRSEIARSVFCLCPLGWAPWSPRLVESVALGCVPVIIADGIRLPFPSAVDWPGISLTVAEKDVGKLRKILERVAATNLTAIQKNLWDPKNRRALLFHNPTQPQDATWQVLSALAEKLDRSFRSLRVLNQ >KGN64934 pep chromosome:ASM407v2:1:10266066:10274359:-1 gene:Csa_1G164680 transcript:KGN64934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILCRHAPGFPIVQIAFQYTVVVPPEELSSSLSSSRTGHSLKRRLRIRTIQFGTAQNFNELYDSVEPEVVLSLLVHKVILASLEQGVREGRALLHDWLVILTAQYNDAYKLVHYKNGASGTSLVDVAFSQCPQLQSLPRLVFALLRNPLLRFHEEGVHPDYRIYLQCLFSALEPSSLHCAVYPVLTSYSTPDIQAYPRHSLSRAALITSGSPIFFLDAFTTLIVFYSSTADATLPFPPPQDCLLRSTINELKKDRCITPRLIFIRGGQDDATAFENYLIEEQDVDGSGLTSVMGFVSFLEDVKQSVLEYLK >KGN64215 pep chromosome:ASM407v2:1:4784696:4786582:-1 gene:Csa_1G043180 transcript:KGN64215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPQPEPVSYICGDCGMENTLKQGDVIQCRECGYRILYKKRTRRIVQYEAR >KGN63683 pep chromosome:ASM407v2:1:1521553:1523175:1 gene:Csa_1G009870 transcript:KGN63683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAGGVVNKQDHLELPPGFRFHPTDEELISHYLFEKVMDSSFCCRAIGDVDLNKSEPWDLPWKAKMGEKEWYFFCVRDRKYPTGLRTNRATEAGYWKATGKDKDIYRGKSLVGMKKTLVFYRGRAPKGEKSDWVMHEYRLEGKLSALNLPKTAKNEWVICRVFQKNSSGKKVDISGISKLGSFGNEFSHSILPPLTDSLPFNGETKRPVVQLANNSNVPCFSIAMDSQTKLEPMASSYNNNNNTNTSLFSIIPNPIDSFPRNPHSNSMYSPPNQTFSLPPPNLQFTNSLLLQEQQSLLRALIQNVNGGNTFKTEREKISISQETCLTTDLNNEISSVFPNLEMGRRPFGNSQQEAPPGPNMPPIDLDGFWNY >KGN66760 pep chromosome:ASM407v2:1:27362985:27384837:1 gene:Csa_1G680070 transcript:KGN66760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDFQDASGPPNLAQLQATMQAIELACGSIQMHINPSAAEATILSLRQSPHPYHTCQFILENSQVANARFQAAAAIRDAAIREWSFLTADVKRSLISFCLCYVMQHASSPERYVQAKVSAVAAQLMKRGWLDFEASEKEPFFYQINQSIHGVHGVDVQFCGVNFLESLVSEFSPSTSSAMGLPREFHEQCRRSLELNYLKTFYCWAKDAAVSVTNIIIDSQTEVPEVKVCTAALRLMFQILNWDFCNTGAKASISWYFAGVKDHGDTTKRTEYNLVQPGPAWHDVLISSGHISWLLNLYSALRQKFSCQVFWLDCPIAVSARKLIVQFCSLAGAIFHSGSWVLLLLWQYQ >KGN65383 pep chromosome:ASM407v2:1:14747562:14749146:1 gene:Csa_1G397130 transcript:KGN65383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSETLPESPQSDLTQINFDETELTLGLPGAEFRPTTDHKSNAKRCFHDTVDADVGSSTSKPRDSLDDEPPHGSSGNEEKRAVMGWPPVRSYRKRTIEMNSTTTTKYVKVGADGAPYLRDYLEGGSNLNPAVKRADEYLPTYEDKDGDWMLVGDVPWKLFIESCKRIRLMKGSDAIGTPSRTP >KGN63643 pep chromosome:ASM407v2:1:1307568:1317810:-1 gene:Csa_1G008490 transcript:KGN63643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKKKNTDPRQGVAGEGPSEATAAGKSSVSDKPSSQNQSRIDRVVVKESDEGLSYSAIKLECEKALTALRRGNHTKALRLMKELSSRDENSVHSALIHRVQGTLLVKVASIIDDPSTKQRHLKNAIESARKAVQLSPDSIEFSHFYANLLYEAANDAKEYEEVVQECERALVIENPIDPAKESLQDEQNQKIPTAEGRITHVQTELRQLIQKSSIYSISSWMKNLGNGEEKFRLIPIRRVTEDPMEVGMVQARRANEIKKATKTPEERRKQIEVRVAAARLMQQQSESPPMQDEGSKADRTTPDSSSGSVRTQDTSSGSVRTQDTPPGPVTRVVERRKHGGSVRKLGSSAERKNWVYSLWNSMSSESKKDVLKIKTNDLETHFSSLKDTSANEFISEALSFYDANKTWKFWVCCKCDKKFVNSESHMHHVAQEHLGNLLPKMQSMLPHNVDNDWSEMLLNCPWKPLDVSAATKMFTDQTKCKDSEFVEDMCPQRHSECDECIKDAWDFSPEKQDHENSLNESKLYEKINNSGYPIPDSFPVSDDSERAKLLEKIHAVFELLIKHKYLAASQLNKIIQFTMDELQGIVSGSHLLKQGLDQTPQCICFLGASQLRKILKFLQELSQSCGVGRYSDRSTDQIEDSKSDKQSVDVEERIVFNGDASLLLLNECLLSSKISHVSDQMPAASEVSSDVDPFLAWIYASPSSGDQLASWAKTKEEKKQGQTENFQSLEKEFYQLQNLCERKCEHLNYEEALQSVEDLCLEEGKKREVITEFIPKSYESILRKRREELIESENDAMYIGSRFELDALTNVLKEAEALNANQLGYGENFASVPSQLYDLESGEDEGWRAKDYLHQVDTCIEIAIKRQKEQLSIEISKIDGRIMRNVTGMQELELKLEPVSAHDYQSILLPLVNSYLRAHLEELAEIDVTKKSDAAREAFLAELERDSKKDSKGGSDNPKHAREKSKEKKKSKEFRKAKDSKLVSVREQNVPHDEVVDRDTFQVPSDGDVAEVDIAVSENSDALRLEEEEIRRKIELEADERKLEETLEYQRRIEKEAKQKHLAELQKKSAQTNLKKTVDPAVPENPIGLTPSVEGVHERFKPSVVDQVAENELVPDSSSTASASSGASNVENSDTSLRSSDRRKGRRGRRQKGVTKPVDGNQSSHSDKDNVAFDSQLIEQVRYHDSLPVDSVNPRSEDNSAKTLRQQHAEDDEKQFQADLKKAVLESLDAFQEKQNFPSSSTPSTSRGEVDSTDLPSNEHNAGNVQGADICGTGLKNEIGEYNCFLNVIIQSLWHLRRFRVEFLRRSKIEHVHVGDPCVVCALYDIFTALSMASADARREAVAPTSLRIALSTLCPDNKFFQEGQMNDASEVLAVIFDCLHQSLTTSLSISDTESVESNCMGSWDCASDTCLVHSIFGMDIFERMNCYSCGLESRHLKYTTFFHNINASALRTMKVMCTESSFDELLNVVEMNHQLACDLDVGGCGKLNYIHHFLAAPPHVFTTVLGWQNTCESADDITATLAALNTEIDISVLYRGLDPKSTHNLVSVVCYYGQHYHCFAYSHDKKCWIKYDDRTVKVIGGWLDVLTMCEKGHLQPQVLFFEAVN >KGN64937 pep chromosome:ASM407v2:1:10288889:10290591:1 gene:Csa_1G164710 transcript:KGN64937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGKSMFLRLNRYPNSYPISETHVFDHKKSAFLWVLMRHKSSGGMRPKRKIYYRVAELDKVMDLQKKPSLILKLINIIQSQKNKFILLRDLEKEVGFVQKWNFMAVIEKYSSIFYVGSGSSRVPPYVRLSNKAEMIASEEDKVKSAMEPILVKNLRKLLMLSVDCRVPLENIEFIASELGLPCDFKTSLIPKYPEFFSVKEVDGKAHLHLENWDSSLAICAREDRFAKARDLSSCGYGKRVRISKDGNFSGPFAFKMCFPSGFRPNTSYLEHLERWQKMDFPSPYLNARRFDVADPKTRKRVVAVLHEFLSLTMEKRMTSTQLDAFHREFLLPSKLLLCLVKHQGIFYITNKGARSTVFLKEGYEGSILVDKCPLLLHSDRFLSLCGRRDMSCNSHQVSL >KGN66243 pep chromosome:ASM407v2:1:22182283:22190611:1 gene:Csa_1G588520 transcript:KGN66243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSQPQQQQQQQPPPQQQQPPPPPQQQTPVVKKKETRGRKPKPKDEKKDEQLTKKMKAQQQPSVDERYTQWKSLVPVLYDWFANHNLVWPSLSCRWGPQLEQATYKNRQRLYLSEQTDGSVPNTLVIANCEVVKPRVAAAEHISQFNEEARSPFVKKYKTIIHPGEVNRIRELPQNSRIVATHTDSPDVLIWDVEAQPNRHAVLGATNSRPDLILTGHQENAEFALAMCPTEPYVLSGGKDKLVVLWSIQDHITTSATDAGASKSPGSGGSIIKKPGEANDKASDGPSIGPRGVYHGHEDTVEDVTFCPSNAQEFCSVGDDSCLILWDARTGSSPAVKVEKAHNADLHCVDWNPHDDNLIITGYCSGIHDYIWHKFLFRSADNSIRLFDRRNLTSNGVGSPIYKFEGHKAAVLCVQWSPDKSSVFGSSAEDGLLNIWDYDKVGKKTERATRTPAAPPGLFFQHAGHRDKVVDFHWNAADPWTVVSVSDDCDTTGGGGTLQIWRMSDLIYRPEEEVLAELEKFKSHVIECAAKP >KGN66775 pep chromosome:ASM407v2:1:27563560:27566655:-1 gene:Csa_1G690140 transcript:KGN66775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQLKQIHAYSLRNGLDHTKFLIEKLLQLPDLPYACTLFDQIPKPSVYLYNKFIQTFSSIGHPHRCWLLYCQMCSQGCSPNQYSFTFLFPACASLFNVYPGQMLHSHFCKSGFASDMFAMTALLDMYAKLGMLRSARQLFDEMPVRDIPTWNSLIAGYARSGHMEAALELFNKMPVRNVISWTALISGYAQNGKYAKALEMFIGLENEKGTKPNEVSIASVLPACSQLGALDIGKRIEAYARNNGFFKNAYVSNAVLELHARCGNIEEAQQVFDEIGSKRNLCSWNTMIMGLAVHGRCIDALQLYDQMLIRKMRPDDVTFVGLLLACTHGGMVAEGRQLFESMESKFQVAPKLEHYGCLVDLLGRAGELQEAYNLIQNMPMAPDSVIWGTLLGACSFHGNVELGEVAAESLFKLEPWNPGNYVILSNIYALAGDWSGVARLRKMMKGGHITKRAGYSYIEVGDGIHEFIVEDRSHLKSGEIYALLHKIYDIIKLHKHVHHDPNEDEELLYSS >KGN63635 pep chromosome:ASM407v2:1:1275027:1276369:-1 gene:Csa_1G008430 transcript:KGN63635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRIPSCDKLNLKRDLWTAEEDAKILAYVSKHGTSNWTSAPKKAGLRRCGKSCRLRWTNYLRPDLKHQNFTSQEEELIIRLHAAIGSRWAIIAQQLPGRTDNDVKNYWNTKLRRKLSEMGIDPVTHKPFSQILADYGNIGGLPRSTKRIGSLSREMKTSAYMKPEHHSNSAQGLVDLLTPSMLLPETEPVMDKSMNDNVHQLNNNHPLNLLAQLQAMQRVTEASHCTFNEQIQPHFYTQSYLPSSSSSSSSTTTVTCRNTSSTFSWQDFLLEDAFLPSEPREHENVGECSLNQNGCQTENEISKEELNKEICDYQYNRFEAMHCLVENNDIEASSSSAENTFIETLLHQEDKIILFDFLNLLEEPLY >KGN64331 pep chromosome:ASM407v2:1:5436146:5439069:1 gene:Csa_1G046280 transcript:KGN64331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSSLTKTAISTIPTFLDTTPSLNNLSITLKASEFLANGYPFLTHVPPNIISTPSPFKTNNSLLGCFIGFDATEPKSSHLVPIGNLKGIRFSSLFRFKVWWTTHWVGTCGRDIQHETQMMILDTNHHGRPYVLLLPILEGAFRCSLRSGDENDDSVAMWVESGSTTVHASSFRSCLYMQVGDDPYSLLKEAMKVVKLHLGTFKLLHEKTPPPIVDKFGWCTWDAFYLKVNPQGIKIGVKCLVDGGCPPGMILIDDGWQSIAHDADSFTDHHQEAMDLTAAGEQMPCRLIKFEENYKFRDYGSDGKGVGVGLGAFVRDLKEEFRTIENVYVWHALCGYWGGIRPNVPRMPLSRVVIPKLSQGLEKTMEDLAVDKIVNNGIGLVPPELAHEMYDGLHSHLQSAGIDGVKVDVIHLLEMISEEFGGRIELAKAYYKALTASIKKHLQGNGAIASMEHCNDFMYLGTEAIALGRVGDDFWTVDPSGDPYWLQGCHMVHCAYNSLWMGNIIHPDWDMFQSTHPCAEFHAASRAISGGPIYVSDSVGKHNFNLLKRLVLPDGSILRCQHYALPTRDCLFEDPLHDGKTMLKIWNLNKFTGTLGLFNCQGGGWCPKTRKNRRTSEYARTLTCVAGPKDIEWNNGKNPISLKGVNLFAIYMIRDKKLKLLKTSENLEFTIAPLEYELLVVSPATVLSKPNMEFAPIGLVNMLNCGGAIESLEIDENEGLVKVGVRGCGEMRVFASNEPINCKMEGEDVEFEYDDDDKMVKLQIPWPSSSKLSIIEYQF >KGN64646 pep chromosome:ASM407v2:1:7392643:7394675:-1 gene:Csa_1G073060 transcript:KGN64646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDEDYIESSDSSSNTSAHLQLPPGFRFHPTDEELVVHYLTRKLSSSPLPAPIIAELDLYKFDPWDLPSKAVFGKNEWYFFTPRERKYPNGARPNRAAISGYWKATGTDKPIMSCNYNNINNGSNNNNGIQKVGVKKALVFYGGRPPKGVKTNWIMHEYRLLHLPNNNPNLLHPHLTNPTKPSLKLDDWVLCRIYMKNIAQASIIDREMEELSRVAARPPKTVVGGGHKGTGNCYLDVVTLSTEGDDIINNHQNNSNNISELPSSSCSSKRGLTSQLWSGGATASNDGGKPLRLDLMNSYSGGATTAGTEENTVSYMSLLNQLPVQNAAFQSGAPLIGSLNDGVLRQHFQLPTNINWNS >KGN64167 pep chromosome:ASM407v2:1:4477268:4478642:1 gene:Csa_1G042740 transcript:KGN64167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLFGSTSFLSHPLTRTYHLASSQTPSPSTPPSQPPQTPPSPSPSLSASSSEQLKPAPSKVEQQRSTTNVDSTDWIASTLTRRFGLGAGLAWAAFLAVGVVSEQIKTRLEVSQEEANTRNVEKEEEVVLPNGIRYYELRVGGGAVPRTGDLVVIDLKGKIQGTDEVFVDTFGKERKPLALVMGSRPYSKGMCEGLEYVLRSMKSGGKKRVIIPSSLGFGENGADLGTGVQIPPFATLEYVIEIDKVSIAPA >KGN64809 pep chromosome:ASM407v2:1:8809282:8811167:-1 gene:Csa_1G109320 transcript:KGN64809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSPCCDKSNVKKGPWSPEEDAKLKDFIDKNGTGGNWISLPQKAGLKRCGKSCRLRWLNYLRPNIKHGGFTDEEDRIICTLFSTIGSRWSIIATQLPGRTDNDIKNHWNSKLKRKLIEMEKKAQITTSRTIPSSHNHHHHQIFSSFSSQPLSSLINKHDDYFNDINYSNYNLSSPPTTIKSFESLISSIPSNWSNNNNNISPSPCLVQTQETTNLLSSNSLMYNHHNPLVQMKESCLLGFGSEGSCSSSTSDGRSYTQINTTSGIMGFQNNNNNNNPNYYYYYSDNIMNYINHQPAANLEYSYMEDENKTLLENFMY >KGN63435 pep chromosome:ASM407v2:1:59163:62184:1 gene:Csa_1G000590 transcript:KGN63435 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inner-membrane translocator MRHTTSLYFIYVRAEAELSKKLLIAIIIVIIITNRREALPLSLIFSALPFPPNALSVSRLWISWLEFWFSRQIFLVWSVGERMALQQGGRQM >KGN64979 pep chromosome:ASM407v2:1:10607051:10607469:-1 gene:Csa_1G170520 transcript:KGN64979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSLYIESAFCVSYTTVKPTWDIYMMIPTHASSCLFHSLFSHEEALLNFKAATLCKRTTNFTSKLHFKLLDPKPGLQLSNQAPFQNLFNHFFQPSE >KGN64778 pep chromosome:ASM407v2:1:8477424:8482190:1 gene:Csa_1G096360 transcript:KGN64778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLNRACKILKSSPRSGLLTMFEQHVGEFNSGISSIGDKDSLFLVVELDEVFISGVKTAGDEFLSVCPLSLKSMSSSLTAINRGIRSNPIITAAPLAYPAEENAACFCETKLRNEPTTNRTHGGAISPRRPAKTPRLEPRSLANPNVLISVWLRSRRSSQPPSRVLRRSTRDRLNLDEEVRDCTSGIFVAD >KGN64284 pep chromosome:ASM407v2:1:5144572:5148117:1 gene:Csa_1G045820 transcript:KGN64284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALPSSFGSLPSSSALPDSSSSRHHTYSRKQKSLGLLCSNFLSLYNHDGVHSIGLDDAASRLGVERRRIYDIVNVLESVGVLSRKAKNQYSWNGFGAIPKALQDLKEEGLRENCSASDGNDYAKVSDDEDEDERFSNPTGSQTSTAAVPKSSSSSLKADNRREKSLALLTQNFVKLFICSHVNMISLDEAAKLLLGDGHNSSIMRTKVRRLYDIANVLSSMNLIEKTHTTDTRKPAFRWLGVRGKVKNEPTLLPESRKRAFGTDVTNVSYKKTKAENSAYQGFNHCLNMQKLVQCDNSSQEDSQNSQDQECERTSKSYQFGPFAPVSVAKVGVLDNNNVKRTHDWENLSSTFRPQYHNQGFWMQFL >KGN64957 pep chromosome:ASM407v2:1:10443861:10448304:1 gene:Csa_1G168340 transcript:KGN64957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRLDIQQVLNDYKTKDPLTITTLKLNQKALSDITGLSLFKNLEKLDLTFNNLTSLQGLESCTNLKWLSVVQNKLDSLKGIEGLSKLTVLNAGKNKLRSMDEIRPLVGLCALILNDNEIASICKLDQMKNLNTLVLSRNPIRSIGDSLLKVKSMKKLSFSNCKLQSIDSSLKSCVQLKELRLAHNEIRMLPNDLAHNKKLLNLDLGNNVIVRWSDLKVLSSLGYLRNLNLQGNPIAESAKLDKKIRRLVPGLRVLNARPIDKCIQNENDNGSDKEDDTPIRSLDRQKEKKDRKLTGNVETHPSVQGTDGKLDHTNGADVERKSERKKRNMDKITREEKVVPSLDKKINHGTNDIDEEKKISKQKRAKSNKEPSLPIHKETLTKIEKHKKKAKKEGERQVDVIDDTEVPFEQLFGDNLVEDMDAVLQKVGEKEVEEMNLKPNLASFSANRKESKSQDRVGRLQISPIVEIGMDGISTWGDE >KGN66785 pep chromosome:ASM407v2:1:27673068:27674591:1 gene:Csa_1G690230 transcript:KGN66785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKKHLQRVPSSLSSSSSCPSLHESEDELKHMPLAPPQLKNKKRLSKQLSMCETPRDLAWEKRRRQMLRPRNGSTDRDDLTDEDWNELKGFIELGFAFNEEYGHKLCGTLPALDLYFAVNRQLSPSPVSTPQSSASASSSLGGRSSSFESPRSELDTWRVCSPGEDPKQVKAKLRHWAQAVACSVMQSLGEK >KGN65800 pep chromosome:ASM407v2:1:18634603:18636858:-1 gene:Csa_1G530120 transcript:KGN65800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLKPTRAQKKTNYDQKLCRLLDEYSQVLIVGADNVGSNQLQSIRKGLRGDSIILMGKNTMMKRSIRIHSENTGNTAVTNLLPHLVGNVGLIFTKGDLKEVKEEVAKYKVGAPARVGLVAPIDVIVPPGNTGLDPSQTSFFQVLNIPTKINKGTVEIITPVELIKKGDKVGSSEAALLSKLGIRPFSYGLIVVSVYDNGSVFSPAVLDLSEEDLLEKFLAGVSMVASLSLAVSFPTLAAAPHMLINAYKNALAIAVATEYSFSEADEIKEFLKDPSKFAAAAAPAVAAESVAAAPAAVEEKKEEPEEESDEGDMIMGLFD >KGN64157 pep chromosome:ASM407v2:1:4406820:4407209:1 gene:Csa_1G042655 transcript:KGN64157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCNTFAHAPSCLDSSISGAPPPAIKNLLFTKHLTTHRASCKLRSASSITSWLDPRTSTVAVRPISLMPVILTIFPSPIEASSTSSAYPNFSARKCSIFAIGRQLRVFVMNSMSSRSTSLITKILALAR >KGN65596 pep chromosome:ASM407v2:1:16759977:16760324:1 gene:Csa_1G467160 transcript:KGN65596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQPERSVHTEHEADRFLSTTRLNFNAFSESSIEYNSIPSPYSKSFDFKIVISNQRRFKWCSYISALLLLLIMALTLLLQFLPHKHNLHEASNNYTVAVNQALKFFDAQKCRNPP >KGN63589 pep chromosome:ASM407v2:1:1005648:1008324:1 gene:Csa_1G005500 transcript:KGN63589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKENNDGFVRADQIDLKSLDEQLERHLSRALTMEKNKKKDEDTGNSFLTSAPIARSRSTKVAAKDRQEWEIDPSKLIIKSVIARGTFGTVHRGVYDGLDVAVKLLDWGEEGHRTEAEIASLRAAFKQEVAVWHKLEHPNVTKFIGATMGSAELQIQTENGLIGMPSNICCVVVEYLAGGALKSYLIKNRRRKLAFKVVVQLALDLARGYR >KGN66777 pep chromosome:ASM407v2:1:27606535:27606741:-1 gene:Csa_1G690160 transcript:KGN66777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSWLICVVFISMLVMSQEVGAQTNHLKFPNPCDLPSPPPSCSSKVKDHAPTNPYDRGCSAIHRCRGD >KGN64546 pep chromosome:ASM407v2:1:6797822:6800363:-1 gene:Csa_1G064680 transcript:KGN64546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNKLKGIYKSFKYISQIFVVKEREMEIGYPTDVKHVAHIGWDGPSGTAPSWMNEFKTAPEHFSAASFGDISDRRDSSSTAVTAPTTWSSLDFDQAMLRQQTSDFFGELPRTEIPNLPTKPKKKTRNFSPKSSSSKSSRVSKTKPPSFGEIKMVPNLQV >KGN65123 pep chromosome:ASM407v2:1:12000637:12006587:-1 gene:Csa_1G228990 transcript:KGN65123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSERCLLKIRFTILPPPLVLSKALVKDMKESLLIEMDKLLSRGMKVQAIAAWGWFIRILGSHSMKNRSLVNYMLKIPERTFSDHDPQVQIASQVAWEGVIDALVHTPNLPCEINLVKENDSNQTVQLLNENNCEIKANAFSKSLKLIMVPLVGVMLSKCDISVRLSCLNTWHYLLYKLDSFVNSPSVIKLVVEPVLEAIFQLVPDNENLRLWTMCLSFLDDFLLAKCSQMDNDVTGHLCYKSETVTPNIEYSETGKRSWKQCPIRWLPWNLNHLDFHLKMICVITSSASMETFNNENRTFAYDACQSLVAVWSTSTMHLTDCILKEMCRYFELVFSSFIPPDNLLAAATLVLYKNIVPSNLKIWIEVAKGLMESSTMGNHLALKTKSETEGVDTICHILSYPFVVCSSKELCGSPLEGLELASVVQVWKSLYGSVNTLQLDSFVSISFTEGLASMLNGCLDDQRMPGCGSESCSSCEDFIADFFSIFVNIVTNLLNGLQISKRRSYKIMRKDSNSEKSSLNNSSLRLAARFIEIVWIKKGKNSSNWLSRVFSALAQFVNCLHLKQDIFEFIEIISSPLLLWLTKMETLDERINSELQILWSKITSHLQNGCPSLVSDSAFLKLLAPLLEKTLDHPNPSISERTITFWSSSFGEHLFASYPQNLLPILHKLSRNGRIKLQKRCLWVIEQCPARQEENADPPFSHRVSATSIKSSKRIQIMTTTNHDKHKEDTPTSNPKRKKIKLTQHQKEVRRAQQGRSRDCGGHGPGIRTYTSLDFSQVVDDSEESQDTQNLDSILEMARADN >KGN66343 pep chromosome:ASM407v2:1:22882389:22884508:1 gene:Csa_1G598880 transcript:KGN66343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCWSFSLLSKSSSSFFKPAIFTSSLQFTSLLSNCRHHLHLYQIHGFMLHRALDQDNLFLSQFIDACTSLGLSSYAFSIFSNKTHPDLRLYNTAIKALSKTSSPINAIWLYTRIRIDGLRPDSYSIPSVLKAVVKLSAVEVGRQIHTQTVSSALDMDVNVATSLIQMYSSCGFVSDARKLFDFVGFKDVALWNAMVAGYVKVGELKSARKVFNEMPQRNVISWTTLIAGYAQTNRPHEAIELFRKMQLEEVEPDEIAMLAVLSACADLGALELGEWIHNYIEKHGLCRIVSLYNALIDMYAKSGNIRRALEVFENMKQKSVITWSTVIAALALHGLGGEAIDMFLRMEKAKVRPNEVTFVAILSACSHVGMVDVGRYYFDQMQSMYKIEPKIEHYGCMIDLLARAGYLQEAQKLLHDMPFEANAMIWGSLLAASNTHRDAELAQLALKHLAKLEPGNSGNYVLLSNTYAALGKWNESGTVRKLMRNAGVKKAPGGSVIEINNIVYEFLAGDMSDSQVHEIYHVLCKIILQLKMAGSYQEEWSFKI >KGN64411 pep chromosome:ASM407v2:1:5963343:5966570:-1 gene:Csa_1G050500 transcript:KGN64411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSRDLALVSTAAVLSAFTSAIACRFFFSPKKHRSRFDLSRNGALLNNGSSRCPFDPSKREGFLSWDDYFMAIAFLSAERSKDPNRQVGACLVSQNGVILGIGYNGFPRGCSDDQLPWAKKSKTNNPLETKYPYVCHAEVNAILNTNHASASGQKLYVTMFPCNECAKIIIQSGVSEVIYFVEKRINNSNVAYFASHKLLSMAGVKVRKHQPLSDQILIKFEDPCT >KGN63477 pep chromosome:ASM407v2:1:258136:260452:1 gene:Csa_1G001490 transcript:KGN63477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRQSKFHFHLPSIFFLLLTLSWLPQRSFQQQPIKTVVVLVMENRSFDHMIGWMKKYINPQINGVTGDECNPVSTKNPNPETICFTDDAEFVDPDPGHSFEDVLQQVFGSNSIPSMSGFVEQALSMSPNLSETVMKGFKPEAVPIYGALVREFAVFDRWFSSIPGPTQPNRLFVYSATSHGSTSHVKKQLAMGYPQKTIFDSLHENGINFGIYFQNIPTTLFYRNLRKLKYIFKFHQYDLKFKKDARNGKLPSLTVIEPRYFDLVGMPANDDHPSHDVANGQKLVKEVYETLRASPQWNETLLIITYDEHGGFYDHVKTPFVNVPNPDGNTGPAPYFFKFDRLGVRVPTIMVSPWIKKGTVISSPKGPTPNSEFEHSSIPATIKKIFNIPSNFLTHRDAWAGTFEDIVDQLTSPRTDCPVTLPEVTPLRKTEANENSGLSEFQSEVVQLAAVLNGDHFLSSFPNEISEKMTIKEAHDYTRGAVSRFIRASKEAIKLGADESAIVDMRSSLTTRSSIHN >KGN65661 pep chromosome:ASM407v2:1:17331116:17335753:1 gene:Csa_1G480160 transcript:KGN65661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGNRSEEEAQTSNQHGGWITFTFIIGTFACMTLATGGWLSNLIVYLIKEYNINSIDATLISNIVSGCICVFPVVGAVLADSFFGSFFVILISTSISLLAMISLTLTATIHSVRPQPCDHNNTSITCSSSPSKLQYTILYSTIILACLGSGGSRSPFTSLARVLVATTRKRLTRVQVESDEGCYYYGDHQDHRVGMPVVDGVRLTKSLRCLNPTALITYGDVHLDGTIAKPWRLCEVQEVEDFKTLLKIFPLWSTCIFLSVPIAIQGSLTVLQALTMDRHHGPNFKIPAGSFAVIIFISTTISLTLVDRFLYPIWQKLIGRMPRSLERIGLGHVLNFLSMVVSALVESKRLKIAHLNHLQGQVEAIVPISALWLFPQLVLVGMGEAFHFPGQVGLYYQEFPTSLRSTATAMISLVIVVVYYLSTGLIDLFHKVTKWLPDDINQGRVDNVYWTISLIGVINFGYYLVCAKCYKYQNVEEGGKNINDSITQH >KGN63461 pep chromosome:ASM407v2:1:182538:192046:-1 gene:Csa_1G001330 transcript:KGN63461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDYERKGGEWVKIVRSSLNDWNKNVDTVVQWSPFANEAELLRQFYMMKDHGTRIIIYNLWEDDQGQLELDFDTDPHDIQIRGVNRDEKSIQMAKKFPNSRHFLTYRHSLRSYASILYLRLPPCFRIILRGRDVEHHNIVNDMMISQEVTYRPQPGADGAGTVGKDTNMVAVVTIGFVKDAKHHIDVQGFNVYHKNRLIKPFWRLWNASGSDGRGVIGVLEANFVEPAHDKQGFERTTVLARLEARLIQMQKTYWCSYCHKIGYAPRRIDKPNSRTPDRESSPDDYSSQPPPQSKKKSTSFGGTKPDKIYLGKETEKFQKTKDFRYGNMHSSKEKNGSMTPDSEKSRTRPSSSEPPSPSGLEVRVDNHHGGQANGTGNETFHGNDVSMRMKASSNGGVSQAQQGGLAKPKGGDTNDSERSPSSSDLHMLQQLKEENEELKERLKRKEADHGKLQDERERRCKSLESQLTAAELKIEELSKEQESLIDIFSEERDRRETEEHNLRKKLKEASNTIQELLDKIQILEKR >KGN63709 pep chromosome:ASM407v2:1:1693510:1696902:-1 gene:Csa_1G012100 transcript:KGN63709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPFRKSPINVGRHGSSQPANSARSSPNPVNSNDNLDKKQKQSSRITSSHQATAAPNPKSALFDDHLEKGTDSSSYSSSSSSYSWSSRNRYKNDFRDSGGIENQTVEELESYAVYKAEETTNSVNNCLKIAEDIREDATKTLVALHQQGEQITRTHVVTADIDNDLSRGEKLLGSLGGIFSKTWKPKKGRQITGPVIFEGDHAVISKGNHLEQREKLGLSRAPNPRSSRAPHPEPTNSLQKVEVEKVKQDDALSDLSNILGELKEMAVDMGSELDKQNKALDHLFDDANEVTIRVDNANQRARHLLRK >KGN65220 pep chromosome:ASM407v2:1:12896833:12899932:1 gene:Csa_1G266170 transcript:KGN65220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGSFAPAGVAKERAEQYKGRVTPYVVIACLVAAIGGSIFGYDIGISGGVTSMNPFLKKFFPTVYRNKMRAHENNYCKYNNQGLAAFTSSLYLAGLVSSLVASPITRNYGRRASIVCGGISFLVGATLNAAAVNIEMLILGRIMLGVGIGFGNQAVPLYLSEMAPTHLRGGLNMMFQLATTLGIFTANMINYGTQKIDPWGWRLSLGLAAFPALLMTVGGLLLPETPNSLMERGAKEKGRKTLEKIRGTNDVNAEYEDIQEASEFANSIKHPFRNIFQKRNRPQLVMAFFMPTFQILTGINSILFYAPVLFQSMGFGGDAALYSSALTGAVLASSTLISIATVDRLGRRVLLISGGIQMITCQVVVAIILGVKFGNNEELSKGFSILVVIVICLFVLAFGWSWGPLGWTIPSEIFPLETRSAGQSITVAVNLLFTFVIAQSFLSLLCALKYGIFLFFAGWIIVMTVFVYIFLPETKGVPIEEMILMWRKHWFWKNVMPSNVDNDQSNANAI >KGN66541 pep chromosome:ASM407v2:1:24719438:24729203:1 gene:Csa_1G627500 transcript:KGN66541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMEVDSANSNTFDPDNPRFSVNVLQLLKSAQMQHGLRHGDYTRYRRYCTARLRRLYKSLKFTHGRGKYTKRAITQSTVSEVRYLHVVLYTAERAWSHAMEKRQLPDGPNPRQRIYLIGRLRKAVKWATLFAQLCASKGDSRTSLEAEAYASYMTGNLLFEQDRNWDTALLNFKRARAVYEELGKVGDLENQVLCRERVEELEPSIRYCLHKIGKSNLQASELLHIGEMEGPALDLFKAKLEAVMSEARSQQAVSMTEFHWLGHRFPISNAKTRVSILKARELEKDLQSSADSLPAEKRLSIFDKIFAAYHEARSSIRSDLVSAGNAESVKDDLSGLDKAVSAVLGQRTIERNQLLVKIAKSKLVRRHDEKNEKVTKPEELVRLYDLLLQNIADLSDLVSSGRDRRQEEVTFAEECSLKSLAFRGERCFYLAKSYSLAGKRAEAYVLYCHARSLIEDAIQKFRTANISDPKLIEELKTLIEECRVNSCVEHATGIIEEAKAPENLSKKISNMSLTGAEKKSAKYLLQSLDNYESAVGDSNIKTAPRIEAFPPAFQAIPRNPIVLDIAFNFIDFPSLENRMKKDRKGFISRLWG >KGN66866 pep chromosome:ASM407v2:1:28429514:28432942:-1 gene:Csa_1G701910 transcript:KGN66866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRLVWASRAASYLRISIPFRGFASVVKDLKYATSHEWVKVEGDKATVGITDHAQGHLGDVVYVELPEVGTSVKQDGSFGAVESVKATSDINSPVSGKIIEVNEELSSSPGLVNSSPYENGWIIKVEVSDSGELKKLMDSEQYSKFCEEEDSKH >KGN65311 pep chromosome:ASM407v2:1:13691122:13691577:-1 gene:Csa_1G313810 transcript:KGN65311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTEKQLKEMRIEYVKCEVEDEGIFVDQLFFHDPDGLMIEICNCENLPILPVSGGGDSPTTATNAARFCSIQQAEEQQKLKQIQSATVQRMQLQQLLINIPCNAWT >KGN66462 pep chromosome:ASM407v2:1:23927998:23930080:1 gene:Csa_1G612860 transcript:KGN66462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIIVDFRDDYRDFAELCFKEFGDRVKHWITFNEQYIFILYGYAIGLFAPSRGSSSKQYDYLCEDSEHKHVGLVSRRDFFWKLLDCELEGNPGTEPYIVGHNQILAHAAAVKLYKSKYEYQNGQIGVTLNTDWYVPNSNHEDDKKAASRALDFSLGWFLHPLVYGDYPDSMRELVKERLPKFTDDELTMQKSNYAKNNPNVDPNKPSQVTDAHVDVSTDRDGVSLGPKAGKDSWLAVYPEGLKDLMIHMKHHYEDPIIYITENGYLDYDSPDVEKLLMDEGRVKYYQQHLIKLHESMKAGVKVKGYFAWTLLDDFEWARGYTMRFGITYIDFKNKTLERIPKLSSKWFTHFLNT >KGN64435 pep chromosome:ASM407v2:1:6104440:6108504:-1 gene:Csa_1G051730 transcript:KGN64435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVLGTPAADAHLGPSGPTHRRRTTDHLSHIHAVNKHTTSLQNQGVRQDLDSCSVERRRPSPGLCHRNEQGWPSWLLAVAGEVIQGWTPRRANTFEKLAKLWKFDNLEPESVRFMAREILVLKRLDHPNVLKLEGLVTSRMSCSLYLVFEYMEHDLAGLAAGQGVKFTEPQVKCYMKQLLLGLEHCHNRGVLHRDIKGSNLLIDNEGILKIADFGLATFFDPEQNQHMTSRVVTLWYRPPELLLGATLYGTGVDLWSAGCILAELLAGRPIMPGRTEVEQLHKIFKLCGSPSEDYWKKYKLPNATLFKPQQPYKRCIAETLKDFPPSSLPLIESLLTMDPDGRGTATAALNSEFFTTEPLACEPSSLPKYPPSKELDVKLRDEEARRQRGLNGKSTAVDGGGRRVRGRDRVGRAVPAPEANAEIQANLDRWRNATNANGKSKSEKFPPPHQDGAVGYPPHETSQRGHLSFGAADTSFSSSIFSSKSGSVKSSVAAGGPSRRKKGDKDNSSMSSSRKFIRALKPSIGLSMDLLFRGK >KGN63969 pep chromosome:ASM407v2:1:3414405:3421788:-1 gene:Csa_1G031900 transcript:KGN63969 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 2c MGRKKRTDAGGESSESQDGGGRGSQRSAERRDTPQQHGGSGYQRGRGWGSQGGRGGQGGGGRGRGTSQHQHYGGPPDHQGRGRGGPYHGGHNNYGGGGGNRGGMGGGGIGGGPSSGGPSRSLVPELHQATPMYQGGMTQPVSSGASSSSHPSDTSSIDQQFQQISIQQESSQSQAIQPAPPSSKSLRFPLRPGKGSSGTRCIVKANHFFAELPDKDLHQYDVTITPEVTSRVYNRAVMEQLVKLYRVSHLGDRLPAYDGRKSLYTAGPLPFTSNEFRITLFDEEDGSGGQRREREFKVVIKLAARADLHHLGLFLQGRQADAPQEALQVLDIVLRELPTSRYCPVARSFYSPDLGRRQTLGEGLESWRGFYQSIRPTQMGLSLNIDMSSTAFIEPLHVIEFVTQLLNRDVSSRPLSDADRVKIKKALRGVKVEVTHRGNMRRKYRISGLTSQATRELTFPVDERGTMKSVVEYFYETYGFVIQHTQWPCLQVGNQQRPNYLPMEVCKIVEGQRYSKRLNERQITALLKVTCQRPKDREEDIMQTVHHNAYHNDPYAKEFGIKISEKLASVEARILPAPWLKYHDTGREKDCLPQVGQWNMMNKKMFNGGTVNNWMCINFSRYVQDSVTRGFCYELAQMCYISGMAFNPEPVLPPIFARPDHVEKALKTRYHDAMSILQPQGKELDLLIVVLPDNNGSLYGDLKRICETDLGLVSQCCLTKHVFKMSKQYLANVALKINVKVGGRNTVLVDALSRRIPLVSDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEVTKYAGLVSAQAHRQELIQDLFKTWQDPVRGTVTGGMIKELLISFRRATGQKPQRIIFYRDGVSEGQFYQVLLHELDAIRKACASLEPNYQPPVTFVVVQKRHHTRLFANNHSDRHTVDKSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENKFTADGLQTLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPETSDSGSISSEVAGRGGVGGARSTRAPGLNAAVRPLPALKENVKRVMFYC >KGN65417 pep chromosome:ASM407v2:1:15199947:15201551:-1 gene:Csa_1G420310 transcript:KGN65417 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONSTANS-like zinc finger protein MGIDGATVVNGFRGRPCGFCKADPAAVYCRPDSAFLCLSCDAKIHCANKLASRHDRVWMCEVCEQAPAVVTCKADAAALCVTCDADIHSANPLASRHERVPVEPFFDTAESVVKSSSVLNFLVPDETNVCDGVHHHEEVEVASWLLSNPSFNSKLVHGPEIKTQLGGDHLFFTEMDSFIDFEYPNSVNDDHNDIKDSIVPVQTKPDPTPVINHTHSPENCYDIEFCRSKLNSFGYQPQSLSHSVSSSSLDVGVVPQAISMSETSYPMGGQTGDSGLPLSGSGNQATQLCGMDREARVLRYREKRKNRKFEKTVRYASRKAYAETRPRIKGRFAKRTDMLSEVDEMYGSAASHVLLTDAQYGLVPTFCP >KGN65670 pep chromosome:ASM407v2:1:17421551:17422110:-1 gene:Csa_1G481720 transcript:KGN65670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRLELLDPKFMKREREGEFQVLDMVDCMSKVEEPWRNNSAPAGDSGKVFVCKTCNREFSSFQALGGHRASHKKPNSKDPPTKPKAHECPICGLHFPIGQALGGHMRRHRTSTTTVVVEKSDAGGKRGFGLDLNLTPIENNLKLQLTTPFVNCFY >KGN65406 pep chromosome:ASM407v2:1:15090285:15090525:-1 gene:Csa_1G415240 transcript:KGN65406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERDVGHGSYNVVVLDDEERHGSCSVEVQGSKMERSVENCGSYSVEISNNGEKNTMGCV >KGN65605 pep chromosome:ASM407v2:1:16812210:16812617:1 gene:Csa_1G467730 transcript:KGN65605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTGAWDGTEKPIVVIIRMWGLGRMVSSGDEAAMGAAEELKVGESGVAFVAGRRWRRWGFGEGGEEEGVGIMKFVCGLGISWGNNGR >KGN64479 pep chromosome:ASM407v2:1:6389892:6392245:-1 gene:Csa_1G058140 transcript:KGN64479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQQLDGITYEEDFLFNSRGMNLFTCKWLPKDKEPKALIFICHGYAMECSITMNSTAIRLAKAGFAVYGIDYEGHGKSDGLQGYITSFDFVVDDCSNFFTDISERKENRNKMRYLLGESMGGALALLLHRKKPDYWDGAVLVAPMCKLADDVKPSPLVINILTKLCNFIPTWKIVPTQDIIDVAFKVPEIRNQIRTNPYCYKGKPRLNTGHELLRISLDLEQRLDEVSLPFIILHGEEDRVTEMSASEQLYGKASSWDKSLKRYPEMWHGLLYGETDENIDVVFGDIIGWLDERCALGNSRIEKQLKAECDDLQIK >KGN64539 pep chromosome:ASM407v2:1:6743818:6747410:1 gene:Csa_1G063630 transcript:KGN64539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVSLVAAHGCPGLSTSLNLPREVARTRANLSASISSTCFAALRSFSFNSKAFPCESSTTDVNVTQTATNSAENGYPQYHRLLPCTSFNVPPRVEHLVVLEGGPVMEYISKSLDLPPMYVADLIHFGAVYYALVCPQPPPTASSEEIRLFKKFTQPSFLIGRKSIKGKTLREAQKTFRITHIDEFVEVGTYLRVYVHPKRFPRCYEVDWKSRIIAVTDSYVVLDKPAGTSVGGTTNNIEETCVTFATRALGLTSPLWTTHQIDNCTEGCVILARTKEYCSIFHRKIREKKVTKLYLALVAVPLPKGIMTHYMRPNNLAPRLVSRDCIDGWNLCQLEVLECREVSWPDADIEAKYSIEDCGWPSKKKAFECKINLLTGRTHQIRAQLADYGAPLVGDSIYMPAAVAEMANPGLNPFGKCKKEYTSEEDKENAVAEWIALHGKEPAVAIGLQAYEISWDSGEHIYNAGTPWWRQEQL >KGN66709 pep chromosome:ASM407v2:1:26717978:26718571:-1 gene:Csa_1G662250 transcript:KGN66709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPKLSDFGLARMLGMDETKVFTDVRGTIGYMDPEYMSNAKLTCASDIYSFGIVALQLLSGQKVIDLDLDARDQLTRKAKDVNMGLRALSDFEDPKLKGNVNKADFESILQVAVLCVAKSSKGRPTIDIVFEELDKAWQNTIVNEVTNHQHHLETN >KGN64969 pep chromosome:ASM407v2:1:10526172:10528921:1 gene:Csa_1G169930 transcript:KGN64969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSPSIHVFWHEGMLNHDTGYGVFDTGEDPGFLDVLDKHPENSDRIKNMVSILKRGPLSPFITWHSGRHALLSELHSFHHQDYVNELVEADKNGGKVMCCGTFLNPGSWDVSLLAAGTTLSAMKHVLEGQGNIAYALVRPPGHHAQPTRADGYCFLNNAGLAVHLALNSGCEKVAVVDIDVHHGNGTAEGFYMSNKVLTISLHMDHGSWGPSHPQSGSIDELGEGQGYGYNLNIPLPNGTGNRGYEYAMKTLVVPAIQKFEPHMIVLVVGQDSSAFDPNGRQCLTMDGYWKIGQIISELAKKYSSGSLLIVQEGGYHVTYSAYCLHATLEGILNLSPPLISDPLDSYPEDEAFSVKVIDFIKKYEDENVPFLKV >KGN64576 pep chromosome:ASM407v2:1:6938935:6942048:-1 gene:Csa_1G065960 transcript:KGN64576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSPELTDWVFDYGVIENIPVPGGDLPSLDLPSFTLPSCDFTASFREDFDEPLGMEEDVKESRSRKRMSSGSSNAFESKARKEKIRRDKLNDRFLELNSILNHGRPPKIDKSAILGDAVRMIIQLRDEAQKLKESNESSLEKINEMKAEKNELRDEKQRLKEAKDSLEKKMKGFNTQPTFLPHPPAIPAGFSSPNQIVGGKLVPVIGYPGVSMWQFMPPGAIDTSQDHVLRPPVA >KGN65535 pep chromosome:ASM407v2:1:16066730:16068184:-1 gene:Csa_1G435800 transcript:KGN65535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLSLFLFTLLLISSNTIATQDMEQQELLGLSEVMGSLLNDPDWPQAHPFPCSDTPWPGVKCEIGLSPPFFHVTKIHIGPDILDPPCKISANLSHSLLKLPYLKSLSIFNCFTSSSVSLFPALFDSLLYLEHLSLQSNPSLSGEIPSSLGNAASLRVLSLSQNSLNGVIPLSIGGLVCLEQLDLSYNKLSGEVPQSVGGLKSLSILDLSWNALEGELTSSLGQLQLLQKIDLSSNQLRGKIPLTLGMLHRLVLLDLSHNFINGPIPKSFEGLKNLEYLILDHNPLNSVVPLFIESLEKLKSISLSECRIEGSIPMSLSSLKTLTALSLSHNNLSGRIPKELGKLPNLDLLNLSHNQLSGEVYFTNGFVKKLGKRLDLRGNYGVCWNNNMSVVDSDDETPYCLNSNGGTRNESEEDSKKIQPAWNQWEDDHSSTSTRSNHPSWDKNLLLSFCAFVVEVVLCSCLLL >KGN63938 pep chromosome:ASM407v2:1:3202219:3202954:1 gene:Csa_1G030640 transcript:KGN63938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYRYAMVCSSNQNRSMEAHSILKSKGFNVSSYGTGAHVKLPGPSLREPNVYDFGTPYKHMFDDLRRKDPELYKRNGILPMLKRNAAVKTAPQRWQDNAADGSFDVVFTFEEKVFDMVIEG >KGN65187 pep chromosome:ASM407v2:1:12667430:12668329:1 gene:Csa_1G257930 transcript:KGN65187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMVDSSFIFIGFSPVPHPLLNNNNGRKFGITSAKRTQDSDSDSASSTQPNQFKFPSLRVSNPLLARSVVSVLGLGFVDAGYSGDWSRIGAITKETEDLLKIGALLVVPFCVFLVFSFSKYDSDSS >KGN66875 pep chromosome:ASM407v2:1:28502944:28503752:-1 gene:Csa_1G702000 transcript:KGN66875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPMGESPLGGDGGCDRLEQALRECHKRVPEGPARRSACRHLNRSLAECLVATACPSEAEAVRTLCSSGGTALKRSQCEQAKFSLSFCLSSLQF >KGN66720 pep chromosome:ASM407v2:1:26856759:26862703:-1 gene:Csa_1G664830 transcript:KGN66720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSPSFCILSWRIVKSFLVGGIPSLLEGANGRSRGFWKAFYRGLFERSFSYLGLRSRPAASSNLKFFQQLSSFQVANRNWSFGAHHVRILKATTFKRSAYLEGTKLFILPTACLADQGLSSYSVLNIKSSDNLKRRLKLAEGHVEAGRLLSFYQVPKPMHFFIEAHDDGKGVKQIMRLILSKFVRRQSSRSDNDWATMWRDMLCLREKAFPFLDLEYMLIEFCRGLLKAGKFLLARNYLKGTSSVSLAAEKAENLVIQAAREYFFSASSLNGPEVWKAKECLNIFPSSRHVKAEVDIIDALTELLPSLGVTLLPVQFRQIKDPMEIIKMAISSQSGAYMHVDELIQVGKLLGLSSPTEISAIEEATAREAAVAGDLQLAFDLCLGLTKKGHGSVWDLCAAIARGPSLENMDINSRKHLLGFALSHCDEESISELLHAWKELDMQGQCSKLMMMAGTDCSSPPVQSSLLSSLQGTSIQNIGESKNCFELVGDQESILDGTLNCLLSVAKELPVENRTKLDTFLRENGKILSFAYLQLPWLLELSKRAEIKKLGTGTEYSSLKTQAIVTSLSWLARNGFVPKDSLITSLAKSVIECPTKEGDLTGCILLLNLVDAFNGVEVFEEQLRTREDYQKASSIMTVGMTYCLVHDSGVECDSSSQRRQLLLEKFKEKNTFNSDQSRKSNEVESTFWREWKLKLEEKKRVADHSRTLENIIPGVETSRFLSGDRYYIESVVQSLIESVNLEKKHILKDILNLANTYGMNRTEVLLKYLSSILVSEVWNNEDIMVDISEHREEIINCAAETIETISTVVYPSIDGTDKLRLHCIYGLLSDCYLKLEKGGWLPRKAQHEEVYAFSLGLAHFYNIVEQECRRVANIKNLNFKNIAGLSGLNFEHFSSEIYLHIDDSNIEVLAQLVETFAAIYSDPAVEGLIRSQDIYKHYLLKLLTTLETRISIDFKNRSPEDFQAFVSQLEHSYDLSSTYLIFLSHSDALDVMKQYFTVILPLYSNYGDIPDSSAWQECLIILLNFYVRLLDEMRKIETKGEILKFNPECLKCCLKVFIRLVTEDSVSPSEGWNTIVSYATYGLRDDSAFEAYVFCRAMVFSRCSFGAVEQVLSESVSLYSAALLSETEICIQDISCLYLKILEPVLLDLVNYFHEHQNLHNLLCSLSRLEGDLENLRSTRGKVWERMAEFSDNLQLPSSVRVYVLELMQYITGRNIKGLLSDIQYNVLPWESWDQVQYTTKESDLTNVPTTLDDKDTSSRFTSTLVALKSTQLAATISPNLEVTSANLLSIETTVSCFMELCAVATTDVHVDSLLAILAELEGLFLIERDETEASAAVAIGGNDWSVDGWDEGWESFQEMEPAESKASETAPAPTPHPLHVCWTEIFKKLISLSRPKDVLRLVDESLSKSCGALLDEDDAKTLSHILDDKDRLLALKLVALLPYEALRLHSLNAVESKLKQDGISDEMGGDLEFLLLIFSSGIVSTILTSASYDNTFSYICYLVGNFSRRFQDDQLTGLKQKRRVSNVNRKELVIFKKIALPIFISELVKADQPILAAFMVTKFMYTVRLVNVAEASLRTYLERELLNTVENDESVDMEELMPTILKNTVSRLREKLGSLIESALLSLSQN >KGN65018 pep chromosome:ASM407v2:1:10966078:10967884:1 gene:Csa_1G181310 transcript:KGN65018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALMLSTCIFSNSALAVSSVGLLEYIDTFDGYSFKYPKNWIQVRGAGADIFFRDPFVLDENLSVEFSSPSSSRYNSVQDLGPPEEAGKKVLKQYLTEFMSTRLGVRRESNILSTSSRMADDGRTYYQVEVNIKSYANNNELAVMPQDRVVRLEWDRRYLSVLGVENSRLYELRLQTPENVFVEEENDLRQVMDSFRVNKVNA >KGN66673 pep chromosome:ASM407v2:1:26329567:26331164:-1 gene:Csa_1G657490 transcript:KGN66673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRISLFRVSEYNYISQRACEERKRCCNYRPIIEHDGLPKKQSHNEDANKTKTREELLAEERDYKRRRMSYRGKKAKRSTLQVISSLKFLLILLSVEGYKRYIEEYVEEIMKAGGIGRFVKGPEERGIKSEQPSDHNLTRNIIADVHTRGSNGSYGDARHSSGHSKKQSNYDSRYLASEKPQKSHYGYPNDRDDEVTAETRHHETKKLASSSSHGRSSSSSRSGGGSSARKDSHKLRASDSQSHGYTPSDCRGELEDEYFTVSRLSKSR >KGN65978 pep chromosome:ASM407v2:1:20311608:20317597:-1 gene:Csa_1G555630 transcript:KGN65978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVDKLASSPHFHPIPLIVRNSLQWISNSTLQSNPPFTPEGPSVWATNLIKSYFDKGLTREACNLFNEIPERDVVTWTAMIVGFTSCNHYHQAWTMFSEMLRSEVQPNAFTMSSVLKACKGMKALSCGALAHSLATKHGIDRSVYVQNALLDMYAASCATMDDALSVFNDIPLKTAVSWTTLIAGFTHRGDGYSGLLAFRQMLLEDVGPNSFSFSIAARACASISSYSCGKQIHAAVTKYGLHCDAPVMNSILDMYCRCNYLCDAKRCFGELTEKNLITWNTLIAGYERSDSSESLSLFFQMGSEGYKPNCFTFTSITAACANLAVLSCGQQVHGGIVRRGFDKNVALINSLIDMYAKCGSISDSHKLFCDMPGRDLVSWTTMMIGYGAHGYGKEAVKLFDEMVQSGIQPDRIVFMGVLCGCSHAGLVDKGLKYFRSMLEDYNINPDQEIYRCVVDLLGRAGRVEEAFQLVENMPFEPDESVWGALLGACKAYKLSNLGNLAAQRVLDRRPNMAGTYLLLSKIYAAEGKWGEFAKMRKLMKGMNKKKEVGKSWIEIRNEVYSFVVGAKMGPHIEWVHKVIDVLIWHMKDDGDVTDLDYIVDYLEGT >KGN66682 pep chromosome:ASM407v2:1:26420360:26429524:-1 gene:Csa_1G659040 transcript:KGN66682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFSGCLPLLAEQKSRNSCLCSFLPTASLLCLALFVGSVYVAPDYREKISRWGIDGLVGSKFNKCEKQCRPNGSEPLPKDIVVTASNLEMRPLWGASKRSYQNPVNSSSNIFAMAVGIKQKDLVNKMVTKFLSSDFAVMLFHYDGIVDEWKGFNWSNRVIHVTAVNQTKWWFAKRFLHPDIVEEYNYVFLWDEDLGVDNFNPKLYVDIIQSEGLEISQPALDPYKSEVHHQITARGRRSTVHRRTFRPSNGGKGCDVNSTAPPCTGWIEMMAPVFSRAAWRCVWYMIQNDLIHAWGLDMQLGYCAQGDRTKNVGVVDSEYVIHYGRPTLGGPEENETSSKSHVKDHRADVRRQSYIELDVFRKRWQKAAEQDECWQDPYPETVEGKTS >KGN64782 pep chromosome:ASM407v2:1:8491488:8495234:-1 gene:Csa_1G096640 transcript:KGN64782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEDDYRRKQQLVLLAIVVLASLALVSLLVAFSYYCYISNKVSKRLKIRKRADLEDGGSFENVKEFSTEKGLQLFTFKQLHSATGGFSKSNVVGHGSFGHVYRGVLNDGRKVAIKLMDQAGKQGEDEFKVEVELLSRLHSPYLLALLGYCSDNNHKLLVYEFMANGGLQEHLYPVGSSNSISVKLDWETRLRVALEAAKGLEYLHEHVCPPVIHRDFKSSNVLLDKNLHAKVSDFGLAKIGSDKAGGHVSTRVLGTQGYVAPEYALTGHLTTKSDVYSYGVVLLELLTGRVPVDMKKTPGEASLVSWALPRLTDRERVMHIMDPALEGQYSMKDVVQVAAIAAMCVQPEADYRPLMADVVQSLVPLVRNYRTTSKIIGSSSSSSATKSPAPHDNASSGD >KGN66067 pep chromosome:ASM407v2:1:20976015:20978112:-1 gene:Csa_1G569440 transcript:KGN66067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVEAKEFEGTLEPFKCKICVLRVSIHCEGCKRKVVKILHNINGVHSVEIDRKQQKVTITTNIDEQSLIKRLIKAGMHAEPWPETKPISKIIKEKQIPVEIPPGETSASVRDGGKKKQTTETEPPAEELQVPPRNEEKSGTNENVRRCDDGHGDATETGGPVERVVESPPNISSETQPGMPSGAVDIEASCSGDGEVMRKKKKKKKAQAQRKEKNSGAVAGEMVSPQTVPTPTNIGSPTPPNQIPSSNHSPPFNHPLHTTLSQPAYIASYNTAYPTNTHDAYYASPPSYSYAYVHSMAPTLSSSLPIMEQPYAYAHSIEPRNISPLSSLPPVVEQSNSPPSSPFDFFSDENPSGCSIM >KGN64048 pep chromosome:ASM407v2:1:3785027:3802667:-1 gene:Csa_1G039140 transcript:KGN64048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFQIPRLTSSLREPFDVDQAYLHRKLLLQNHKPTHSVPPGESELARKIVYQWDEASFEIRQAYKQFIAGVVGLVDREVPSEELGEVALTIYCLFGEKKEENDLDCAAKNMEELQKIIGNTISDARLQKVISLAQKLFILQPRDHATALMAEKHVNKGDSNVEFGADLAFREPNRFLVDVSLENSDLLDMGSTAPTFYDREHVHDDSINFDLPNEKGKLNLSWLRDACGEITKKSTSQLSLDELAMAICRVLHSEKPGEEIAGDLLDLVGDGAFEFVQDLISHRRELVDDIHHGLTIIKTEKTNSSSQSRMPSYGTQVTVQTESERQIDKLRRKEEKKNKRGIEYGSESDFSAISFSSLVQASQRKSPFDDLIGSGEGTNSLTVSALPQGTQRKHFKGYEEVIIPAIPAAQMKPGEKLIEIKELDDFAQAAFRGFKYLNRIQSRIFDTVYNTNENILVCAPTGAGKTNIAMISILHEISQHFKDGYLHKDEFKIVYVAPMKALAAEVTSTFSHRLSPLNVTVRELTGDMQLSKNELEETQMIVTTPEKWDVITRKSSDMSLSMLVKLLIIDEVHLLNDDRGPVIEALVARTLRQVESTQTMIRIVGLSATLPNYLEVAQFLRVNPGTGLFFFDSSYRPVPLAQQYIGISEHNFAARNELLNEICYKKIVDALKHGHQAMVFVHSRKDTAKTAEKLVEIGRKYDDLELFKNDAHPQFGIIKKEVIKSRNKDLVELFNFGVGVHHAGMLRSDRGLTERLFSDGLLKVLVCTATLAWGVNLPAHTVVIKGTQLYDPKAGGWRDLGMLDVMQIFGRAGRPQFDKSGEGIIITSHDKLAHYLRLLTSQLPIESQFIGSLKDNLNAEVALGTVTNVKEACAWLGYTYLFIRMRLNPLAYGIGWDEVMADPSLSSKQRALITDAARALDKSKMMRFDEKSGNFYCTELGRIASHFYIQYSSVETYNEMLRRHMNDSEIIDMVAHSSEFENIVVRDEEQSELEMSIRTSCPLEVKGGPSNKHGKISILIQLYISRGSIDTFSLVSDAAYISASLARIMRALFEICLRRGWCEMTLFMLEYCKAVDRRIWPHQHPLRQFDKDLSSDILRKLEEREADLDRLQEMQEKDIGALIRYAPGGRLVKQYLGYFPLIQLSATVSPITRTVLKVEVLITAEFIWKDRFHGGSQRWWILVEDNENDHIYHSELFTLAKKKAREPQRLSFTVPIFEPHPPQYYIHAVSDSWLQAEAFYTISFQNLALPESHTSHTELLDLKPLPITALGNRSYESLYKFSHFNPIQTQIFHVLYHSDDNILLGAPTGSGKTISAELAMLRLFNTQPDMKVVYIAPLKAIVRERMNDWKNCLVSRLSKKMVEMTGDYTPDLMALLSADIIISTPEKWDGISRNWHSRSYVTKVGLMILDEIHLLGADRGPILEVIVSRMRYISSQTERKVRFVGLSTALANASDLGDWLGVGENGLFNFKPSVRPVPLEVHIQGYPGKFYCPRMNSMNKPTYAAICTHSPTKPVLIFVSSRRQTRLTALDLIQFVNDCLLSEFVFYGLSLQLQMSIQGNFLICPKKSFK >KGN64951 pep chromosome:ASM407v2:1:10384562:10392203:1 gene:Csa_1G166800 transcript:KGN64951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGKEKGLIWSDRSKIQHPRLHLNRFDLVITPHHDYYPLTPQAKEQVPRFIRKWITPREPPDQRVVLTVGALHQIDFAALRSAASAWHDVFAPLPKPLLVVNIGGPTSRCRYGVDLAKQLVTGLLSVLASCGSVRISFSDRTPEKVYNVVVKELGDNPKVYIWDRQEPNPHMGHLAWADAFVVTADSVSMISEVCSTGKPVYVIGTERCKWKYSAFHKSLKERGVIRPFTGTEDISESWSYPPLNDTAEAATRVREELAKRGWGIRP >KGN63449 pep chromosome:ASM407v2:1:129583:130188:-1 gene:Csa_1G000725 transcript:KGN63449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANGNGNGNGNDMDLEPKSGSDDHDHDHPTAITNLHLCYSQIILRVLAIASTLAATWIILTAKQSVLIFGIPFDARYNDSSAFQFFAFANAIASAFCFLSLCFLIFLSTRPSSNTSTPLNFYIFFFFRLHDLLMMGLVLSGCSAATAIGFVGKYGNTHTGWSPICNHFPSFCNRVTASIAISYFSVICLLILTTLSLHTHH >KGN64175 pep chromosome:ASM407v2:1:4522771:4526141:-1 gene:Csa_1G042820 transcript:KGN64175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITLSDFYHVMTAVVPLYVAMILAYGSVKWWKIFTPDQCSGINRFVALFAVPLLSFHFISTNNPYTMNLRFIAADTLQKLIVLAVLAVWSNISKRGCLEWTITLFSLSTLPNTLVMGIPLLKGMYGDFSGSLMVQIVVLQCIIWYTLMLFMFEYRGARMLISEQFPDTAGSIVSIHVDSDIMSLDGRQVLETEAEIKEDGKLHVTVRKSNASRSDIFSRRSVGLSSTTPRPSNLTNAEIYSLQSSRNPTPRGSSFNHTDFYSMMAAGGRNSNFGSSDVYGLSASRGPTPRPSNYEEEGGGNGGKPRFHYNATTGGNANANANANVNHYPAPNPGMFSPTGSKNAQPNNAKKPANGKTEDGSRDLHMFVWSSSASPVSDVFGNHEFGAHNDQKDVRLAVSPGKEGRRENQEEYAEREDFSFGNREMMNSNNNGGVGVGGTEKVGDIKPKTMPPTSVMTRLILIMVWRKLIRNPNTYSSLIGLTWSLVSFRWNVEMPAIIAKSISILSDAGLGMAMFSLGLFMALQPRIIACGNSIAAFSMAVRFLTGPAVMAVASIAVGLRGVLLRVAIVQAALPQGIVPFVFAKEYNVHPDILSTGVIFGMLVALPITLVYYILLGI >KGN66642 pep chromosome:ASM407v2:1:25936714:25944689:-1 gene:Csa_1G652250 transcript:KGN66642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRCGFFIFCLLICSSVAFQSDELLLDDDEFEGTQKIQYTDAAHTRSTPPPSRPTSTRRRFSDPDSDSKVQFQLEHSFGDSDFAPAGLFTARLKTSSHGGQSLTKMRFSRDAFTEEDRKKFTTLLQEDGFYTVRLGTNVLESSGESYVYSSVKSRCLVRGELDEHFVIHMDGVNILAINYGTPGACPFPRQLKLVSFPFTYNWFCS >KGN64178 pep chromosome:ASM407v2:1:4541092:4544974:-1 gene:Csa_1G042850 transcript:KGN64178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLQTRRLQLIFLILCLSSLFINARPFLIVISQDDLKDGAPPDDSSDSANSDSADWDEFGEPESQNSALELDPGSWRPIFEPDSTASASDSDAPQDLYYTALGKMMSAVSSGDLRLMEDAVADIDQAVAESGDPHAQSVLGLLYGMGIMKETNKAKAFMYHHFAAEGNKQSKMALAYIYFRQEMYEKAVKLYAELAEVAINSLLVSKDSPVIEPVRIHNGAEENKQALRKSRGEEDEDFQILEYQAQKGNAGAMYRIGLFYYFGLRGLRRDHAKALSWFSKAVEKGEPKSMELLGEIYARGAGVERDYTKALQWLTRASKQPSFTAYNGMGYLYVKGYGVEKNYTKAKEYFEKAAENDESGGHYNLGVMYLKGIGVKRDVKKACTHFIMAANAGQPKAFYQLAKMFHTGVGLKRNIPMASALYKLVAERGPWSSLSRWALESYLKSDIGKAFFLYARMAELGYEVAQSNAAWILDKYGEQSMCLGESGFCTDAERHQRAHSLWWQASEQGNEHAALLIGDAYYYGRGTDVDYDRAAEAYMHAKSQLNAQAMFNLGYMHEHGLGLPFDLHLAKRYYDQALELDPAARLPVKLALVSLWLRMNHADSFLVHVIDSLPEVYPKIDAWVEDVLLEEGNATILTLFACLLTVLYLRERQRRHAAVRAAEAVPLHPNDHVPPQN >KGN63436 pep chromosome:ASM407v2:1:62277:71689:1 gene:Csa_1G000600 transcript:KGN63436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSRQNFHSKVATAISSRRRKASRCVPRAMFERFTEKAIKVIMLAQEEARRLGHNFVGTEQILLGLIGEGTGIAAKVLKSMGINLKDARVEVEKIIGRGSGFVAVEIPFTPRAKRVLELSLEEARQLGHNYIGSEHLLLGLLREGEGVAARVLENLGADPSNIRTQVIRMVGESTEAVGAGVGGGSSGNKMPTLEEYGTNLTKLAEEGKLDPVVGRQQQIERVTQILGRRTKNNPCLIGEPGVGKTAIAEGLAQRIANGDVPETIEGKKVITLDMGLLVAGTKYRGEFEERLKKLMEEIKQSDEIILFIDEVHTLIGAGAAEGAIDAANILKPALARGELQCIGATTLDEYRKHIEKDPALERRFQPVKVPEPSVDETIQILKGLRERYEIHHKLRYTDEALVAAAQLSYQYISDRFLPDKAIDLVDEAGSRVRLRHAQLPEEARELEKELRQITKEKNEAVRSQDFEKAGELRDREMELKTKISALVDKGKEMSKAESEAGDVGPVVTEVDIQHIVSSWTGIPVEKVSTDESDRLLKMEETLHKRVIGQDEAVQAISRAIRRARVGLKNPNRPIASFIFSGPTGVGKSELAKALAAYYFGSEEAMIRLDMSEFMERHTVSKLIGSPPGYVGYTEGGQLTEAVRRRPYTVVLFDEIEKAHPDVFNMMLQILEDGRLTDSKGRTVDFKNTLLIMTSNVGSSVIEKGGRRMGFDLDYDEKDSSYNRIKSLVTEELKQYFRPEFLNRLDEMIVFRQLTKLEVKEIADIMLKEVFDRLKAKEIDLQVTERFRDRVVEEGYNPSYGARPLRRAIMRLLEDSMAEKMLAREIKEGDSVIVDVDSDGNVTVLNGSSGAPESLPDAIPV >KGN66129 pep chromosome:ASM407v2:1:21351042:21354512:-1 gene:Csa_1G572990 transcript:KGN66129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECNKEEAIKAMKIAETKLEISDFIGARKMAQTAQRLFPTLDNITQLLTVCEIHCSAQNRMYGAENDWYGILQIEQSADEAIIKKQYRKLALLLHPDKNKFAGAEAAFKLVGEANRLLSDQSKRKLYDLKYGAARRNIAPAKSSHDQQNGYTAVNKQERGTANGYSSGPFSHYPGGNSFKPPQPPAQQAFWTCCPFCNVRYQYLKCYLSKMLRCQNCGRGFISHDLNNQTIPPTFHQMNVPQKKVAPESGPSKPAAENKQGSVKKSQDRSGGVDLNAKAGKKQKGQGSNAKPKADAEKTGKEKAKSDATSTEKVATKSQNRKRQRKSATAHGNNSEHGDDEVEVDNVSEKDPGLSRDNCQRRSTRNKRQVSYRKYLNEDDDSLQSPNKSSGTASTDLKEEMKDATSNVEASAKGMKQEVLPPHPEDSPNRKPKCEEVLREGKNGSDKNDNKSKTEIVDTEENGLQGGVHVLVCADPEFSDFDTDKGKDCFAVNQVWAIYDTVDGMPRFYARIRKVFSPEFKLQISWFEPHPDDKGEIEWCDAELPIACGKYTLGGSELTAELPMFSHMVHCPKQGASKSSYFMYPRKGETWALFKDWDIRWSSEPEKHVAFEFEFVEILSDYVEGVGISVAFMDKVKDFVCLFHTTEKHRQNSFKIPPNELYRFSHQIPSVRMTGKERKGVPKGSFELDPAALPPNINDEHVDLNNVKEETNDAPASSGKTDSSHGFKSPKEKVEVIVLDNNEAAKIQKSNLKKSHPNSEVPTTVRKSPRKLNLTESDAQVDKFVPEDNRSRDGSRNGLSTHKESSAIHQNGGTSTPKKHGESSGLRGTTCLRIRKSPRDLSKKNAG >KGN63893 pep chromosome:ASM407v2:1:2826378:2828071:-1 gene:Csa_1G025260 transcript:KGN63893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALPSTAALHVLPHLPLLKPEVPLLFCCSCSSAATTSSTVSTSAAAIHPIDPLIHPLLLFDSFDAPIDTQTFLATLSVLVAISLSLFLGLKGGPVPCERCAGNGGTKCVFCDSGKMQQQSGLIDCKVCKGAGLIFCKKCGGSGYSRRL >KGN63707 pep chromosome:ASM407v2:1:1690016:1691218:1 gene:Csa_1G011590 transcript:KGN63707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEITDWVSGLPSDIGKTIFSKLLVSNLPACRVVCKAWNELILDHASSASKFLTNDFILFTCDVLHPFPCPDNHTNPNMHCLRFDNLDLDLDLDLELEVNKSSSFEAGVVYKLINSCNGLLLIYKLPLCFPGEFLLRVGIFNPMTNEFFQVPHDEIVEYHYGFGFIPATKQYKLFRVNFPLNVRPEEPNSVSHLDVLTFGRSEIIDPKQSQWRRLYSLPGGVENHGAHVNGVIYWLGEGKEQNEYVVYTLDVETEKIQLSAVLEVVNPMWMSIQQFNGTVYAVFHMEEATQVWRMQEKNSWIRDFVIDDCNLTLVKAYENGEMLCMVKPTVFWLYNPSTGSKKVLSLRNEKKIFLGICHLELNFGSLLNILAGDESVDEVLIKRNKAKRSRSKAKRSRSHG >KGN65447 pep chromosome:ASM407v2:1:15377192:15378988:1 gene:Csa_1G423050 transcript:KGN65447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDFDNHIPLKAEEDDQFPIGLKVLAIDNNIVCLRYLAQLLKKCQYKVTASTEAAEAIRLLKDGKRTFDIVITNVVRQYMDGFKILQIIGVEMDIPVVMVSANEELDTMMRGVVEGAKDYLIKPVRLQELRNIWQHVLRKRLARKSPNRPNLLLLEEQLVLEEEENHNISTAKRVAINYQQDYEQSKEIVKLNDGNNVADDSSSKKKKRVSWTKELDEKFIEAYEQLGEKERVPNNILKLMNDSRLTRENVASHLQKHRDTLKKKKASGVGKLGSHGNLYDRTNPTKGSTLYNSMNQINKVPNLGPQFRIISNGQGNQNDKATWTAAGSCFPLPESKGFNFPSNNWISKPCDDDNHQYLGEEFNHAYYPPLQTNCNFNSTSQNFVGYYAIEDHNYDGSGQCYQMQFTAANSLESSLMDDELSDIFK >KGN63612 pep chromosome:ASM407v2:1:1142413:1144240:1 gene:Csa_1G005730 transcript:KGN63612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGNGKSRWKFLFPHRRNSRLQSNDPPKEFLCPVSGSLMADPVVVSTGQTFDRVSAQVCRNLGFSPVLDDGSKPDFTTVIPNLAMKKTILHWCEKSGARNLQPPNYTSVESLVSALMEKEKPQGGIGDSSDRDLLEGVSDLPAVDFSHAATEYGHRPERFYTSSSEESVVVGGSPGPFTTRPACYYSFSSSSSETVENEALVQTLGPNSSISEDEKNILTKLESSDVFQQEEGVVSLRKITKADENIRVSLCTPRILSSLHRLIKSRYPKVQINAVASLVNLSLEKPNKLKIARSGLVPDLIDVLKGGHSEAQEHAAGALFSLALEDDNRMTIGVLGALPPLLYALRSESERTRDDSALCLYNLTMIQSNRVKLVKLGAVTTLLSMVKSRNSTNRLLLILCNMAVCQEGRSAMLDANAVELLVGMLREKELNSESTRENCVAALYALSYGSMRFKGLAKEAGAMEVLREIVESGSERAREKAKKILERMRTRGTYGEDDDDDDPDGESSFERGGLSSTRYPIGGARFPSSANTMPF >KGN66001 pep chromosome:ASM407v2:1:20480849:20487174:-1 gene:Csa_1G560830 transcript:KGN66001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLLGVTAILHAPNLDLYRRTGTTATASPTPSLSFVDKSHLIALKVQTCFSGSSRRNLSGFTSSAIATPNSILSEEAFRSFDGFSEDSLDDNLIDPEPNSSLAFAADDDELAISKLNLPQRLTDALQKRGITHLFPIQRAVLVPALEGRDLIARAKTGTGKTLAFGIPILKKLTEDDESRSLRRRSRLPRVLVLTPTRELAKQVEKEIKESAPYLNTVCVYGGVSYITQQNALSRGVDVVVGTPGRLIDLINGNSLNLGEVEYLVLDEADQMLAVGFEEDVEVILEKLPSQRQNMLFSATMPTWVKKLARKYLDNPLTIDLVGDQDEKLAEGIKLHAILTTATSKQTILRDLVTVYAKGGKTIVFTQTKRDADEVSLALANSITSEALHGDISQHQRERTLNGFRQGKFTVLVATDVASRGLDIPNVDLVIHYELPNDPETFVHRSGRTGRAGKEGTAILMFTNSQRRTVRSLERDVGCKFEFANPPGMEEVLKSSAEQVVVTLRGVHPESIEYFTPTAQKLIDEQGLGALAAALAQLSGFTHPPSSRSLINHEQGWVTLQLTRDPSYSRGFLSARSVTGFLSDVYSPAADEIGKIHLIADERINGAVFDLPEEIAKELLNKELPEGNTILKITKLPPLQDDGPPSDNYGRFSGRERSSRNSSRDRRGLKTSRGWGSSRDSDDNGDIFSRNRSFRTNNSKGRNFRSSGDDWLIGGRRSSRSSSVDRFGGSCFNCGRMGHRASECPDK >KGN65877 pep chromosome:ASM407v2:1:19212123:19212438:1 gene:Csa_1G535810 transcript:KGN65877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKIERQRRVRDGGDGGDLRLGETEGLRFEIGLRRRQVDRSRVGSKMLEEMDAQRRWTELRRRRCQRCCEERGRVREVNCLVRSVARGRAVREIEI >KGN64261 pep chromosome:ASM407v2:1:5021410:5022018:1 gene:Csa_1G045590 transcript:KGN64261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQISKFIQCTLRIIPVGFNCQYHLLCSHSPSNIWSVSSSSGFKIPAASFYILTQEFSYQLSGNSLEKKVAQLFSKGRVLVWYSNMAVLFLSAFVECKRRHLALEKPIGIEFGRGAISSMSGMWLIPQFILIGISEAFTSIAHDEFYYKQVPENMRSIGVSFLFVGYAIISSYLGNFLIINLDYIVVLKDGFLLGSILKHL >KGN66127 pep chromosome:ASM407v2:1:21343936:21346945:-1 gene:Csa_1G572970 transcript:KGN66127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLTTAVYDFTFNLEFHLRVPVTGDVVSSAKRRRALKLVDRALSKRQYKSAVSLVKQLQGKPYGLRGFGAAKQIIKKRLELDESEVNRMDILSLQPLVDSILDSVQQCLQISLLEEILSVEKLESSMAEGRHSSRCEEQEHFICAQHEAGHFLVGYLMGVLPKAYQVPSIQALRQNRFAEGKVSFVGFEFLGEIDSAKILGENADIRSFNNRANKGTISSKIFYRKHELKYRCRYRDFNFTGIPDKNKLQPNSKD >KGN63630 pep chromosome:ASM407v2:1:1255048:1256300:1 gene:Csa_1G007890 transcript:KGN63630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIALRSSLLNMLVCFIILLLLPYPSLSADPDPLQDFCVADLNATVSLNGFPCKPASEVTADDFFFDGLSKEGNTDNPFGFGVTQGNVLTFPGLNTLGLSMNRVDLARGGINAPHSHPRATESIVVIKGKVLVGFVSTSSVYYYKVLTVGQMFVVPRGLVHFQYNVGHGKATLITAFNSQLPGAVVVSRTLFASNPPLPVEILTKAFQVDASVINSIKSKFA >KGN64373 pep chromosome:ASM407v2:1:5741990:5744594:1 gene:Csa_1G050120 transcript:KGN64373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGRLPLTRREKPEFPLGSAYSRKAFPFSRLVLERKTEARHSGTSPFFIKRSPKKLVEASHESRGKLSVS >KGN64601 pep chromosome:ASM407v2:1:7119234:7121709:1 gene:Csa_1G071150 transcript:KGN64601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLFPRKSFAIDSIKAGESINGSNQILVSAQQKFVLGIFNPKDSIFHYLGIWYMNIPQTVVWVTNRDNLLLNSSVILAFKGGNLVLQNEREGIIWSSISSEFVKVPVAQLLDNGNLVIRESGSENYVWQSFDYPSDTLLPGMKLGWDSKTGMKWKLTSWKSLNDPSSGDFTFGMDPDGLPQFETRRGNITTYRDGPWFGSRFSRSSFFSEVEITSPQFDYNAEGAFFSYESVNNLTVIYALNAQGYFQELYWKDDANDWFSLNELPGDGCDDYGHCGNFGICTFSFIPLCDCVHGHRPKSPDDWGKHNWSGGCVIRDNRTCKNGEGFKRISNVKLPDSSWDLVNVNPSIHDCEAACLSNCSCLAYGIMELPTGGNGCITWFKKLVDIRIFPDYGQDIYVRLAASELVVIADPSESESPKRKLIVGLSVSVASLISFLIFFACFIYWRRRAEGNEVEAQEGDVESPLYDFTKIETATNYFSFSNKIGEGGFGPVYKGMLPCGQEIAVKRLAEDDKKRSLLGWKKRLDIIIGIARGLLYLHRDSRLIIIHRDLKVSNILLDNEMNPKITDFGMARMFGEDQAMTQTERVVGT >KGN65843 pep chromosome:ASM407v2:1:18968890:18972230:1 gene:Csa_1G533500 transcript:KGN65843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSFALPSTISLKKFPLNASSSFRNGFRTASISRSRVLMSASVGSQTLVDDSLFLDYKPTSAFLFPGQGAQAVGMGKESHSVPAAADLFNRANDILGFDLLDVCTNGPKEKLDSTVISQPAIYVTSLAAVELLRARDGGQQIIDSVDVTCGLSLGEYTALAFAGAFSFEDGLRLVKLRGEAMQAAADGAKSAMVSIIGLDSEKVQQLCDAANQEVDEANKVQIANFLCPGNYAVSGGLKGIEAVEAKAKSFKARMTVRLAVAGAFHTSFMEPAVSRLEAALAATEIRTPRIPVISNVDAQPHADPSTIKKILARQVTSPVQWETTVKTLLSKGLKKSYELGPGKVIAGIVKRVDKSAEIENIAA >KGN65212 pep chromosome:ASM407v2:1:12846454:12848217:-1 gene:Csa_1G265120 transcript:KGN65212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTLPGVECARRRRCHQNSIWSDSPLIAAQVLTRRSVLCLYTSNNEALLFSNPSMERRLLMEAEGEDELDGIAREAKRRLDERLLRTQRKSEDNGREMMRRKFSWRKLNWIGVEEDKCGQCLVKKTTWS >KGN63779 pep chromosome:ASM407v2:1:2111312:2111716:1 gene:Csa_1G015730 transcript:KGN63779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINSLNPTQNIHKLIISLLIKCISISDRLHPVNHFIPLPRSCRLIQTSNQYEERFSIGMTSPFPHSMKQINCISSSPNLAQIIDQHIESFDISWNPTTIHFHQNFLSFRIKSKRILFPTKFANTIKQSIICGCP >KGN66300 pep chromosome:ASM407v2:1:22563056:22568406:1 gene:Csa_1G596500 transcript:KGN66300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGKRIQTFEDFFKVHGLLLTASGLPQSLHRQLFQKLTSETFDGGSHFQVEQFEDGRCRRLVLSSDCMAKESHVFVVDHAWTFRLSDAYKQLLEVPGLAERMASLMCVDIDLNLAEEDEDHSKSNDDGDGDGDDAKQSVWELIESEIRGAKEKGNDSVRWLELEDLQIDDDALLSLDLPTKFPDLLALSLTGNKLKDVDVVAREVAKFKHLRALWLNDNPVAENCDANLQQKVLEASPNLEIYNSRFTLNFSKWALGFCGDMYGKDNPGSIYPSDHTLQCLTSLDLSSRCIHNLINKAFSPVELPSLSYLNLRGNPLEQNSVGDLLKILKEFPCLSSLEVDIPGPLGEKASDIIESLPNLSNLNGIDVAKILNSGKHVIDSMLLPRLPEWAPEETLPDRVINAMWQYLMTYRLADEEKIDETSVWYVMDELGSALRHSDEPNFRVAPFLFMPEGNLMSAISFTILWPIHNVQKGDECTRDYLFGIGEDKQRSARLTAWFHTPQNYFVHEYEKHIKNLQSKVLTSPISQTTSKTEELCQSKGGTLRVYTDNPQVEEFLNRPEFTITSDPKEADIIWTSMQIDEDTRKATGITDKQYVNQFPFEACLVMKHHLAETIEKAHGCPEWLQPTYNLETHLSQLIGDYFVRKRDRLNNLWILKPWNMARTIDTTVTDNLSAIIRLMETGPKICQKYIEHPALFNGKKFDLRYIVLVRSMKPLEIFLADSFWVRLANNPYSLEKQSLFEYETHFTVMNYRGRLNHKNIADFVREFEQEHNVKWLDIHSRVRSMIRSVFESAAVVHPEMHSPFSRAMYGLDVMLDSSFQPKLLEVTYCPDCTRACKYDVENVFGGEIIKGEGFYNYIFGCLFLNETTHVTPL >KGN63552 pep chromosome:ASM407v2:1:678390:679993:1 gene:Csa_1G004180 transcript:KGN63552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVCSSKDGSEIFYDDVKGLKEKIRLLREEVRGVICEMDKETKAHEKDMVVFAFKEAGWKTEKKRLKEEVKMLRKKVKESFTEIEEGNFGEKIATEWEMEGTPNTIFEQIQQERARRDEAIEKWKQLYHAIKIELDDLIQRTHNGDGLHWGVTERTEALKTQLQAKEETIKALKEQVVSMEQDKYKRNREIDILRQSLRIMTSKKEQQIETFHKCVCK >KGN66122 pep chromosome:ASM407v2:1:21296667:21308042:-1 gene:Csa_1G572430 transcript:KGN66122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKELEFGLSLRRRTHPRANNSLPAVVSSQPRSRPVTGLRSSSTVPAAERLSPAVLLRSTLPLLFLCSFANFFVYWITAILASAGFSPMGIFLKFSPVVVTHYNMVWCSNCVKNVAGSRDEAGFLYCDMCGKVLDSYNFSQEPTFTKDSGGQSQLSGNFVRSIQSNYSASRERTLNKAFEDMRYMRNGLNMGESDEIIRVAGAFYRIALERNFTRGRNAEFVQAACLYIACREKNKPYLLIDFSNYLRINVYVLGAVFLQLCKVLRLEEHPIVQKPVDPSLFIDKFTQCLLGGTKDDGMKKEVSKTALKIITSMKRDWMQTGRKPSGLCGAALYISALSNGVKCTKSDIIKIVHICDATLTKRLIEFENTESGSLTMEEFIVMADKLKGSNSYTNNGSSALSDEVLCVHKNECQKPYALGLCRSCYDDFVELSGGLDGGSNPPAFQSAEKERMEKATVEEGSDDCSAIGKFSQGLKPCNNTEKESDNVHVDASETASFKEAEAKGTADEQRGPDDDVNKVGADDLGTCASDDSENWSDIDDVEVDGYLHNEEEKHYKKIIWEEMNREYLEEQAAKDAAAAAAKKAYEANFQNCSEDLKAAKDLAEAAAAAVAKSRKERQRKRAAEAKNATPAQTAAEATRQMLNKKRLSSKINYDVLDKLFDESAGMEPSAKKKCEEQAEETEKTRNTTKEFETTEEQEEDYDDGYDGTYGSGLYYENMEETYNHEQDYGYDEYD >KGN65622 pep chromosome:ASM407v2:1:16962957:16967632:1 gene:Csa_1G470380 transcript:KGN65622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKQGTSRNQRPESQNEGMPLGTKDYQIRCSENFCGNNPSIGRRLSGEDVNSSLKNVSFHGLKHDFSKLSASKGSYGGKRNFWLQKHVRSILFMIGVIAFVFLVDSLTVSLVNLIIRGNSPPPRKSSSIKVDIGPNVHKEKSPIQMYDRLVHLASNYLAEIEFKPEKSSLWKEPYLQASAWVPCADGKVGSDLGKFGKTNGYIVVSANGGLNQQRVAICNAVALTSLLNASLVIPRFLYSNVWKDPSQFGDIYQEDYFINTLKDDVHIVKELPSYLKSVDLEAIGSQITDEDIAKEAKPTDYIRTVLPLLLQNGVVHFLGFGNRLGFDPIPFNLQKLRCKCNFHALKFVHKIQQVGSILVKRVRKYDAAKSMLDKQLLGNFIDYVPSKEDKVFVGPTKYLALHLRFEVDMVAYSLCDFGGGEDEKKELQVYREIHFPLLIERLKKSKAISSTELRISGRCPLTPEEAGLVLAGLGFKHRTYIYLAGSQIYGGNSRMRTFTDLYPNLVTKETLLTPSELEPFQNFSSQLAALDFIACASADVFAMTDSGSQLSSLVSGFRTYFGGGKAPTLRPNKKRLAAILSENKTIGWNTFEERVRKMIEEGQRVQTRGFGRSIYRQPRCPECMCKRSQ >KGN65269 pep chromosome:ASM407v2:1:13283608:13286123:-1 gene:Csa_1G288020 transcript:KGN65269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRFSFDDYKPAVAMVGLQCIFAALAIFSRAALLQGMSPRVFVFYRNAIATLAMAPAIFLSSKKSGSRVSIGFKGFFVISVTALVGVTANQNAYFEGLYLSSSSAASAIVNLIPAITFVMAATVGLEKIKARSWRTVAKIVGTIVCVAGAASMALIKGPKLLNSEMLPKNITVLNMLGVVQPEHDTWFLGCVLLFVSSCFWAFWIVMLVPVSKHCPDPVISGTWMLFIATILNGLFTVLVDDNTKVWTLPTPLQLATCVYAGTTSAFSFCVQSWCVSRRGPLFTALFNPVCTVITTFVSSLFLHEDLYVGSLMGAISVIIGLYIVLWGKAKDVQGMKPQLVTADEQHGLIIDDSEKDLEQPLLRDDDEQQSEHDNVFKCDKA >KGN65710 pep chromosome:ASM407v2:1:17940533:17942125:-1 gene:Csa_1G505950 transcript:KGN65710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSSVPIYLDPPPNWHHQQSNHHHHPQISNSNGSPQHQHLLSLPTQQLSSAPSSHVGVGVGVGSIRRGSMADRARMANVPLPETALKCPRCDSTNTKFCYFNNYSLSQPRHFCKSCRRYWTRGGALRNVPVGGGCRRNKKNKTRRSKSPTVAATMAGGNESQVMNNHSNSPTTTTIPLHSSAENLIGHLQPQHPHLSFMASLNNFSRFGTSGLGLNFNEIQTQTNIIGNGALLNHHPWRSSQNFPLSGGLETQPGLYPFQISGGDGDENNTTNSILTPISRGTHLPPVKIEETQVLNLLKSSNLGINNSENNQFWSNGSNGWTDLSAISSTSSGHISCDFNH >KGN63468 pep chromosome:ASM407v2:1:215454:220484:1 gene:Csa_1G001400 transcript:KGN63468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRRRLRLSWSSDEDLEQDSHCPDSSPTSLQPIILDNLNSHFNPNVSEPVQVPDSDDDEQHFIDVSDHLSPPSPDSDHSLPHSPDLNPLIPGLVSTHPPCPVSDFLRGLGLSLKREWLDACIRSLQGSVPGFFSLNHSEKGKLCFEQFLVSDMNYVGAGVLPENVDSMHLVDLPGPYVLQVDEIVNISCALKGRYQTAPANIKRCLKLSMTDGVQRIFGMEYRPIKNLEVLAPAGLKVVISNVSVRRGLLMLVPEAFEVLGGLVEELEAARKRLVDEVNKPPRGSRTRTGVVPSLASRATRAAWPSDNVQEPGHPSRMVDAEPSHSNQGARMFHRGNEAFTAPVADAFTTPVSRTNANSELSSNFVSNVEEIHSHSIPSGRAHTEPTIVPNSVEDSSVVNSVDNTNMGNSVDDSNAVNSVEDTIMVDIEHPLILSGDREIPFTYLASLSAKLAAAKDKSPLVRGRIKCFLTGVKGFQFKRRTNYELRVYVDDGSLISEVLIDHEVVQKAIGHSPKDVTDAIDSSDVKVVSAMKETLRQFQIFLINFEGTMLVEMNRTSLLPVVLEMSEGCLESDARLLLRRLACSNSVPTDEHARIIDVSP >KGN65030 pep chromosome:ASM407v2:1:11047544:11051007:-1 gene:Csa_1G181430 transcript:KGN65030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYAGIGISPGNVPVYHGSNLKVFDKRVRVVELVLRFLICGLGVLAAVLVGTDTEVKTIFTIRKRATFTDMKALVFLVVANAVAAAYSLVQGLRCVVCMVRGKVLFSKPLAWIIFSGDQIMAYVTVAAVASAAQSAVFGKLGQPELQWMKICDLYKKFCNQVGEGLASAVVVSLSMVVLSCISASSLFRLYNGGKNKSNSRW >KGN63713 pep chromosome:ASM407v2:1:1717613:1722251:1 gene:Csa_1G012140 transcript:KGN63713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCYASKNADSKASRVARWRATGIVALRDSKLKTFPDEVLDMERSVRTLDLTNNKIVDIPMEVCKLINMQRLVLADNLIERLPMNLGKLQSLKVMILDGNHITTLPDELGQLVRLERLSVSRNLLSSLPETIGSLRNLLLINVSNNKLKSLPESIGSCFSLEELQANDNLMEDLPSSLCNLIHLKSLRLDNNNIGQLPSNLLKDCKALQNVSLHGNPILMDQFQQMEGFEDFEARRKKKFDKQIDSNVMISSKGLDEGVDL >KGN63765 pep chromosome:ASM407v2:1:2021277:2026224:-1 gene:Csa_1G015590 transcript:KGN63765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFWNKARSFAEEAAKRSQELTLEAARRSQELTIGSSRLSDIVSETAKRSKEFATEASKRADQIKAEAVKRADLIKHLVERTPPSGVLEKNASDEETREENLQRFGINEELRDFVKGITMSTFRDFPLEDDSEMSNVPTVSNISQDLTEWQAKHASLVLLTVKEISKLRYELCPRIMKERKFWRIYFLLVNRHITPYEKKYMEGVMLKSDKPVEDGMMEPIEAEITSASTGMMEPVKAEITSTSQEKKTAPISSSSDQDLDVFLLGDLGDSDEGPDDGDDGFDDDFDKMVDTSDDEKDK >KGN63719 pep chromosome:ASM407v2:1:1755287:1755984:1 gene:Csa_1G013180 transcript:KGN63719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKMAESALETYGHDLAETAEKQTIDPIFRRRQEIRHLRRRKNSYRRSTRTENRLRKCPDQALRRKNRRTGHGSPTRRSNLRRAIGREIEECGDDGRTAAEILKPALWRGNFRCIGATTLKEYKRYIGEEI >KGN64010 pep chromosome:ASM407v2:1:3601214:3601627:-1 gene:Csa_1G033290 transcript:KGN64010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKKEKIERTKVREMGKKAKKRKIKEKKGKTQVRKEARESVEGEKKKKKKKRKIWRKLKGKGLAERENGGQQNRKGKGKGKGEGIQSSAYKIARKVSLRFRP >KGN64752 pep chromosome:ASM407v2:1:8287633:8289267:-1 gene:Csa_1G087440 transcript:KGN64752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALQRIFCAKTLPHPPFSSSYRVFPFISHPLSHYILPRSLTLAPLTSSPLPISCDSRFVMPYNQRRGSRGEQKWKEKAKADRNSTESEAAAEVVTNALGKLRVTESDQPHVLTSSAQFGNAQLTNQATPGLAHRAIWKPKAYGTTSGAAVIEGEKAPTNETSTENKGSNAGVAAQDGVVSLSQLFKSNQIEKFTVDNSTYTQAQIRATFYPKFENEKSDQEVHACSCVLVFESLNYHLWVIGQILLQLFGSIVFL >KGN65036 pep chromosome:ASM407v2:1:11087296:11090336:-1 gene:Csa_1G181480 transcript:KGN65036 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thymidine kinase MVRNEEVQLRTPTVVVDINVVCLSGQTNLKCAPNSLSNNPPHVQQFTNISHLQTQNPIKTLVQLLIFTTISNMKSFVFSSSFSILSPYFPISASPSFFSLPSKSAQCGPMFTQLSNFFTFKTPTISLPSKGFFSTQNRNPQMRASLSPPSGEIHVILGPMFAGKTTTLLRRIQSESCNGRSVAIIKSNKDTRYGLDSIVTHDGMKLPCWAIPNLSSFKKKFGQGSYDKLDVIGIDEAQFFDDLYDFCCEAADIDGKTVIVAGLDGDYLRRNFGSVLDIIPLADSVTKLTARCEICGNRAFFTLRKTQEKETELIGGADMYMPVCRQHYVSGQVAIETARTVVESRKVGYRTPA >KGN66868 pep chromosome:ASM407v2:1:28448025:28453860:-1 gene:Csa_1G701930 transcript:KGN66868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSQYSFSLTTFSPSGKLVQIEHALTAVGSGQTSLGIKAANGVVIATEKKLPSILVDETSVQKIQSLTPNIGVVYSGMGPDFRVLVRKSRKQAEQYHRLYKEPIPVTQLVRETAAVMQEFTQSGGVRPFGVSLLVAGFDDNGPQLYQVDPSGSYFSWKASAMGKNVSNAKTFLEKRYTDDMELDDAVHTAILTLKEGFEGQISSKNIEIGIIGTDKKFRVLTPAEIDDYLAEVE >KGN64396 pep chromosome:ASM407v2:1:5868963:5869241:1 gene:Csa_1G050350 transcript:KGN64396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFQRYSTSNYFLFLTSFHDVEYVQHGIDEKPGESVEAAMLVTILLDLCRIFLALPKSDAINHGFLEKPIETSEPALHLTVKYYSPDVKLT >KGN66351 pep chromosome:ASM407v2:1:22938324:22943990:1 gene:Csa_1G599450 transcript:KGN66351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTFTAMSSIGTLAAPGSRVMDKKLLSSSDKLTSRTSISSFALPKRQSVVLRRNRSSKISAMAKELHFNQDGSAIKKLQNGVNKLADLVGVTLGPKGRNVVLESKYGSPKIVNDGVTVAKEVELEDPVENIGAKLVRQAAAKTNDLAGDGTTTSVVLAQGLIAEGVKVVAAGANPVLITRGIEKTAKALVSELKKMSKEVEDSELADVAAVSAGNNHEVGNMIAEAMSKVGRKGVVTLEEGRSADNFLYVVEGMQFDRGYISPYFVTDSEKMAVEYENCKLLLVDKKITNARDLINILEDAIRGGYPVVIMAEDIEQEALATLVVNKLRGSLKIAALKAPGFGERKSQYLDDIAILTGGTVIREEVGLSLDKAGKEVLGNASKIVLTKDTTTIVGDGSTQEAVSKRVAQIKNLIEVAEQDYEKEKLNERIAKLSGGVAVIQVGAQTETELKEKKLRVEDALNATKAAVEEGIVVGGGCTLLRLASKVDAIKETFENDEEKVGADIVKRALSYPLKLIAKNAGVNGSVVSEKVLSSDNYRYGYNAATGNYEDLMAAGIIDPTKVVRCCLEHAASVAKTFLMSDCVVVEIKEPEPVPAGNPMDNSGYGY >KGN66304 pep chromosome:ASM407v2:1:22597642:22599597:1 gene:Csa_1G597020 transcript:KGN66304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMKKRVSWRSFLPPICGGELPAKATKKVAAKQSSFTRISQLDLSNPNSLLSEDLSISLAGSNIHMFTLGEIKVMTQTFSTGSFIGEGGFGPVYKGFIDDKLRPGLKAQPVAVKLLDLDGTQGHREWLTEVIFLGQLRDPHLVKLIGYCCEDEHRVLVYEYMPRGSLENQLFRRFSVSLSWSTRMKIALGAAKGLAFLHGAEKPVIYRDFKASNILLDSDYNAKLSDFGLAKDGPDGDDTHVSTRVMGTEGYAAPEYIMTGHLTAMSDVYSFGVVLLELLTGRRSLEKSRPHREQNLVEYARPMLMDNRKLSRIMDTRLEGQYSETGARKAATLAYQCLSHRPKQRPTMNEVVKILEPLKDYQDMPIGTFVFEMPDSSPVSSNGHHRQNHGHRRSRSPNSPLTENGASNGNRRPTSGGRRP >KGN66773 pep chromosome:ASM407v2:1:27557459:27557798:-1 gene:Csa_1G689630 transcript:KGN66773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSTISSSPSRYLSTSAHEGKPRSPSSSARDKTSSSFSMSCAASPFQAPPPLFPAAAGLLPRFPSDRTPVAFPLQAARDSFFSPSRV >KGN64805 pep chromosome:ASM407v2:1:8755377:8765163:1 gene:Csa_1G108300 transcript:KGN64805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSRQVLPVCGTLCFFCPALSTRSRQPIKRYKKLLADIFPRSQEEEPNDRKIGKLCEYASKNPFRVPKITTYLEQRFYKELRNEQLHSVKVVICIYRKLLFSCKEQMPLFASSLLGIIHILLDQARHDEMRILGCEALFDFVNNQRDSTYMFNLDGMIPKLCLLAQELGEEGREKQMRSASLQALSAMVWFMGEFSNISAEFDNVISVVLDNYGDLKSTSTAPSHNEQDTQDASAEVVPQSNEHLTRVSSWRMIVTERGELNISLEEAKNPEFWSRICLHNIAKLAKEATTIRRVLESFFRYFDTGNLWSPKLGLGLSVLMDMQIIMENLGHNSHFMLAILIKHLDHKNVLKNPTMQIDIVNIATSLAQQTNAQPSVAIIGALGDMMRHLRKTIHCSLDDGNLGAEVVEWNRKSQASVDACLVELSRKVGDAGLILDMMAAMLENLSNIPVMSRTLISTVYRTAQIVASIPNLVYQDKGFPEALFHQLLLAMVCSDHETRVGAHRIFSVVLVPSSVCPRPNASVPPSAKPTYLQRTLSRTVSVFSSSAALFQKVKVEPLSPPENIFQKVDEKTIVQQPTKVESDSIFNRLKSSYSRVHTVKKDPPISVQASIIEEEEEEEPKINNNTMMNRLKSSYSRAYSMKKTTSSTVTDEKPSGSSEKEQTTFLRLSSRQITNLLSSIWAQSISPLNKPENYEAIAHTYCLVLLFARTKKELSSIREQLLQDFLPDDTCPLGTQFFVTPREIYQCGPKSDETSNTVDPLFSMDNDNTCDEPQSQNDIEIEKVPEGPSVMSADELLNLISDITNQVGRLSGSLPTNMPYKEMAGNCEALSEEKQQKITNFIASQPTNESSVRTPTHDDDNLGKEPSQRHVQFTVNKSGNPFVDSDAPMYWNSSVNTYPALCATEYQYYPHLIQLPSSSPYDNFLKAAGC >KGN65691 pep chromosome:ASM407v2:1:17675208:17678677:-1 gene:Csa_1G499320 transcript:KGN65691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANFPSLKLIEVCKVSPPPTAAAPSSLPLTFFDLMWLRFHPIQRLFFYEFPSNEVSFHDVIVPKLKNSLSLTLQGDGVSMTVAESDDNFYHLSGNGFREVSEFHPLVPQLPVSHNRAAVIAIQVTKFQNKGFSIGISNHHGILDGRSSTSFIKSWAQICIEESFIPTPKQMPLYDRSVINDPKDLAKIYAKAWKDVEGPNNKSLNLKFPQTKHGLVRSTLEFTHQNIQKLKEWILNKKIKNENFDSSSHISSFAIATAYLCVCTAKLEGLKEGKLWFGFAADARTRLKPQVPLNYFGNCLVGGYTSLERFELLSENGIILACDEISKAIRKLDDGALNGSENWGSMMSQATNDYSKIQAISLAGSPRFGVYNADFGFGKPKKVEIVSAESPYVFPLTDSQNSDVVMEIGVVRERDEMEAFVTIFNQGFESFFNDQHWDHC >KGN65135 pep chromosome:ASM407v2:1:12137995:12140253:-1 gene:Csa_1G237040 transcript:KGN65135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSLFKSLIRGTDNYLVDVKDSLNAFWKIESPKKVCLLMWVPLWLSARTIGNAVNSCFGKVMRLVGYPSDDINKFLWMVRIGGGVFPHIKEPDYLRDGQYRIDSQATQTMLNCLMYKLSYYRFVETDGKGFDRVRQTEIGKKYFKLSHFEEVFTTHHWMVRIYKLKPPKNRIRGKTKKSKTKSSSTTSLKTKGTKKRNPWH >KGN64794 pep chromosome:ASM407v2:1:8609585:8618340:1 gene:Csa_1G097720 transcript:KGN64794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSMTPLLNGERAVVLFFITSLLASLPFSLLYHGLALSLLTLAALSIEIQAESSNSLHQFKTRPGASSGILLGAITLPGVMLAKMIQLTRAFSSNQIALEEIETWTMQFWSTSTCCLSVLTLLRNAMNDSDVVSRACPQRRWRLRLDLGCKILYGAVCYSSLATIYPNVLRLALMLSWIVCHGLVASKLIQHLLCTFPACASIGEALLVTSGLVLYFGDMLGCTIAKVLGAFSSSDLVSFQYMMNRSEISPIVQGLLLGLLLCSVIFKHLHIRESILNTENSEAKKYFEIRRSMIFFALLGFILIVVVPSWMMLVHEFDAHPYLWVVSFTFSEPLKRISLCVYWLSLICASILRFYNISRNSKIERILLRKYYHLMAVLMFLPALIFQPRFLNLAFGAALAVFLALEIIRVWRIWPLGQPVHQFMNAFTDHRDSELLIVSHFSLLLGCALPIWMSSGYNDRPLAPFAGILSLGIGDTMASVVGHKYGVLRWSKTGKKTIEGTAAGITSVLAACSILLPLIASTGYILTERWLSLLLAVTISGLLEAYTAQLDNAFIPLVFFSLLCL >KGN65307 pep chromosome:ASM407v2:1:13648198:13648580:1 gene:Csa_1G306790 transcript:KGN65307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTADQIGELVFRIHNLLVNYMSRNMAWKLETMVGKVEEIVYDDCSRWTYPFMKIQFGNVRSRGIQNSEFHGYGAWMKATMVKKE >KGN64806 pep chromosome:ASM407v2:1:8769847:8773076:-1 gene:Csa_1G108800 transcript:KGN64806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSGYGRDGIFRSLRPPLVFPKDPNLSMISFLFRNLLSYPNRLAIVDAESSNSVSYSQLKALAIRVSNGLIQLGIEKNDVVLIFAPNSVQFTICFIGVIAIGAIVTTCNPVYTVSELTKQVRDAKPKLVISVAELWDKVKNLNIPTVLLDQQIPSAIHSPKILCFNDLVNMAGDKSGSEFPIVGVKQSDTAALLYSSGTTGASKGVILTHGNFIASSLMITMDQTFNGEEHGVFLNFLPMFHVFGLACITYAQLQKGNTVVSMPKFNLEKALWAVEKYKVTDLWVVPPVVLALAKQSLVKKYNLSSVKRVGSGAAPLGRELMEECANNIPSAVVIQGYGMTETCGVVALENPAVGKRNSGSAGTLASGVEAKIVSVDTLKPLPPNQYGEIHVRGPNMMLGYFNNPEATKQTIDKHGWVHTGDLGYFDENGQLYVVDRIKELIKYKGFQVAPAELEALLVSHPEILDAVVIPCPDEEAGEVPIAYVVRSANSSLTEEDILKFIADQVSPYKRLRRVTFISSVPKSVSGKILRRELIEKVRAKI >KGN63752 pep chromosome:ASM407v2:1:1942983:1947112:1 gene:Csa_1G014490 transcript:KGN63752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLEGHPSLLHFHMPAEWEPHSQCWLGWPERPDNWRDNAVHGQRVFVKVASAISKFEPVTVCASASQWENARSQLPANIRVVELGMNDSWFRDTGPTFVVRKSISNSGTAVESVAGIDWTFNSWGGAEDGCYADWSLDLQVARKILDIERLPRFPNTIILEGGSIHVDGEGTCLTTEECLLNKNRNPHLSKGQIEDILKSYLGVKKIIWLPRGLYGDDDTNGHIDNMCCFAKPGVVLLSWTDDQTDPQYERSIEAYSVLSEVTDAKGRKLDIIKLHVPEPLYLTDEEASGIVQDGDAKPRPPGMRLAASYVNFYIANGGIIAPQFGDQKWDDEAIRVLAGAFPNHEIVGIEGAREIVLGGGNIHCITQQQPAITSSLSRQV >KGN65146 pep chromosome:ASM407v2:1:12389294:12390133:-1 gene:Csa_1G246610 transcript:KGN65146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTRFKLRLSRVFQSSFASCRSRNLSDILHKAVFIPSSSDDASFRKISPSETSSDFLLPRRKISHRFPLSPFPSAISRPRTCPPASPISPSKPISQKTTTSTKKKKKQKKQRKQRKQSKKEIPFSPFRSSNFGGTWWYSSEDEDDDDETDTLFSSKSRSSDSSASHRRHKSRRRRGCRSRGSEMGVLPLKGKVKDSFAVVKKSSDPYNDFRMSMLEMIVEKQIFSAKDLEQLLQCFLSLNSHHHIM >KGN65067 pep chromosome:ASM407v2:1:11363794:11364216:1 gene:Csa_1G189180 transcript:KGN65067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIKCRDGFQERISKLVQGRPFFIWARPSVEIIREISIRDSWARNFQYHPPPLSIPITVGSLAVGLGCKSVICASVISISRPALFLSLITKNLHELSTFLSNAVSIVLSLFHCLRCHTKLDGVVANVKSILLKIFVYHQS >KGN66877 pep chromosome:ASM407v2:1:28524040:28524616:1 gene:Csa_1G702020 transcript:KGN66877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSKLKALHVESDALKVLMLFNFEVVDLIETNNIIIVDEILISLHTQYIFNVFLISSCCLGKVLGIVLLGFLLRLGMSSFDVSESSNRKDGEFSSVDRFPGWLTSVVKEGFRSYASTQGKVNGVFSILTMTLVNQLM >KGN64648 pep chromosome:ASM407v2:1:7412467:7416124:1 gene:Csa_1G073080 transcript:KGN64648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSSYVFSKTYSKKLKLSKGARSKKSSGCKDNFVQMMELRKKILILRDIIDLPSLERSASINELVVGTMEDLQKLYPEIISDIQYSEMKTTCIEQSLAYFCTALKSIGDSWMLNHEWRDKSKYNLSSFQENSSFQEIVESVLGIIDCIVSMANERFDAMDEFVNSKDSSYSRTSSFGKSSSSTDSCSETNSSCCSSPETPTSVLANFRNSERKSSEKEKVSCSSPLLWSLRVQAVEKLNPIDVKHLLLPRLSHCGVNVCPAPTRVAIVEESMMDLDDKLPSENTDAADANNEMEVCDIKEEKDLSKEASQKADRNEEIEVFDNKEEKLNLSRTASLKADRNEEIEVIDIEEEKLCLNRTNSQKDIAERTDDFDSQATATVQELPTSDLPTVPPPPPPVPQMKAQPAAAGPNVPPPPPQLLKVIETAIKVSVPPPPPPSNTTGTMVRAGVPPPPPMAPSKGRAGPAPPPPGMAQGNGFAPPPPPPGGALRSLRAKKASTKLKRSHHLGNLYRTLKGKVEGSNQNLKSANGRKGGVGNSNGGKQGMADALAEMTKRSAYFQQIEEDVKKHAKSITALKPSISSFQSSDMKDLLLFHKQVESVLENLTDESQVLARFEGFPIKKLETLRIAAALYLKLDTIVFQLQNWKFVSPMGALLDRVENYFTKIKGEVDALERTKDEESKRFRGHGIQFDFSVLIRIKESMVDVSSGCMELALKEKRELKAAAEKSRKGGRSDNSNKASSKMLWRAFQFAYRVYTFAGGHDDRADRLTRELAVEIESESHHL >KGN63786 pep chromosome:ASM407v2:1:2142944:2143594:1 gene:Csa_1G015780 transcript:KGN63786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALAVEQLNQLRDIFARFDMDSDGSLTILELAALLRSLGLKPSGDQIHVLLANMDSNGNGSVEFDELVTAIMPDFNEEVMVNQTQLLEVFRSFDRDGNGYITAAELAGSMAKMGQPLTYRELTEMMKEADTDGDGVISFNEFASVMAKSAADFLGLAIS >KGN66274 pep chromosome:ASM407v2:1:22436555:22439247:-1 gene:Csa_1G590300 transcript:KGN66274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSQFLCSSARSKTGFVPLSKSVSSDSTSDHWVKAANALSQTHFEEVCRMLSEFQEAQTVDVEGTTLTVAQVATIARRSDVKVILNESVAHDRVAESAKWVAEKVSSGIDIYGVTTGFGATSHLRTTKISDLQTELIRFLNAGVIGKDNLPSCYSKVAMLVRTNTLMQGYSGIRWEILEALVKLMNENLIPKLPLRGTITASGDLVPLSYIAGVVTGRHNSKVTTIEGDEITAIEALKRAGVAGPFELQAKEGLALVNGTAVGSAVAATVCYDANILALLASVLSALFCEAMLGKPEFTDPLTHKLKHHPGQIESAAVMEFLLNQSDFMKEAKICNENDPLTKPKQDRYALRTSPQWLGPQIEVIRMATHSIEREINSVNDNPLIDVSRDVAVHGGNFQGTPIGVSMDNLRIAIAAIGKLMFAQFSELVCHHYNNGLPSNLSGGPNPSLDYGFKGAEIAMAAYCSELQYLANPVTTHVQSAEQHNQDVNSLGLISARKSAEAIEILKLMSATYMVALCQAIDLRQFEENMREVVKNALLQTIRKTLYMAEYGSLLESRFCEKDLLQVIEREEVFSYIDDPTNTSYTLIPKLLEVLVEKALKGVATGKTDNGNETGIAIFKQIPEFLEELKAKLEKDVENARGRFENGDFEIENRIKKCSTYPIYRFVRNEVGTQLLSGAKKVSPGEDIEKVVEAIDEGKLGNILMECLKN >KGN64950 pep chromosome:ASM407v2:1:10382031:10382351:-1 gene:Csa_1G166790 transcript:KGN64950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPSPVMIETVVGQTLLASSSNTKLTTTFQTSNMEDDRLDLNQCLPIVLPWPFKNKLCVMSMPTAKKNQLARNQKLLLRELELHSSINHNRPSSSSIPSRIIDLS >KGN66428 pep chromosome:ASM407v2:1:23602408:23606934:-1 gene:Csa_1G604943 transcript:KGN66428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKDLIRILQIKPLVGPTRLIVNMRDIRYSWHDFVSRNNHNALTILSLWAPVVAIYILDVHVFYTVISAIWSFLIGARDRLGEIRSLEALHKLFEQFPEAFMNKLHVPLPERLGFSNRSSTQVSSLPDCCLQKYA >KGN63607 pep chromosome:ASM407v2:1:1117038:1120074:1 gene:Csa_1G005680 transcript:KGN63607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFGGAAAPAMASKFATTITTPIRLIPSSHLPKLGRHSTQLFSPFRTTNQLGLKYQIRAISEAVVDPVSSNKENGEGSSQSWKIKMLYDGDCPLCMREVNMLRERNKQYGTIKFVDIGSDDYTPQENQGLDYKTVMGRIHAILADGTVVRDVEAFRKLYEQVGLGWVYAVTKYEPFGRLADAAYGLWARYRLQLTGRPPLEDILAARKKNQDEICNDSNACKR >KGN66187 pep chromosome:ASM407v2:1:21763097:21772762:-1 gene:Csa_1G575030 transcript:KGN66187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNKIARTTQVSDTEFYLHDLPSSYNLVLKEVLARGRFLKSILCKHDEGLVLVKVYFKRGDSIDLKEYERRLSQIKEIFLALPHPHVWPFQIWQETDKAAYVLRQYFFNNLHDRLSTRPFLSVIEKKWLAFQLLLAVKQSHEKGICHGDIKCENVLVTSWNWLYLADFASFKPTYIPYDDPSDFYFYYDSGGRRLGYLAPERFYEHGGELQDAHDAPLRPSMDIFSVGCVIAELFLEGQPLFERQQLISYRRGQYDPSQHLEKIPDSGIRKMILHMIQLEPELRLSAENYLQDYANVVFPNYFSPFLHNFYCCWNPLHSDTRVALCQKVFPKILEQMTSCGSGLTGTEKGSPTNNTSGLSQDMNTKQNENLTRLESTEKGLPRDQFELLGDVDTLFRDVKQNNYCSGSEQLLEDAATKNITNCVDQSPGELFHSISNAFRKNDHPFLQKITMSNLSSLMSSYDSQSDTFGMPFLPLPEDSMKCEGMVLIASLLCSCIRNVKLPHLRRAAILLLRSSALYIDDEDRLQRVLPYVIAMLSDSAAIVRCAALETLCDILPLVRDFPPSDAKIFPEYILPMLSMIPDDPEESVRICYASNIAKLALTAYGFLIHSMSFREAGVLDKLSIPQKPSAPSSETSGQLGKLHGDVQLAQLRKSIAEVVQELVMGPKQTPCIRRALLKDIGNLCCFFGQRQSNDFLLPILPAFLNDRDEQLRAVFYGQIVYVCFFVGERSVEEYLLPYIEQSLKDTAEAVIVNGLDCLAILCKRGFLRKRILLEMIEHAFPLLCYPSQWVRRSAATFIAASSERLGAVDSYVFLAPVIRPFLRRQPTSLASEKALLCCLKPPISREVYYEILEKARSSDMLERQRKIWYSSSPQSVNWDSIDFLKKGMGELNLMKNWPSKPQSSNGQNPADSLFCPPELIDGDEKLRGIKTPVSNVSSKVDISDPVFSEKLQLSGFISPQVSGISSFVLDKTSDGIPLYSFSLDKRDTGFHSVASDSPLELNSLEFDSSSIPWMDPVNKSFNLASSAPAPKLGAGSLCIGSGSKQFYRVVHEPDGRESDQTSYISSKFQEMGSSSTLKGNSSMTEDAPSTNDLTTSPSFTRASAIPDSGWKPRGVLVAHLQEHHSAVNDIAVSTDHSFFVSASEDSTVKVWDSRKLEKDISFRSRLTYHLEGSRALCATMLRGSAQVVVGSCDGLIHMFSVDYFSKGLGNAAEKYSGLADIKKKDINEGAIITMLNYSTDSSQMVMYSTQNCGIHLWDTRTNVNVFTLKSTPEEGYVSSLLAGPCGNWFVSGSSRGVLTLWDLRFLVPVNSWKYSVLCPIERMCLFVHPPNTSVATAARPLIYVSAGCNEVSLWNAENWSCHQILRVASYDNETEMSDLPWALTRPSTKGNPIQDLRRNVNPKYKVDELNEPPPRLPGIRSLLPLPGGDLLTGGTDLRIRRWNHYSPDRTYCVCGPNVKGIGNEDFYETRSSFGVQVVQETRRRPLSTKLTTKAILAAAATDSAGCHRDSILSLASVKLNQRLLLSGSRDGAIKVWK >KGN64703 pep chromosome:ASM407v2:1:7760059:7762854:-1 gene:Csa_1G075570 transcript:KGN64703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVCSIKILLYLFPAFVLPLFAVCEILSPGQSGNDALIHELLGIKLRISHLESVLEESKQNLTEKSNELKAQEKLIEDVSHKIQYLESAISDMKRKISSDDERIAVLEDEVRRLWDAKRKNNFDIHLLKAKVQEAEEKLEEVTSQVEKKSSIISEQWIQIRHLEQALEMSKIQALKVRQQFALTRCTFVKLVNTRFANQLQKAFQTLNHHVFSKVPTLSSRVTGAIHYFQRVYEEAKKYHHELQRLIKQEMERNEYAAHLANPELIFFLASALAIFPIFGAWMFLSSWFSR >KGN64779 pep chromosome:ASM407v2:1:8482227:8482980:-1 gene:Csa_1G096610 transcript:KGN64779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEQNEGSSGITSPDEPKTIVASPSRPREAKGVIGGEGGTCVRENEENRKRHGVEMLETSSQPESHQNCIIDIKTNGESVDDEDSSEEKVCRICHLGSENESITSELIHLGCSCKDELGISHRDCAEIWFMHRGNRQCEICGQTATNVRRNRSSHFAIHRNERRLVASSTVSTVSLDNEVSLSCCWGQRFCNFLLTCTVLAFLLPWFFRADFFG >KGN63724 pep chromosome:ASM407v2:1:1782802:1785241:-1 gene:Csa_1G013230 transcript:KGN63724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAKRCHYEVLGLHIDCTPDEIRSAYRKLALQRHPDKLVQSGLSQADATAQFQELQHAYEVLSDPKERAWYDSHRSQILFSDAGSVNSSSVVPNLFPFFSNTVYSGYSDSGRGFYKIYSDLFDKIYGNEINFAKKLGLRLDMVREAPVMGNLDSPYTQVTAFYNYWLGFCTVMDFCWADQYDVMAGPNRKSRRLMEEDNKKLRKKAKREYNETVRGLAEFVKKRDKRVIDMAMKRNMEMEKKKEEERERKKRLEREKMEKLRTYEEPDWAKVEEVEEDEEDVFEEENRRGKELYCVLCGKKFKSEKQWKNHEQSKKHKEKVAEFKESLDDEDESEEFVDEGEGEGEEETTRQDDEVDKLGEEFKESFDIEEEETESGGGLSGSEYDDVHEVDRSDMVGEALGSNDDDERDILEAMAAGLRNRKSAASVNQPEASPVKPHFENENDEPNPAEFENGKRGKKSRRAKKKGKGNDEAMNETDSRNYKTNGDDSSHQQDSTSNSLHNDENSDKGASELAKEQRASSKSADRKAVAQNDLKINSRHSSKGKKSKSTSKDSGNSCDTCGEEFDSRNKLHKHLGATGHASLKYR >KGN65174 pep chromosome:ASM407v2:1:12587318:12588195:-1 gene:Csa_1G257310 transcript:KGN65174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKGLLLLFLFSIIFLYLLPFCLCETNFTTKADDHNKQLKQGRPRKDVQSSEELHGGASVATVMRAKAVYGGANDIHHGHSKNDANSLWIKSISSLVWKVSFGFLGFCVVVKGF >KGN64449 pep chromosome:ASM407v2:1:6186999:6190229:1 gene:Csa_1G051860 transcript:KGN64449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKDFIKCYVSFVWMLCVILLSTTIVGAYTFNNNCSSVEREALISFKQGLSDPSARLSSWVGHNCCQWHGITCDLVSGKVTKIDLHNSFKSTISTSLTISASSSTISPSSLRIMIISGGYEQPWKDSEDFVQVFQKTCLRGKMSSSLLELKYLNYLDLSLNNFEGAPIPYFFGMLTSLRYLNLSFANFSGQIPVYLGNLSNLNHLDLSTWKLENFDWPNLHVENLQWISGLSSLEFLNLGGVNLISVQASNWMHTVNGLSSLSELYLSNCGISSFDTSTDFLNLTSLIVLDISRNRINSSIPLWLSNLTSISTLDLSYNYFQGTIPHDFMKLKNLQHLDFTSNSLSNIIGDHSRPSFPQNLCNLQLLHLSYNSFQDKLEEFLDSFSNCTRNSLESLDLSSNGFVGEIPNSLGTFENLRTLDLSFNKLWGSLPNSIANFSLLHHVQKSPKFMEKYNQNRDRLSKFDKVGDLSPLDKKYTRICFLNISCDWIPPFKLKVLYLENCFIGPQFPIWLRTQTHLIEITLRNVGISGSIPYEWISNISSQVTILDLSNNLLNMRLSHIFIISDQTNFVGESQKLLNDSIPLLYPNLVYLNLRNNKLWGPIPSTINDSMPKLFELDLSKNYLINGAIPSSIKTMNHLGVLLMSDNQLSGELFDDWSRLKSMFVVDLANNNLHGKIPSTIGLSTSLNVLKLENNNLHGEIPESLQNCSLLTSIDLSGNRFLNGNLPSWIGVVVSELRLLNLRSNNFSGTIPRQWCNLLFLRIFDLSNNRLVGEVPSCLYNWTSFVEGNDDIIGLGYYHEGKKTWYYSFEEKTRLVMKGIESEYYNKVLELVLTIDLSRNELSGQIPNEITKLIHLVTLNLSWNALVGTISESIGAMKTLETLDLSHNHLSGRIPDSLTSLNFLTHLNMSFNNLTGRIPTGNQLQTLEDPWIYEGNHYLCGPPLIRIKCPGDESSSNLPISTSEGEEDGKENDSAMVGFYISMAVGFPFGISILLFTICTNEARRIFYFGIVDRVNYNILQTIAFLTIGLRRMIIWRR >KGN63921 pep chromosome:ASM407v2:1:3001584:3023568:-1 gene:Csa_1G027500 transcript:KGN63921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTKSTTTKIRRDEISNGFDAVTGGNVGLLRPASVKDQVVGNGINEEKLLQLCNIMEANEPDHFQQSQRVDRSASPTQNRGENLRNPFGEVGSSFFNMNKIPVNCQPSVGSFTYSKLDTSRPHLELKDMKESLTQPSLSITLGVPLGTPNFVVPCPGSAAHEDEKSILPFQQGQRSRPIFPKLIKTGTTVNSEARKGMAPLVRIARPPAEGRGKNQLLPRYWPRITDQELEQLSGDLNSTIVPLFEKVLSASDAGRIGRLVLPKACAEAYFPPISQSEGLPVKVQDVKGNEWTFQFRFWPNNNSRMYVLEGVTPCIQSMQLRAGDTGLNKVSALQGSSPIIDSNLLLNPTQFNMKILSREKFTPLFTTYYLRANFILIKFHFCNAVTFSRIDPGGQLVMGFRKATNSTDVQDAKIPTLSNGSHSGDASFSRVFQNLPSRAGGDTSLHKSENFGGMSNHVSGQQPILTMEKKGARNIGSKSKRLLMHSEDALELRLTWEEAQDLLRPPPSANPTIVTIDDHEFEEYDEPPVFGKRTIFTARPTGEQKQWAQCDDCSKWRRLPVDVLLPPKWSCSDNVWDLSRCTCSAPEEISTKEQENLLRASKDFKKRKIVKSQKSIQELEPSGLDALASAAVLGDSIADLQESGTTTRHPRHRPGCTCIVCIQPPSGKGKHKSTCTCNVCLTVKRRFKTLMLRKKKRQSEREVEPLLKDRNPQLDETGMSGTLRGTSLQTNYSENEGSQSRIKDEEAANSSGQIDLNCHPDREDMELEGAGLSTMSLVEAASQPVDSYSKQIGVSSVTSEQQSSQPSSVESERRLSGEVYHGSGHESTSDGCKEHH >KGN66549 pep chromosome:ASM407v2:1:24866407:24866682:-1 gene:Csa_1G629060 transcript:KGN66549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELSKGLLKFIGVLSEGIDWWDKMVYSVIYLTVESSCRNCFYYHPKAGDDIVVLLGKFCL >KGN64059 pep chromosome:ASM407v2:1:3854937:3857380:-1 gene:Csa_1G039240 transcript:KGN64059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDSSSVKKVKNLLFSGTANSVETVKSFFNSQVYDEEKWALNMKLLRAAGMFAGSILLMRNYGDLMTI >KGN65964 pep chromosome:ASM407v2:1:20167634:20168762:1 gene:Csa_1G553520 transcript:KGN65964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAVAAAAAAALSRRTSSSSSSSTTITRSSSDSFYSHYYPSVSVSVRPQPLVSRYDCLKRRDWNRFRQYLRSRSPTLSLACCSGSNVVEFLSYLDRLGQSKIHGATCPSFGHRYPVDPYCDCPTQQPWTTLVALIGRLRVAFEENGGNPVTNPFNTVVVKVYLNKVKDSQETSRGIDR >KGN66531 pep chromosome:ASM407v2:1:24617906:24621623:1 gene:Csa_1G627410 transcript:KGN66531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKVSKFLGSVSNFFSGGDHIPWCDCDVVAGCEREAAEAEKSSSDELLKESIMRLSWALVHSRQPEDVQRGIAMLEAAISGDDSPLKMREKLYLLAVGYFRSGDYSRSRELVEECLTIAPDWRQAMTLKKSIEDRITKDGVIGIGIAATAVGLLAGGIAAAVSRKK >KGN65027 pep chromosome:ASM407v2:1:11024946:11025554:1 gene:Csa_1G181400 transcript:KGN65027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKSSGRRKIEIKRLDKNTTRQVTFSKRRVGLFNKAAELSLLCGAEIAILLFSSRGKVYTFGHPNVDALLDRFLTGNFLPPKPAEAYLPLPELNLDLCKAEAEFEIEKKRAVERLRNSERFWWDEALERMRMDELKSFRSSLLQLRANVAGRLEKIRAMRMEDPPVTPSWSIVLGGENQCAASFHVGENQSFAMDWEEEIWG >KGN64081 pep chromosome:ASM407v2:1:3971077:3973500:-1 gene:Csa_1G039950 transcript:KGN64081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDPQHLLQDDSGFGDQNSWLSGDHNSSPARRLSHSSLANASISTSSTPAAAAAAAANGNFDRELFNNLVSIVPLVQSLIDRKASSSFTKRGSMIYTKTPSRDSLHRKIEQKGKNNGQSIPTKKKKDHGDKEEGHNVDNNGDANGFSMFSSSSLVSEKEKEELITLREQVEDLQKKLLEKEELLKSAEMSKDQMNNVYSKLDALSLQSAEKDSMIKSIHSQLSDAKIKLADKQAALEKIQWEVTTSNTKVEELQEQLKSSQGDVSSFMLLLEGLTKKDCSDRLKDYNLSLHLPESCPSIDDLDDVEMKKMEEARQAYVAAVAAAKAKQDEESIAAAATARLHLQSFVFRT >KGN66204 pep chromosome:ASM407v2:1:21891630:21894134:1 gene:Csa_1G580190 transcript:KGN66204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKKLARILMKSRDHDVSLPTRDDPSFDDSRPIDSQVQEEMVRSLERANDQQSVLWRTVFAVFLFCYAGFLLYSIRQQISSPWELRYHAYFMEDVDSWMIIAADWVAILACTFSIIGIVSKSSHHRRWLWCSFFIGILLSVFWFYFMMSLPKFRWDVIWLPLGPLSGAGICLYVDHLLAESSEEIRKLRGYMYSYKAN >KGN63555 pep chromosome:ASM407v2:1:728222:733229:1 gene:Csa_1G004220 transcript:KGN63555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDTLRYLAGIPGPSGYGSKSTAEQVSLFPSSSSSSSSSSSSSSSSSSQLTAIITGATSGIGAETARVLAKRGVKIVMTARDLKKAAQVKEAIQKESPEAEIIVFEIDLSSLASVQSFCNQFLSLGLPLNILINNAGVFSKNLEFSEDKVELTFATNYLGHYLLTERLLEKMIETAAKTGIEGRIINVSSVVHGWVKKDGLSFRQMLNPNSYNGTRAYAQSKLANILHAKELSRQLQGRNARVTINAVHPGIVKTAIIRAHKGFITDSLFFMASKLLKTTSQGASTTCYVALSSQTEGKSGKFYADCNETNCSSLANDELEAQKLWTQTRNLINRRLSKLPS >KGN65582 pep chromosome:ASM407v2:1:16641116:16646888:1 gene:Csa_1G464550 transcript:KGN65582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIQGLLPLLKSIMLPIHIKDLEGSSVAIDTYSWLHKGAFCCSKELCNGLPTSKHIDYCMHRINLLRHYGVKPVLVFDGGFLPMKNEQEIKRARTRKENLARATEHEMNGNSAAAYECYQKAVDITPSIAHELIQVLKRENVSYVVAPYEADAQMTFLAISNQVDAVLTEDSDLIPFGCPRIIFKMDKFGQGVEFRYDMLQKNKDLNFSGFTKQMILEMCILSGCDYLPSLPGMGLKRAHALIKKFLSYEKVIRHLRYSTVAVPHLYEESFKKAILTFQHQRVYDPITEDIVHLSQISDHIEDDLDFLGPSIPQHIAKGIAEGDIDPCTNLPFQEQDTNTGVVHERPYKLKEFNAGCIKKKLDLPVQKNVLTKYFCFASLEAKRKFTAPKQSADQPSLRYDFSISADEPLGPEDSCPPASTHQCENVEGFLVTYPVRNDNADGFPLSDSSNRSKDMVNNKTREAEHILLQQHTQSIHKPCATLHKRPDCEKFSDTAGEKVRKDNKRVIIRSSYFKHKPESNDEHEKNQKLADQKNAAVAIHEITTPEVASFISNSCDSTITKRKASPNESIQMDNVKTKHLCKDTVQPDHDETVLETKTEEGKFGSNISHLSHYSDIAEKSMERFVSVISSFRFASGSRASGLRAPLKDVQNSKTNRSTSAMDFSQFAYVPNKHRDPLPFRKGRR >KGN65033 pep chromosome:ASM407v2:1:11077883:11078251:1 gene:Csa_1G181455 transcript:KGN65033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNITTQLTLKEITFFIPQDPQISNISIAVDRLEAFVLSHMILMPLQFSDLIRFPTGSIVPSGYHNRMIRIHNNGRGHFVVNNAVVNVPNVCSSSLGIKCHGVNKVINYGRATYGNLSNELF >KGN65714 pep chromosome:ASM407v2:1:18009332:18010978:-1 gene:Csa_1G507470 transcript:KGN65714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKMGTPISHFTPLHLLYFLLLSSSPILALNFSSLLSSFPDLSDFAALLSSSALLSDLNHRTSLTLLAVPNSYLAASSDLTHRIPPSSLADLLRYHVLLQYLSPSDLRHISPSGKLVTTLFQTTGRATSDFGSVNITRNPLDGVVSVHSPVPFSSSNATVLTLLQNLPYNVSIFAVNALLVPYGFDLMASETRPPLGLNITRALIDGHNFNVAASMLSASGVVEEFEADEGGAGITLFVPTDVAFSDLPGTVKLQSLPADKKAVVLKFHVLHSYYPLGSLESIVNPVQPTLATEDMGAGRFTLNISRVNGSVGINTGIVQASVTQTVFDQNPVAIFGVSEVLLPREIFGNNPMMTAKPRNEIVGNAQPPEGMAMSPESNGPQLHLSSPPGYGEEIRSVAAINGGVNFFFTLCSCCIAVALYVIQ >KGN64742 pep chromosome:ASM407v2:1:8129735:8131692:1 gene:Csa_1G085380 transcript:KGN64742 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arabinogalactan protein 2 MANSLFSLVILLIFFFLDCSYGQSPAEAPGPPPPMNITKILEKGGQFNVLIRLLKNTQVANQINTQLNDSNSELTLFAPTDNAFSNLQSGTLNSLNDQEKVELLQFHMIPTFLSLSNFQTISNPVRTQAGDAYEFPLNVTTSGNSVNVSSGLVNTSISGTVYTDNQLAIYQIDSVLKPIGVFQPRPPPPAPAPEKSKKKAKGNSESPKDSDDDNSSAVPLAGVSVISTGAAVVVGIMLVWINS >KGN65529 pep chromosome:ASM407v2:1:16007565:16008236:1 gene:Csa_1G435740 transcript:KGN65529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALVFLGLSNNELSGTIPSCLSIPNLTIFYLSSNKFSWVFPSNSFYNISTLELINLANNKLEGEPLVDVSSCTSLSILDLQGNQFSGSIPSWMGRRLQSLQILNLQGNSFDDAIPFSLWILPRLQILILADNKLEGEIPPIEAKFATKFEKSTVSGVVCNSEEDQYAICYMSYIRQVMKSNNLNDSYVHVYSMVNVDLSNNNLQGHIPMEIMMINGLSNLYG >KGN64876 pep chromosome:ASM407v2:1:9621664:9639260:1 gene:Csa_1G144290 transcript:KGN64876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPILSENGVEGDDEREEEEEDDEDDEEEEEIADDEEEPRLKYQRMGGSVPSLLASDAASCLAVAERMIALGTHAGTVHILDFLGNQVKEFPAHTAVVNDLSFDTEGEYVGSCSDDGSVVINSLFTDERMRFEYHRPMKAIALDPDYAKKTSRRFAAGGLAGHLYFNSKKWLGFKDQVLHSGEGPIHAVKWRTSLIAWANDAGVKVYDAANDQRITFIERPRGSPRPELLLPQLVWQDDTLLVIGWGTSVKIASIRTNHNRAANGTQSSRHVPTSSMNRVDIVASFQTSYLITGMAPFGDVLVVLAYIPGEEGEKDFSMTAPSRQGNAQRPEVRVVTWNNDELSTDALPVHGFEHYKAKDYSLAHAPFAGSSYAGGQWAAGVEPLYYIVSPKDIVIAKPRDAEDHIAWLLEHGWHEKALEAVEAGQGRSELLDEVGSKYLDHLIVERKYAEAALLCPKLLRGSASAWERWVFHFAHLRQLPVLVPYIPTENPRLRDTAYEVALVALASNSSFHKDLLTTVKTWPPVIYSAVPVISAIEPQFNTSSMTDALKEALAELYVIDGQYEKAFLLYADLLKPDIFDFIEKYNLHEAIREKVVQLMMLDCKRAVQLFIQNKELIPPNEVVSQLFKAGDKCDFRYFLHLYLHSLFEVNPHAGKDFHDIQVELYADYDTKMLLPFLRSSQHYTLEKAYDICIKKNLLREQVFILGRMGNAKQALAVIIDKLGDIEEAVEFVSMQHDDELWEELIKLCLHKAEMVGMLLEHTVGNLDPLYIVNMVPNGLEIPRLRDRLVKIITDYRTETSLRHGCNDILKADTVNLLVKYYKEARHGIYLSNEEDEARGKRNENKVSQSIQKSLNVRMMEVKSKTRGGTRCCICFNPFSIQNISVIVFFCCHAYHETCLIESTSNLDAMKGTGETGHDLTSDFDYDNGEIEDDEDGEDDTDVGGPRMRCILCTTAASKT >KGN65061 pep chromosome:ASM407v2:1:11290646:11292852:-1 gene:Csa_1G186660 transcript:KGN65061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVAVEIGSSSKGIIMGFDEKDKDGKQEDSSPKLLERKKGEDEEQEVDVVPSSGMTRKMSESSICATEEEDDEDGRKIELGPQYTLKELNEKDKDDESLRRWKEQLLETLEPDVKILSLAIKSSGRPDIVLPVPESGNPKGLWFTLKEGSRYSLKFTFQVSNNIVSGLKYTNTVWKTGVKVDSTKEMIGTFSPQPEPYDHEMQEETTPSGIFARGSYSARSKFVDDDNKCYLEINYTFDIRKDWKEEQPA >KGN66603 pep chromosome:ASM407v2:1:25532144:25534195:1 gene:Csa_1G638500 transcript:KGN66603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAYLRLLSMELDNVGYIDYLFLGDYVDRGQHSLETITLLLALKVEYPNNVHLIRGNHEAADINALFGFRIECIERMGERDGIWTWHRINRLFNWLPLAALIEKKIICMHGGIGRSINHVEQIESLQRPITMEAGSIVLMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVMEFCNNNDLQLIVRAHECVMDGFERFAQGHLITLFSATNYCGTANNAGAILVLGRDLVVVPKLIHPIPPAISSPETSPERHMEDTWMQELNANRPPTPTRGRPQVANDRGSLAWM >KGN63963 pep chromosome:ASM407v2:1:3389605:3392167:-1 gene:Csa_1G031840 transcript:KGN63963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGSVCFLCGSLPFLPNPNYNSFSSSSDSISKRSLIHAQTHHQEIRVCTNRTCRRQGSFHALEILNALAPPNIVVNPSGCLGKCGAGPNVAVLPDGFVVGHCGTPARAADLIIQLSGQDSDSVGISKSLEALALRKRAQCELEDGNFSQAELLLSQAIDLKPCGGIHIIFKDRSIVRLALGNHSGALEDANEALRVAPQYLEAYICQGDAFLAMDHFDSAEISYSTALEIDPSIRRSKSFKARVAKLQEKLSAVRTQ >KGN65829 pep chromosome:ASM407v2:1:18842310:18843243:1 gene:Csa_1G532370 transcript:KGN65829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRYQKTESPFGFTEKKIRCILIINKLNTLSTLLLPPSSGHCLPRLLLCNFLNLPPPTMTPTNSTSLTQFAQTIFSYNSNIMLAALISLLLVVLFVLLLHAYANCFFPHPRHHRRTSVTVSYVLAPPRLSRFDSVPFDLGSAPSNSKGLDPSVISAIPLFVYESEEKKCAAAAAAMECVICLSEFEERELGRRLPKCRHGFHLECIDMWLNSHANCPVCREPVIGEAVDCSDAVESGEGEIGRETVNEGQSVQFNSDSSSSSTSSVDPPPLMSSIGASLIRILSRNRSDGRIFPSSNGEELGV >KGN63521 pep chromosome:ASM407v2:1:537068:541237:-1 gene:Csa_1G002900 transcript:KGN63521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKAYTLKNFPIQLLGSTDFFRVRQNFNFQLHFLPFAFPRFVSRPKFGAKFTGFSSFSSKMTITTLPSIKDGRLIVGDKVVLTAVPGNVIVSPVSHRSAFIGATSSTSSSRHLFSVGVLERHEFLCLYRFKMWWMIPRLGKLGSEVPVETQMLLLKVAEESALTDESSTDSENERSLYVLILPVLDGVFRATLQGTSENELQLCVESGDVNLKTSEAMEAVFINSGDNPFEVITDSMKVLEKVKGTFSRIDNKKTPSHLDLFGWCTWDAFYHDVNPQGIKEGLQRFATRLADIKENKKFRGSGSDDSLQELVHSIKERYGLKYVYVWHALAGYWGGVLPSSESMKKYNPKIEYPIQSPGNVGNLRDIVVDVLEKYGLGVINPEKIYEFYNDLHGYLASIGVDGVKVDVQNIMETLGTGYGGRVTITRQYQEALEQSVVRNFKETNLICCMSHNSDSIYSSKKSAVARVSEDFMPREPTFQTLHIAAVSFNSLLLGEIVVPDWDMFQSKHETAEFHGAARALGGCAVYVSDKPGNHDFKILRKLVLPDGSVLRARHAGRPTRDCLFRDTVMDGKSVLKIWNLNKLTGIIGVFNCQGAGHWPLMKVAKSEETSTCTKLSLTGSVCPNDVEFLEDVAGENWDGDSAVYAFNSGSLSKLKRKESLQVGLRTLECEIYTISPIRVFSNDIHFAPIGLLEMYNSGGAIETLSHSMDDLSQCTVKMTGRFCGRFGAYSSTQPRRCIVDMNEVEFTYESGSGLLTVKLEDGSISREIELVY >KGN66271 pep chromosome:ASM407v2:1:22406656:22408254:-1 gene:Csa_1G590270 transcript:KGN66271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSLFNPTISLPSRNPKLQSTPKFRNPILRIPKATSDSSPSPPTNGSPPLSTLKNRRSADENIKDEARRHRAASGEDRSNFSAKYVPFNAGPDSTECYSLDEIVYRSRSGGLLDIQHDMEALKKYDGAYWRNLFDSRVGKTTWPYGSGVWSKKEWVLPEIDPDDIVSAFEGNSNLFWAERFGKQFLGMNDLWVKHCGISHTGSFKDLGMTVLVSQVNRLRKLKRPVVGVGCASTGDTSAALSAYCASAGIPSIVFLPANKISMAQLVQPIANGAFVLSIDTDFDGCMKLIREVTAELPIYLANSLNSLRLEGQKTAAIEILQQFDWGVPEWVIVPGGNLGNIYAFYKGFKMCQELGLVDRIPRLVCAQAANANPLYLHYKSGWKDFKAVKASSTFASAIQIGDPVSIDRAVYALQNSNGIVEEATEEELMDAMAQADSTGMFICPHTGVALTALIKLRNKGIIGPTDRTVVVSTAHGLKFTQSKIDYHSKEIPDMACQFANPPVQVKADFGSVMDVLKDYLLNSAPKSKV >KGN63677 pep chromosome:ASM407v2:1:1497888:1499472:-1 gene:Csa_1G009810 transcript:KGN63677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVTTQASAAVFRPCAARSRFLSGSSGKLQRVLSVKPVSASSSSSFKVEAKKGEWLPGLPSPMYLNGSLPGDNGFDPLGLAEDPENLRWYVQAELVNGRWAMLGVAGMLLPEVFTKIGIINAPQWYDAGKAEYFASSSTLFVIEFILFHYVEIRRWQDIKNPGCVNQDPIFKQYSLPPNECGYPGGIFNPLNFAPTLEAKEKEIANGRLAMLAFLGFIVQHNVTGKGPFDNLLQHISDPWHNTIVQTFGGN >KGN63784 pep chromosome:ASM407v2:1:2137356:2138558:-1 gene:Csa_1G015760 transcript:KGN63784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKMEKMICESKKMIMDWGRLPTCIGTTIFSKLVISNLPICRLVCRTWNHIVLDYASATQFQCLTTALLICTNDEAISFLDDSSKVNCNATMQCMDFDSRKHLHANFDLDSELMKSPSLLFDGNWSIHIISQCNGLLYVITNNYEYHGLYNHGIFNPMTNEFIQIPWHDEYGYDVIGFGCGISTKQYKLFRVRTTFPRGGEGRKGMEMDVLRFGNDNKWRYLPFLPSPSHVFVCSAYLNGVIYWLGKVEAKENEVVIHAFDVETEKFESSTILDVGLVDQESLNLYKFKETIYATFIEMTYDSIQVWKMQEKGSWIPEVLVMDDIPNHWRDLTIIEALEDRETILCMVNFRFFCFYNSIFGRRRKKVIPRYREKTRFRSIWKIESLNFGSLSNILSGECQ >KGN64895 pep chromosome:ASM407v2:1:9810496:9811714:-1 gene:Csa_1G145970 transcript:KGN64895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAQKLHVAYQGVPGAYSEAAAGKACPNCVTIPCDQFETAFQSVENRIADLAVLPIENSLGGSIHRNYDLLLRYTLHIIGEVQLPVHHCLLALPGVRSESIRRIISHPQALAQCEQTLTKLGLNAAREAFDDTAGAAEHVALNNLRDTAAIASARAAELYGLEILENGIQDDLRNVTRFVVLARDPVIPEPGLALKTSVVFALEKGTAALFKVLAAFAMRNIKLTKIESRPHRSCPMRVEERVKRFEYVFYADFEASMEEGKGEEAMAELKEYASFFRVLGWYPMEMAMANKSG >KGN65771 pep chromosome:ASM407v2:1:18413143:18413847:1 gene:Csa_1G527875 transcript:KGN65771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMIPSFFNGRRGSVFDPFATFDLSDPFDFHFPSSISSHFPEIAQETSAIVNAHVDWKETPEAHVLKADLPGLKKEEVKVEIEDGRVIQISGERNVEKEDKNEKWHRIERSSGKFQRRFRMPEDVKPEKIRASMENGVLTVMVPKADGKKTDVKSVEISG >KGN66386 pep chromosome:ASM407v2:1:23189296:23191494:-1 gene:Csa_1G600260 transcript:KGN66386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQLTDDQISEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKIMMAK >KGN66134 pep chromosome:ASM407v2:1:21377105:21377615:1 gene:Csa_1G573040 transcript:KGN66134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVGVISWVVFIVFLAFYSGSLNTNAQLCCINHPEWGACKPGVDDATDGRCWLKCIGGCEKGGICKRVGKKHVCHCYC >KGN66853 pep chromosome:ASM407v2:1:28279296:28279595:1 gene:Csa_1G701290 transcript:KGN66853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRLRGDRSAQNDSLEFEGKAQLHLTQTVGGLSDSGAIEPQGKGGRRGEGVNGVKVALGCL >KGN64526 pep chromosome:ASM407v2:1:6686876:6691496:1 gene:Csa_1G063500 transcript:KGN64526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDRLFLKLAFNAFLLQLLLLLLHFHETSCLPSTYPTQHLSNEKPMDDMYPEIAPSGNPKPFLPFLAPSPLVPFTNTTVPKLSGQCLLNFSATETLMSMTAIDCWAPFAKQMANVICCPQLEATLAILIGQSSKDTSVLALNGTLAKYCLSDIEQILVGQGASERLRHICTVHPANLTEGSCPAKDISEFETTVDTSKLLAACNKIDPVKECCNAICQNAISEAATKIAMISTDFLGMPGSQVLPEQSTRVRDCKTIVLRWLASKLHPANAKEVLRVLSNCNVNKVCPLEFPDMKYVADACGNAISNKTACCLAMEGYVTHLQKQSLVTNLQALDCATALEMKLRKSNITKDVYGLCHISLKDFSLQVGNQEFGCLLPSLPSDAIFDPSSGISFVCDLNDHIPAPWSSTSQMTASSCNKTIKIPALPAAASGQTGLYNDGVVHLLLIAFSVALMMLM >KGN64849 pep chromosome:ASM407v2:1:9396156:9402481:1 gene:Csa_1G132100 transcript:KGN64849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNKVTRKKHYRPPGQKKEGNAARYVTRSQAVKQLQIGLPLFRKLCIYKGVFPREPKKKVKGNHHTYYHLKDISFLHHEPLLEKCREIRAYEKKIKKADAKKNKERANFLIERRPTYLSGLLRIIKERYPKFIDALRDLDDCLSLVHLFAALPAQERLKVEAKRIHECRRLSHEWQAFISRTHKLRKVFISVKGIYYQAEVEGQKITWLAPHALHQILTDDVDLTVILNFMDFYEKLLAHVNKHLFNSINLEYPPILDPRLEALAADFYALLRFFDANTRTSLLNPQTSSSSQFGQVDAEDSELRLAQLQHQLPLNEPTALMHLVEDASGMDEDEDEDTRECKKLFKNMKFFLSREVHRESLLFIIPAFGGMVSWEGDGAPFKESEKTITHQIVDRSTQTHKFLSRDYVQPQWVFDCVNNRIILPTEDYLVGRDPPPHLSPFVDNDAEGYVPDYAVTLNKLKADAKNEILPLPGVGKEDLDDPQKLLDAGVIDRAKAIEAAEMKQKMMALEKEYHDELKLELQGGKYSSAISNVDKQLPDQEKEGGEDTNLPDYQQMAEDTDKLSKVMMSRKKKSLYEAMQIGKRTKKGKIDLLHERKKKHKESHKSQ >KGN65921 pep chromosome:ASM407v2:1:19720079:19729718:1 gene:Csa_1G538190 transcript:KGN65921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIFRAAASFRHYMSKSCFKSFPVGNETPSIRRFFSADSLASFQSIGFIGLGNMGTRMANNLIKAGYNMVVHDINRNTMKNYSDLGVATKETPFEVAEASDVVITMLPSPSHVLDVYNGSHGLLHGGCHIRPWLLIDSSTIDPQTSRELSAVVSSRILKEKRGSWESPVMLDAPVSGGVLAADARTLTFMVGGLEEAYHAAKALFLSMGKGSVYCGGSGNGSAAKICNNLALAVSMLGVSEALALGQSLGISAKTLTKVFNSSSARCWSSESYNPVPGVMEEVPSARDYDGGFATKLMFKDLNLAKASAGEVGLQHPLTSQAQEIYKKLCEDGYENKDFSCVFRHFYSGDDEL >KGN66831 pep chromosome:ASM407v2:1:28122651:28123022:1 gene:Csa_1G699600 transcript:KGN66831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSFMVELTTIKEMLIVLLKRKLVERQKFYGGGKGPPFVAPLVGPPVHDLVGHYVDVLDLEIDNQALDDVVGTLTNKDPYADIVLIDISSVGEDDL >KGN66014 pep chromosome:ASM407v2:1:20586925:20587845:-1 gene:Csa_1G561940 transcript:KGN66014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPISFLPIALALAVLLRCPSGHLHVIRELKPDTEIPGQMVVEGFKCFDNKFIYNGCERAYRLNPSGSFNVPPEATNLFCNGPCLIETQLLLNCLDNTFQNFLFYNKATAQSVRNALRVGCSYSSQRGNFNQGLFMQGEFSEAHTFQKWFILHYSLLFLVGCCCFLIL >KGN63484 pep chromosome:ASM407v2:1:308555:315934:1 gene:Csa_1G002040 transcript:KGN63484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADFFPFPNLLFITLLLLSILFPAHTYQVRLDEHQPLSKIDVYKATLALRSTASIRASPLVLGLHDEDTEWVTVKFIHPEPSADDWIAVFSPAKFNTSACPSSNKKVQTPLICSSPIKFNYANYTNSNYVKTGKASLAFQLINQRADFSFALFSGGLSNPKLIAVSNPVSFKNPKAPLFPRLAHGKLWNEMTITWTSGYDISDATPFVEWGLEGEVQTRSPAGTLTFSRNSMCDAPARTVGWRDPGFFHTSFLQNLWPNTVYTYRMGHRLLSGSYIWSKSYSFKSSPFPGEESLQRVIIFGDMGKGQRDGSNEFSNYQPGALNTTDQLIKDLNNIDIVFHIGDMSYANGYLSEWDQFTAQVEPIASRVPYMVASGNHERDWPNTGSFYSNMDSGGECGVPAETMFYFPAENRAKFWYSTDYGLFRFCIADTEHDWREGSEQYRFIEQCLASADRQKQPWLIFAAHRVLGYSSNDWYASQGSFEEPMGRESLQKLWQKYRVDIAFYGHVHNYERTCPVYQHQCVNEEKNHYSGTMNGTIHVVVGGAGSHLSPFTQEIPKWSIYRDFDYGFVKMTAFNRSSLLFEYKRSSDGKVYDSFTISRDYRDVLACVPDSCQPTTLAS >KGN65443 pep chromosome:ASM407v2:1:15347575:15348432:-1 gene:Csa_1G423010 transcript:KGN65443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALQIHGTEAEISAAFLVDRIIDLHESISKLETLRPCKKVNNLFTNLVTLCILPCSIDVSTLPPNLQVIRESLIILCGQAEGLLELEFSTLLSKIPKPLNNLTLFPYYQNYIKLANLENKILNDNGIVNPKKVAFVGSGPLPLTSIIMAMQHMKGTHFDNYDVDSVANDVARKIVGSDSDLEGRMKFCSSDIVDVKEELGGYDCVFLAALVGMNKEEKVKIIRHLRKYMKEGGILLVRSAKGGRAFLYPVVEVEDLVGFEILSIFHPTDDVVNSVILTRKLAYD >KGN65867 pep chromosome:ASM407v2:1:19133142:19137335:1 gene:Csa_1G534730 transcript:KGN65867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLQLDPFLNELTSMFERSTEKGSVWVTLKRSSLKSKVQRNKMTTAGQPIEYRCLIRATDGKKTISTSVGAKDHLRFQASYSTILKAHMTALKKRERKDKKKAAEAEKGLKKKPRKV >KGN64370 pep chromosome:ASM407v2:1:5733531:5734991:1 gene:Csa_1G050090 transcript:KGN64370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYPKSANAEREVMQVNNVQRKPRILLAASGSVASIKFGNLYHSFAEWADVRAVATRASLHFIDRASLPKDAVLYTDEDEWTGWNKIGDSVLHIELRRWADIMVIAPLSANTLGKIAGGLCDNLLTCVVRAWDYNKPLFVAPAMNTFMWTNPFTERHLIAIDELGITLIPPVTKRLACGDYGNGAMAEPSLIFSTVRLFYESRMQQSAKNGE >KGN66637 pep chromosome:ASM407v2:1:25850971:25855507:-1 gene:Csa_1G651710 transcript:KGN66637 gene_biotype:protein_coding transcript_biotype:protein_coding description:FT-like protein MPRDRDPLVVGRVIGDVVDPFSRSISIRVTYSTKEVNNGCELKPSQVVNQPRVEIGGTDLRTFFTLVMVDPDAPSPSDPNLGEYLHWLVTDIPATTGATFGQEIVCYESPRPTVGIHRFVLVLFRQLGRQTVYAPGWRQNFNTRDFAELYNLGLPVAAVYFNCQRESGSGGRRRVQDDY >KGN63986 pep chromosome:ASM407v2:1:3500954:3502069:-1 gene:Csa_1G033050 transcript:KGN63986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKDTGIPTVDLSVFSAQNETEAKKKAFETIYQACSSYGFFQIVNHGVPIEFLEEALELSRTFFHYPDDIKLKYSSKPGAPLLAGFNKQKKNCVDKNEYVLVFPPGSNYNIYPQEPPQFKELLEEMFKKLSKVCLLLESIVNESLGLPPDFLKQYNNDRSWDFMTTLYYFSATEEGENGLTHHEDGNCITLVFQDDTGGLQVRKDGEWIPVVPVEGAIVVNIGDVIQWGFGVIREKRDFLMHSSIICMETNGLSHCHSSLKKLERNQNTKDFSSKITKP >KGN65651 pep chromosome:ASM407v2:1:17243840:17245833:-1 gene:Csa_1G478590 transcript:KGN65651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMASKPSGEHILHRLKALAEHFRTSNSSQSLPSLPPTPAAAQLTNRAAVLICLFLTDIGELRVILTKRASTLSSHSGDVALPGGKRDVSDADDVATALREAEEEIGLTPSLVNIITVLQPFVNKKGMTVVPVLGLLSSKEAFNPTPNAAEVDAVFDVPLEMFLKDEKRRAEEKEWMGYNYLLHFFDYECENEKYVIWALTAGILIKAASLVFERPPAFLERPPRFWSASANSSKTSYAQQ >KGN65666 pep chromosome:ASM407v2:1:17377918:17383570:-1 gene:Csa_1G480700 transcript:KGN65666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRALTPRSSAYLSALSQQIEKKLQRALASSSQRRDVLQELFADIALEVDDRAKEIILSTEEDAIAPVEHGMNDPLCFYDVLADYYVQVPESGKPILDLIVKLWSQSFTCHIFTLLFHKWLFEIEIENSEEVHLRNSSALVQGATNIFWLDIQANTTRFKSLFHYLLEEVSFQPARLNKIPIQVQRDLFLLLSRFLIFYDSDDKLESFLKQFPPFPNAILVGGPADLFVIELTDQIQKLKVEPVLLHYLSRLIVLQGMELRMTTSTRLKTCLYSFTSPGGPMYPTRAVRHAAWDALDLLFPVGRYPRHLISLFFRLLYPWYWPSSCWNFVISCIRAVFLSLFRLIFSRFENPNQHKSF >KGN66143 pep chromosome:ASM407v2:1:21424889:21433824:-1 gene:Csa_1G573620 transcript:KGN66143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCLVSREASSRSVEAPVDKGKRNQITESRVQGEIVQEKEVERVSVNVEEHVNVNKEEEQKADVVQDRPRAERRKSRKVPRPTNLPNHSQGEQVAAGWPSWLTAVCGEALNGWIPRKADTFEKIDKIGQGTYSNVYKAKDILTGKIVALKKVRFDNLEPESVKFMAREILILRRLNHNNVVKLEGLVTSRMSCSLYLVFEYMEHDLAGLAANPSIKFTESQVKCFMQQLLSGLEHCHNRRVLHRDIKGSNLLIDSGGVLKIADFGLASFFDPNHKHPMTSRVVTLWYRPPELLLGATDYGVGVDLWSAGCILAELLAGRPIMPGRTEVEQLHKIYKLCGSPSDEYWKRAKLPNATLFKPRDPYKRCIKETFKDFPPSSLPLIETLLAIDPAERKTATDALNSEFFMTEPLACKPSNLPKYPPSKEMDARRRDDEARRLRAASKAQGDGAKKTRTRVRAIPAPEANAEIQTNIDRRRLITHANAKSKSEKFPPPHQDGALGFTLGYSRHIDPAGVPPDIPFSSTLFTYSKEPIQAWSGPLVPGAGTDAPHRQKKHVGGKGKKIMV >KGN64351 pep chromosome:ASM407v2:1:5523982:5525216:1 gene:Csa_1G047430 transcript:KGN64351 gene_biotype:protein_coding transcript_biotype:protein_coding description:High-affinity nitrate transport system component MASNAFLLAALFLSCFLGFSHGNIHFSSLPRTLEVTASPKPGQVLKAGVDKISVTWVLNETVKAGSDSSYKNIKAKLCYAPVSQVDRAWRKTEDDLKKDKTCQFSIVEKQYNPANKTVQSFEWTVKRDIPTGTFFVRAYVLNSAGEEVAYGQTTDAKKGTNLFQIESITGRHISLDIASACFSAFSVVSLFGFFFIDKRKAKKAAAAAPGQ >KGN64326 pep chromosome:ASM407v2:1:5404129:5406119:1 gene:Csa_1G046230 transcript:KGN64326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLKSPSKLKLSPPRFSKHKWILNLIIIVVTFVISIGAILAYKSRVSNAPKELYYTVVVDCGSTGTRIDIYEWKWRVKSGNDLPVLLRSYPDKSTKSPLRKKSCSYHCMQTQPGLDKFVGNISGVRFSLNPLIDWAEQEIPVEKHSLTPIFVLSTAGLRRLAHEDANQVLEDIEAVIKEHSFMYRKSWIRVLSGIEEAYYGWVALNYKMGSFRNGSRLGTLGILDLGGSSLQVVMESDFKREEMQFMRSKVGSFEHQVLAFSWEAFGLNEAFDRTLLLLNQTQVLGESNTTTVELGHPCLSSSFMQKYTCYNCSSHDNLGQKKFSNQNSKTAFPFYLVGNPNWEQCKRIARAVAINSSTLAWSEPIEATKCLATPLFSNGGNNAVVTIPTTRFHALSGFFAVYQSLNLSTRANWTNIWERGLELCSASEADMMRSISANQSSLWQYCFQLPYMASLIEDALCLGDKEVIFGPPDVSWTLGAALIEGEYLWSSSSTTTTAENHNSTLGNIEPVYVFLLLLCLLLVVYYNQIKLPMLGRKSAGAGSSLPSYALPKHRPN >KGN65461 pep chromosome:ASM407v2:1:15469470:15471987:1 gene:Csa_1G423190 transcript:KGN65461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNSSSSSSSSPPSSSNWLAFSLSNHPDSLSLFHPNPNPNPNPIPTDLSIFSPTVPKLEHFLRPPPPSHYSPHHSQICDSDLKTIAASFLRGPPTSHFPDQHFQHLHAPPPPPPTQPDTPPPPPPKKAVDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQSRKGRQVYLGGYDKEEKAARAYDLAALKYWGPTTTTNFPVSNYEKELEEMKNMTRQEFVASLRRRSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFDISRYDVKSIASSNLPIGGMSGAKSKTTSDSAASDGGSRSTDERDVHHSPPSSSTSTFISSSSQPNNNSSSTLSFTMPIKQDPSDQYWSILSYNPDAFNANLPKPDDNNVPVPLFQQPESTMFPAITELGSSGSGMTEGGMYVQQQQQYGTPMAFAKASFFQTPIFGME >KGN66443 pep chromosome:ASM407v2:1:23761208:23771138:1 gene:Csa_1G605720 transcript:KGN66443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVSHLFPKPSVFSNISLLCHGHRLGLVRRRSTLLIRRHPPASFTSLPSPLPAHSRHGRRKLSMDSASPEVSASVDSVAEGLKNQSLNNDDRVDGGSSINHATKKKLEDLNWDNSFVRELPGDPRTDIIPREVLHACYSKVLPSVEVQSPQLVAWSESVADLLDLDPQEFERPDFPLLFSGASPLVGASPYAQCYGGHQFGMWAGQLGDGRAITLGEILNSRSERWELQLKGAGKTPYSRFADGLAVLRSSIREFLCSEAMHSLGIPTTRALCLLTTGTFVTRDMFYDGNPKEEPGAIVCRVAQSFLRFGSYQIHASRGKDDFKIVRALADYVIRHHFPHLENMSSSQSVSFSTGNTDSSVVDLTSNKYAAWTVEVAERTASLIASWQGVGFTHGVLNTDNMSILGLTIDYGPFGFLDAFDPSFTPNTTDLPGRRYCFANQPDIGLWNIAQFASTLSAAELINDKEANYAMERYGDKFMDDYQAIMTKKIGLPKYNKQLISKLLNNMAVDKVDYTNFFRSLSNLKADPSIPEEELLVPLKAVLLDIGKERKEAWVSWVKTYMEELAGSGISDEERKASMDAVNPKYILRNYLCQTAIDAAEQGDFGEVRQLLKIMERPFDEQPGMEKYARLPPAWAYRPGVCMLSCSS >KGN66882 pep chromosome:ASM407v2:1:28564937:28566125:-1 gene:Csa_1G703060 transcript:KGN66882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTEAEAKQHLVKKLEYDHCLETILALADDQAGVEWPTFLPLCNQLSQRGISLCNGTIKFRINLETNTNRLFILPKILTIFGVNDPRYWKWIYITDHITSVDAPQLIAIQKLDIRGAFSAPLVTPKVLNVLSLLVLLTENTGGWQTPLNVTITTPDGSTRQSQVSLARKPIGIFFELTVGEFTLNDDGCNSTGLVKFSVTEYSNYEKRGMLIKGCIVRAKFSLGCTNPTLDRA >KGN64037 pep chromosome:ASM407v2:1:3721898:3726104:-1 gene:Csa_1G039040 transcript:KGN64037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHDSLKSPPFFFAGEGMGPDNYWNLETKSILMHFLDCNWPCPLCIPRKSKDSISPMFSRRSNSYSSSDLEELLEIGTRCRQLKKEKDTLIDSRPQSFELIRLELHVNSLSEARKEDKLRIENLEKELTNCTQEIDYLQDQLCTRNTELTYLVDHVESLEFKLVHMEHSQEKASKLEEEVKRSNSECLFLMQKLDDKEQELRESNSNVEKLEESISAITLESQCEIESMKLDMLAMEQRYIETKKFQEEALSQNDKMDRLIEELQNAQRNVKFLETENEELQRELDVSTRNASTFCRSVEELIENKERSQNTMRNDRDGKLTSILKNSCGDVLGHLLPKLAVALFADANSEAKMDVMKKQILDYELLVEQLKEELREEKLKAKEEAEDLAQEMAELRYQITGLLEEECKRRACIEQASLQRIAQLEAQVLKGQNRSFPVARCMREI >KGN63632 pep chromosome:ASM407v2:1:1260712:1264663:-1 gene:Csa_1G007910 transcript:KGN63632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPLVNESSFSAANPSSYSLASIWPFGGDQGGSVLGLRMANLAQNLGGFRECSTNRDGSMEESTVTEQSGGGRKRKDVSSEDESSRMVSTSSANQLSNSNDKRMKVVESRDENGGIKAEVDPNSSDGKKLAEQSPKPEPPKDYIHVRARRGQATDSHSLAERARREKISERMKILQDLVPGCNKVIGKALVLDEIINYIQSLQRQVEFLSMKLEAVNSRMNITPGIEGFTVKNIVNQPYDAAGILYGSQAARDYTQGAQTEWLHMQIGGGFERTS >KGN64056 pep chromosome:ASM407v2:1:3840022:3844800:-1 gene:Csa_1G039220 transcript:KGN64056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAMPAALCLVTLVLALFLFVGCAARPFYPLPSRFPGEIRQPLETNSPYNIAHRGSNGEFPEETLPAYKRAIEEGADFIETDILSSKDGALICFHDVTLDEITDVGEYKKFVKRKRTYEVQGANVTGFFTVDFTLKELQSLKVKQRYPYRDQQYNGKFSIITFEDFIAVALDAPRVVGIYPEIKNPVFINQHVKWPDGKIFEDKFVEILKKYGYQGSYLSKNWLHQPVFIQSFAPTSIIHISNLTDLPKILLIDDTTMPTQDTNQSYWEITSDSYFNYIKKYVVGIGPWKDTVVPVVNNYILTPTDLVTRAHAHNLQVHPYTFRNEYNFVHFNFHQDPYEEFDYWINTIGVDGLFTDFTGSLHHFKKWTSNLPPNDADADELKASDLLHKISSMINSYTSP >KGN64271 pep chromosome:ASM407v2:1:5085429:5086806:1 gene:Csa_1G045690 transcript:KGN64271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPTNQHRSLAPPDHDDSPPLKPIFRATSSASIANNHSMLSKLDSSIAAVPNPSSLSTHIPFSTLHSQTGFSSQRSKNLNPPPALSVSSMLKSKPHLQKIPSGGDEKLSKVCRELGRKKFFYEKKETNDGFDKKGLCLVVKQKEEKEEKELKEPQKEQKIVSLRPTVSLLRKSGRRKSFAVSQVELSDIFAKNGVKVVSVDMQPAMQIHAVDCARKTHDSMEKFTSKTLALSLKREFDGVYGPAWHCIVGTSFGSFVTHSVGGFLYFSLDQKLYILLFKTSVQRAD >KGN66258 pep chromosome:ASM407v2:1:22321921:22324430:1 gene:Csa_1G589650 transcript:KGN66258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSLSLLISAWKEIIDQGFFIIFKNSSFSASDKALFLKSNSFKITEEEPVKNRATRSKPNSLKGNKPENVILETNLSFKSLVEDAGFSFSVSGSENLKTATPGVSLPEPAVMFSPRPVNELDAAAVKLQKHYKGHRTRRNLADCAVVVEELWWKAIDFANLKRSSVSFFNVEKPETAVSRWARARTRAAKVGKGLSKDEKAQKLALQHWLEAIDPRHRYGHNLHLYYDVWFVSESNQPFFYWLDIGDGKEITVEKCPRATLKKQCIKYLGPKEREEYEVIVKNGKLVYKKNGDIVETKEGSKWIFVLSTTRSLYVGQKKKGQFQHSSFLSGAAITAAGRLVAHDGLIQAIWPYSGHYHPTEANFNEFLSFLKENHVDLTNVKMCAIDDDSQYNAVEGEQKPNSRETSFKSTPPLEEEEEPKSTVPIASEEKSTTVTDDVRRSEKATAKQFDMSKRLSCKWSTGAGPRIGCVRDYPTELQTMALEHVNLSPRVGSGPLVNYGPIPSPRPSPKIRLSPRLAYMGHPSPRTPIAAAN >KGN64586 pep chromosome:ASM407v2:1:6976044:6980354:-1 gene:Csa_1G066550 transcript:KGN64586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATASAMLIVRVIPPAHFSSSSFSSSSSSSSFQAYPKASMDNQTPPTNFNAPINIPHSQKWTIPLNYSFLPTSLPADPQISPLNEEMDNKYEYEMKILKHLLSETAKNGSLEVLNMIDGIQRLGIDHCFKEEIEAILKEQYTIMATHHYFDTKCSLHEVALRFRLLRQHGYFIPSDVFESFTAKNGELNKKVFEDIEGLTSVYEACQLCLPGEEKLEIIGEFCGHILRTQIRNLENGMAKHVGDTLTNPFHKSLAKLFIKTHFGFKATNKWIFAFQKLAKLDFNRAQKSYQHEISQLILWWKKTGLSEELKFSRHQPLKWYICSLVCLGDPKFSEERVELTKSISFIYLLDDIYDLYGSIEELRLFTQAIQRWNLEAINSLPHPMKFCYIKLYETTNELSHKFSLKHGWNPIHCLQKSWTSLCEAFLVEAEWFASGHFPSAKEYLENGEVSSGVHVVLEHTFFLLGQGINNKTLQLLDTNPAIVSSTASILRLSDDLGSAKDENQEGYDGSYIDYYMKENPEISVDSAQQRVNHMISDAWKRLNQESLSPNNPFPETFIQASQNMARFVPVLYGYDENQNLPTLEKLMKFVLYESVQI >KGN66305 pep chromosome:ASM407v2:1:22607417:22609165:-1 gene:Csa_1G597030 transcript:KGN66305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLDTDVPMVPAGEASSSAGPSSSKKPKRFEIKKWNAVALWAWDIVVDNCAICRNHIMDLCIECQANQASATSEECTVAWGVCNHAFHFHCISRWLKTRQVCPLDNSEWEFQKYGH >KGN66663 pep chromosome:ASM407v2:1:26223550:26227046:1 gene:Csa_1G654920 transcript:KGN66663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYEGIKDGTAPIINLKGYMVGNGVTDDKFDGNALVPFAHGMALISHSIFKEAEAACGGNYFDPQTIDCIDKLDRVDQALRRLNIYDILEPCYHSPNTEMNTNLPSSFQQLGQTTEKTTLAVRKRMFGRAWPFRAPVRDGIVPLWPQLARSHNITHESTVPCMNDEVATIWLNDESVRAAIHAEPQSVTGAWELCTDRISYDHDAGSMIPYHINLTSQGYRALIFSGDHDMCVPYTGTQAWTSSIGYKIVDEWRPWFTNSQVAGYLQGYEHNLTFLTIKGAGHTVPEYKPREALDFYSRWLHGNSI >KGN66158 pep chromosome:ASM407v2:1:21515386:21525724:-1 gene:Csa_1G574260 transcript:KGN66158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHIFKKFHIGSNHEPNRSNENPSPVAAASSSPCVSDNRPATAPGQTSGNSPPSPSSSPSLATTSPGGGNVTQVSVPPNRSDYFSSEEEFQVQLALAISASNSDFRDDPEKDQIRAATLLSLGNHRIDSTARDQGDAAEVLSRQYWEYNVLDYEEKVVNGFYDVLSTDSAVQGKIPSLSDIEASFGSSGFEVVMVNMTIDPALEELVQIAQCIADCPGTEVRVLVQRLAELVMGHMGGPVKDAHFMLARWMERSTELRTSLHTSVLPIGSINIGLSRHRALLFKVLADSIKMPCRLVKGSHYTGVEEDAVNIIKLEDEREFLVDLMAAPGTLLPADIFNAKDTTNFKPYNPKVSRIPSLHHSNDVGISSAKPTSGLEEGSSQNFGAEAISLMDGKLGYGRTESVPSSSGTGTSRYKGAHFGDGNVRLNVNVVPFGQSSEDSKNLFADLNPFLIRGTGKSFIPNKFSDNKSEELQKPTIGHPPVPLWKNRFAFNAVPNKNEYDYMEGRFPRISRGPNDQNMALSSSNSTGSESVKPGGSGTSNDLSASVRSAEVGSSSSNMYAQPAFGMMEPNILPFIDEQNRKSNGEHSGNTDMEDEKVDAVDGRDNLIRFDNRRKFTYERSVGTNLILKDSGNPGLLVNPSSNRFEQVYDDVDVGQCEIQWEDLVIGERIGLGSYGEVYHADWNDTEVAVKKFLDQDFSGAALAEFKREVLIMRQLRHPNIVLFMGAVTRPPNLSIVTEFLPRGSLYRIIHRPNCQIDEKRRIKMALDVARGMNCLHTSNPTIVHRDLKSPNLLVDKNWNVKVSDFGLSRLKHNTFLSSKSTGGTPEWMAPEVLRNEPSNEKCDVYSFGIILWELATLRLPWSGMNPMQVVGAVGFRNQRLEIPKEVDPTVARIIWECWQTDPNLRPSFSQLANILKPLQRLVLPPHSDQPSSSVLQEISVNSTP >KGN64917 pep chromosome:ASM407v2:1:10054879:10056214:-1 gene:Csa_1G159840 transcript:KGN64917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINSEAIGVSLFVVGIVGWVYKSLKPPAPKICGTPNGPPVTSPRIKLNDGRHLAYKEVGVPKEKAKYKVIMCHGYDTSKHMHLALSQEFMEELNVHIVLYDRAGYGESDPYPSRSVKSEAFDIQELADQLELGNQFYVLGASFGTYAVWSCLNYIPHRLLGACLVVPFVNYWWQATPSALAKRSFEQLPKSFQLTFGIAHHTPWLYYWWTKQKWFPSMLDEGMFTDSDLELFMGVMNTLDNRPEKRRQQGEHESVHRDLLVSFGNWDFDPIELTNPLTHCNNNKSCVQMWQGSADRVVPIELNRFVARKLPWIEYHEIPNAGHMLFHDHRSLEAIMRALLPS >KGN64233 pep chromosome:ASM407v2:1:4882572:4882835:1 gene:Csa_1G044825 transcript:KGN64233 gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S12-B MEEGRRFSNEEKDPMTSKELNEEPYEVKISRTVLSSGSKGDLSVNFSTITPKKPNSALRKVARVRLTSGFEITAYTWYLAIIYKNIP >KGN64734 pep chromosome:ASM407v2:1:8051770:8055474:-1 gene:Csa_1G084330 transcript:KGN64734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGDKLVVQATEMGSREEPISIPIQKDEGTAAGITEEKEHSHQWKRSNLVLEIPSRTPESSPQDYHAIKMPQTPRKVNFLLTPSPSEVRINGSGSPGPSSSRGKSSIRSLFPKLSFIHRSSSDVEKVANLALEGSSNGAQEKPSISRSLSLSKIFTPRIKRTSSLPVTPIIHSNPESAHGGTRGGATNVIGKGAQRQIARSLSVPVNDKESSLRRMDSFFRVIPSTPLVKGGSGKLNITIEEAEEDNAGEDIPEEEAVCRICMVELCEGGETLKMECSCKGELALAHKDCAIKWFSIKGNKTCDICKEEVRNLPVTLLRIQSIRARSTGAIRALQEDVNGYRVWQEVPVLVIVSMLAYFCFLEQLLVGKMGSGAIAISLPFSCVLGLLSSMTSSTMVKRRFVWVYASFQFALVVLFAHIFYSVVGIQAVLSILLATFTGFGVVMSGTSILVEFIRWRRRWQASLEQHQTQMITRPGQFPRTSSV >KGN63780 pep chromosome:ASM407v2:1:2112021:2112556:-1 gene:Csa_1G015735 transcript:KGN63780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPLPYLQLRTLVLRSLSSSSTNSLKDSARTVLLHRNLTSLFHHFRHHGAALRFSSPLYLSLSHSFSSESVNNHVDQTSQSLASELLKDPDSDPLPITQRLQLSFSHVKPNPELKRFQCGSGSPCERFRDQWA >KGN65227 pep chromosome:ASM407v2:1:12949093:12950626:-1 gene:Csa_1G267230 transcript:KGN65227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLRFLICSVFVLTFTARAAHMSPSPPVKAAYWPSWFSESFPPSAVNTALFTHIYYAFLMPSNRSFTFDLPETTRAELSQFTTSLRRKNPPVKTLFSIGGGGSDSDLFARIASNAKSRQIFIDSSINTARKFGFDGLDIDWEFPKDTTEMKYFADLITQWRKTIDREAKLTSRPPLLLSAAVYYASEFRTYGEPRSFPAAEISKSLDWINVMCFDYHGSWDTTATGAHAALFDPHTNLSSHYGLRSWISAGVPRSKMVMGIPLYGKSWTLKDPYVHGVGAPAVGVGPGDQGILTYVQVKEFNKKTQATVVYDIRTVSAYSYVNTSWVGYDDIKSTTTKVEFAQANDLRGYFFWALSYDSGDWEISTHASKAWVEDQY >KGN63714 pep chromosome:ASM407v2:1:1724295:1727289:1 gene:Csa_1G012150 transcript:KGN63714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKICCIGAGYVGGPTMAVIALKCPTIEVAVVDISVAKILAWNSDQLPIYEPGLDEVVKQCRGKNLFFSTDVERHVSEADIIFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVSKTDKIVVEKSTVPVKTAEAIEKILTHNSKGIKYQILSNPEFLAEGTAIKDLFNPDRVLIGGRETPDGLKAIQALKSVYAQWVPDERILTTNLWSAELSKLAANAFLAQRISSINAMSALCEATGADVSQVSHAVGKDTRIGPKFLNASVGFGGSCFQKDILNLIYICECNGLNEVAGYWKQVIKVNDYQKNRFVNRVVSSMFNTVSGKKIAILGFAFKKDTGDTRETPAIDVCKGLLGDKAKLSVYDPQVTADQIQRDLSMNKFDWDHPIHLQPVSPTAAKEVSFAWDPYEATKDAHGVCILTEWDEFKTLDFQRIFKQMQKPAFVFDGRNVVDVQKLREIGFIVYSIGKPLDPWLKDMPVMA >KGN63965 pep chromosome:ASM407v2:1:3395268:3397670:-1 gene:Csa_1G031860 transcript:KGN63965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLMDQERLRKIASAVRTGGKGTVRRKKKAVHKTTTTDDKRLQSTLKRIGVNAIPAIEEVNIFKDDVVIQFNNPKVQASIAANTWVVSGSPQTKKLQDILPGIINQLGPDNLDNLRKLAEQFKQQVPGAGGDPKNAQEEDDDVPELVEGETFEAPAEENRSS >KGN66619 pep chromosome:ASM407v2:1:25691789:25692082:1 gene:Csa_1G650065 transcript:KGN66619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLLYEYDIFWVFLIISSVIPILAFLISGVLAPLSKEPEKLSIFVVFDVETKL >KGN63712 pep chromosome:ASM407v2:1:1709820:1713542:-1 gene:Csa_1G012130 transcript:KGN63712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQKQPFDDGEPLEISSKRLKQVVEQSNQILSFSESVIPEDSLQYHYGLGDEFQKNDTESDEKHSSGVFSEPHGSSDDFDTSVPHCLSFSSGTNNNKTLEEGSPSKSPPHYSISSDFFNPVNHQRRILTYCEEIYSLLLDHAPQKSVSIGPEHQAIVPPWRPREVDVILHAPGSDSKSNFTGDEYEKRLTGTCVIPMPDVDSSISSGQEVGSGRAACSCEDCGSVGCVSTHIAEAREQLKSSIGPDRFADLGFSEMGEQLAQKWSEEEERLFYEVVFSNPVSMGKNFWSDLSVVFASKSKREIVSYYFNVFMLRRRAEQNRCDSLNIDSDNDEWPGTDDYGDNEPGMTEEDDDSVVESPLHDIGSCFDRSREDELQEYDEDIADERFDDDESGGIGNCFNNCGSSPTLQEKIPHDERGGDHEVQDDSCTSSDTCPATQVLPAKTEHCDQWLSSFTGPNNGVGLGHEPSSVQEHCDAKVWDVGYLTCSKSEVDFLPTSSMIEEVFGDDSSNYKARDGKSLS >KGN65632 pep chromosome:ASM407v2:1:17035849:17036304:1 gene:Csa_1G471470 transcript:KGN65632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLHNTTKLVLFFISSGFWLLSNRLILFVWHYSVSQTEAELLAEKRCVAHLTGEGIAVCDLPGDTMLPGEM >KGN65393 pep chromosome:ASM407v2:1:14905083:14908475:-1 gene:Csa_1G407170 transcript:KGN65393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALPFPLPTNPIYSLYTPRKPHYSPTHFASFSQIASNVQISYKSYLNHISSLCKQGHLLEALDLVTDLELEDITIGPDVYGELLQGCVYERALSLGQQIHGRILKNGESIAKNEYIETKLVIFYSKCDESEIANRLFGKLQVQNEFSWAAIMGLKSRMGFNQEALMGFREMHEYGLLLDNFVIPIAFKASGALRWIGFGKSVHAYVVKMGLGGCIYVATSLLDMYGKCGLCEEAKKVFDKILEKNIVAWNSMIVNFTQNGLNAEAVETFYEMRVEGVAPTQVTLSSFLSASANLSVIDEGKQGHALAVLSGLELTNILGSSLINFYSKVGLVEDAELVFSEMLEKDTVTWNLLVSGYVHNGLVDRALDLCHVMQSENLRFDSVTLASIMAAAADSRNLKLGKEGHSFCVRNNLESDVAVASSIIDMYAKCEKLECARRVFDATAKRDLIMWNTLLAAYAEQGHSGETLKLFYQMQLEGLPPNVISWNSVILGLLNKGKVDQAKDTFMEMQSLGICPNLITWTTLICGLAQNGLGDEAFLTFQSMEEAGIKPNSLSISSLLSACSTMASLPHGRAIHCYITRHELSVSTPVLCSLVNMYAKCGSINQAKRVFDMILKKELPVYNAMISGYALHGQAVEALSLFRRLKEECIKPDEITFTSILSACGHAGLVREGLELFIDMVSNHKIVAQAEHYGCLVSILSRSHNLDEALRIILGMPFEPDAFIFGSLLAACREHPDFELKERLFERLLKLEPDNSGNYVALSNAYAATGMWDEASKVRGLMKERSLSKIPGHSLIQIGNKTHVFFAGDKSHSRTKEIYMMLALLRVEMQFTRCISVIS >KGN65108 pep chromosome:ASM407v2:1:11785058:11788770:-1 gene:Csa_1G224880 transcript:KGN65108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQKQSTEETREEFRLLSFKELPDYMKDNEFILHYYRAEWPLKRALLSVFQWHNETLNVWTHLIGFVLFLGLCLVNLMEGIPQVADLFGFFSRSMLTSLYTNISSYASKDLIGSTTPELMELAGSILPYDGSPTSSGHINSITNKSSTERWPFFVFLSGSMFCLLSSSICHLFCCHSHPLNILLLRIDYVGITVMIITSFFPPIYYIFQCDPLWQIVYLAGITLMGIFTVVTLLSPSLSTGKFRSFRALLFVSMGLFGIVPAIHSAVVNWGNPRRNITLAYEGAMAVFYLTGTGFYVSRVPERWLPGRFDLAGHSHQLFHVLVVFGALAHYGATLVLLEWRQNFGCQNFN >KGN65328 pep chromosome:ASM407v2:1:13857649:13860606:-1 gene:Csa_1G328400 transcript:KGN65328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASINSLSPSQSLLKNTLFVIPTSFSNPNFDSCFLPNKRVPFSLRFQKNPVGISTVRMRTVPFVSATTAEKPQKRYPGESKGFVEEMRFVAMKLHTRDQAKEGEKVTKEPQEGPVAKWEPTVEGYLKFLVDSKLVYDTLDRIMAKAAFPSYAEFSSTGLERSEPLAKDLEWFKEQGYAIPEPSSPGVTYARYLEELSEKDPQAFICHFYNIYFAHTAGGRMIGRKVAEKILDNKELEFYKWDGDLSQILQNVREKLNKVAESWSREEKNHCLEETEKSFKHSGEILRLILS >KGN63568 pep chromosome:ASM407v2:1:807495:821790:-1 gene:Csa_1G004350 transcript:KGN63568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSTDIVDLSSDDEEGLNLKAVKLEPEVDGGVVLPKEHTKKNTVKHEDLNTEFVSQGFDENRSPNVWSAGQSSSSILDQVPSPADDSGLTSPSPLCPAPVCRQFWKAGNYNDGVASTVTVQSSKGHLHVHPMFLHSNATSHKWAFGAVAELLDNAVDEIPNGATFVNVDKILNARDGSPALLIQDDGGGMDPKAMRRCMSFGFSDKKSKSAIGQYGNGFKTSTMRLGADVIVFSRHVNNRSRVSTQSIGLLSYTFLTRSGYNRIVVPMVDYQYNTSSGKMEILHGRERFTSNLSILLQWSPYSSESELLKQFNDIGSHGTKVIIYNLWYNGDGRLELDFDTDQEDICIDGDVKKMAALPASKAINEQHIANRLQYSLREYLSILYLRTSENFKIVLRGRVVLHHNLADDLKYIQYILYKPHSGGHVEGVVVTTIGFLKEAPDVNIHGFNVYHKNRLILPFWRVVSYSESRGRGVVGILEANFIEPTHNKQDFERTPVLQKLEARLKDMTWEYWDCHCGLVGYQVRKQFRVTTPSKTPFNIRVPAGKEHPQMLNQRVPLEHPQMMNQRVPFAVTETNGRPEQFTLETPGKSREGVCMKRKADVLIEDEQSGSARHQNNQQGNILLEQNTKLRVNCSEYEKREEELNLKATQLRSNIQEVELEIKRLLDELKSLEAVKVE >KGN65908 pep chromosome:ASM407v2:1:19602538:19606288:1 gene:Csa_1G537560 transcript:KGN65908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREILHIQGGQCGNQIGAKFWEVICDEHGIDHTGKYSGDSELQLERINVYYNEASGGRYVPRAVLMDLEPGTMDSVRSGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLATPTFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPKGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATADEEYEDEEEEIPA >KGN63992 pep chromosome:ASM407v2:1:3525486:3526485:1 gene:Csa_1G033120 transcript:KGN63992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQNRVVLYGMWASPYAKRVQLALKIKGIPFQYVEEDLQNKSPDLLKFNPVYKKVPVLVHNGRPICESALILEYIEEVWNNNGPSLLPQDPYKRAQVRFWADYVQKQVFEGLFLLIKTEGEAQEKAVEDVKEKLKVLEEQGLKNLLAEGSTFVNGDELGYLDIVMLTLLGMYKVHEEFFGVKIVEEEKIPVVFSWLNRLIEHPFAKESAPPKEKVLGFLHFLRQKFIHSQAAA >KGN65116 pep chromosome:ASM407v2:1:11892751:11896898:1 gene:Csa_1G226440 transcript:KGN65116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWFFKSFQATDDGISTQSHFNASSSPSECVRFTTADDPSVDNDFSAFGETLSRQLRGFANFLAPQPSPTPTVVSAADSSYSSSNSSSPSQTLQGIRNDLAEIGGGLRNGFSMLSVNGNKAVGEISRFAANLLPFRKRSADYEEDDELEDEAIDDTPGITNEVLNFVNDISLRPKYWMNFPLALDEADFKMSDVQRIHISTVEQLVPSISALKIKLQSFMSNEIFWMIYFILLLPRFNQSDFELLATSEIVEARNILLDKLQRKEKPQVNSENSYDNNVVCDTQQKEENTVSEEKRNSTQIIEGLETEDGDRGNTNQRLAKRDSEIYKAAKKRVIRVEDVSFSDIEEEDDERNHSQRISSSTESSDWVQLHESQDSKEGGDSSDWFDVDEFD >KGN64133 pep chromosome:ASM407v2:1:4308555:4308893:1 gene:Csa_1G042450 transcript:KGN64133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKKKTVFGLMELTWDFSDILNLRLCCFLIWLRVVQACVEWLSFLCMVLQKVLEDMEISPQIFI >KGN66475 pep chromosome:ASM407v2:1:24039932:24045205:-1 gene:Csa_1G613470 transcript:KGN66475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWNSTPQTEVHHVNTNYPYNTAGSFLEYFEGLTYEHVNFIFSGASHSQENVYPPLNSNFYKFGFSDFGSPSYYNPPQAYRMHDHEPIIYEHRRLENSATQPNLQSTVNPEFEEITNTIAFDNHVECPRRHHNSHDFQVVWQDNVDPDNMTYEELLELGETVGTQSRGLSQELIALLPISKYKYSFFSRKKSRGERCVICQMEYKRGDRRITLPCKHIYHAGCGTKWLSINKACPICYTEVFGDTSKR >KGN66022 pep chromosome:ASM407v2:1:20683640:20684249:1 gene:Csa_1G568510 transcript:KGN66022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFHRFQLLVLSVSAILGRRNLAEVSCFRSMLQIPLSFSSFGKEAGIWLRELVELTIAQVGGGGKLELSVDNGAWRWQWWLQLAGKGQ >KGN65889 pep chromosome:ASM407v2:1:19379351:19380088:-1 gene:Csa_1G537390 transcript:KGN65889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTHPTMVVYAQMKRVEFQQVDMLITDHIHFDHIVSQTSRVHCDHSKMHILVSSPKSHNAFPSYNGDINEIPCDQDEMVGSFA >KGN66344 pep chromosome:ASM407v2:1:22889802:22896837:-1 gene:Csa_1G599380 transcript:KGN66344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGEEHQPEPTLENVTAPSSISAIAEDLQRTVVQSKDSAIRSARSFQQASSSHIRSLQDFVPQATSQFKTYEDTFFRKLTDELKIAREHPAATIGVAVTAGLLIMRGPRRFLFRHTLGRFQTEEASFLKAEKHVKELNLSVDLMKNESKKLLERAALAEKDMKYGHNELMNAGSQIQRLSRSIYKAEAQAADLMDGLREISGRDALKLRAEVASMTTFLKRQRTLLENRAMKVSDMGIPL >KGN65734 pep chromosome:ASM407v2:1:18166016:18170619:1 gene:Csa_1G523090 transcript:KGN65734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPEKNESKGGFFAAMTSGISKLSNAMHRSVNGFLGYEGVEVINPEGGKEDAEEEAQKGRWKQEDRESYWKMMHKYIGSDVTSMVTLPVIIFEPMTMIQKMAELMEYSHLLNLADECEDPYMRLVYASSWAISVYYAYQRTWKPFNPILGETYELTNHNGIAFLSEQVSHHPPMSAGHAENEHFSYDVTSKLKTKFLGNSLDVYPVGRTRVTLKRDGVVLDLVPPPTKVNNLIFGRTWVDSPGEMIMTNLATGDKVVLYFQPCGWFGAGRYEVDGYVYNSAEEPKILMTGKWNEFMSYQPCDMEGEPLPNTELKEVWHVAKTPENDKFQYTHFAHKINSFDTAPKKLLASDSRLRPDRYALEKGDLSKAGSEKSSLEERQRAEKRTREAKGQSFMPRWFDLTEEVTSTPWGDLEIYQYNGKYTKYRAEIDSSGSSSNDDVDVKSIEFNPWQYGNLSTD >KGN66365 pep chromosome:ASM407v2:1:23066250:23071023:-1 gene:Csa_1G599560 transcript:KGN66365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDEKKAKSAGVWPTVKPFINGGVSGMLATCVIQPIDMIKVRIQLGQGSAGQVTKNMLKSEGVGAFYKGLSAGLLRQATYTTARLGSFKILTNKAIEANDGKPLPLYQKALCGLTAGAIGASFGSPADLALIRMQADATLPVAQRRNYKNAFHALYRIVGDEGVLALWKGAGPTVVRAMALNMGMLASYDQGVEFFKDNLGFSEATTVLSASAVSGFFASACSLPFDYVKTQIQKMQPDAEGKLPYSGSMDCAMKTLKSGGPFKFYTGFPVYCIRIAPHVMMTWIFLNQVQKFEKSIGL >KGN65954 pep chromosome:ASM407v2:1:20074535:20075461:1 gene:Csa_1G542450 transcript:KGN65954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALTYYSNFYSPDPSPYYHHFHYFSPEFSPDLSYVPPPQLLNHPAAFDYVDDSLFYPTADTLLFDDALPFLFSDTYPCFSAPSVDEFLPVSSQFFPFDEFEFHCPKRQRAVFEHSFCCGGGVGDGNVGGGGSGAGAGFFPSPPPPPPPLAEVFSGPWDSRMDNAEMRNDCLKSQPSPAPSSHNNLSAQTIAARERRRKITVKTQELGELVPGGSKMNTAEMLNSAFKYVKFLQAQVAILQLKQETEQEGQETENLEILESTMIQEKLYSEEKCLVPKGFIQNLADFPEIQSHPSIFNSINKILHNSS >KGN66746 pep chromosome:ASM407v2:1:27185458:27189877:-1 gene:Csa_1G673530 transcript:KGN66746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQNFRRVPSIKAFSMYDLKNFTPKRISFYQQFSCVGGDPVFSESLCKELQKKFFQQRCELGRIGRRNLNQRLNLDIPENNTFLLQRDILAAADHLIGLKFGMVSTNQLKVAEKTALLRPVELKRVPLALEASAFRSKFLDTDELISYCSWFATFSGVVPEWVQKTRIVKKLNKMLVNHLGLTLSKEDLQNVVDLMEPYGQISNGIELLNPPLDVRNYFTLVFKFLAVLVSYCHLKVVGLVFFIYPVAIHYLTITNFQVFKDYFLMHKRMKKH >KGN65445 pep chromosome:ASM407v2:1:15369165:15370943:1 gene:Csa_1G423030 transcript:KGN65445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAFDNPIIPLEAEEDDQFPIGLKVLAIDDDIVCLRYLVQLLEKCQYKVSATTRAEEAIRLINDEKQIFDIVITNVVRHDMDGFEILQIIGVGMDIPVIMVSANEDLKMMKRGLMEGAELYLPKPVGLQELKNIWQLVLRKRLSRKRSNPNLLLLEQPAVEEHRHSLERVTMDQEYYVGDHIVNKLDDDNDNYNNNIVDSSSCSKKKPRISWTKELHAKFIEAYEILGEKERVPNNILKHMNDPRLTRENVASHLQKHRDTLRKKKESGVGKLGIQKKLYDKNQTKTSTLYNSMNHMNKVSNLGSQFLISNGQGNQTWTAARSCYPVPEFKPFNFPPNNSTSRTCDDDNPYYSGGFDEPINNSSYYPNSLETNCGFNSTSQILVGQYAIENHTDGGAGQCYQMQFNAGNSLESLLMDGELSDIFK >KGN64798 pep chromosome:ASM407v2:1:8693037:8693714:1 gene:Csa_1G103250 transcript:KGN64798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSKTMKKKNSIKVVVEKLQKSLSRGRKPINGHYNEDFDELVDSTAVPEDVKEGHFAVVAVDGKEPKRFVVPLSCLTHPMFLRLLEQAAEEYGFDHEGALTIPCQPSEVEKILAEQWKLESKRDSRDAITWGTLCKAIIQSY >KGN64125 pep chromosome:ASM407v2:1:4275943:4277375:-1 gene:Csa_1G042370 transcript:KGN64125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNWLGIMGIYSCKYKKKSPSLDWTTRFHIITGIVRGLLYLHEEAPSRIIHRDIKASNILLDEKLNPKISDFGLARLFPGEDTHLNTFKIAGSLYKIGEVPKQICLQQAWLLYQEGRVLELVDQSLTKYNVDEAAMCIQLGLLCCQAKVSDRPDMSTTHLMLSSDSFTLPRPGKPGIHGRAPRHRTTTSTLAFTKTNTSTHSGVTKASTSNSLMEDYSRNSMSVSSFDEGR >KGN64552 pep chromosome:ASM407v2:1:6829924:6831950:1 gene:Csa_1G064740 transcript:KGN64552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTFSWSKIPHTPCYQNPKSATIQSSFSVSLINNQSSSDSVSEVRKSKGSPQLNRWSRARALRSGHKLERHSNRAAQAVELRSPDSSTDRALPELDSKGRYGDDDVEKTVGKSIYMVSDGTGWTVEHSVTAALGQFTHCLVDRVCPVSTHLFSGVDDVERLREIVKQAAKEGAMLVYTLADPFMAESAKQACKLWGIQSTDILGPLTEGVASHLGVSPSGLPRGAFGNNIPLTDEYFRRIEAIEFTIKQDDGALPQNLNKADIVLAGVSRTGKTPLSIYLAQKGYKVANVPIVMGIKLPKNLFEADPEKVFGLTINPIVLQTIRRARAKSLGFSEEMRSTYSEMEFVREELDYAGKVFAQNPTWPVIEVTGKAIEETAAVILRLYHDRKHKCSMPRISKRY >KGN63700 pep chromosome:ASM407v2:1:1637896:1638810:1 gene:Csa_1G011520 transcript:KGN63700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENRSYEPEKTAKDVSLQELRDRLAEFARVRGWEQYHSPRNLLLALVGEVGELSEIFQWKGEVERGLPNWSAAEREHLEEEVSDVLLYLVRLADVCGLDLGHAALSKLVKNANKYPVAAFTRALP >KGN64057 pep chromosome:ASM407v2:1:3846036:3848724:-1 gene:Csa_1G039230 transcript:KGN64057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEAIGVCGDDLMLRLSPKMSRETTNVSISEPPDLTLRLSLGGIYCGKAKENSLARSSSVIGVISQNGETPKWDMQRQTGSFLSLSRSCSLPAETDQLGRIKLKELQLLRRMEAKKRLVEQRSSRAPAPEDEKSAAAPPSPSEVVAWAAASAAKSPALCRAIDKIKSTQGNHSQSYTTEGHGSVGSGKGSSSSQSSLESNDREPVVNSQTMASRKTEKPPTNAAKRARISKVLMEGDKGMDVMRTMPSVSTIGDGPNGRKVEGFLYKYMKGQVCIVCVCHGSFLTPTEFVKHAGGKEVANPMKHIHVCCTSFSL >KGN65848 pep chromosome:ASM407v2:1:18983048:18986010:-1 gene:Csa_1G533550 transcript:KGN65848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCCPRIVPSFLSLFHLLLLLCSLVSASPPIPNYGCEKVSLDVYYESLCPDSVKFIVDNLIELFEGDLLSIVDLRFVPYGNARLDRNSSITCQHGPNECLLNTVEACAIHAWPDLTGATGHFPFIYCVQTVVYERKYTQWKTCFEKLGLNSKPVYDCYSSGLGKELELQYAAETNNLQPPHKYVPWVVVDGQPLYEDYENFVSYICAAYKGFSVPTACRAKSLSDI >KGN65686 pep chromosome:ASM407v2:1:17588390:17594284:-1 gene:Csa_1G497310 transcript:KGN65686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGGENYGKKEVFATTSSKKETYPAWARDVQECLEIYQVNPDLGLSTEEVENKRKIYGYNELEKHEGTSIFKLILEQFNDTLVRILLAAAVVSFVLAWYDGEEGGEMEITAFVEPLVIFLILIVNAIVGIWQENNAEKALEALKEIQSEQASVLRNGKRTSILAKELVPGDIVELRVGDKVPADVRVLRLISSTFRVEQGSLTGESEAVSKTSKAVPEDSDIQGKKCMAFAGTTVVNGNCICIVTQTGMSTELGQVHCQIQEAAQSEDDTPLKKKLNEFGELLTAIIGVICALVWLINVKYFLTWEYVDGWPANFKFSFEKCTYYFEIAVALAVAAIPEGLPAVITTCLALGTRKMAQKNALVRKLPSVETLGCTTVICSDKTGTLTTNQMAVAKIVALGSRVGTLRAFDVEGTTYDPLDGKIIGWLGGQLDANLQMLGKIAAVCNDAGVEKSGHHFVANGMPTEAALKVLVEKMGLPEGYDSSSVETNGDVLRCCQAWNKNEQRIATLEFDRDRKSMGVITNSKSGKKSLLVKGAVENLLDRSSFIQLLDGTIVNLDSDSKRCILDCLREMSSSALRCLGFAYKEYLPEFSDYTIGDEDHPAHQLLLDPSKYSTIESNLIFAGFVGLRDPPRKEVHQAIQDCKAAGIRVMVITGDNQNTAEAICREIGVFGQHEAINSRSLTGKEFMTMSREDQKFHLRQDGGLLFSRAEPKHKQEIVRLLKEDGEVVAMTGDGVNDAPALKLADIGIAMGIAGTEVAKEASDMVLADDNFSTIVAAVGEGRSIYDNMKAFIRYMISSNIGEVASIFLTAALGIPEGMIPVQLLWVNLVTDGPPATALGFNPPDNDIMKKPPRKSDDSLITTWILFRYLVIGLYVGLATVGVFIIWFTHGSFLGIDLSGDGHSLVSYSQLANWGQCPSWEGFSVSPFTAGDEVFSFDSDPCEYFRSGKIKASTLSLSVLVAIEMFNSLNALSEDGSLLTMPPWVNPWLLLAMSVSFGLHFLILYVPFLAKIFGIVPLSLNEWLLVLAVALPVIIIDEILKFIGRRTSGLRTSRPSRLSKQKSE >KGN66144 pep chromosome:ASM407v2:1:21435390:21437341:-1 gene:Csa_1G573630 transcript:KGN66144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNGYLQNERYNDCIELLKMMSRCHLEFDSYTCNFALKACMFLLDYEMGMEVIGLAVCKGLAGGRFLGSSILNFLVKTGDIMCAQFFFHQMVEKDVVCWNVMIGGFMQEGLFREGYNLFLDMLYNKIEPSAVTMISLIQSCGEMRNLTFGKCMHGFVLGFGMSRDTRVLTTLIDMYCKSGDVESARWIFENMPSRNLVSWNVMISGYVQNGLLVETLRLFQKLIMDDVGFDSGTVVSLIQLCSRTADLDGGKILHGFIYRRGLDLNLVLPTAIVDLYAKCGSLAYASSVFERMKNKNVISWTAMLVGLAQNGHARDALKLFDQMQNERVTFNALTLVSLVYCCTLLGLLREGRSVHATLTRFHFASEVVVMTALIDMYAKCSKINSAEMVFKYGLTPKDVILYNSMISGYGMHGLGHKALCVYHRMNREGLQPNESTFVSLLSACSHSGLVEEGIALFQNMVKDHNTTPTDKLYACIVDLLSRAGRLRQAEELINQMPFTPTSGILETLLNGCLLHKDIELGVKLADRLLSLESRNPSIYITLSNIYAKASRWDSVKYVRGLMMEQEIKKIPGYSSIEGEFAAMLGVIKQMLGSSRWPSVVSYFVHY >KGN65875 pep chromosome:ASM407v2:1:19202473:19203697:1 gene:Csa_1G535790 transcript:KGN65875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSATAFHSSFCFSSHNKLSSSPSNSHQPNTSLSPKPKTLLHKHPLYTPLHSTVSSQTKEKILCLEIMGVDSGKALSQNPSLHSVTLESIHSVISFLQSKGIHQKDFAKIFGMCPKILTSDVKTDLVPVFNFLSEDLKIPDQNFRKAINKCPRLLASSAEDQLKPALFYLQRLGLKDLEALAYHDSVLLVSSVEKTLIPKLKYLESLGFTRSEIVGMVLRCPALLTFSIENNFKPKFEYFSVEMHKKLEELKDFPQYFAFSLEKRIKPRYVETVESGKKVPLSLMLKTTDVEFRELLAEGGG >KGN65825 pep chromosome:ASM407v2:1:18811825:18814918:1 gene:Csa_1G532330 transcript:KGN65825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTDSERRDDEEAPAGEDEDTGAQVAPIVKLEAVDVTTGEEDEDTVLDLKAKLYRFDKDGNQWKERGAGTVKFLKHKQTGKVRLVMRQSKTLKICANHLVLPSMTVQEHAGNDKSCVWHATDFADGELKDELFCIRFPSIENCKSFMETFQEIAESQQKKGENKDASAAAGLLETLSVEEKKTEDKAEEEPAKVKKEDEPKGEPEKSEAEKKNDE >KGN66338 pep chromosome:ASM407v2:1:22852399:22856095:-1 gene:Csa_1G598340 transcript:KGN66338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNCRRSFNQILYYARFPLMAAYSMTQNLRLSHFDMQPHRAPQQLLFERCCGLPITGELVLRGFSGNRCTGFSLKACAQSPQDNYSNGSFGFNAKGPTLARRPQLLNSVDNLVDGIDRRFSSSVHEEPKWGNPLTFHEISSRDKLVVAVDIDEVLGNFVSALNKFVADRYSSNHSVSEYHVYEFFRIWKCSRDEANIRVHEFFKTPYFKTGIWPIPGAQSTLLKLSRFCHLSVVTSRQNAIKEHTLEWIEKHYQGLFQEIHFGNHFALDGESRSKAEICKSFGASVLIDDNPRYAIECAEAGIRVLLFDYENSYPWCKTECGDLPPLVTKVHNWEEVEKQLASCVLPS >KGN63545 pep chromosome:ASM407v2:1:645459:645836:-1 gene:Csa_1G004110 transcript:KGN63545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRTDFSENNSASGLTSFLMNEVKESSSNSSVVSNYSGYHMNNPGENNNNNNGGGSSWESSENKLENLLEFQTNQMKNLEFKGSSSVEETKIIQNQINSATFGSYPLMSMSENERAGSFGIFHHI >KGN65317 pep chromosome:ASM407v2:1:13738894:13740334:1 gene:Csa_1G320350 transcript:KGN65317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNKDDALKCLKIGKESLEAGNRTRALKFVNKALRLDPTLSVDDLLSELQNGSSEDLGGDAGESRNRSSDNFSSKPSDQPSIRRRVPASGSSESSTSAIYTEEQIEIVRKIKKKKDYYEILGLEKSCSTEDVRKAYRKLSLKVHPDKNSAPGAEEAFKAVSKAFQCLSNEESRKKYDVVGSDEPVYERRTTRHGAHGFNGFYEGDVDAEEIFRNFFFGGMAPTTTHFRTFNFGTGMGNRTADQGSGGGFRTLIQLLPVLLILLLNFLPSSEPIYALSRSYPYEFQFTTQKGVNFFVKSTNFEEKYPPGSPDRIAIDRHIERDYFNILAQNCRVEMQRQQWGFIRETPYCDMLQKFQTAAS >KGN63535 pep chromosome:ASM407v2:1:595079:597553:-1 gene:Csa_1G003530 transcript:KGN63535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRRNAIFTSLRLANSFFSTRSRYPQVTRFSPSSYVSHQSLVSHFTINHPVLFFSSNPQSLLQLVSTNDWSEMLETELETLNPTLTHETVVYVLKRLDKQPQKASEFFNWASGKNGSTQSSSIYSMLLRIFVQNESMKLFWITLRLMKERGFYLDEETYKTILGVLRKSKKAADATGLTHFYNRMLQQNAMDSVVQKVVDIVLGSDWSNDVPGKLEELGIALSDNFVIRVLKELRNSPLKALSFFHWVGCRPDYDHNTVSYNAIARVLGRDDSIEAFWGVIEEMKHANHEIDIDTYIKISRQFQKSKMMGEAVKLYELMMDGPYKPSLQDCSVLLRTIAASDNPDLSLVYRVAKKFEATGYSLSKAMYDGIHRSLTSTGKFDDAENIVKSMRNAGYEPDNVTYSQLVFGLCKARRLEEARKVLDEMEAQGCIPDIKTWTILIQGHCNANELDIALVCFAKMIEKNCDPDADLLDVLISGFLNQKKLNGAYQLLIELTNKAHVRPWQATYKQLIKNLLEVRKLEEAIALLRLMKKQNYPPFPEPFVQYISKFGTVQDADDFLKVLSSKEYPSVSAYLHIFNSFFNEGRYSEAKDLLFKCPHHIRKHNEVCKLFGSAESNTTAATQSSSNPIET >KGN65918 pep chromosome:ASM407v2:1:19691947:19702635:1 gene:Csa_1G538160 transcript:KGN65918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKASKSKEAPAERPILGRFSSHLKIGIVGLPNVGKSTLFNTLTKLSIPAENFPFCTIEPNEARVNVPDERFEWLCNLYKPKSEVSAFLEIHDIAGLVRGAHEGQGLGNSFLSHIRAVDGIFHVLRAFEDADIIHVDDTVDPVRDLEVISEELRLKDIEFINNKIEDIEKSMKRSNDKQLKIELECCQKVKASLEEGKDIRLGDWKASDVEILNTFQLLTAKPVVYLVNMTEKDYQRKKNKFLPKIHAWVQEHGGETIIPFSGVLERNLADMSSPADVEKYCEENKIQSALPKIIKTGFSAINLIYFFTAGPDEVKCWQIRRQTKAPQAAGTIHTDFEKGFICAEVMKFEDLKEHGSETAVKAAGKYKQEGKTYVVQDGDIIFFKFNVSGGGKK >KGN64599 pep chromosome:ASM407v2:1:7108082:7109851:-1 gene:Csa_1G071130 transcript:KGN64599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGERICRCSKVSAFLTFLTTIALFSRKLSAIDTIKEGELLSGSTEILVSSQQNFVLGIFNPQGSKFQYLGIWYKNNPQTIVWVANRDNPLVNSSAKLTVNVEGSIRLLNETGGVLWSSPSLGSRKLLIVQLLNTGNLVVTESGSQNYLWQSFDYPSDTLLTGMKLGWDLKSGLNRKLTSWKSSNDPSSGGFTYSVETDGLPQFVIREGPIILFRGGPWYGNRFSGSGPLRDTAIYSPKFDYNATAALFSYDAADNLFVRLTLNAAGYVQQFYWVDDGKYWNPLYTMPGDRCDVYGLCGDFGVCTFSLTAECDCMVGFEPKSPNDWERFRWTDGCVRKDNRTCRNGEGFKRISSVKLPDSSGYLVNVNTSIDDCEASCLNNCSCLAYGIMELPTGGYGCVTWFHKLVDVKFVLENGQDLYIRVAASELDTTKKKLLVAICVSLASFLGLLAFVICFILGRRRRVRGKHFLHCFINLLIVRPAHIFILFLVPKFCNSDNMVSPDNSEGHIQSQENEVEPIFDFTTIEIATNGFSFSNKIGEGGFGPVYKVVFLTLTSLY >KGN66434 pep chromosome:ASM407v2:1:23693266:23698416:1 gene:Csa_1G605630 transcript:KGN66434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNNFLQENCKGQEWKTYNINALSEMDGSDEICSSTTCKNNEIDFEVLHAQSNTEGNSYSQQKLAQPCLDPIKVNPSLREPNDSYESSDERSSFLSLPKDFICPLTGELYQDPVTLETGQSFEKTAIKAWLDQGHRTCPVTGKKLETLAIPLTNFVLQRVIKNWNSNRRRNFLAFLSQGVHSSEKSMINNKSETTIFILDHFLAAGGKVEAMENANYLIANGYLRFLIQLFESGNLEEKTRVLALLSRCIQADEQCRNQIANEISISSLVNLLHSKQVKSLESVVQLLTKLICLKRRKDVTLFLSSLLKEDSENTLQAVLVYLRSSPPVQRPLVAVLLLHFNLVVESQQQSMYMEEALDAIIKALDDSLTNQKIRESCCKAILILGGHFSLPETFGSTTLKEIGFINFVEEDEKQAIEEWQRKLTLSLVKSVKQPFFAIISKCLAIGSLDLVGVGLSTLTWLSFSLPLLPAPKFHPLALSDLICLLKDCLQNSMLVEHKILASTCLLNLSKIAECRLIVIAIRKEIEDPLRSIAEISQSAKHLYAIITRRENI >KGN64971 pep chromosome:ASM407v2:1:10543834:10545640:1 gene:Csa_1G169950 transcript:KGN64971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIPSHTATPSQLQLPPFTPSSIPLSNPTKLNFPRSPNSPHRNISSKFNPNSVDPIVLWTSSLARYCRNGQLSEAAAEFTRMRLAGVEPNHITFITLLSACADFPSESFFFASSLHGYACKYGLDTGHVMVGTALIDMYSKCAQLGHARKVFYNLGVKNSVSWNTMLNGFMRNGEIELAIQLFDEMPTRDAISWTALINGLLKHGYSEQALECFHQMQRSGVAADYVSIIAVLAACADLGALTLGLWVHRFVMPQEFKDNIKISNSLIDMYSRCGCIEFARQVFVKMAKRTLVSWNSIIVGFAVNGFADESLEFFYAMQKEGFKPDGVSYTGALTACSHAGLVNKGLELFDNMKSVHKITPRIEHYGCIVDLYGRAGRLEDALNMIEEMPMKPNEVVLGSLLAACRTHGDVNLAERLMKHLFKLDPEGDAYYVLLSNIYAAIGKWDGANNVRRTMKARGVQKKPGYSSVEIDGKVHEFVAGDNYHADADNIYSMLDLLCHELKVCGYVPGSDTILNTKESNKDD >KGN64343 pep chromosome:ASM407v2:1:5488506:5493022:1 gene:Csa_1G046870 transcript:KGN64343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTMVIKVRYGDMLRRFSVKVDENNRLDLDINGLRSKVVDLFSFSSDTDFILTYVDDDGDVVTLVNGDDLDEMMSQHLSFLKINVHLRNKEKGQSHNKSDGSSTRMTPESSFQNVFPGISEVLKSMPEPLPEFCSQLLLDIASKAVASPVLSELAQSFIRLGNQNSHSSSRTSSVPEVSTQNVATECPTPPLGADSRASKNDDFHQETGSKFQCSGFSTKNRKIINSENVTKNTGEPIASGLSIGKPAIAARSSSSFDGKEKEKRSDAFLKLGNSHCSPATSVDRRFINECPFSGIPWAPQPYSRTAGIEPVSSSSGNTESAGSMFHKGPIVNSSDYVGSVGNMFHKGVICDGCGARPITGPRFKSRVKDNYDLCSICFAKMGNEADYIRIDRPVSCRYPRMKAFNHRFPLSGPRIIDPLRSSVKQTKLDSHFVADVNVFDGTVMTPRTPFTKIWRLLNSGTSNWPHGSQLVWTGGHKFSHSLSVEIEVPEDGLPPGQEIEIAVDFTTPPFCGQYTSYWSMASPSGHKFGQRVWVLIQVDEVLGIPDSNYSQALDLNLPPIPINPSQEGVEKNSKTPAVSDGVLFPRDSIPIFEQVKPDHSLSHPDLQFLVDEGILVVEGPAATSSKDDNLGSSCSAVDCHGVLPSSTNVPSKSCPFIDFPAPTPPANPFPTPSPKLSPASSEHVIANNANNGNNGNNGNNLVEETLLKTLEDMGFKQVDLNKEVLKRNEYDLGKSVDELCGVAEWDPILDELEEMGFNDKEMNKRLLMKNNGSMKQVVMELLYGEKA >KGN64051 pep chromosome:ASM407v2:1:3823976:3825772:1 gene:Csa_1G039170 transcript:KGN64051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLWVVAAATGAGYVAKYWKNQSKDGDNSLSQLSFGESNLVSPEYSNLFLDKFSLRKKPYEDVFGHGIMEETPSVSELGLIGSHQGSNGNELPTTNMTLKSWINENSKGHIGESSKSNNIGTLVCSSSRNRSTGNAKFSNGVLVKPLNLVEDCLLAHESSLNPCIAVELEENKLFKGSHLDANESLCGVSQLPFESLKISDIVSNKTGKEWERKSRSFSKMDNREHSASKGVADESFVLYLGVFIGVIFSFMSNKREVHNLKELLKQTEDLVQDLQEELEMKDSLKLKELSNDNCESYTYSNNAFSEKTADGSSTQHVMDYTINFNAEELYEHKAEESSESMSRIEAELEAELERLGLNVSIDCTARFHEEEEELDPEFEEDFAEGELRNEMIIEESCGWTKPNEEESNSTVHSGNYTVSPRELSLRLHDVIQSRLEARIKELENALQNNSKKLQQIDAQYRSSWLEVADDELEFISNTPI >KGN64677 pep chromosome:ASM407v2:1:7574564:7578279:1 gene:Csa_1G073840 transcript:KGN64677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFGIVSIAILPLTSTSSYSSRLSYRRSLPLLLNPSSQNSVVYCSNFTRDLSLTTEKLGNGDAMTGGAFDFRKATTSLTERSISTSKKVTLVRHGLSTWNEESRVQGSSDLSILTQTGVQQAEKCRRALANINFDRCFASPISRAKSTAEVLWQGREEELVFLDSLKEAHLFFLEGMKNVDAKKIYPKEYTTWREDPAEFCVNGVYPLRKIWSTAREAWKEILLSPGENFAVVTHKSILRALVCTALGLGPERFRSIEINNGGISVFKFNDRGEAMLQSLNMTAHMYSDHTYLY >KGN65365 pep chromosome:ASM407v2:1:14517852:14518615:-1 gene:Csa_1G384020 transcript:KGN65365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSRKECKKQRLRAPPTCGINIVGRIPPSILVWYVKLYGAYGPCEEGVFQEIQLGGERRGEERRGEERRGEERRGEERRGEERRGEERRGEERRGEERRGEERRGEERRGEERRGEERRGEGFKESCYARANHVS >KGN64336 pep chromosome:ASM407v2:1:5451761:5452117:1 gene:Csa_1G046315 transcript:KGN64336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHCFQSLFHYNFPISPNVLSLNTTAPNIHHHSPAFHQTPFEHSPCIWIFKFRNQTPFTKQINQNPCKSPCHICIQSIPNVDKKPIWVLFFQLRYTEKLPPNGYYRRIELPPMNHYLRI >KGN63802 pep chromosome:ASM407v2:1:2263121:2264320:-1 gene:Csa_1G021920 transcript:KGN63802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQIKHAVVVKVMGRTGSRGQVTQVRVKFLDDQNRYIMRNVKGPVREGDILTLLESEREARRLR >KGN66190 pep chromosome:ASM407v2:1:21784632:21785508:-1 gene:Csa_1G575060 transcript:KGN66190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLQQNEAQHRGYVMEDNYESSSSSSTDELSRSINSEECSSLEMVEDVSSSLSSSSSNGPLFELTELMVHLPIKRGLSKYYDGKSESFTSLASVERLEDLAKRVSPVTKRFKSCKSFDGHKSIVPRAAIAKKSSRSRRKSSLICGSRATISVNGH >KGN63922 pep chromosome:ASM407v2:1:3029311:3030999:-1 gene:Csa_1G027510 transcript:KGN63922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNRCMNTSCPAPTSLHWTKGWPLGSAGFANLCLNCGSAYENLVFCDTYHSEEAGWRDCSFCGKRIHCGCIVSKSMFECLDYGGIGCTGCVKRSRLGVVRLVSELANF >KGN65876 pep chromosome:ASM407v2:1:19204081:19207106:-1 gene:Csa_1G535800 transcript:KGN65876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHTWRLRFGIPRFRSRRSERQTLPKPTSNFLADDFSDVFGGPPQTILFRQFSERFEGIDSTTSFYEEVFRSSELVSRPQKGGRSLPAFRIPVKEDRFYRDVFGSEDGRRSRDRSEPSSKEFTRSNSSSDFTRLRPVIGDDVAFPSSSSNHRPTNVPTQWNSYTTMFKEQEMPQFAPHLSPHMDNRYVEDEYDDRYKSSDHGFGQPVSSPETVILEPNSFRSIKICVDDYLEINSPSSPESSLCEDPVYYDGTYCNVLPEDDDDDEDAMSSYVIEITSINREEYREEVSIDEAIAWAKSKYQSASETDLSVRQQESEQSGEEEGRPVAFECSDQQSNGNGLSQTAETQQREVKVEEEKPQLNIDRELEGLDEKIKLWSAGKETNIRLLLSTLHYILWSSSGWSPISLTNLIGGTQVKKAYQKARLCLHPDKLQQRGATTLQKHVADKAFTILQEAWSVYISQDAFIN >KGN65500 pep chromosome:ASM407v2:1:15795342:15796385:1 gene:Csa_1G427500 transcript:KGN65500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFLQLWKKGPNFNKYFPYSQLKKKKKKPQPKSHTTKFHSGGSLNPLRPIEMPPKSESSAQISSSAGSADSPISSVDPIFHILRILPFSFLRPPRLRLKLPTFTLPSPMTVYALVLLTYFMVVSGFVYDVIVEPPGIGSTQDPATGSVRPVVFLPGRVNGQYIIEGLSSGFMFVLGGIGIVMMDLALDRNRAKSVKVSYAFAGISSVVLAYVMSMLFIRIKIPAYLR >KGN66269 pep chromosome:ASM407v2:1:22400131:22401973:1 gene:Csa_1G590250 transcript:KGN66269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPNSDPPPFWPPSPPIHRRRSYSPPFISLPVLIILLPTLALILLFFAIRPLLSLINQVYKPSSVKKSWDSFNVFLVLFAIICGIFSRRNDDVPTTADGDTRGSDQMTVVDTGGVKVNGDSESSQQWFGFSERRFSDPTGRAPVTTRLRRNSSYPDLRQESLWGNGDDSNNQFRFFDDFEINKFRSRSFVYRTRGNEREESPAIPVDSFVVNSSPAPEKMKSQSPNPPPPPPPPLPVTQRKPRRTYQNIQKKEEIPENKAEFTPPPPPPLPPRTVIPPSPVRVRLEEKFGKSVRKKTNVKKEIAMALASLYRKRKRKQKTKDAYDGDRRSPTEQRPPPPPPPPPPSFLRIFKKSSKNKRVHSESPPPPPPPPPPVPSSSRSTKKKIQIPRPPSPPPPPPPPAQQRNSTTNRRPPLPTSVRNSYIENPSINSREKSLTPTIPPPPPPPPSFKTTTDVKSTVGSDTVGSRSSETSRCGSPDPENVNTSASGGAGVGSVFCPSPDVNVKAANFIARLRGEWRLEKMNSVREKERLGQGPNYEITTGLGPNV >KGN64134 pep chromosome:ASM407v2:1:4320360:4322416:1 gene:Csa_1G042460 transcript:KGN64134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKRPFTEIDSCTDQQIQTKRPRQSFASIIGEVVMVNSLRHLSKALEPLLRRVVNEEVDRCLIRYSRSLTRASSLKIQPLEPSSFQLYFVNNLPSTIFTGSKITDVESRPLRIAIEVGGEDPSLLPISALLKIEIVVLDGEFASGDREDWTAEEFNASIVKERSGKRPLLHGEMNLYTIDPQKLRTILGVAMSEKMWDATVKHAKTCESGSKLYMFRGPNFLLFLNPICEVVRAMIGEQIYSSRDLHNIPQDYLKNLRRQAFDNWASLQDFEGNLRESLSLTQGNEGSEFLVGKSLLQSSYEFLSGQLECQDWDSNSENQFNISARIEGNFHCNFG >KGN63669 pep chromosome:ASM407v2:1:1467359:1469635:1 gene:Csa_1G009730 transcript:KGN63669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEETIPQLDPEVTNSGTEDMELETTEPKASTDASEANEAAPVINGDATSKREREESADDGVADGKKQKIEKSVEEERLEKLGGDGKCEEEPVPVSLGPKSFRSSVELFDYFYKILHHWPVNLNVNQYEQMVLVDLLKKGHLEPEKKIGCGIHSFQIRFHPEWKSKCFFLIREDESADDFSFRKCVDHILPLPENFKGKFDANRALGGGKHRGGGRGGGGGRGRGGGGRWRN >KGN65225 pep chromosome:ASM407v2:1:12933238:12938277:-1 gene:Csa_1G267210 transcript:KGN65225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDISLEDVKNENIDLERIPVEEVFEQLKCTKEGLSTAEGEKRLQIFGPNKLEEKKESKLLKFLGFMWNPLSWVMECAAIMAIVLANGGGKPPDWQDFVGIIVLLIINSTISFIEENNAGNAAAALMAGLAPKTKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKLTVDKSLIEVFVPNMDKDTVMLFAARASRVENQDAIDACIVGMLGDPKEARAGITEVHFLPFNPVEKRTAITYIDGDGNWHRSSKGAPEQIIDLCELKGEIRRKAHEIIDNYANRGLRSLAVGRQTVKDKDKESAGEPWEFVGLLPLFDPPRHDSAETIRRALELGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSSLLGQSKDESIASIPVDELIEKADGFAGVFPEHKYEIVKKLQERNHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIVSAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLVALIWKFDFSPFMVLIIAILNDGTIMTISKDRVKPSPVPDSWKLKEIFATGVVLGTYMALMTVVFFWLANETNFFTNTFGVKPLKDLAEINSALYLQVSIISQALIFVTRSRSWSFVECPGFLLVIAFIAAQLVATLIAVYSEWNFARIKGIGWGWAGAIWVFSIVTYFPLDVLKFAIRYGLSGKAWDNMLENKTAFTTKKDYGKGEREAQWAIAQRTMHGLQPPETIFHEKSNYEDLSEIAEQAKKRAEVARLRELHTLKGHVESVVKLKGLDIETIQQHYTV >KGN66700 pep chromosome:ASM407v2:1:26589459:26592393:1 gene:Csa_1G660200 transcript:KGN66700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANFSPIISLCLLGLLVPNLHLTNAQIGVCYGQMGNNLPPQTEVVTLFNQNNIKRMRLYDPNRGSLDALRGSPIELMLGVPNSDLQRIASSQTEANAWVQNNVKNYPNVRFRYIAVGNEVQPSSSAASFVVPAMVNIQTALNNAGLGKIKVSTAVATSIMADSYPPSRGTIKNEVMPLMNPIIRFLNNNRSPLLLNLYPYFSYIGNPRDIRLDYALFTAPSTVVNDGQYLYQNLFDAMLDALYAALEKVGGGNLEIVISESGWPSAGGTATSINNARTYINNLIQHVKRGTPRRPGRPTETYIFAMFDENKKSPELEKHFGLFFPNKQSKYPINFN >KGN64016 pep chromosome:ASM407v2:1:3622961:3624424:1 gene:Csa_1G038340 transcript:KGN64016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQNIAPPVLEESQIVLPPGFRFHPTDEELISHYLCKKVVDSNFCCKPIGEVDLNKSEPWDLPWKAKMGEREWYFFCLRDRKYPTGLRTNRATESGYWKATGKDKEIYRGKILVGMKKTLVFYRGRAPKGEKSNWVMHEFRLEGKASVINLPNTAKNEWVISRVFQKSCGGKNVHISGLVKLGSCSSALPPLKDSSSSLSPFKIKPVSELAHVPCFSNTMDSSQRTLPKINDCSFNFSSFFPTIFPRNTQPTSLLNPQSISFPLNLQFQNMARTEADGRISVSQETGLTTDINNEISSVVSNLEMVRLPFENQHNPSASTVPLMNPPMVWNY >KGN65375 pep chromosome:ASM407v2:1:14629499:14632529:-1 gene:Csa_1G386590 transcript:KGN65375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVNNNNNNGSLLSRGLNAKIMGSGKEAMVLGHGFGGNQSLWDKIVPKLSQVYTVVVFDWSFSGSIKDPNFMFDPKKYSSYSAFAEDLIALIDELGLTSTIFLGHSMSGLIGCLAYTKRPDLFQTLILLCSSPRYINTEDYEGGFNKSDIDQIVANIESNYENWSTNFPCLVVDESDPQSLSRFQKCLKEMRPEVATPLARTVFNVDEREILEKVDIPCIILQTKNDIVVPASVPTFMQKKIKGSCTVRVINTNGHFPHLTAHHELLQVLGEVLGF >KGN64248 pep chromosome:ASM407v2:1:4939385:4940266:1 gene:Csa_1G045460 transcript:KGN64248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPAISGLHCEVWQPQDFSEPSCSSSSSSSQFQPPEFFIDFYVSSYATHGDEVISTLRYKNFRQRCDVLTQDSFSWSAISSMLSETNVPYHLQPFFIHQISTRARGIATEPINALSRTIPMVVELILPEDAMEDSGYGSEPHMGIGSGRASRASIQEMERIEIDGVLSDCVICLDEIGSIGCEIDVVQMPCLHVYHLNCIHKWLELSNRCPLCRFQMPLEEE >KGN63670 pep chromosome:ASM407v2:1:1471578:1472907:1 gene:Csa_1G009740 transcript:KGN63670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSWRPKCEIMPSFEDSYPFSCNLSPIHFSSYRSSYNHNYSGLDWNYANFGLQENIFEESFLDPEDIVPINTYISEDEVGIWNEMDNGVFGVVEEEPPLLLLECGENEEKEEMVVSNKKGKKRSMRLKSENECSNNNSNNNGSCYSSSKMLSRKVIQEYYYMPITQAAKELNVGLTLLKKRCRELGIGRWPHRKLMSIQTLIKNIKELIEKGDDEEGNGSENYKLKNVLEILENEKKLMEERPDLQLEDNTKRLRQACFKANYKKRKLSGLIINNNNNNNIDDINSQSYFSSSTTTIDDQDHDDYDEEMKYLLSDCSFSSANCMFM >KGN63506 pep chromosome:ASM407v2:1:478851:484362:1 gene:Csa_1G002750 transcript:KGN63506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKVTASTIILLSLLLSLQEVVHFAFSLPPSHNNQDEEQSATLRPLEQNEEHVDEVHCSRERSRTAWNIIEEHLLPFMEKENYEVSTQCRLHPNNDLFRDQEQHKIHLDINHWQCGYCRKSFRAEKFLDKHFDNRHSNLLNVSHGKCLADLCGALHCDLKMDIKSRKSKCKPAAAARNKHLCESLADSCFPINEGPSANRLHELFLHQFCGAHSCTGKQKPFSRGAARQPGIFYMASSILILMLLPIFYVIVYLHRRESRNGIEVLKRISKAGRKNKPL >KGN66002 pep chromosome:ASM407v2:1:20491087:20491454:1 gene:Csa_1G560840 transcript:KGN66002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFNLMIHYSDDEDDQNYKHECSYLDEWRYSMRMREKRQIFLRSYEFQLMSRKRSKKKKEKRRSVGHNIKTGLLKIKRVIWVRLNKLKLNINNHCFLPSPSRIRIRRTTFFRLHIH >KGN65639 pep chromosome:ASM407v2:1:17119070:17127522:-1 gene:Csa_1G476000 transcript:KGN65639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFAPFIHARCCYNPFIGPQRNGSSHGPVGNSSFSSSSSSCSSSSPCSSSSDLNHSWRLPGFGSQAMSTSTLGTFSSSNSIGNVQNKPDHLLVLVHGIMASPSDWTYFEAELKRRLGRNYLIYASSSNSFTKTFTGIDGAGKRLADEVLQVVHKTESLKRISFLAHSLGGLFARYAIAVLYNNSSSLNSSSMPNDPCNSSKKGVIAGLEPISFITLATPHLGVRGKKQLPFLLGVPLLEKLAAPIAPIVVGRTGSQLFLTDGKPYKPPLLLRMASDCDEGKFISALGSFRSRILYANVAYDHMVGWRTSSIRRENELIKPPRRSLDGYKHVVDVEYYPPVSSAGPHFPPEAAQAKEAAQKSPSTNNTEDYHEIMEEEMIRGLQQLGWKKVDVSFHSSFWPFFAHNNIHVKNEWLYNAGAGVVAHVADTLKQQEPSSFAPLASL >KGN66195 pep chromosome:ASM407v2:1:21835739:21840319:1 gene:Csa_1G575110 transcript:KGN66195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTGNNIDSSKAKIVHGDGGYVLEDVPHFSDYISDLPTYANPLQDNPAYSVVKQYFVHVDDSVPQKVVVHKSSPRGIHFRRAGPRQRVYFQADEVHACIVTCGGLCPGLNTVIRELVCGLYNMYGVKKVLGIEGGYRGFYSKNTIHLTPKFVNDIHKRGGTVIGTSRGGHDTSKIVDSIQDRGINQVYIIGGDGTQKGAAAIYEEVRRRGLKVSVVGIPKTIDNDIPVIDKSFGFDTAVEEAQRAINAAHVEAESMENGIGLVKLMGRYCGFIAMYATLASRDVDCCLIPESPFYLEGPGGLYEYIARCLKDNGHMVIVIAEGAGQELLSGSCGSDKQDASGNKLLQDIGLWLSQRIKDHFSKEHKMTINLKYIDPTYMIRAIPSNASDNVYCTLLAQSAVHGAMAGYTGYTSGLVNGRQTYIPFYRIVDKQNKVVITDRMWARLLSSTNQPSFLTVKDVSEEKKEEETLSQMNQLSNNTEDETVSNPSVK >KGN64190 pep chromosome:ASM407v2:1:4606447:4607004:1 gene:Csa_1G042955 transcript:KGN64190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIVSDIRETNSMEKIEAPFPVHSQVRKIKEESDTTIDWRPGQPEIRPPTASFRQISRSPLGISGRPISVGDS >KGN64773 pep chromosome:ASM407v2:1:8460773:8460964:1 gene:Csa_1G096080 transcript:KGN64773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METIGTGLPVRTRRCRSGQRRGETVVSGWVWTEQRGEKRETAGDADLDDGCERRAWRFWLRRR >KGN63839 pep chromosome:ASM407v2:1:2468532:2471532:1 gene:Csa_1G024240 transcript:KGN63839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGTTLQFGGIKGEDRFYVPVRARKNYNQQKPSRNPTKTDETESLSSKVVGCTTKPCEELTPQSKSNLERFLEATRPSVPAQYFSKTTMRDWRTCDIEFQPYFILNDLWESFKEWSAYGAGVPLVLDGGDSVVQYYVPYLSGIQIYGEAAALRSDSHVRLACEDSDLDSSRDTSSDGSIDHDLGKSFNFSREQWDHPHLACENMLKMRKTSLTDEHKMVQEGFLSDDGDAGYPRSSLLFQFLEQDLPYQRVPLADKIFELAYQFPGLKTLSSCDILPASWVSVAWYPIYRIPTGPTLKDLDACFLTYHSLSTPKKGNRHSLPPIMVYPKDIDDITKISLPVFGMASYKVKGSIWGQNGISDHQKANSLMQAADKWLRSLQVSQPDFQFFSSHGTYWR >KGN64549 pep chromosome:ASM407v2:1:6813658:6818208:-1 gene:Csa_1G064710 transcript:KGN64549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPATTRWRAIVSITLIFLLISPSMAIYCDEDDCYDLLGVSQTATQSEIKKAYYKLSLKFHPDKNPDPESKKQFVKIANAYEILKDESTREQYDYAIAHPEEVFYNTARYYHAYYGHKTDARAVLIGLLLIMSAFQYLNQWTRYHQAIDMVKKTPAYKNRLRALELERSGGATNKKKGHKLDKKVDEDLSKELELQIKGAEKPSIWELLGIRFILLPYTIGKLLLWNSCWFWRYKVKKTPYSWEDASYLTQTSLRVPVDAWKNTDDSTKEDLIRRCLWKKPNLENYLSEIRKESKRRR >KGN65163 pep chromosome:ASM407v2:1:12538582:12541935:1 gene:Csa_1G256720 transcript:KGN65163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRPFVFLLWIFACLFLFFRASCFYLPGVAPQDFHKGDLLRVKVNKLTSIKTQLPYSYYSLPFCRPKQIFDSAENLGEVLRGDRIENSPFEFKMREPEMCTILCRIVLDAKMAKDFKEKIDDEYRVNMILDNLPLVFPIQRTDQESAIVYQHGFHVGLRGQYAGNKEERHFIYNHLTFTVKIHKDQITELSRIVGFEVKPFSVKHTYEDSWTENTRLTTCDPHAKRLVTNSDTPQEVEEKNEIIFTYDVEYLESNVKWASRWDTYLLMADDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISKYNQLETQEEAQEETGWKLVHGDVFRPPLKSDLLCVYVGTGVQFFGMSLVTIIFAALGFLSPSNRGGLMTAMLLLWVFMGIFAGYTSARLYRMFKGTEWKKITLKTAIMFPATIFSIFFVLNALIWGEKSSGAVPFGTMFALVFLWFCISVPLVFVGGYLGFKKPAIEDPVKTNKIPRQIPEQAWYMNPTFSVLIGGILPFGAVFIELFFILTSIWLHQFYYIFGFLFIVFLILIVTCAEITIVLCYFQLCSEDYHWWWRSYLTSGSSALYLFLYAAFYFFTKLDITKPVSGMLYFGYMLIGSYAFFVLTGTIGFYACFWFTRLIYSSVKID >KGN65692 pep chromosome:ASM407v2:1:17695904:17700551:-1 gene:Csa_1G499330 transcript:KGN65692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANFPSLKLIDVCKVPPSPAAAAPSSLPLTFFDVLWLRVHPIQRLFFYEFSSNEISFYDIIVPKLKSSLSLTLCHYLPLAGNLIWPSQSDTPVIEFVNGDGVSMTVAESDDDFYHLSGNGFRKVSKFHPLVPQLSTSHDRAAIVAIQVTKFQNKGFSIGITNHHAILDGRSSTSFIKSWAQICIEESFIPTPKQMPLYDRSVINDPKDLAKIYAKAWKDVEGPNNKSLNLKFPQTKHDLVRSTLEFTHQNIQKLKEWILNKKIKNENFDSSSHISSFAIATAYLCVCTAKLEGLKEGKLWFGFAADARTRLKPQVPLNYFGNCLVAGFVVNERFELLSENGIIFACDEISKTIRNLDDGPLNGCENWGMMSQEMTNDYSKLQINSIAGSPRFGVYNVDFGFGKPKKVEIVSAESPYIFSLTDTRNSDAVMEIGIVMERDEMEAFVAIFNQGFESYFIDQSLG >KGN64633 pep chromosome:ASM407v2:1:7299822:7301770:-1 gene:Csa_1G072440 transcript:KGN64633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSTLNPEQLTVVGSGFCVMLTMHYTMQLLSQHLFYWKNPKEQKAIVIIILMAPLYAVDSFVGLLDIKGSKEFFMFLDSVKECYEALVIAKFLALMYSYLNISMSKNVIPDEIKGREIHHSFPITLFQPRTVRLDHRHLLLLKHWTWQFVIIRPVCSVLMITLQLLGMYPSWLRWTFTIILNLSVSLAMYSLVVFYHVFAKELKPHNPLAKFMCIKGIVFFSFWQVTLLI >KGN65790 pep chromosome:ASM407v2:1:18544162:18550085:-1 gene:Csa_1G528550 transcript:KGN65790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATDCVYKNSSAPIEVRIKDLLSRMTLREKIGQMTQIERTVATPSALGDFAIGSVLNAGGSAPFRGALSSDWADMIDRFQSWAIQSRLGIPIIYGSDAVHGNNNVYGATIFPHNVGLGATRDADLVRRIGTVTALEVRASGIHYAFAPCVAVSRDPRWGRCYESYSEDTEVVRKMTCLVEGLQGKPPTGYPKGYPFVAGRNNVIACAKHFVGDGGTDKGLNEGNTIASYDELERIHMAPYLDCIAQGVSTVMASYSSWNGRPLHADHFLLTQILKNKLGFKGFVISDWQGLDRLSRPRGSNYRLCISAAVNAGIDMVMVPLRYEQFIKDLLFLVESGEIPMTRIDDAVERILRVKFVSGVFEHPFSDRSLLDVVGCKIHRDLAREAVRKSLVLLKNGKDPTKPFLPLDMKAKKILVAGSHADDLGYQCGGWTISWDGMTGRITIGTTILDAIKEAVGDQTEVIYEQNPSAATLNDQDISFAIVAIGESPYAEFTGDDSKLVIPFNGNDIVKAVAGKMPTLVILVSGRPLILEPTVMENAEALIAAWLPGSEGSGITDVIFGDYDFTGRLPITWFRTVEQLPVHAENNLQESLFPFGFGLSYDKEKSPQ >KGN65830 pep chromosome:ASM407v2:1:18845531:18851377:-1 gene:Csa_1G532380 transcript:KGN65830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFFLFFPTPTITGSSKSSPLIPLHPSILPQSLNFCPFPSRFHSLLTSSHFLPLASISPDALPFSDDPPQEDPLHSLAEAQLAVSEYLQRFGVLEDEAVSIASNSPRFLKMLVDAVRELDETSMWDSWSKERGELDGFGFKEKVASMAMEKGDCGKVAFLESVGMNLSSAMNVARYLSGEMLPSLIYKVKYMKALFFSGSGDGILIGKNARRMMTNLSIPPDDDVQQTLSFFEKIEARRGGLDMLSSNEESFGLLLESFPRMLLLSVESHVKPMVEFLEKIGIPKERMRSIFLLFPPVIFFDTEVLKSRIMAFEEVGVEVTVFGKLLLKYPWITSNCIHGNLKQIVSFFESEKVPSASIINAISSWPLILGSSTSKLELMVDRLDGLGVRSKKLGQVIATSPQILLLKPQEFLQVVSFLEEVGFDKESIGRIIARCPEISATSVEKTLKRKLEFLIKIGVSKTHLPRAIKKYPELLVSDPHKTLHPRIKYLRQRGLSERDIASMVVRFSPLLGYSIEEVLRPKLDFLVNIMKKPKKEVVDYPRYFSYSLENKIIPRFRALKGMNVECSLKDMLGKNDEEFSVAFLGNKRTAEH >KGN64574 pep chromosome:ASM407v2:1:6931655:6931858:1 gene:Csa_1G065940 transcript:KGN64574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNGSARSRITATKPIWNHLLSTLTTRQIDYAFSTTSPTAITTKPILLVYAEETLCPTLAQPASTTP >KGN65425 pep chromosome:ASM407v2:1:15252161:15255376:1 gene:Csa_1G420860 transcript:KGN65425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASNSQPTTNHVAVLAFPFGSHAGPLLTLVRKLSDAAPHLRFSFLSTAKSNDSVLSSIGIDRVKRFDVGDGLPEGYVFGPGKQMEVMELFLEGAAERFKKGMETAAREMGEEIGCLISDAFYWFAGEMAEEMKVGWVALWTSGPRPLLVHLRMDLIRERIDINSCESREKPLEFLPGFSSIQGADLPEEILSPNLDSPFTNLLHKMSHHLSKATSVLINSFEQIDSQINDQLNSTLQNYLNIGPLTILSLSPPPSDDHNCLLWLDNHTPNSVIYISFGGFLSLPPHELTALADALQESQIAFLWSFRGNPEEELPKRLLESGKGKIVPWAPQGQILMHSSVGAFVTHGGWNSVLESIVGGVPMIGRPFLGDQRLNLKTVENVWGIGVGLEGGFVTKSEVLKALGLVLGSEKGKFMREKAGIIRGLALKAAESTTGSSSRNFIRLLEIVTRSI >KGN64719 pep chromosome:ASM407v2:1:7921576:7925258:1 gene:Csa_1G077210 transcript:KGN64719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTLPAKMADSLSLIRTFTTFPSSQLGSSNYGIVSFLQRNRGSRVSFLKTNWSLEKCCMRVENCLQALRPASEAFAQEATDALKAGKVIAVPTDTLYGLACDACSAEAVHRIYEIKGRKLTSPLAICVGDVSDITRFAVTDHLPSGLLDSLLPGPVTVVLKRGESSVLDKSLNPGLDSIGVRVPDADFIRLISRRSETALALTSANLSGQPSSVCVNDFENLWQHCAYVYDGGVLPAGRAGSTIIDLTEQGTFKIIRAGSAEEQTIATLERYSLLEAAATI >KGN66477 pep chromosome:ASM407v2:1:24063892:24065251:1 gene:Csa_1G613490 transcript:KGN66477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNLALRLRSRLLLQSSSRFRTTFHQFQSPHIPKSSPLITSALSDLRRIPNNPIFNLSGFRFFSTARRNLTRPKHVDIGARARQLQTRRLWTYALTFSCIAGFVVIVLSNFQEHLMFYVTPTDALEKFSANPSKNKFRLGGLVLEGSVTHPASSSQMEFVITDLITDMLVRHQGSLPDLFREGHSVVVEGFLKPFTDEIRNEISTKSVSGKARSEKYYFSAIEVLAKHDEKYMPAEVAAAIEKNRKKIEEGELGVNHA >KGN64781 pep chromosome:ASM407v2:1:8490749:8491044:1 gene:Csa_1G096630 transcript:KGN64781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVASLDYGNQLKNRRDGIVERLYALTSPPSRCRNCDTDRNHDGRSNGCEIKQGSDANSQ >KGN66164 pep chromosome:ASM407v2:1:21590594:21594060:1 gene:Csa_1G574810 transcript:KGN66164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEIKGGIKKDVTELVGNTPMVYLSKVADGCFARIAAKLETMEPCSSVKDRIGLSMIEDAEDKGLITPGKTVLIEVTSGNTGIALASIAAVKGYKLIVVMPASYSLERRVLLLAFGAELHITDPAAGFKGLLKKVEEIMEVTPNSYFLQQFENPSNPKIHYETTGPEIWKDSGGKVDALVVGIGTGGTITGAGNFLKEQDPDIKVYAVEPAESAVLSGEQPGKHLIQGIGAGFIPAVLDTNVYDEIIQISSEEAMETAKLLALKEGLLVGISSGAAAAAAIKVAKRQESEGKLIVVIFPSSGERYLSTELFDSIRHEAENMTCV >KGN66393 pep chromosome:ASM407v2:1:23245801:23248277:-1 gene:Csa_1G600830 transcript:KGN66393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIQTMGSQLNGQQSHLHPASLPRQNSWYGLTLDEIKNQLGGMGKPLGSMNLDELLHNIWTAEANQSMGMESESSSSVHYLQRQASFSLARALSGKTVDHVWKEIQEGQKKKNRENLKSQNSETTLGDVTLEDFLIQAGIYAEASPSPLDAIDTMTLTEKNFSPEMGLLSSSLSLGTLSDTTIPKRRRDPSDTLEKTMERRLKRKIKNRESAARSRARKQAYHNELVNKVSRLEEENLKLKKEKEFDNRMQSKPISEPKYQLRRTSSASF >KGN66533 pep chromosome:ASM407v2:1:24626870:24634568:-1 gene:Csa_1G627430 transcript:KGN66533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSKLIHVAAYEAENHFEVSLRQAFELLEPKLRPPFCLKIPDPQEYKELNWAILYGILCEPHLGKTHIKHLHAIVTDGYGLICYLLRKVVNELYLKLIDGAKCQIFMVTKEMIKVCAVGVDAVLISLLRQIVGGDFGEGNLWLCFELTSLLLNSWSYLLEELPEVMPSALYTFLRLLADHCRFSDEKLGPLKQLEIAFCIKVIREQFHFCLKIGRDFIRLLQDLVYVPEFRDVWKDLLINPSNFRSPGFSDISNFYHTRTSSRYFLLRISPEMEAQLRFLMTNVKLGSQNRYQIWFAKKFLHGPESETIISDIVRFICCAHHPPNEVIQSDIIPRWAVIGWLLTCCRKNYIKANVKLALFYDWLFFDDKTDKIMNIEPAMLLMVFSIPRYIDIVHTLLEFLFLLVDNYDVQRKDKIALGVSSAFSALIEKGVISSLDNLISFGGISPLLRDRLRVLSSCKKFQVSNEVQLFVPDHSAKPLPSLTKSCAGMIDSESHPSCIVGNADSTSVGVSVPIVEDASASYHSFATNVQQCDKIEILVKNLGEVTRKSYKMGLKTLEELLVLFLSLDDNAQDSSTIFCPEILSSRILNTYNSSGHKLFCALELPPNGPSYDDEIESATALIIRTFIFHHEKNILQLLLFCSRNGLPVGARLLSYVTRLAYEANKAGLTENVEFENSEKAEMDSNTQLLLFHVNGYFSFRNGMGEYPQETVLSFSGINKEEIAKLVTNAFSAYRCFLAYLKDILHKDADVSLTKVFYRDLMSCVEWNARRVKFLFHCIFDLLSDLCLCKEEIVKLLVTLLDDTDLVNMQFEIIAKKFCVFGKDIKSIFLLVKSSLNWGCLEQRKLWGLIRSELVVSQVRVENIVSKLFCLGVLDASKHAIAIEGLLNLCCYSAPSPEFVEAIMLIPNDAFHGFSAAVLASWVVSNESMLFQSLVDFSGKLGKMNESEVVMKLRKWRFGSISTIAFVQMVHTISDLFSLFYAEEKIAKSDPWETTENESMWWYPDVIDQILEFLVLGRISLSGYQVGRVYFDMILGERGEEHIKLSHVSRIISAQRTIRKDKDETEVWFSGLKALISLLKALISHIRNRKWRTESWNDGMPSEVNSPRTFTQRSSPLHSPLSSNESVQKEGGEHIRLHSPYRSPSKYGLDKALSDISSGGSDSMHGHMKAVPMDAFRGSLSSAVSSSSQGSGNDGGVLGGGTLRVGSEFFSWGEEYGGRLGHGGESDAHHPKLIDALSNLKIELVACGECHTCAVTLSNDLYTWGDGTYNSVLGHGNDVSHWIPRRVTALVICAGKLFTFGALGHRDRDSISISKEVESLKGLRTARAACGVWHTIAVLEVIMGNPNSNNNSLGKLFTWGRIGTKVG >KGN63880 pep chromosome:ASM407v2:1:2740097:2744057:1 gene:Csa_1G025120 transcript:KGN63880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPKFLYMGTAISRTTSRALSPFLFPRRLPSKFISFMKCSSSTVLDSVGSGGADAPQPHSTLTHHPWPEWVSFVDGLKTKGYLIEPPSEDATGDGTGGEAATPPVIDYSDMNVLKDACVSFARDRFDIFKSLSTDDIQTIVKDGCPNLLRKAVNSAKRLRAYVQLDEGDVCSTCNLRGSCDRAYVILKQTDGTARTVDVARLLLAYALDPLVLSGGDKPSGREHVEVSVRKLLSDLSELSEKPIDPAAVREVTKPPTRKEKSSKFTEDASTRDVEMKRGDWMCTKCNFLNFSRNRTCLKCNEDGPKRVRENDIEMKSGDWICPECKFMNFSRNIRCIKCKTEGPKKVNVEQAEMKKGDWVCPQCSFMNFASNKKCLRCRELRPKRELNRGEWECPMCAYVNFRGNMSCRKCNAERPEQEISDEYEEQLWESPRENRRPRPTGRD >KGN66680 pep chromosome:ASM407v2:1:26415100:26415813:1 gene:Csa_1G659030 transcript:KGN66680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMMMSSYGAGFSFLFLLFLAVLATGNQLSFTQSNGSVAEMVPLIEEGKMNMMEMNETRRRLGSFQICAPCTCCGGAKGLCLPSPCCYAINCNIPNRPFGFCSFTPKSCNCFGCNL >KGN63517 pep chromosome:ASM407v2:1:520583:522860:-1 gene:Csa_1G002860 transcript:KGN63517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPSPSPSPSSKLLPFLAFLSIVLHVHAGLNLDPSDLRAFSIIKNDLGIDGQLSSSPCHNAGVFCERRLSNNGTYVLRITRLIFNSKGLSGSLSPAIGRLTELKELTVSNNHLVDQVPYQIVDCQKLEILDLQNNQFSGEVPSGLSTLIRLRVIDLSSNKFSGSLDFLKYFPNLESLSIANNYFTGKIPASIRSFRNLRVFNFSGNRLLESSTSILKDVEFYSATEVPKRYMLAENSSTNGKQRATAPATSPTSSAQAPNAAPVHKNRKNKAKKIASWILGFIAGAIAGSLSGFIFSLLFKLVIAAIKGGSKNSGPSIFAPKLIKRDDLAFLERDNALASLQLIGKGGCGEVYKAELPEKSGKMFAIKKITQPPKDAAELIEEESRHMSKKMRQIKSEIRTVGEIRHRNLLPLVAHVPRPDCHYLVYELMKNGSLQDMLNQVSAGVKELDWLTRHNIALGVASGLEYLHMNHTPRIIHRDLKPANVLLDDGMEARIADFGLAKAMPDAQTHMTASNVAGTVGYIAPEYHQTLKFTDKCDIYGFGVLLGVLVIGKLPSDEFFQNTDEMSLVKWMKNVMTSDNPRGAIDPKLLGNGWEEQMLLALKIACFCTMDNPKERPNSKDVRCMLSQIKHDVPETPSECV >KGN63608 pep chromosome:ASM407v2:1:1120999:1126651:-1 gene:Csa_1G005690 transcript:KGN63608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVFLVHCNLRQFHRIVLCLYVLWPPMLSQKRNPDDGDGPADGDNPDDKRRKFCFMSVVRDAISLQSMQQLLEPVIRKVVREEVELALGKYITNVQRNDGNDAKENYSSGPRCFQLKFITDIFLPVFTGSRIEGRESSNLMVALVDTLTGEVVGAGPQSSAKVEIVVLEGDFEGGGDNYTAEEFRNNIVREREGKKPLLTGETLVSLKDGIGSVGEISFTDNSSWTRSRRFRLGARIIDDNDGTRILEAKTASFVVRDHRGEFLNLDGPLFNTDFDAIKEYASFKYKTLEFTLNILSPILCQEKIETVKDFLTQLYVQPSRLRNILGHGMSTKMWEAIIEHAQTCVLDKKIYVYKPHDLEQKSGVVFDVVGRVMGLLSDYQYVPIDKLSESEKVDAHNLVVSAYKHWDEVDSIDDETLLVGGSSHPLSFVYTPSSPMEDHSYGSKYLSSPKFSGFDFPPSNAYSSDIISSMGSIGNPSGLDDHALQSFGSMVVRYDPMPSSPNFANSSLICDSEPLHSSFFDVDHMQVLESDVQCSSILESRVTTTTLQGGSSSCVAQMRWAKVYGVLKWFFLLRLVIRRRNKLGFKRYKGVQSRGKEKLDYG >KGN65956 pep chromosome:ASM407v2:1:20077604:20077936:1 gene:Csa_1G542470 transcript:KGN65956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDVSDIYKFGVLLFEMIVNPQLRDEIKQGESDFVGYIKMQLPNNLQAVINEDIKLQRESMVNQAKAAINLALMCTDQSSGHQPNLKYIFDNVTRLLSNHKMHDTEEGR >KGN65024 pep chromosome:ASM407v2:1:11007136:11012518:1 gene:Csa_1G181370 transcript:KGN65024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIDDERWDDDNDKWTGDSAVKGMEGRALKLCIRSTTLMTSRVFDELFNVVLVTIAVSISSSAGSNISTTILSVAASFAALVVIRVGVLSGTLVGRVGFEPSSGIPLHAPANMQSIRNSILKHMKIRGPNNTTKQLMKDENVIEKLTRCLCASTGRSSNEIADRVIGLVKKFDRIDACKVTETADFQKDLSLDSLDRVELVMAFEQEFSIDIPEEQADKLTCCADVARYITSQVEEKKEEKL >KGN65371 pep chromosome:ASM407v2:1:14565036:14567984:1 gene:Csa_1G385560 transcript:KGN65371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSELSKTSSRMIKKQEMKKTSSSLNSRSASRKQHRKIENPIRMPAASEQCLHSGISSTWVCKNSACRAVLSVDDTFCRRCSCCICHLFDDNKDPSLWLVCSTESEQGDSCGLSCHIECALQRGKVGVVDLGQLMQLDGSYSCASCGKVSGILECWKKHLVVARDARRVDILCYRIYLSYRLLEGTSRFKELHEVIQEAKAKLETEVGPVNGISAKMARGIVCRLAVAGDVQKLCSLAIEKADQWLATVSNPNLKCREDSLPAACKFLFEDIKSSSIVITLVEILNALSNETKGYKLWYSKSREEEYTKEPICVFPRTQRRILISNLQPCTEYTFRIVSYTENGDIGHSEAKCFTKSVEIIHNSHSPAPSIHRKESPVIEESCIRKKGPDNTFIVCSSSRFQVRDLGKILQLARDQGEGCLERLCSANIANGCGVQNGVKPETPEEEQLPPVSPGLDLNVVSVPDLNEELTPPFEYSRDEVNGCTLQQAVEADEDAASHDIEKSGLARSHGSGDSQIWTCGPNGEVPAVDSLTGLCRKRAASTNEETNDCDSTLINGSPLRVANGSCFLDENFEYCVKIIRWLECEGYIKQEFRLKLLTWFSLRSTEQERRVVNTFIQTLIDEPSSLAGQLVDSFSDIISCKKPRNGFCSIYS >KGN65002 pep chromosome:ASM407v2:1:10804969:10805946:1 gene:Csa_1G173710 transcript:KGN65002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCSLFPFPHLIRIVLVQEVFDKDLFKRDDRMGRASINLQPMQSASRLSKILRMSTGETTLRKVVPGRDDCVSEEYSIRCIDGEVVQDVWLRLGGVESGEIQVRMKYVEEQMNLE >KGN65420 pep chromosome:ASM407v2:1:15219407:15223751:-1 gene:Csa_1G420340 transcript:KGN65420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQLLTNSFLPLLSSKPTNSTTATTNNNIKLSFYVAACCGDEERQHSMTVKNSHQGGGGGVKALKFTGDKPSTPILDTINYPIHMKNLSIEELQELSNEIREEIVYTVSKTGGHLSSSLGVAELTVALHHVFATPEDKIIWDVGHQAYAHKMLTGRRGRMHTIRQTFGLAGFPKREESVHDAFGVGHSSTSISAGLGMAVGRDILGKNNHVVSVIGDGAMTGGMAYEALNNAGYLDSNLIVILNDNRQVSLPTATIDGPATPVGALNKALTKLQASKKLRQLREAAKAVSKQMGGETHGIAAKVEHCVRGMVGGTGASLFEELGIYYIGPVDGHNVEDLVYILKQVKAMPASGPVLIHVITEKGKGYPPAEIAADKMHGVVNFDPKSGKQMKKKTETLSYTQYFADSLIAEAERDDKIVAIHAAMGGGTGLNYFQKQFPNRCFDVGIAEQHAVTFAAGLATEGLKPFCAIYSSFLQRAYDQVAHDVDLQKLPVRFAIDRAGLVGADGPTHCGAFDTTFMACLPNMVVMAPSNEAELMNMVATAAAIDDRPSCFRYPRGNGIGCVLPLNNKGTPLEVGKGRILREGNRVAILGYGTIVQSCLAAANVLQEYGIQITVADARF >KGN65902 pep chromosome:ASM407v2:1:19546245:19560558:-1 gene:Csa_1G537510 transcript:KGN65902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEDVESKPESSNSCCKVWKDMCTKLEEKRIALRQATKLLNEQCKRIEVENLNLKKGYEEEKARASIEREGKDKESAIRVSLEREIADLKLQISSLRQNDVEAVNVQGEVDHLNALVAEGKKEIIQLKELLETEKRRKDAERKNAEARKEEAAQALKTVKIERSKVSDLRMFHKAEMDKVNDCRQQLGMLQKEYEETKLKLASETSKLIEVKKDLEFEKQRAVKERERADSEMSKAQASRMQAEVAMKQAGEEKSRAENLFQQLERKTCKIKELEKEVKELQTVKKFIESCCGQQVKKTNRKGAKKNDKTWLEMIQSNANELKLAFEFLKAKEVNTMHKMDGDLGNIKKSVDSSLIESSELKNHLEIYRRKAMDEQCRADKLSLELEEKKRKVSELQKNVCELKSSRKFVDASGVSLEHAMSSERAEMKLLKKKLKFEKTRLKHAKQVAKVEKTHRTIIQQELSRFKLEFVQLSNHLDGLHKFASTGTKDNIELEKTMNAKNLQSLYSKKNIRAIEAFQTWMPDTLRQTTPQPNAPLLPLSGVNHITSLSGIESRLESFPGDNNRKMLQSCAVNSSTASFSDGQLIGSQEKAGLCLTATKLVGENLNVQPRISNLSSEVSKMKSNENLTMMAENSVRSPIKNHVGRANEKHQKRKRTFEAVESIDYLYHESKKVHSQIEENSSLLQAPSPLEKGGHVISSLLQDSSADKKIRKRKKALCQKKLKAQRVLGDNERKLNRVDTEVCAPKSSGRQPSQPVSKLTDNFQLCAEELNSSVISELQTLETFGNIADVDYMKLLDLDSAADEECYRRAVEMPLSPSLPDIYIPGAETSALNDFDSLADEFLKELPVDREGQLQSHNDDVTDVEIKSNYTQSCNFDLLGDIQSSQRQVDSCSIQGRHERDLFDIVRAENNCLDQVEVSVGMPGTNVSLSGCEGVEISEIKLGTLGNSIPDFCVLFYDLKDCQSIIRIFSATKGCIKRSSMISQKEWMVQGILASLNMEHELSSKEKTCVFFSLLLLNFTIVAVHKYGNILNCHACLDSFSGHICEAMLDLEIRSLFVKLLSLDKLLALIEDFLVDGRILSCIDASFETLTKGVLRVNIPVDGVNRTLSLTPASMEYLVAGSSILASISKAVHRTDLLWEVSYSILRSCRHEASLMLTLLHIFAHIGGDQFFNVEGYSTLRAVLKSIIMHLEKVGSPDDAIFTPLKRNCRTEFAQCASCPFSEEVMSMPTTISFLLQLIRKNISNGIMDEDLENPTSSLNLESFLKRNIPNQILGKNSSGKEVHRSLYLDCDASFYLKKFKVSDDEPHFLFNPSLSDVIDTISLVELLACYMSWNWTFANIISQLMDLMKSSAKKGFAIVVLLGQLGRLGVDAGGFDDGGVKILRSNLSAFLCLDTTIKSGLCVQIATVSALLGLLPFDFETIVQDKVSYLATSSHYAEVNLIKTWFSLLSPKQKELSRNILQVGVCNVS >KGN65296 pep chromosome:ASM407v2:1:13528611:13529329:1 gene:Csa_1G303710 transcript:KGN65296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLEMIDADIDTNIDQAVAYATSCAKDKEIVDNDETKEQKTVDNNEIEEDDDNHRENKSKTVHDISKKVKIVQEKAKAKTMEIVKNIENARSKRRPKPSKKVLENLKDQKKEKDQKNPKYKKKESLEATRQSLRKKNFAPSFDLKISQL >KGN63430 pep chromosome:ASM407v2:1:30604:32551:1 gene:Csa_1G000050 transcript:KGN63430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPETLSATLFKWEPREMVGGGSGAPLPAPLPPYSLRPRELGLGGLEDLFQAYGIRYYTAAKIAELGFTVSTLVDMKDEELEDMMNSLSHIFRWDLLVGERYGIKAAVRAERRRLDDEIESSRRRHLLSNDTTTNVVLDALSQEGLSEEPVQQEKEAVGSGGGGGAWEAVVAAEMRKKQRRRNGSKKKQVIAMEEDNDENEGAGDEEENMEVSERQREHPFIVTEPGEVARGKKNGLDYLFHLYEQCREFLIQVQNIAKERGEKCPTKVTNQVFRYAKKAGASYINKPKMRHYVHCYALHCLDEEASNALRSVFKERGENVGAWRQACYKPLVTIAGTQGWDIDAIFNAHPRLAIWYVPTKLRQLCHAERNGSTASTSTASAHLPF >KGN66656 pep chromosome:ASM407v2:1:26138384:26138884:-1 gene:Csa_1G654850 transcript:KGN66656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLGQSRMSYLKEKTRDKRSSGNFTSGKRSSLLLEPFVITSFSLDRRTLFSLPLHINRNTRRNNITPSRYALHFSLTLSHRLHQSLCTARLARLGRYSSCGFDLSFCPSSSNFVASPATLTPSHHSSLFWSCIAISLALF >KGN64551 pep chromosome:ASM407v2:1:6826512:6828846:1 gene:Csa_1G064730 transcript:KGN64551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPFHEAYKTLLAERAAAATGAKGASDVVLTVVEEWDLPLVDLERLTAGKVEEVEQCKNDIITASKEWGFFQVVNHGISNQLLAKMRAKQIELFKQPFERKSKEDQFSNFSAGSYRWGTPSATSITQLSWSEAFHVSLSDILGTNGSDDDDLRSTMEEYAGKVSRLAQKLAEILGENLGRSSKFFVENCVPSTCYLRMNRYPPCHVPGQIFGLMPHTDSDFLTILHQDQVGGLELVKDGKWIAVKPNPQALIINIGDLFQVWSNDEYKSVEHRVVTNSKKERYSIAYFLCPWSETVIKSKSEPGVYRRFSFREFRNQVQEDVRKYGYKIGLPRFVL >KGN63594 pep chromosome:ASM407v2:1:1039860:1040122:1 gene:Csa_1G005550 transcript:KGN63594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFTFQTRLPPYSFLLSVMPLLLKDYQIRFKIIAPFPDDSKQRRSAFCSDLI >KGN63579 pep chromosome:ASM407v2:1:936176:937508:1 gene:Csa_1G004920 transcript:KGN63579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPGEGPARLSMSPTFSSYSSGSCSLAEIAARVVREVGEEPFADADNYGWEAQGSVYRFRENLSNGSVSEGVRSNDGGKNGDDDEEFEFAVLREPDAPTSSAHEIFYNGQIKPVYPVFNMDLLLDNGSPVDNGLEKLKKKPAVRRLPLRKLMNEERKLTSFSSSGADDLGGVPLDTYCVWSPSPEKKSTGKRNKTISTASSNRWKFRDLLYNNSRSKSEREDKLTKRKSSIMKNNETGNVSKEKEDYRSGFFTSFSAQNSHYGRNRSVKEPEKRRSYLPYREHLVGCVADAKGRI >KGN66382 pep chromosome:ASM407v2:1:23163968:23167305:-1 gene:Csa_1G600220 transcript:KGN66382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADHSCVKSTAMISSDEALKTVLEVARCLPPIVVSLHDAMGKVLAQDIRASDPLPPYPASIKDGYAVVASDGPGEYPVITESRAGNDGVGVTVTPGTVAYVTTGGPIPDGADAVVQVEDTEKIESKRVKIKVKARKGADIRPVVLVHYLFFLIIK >KGN65010 pep chromosome:ASM407v2:1:10904476:10904700:-1 gene:Csa_1G180740 transcript:KGN65010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDGGNGAGRRRNTDTDEGKRFGQQNRYDFSFSDLENTNNLSRAFIRQLPTHPDKRRELPQTHDVVLMASGQPH >KGN64124 pep chromosome:ASM407v2:1:4273412:4275877:1 gene:Csa_1G042360 transcript:KGN64124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTALKKIHKDKDVEASEFEETVAQALFDLENTNSELKSDLKDLYINSALQVDVSGNRKAVVIYVPFRLRKAFRKIQLRLVRELEKKFSGKDVILVANRRILRPPKKGSAVQRPRTRTLTSVHEAMLEDIVLPAEIVGKRTRYRVDGSKIMKVFLDPKERNNTEYKLESFAAVYRKLSGKDVAFEFPITEA >KGN65695 pep chromosome:ASM407v2:1:17732416:17735061:-1 gene:Csa_1G501850 transcript:KGN65695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPLFLLYAIPLLYLAFKLWKHFDAKRDQQCYILDYQCYKPSDDRMLGTQLCRDVMKRTKVLGLEEFKFLLKAVVNSGIGEQTYGPNVIFSGKESHPSLVDSIVEVEEFFLDCLHKLFEKSGISPSQIDILVVNISMFSTSPSLASMLINKFKMREDIKVFNLSGMGCSASLISMDVMRRMFKSHMNSYGIVVTSESLTPNWYSGNDRSMILANCLFRTGGAAILLTNKRSLKNKAMLKLKCLVRTHHGAQDESYDCCYQKEDDQGHLGIHLGKNLPRAAIRAFTDNLREIAPKILPITEIFRLTILTIIHKISLASSSKPRWPKPMANFKTGAEHFCIHTGGKAVIDGVGKGLNLTEHDLEPARMTLHRFGNTSASSLWYVLGYMEAKKRLKKGDKVLMINFGAGFKCNSCLWEVVRELGGKKGNVWEDCINSYPPLSLANPFMETFGWIQKEDATTFKSL >KGN65896 pep chromosome:ASM407v2:1:19487520:19487711:1 gene:Csa_1G537460 transcript:KGN65896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRKRFARCQKLSRGGHWEYNVGGDFFDASEGRRQYCLIRSSQGRCVAAIGIEVSLTSPPCVG >KGN65950 pep chromosome:ASM407v2:1:20050526:20058498:-1 gene:Csa_1G542410 transcript:KGN65950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPNGVSSFTSHANVPRPPALLGNPPDKASISTRLNILRKKLQDLDIDIEACVPGQFSSLLCPMCKGGDTKERSFSLNISEDGEAAVWNCFRGKCGWKGHTLAFADGRSSYKHLGQVALKQNIRKITVESLQLEPLCDELVDYFAERLISKQTLLRNSVMQKRSNNQIAVAFTYYRGGALISCKYRDAKKRFWQEAKTEKIFYGLDDIDGASDIIIVEGEIDKLSMAEAGIHNCVSVPDGAPPSVSKKNVPPAHQVTL >KGN66685 pep chromosome:ASM407v2:1:26456910:26459835:1 gene:Csa_1G659070 transcript:KGN66685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKHSPSKKSVLIGALNFPDQYWLYAAVRFKCLLLTNDEMRDHLFQLLGNTFFPQWKEKHQVRLSVSRNGLKLHMPPSYSIVIQESENGSLHVPTTTGDDLETPREWLCATRPTNTSMSGFE >KGN64634 pep chromosome:ASM407v2:1:7303512:7306025:1 gene:Csa_1G072450 transcript:KGN64634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVWPDQIRLQSKYRWASPLHYANTPDSCSFVYKRDCHNDAGQPDMCVAGAIRNFTTQLTTYRTQGFDSPHNLTEALLFLSHFVGDIHQPLHVGFESDAGGNTIEVRWFRRKSNLHHVWDRDIILEALGDYYDKDGGLLLDELNRNLTQGIWSNDVSEWERCSTVNSCVNRWADESTGLACKWAYEGVEAGITLSEEYYDSRLPIVMERLAQGGVRLAMLLNRVFAEDATRGFAYSS >KGN66174 pep chromosome:ASM407v2:1:21689405:21695052:-1 gene:Csa_1G574910 transcript:KGN66174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGGGEGGGALSSMDAFDSFLFSLSNSFSTPLALFIQIQGCIICLVLAFGWACAAYVRNREIKRIKGRVRAGNSFAFICNDISELEHSNQVNLPRVTIIMPLKGFGEHNLHNWRSQVTSLYGGPLEFLFVVESTEDPAYSAVLRLLSDYRDEVDARILVAGLATTCSQKIHNQLIGVEQMHKDSKYVLFLDDDVRLHPGTIGALTAEMEKNPDIFIQTGYPLDLPSGSLGSYCIYEYHMPCSMGFATGGKTFFLWGGCMMMHADDFRYDRYGVVSGLQDGGYSDDMTLAAIAGAHKRLITSPPVAIFPHPLASDLNLGRYWNYLRKQTFVLESYTSHVNKMMNRALFTSHCYLSWGFVAPYFMSMIHVAAALRFYAKGYSLEETGFSTVGMTMVCSLAACTIIELFSMWNLTRVEVHLCNILSPEAPQLSLASYNWGLVFIAILVDNFLYTISAIRSHFSQSINWSGIRYYLKDGKIHKIERSIPKVDMGPIYTDLGGKHLYGKKGMAPKVSFLGSLAKTLAQWRQPKKFDS >KGN65784 pep chromosome:ASM407v2:1:18475848:18479111:-1 gene:Csa_1G528490 transcript:KGN65784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYSRRSRYSRSPSFKRSVSRSLSRSRSRSRSPSSDVENPGNNLYVTGLSPRITRRELEKHFSAEGTVLDVHLVADPLTRESRGFGFITMSSNDEAENCIKYLNRSVLEGRIITVEKARRRRGRTPTPGRYLGLRTVHGRRRSRSYSSRRSPSYSPYRRSSSRSTHCSSDRSRSRSRSIDQHRRAYGSPYHRRSYSYSRRRYDSPDYRRRYDSPDYYRHHKSYSRSRSPYRRWSRDRSYSPCDHYSPDPYYRRHRYRSVSRSLSPKSRNSRHYSRSYSPEPRRRTLRNHYRSPSQELSSYSRSISPERSRSGSSIYSSSISPERGRSSRSRSCSHTPRRHESTRKRGYSSRSRSRSQSVTSRSVSPA >KGN64083 pep chromosome:ASM407v2:1:3977949:3981410:1 gene:Csa_1G039970 transcript:KGN64083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKIEGNRRKLNESTVMELKTFGESKDDKLKSSMVSSGSRIRPWLIRLTTTVLLWTCIVQMVSLMEFWGPGVLKGWPSCFSHESAAAVVSGVQENALSVPPKIVFLPKRYYKNNGYLMVSCNGGLNQMRAAICDMVAIARHLNVTLVVPELDKTSFWADPSEFQDIFDVEHFIGSLRDEVRIIRELPDGIKKRMEQRETYTMPPISWSDISYYRNRILPLIQKHKVLHLNRTDARLANNDQPMEIQKLRCRVNYSALKFTPQIEELGKRVVKLLRKNGPFLVLHLRYEMDMLAFSGCTQGCNSEEVEELTKMRYAYPWWKEKVIDSEQKRKDGLCPLTPEDTALTLRALDIDPNFQIYIAAGEIYGGKRRMQSLAKAYPKLVKKETLLKPSDLSFFQNHSSQMAALDYLVSLESDIFVPTYDGNMAKVVEGHRRYLGFKETILLDRKILFNLIDQYKSEKLSWDEFSWAVKEAHSDRMGKPTKRTVIPDKPKEEDYFYANPEECLPPSEEQKGAISSSMLKRTI >KGN65246 pep chromosome:ASM407v2:1:13092209:13093501:-1 gene:Csa_1G269880 transcript:KGN65246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKDRDGSSGVLHGKYELGRLLGHGTFAKVYHARNLQSGTSMAMKVVGKEKVIKVGMMEQIKREISVMKMVKHPNIVELHEVMASKSKIYFAMELVRGGELFSKISKGRLREDVARVYFQQLISAIDFCHSRGVYHRDLKPENLLLDDDGNLKVTDFGLSAFSEHLKQDGLLHTTCGTPAYVAPEVIGKKGYNGAKADLWSCGVILYVLLAGFLPFQDDNIVSMYRKIYRGDFKCPPWFSPEARRLVTKLLDPNPNTRIPTSKIMESSWFRKSIPKTVVTKEEQEFIESNEKLKQSETLNAFHIISLSEGFDLSPLFEEKKREEKEELRFATTRPASSVISKLEEVAKAGKFSVKKSESRVRLQGQECGRKGKLSVAAEIFAVTPSFLVVEVKKDHGDTLEYNQFCSKELRPALKDIVWTSPTENSMPA >KGN63513 pep chromosome:ASM407v2:1:503072:505746:-1 gene:Csa_1G002820 transcript:KGN63513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMSPNEIANEAIKHALRALRQRHLLEEGAHAPAFLALSRPIITQSSEWKEKAEKLELELQQCYKAQSRLSEQLVVEVAEVRTSKSLIGEKEAVIDNLEQELSRARDECSQLKADLEIKLKALELALCENQEIKTQLEAMTVKAKNAEAENKMLVDRWMLQKMQDAERLNEANALYEDMIDRLKASGLEKLAQQQVDGVVRQSEEGAEFFVESTVPSVCKHRIRGHEGGCGTILFENNSGKLISGGQDRTVKLWDTNTGSLSSTLHGCLGSVLDLAITHDNRSVIAASSSNNLYAWDISSGRVRHTLTGHSDKVCAVDVSKVSSRYVVSAAYDRTIKIWDLQKGYCTKTFIFASNCNAVRFSMDGQTICSGHVDGNLRLWDIQTGKLISEVAGHSLAVTSLSLSRNGNTILTSGRDNLHNLFDIRSLEVCGTLRASGNRVASNWSRSCISPDDNYVAAGSADGSVHVWSISKHDIVSTLKESTASVLCCSWSGLGKPLASADRNGIIFTWN >KGN66035 pep chromosome:ASM407v2:1:20797276:20803666:-1 gene:Csa_1G569130 transcript:KGN66035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPIAAAKNKKKRTFSYEATSNVQDDSVAHHPASFTFPTRIDAMCKSIVEDAVTRSRSQMEEPRIGEVVKRFYDEIWPKVENDFRQQVFKEVQRMIHSAIHSAISPSLRALDDLLVLCFINVFLLCSLFANGPVKFNHVMDDSAIKDFGLMSDFIEWPDVTEEFFLPVQAEASNICEVDDETQYQKLQIKVRPKPK >KGN66106 pep chromosome:ASM407v2:1:21211317:21211589:-1 gene:Csa_1G571290 transcript:KGN66106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKELRKDRATLIMEELDLLNIVNKENMKRYKSLIVNAKKPSSQYKKEAENCTNRVRTCEEARERAQEKLVEECKLTALWQKRAEMLAKP >KGN65530 pep chromosome:ASM407v2:1:16009478:16013810:1 gene:Csa_1G435750 transcript:KGN65530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVFHALGAPSALSPPNSLHSNTSLLLHPSRSLSFFERKGRFFIVRSDVTGANHAFTPRSRSHHLITNAVATKADTSSPSTTSKPGHELLLFEALREGLEEEMDRDPRVCVMGEDVGHYGGSYKVTKGLATKYGDLRVLDTPIAENSFTGMGIGAAMTGLRPIVEGMNMGFLLLAFNQISNNCGMLHYTSGGQFKIPIVIRGPGGVGRQLGAEHSQRLESYFQSIPGIQMVACSTPYNAKGLMKAAIRSENPVILFEHVLLYNLKERIPDEEYICSLEEAEMVRPGEHVTILTYSRMRYHVMQAAKTLVNKGYDPEVIDIRSLKPFDLHTIGNSVKKTHRVLIVEECMRTGGIGASLTAAITENFHDYLDAPIVCLSSQDVPTPYAGTLEDWTVVQPAQIVTAVEQLCQ >KGN66327 pep chromosome:ASM407v2:1:22722378:22731239:1 gene:Csa_1G597740 transcript:KGN66327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAHFTGSLISSRNLLPSFNGLRPSSVKFSPSVAHARVGGLANRSFTGLVVRAATVVAPKYTSIKPLGDRVLVKIKEAEEKTDGGILLPSTAQTRPQGGEVVAVGEGKTIGNTKVEASVKTGAQVVYSKYAGTELEFNGSNHLILKEDDIVGILETDDAKDLQPLNDRVLIKVAEAEEKTAGGLLLTEGSKEKPSIGTVIAVGPGHLDEEGKRKPLTVAVGNNVMYSKYAGNEFKGKDGSDYIALRASDLIAMGSHEEVVEISSLERGLLSECRSDLEPESDDEPVLFAASFQEMEDNFVKYHTAQWVLYSLLLILAWGIGLLMLLYLPVRKYILRKDFQSKRLYLTPNSIVYKVTRPVPLPCFGVLKKEKHVLLPSVADIIIEQGYLESLYGVYSIRIENAGVRRPPGDDVHIQGITDPVAFRKAVLMRLAGMRDDGNTSQISTIEEVLNTKASPSKSSKYDPYLYSGEQVLQKVEEVGSSVKRVQALIEEHQSQVSNILD >KGN64280 pep chromosome:ASM407v2:1:5130833:5132415:1 gene:Csa_1G045780 transcript:KGN64280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSSNLSRWLRPEVYPLFAAVGVAVGICGFQLIRNICINPEVRVTKENRAAGVLDNFAEGEKYSEHFLRKFVRNKSPEIMPSINNFFTDPNRN >KGN65770 pep chromosome:ASM407v2:1:18410460:18411458:-1 gene:Csa_1G527870 transcript:KGN65770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMIPSFFGGRRSSVFDPFATFDLSDPFDFHFPSSISSHFPEIARETSAIVNARVDWMETPEAHVLKADLPGLKKEEVKVEVEDGKVIQISGERNVEKEDKSEKWHRMERSSGKFKRRFRMPEDVKMEEIKASMENGVLTVTVPKAEEKKADVKSVKISG >KGN64245 pep chromosome:ASM407v2:1:4930285:4930677:1 gene:Csa_1G045430 transcript:KGN64245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRSRSRQSSSVRISDEQITDLVHKLQQLLPEIRNRHSDKVSAAKVLQETCNYIRSLHREVDDLSERLSELLATSDTAQAAIIRSLLTQ >KGN63923 pep chromosome:ASM407v2:1:3042080:3055990:-1 gene:Csa_1G027520 transcript:KGN63923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAYEFMSNGTLRDHLSVNSAEPLSFATRLKAALGAAKGILYLHTEADPPIFHRDIKSSNILLDSKYVAKVADFGLSRLAPLPNAEGDVPAHVSTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVFLELLTGRHPISHGKNIVREVNSAYQSGKIFSIIDGRLGSYPAECVEKFVTLALKCCQDDTDARPSMVEVVRTLENIWLMLPESDSKISEPLINDVIKVTSPPSSSSNMNYYISEVSGSDLVSGVTPTIMPR >KGN65554 pep chromosome:ASM407v2:1:16312158:16312427:-1 gene:Csa_1G446390 transcript:KGN65554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDDINEFETPNEEYDCFDSTIATNAEEAEPPAELFGVHDVPEAFNQIDLNEMNSGFDNNLDEENSGSEFGKLMMISIL >KGN65980 pep chromosome:ASM407v2:1:20321588:20325672:1 gene:Csa_1G560640 transcript:KGN65980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEGPPSVTIHVTGFKKFHGVSDNPTETIVNNLKKYMEKNGLPEGLTIGSCSILETAGQGALDLLHKTLQSAVEGKGSEPTNSRRIIWLHLGVNSGASRFAIERQAFNEATFRCPDEMGWKPQKVPIVLEDGEVSRARETSLPVEEITKTLAKKGYEVMTSDDAGRFVCNYVYYHSLRQAEENGIKSLFVHVPLFLTIDEETQMQFIASLLEVLASSSY >KGN65569 pep chromosome:ASM407v2:1:16479730:16485907:-1 gene:Csa_1G453990 transcript:KGN65569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVHHSPHRNSVEKPEAHKPFNKDATPGYDLWTDGLICAFEYIGKPRKSTDSKSKSKMSDRWQTNSEFPTTTTELNSGNANTHERKRSFEPTSPEDLRTHCGQFSEREKVESNSWLPIGWDRISELVQTIQVEAEWASMSYDFMDEEDDLTVADLVAPYWKRPAGPIWWCHVSASHPSVEAWLRTAHWLHPAISLALRDESRLISDRMKHLFYEVPVRVAGGLLFELLGQSAGDPFSDEDDIPVVLRSWQAQNFLITSLHVKGPISNPNILGITEVQELLIIGGYNAPRTVHEVIAHLACRLTRWDDRLFRKSIFGAADEIELKFMDRRNHEDMHLFSIILNQEIRKLSRQVIRVKWSLHAREEILYELLQHLRGYVTKGLLESIRKSTRQMIEEQEAVRDRLFTIQDVMQSNVRAWLQDKSLRVTHNLAVFGGCGLVLSIITGLFGINVDGIPGAQNTPYAFGMFTAILFFLGIALMAGGLVYLGLKKPMPDQMVEVRKLELEELVKMFQHEAETHAQVRKSLTRKNIPPTARDIFPADADYVLIE >KGN66342 pep chromosome:ASM407v2:1:22876024:22880329:-1 gene:Csa_1G598870 transcript:KGN66342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNSGGGGVGIGGTTAGGVAAGGGAGGGGGNDVELMCKTLQVEHKLFYFDLKENPRGRYLKISEKTSATRSTIIVPFSGIPWFLDLFNYYINSDDPEVFSKELQLDTKVFYFDIGENRRGRFLKVSEASVSRNRSTIIVPAGSNRDEGWSAFRNILAEINEASRLFILPNQENSEHSERLAGLSDDVGAGFISGHSSQSGPTSDLNVDRQVDLSAQDEMGNLGVSKVIRADQKRFFFDLGSNNRGHFLRISEVAGADRSSIILPLSGLKQFYEIVGHFVEITKDRIEGMTGVNVRTVDPPQR >KGN66913 pep chromosome:ASM407v2:1:29056731:29058661:-1 gene:Csa_1G714700 transcript:KGN66913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRTSKLPSNPPLGHNTTTLQHPKFHAPSSESLSLADSSSTSLVLTLTDGKLLGFADFLSAYRWIKEIGVSMSSVARENNAKEIAA >KGN66316 pep chromosome:ASM407v2:1:22657310:22659530:-1 gene:Csa_1G597140 transcript:KGN66316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATASEIVGKLNLKPHPEGGFYSETFRDYSVHLSKSHLPPEYKVDREVSTCIYFLMPSGCVSSLHRIPCAETWHFYLGEPLTVLELNEKDGRVKLTCLGSDLIGDNQLPQYTVPPNVWFGAFPTKDFNISADGTLTKAAPRDSENHYSLVGCSCAPAFQFEDFELAKRSDLVSRFPDSEAFVSLLTPDA >KGN65152 pep chromosome:ASM407v2:1:12463605:12466674:1 gene:Csa_1G250150 transcript:KGN65152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPSPTSPPATNTTSPPTPTTSAPPPATPSLSPPPPDSASQPPPASSSPPPSTTTSPPPPDSSTPSPPTSSPSPPTPPSTSSPPPPSTNSTTSPQLPSPTPPSGSGTPASPGDDLPGRDLPPPSSSPSSGVSAGLVAGVAIAGVVVVVVALIIRFLCMRKKRRRDEEAYYRPPPPPPSYKDGPYGQQQHHWQSHPPPPADHIVGAVPKPSPPPAPRPQLSPPIINSSGGSGSNYSGSENSLVPIPSSIPLGFSQSSFTYEELAMATDGFSEANLLGQGGFGYVHKGVLPNGKEVAVKQLKAGSGQGEREFQAEVEIISRVHHRHLVSLVGYCITGSRRLLVYEFVPNDTLEFHLHGKGRPTMDWPTRLKIALGSAKGLAYLHEDCNPKIIHRDIKAANILLDLKFEAKVADFGLAKLSSDVNTHVSTRVMGTFGPVDTTQSFMDDGLLDWARPLLLRATEDGHYDSLVDPKLRDNYDHNEMARMVACAAACVRHSARRRPRMSQVVHALEGESSLSDLNEGIRPGHSTVYSSYGSSDYDTAQYNEDLKKFRKMALASQEYGSEYSEQTSEYGLYPSGSSGDGQTTREMEMRTTGMKESGGFSGSS >KGN65952 pep chromosome:ASM407v2:1:20064159:20070044:-1 gene:Csa_1G542430 transcript:KGN65952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPINSTFTFNSNFNFQFKFINHRSFPFSHSLNNFSISSSPSRFLRFCTTRLSSAVSHAPPSPPPVKHLMERMDLVGIVCDEHCIPGKYYCLFCPKCKGGRLMERSLSLHVIPTGDFAMWRCFQFECGWAGQIFADGKLAFNGFGKITKMVGRSSKESLVLEPLCDELISYFSMRMISQETLERNVVMQLAGRQVTIAFTYRQNGQLVGCKYRTMDKRFWQEKGTAKFLYGIDDINDADELIIVEGEMDKLSVEEAGFLNCISVPGGAPNKVSTDTVPPIEKDTAYQYLWSCKDYLDKVSRVILATDSDVPGQALAEELARRLGKNRCWRVSWPYKDGFNRFKDANEVLVHLGPDALKKAIEDAKFYQLSSSNQIQKEEDLFQEHRVE >KGN64079 pep chromosome:ASM407v2:1:3966364:3968824:-1 gene:Csa_1G039930 transcript:KGN64079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATKSQKFSADFVMGGVAAIVAKTAAAPIERVKLLLQNQGEMIKRGQLKNPYLGIHHCFRTVLKEEGFLSLWRGNQVNVIRYFPTQAFNFAFKGYFKTKFGRSKEKDGYIKWFAGNVASGSAAGATTSLFLYHLDYARTRLGTDAKGGGGNSQHQFKGIFDVYRKTLSSDGIVGLYRGFSVSIIGITLYRGMYFGIYDTLKPLVLVGQFEGNFFASFLLGWSITTFSGVCAYPFDTLRRRMMLTSGQTLKYRSGLHAFSEIIRHEGIAALFRGVTANMLVGVAGAGVLAGYDQLHRIACRNGYSFGGQHQIASK >KGN66288 pep chromosome:ASM407v2:1:22518042:22522736:1 gene:Csa_1G595890 transcript:KGN66288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPESPAANGSATLFSPFRMGNFDLSHRVVLAPMTRCRALDGIPGAALGEYYSQRSTDGGFLISEGTSISATAAGAPNNVYQPGGMPPISSTSKPISKRWRILLPDGSYGTYPSPRALGTYEIEAVVEQYRQAALNAIRAGFDGIEIHSAHGYLLDQFLKDGINDRTDGFGGSLTNRSRFLLKVVQAVVSAIGSYRVGVRISPAIDHLDAMDSNPLKLGLHVVDQLNELQQQVGGKLSYLHVTQPRYTAYGQTESGRPGSEDEEAEFMRTLRRAYEGTFICSGGFTRKLGVEAIENGDADLVSYGRLFIANPDLALRFKLNAPLNKYVRKTFYTQDPVVGYTDYPFLESASGKNRALSRL >KGN64712 pep chromosome:ASM407v2:1:7850843:7865272:1 gene:Csa_1G077130 transcript:KGN64712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQFWKPGTEKPRLLDDGEGGVLFFSSSYSSSSSGFGFSSTEKQRQRLPVYKYRTAILYLVETHATTIIVGETGSGKTTQIPQFLKEAGWADGGRVIACTQPRRLAVQAVASRVAEEMGVKLGEEVGYTIRFEDLTNPDVTRVKFLTDGVLLREMMDDPLLTKYSVIMVDEAHERSISTDMLLGLLKKIQRRRPDLRLIISSATIEAKSMSTFFQMSKRRRGLEGETLEPKVEPAILSVEGRGFNVQIFYLEEPVSDYVQSAVSTVLSIHEQEPPGDILVFLTGQDDIDAAVQLLIEEGQNDRKKSELIVLPLYSGLSRAEQDLIFSPTPRGKRKVVISTNIAETSLTLEGIVYVIDSGFSKQRFYNPITDIESLIVAPISKASARQRTGRAGRIRPGKCYRLYTEEYFINEMPAEGIPEMQRSNLVTCVIQLKALGIDNILGFDWPSPPSPEVMVRALEVLYSLGVLDDDAKLTSPIGFQVAEIPLEPMISKMILASGELGCSEEIMTIAAVLSIQSIWASSRGAQKELDEARLRFAAAEGDHVTFLNVYKGFLQSNKSSQWCHKNFINYQAMKKVMEVREQLRRIAQRLGIIMKSCERDTTAIRKAVTAGFFANACQIEAYSHNGMYKTVRGSQEVYIHPSSVLFRVNPKWVVYHSLVSTDRQYMRNVVTIDPGWLTEVAPHFYQQRQLSHMPH >KGN65288 pep chromosome:ASM407v2:1:13426784:13427836:-1 gene:Csa_1G295170 transcript:KGN65288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGCEVEINILVKSSAVVSANASCEFVKVGVVLDPNTTVGILSNTSIQMALSDFYAKNLKYKTSISFIFKGAGDVVEVASAATELLRDGVEAIIGPQTTEQVLYLTEFGRKYEIPVISFTVTTPSLSPKQNPYFIRAAQKDSAQMGAINAIIQMYGWREIVPIYEDTEYGRGIIPYLADALQQNGTRLVVRTMISRSSTLAKISKKIKRLKDKRKTIFVVHMTLSIGWKVLSVAKKEGMMSEGYAWIVTDGLSSLVDPLLLESKVMDSMQGIVGVRPYIPITQKFQHFQVKFKQRPPLSLSLGLSMDCHSLETSTYL >KGN66919 pep chromosome:ASM407v2:1:29116250:29119046:-1 gene:Csa_1G715740 transcript:KGN66919 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisome biogenesis factor MNSLREILVDRAVHAAVSRFLPLHFAHNQNQNPTLVENDFSLAMNEFVPASMRDITKPAAEGGRSGWDDVGGLVEVKSSIKEMIVFPSKFPNIFAQAPLRLRSNVLLYGPPGCGKTHIVGAAAAACSLRFISVKGPELLNKYVGASEQAVRDIFSKATAASPCILFFDEFDSIAPKRGHDNTGVTDRVVNQFLTELDGVEVLTGVFVFAATRLAFLHLNQIVANDNNDQ >KGN65489 pep chromosome:ASM407v2:1:15716342:15717128:1 gene:Csa_1G425930 transcript:KGN65489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGVKWSWDGDYGAGKVEFHIGFRWHHHNGSKVLNTRAKICLRKIVGERISLSPKRLKEKDEKTCSECKSKDDAWDKSTVVFIKTTFLEELLVNGVVNQCDINKGYPTTKLLLRWTERSLFPIFMMHKPWSSSMEKIFFVDSEGRKSRGKKGETCH >KGN64128 pep chromosome:ASM407v2:1:4291264:4292001:1 gene:Csa_1G042400 transcript:KGN64128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSEISSPRISFSHNLICESRSPMDQYVEYRRRDVSLLDSIDFEFNISIEHESSCADEIFSNGIILPIKIQSHKQSHPSLPPLPPSIEHSKKITLVTSSSSSSSSSSSSSDHQLEQRVSESKSFWGFKRSTSLNNFETKTLSLCPIPLLSRSNSTGSVSNSKSKKFKDSQKQNSQKQNSSSMRKSSPSPPSSLNQYPTILKPQMCKNPGGVYGTYHYIGPVLNVPPKFFGFGSLLGCGKEKKSKK >KGN64889 pep chromosome:ASM407v2:1:9736953:9751262:-1 gene:Csa_1G145910 transcript:KGN64889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPNLFPFGSALGNPLAFDGDLSEGFETPRFLFFVPFLLLQGGGMDLSKVGEKILSSVRSARSLGLLPTTSDRPEVPARAVAAAAVARALAGLPPHQRFSLSSSSEELSSIYGSRNHGHEVEELEEVFYEEEFDPVRHVLEHVPSEENDLEYLEKQATKRLAQLDKVAERLSRHVMEHHEVMVKGMHLVRELEKDLKIANVICRNGKRHLNSSMLEVSRDLIVNSNSKKKQALLDMLPVLSELRHAVDMQSMLEVLVEEGNYYKAFQVLSEYLQLLDSFSELSVIQEMSRGVEIWLGRTLQKLDSLLIEVCQEFKEEAYLTVRIVLL >KGN65571 pep chromosome:ASM407v2:1:16500436:16503146:1 gene:Csa_1G459490 transcript:KGN65571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFNILRDLALFALCSFLLFSGSSLAEKSPIQEENQENIPLQNEEDRTIVSPSLHFTQLDDTTIVNPTTSGGTPVAPPQSVPNIVDPNVNPTAVSGNPGGGSWCIANSAASPTALQVALDYACGYGGADCSAIQPGGSCYDPNTVKDHASYAFNDYYQKNPAATSCVFGGTAQLVSTDPSNGNCHYAKPGAVLSPPPATPPPPAPVIPTPTPPAPTVETPPPPATTNPTYTPTNPTYTPTDPSIYGAEPSGMPSSATSISKRLVLLWIITYLMGLLVANHL >KGN64822 pep chromosome:ASM407v2:1:9034154:9034357:1 gene:Csa_1G119890 transcript:KGN64822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQASVCPNPRRRLTDAVSLCDRAVLRELPLVCAVASRAVLPLFLRNISVTCRLKNKEHHLIKQLNLN >KGN66910 pep chromosome:ASM407v2:1:29008829:29013600:-1 gene:Csa_1G713680 transcript:KGN66910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTENGRKQGGGAAPCAACKLLRRRCGEECVFAPYFPADQPHKFANVHKVFGASNVNKMLQELPIHQRGDAVSSLVYEANARVRDPVYGCVGAISSLQHQIDALQTQLALAQAEVVHLRVRQTASFSNYGLSPTSPSNSGSPSSRLMGSQSRTMFEMDMVVDQAMEESMW >KGN63473 pep chromosome:ASM407v2:1:237765:239802:1 gene:Csa_1G001450 transcript:KGN63473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWNLKTPSWDFTQLEQDALKNINSIGASSNFVEHIATSGDFTVDLKLGQVSNLGTKYVVNEPGVFKMAPSPSEPSKRARGSGNGAQPLSCLVDGCVSDLSNYRDYHRRHKVCELHSKTPQVTIGGLKQRFCQQCSRFHSLDEFDEGKRSCRKRLDGHNRRRRKPQSDSLSRSILSHYQGSQLLPFSSSHVYPSTLVMNHSWRDLANNTEIDARLHVQQEPTHFPDKHNIYLGSSSNDSVHKIGKQVTFMQSDNPESSVGQPLVKTIDFSENEIGRSKMLYDGFKTPGHESDCALSLLSSLQTQASGIGFSEAEQDRHLISFLQPLDVSLGHHNSLEPINSVLNGSVSNADINCSGMFHIASNDGCNEAPPPSLPFHWQ >KGN66119 pep chromosome:ASM407v2:1:21271196:21276252:1 gene:Csa_1G572400 transcript:KGN66119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSYAKVSTTANNNTSTSTNNKPANGFLPNSLKFISSCIKTASSGVRSASASVAASISGDAHDHKDQVLWAGFDKLELCPSFSKHVLLVGYTNGFQVLDVEDAPNVSELVSRRDDPVTFMQMQPLPAKSDGQEGFGASHPILLVVACDESQSSGLMQSGRNGLVRDGYPNGHSDRITLAPMAVRFYSLKSRSYVHVLRFRSTVYMIRCSPEIVAVGLASQIYCFDALTLESKFSVLTYPVPQLGGQGTSGVNIGYGPMAVGPRWLAYASNNPLQSNTGRLSPQSLTPPGVSPSTSPGSGNLVARYAMESSKHLAAGLINLGDMGYKTLSKYYQEFVPDGSNSPLSSNSSRKVGRLHSTETDAAGMVVVKDFVSKAVISQFKAHSSPISALCFDPSGTLLVTASTHGSNINIFRIMPSHIQNGSGTQSYDWSSSHVHLYKLHRGLTSAVIQDICFSHYSQWIAIVSSRGTCHIFALSPFGGETVLQMHNSFVDGPNLIPASCVPWWSTSTFITNQQSFSPPPPPPVTLSVVSRIKNCNSGWLSTVSMAAASASGKVSIPSGAISAVFHSCIPQNPQSPQLSSNTLEHLLVYTPSGHVIQHKLLPSMGGECGETVLRSPNASMQMKDEELRVRVEPIQWWDVCRRAAWPEREECISSVTLRRKETVESAEDTSHIQENHLENQELVKPDRSLLYLSNSEVQINSGRIPIWQKSKVHFYTMSFPGSNEQSSMKDHMNGEIEIEKVPIHEVEIKRKDLLPVFDHFRGIQSDWLDR >KGN66265 pep chromosome:ASM407v2:1:22363290:22372562:1 gene:Csa_1G589720 transcript:KGN66265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENHQEVEGEEDDDMGPTTVEEEEIGFVGSSLTLEKVAAAKQYIENHYKAQRKHIQERKERRSVLERRLASSDVSEEEQINLLKDLERTETQYIRLKRHKICVEDFYLLTIIGRGAFGEVRLCREKKTGNIYAMKKLRKSEMLSRGQVEHVRAERNLLAEVASHCIVKLYYSFQDAEYLYLIMEYLPGGDMMTLLIREETLTETVARFYIAQSVLAIESIHIHNYIHRDIKPDNLLLDKRGHMKLSDFGLCKPLDCTNLSAINEHEVLDDENLNDTVDVDESFPGKKSGRRWKSPLEQLQHWQINRRKLAFSTVGTPDYIAPEVLLKKGYGVECDWWSLGAIMYEMLVGYPPFYSDDPVTTCRKIVHWKNHLKFPDEIRLSPEAKDMISRLLCDVESRLGSGGVDQIKTHPWFKDTEWEKLYDMDAAFKPEVNGELDTQNFMQFDEVDSPPTRSGSGPIRKMLLTPKDLSFVGYTYKNFEAVKGLRHSFDVKSNTAPIRTSSVDSTKSDSALDNYSTDDREVILASSMDALSQ >KGN63945 pep chromosome:ASM407v2:1:3260834:3263631:-1 gene:Csa_1G031200 transcript:KGN63945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPQHVKLYLSLWHLAFLFVIPGVKPDLSSDRASLLALRTAVGGRTAELWNASDESPCSWTGVECDGNRVTVLRLPGVSLSGEIPTGIFGNLNNLHTISLRFNALTGQLPSDLAACTSLRNLYLQGNGFSGHIPEFIFQFHNLVRLNLASNNFSGVLAPGFDRLQRLKTLFLENNRFIGSMPAFKLPVLKQFNVSNNFLNGSVPRRFQSFPSTALLGNQLCGRPLETCSGNIVVPLTVDIGINENRRTKKLSGAVMGGIVIGSVLSFVMFCMIFMLSCRSKSGQIETTLDMTTLDNIRREKVTYENPQSIAATTAMVQNKKEETNENIDVVKKLVFFDNTARVFDLEDLLRASAEVLGKGTFGTAYKAVLEIGHVVAVKRLMDVTISEREFKEKIEAVGAMDHKNLVPLKAYYFSVDEKLLVFDYMAMGSLSALLHGNKRCGRTPLNWEMRRGIASGVARGIKYLHSQGPNVSHGNIKSSNILLADPYDARVSDFGLAQLVGPASSPNRVAGYRAPDVIDTRKVSQKADVYSFGVLLLELLTGKAPSHGVLNEEGVDLPRWVQSVVQEEWKLEVFDVELLRYEGIEEEMVQMLELALDCATQHPDRRPSMFEVSSRIEEILCPYNPIQ >KGN64289 pep chromosome:ASM407v2:1:5186332:5188475:1 gene:Csa_1G045870 transcript:KGN64289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKTTGKKRRLQKPEPPADSHSDGPQFKSIRTVNGFNCSTIDPYPAHSSPTSDECLSVRDDLLNLHGFPREFLKYRKERERLSECCSVVDGVRAEHRDNVESEFVDEKESVLDGLVKTVLSQNTTEANSERAFASLKSAFAAWEDVLSAESKCIEDAIRCGGLASTKASCIKNILSSLSKRRGKLCLEFLRDLSIDEIKAELSTFKGIGPKTVACVLMFNLQKDDFPVDTHVFQIAKFVGWVPDDADRNKTYLHLNKRIPNHLKFDLNCLLYTHGKLCSKCTKKTGTRQRRGSEEQSCPLFKYSYNP >KGN65550 pep chromosome:ASM407v2:1:16273655:16274421:1 gene:Csa_1G445870 transcript:KGN65550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLPAPPCLSLHSNFFSSLKQVEKRLKLDHSSQRDVLHPPPPLPVRTNSSSTEEDSLSTPMYLHFPQTNTSSTLQESSQAPLEFLSSSSQSPPSHPKSESNPPKPIDRGQNRDVDDIQRLIQLLGLEDSCDEKEFGAKNGCNGCEGCESGFYSKIVGLKGPKCRKEVERLNGWIEFFSNGGDEEDRLEPLRLAYLLLGKAVFASNDDDGCLEGLEFPSTVEDFLLNDPPAL >KGN66159 pep chromosome:ASM407v2:1:21528106:21540629:1 gene:Csa_1G574270 transcript:KGN66159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFMAVLESDLRALSVEARRRHPAVKDGAEHAILKLRTMSCPSDIAENEDILRIFLLACEAKTIKLSVIGLSSLQKLISHDAVTPSALKEILLTLKDHAEVSDETVQLKTLQTILIIFQSRLHPESEENMAQALGICIRLLENNRSSDSVRNTAAATFRQAVALIFDHVILGESLPAGKFGTGSQNSRTSMVISDVDRNINSSETLKNGSLSGGPLLKRENLTRAGRLGLQLLEDLTALAAGGSATWLRSISSQRTFALDILEFILSNYVAVFRILVPYEQVLRHQICSLLMTSLRTNVELEGEAGEPYFRRLVLRSVAHIIRLYSTSLITECEVFLSMLLKVTFLDLPLWHRILVLENLRGFCMEARTLQVLFQNFDM >KGN63525 pep chromosome:ASM407v2:1:564885:565597:1 gene:Csa_1G002940 transcript:KGN63525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSGARNGTSGTLKFLCSYGGKILPRQTDGKLRYVGGLTRVLAVERSVSFSELMVKLGEFCGSSVTLKCQLPGGDLETLISVRSDEDLANIVEEYDRASSSLSHPLKIRAILSPPKSLKQISPPSSVDSTLPNSPCYGADSLPSSPQYGNSERISSPSYRFIRRIPSPPSKYFVGPRNCHGRTCCHGSPRFLYSGPNCTHWN >KGN65301 pep chromosome:ASM407v2:1:13582309:13586876:-1 gene:Csa_1G305740 transcript:KGN65301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSNGLGDRSAATNGALQVDKGVDFAQYFCTYAFLYHQKEMLCDRVRMDAYFNAIFKNKHHFEGKTVLDVGTGSGILAIWSAQAGARKVYAVEATRMSEHARALIKANNLQHIIDVIEGSMEDVVLPEKVDVIISEWMGYFLLRESMFDSVIYARDHWLKPCGVMYPSHARMWVAPIRSKLGDQKMNDYEGAMDDWYNFVADTKTDYGVDMSVLTKPFSEEQRKYYLQTSLWNNLHPQQIIGTAAVIKDIDCLTATVEEILEVKSSFLSTINSENTRFCGYGGWFDVHFRGRNEDPAQLEIELTTAPSVSNGTHWGQQVFLLHPPIWVSEGDELKVSFFMKRSNENHRLMEVEFGCEINQPSGKLHQQPFTNKFYIE >KGN66334 pep chromosome:ASM407v2:1:22816784:22819919:1 gene:Csa_1G597810 transcript:KGN66334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRELQKQPQQEMASAVEQLLAVNPYNPDILPDLENYVNEQVSSETYSLDANLCLLRLYQFEPERMSTQIVARILVKALMAMPAPDFSLCLFLIPERVQMEDQFKTLIILSHYLETGKFRQFWDEAAKNRHIVEAVPGFEQAIQAYAVHVLSLTYQKVPRSVLAEAINIEGLSLDKFLEHQVANSGWTLEKGGKGQLIVIPPNEFNHPELKKKSSDSIPLDHITRIFPILG >KGN63967 pep chromosome:ASM407v2:1:3403444:3406832:-1 gene:Csa_1G031880 transcript:KGN63967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNNSAVDGEEQAGKLSAPSVAPTTGATWRTVRVSVVLVGVTLGLFVLYNSAINPFKFLPASYAYRAFRFSSPHKDPILEKVVKEAAMEDGTIILTTLNDAWAEPDSLLDLFLKSFHIGNGTQRLLKHLVIVTLDQKAYSRCVAVHPHCYQLDTQGTNFSSEAYFMTADYLKMMWRRIEFLIYVLEMGHSFVFTDTDIMWLQDPFNHFYKDADFQIASDLYLGNPENLNNVPNGGFVYVRANHRTVKFYKFWYESRTIYPGQHDQDVLNKIKHSPLIPKIGMKLRFLDTANFGGFCQMGRDMSKMATMHANCCVGLENKVHDLRILLQDWNSFFNQTTGDNKSPSSTHSWTVPQDCKTSFQRGRQHKDDKKPGNRRLTSI >KGN64847 pep chromosome:ASM407v2:1:9380127:9388272:-1 gene:Csa_1G132080 transcript:KGN64847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGYGQMDPTRKQCHSDPSHQVAKTLSNGLSRSKIKAFHWKTIQVLFPKMSSGLSSLSSSDELDNFNPRTSPSTPPKPLEEELASLALTLPHPQLLSDQDDVNGVSDGFEADSSMFGIQRSDEEMRVGLVSEEHVVGNSVPVVEGATEVSDGAGVVWGRTNSEIEVDRPASPSSSGYAGERGSSSASSGRSETDGVAEDEIQELRDDASVGENSNSVPSWVPGKRHGDEDDASISWRKRKKHFFVLSHSGKPIYSRYGDEHKLAGFSASLQAIISFVEDGGDRVKWVRAGKHQVVFLVKGPIYLVCISCTEEPYESLRGQLELIYGQMILILTKSVNRCFERNPKFDMTSLLGGTDVVFSSLIHSFGWNPATFLHAYTCLPLAYGTRQAAGAILQDVADSGILFAILMCKHKVISIVGAQKASLHPDDMLLLANFVMSTESFRTSESFSPICLPRYNPMAFLYAYVHYFDVSETNISNFIG >KGN65973 pep chromosome:ASM407v2:1:20256825:20260378:-1 gene:Csa_1G555090 transcript:KGN65973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADELPPHFVRMEGYRSIDWNMEEQLSSGNVLSSKKIRSVLEKGCNVGKKLLITGLAISSAPVVLPPLVIMSAFGFVASIPYGVFLASYACTEAFMSVWLPMPPPPELDQNGDEEIGEENDYKEGIVHEDEKHEMETTKSGVILDDLDNYVAVDQGDEEDEREPNIESRDRGTVIEMTDVEFEVNDDIEDEQEQLEETRGLLKRIRDEGKRDDDFTEANGSVDHVRELEILLEVAKPSDYTENSIRGLLSEVDSAVVHPHVEYGASEVSSKLAQPEEAERPLSVTMIDVIESEEDLSISAVTIEPKVEANAPHKDDRVSANEELASELKIRENIVSMKKIIGYNATPIGTYIDEVNALYSFVGVEPPTPLKDSSSDDLNLLSQKLQFLMSIVGVK >KGN65593 pep chromosome:ASM407v2:1:16729738:16730028:-1 gene:Csa_1G467130 transcript:KGN65593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTQYVTAKLASTHPNTTTYSYNIGYLARATSTIPFNPNRRTPIRVKEQVRRHPAVTQSDESYGSR >KGN64102 pep chromosome:ASM407v2:1:4084289:4087310:-1 gene:Csa_1G042140 transcript:KGN64102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSMVALSRRLCRSLLSNSKASHHYDILPVTYCTNNLSFRSVSDITESGIESDLYTELDSNSVTNQPSLSPSSSQSPTQPRPVYNRPLENGLDAGIYKAILVGKVGQSPLQKQLKSGRTVTLLSVGTGGIRNNRRPLANEEPREYANRCAVQWHRVSIYPTRLGNIVMKHVVPGSILYLEGNLETKIFTDQVTGLVRRIREVAIRGNGRLVFLGKGEGNAQESTPSEFRGVGYY >KGN65105 pep chromosome:ASM407v2:1:11753457:11754886:-1 gene:Csa_1G222880 transcript:KGN65105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDSSKNEDSPRVVRVLEALKQASHELQSNPNPRSHEFNSPAIKALLELEVESDKNLSTDPNLSTLSHHLANLKSLVDTLQKSRGYSPRSFLTRRFATNSVSQVAGSIESEIQAWIDRKSLDTLVRALKEPSIDENELIKLLTQFENRLAQGFNRELQDLMLKSKVFSLLESIVCNPNFSKTIREHSAYVIGGMVRFNKDVFVGQILMGPTIHALVEMASSHSLKILCSLIRLIRSPLVEEIESNGDIPKIISLLNCADLQIRVLAMDCIVEIGYFGRKDTVDAMLEQDLIDRLVELQRSELGGDLIGLGKHTAEESREVTGSAGEKRFLEKHPFASCVAKFGVQLEVGEGLRKREKRAIKGEILKRVRKACVSDAEAATIIAEVLWGSSP >KGN65975 pep chromosome:ASM407v2:1:20293849:20294948:1 gene:Csa_1G555600 transcript:KGN65975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMDPISSNLQHLTSSDFTEDQRPSKRRKIHSPEISVDESADSIRRWTTESEHRIYSSKLVDALHRVTAQKSASLPSGSSIDGRKVRETADRVLAVAAKGKTRWSRAILTNPRLQHKLKKQKKVKTVGNCSRAKKLKIKYERRKLPTVQRKVRTLSRLVPGCRKISFPNLLEEASDYISALQMQVRAMTAVAELLAGAPGDRQSLRTNS >KGN66660 pep chromosome:ASM407v2:1:26188659:26189829:1 gene:Csa_1G654890 transcript:KGN66660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSIPLLNLSAKTSIRAALFPHSSAIKSRHHSSLPFLPSKSPKFTPIRMSYNPTPATDRLISAVAYTLPFFNSLQYGRFLFAQYPALGFAFEPLLPILGLYRSIPHSSFVAFFALYLGVVRNPSFNHYVRFNSMQAVTLDVLLVVPLLIQRILSPGRSGIGFKIMVWSHNGLFVFSVLCFLYSVVSCLLGRTPHLPIVADAAGRQI >KGN64534 pep chromosome:ASM407v2:1:6724271:6728487:-1 gene:Csa_1G063580 transcript:KGN64534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRLQLNLTTTMEMGVTASSWWNDINESTFWQDRIFYSLCAVYALVSAVALIQLIRIELRVPEYGWTTQKVFHLMNFIVNGVRAIVFGLHKQVFLLQPKVLLLLLLELPGLLFFSTYTLLVLFWAEIYHQARSLPTDKLRTVYISINSVIYLIQVCIWIYIGVNDNSVVEFIGKIFIAVVSFIAALGFLIYGGRLFFMLRRFPIESKGRRKKLHEVGSVTAICFTCFLIRCFVVALSAFDADASLDVLDHPLLNFIYYLLVEILPSALVLYILRKLPPKRISAQYHPIR >KGN65585 pep chromosome:ASM407v2:1:16663226:16664576:-1 gene:Csa_1G466560 transcript:KGN65585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDHPPLQNPQKLPLKYRLLLRLRSFVSHKALRPNMTVNRSLISLFESKVSSSSTPRDGVFTCDTVIDPSRNLWFRLFVPSSTPHDLPIPLLVYFHGGGFVFFSPDSLPFDILCRKLARELQAVVVSVNYRLSPEHRYPSQYEDGFDALKFIDDLDSSAFPEKSDFSRCFIAGDSAGGNIAHHVIVRSSDYNFKKVKIRGLIAIQPFFGGEERTESEIRFGETPTLNLERADWYWKAFLPDGANRNHVAAHVFGEKGVKISGVKLPATLVIVGGSDQLRDWDRKYYEWLKKGGKEVEMVEYANAIHGFYAIPELPETSLLIEEAKNFIGRIGSSVNDELK >KGN63736 pep chromosome:ASM407v2:1:1861326:1862348:-1 gene:Csa_1G014330 transcript:KGN63736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKSSDLHCTVGILLKNPQSDPFEEPQGEGEPRLCLDFSYLRRGKKKEKEFRFLLNTPVPETDVLVNILGSQ >KGN66245 pep chromosome:ASM407v2:1:22205541:22205754:1 gene:Csa_1G588550 transcript:KGN66245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRYSYRSAGDMSHVPMIAGPSFTSSETTGVTESWLSGKGHHRIIFIWLFVTL >KGN65236 pep chromosome:ASM407v2:1:13002223:13002567:1 gene:Csa_1G268300 transcript:KGN65236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIVSTTNPPNISIVVYEILSNLGIYPHDFAFGIIPKGLVVTKKIVLTYILKTILLSNQCGTLVPFTVILPYNCVIIEWVQGSTVNFICPTSSPSSCILSSLSGFPVYDEPPHH >KGN64520 pep chromosome:ASM407v2:1:6648142:6649073:-1 gene:Csa_1G062950 transcript:KGN64520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGLRLSLTYISCLLYLKEVGVFIFCFTQFNEHYIGVFRGLLSCTSLRFVLLADIRVFHELGFWHSMIFSLLFLRGSSVFRRGFHTGKKLLSPSTEDIICKAICVNLKQRRWKFLEQLSPSLTNSLVCRVIREFRNSPQLALEFYNWVEARDNFSHSLESRCTLVHVLVNSRNFNDALSIMESLILKMVSLHWRFWED >KGN66668 pep chromosome:ASM407v2:1:26272770:26273409:-1 gene:Csa_1G656450 transcript:KGN66668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVREKSPGLKILWVWTLGTAAILATSVVRMRLRDMENTVNFNSDQQQSLHHQQTSSTDSFSLDDADKSQV >KGN66392 pep chromosome:ASM407v2:1:23241290:23244311:1 gene:Csa_1G600820 transcript:KGN66392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSLNRIQLLSSADNNQILQLFRQIKQPHFGSSFFHGRSFSTRSSSSSSSSGAGKFINGDDEWNDTWESAWLPEDLSPKNKAPWESDVNFPSGNPTVVFPSDVDADTKAFVEDMTENWDERRKASQTQKQGVNQEEKGGDGGGGGGSLYSLENIKKDYRLQKQRIHANLWMKEIEKQEEARLGDSIAGSGDDIERLMDSCSEIFESVNDDLNRSKVPSSSEFRNKPDGWETLSKGHDGNVWEMTQREEDILQQEFDRRIAYNKFQIASFIKTHIFSRRRPIDGWKYMIEEFGPNAKKGKGSVSRLPNLSDSSTQPFKEEKVVASPSLKPLRRS >KGN66235 pep chromosome:ASM407v2:1:22103443:22109919:-1 gene:Csa_1G587460 transcript:KGN66235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPVEEAIAALSTFSLEDDQPELQGPGVWVSTERGATESPIEYSDVSAYRLSLAEDTKALNQLNSLIHEGKEMASVLYTYRSCVKALPQLPESMKQSQADLYLETYQVLDLEMSRLREIQRWQASAAAKLAADMQRFSRPERRINGPTVTHLWSMLKLLDVLVQLDHLKNAKASIPNDFSWYKRTFTQVSIQWQDSDSMREELDDLQVLDTISKMLCCCFVIP >KGN64402 pep chromosome:ASM407v2:1:5898305:5902676:1 gene:Csa_1G050410 transcript:KGN64402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGSGSSTSNHHSSSLWLSSNPSKRWGELFFLSYTPFWLTLCLGIVIPFKLYERFTELEYLLLGLLAALPAFLIPVVWPGEADRGLPWKDRYWVKASLWIVIFSYVGNYFWTHYFFTVLGASYTFPSWRMNDVPHTTFLLTHVCFLFYHVASNMTLRRLRHSVADLPENIQWFTLVAWILALSYFIAYLETLAISNFPYYQFVDRASMYKVGSLFYAIYFFVSFPMFLRIDEKPGDLWDLPRVAVDSLGAAMLVTILLDLWRIFLGPIVPLPNKQCDQPGLPWFLGQAK >KGN65821 pep chromosome:ASM407v2:1:18781478:18786174:1 gene:Csa_1G532290 transcript:KGN65821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLGFWPCLAALFFISLHTHLASSALILSLKHHYRNSDHHNRRPMLQANQTGCALFAGTWVRDDSYPLYQASNCPFIDPEFNCQAYGRPDSNYLKYRWQPLDCELPRFDGAEFLMRMRGRTVMFVGDSLGRNQWESLICLIVSSSPQTPTQMTRGEPLSTFRFLEYELTVSYYKAPYLVDIEIENGKRVLKLEEISMNGNAWVGADVISFNTGHWWSHTGSLQGWDYMESGGSYYQDMDRLGAMEKALRTWADWVEKNIDVSRTRVFFQAISPTHYNPSEWNTGTASMMTSTKNCYGETAPMGGTTYPGGYPIQMRVVDEVIREMRKPVYLLDITMLSELRKDGHPSIYSGDLNPQQRANPDRSADCSHWCLPGLPDTWNQLFYTALFF >KGN65945 pep chromosome:ASM407v2:1:19974900:19975607:1 gene:Csa_1G541380 transcript:KGN65945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLGKAEEVLTILKPTFPQLGLTKEDCLEMSWIQSVLLMGWFQKEDPLEVLLNRSRLYSEISKIKSDYVKEHIPMVAVKGMWERLKSQDVELSQIMFVPYGGKMSEVDDSETPFSHRSDYSYLVGYIAKWKNGSVEAEKSHLNWIREIYDYMTPFVSKSPRAAYVNYRDLDIGTNNKYGRTSYKRARVWGLKYFGKNFDRLVHVKTKVDPSDFFRHEQSIPTLSGISKELFSASL >KGN64428 pep chromosome:ASM407v2:1:6052952:6054415:1 gene:Csa_1G051660 transcript:KGN64428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLIHSFLNLVSPPFTFISLFLLLPPYQALKSFLSLLGVLFTENVHGKVVLITGASSGIGEHLAYEYAKRGACLVLVARRQNLLEEVADIARYYGSPGVITIKADVSKFEDCRRVINETMNNFGRLDHLVNNAAITHLVLFEDIADIAAFKQVMDINYWGAVYMTHLAIPYLRYSRGKIVALSAPPAWLPSPRMSIYNSSKAAIKSMFETLRVELAPEIGVTIVTPGFVESELTQGKALNAFGKVELRQDMRDALIGIVPVETADECAKGVVRGVCRGYRYVTEPSWYKVLFYWKAFCPELIEWCYRIMSMPAPGGSESDALSKQALDYTGGKYMLYPSSIRSTELKGD >KGN64511 pep chromosome:ASM407v2:1:6601377:6604645:-1 gene:Csa_1G062370 transcript:KGN64511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSKFSLFFLFLFVFLTVSEGKFPPLIKGVKVDKDDKQPFVGVNIGSDVSNLLSPSDLVSFLHFQKITHIRLYDTNPDILKALKGSKIRVVISVPNNQLLAIGYSNTTAAAWISRNVVAYYPQTLISGISVGDEVLTTIPSASPLLLPAIESLYNALVAANLHTQITISTPHAASIILDPFPPSQAFFNQSLVQFILPLLQFLSRTGSPLMMNFYPYYVFMQNKGVVPLDNALFKPLVPSKEMVDPNTLLHYTNVLDAMIDSAYFSMKNLNITDVVVLVTETGWPSKGDSKEPYATINNADNFNSNLIKHIIDRSGTPFHPEVTSSVYIYELFNEDLRSPPVSEANWGLFYGNSTPVYLLHVSGSGTFLANDTTNQTYCIAIDEFDVKTLQTALDWACGPGKANCTEIQPGEVCYQPNNVKNHASYAFDSYYQKEGKTSGSCDFKGLAMITTTDPSHGSCIFPGSKKMKNNTKETVNSTQIPEASGGAAQGLKLWINGEKSPYLFTCSFLILSVLIPLMAPW >KGN65537 pep chromosome:ASM407v2:1:16092676:16097522:-1 gene:Csa_1G435820 transcript:KGN65537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTALGIYSESFEKPFLEYTSEFYAAEGMKHMQQSDVSEYLKHAEGRLQAEQDRCLHYLDSSTRKPLIATTERQLLERHISAILDKGFTLLMDGNRMGDLLRMYTLISRVNALESLRQALSSYIRRTGQNIVMDDEKDKDMVSSLLEFKASLDTIWEESFSKNEAFCNTIKDAFEHLINLRQNRPAELIAKFLDEKLRAGNKGTSEEELEGTLDKVLVLFRFIQGKDVFEAFYKKDLAKRLLLGKSASIDAEKSMISKLKTECGSQFTNKLEGMFKDIELSKEINESFKQSSQARTKLPMGIEMSVHVLTTGYWPTYPPMDVRLPHELNVYQDIFKEFYLSKYSGRRLMWHNSLGHCVLKAEFPKGKKELAVSLFQTVVLMLFNDAEKLSLQDIRESTGIEDKELRRTLQSLACGKVRVLQKIPKGRDVEDNDSFVFNDGFTAPLYRLKVNAIQMKETVEENTSTTERVFQDRQYQVDAAIVRIMKTRKVLSHTLLITELFQQLKFPIKPADLKKRIESLIDREYLERDKNNPQIYNYLA >KGN66060 pep chromosome:ASM407v2:1:20934855:20936187:1 gene:Csa_1G569370 transcript:KGN66060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIPLKDLVPAAQNKVNTQFIVLEKGMTTMEGQNKVCQSLVADETAAVHFQLWGDECDVVEPSDIIRLTNGIFSYSRNNNLVLRAGKRGKIEKVGEFKMVFVETPNMSEIHWVPDTINSNKYVKESVLSPYSRIFPPIR >KGN63482 pep chromosome:ASM407v2:1:292783:293541:-1 gene:Csa_1G001530 transcript:KGN63482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEDQKELQLLPTPHSIASSSTSHISSRPSDSSSLRFRSDPLFEAGSGGSVDLQLSISLRPIRPVTAGGAECLFKYEEVMKPEAASCVDALKWQAAEQIRLAAMEKAYAERVRELTKREIELAQTEFARARQMWERAREEVEKAERMKERATRQMDSTCMEITCQSCRQRFRPS >KGN64925 pep chromosome:ASM407v2:1:10190934:10193672:1 gene:Csa_1G162610 transcript:KGN64925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPKFLLLLVISEFQPRLLKSHDLTLDASIFSMNLCGILLILDRFCCLFLLAFLGILYPYNRGSLFTSIVLIYSLTSVVSGYISASFHCQFAEIGWERSVILSGILYLGPSFVIISILNIVAISNGTTAALPIGTIIVILLIYFFISLPLLVFGGIIGHRFRSEFQAPCATKRNPREIPPLAWFRKLPCQMFISGLLSFSAVVLELHHLYASMWGFKIFTLPSILFITFIILVILTAILSVGLTYIQLSVEDHQWWWRSVFSGGSTAIFMFGYCIYFYARSNMNGFLQLCFFVGYNACICYAFFLMLGVISFRVSLIFVRRIYDAVKSE >KGN63865 pep chromosome:ASM407v2:1:2631219:2631677:-1 gene:Csa_1G024970 transcript:KGN63865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGDQADMAVHSLGSKLSLISDHEPEKCGNNNVTNNHQQRPCFDPSLVSPKVVPPISVAAESDQALRRPRGRPAGSKNKPKPPIIVTRDSANALRAHAIEVSTGCDVNESLSNFARRKQRGVCILSGSGCVTNVTLRQAASSGAIVTLHGR >KGN66028 pep chromosome:ASM407v2:1:20729908:20733485:1 gene:Csa_1G568570 transcript:KGN66028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGGRVSSRKNFKKKFRQKDKGSDDSDEDYVVSSDDNGVSERSDEDYCSSLDENASGEDNYVVEEDLEEQQQKKARKRVGPKARNGLHSHEARKKNGEKRRRFSDQEEEDGGDEDDEDYSVDNDNDYEEEEEEEEEEEEDVDVDVEVEDEDEDFLLEEEDFSDEEEPVVRKRRTNMKRGRIGLRKNNVGKVCKKRKPKAAKKPSRNKRRKKSGPQTVRNSDDDDDDFSDNYPTMKITRRKRPVSKRKRYVVQSDLDGLLSGSSDYEYTISEEEREQVREAERLCGQLRNRTRTVPSPPRIEDADLCQQRKTRPPVRKGKEKVEAIKAEVIKQVCGICLSEEDKRRVRGTLNCCSHFFCFSCIMEWAKVESRCPLCKQRFQTISKPGRSTAGIDLREFVVQVPERDQVYQPSEEELRSYLDPYENVICIECHEGGDDNLMLLCDLCDSPAHTYCVGLGREVPEGNWYCADCRTIALGSSSPQPSNRLSERRTTNNLFNRTFPVANRDGLDLNSISSPRTPYVQGFPNIPSPRLPVEVQSTSPMSQAVAPTLTRRRILRLHINNMRSSSQMGLVINRTDGVSAINPFGGGTLSLQTGQSRESTDEHMRTQEMAIPSQTLFGETLLHDSRSQMMQHGGFLDPETSHLPRQALQDPHHSTLTDRPSSNGTIMNPLRGLAVENTVTVDRNLNGVLRSELATVNSLPNCEQIHHYSNAINTASDNISLPNLVVDEKNYCAAREQLQPIIDRHLKNLSRDIDLGQSTADDIATKATSTILRACGYEHSINNAYRSSPPSQCSHIELAIGEGQRSLIKGLCLPCFDSYVRDVVKKITDDVSWLNLRL >KGN64495 pep chromosome:ASM407v2:1:6504574:6504942:-1 gene:Csa_1G059740 transcript:KGN64495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGWGSKSVSVDVLIVKLEETVKKLKSVSGKTADLVISGSLLAWFFSACNQLEAWTNELLSTLNKLSLPNPTLSLFNGELISKNNLNSISKPPTSKDTEARGKKRSTSLDDTTQEEPKTKRS >KGN64842 pep chromosome:ASM407v2:1:9317160:9317494:-1 gene:Csa_1G126040 transcript:KGN64842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNEVMSVVTVDMKRQTALQTLSDIRILFGNPLEDAGLVIFGLLNERYAQCFDVHKLFFCGISNPVDDNAFF >KGN66469 pep chromosome:ASM407v2:1:23978035:23978849:1 gene:Csa_1G612925 transcript:KGN66469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPINTKPKTHSSANNNQTSSNPRSPKFPSESLSQMARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >KGN64366 pep chromosome:ASM407v2:1:5716948:5724305:1 gene:Csa_1G050050 transcript:KGN64366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEPTQEVLSWLKTLPLAPEYHPTLAEFQDPISYIFKIEKEASKFGICKIVPPVPPSPKKTVIVNFNKSLAARAAPCSDSTNSKSPPTFTTRQQQIGFCPRKTRPVQKSVWQSGEYYTFQQFEAKAKNFEKSYLKKCTKKGGLSPLEIETLYWRATLDKPFSVEYANDMPGSAFVPVSAKMFREAGEGTTLGETAWNMRGVSRAKGSLLKFMKEEIPGVTSPMVYVAMMFSWFAWHVEDHDLHSLNYLHMGAGKTWYGVPRDAAVAFEEVVRVQGYGGEINPLVTFAVLGEKTTVMSPEVLVSAGVPCCRLVQNAGEFVVTFPRAYHTGFSHGFNCGEAANIATPEWLNVAKDAAIRRASINYPPMVSHYQLLYDLALSSRAPLCTGAEPRSSRLKDKRRSEGDTVIKELFVQNIVENNSLLDNLGGGASVVLLPPGSLESIYSRLRVGSHLRSKPRFPTGVCSSKEETKSPQSFDYDNLALENSPVINRVKGFYSANGPYSTLSERSTDNVCASSLRPLNANNERGGNVQSNGLSDQRLFSCVTCGILSFACVAIIQPREQAARYLMSADCSFFNDWVVGSGIASEGISTRDRHPVSSQQISNSGKRDKCVSDGLYDVPVQAVNRQLPLAGESYEANLNTEKRNETSALGMLALTYGHSSDSEEDNAEADAALNVDDAKLMICSSEDQYQFENSGLTSGEYSKNTAILNHDPSSFGINSADHMQFQVNDYEEFRRADSKDSFNCSSESEMDGIGSTKKNGLTRYQDSHVNGRSSLDADTEKPVFDKSTETVETENMPFAPDIDEDFSRLHVFCLEHAKEVEQQLRPIGGVHILLLCHPDYPKMEAEAKLVAQELSMSHLWTDTIFRDATQDEEKRIQLALDSEEAIPGNGDWAVKLGINLFYSANLSHSPLYSKQMPYNSVIYNAFGRSTSANSSGKPKVYQRRTGKLKRVVAGKWCGKVWMSNQVHPLLEKRDPQEEDVDIFPSWTMSDEKVDRKSANIQKNETVKVNRKSAGKRKMTYGRETIKKAKLVESEDMVSDASVEDCIHQHHSILRNKQSKFVECNDPMSDDSVEDDSSRKHGVPVSKGAPYFGTDDTGSDDSLGDRHTLHRGFSGFKLPRWGEIEPSVSDDSLEHYSSQHRGKNIKSRTGKYIERQDALSDECLESGSLKQYRRIPKSKQTKVLKKNAILHDIRDDSFLWHHQKPSRIKKAKFIETEDAVSEHSLENSSHQHRSMPQIKPAKHTAWEDAFSDDPDEDDNSLLQHRNVRSNMQFREITSDDQLDDGANQYSRRVLRRKPVKTETISQMKQEILRPVKRGASQTLKEEFAQSLKRGGRHTLKLETPQPKIHHATNRRGKRNEKLTDLESEDEQPGGPSTRLRKRTPKPTKLSEAKVKDKKPVAKKKMKTGSSLKTPAGHRDSKARDEESEYLCDIEGCNMSFGTKQELALHKRNICPVKGCVKKFFSHKYLVQHRRVHMDDRPLKCPWKGCKMTFKWAWARTEHIRVHTGARPYVCAEPGCGQTFRFVSDFSRHKRKTGHSTKKGRG >KGN64383 pep chromosome:ASM407v2:1:5790608:5792135:1 gene:Csa_1G050220 transcript:KGN64383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMNNSLKIDLISTEIIKPSSPTPSTHQHHKLSFLDQPAPGSYTPLLFFYPGGGDHRDRRFYLLAGTLVEAYLVECNDEGVAFSEARVSGRLSEVMENPNDVVSLHWLLPFHPDAVLERECILGVQYNVFECGGAVIAVCVTHKVVDGTSATMFTKAWASTCRGDNEYPIMPTFDATDLFLTIEICGGSRRHLPMHTIATRRFIFNKSNIAALKQRASSAASFLNQRPPSRVESPYPIKIPNQRKAFRRNPSRQLPGELEMFSFTSWCNFPLYETDFGWGKPTWACTPGRRYKNVVLFVNTSDGKGIEAWVNLEENDMALFENDSELLSFTS >KGN66341 pep chromosome:ASM407v2:1:22869668:22873478:-1 gene:Csa_1G598860 transcript:KGN66341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVLAGVSQASLVFRPRLGGALRTNNFSVKRLQFQSTIGRGISFDCKTVVSAIRATDSKNEDIDIYPDTTGGDSSDKGIGGGGSGGGNGGRGDNGGNSGEGEESNADSRKKALSMSQKLTLGYAALVGVGGLMGYLKGGSQKSLMAGGVSASLLLVVFKLLPNNPVLASSLGLGLSASLLVVMGSRFKNSGKIFPAGIVSLVSFIMTGGYMHGILRSSH >KGN64143 pep chromosome:ASM407v2:1:4353026:4353820:-1 gene:Csa_1G042530 transcript:KGN64143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFMKTPFLLIIFFIFFNCQTLSLDQKFEACAPKSCGNGPNISYPFWIAHSHSPFCGFPSFRISCKDENPIIRISNEDYIIRDISYKNHSFLLTNDAVYDSNCLTPLHNFSLHRTPFSYSSDHIGFFFFYNCTSLPPNYSYPIDCSSTSKLHSFGAFHEGYLEFMNFSSNSCQSSVEVPMDFNNEDDDFTGLLRKNYTDLLKMGFSLNWSGQSCSTCDTSGGRCGVEKNQFVCFCPDGPHLKTCKEGSFLEIFCKIGMSLTVKP >KGN65936 pep chromosome:ASM407v2:1:19869441:19870956:1 gene:Csa_1G538830 transcript:KGN65936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPVVVMLSINLALAGVNILLKEILNEGISQLLIVVYRQTISSLFLLPIAYFWERKTRPQLTAYILFLLFISSLLGLTLTLYLFLIGLHFTSATFSCAFLNLVPVNTFILAVLFRMEKVNMKSKGGIAKVVGTLVCISGNLLLILYKGTPLTSPGSTNGKTNGGRATVEAYTQGKWLIGSLVLTGGCFMWSSWFLMQTRVGRVYPCQYSSTCIMSFFSALQSAVLHLIIDRKNSLFIVKGKFAILSLIYAGSVGSGLCYVGMSWCVKQKGPVFTAAFTPFMEIFAAIFDFFILHEQIHLGRFASFFPLSFPPIA >KGN66308 pep chromosome:ASM407v2:1:22628713:22629662:1 gene:Csa_1G597060 transcript:KGN66308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPLVSKLYCSSSEVVFVVRTRPQVINGGGFVVTDCDQKVVFSVDGCGVLGKEDELILRDGKREALLLLRGKGGIFEALSFHKMWRAFKCDYQGSKKVVFSLKRPKSCLPVKHDVGIKINTKPKVSTKDWDFEISGYFPGKKCSIIDSQGNVVAQIGTNKKAGEKSSKNIYYVSIKPGIDQAFVVGVIAILDNIYGESTSC >KGN66679 pep chromosome:ASM407v2:1:26395207:26404977:1 gene:Csa_1G659020 transcript:KGN66679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLGSGEIMGSGSYPVRPGEPDCSYYIRTGLCRFGATCRFNHPPNRELAIATARMKGEFPERIGQPECQYYLKTGTCKFGATCKFHHPRDKAGIAGRVALNILGYPLRPSETECAYYLRTGQCKFGNTCKFHHPQPTNMMVSLRGSPIYPTVQSPTPGQQSYPGGSTNWSRASFIPSPRWQGPSSYASLILPQGVLSVPGWNAFNDQLGSVSSSESPQQTRENVFPERPGQPECQFYMKTGDCKFGAVCRFHHPRERVLPAPDCVLSPIGLPLRPGEPLCIFYSRYGICKFGPSCKFDHPMGIFTYNLSAASSANAPVQHLFGTSSGTTALNLSSEGLVEAGSAKPRRLSISESREMPSDDENDAEG >KGN64154 pep chromosome:ASM407v2:1:4395239:4397382:-1 gene:Csa_1G042630 transcript:KGN64154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVKGLEYLMVPLGFAVLVSYHLWLIITIYRRPKRTVIGINAESRRQWVSTMISDPAKNGVLAVQTIRNNIMASTLMATTTITIGSLISVFVSSTSSTGKYRYIVLCFLVAFLCNVQSIRYYAHASFLVTLPDGEGRKEYLAAILNRGSLFWSLGLRAFYFTIPLFLWIFGPLSMFASCYLITFVLYFLDYTGSSNYDPYEYVQKEEANNSDIESVGQSRGINFAANSSLQSPLLASHNMTST >KGN65410 pep chromosome:ASM407v2:1:15152916:15154369:-1 gene:Csa_1G418760 transcript:KGN65410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLISPLKINISQAVMASFSNLLMNQSSFVACSKNGFRKSILHVLHKRQGQASGIIGVTSNPKIFERSILSSKAYDEQFRYSHLSLSQYIDVWKQRVAESFVVQICFVCFDLNSMDRDLTKEGKDVECAYWELVTKDIRDTSVILKPIYKETTGLDGYVSVQVSPWLADNTKKIVKAAKWLHKKLGRPNVYTKIPATAESIPAIKQVISQGISVNATLIFCISTYEKVIEAYLNGLESSGLSDLSAISSAAAFYISRVDAAARAQAAIAYKIFREKFSGPRWEALAKRGAKKQRLMWASTNVKNPAYPDTCYVDLLIGPETISTIPEEALAAFMDHGIV >KGN65776 pep chromosome:ASM407v2:1:18421282:18423540:-1 gene:Csa_1G527920 transcript:KGN65776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVITYKYHGALLLNLFILLGPPCFPVLFVNTYLLVFRQSNAISPSGFHSMSAVEAPRGDSDPANPSSLPFRDARSAAGSCSDMSVLDDSDDQSWHSVFDSTAWGSHGEGQFSGEIEGVPDAEMGHFISESSSEVDLESGDLEVKVHLGKIERDCRICHLELESSGGRDNSDTPIQLGCCCRGDLGTAHKQCAETWFKIKGNTICEICGATAQNVASQQINEPSNAVATAVASSALTAPLTLVETRTIFHGRRIMNFLLACMLLAFAMSWLFHFKLMS >KGN64492 pep chromosome:ASM407v2:1:6481358:6481788:-1 gene:Csa_1G059710 transcript:KGN64492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMCFVKLFFVVVLFTSLLSSHAAQEHEKMEDVVTLTSLRGRRGFFSYKFRPFQAAMTCDRNIKVCRAEGSPGRNCCQKKCVDLKRDRYNCGRCGKKCKYSEVCCKGKCVNTMFNRKHCGGCNNKCNRGSLCVYGMCGYA >KGN66736 pep chromosome:ASM407v2:1:27079553:27081602:1 gene:Csa_1G666460 transcript:KGN66736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSSEFLPQSLHFTNPLAKPTIPQSRSDSIPACRFSNKTHLRNVTSSAEFRQPHFPNLDNRDAHLMKLLNRSCRAGKHNESLYFLESVVSKGFKPDVVLCTKLIKGFFNSRNLKKAMRVMEILETYGDPDVYSYNAMISGFSKANQIDSANQVFDRMRSRGFSPDVVTYNIMIGSLCSRGKLELAFEVMDELLKDGCKPSVITYTILIEATILEGRINEALELFDELVSRGLRPDLYTYNAIIRGICKEGMEDRALDFVRHLSARGCNPDVVSYNILLRSFLNKSRWEDGERLMKDMVLSGCEPNVVTHSILISSFCREGRVREAVNVLEVMKEKGLTPDSYSYDPLISAFCKEGRLDLAIEYLEKMVSDGCLPDIVNYNTILATLCKFGCADLALDVFEKLDEVGCPPTVRAYNTMFSALWSCGNKIKALEMISEMIRKGIDPDEITYNSLISCLCRDGLVDEAIGLLVDMEATRFQPTVISFNIVLLGMCKAHRVFEGIELLITMVEKGCLPNETSYVLLIEGIAYAGWRAEAMELANSLYRLGVISGDSSKRLNKTFPMLDVYKGLSLSESKNQLLQS >KGN65051 pep chromosome:ASM407v2:1:11235962:11238767:1 gene:Csa_1G185090 transcript:KGN65051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQDTPHLRGSISKPILEGGHDSFQAIFVNQGHPGHVERSLLVTGFGYEHDDPWTTNMDLFKEFTDVSRGVRRLGAAAVDMSHVALGIVEAYWEYRLKPWDMAAGVLIVEEAGGAVTRMDGGKFSVFDRSVLVSNGVVHDKLLEKIGPATEKLKSKGIEFSLWYKPENYQTDL >KGN66812 pep chromosome:ASM407v2:1:27936202:27936471:-1 gene:Csa_1G696455 transcript:KGN66812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRDKDSTTHHQPLLSSLVVRPSNSDGGGGGVGGTSGGRVGRGTDYEAGEVPRDPPQYSRLDRYSDDLGSLLFYRTPLFLVAFRIIIE >KGN63716 pep chromosome:ASM407v2:1:1732360:1745039:-1 gene:Csa_1G012660 transcript:KGN63716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGENDLKTWVSDQLMSLLGFSQPTIVQYMIGLSKQATSPADVVNKLVIDFSLPSSGETLAFAEGIFSRVPRKQSSGLNLYQKQEREAAMLARKQTTYALLDADDEDDVEDKGRSSDLKETENRKKHFRRKNEYQEDEDDEKESALERENRQVKRRQRASSSEDESSESEEERLRDQREREQLERNIRERDAAGTKKLTEQKLSRKEEEEAIRRSEALENDGIDTLRKVSRQEYLKKREEKKLEEIRDDIEDEQYLFEGVKLTDAEYRELKYKKEIYELVKKRTDEADDINEYRMPEAYDQEGGVNQDKRFAVAMQRYRDSGAADKMNPFAEQEAWEEHQIGKATMKFGSKNKKQSSDDYQFVFEDQIEFIKASVMEGDEFVDERQTESLEKSKAQSALEKLQEERKTLPIYPYRDQLLQAVNDYQVLVIVGETGSGKTTQIPQYLHEAGYTKRGKVGCTQPRRVAAMSIAARVSQELGVKLGHEVGYSIRFEDCTSDKTVLKYMTDGMLLREFLGEPDLASYSVVMVDEAHERTLSTDVLFGLVKDIARFRPDLKLLISSATLDAEKFSDYFDSAPIFKIPGRRYPVEINFTKAPEADYLDAAIVTALQIHVTKPPGDILVFLTGQEEIEAAEEIMKHRTRGLGTKIAELIICPIYANLPTELQAKIFEPTPDGARKVVLATNIAETSLTIDGIKYVIDPGFSKIKSYNPRTGMEALQVSPISKASANQRAGRSGRTGPGMCFRLYTAYSYYNEMEDNTVPEIQRTNLANVVLTLKSLGIHDLVNFDFMDQPPSEALLKALELLYALGALNKLGELTKLGRRMAEFPLDPMLSKMMVASEKFKCSDEIISIAAMLSIGNSIFYRPKDKQVHADNARMNFHTGNVGDHIALLKVYNSWRETNYSTQWCYENYIQVRSMKRARDIRDQLEGLLERVEIELTSNLNDLDAIKKTIISGYFPHSAKLQKNGSYRTVKHPQTVHIHPSSGLAQVLPRWVVYHELVCTSKEYMRQVTELKPEWLVEIAPHFYQLKDVEDLSSKKMPRGQGRASAPGEAGS >KGN63679 pep chromosome:ASM407v2:1:1507284:1508758:-1 gene:Csa_1G009830 transcript:KGN63679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAIAGFSIIKPTLHSSNLHPKSALIPHSKLLSNSGGFSHQELPKVVKPKRGSLSKVNAFPDWQLMAVLVDHLDGQRDLVTHKSIVHLSDAAIKNVYSLYIMFTCWGCLFFGSMKDPYYDSEVYRKDGGDGTGHWVYEKQEDIEEAARADLWREELIEEIEQKVGGLRELEEAGKK >KGN66223 pep chromosome:ASM407v2:1:22024967:22025497:1 gene:Csa_1G586850 transcript:KGN66223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKCSHCGNIGHNSRTCSNIRGSIITPNLNVANTCGLVRLFGVHLDSYSSSSTSSSTTSSSSNASYSSSTASAFSIKKSFSTDCLSSSSTSSSRIHIDNHHHHHLEHYSKSPSNVGL >KGN63562 pep chromosome:ASM407v2:1:774893:779024:1 gene:Csa_1G004290 transcript:KGN63562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRLSLTLRHPLLPTSYPIPVRATQFRRFAVQNCVSDSDDSRKLVLEVKEKLEREYSSLPLGRNGRDDEEMILWFLKDRKFSVEDTVAKLTRAINWRREFGVDELSEDKVKEMAETGKAFIHDFLDVNDRPVLLVVASKHLPAIHDPVEDEKLCVFYVEKALSKLPPGKEEILGIVDLRGFRTENADLRFLTFLFDVFYFYYPKRLGQVLFVEAPSVFRPLWQLTKPLLKSYSSLVRFCSVDTIKKEYFTEATLPAIFKD >KGN66844 pep chromosome:ASM407v2:1:28212277:28213529:1 gene:Csa_1G701200 transcript:KGN66844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLHTGWPKLEEFYAKKQKHNSAKALVLKLTNIDDSWFQATTNSDNTKGLPVYRDQAKKSLDVRDYKNIIYKDHNETPLLLATARGIIEVVKIIIKTDPQAVDYVTSQNRNILHLAILHRQKKIFKWLRAQKLVMDRLCKRIDVMGFTVLHQVGIVQYVPIHQHGPALQLQRELVWFDSVQKTIPPLYATHQNKVGWEAREFFDETHKEILDSAKEWLKNTSESCSAVAVLVATVVFAAAFSVPGGLNGKTGSPVLLTQPLYMVFTIVDIIGLTTSLCSVVFFLSILTSSFKMDDFQRALPLKLSLGFQLLFFSIVCTMMAFTLAIVLTVKSEEMKWAIFVAKEINLIFIGGLRVGFGRNHP >KGN65341 pep chromosome:ASM407v2:1:14026604:14027722:1 gene:Csa_1G348950 transcript:KGN65341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSTQKVTFLASFLFIAVLLPAVAAVDYQVGGDFGWNLPPTPTFFSEWASNKTFFVGDRLRFNSSANETHNYAMPGSQAELDGCVKPGIVFVGNVFPVLDRPGRRYFICEVGNHCNLGMKFAIDVMPILGSMPPNAALKIDAFPMLLLFITMITNFLFII >KGN65689 pep chromosome:ASM407v2:1:17649637:17653429:-1 gene:Csa_1G498810 transcript:KGN65689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANFPSLKVIEVSKVSPPPTAAAPISLPLTFFDLFWLRFYPIQRLFFYEFPSNQISSYDVIVSKLKSSLSLALCHHLPLAGNLVWPSQSDVPVIEFVEGNGVSMTVAESDDDFDHLSGNGFREVSDFHPLVPVLTVSHDRAAVIAIQVTKFQNKGFSIGITNHHAIFDGRSSTSFIKSWAQICMEESSVPTPKQVPLYNRSVINDPKDLAKIYAKAWKDVEGPNNKSLNLKFPQTKHGLVRSTLEFTHQNIQKLKEWILNKKIENEKFDSSSHISTFAIATAYLCVCTAKLEGLKEGKLWFVFAADARTRLKPQVPLNYFGNCVVAGFVGLERSELLSENGIILACDEISKAIRNLDDGPLNGCESWGSRMSQEVTNDYLKMQGISLAGSPRFGVYNIDFGLGKPKKVEIVSAESPYVFSLTESRNSDVVMEIGVVKKRDEIEAFVGIFNQGFE >KGN66132 pep chromosome:ASM407v2:1:21369582:21374555:1 gene:Csa_1G573020 transcript:KGN66132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METRLLEIHPRELKFIFELNKDSLCSIQLTNKTVDHVAFKVKITSPKKYFVRPKVGIIEPNSTREFTVRMQGQQLAPPDMLCKDKFLIQSTVVPADTTDGDIVSSLFAKEGGKYIEEQKLGVILVTPSSPVFSPADEAPNNLCEAVEEIVDQEPLNKNHVPDNNTEPRDVVEKEPEPASSANDFIDSNEDNVEMIKHLEELKLKLSELELKLCQAQNAISKLKEEKQISIQETKFLQENFSELRKTELNRVKVGFPVLYLYMVALVCTFLGRLLR >KGN65466 pep chromosome:ASM407v2:1:15504840:15507933:1 gene:Csa_1G423240 transcript:KGN65466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSRISLQTPQKMKYIRTNSFKRLFSLKRRSLGDDSPNPDASPNTDSIVSHHSPRPFWKCFSFQQVFEATDGFSSENLVGKGGYAEVYRGILNDGEEIAVKRLTKTSIDERKEKEFLTEIGTIGHVQHPNVLSLLGCCIDNGLYLIFHFSSRGSVASLLHDDNMCPIDWKTRFKIAIGTARGLHYLHKDCQRRIIHRDIKSSNILLTADFEPLISDFGLAKWLPTQWSHHSIAPIEGTFGHLAPEYYMHGIVDEKTDVFAFGVFLLEVISGRKPVDGSHQSLHSWAKPILNRGEYEKLVDPRLGSAYDVTQLKRFSLAASLCIRESSIWRPTMTEVLNIMEDRYVDTERWKMPEEEAEAKDEFWGFEDLEYEWDSSFSIQSP >KGN64460 pep chromosome:ASM407v2:1:6245947:6249211:1 gene:Csa_1G056960 transcript:KGN64460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTEVKTLFLSLFVLIWLSRMGVSDTMFNESGIGYSVVSRKEISKGRCPHGWIISPSKTKCFGFMSSPKSWNDSETQCNSFGGNLAALVTYQEFSYAQNLCNGTLGGCWVGGRAFNSLNDFVWKWSDNVSKWNDSIFPSATLQSNCKNASCLRNDSVETCTLIFGGPATPFLRDEKCNSSHPFICMINLDDRCHRMHCHKEYLVILAVVSGLIFCTTLAVVIWLLAHKRSKKRRRSRKPSNPAASALVPPLWRVFTKEELRSMTKNFSEGNRLLGDAKTGGTYSGLLPDGSRVAIKRLKKSSFQRKKEFHSEIARVARLRHPNLVALKGCCYDHGDRYIVYEFIVNGPLDRWLHHVPRGGRSLDWTMRMKIATTLAQGIAFLHDKVKPHVVHRDIRASNVLLDEEFGAHLMGVGLSKLVAYEVMHERTVMAGGTYGYLAPEFVYRNELTTKSDVYSFGVLLLEIVTGRRPAQAVDSVGWQSIFEWATPLVQAHRYLDLLDPHITATSTSEIPEAGIVQKVVDLVYACTQHVPSMRPRMSHVVHQLQQLAPSPLTTK >KGN63570 pep chromosome:ASM407v2:1:832026:838731:-1 gene:Csa_1G004370 transcript:KGN63570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVGNDSLCAPPQWKFSQVFGERVAGEDIQDVDIISAIGFDKTGDNLAIGDRGGRVIIFERKDGKDTFKNYPTRSKLEQLNLTPACHPEYQYKTEFQSHEPEFDYLKSLEIEEKINKIKWCVSPNGSRFILSTNDKTIKLWKVKEHKVKTVKEMNPNRFVSLENALLAEISFTSEQDKASLSNGCHWNLSENMEMSNVACKDIRGMIADQDNTSWRRCRKVYAHAHDYNINSISNNSDGETFISADDLRINLWNLEISDQCFNIIDMKPSNMEDLTEIISSAEFHPLHCNLLAYSSSRGFIRLVDMRQSALCDHSAILLRTEELNRPKSFFTEIISSISDIKFSSDGRFIISRDYMNLKLWDIHKCSSPVAVFKIHEHLRPRLCELYDNDSIFDRFECCLSADGLHFATGSYSNHLRMFSYGSGSSEGITTEVGKFPDRRPHLQITRQATTRRARRSSLSNLTRDFFRHGSEHSSSDGSETSVDLNSKLLHLAWHPSENLIACAAGSGLFVYYA >KGN66654 pep chromosome:ASM407v2:1:26132427:26133374:1 gene:Csa_1G654840 transcript:KGN66654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKPLAILLFISILPLCFSLPLRQVSRLSFLNDLQGSKKGDNVKGISKLKNFFRRYGYLNHQINVTGHLIDHDADDTFDDRFESAVKTYQQYFHLNSTGSLNAETLSQLATPRCGNPDILNEATGRMLLENNNNDSSHDHYHQLSHAVPHYSFFPGRPRWPPTKYHLTYEFLPNTHADAKAPVTRAFATWARHTHFKFSLATNSRRADLKIGFYRGNHGDGYPFDGSGGTLAHAFTPTDGRVHFDSTEKWVVGAVRGRFDLETVALHEIGHLLGLGHSRVKNAIMYPTIESGSTKGLNADDIEGIEVLYNVPLP >KGN64254 pep chromosome:ASM407v2:1:4991487:4995122:1 gene:Csa_1G045520 transcript:KGN64254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTTTPQPQSQPPPSPSPLPPALVKTVRKLVVEVADARNLLPKDGQGSSSPYVVADFDGQRKRTATKFRELNPVWNEPLEFIVSDPDNMDYEELDIEVFNDKRYGNGSGRKNHFLGRVKLYGSQFAKRGDEGLVYYQLEKKSVFSWIRGEIGLRICYYDELVEEAPPPPPPQEEQPPPPTEKPKTPEAVVEEVRMFELPPQGEVGRDDSNSPPVVVIEESPRQDMPVHSEPPPPEVNGPPPGEGQFAPEMRRMQSNRAAGFGEGIRVLRRPNGDYSPRVINKKYMAETERIHPYDLVEPMQYLFIRIVKARNLAPNERPYLQIRTSGHFVKSDPANHRPGEPTESPEWNRVFALRHSRLDTANTTLEIAVWDTSSEQFLGGVCFDLSDVPVRDPPDSPLAPQWYRLEGGAGDQQPSKISGDIQLSVWIGTQADDAFPEAWCSDAPHVAHTRSKVYQSPKLWYLRVSVIEAQDLHIASNLPPLTAPEIRVKAQLSFQSARTRRGSMNNHSASFHWNEDLVFVAGEPLEDSLILLVEDRTSKEAILLGHVMIPVDTVEQRFDERYVAAKWYSLEGGNGGETYSGRIYLRLCLEGGYHVLDEAAHVCSDFRPTAKQLWKSAVGILELGILGARGLLPMKTKDPGKGSTDAYCVAKYGKKWVRTRTMTDSFDPRWNEQYTWQVYDPCTVLTIGVFDNWRMYSDASEDKPDYHIGKVRIRVSTLESNKIYTNSYPLLVLQRTGLKKMGEIELAVRFACPALLPDTCAVYGQPLLPRMHYLRPLGVAQQEALRRAATKMVATWLGRSEPPLGSEVVRYMLDADSHAWSMRKSKANWFRIVAVLAWAVGLAKWLDDIRRWRNPITTMLVHILYLVLVWYPDLIVPTGFLYVFLIGVWYYRFRPKIPAGMDTRLSHAEAVDPDELDEEFDTIPSSKPPDIIRVRYDRLRILAARVQTVLGDLATQGERVQALVSWRDPRATKLFIGVCFAITLILYAVPPKMVAVALGFYYLRHPMFRDPMPSASLNFFRRLPSLSDRLM >KGN65180 pep chromosome:ASM407v2:1:12630075:12633023:1 gene:Csa_1G257860 transcript:KGN65180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSITTSAWSSPYPLSYIDFSRNKLSGSVPETLANLSRLTQLLLYGNHLSGTIPPSLGKCNNLDFLDLSSNQISGAIPSEIAVRSMKFYLNLSRNHLHGPLPLELSKMDMIRAIDLSSNNLSGPIPSQLRNCIALEYLNLSDNSFDGSLPFSIGQLLNLRTLDVSFNQLNGNIPDSLQTSSTLKQLNISFNKFSGRVPDKGVFSWLTMYSFLGNNDLCGSIIGLPKCRERHKFYKLLPILLCSSVAFVLCIVGIVFALRSKTRLNISILNRRCFEECEEQITERKEMKYPRISYKQLLDATDGFSSFNLIGSGRFGEVYKGILPDKTKIAVKVLKPIRVGGEISGSFKRECQVLKRTRHRNLIRIITTCSRPDFNALVLPLMSNGSLESNLYPNNRGSSIHKTDLVQLVSICCVVAQGVAYLHHHSPIRVVHCDIKPSNILLDDDMTALVTDFGISGLVIEGETNNNISYHPSESISFSSTHGLLCGSVGYIAPGERASTEGDVFSFGVLLLEVVTGKRPTDLDFQQGAGLHEWVKSHYPHKIDDIVDEAMYTPSLRPSMVDVAHEMTRLKEHLLNSSLLLIREASPANDEGC >KGN64321 pep chromosome:ASM407v2:1:5377973:5380269:-1 gene:Csa_1G046180 transcript:KGN64321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSWFSSNKFSKEELELALNEVKKIVSTDPVVVFSKTYCGFCSSVKKLLTQLGARYKVIELDQKSDGDKIQSALAEWTGQTTVPNVFIGGKHIGGCDAVTEKHHRGQLVPLLTEAGAIAGNSAQL >KGN63834 pep chromosome:ASM407v2:1:2425902:2427167:-1 gene:Csa_1G024200 transcript:KGN63834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIPPDRSNPLHNFSLPCLKWGSQRFLKCMKVSSNSNPSTLDHPSVHRQSKSYQFRARPIDSKAMNFTKVTSPMNTNHSKQKPTHDRSSSIEIMREKIMLDIREESKRLKFSIADEGGEDESAAARPWNLRTRRAACKAPLDERNLELGSSSTKATMKKKEKNRTALTVSLSKEELEQDFAVLVGRLPRRPKKRPRAVQKQMDALFPGLLLTEITLDSYKVEDVPEA >KGN64041 pep chromosome:ASM407v2:1:3756354:3756811:-1 gene:Csa_1G039080 transcript:KGN64041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAMVIGAHIRTQITHIHPEPQLRSDPQFCAPHYQRIAFKFHTQAHQISVSSLPPSFIFKNPNFPPFLRVVSFRKYLIDDLLVASSGRAACYTLLLLFCTFSLS >KGN63826 pep chromosome:ASM407v2:1:2383627:2386233:-1 gene:Csa_1G024120 transcript:KGN63826 gene_biotype:protein_coding transcript_biotype:protein_coding description:G14587-6 protein MILLHVLFYRSIFKSIQSSLVNNFAAILIFFSQDTFEILACKEIRLSINRVSSSESGDVGEEGGESGGASAARGKVITHAVRKSLIQNTIPIFIELKRLMESKNSPLIGSLMECLRVLLKDYKNEIDDLLVADKQLQKELLYDIQRYECTKAKSAVAEAVNEMQKSTNYLSPEAPPQAQNQEPCFYQGELSGTVSYKPS >KGN64070 pep chromosome:ASM407v2:1:3911755:3919315:1 gene:Csa_1G039840 transcript:KGN64070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRRPLHHTHSVVRIVQASSYLPRPTGIGRVQTYKFEYSNTNQQGIKFFFPEISGLNEQELKSASSKKRLISLLTVTWNFPLSVRWSRKPRLSPADWMSINHQQGQSLNKGLLSSSAMEEEIGEEFKRSGFTFDEEEEILKKCVTFCVNYNLKPSDIVSSWEVYYLNRLLDGSVVELEQLDGFLQHLQNEQKETVIKGEPDLHVYSSKDVDVILNDENEDLNEDILKTPNGKSQSLHKERLDLTPYTNEGLFSSGKPSKHETPFGQRTEKFVVRFNINNMPDMVTAVKVDNSENDEDTIIKKVRPLQGCSLTVHGSGFGLEPNCRFMYDKDEDRFNALDNRIMRHAKALAASGLYEEAVDPTVASQKSIFAVGMIYCDGEGHLNDKSILLQSSIAHSGGQRVRLELQNLSQFSIFPGQVVGIEGHNPSGHCLIASKLVDSFPLSATIDPILPPAKKIALNHDIQPINQSSTPSELSMIIASGPYTTTDNLLFEPLTELLAYAKRKVPQLLILLGPFVDSEHSDIKRGTVEMSFEEIFKFEVLRRVQDYAEHMGPDARVLLMPSTRDANHDFAFPQPAFDIPSDLKLQISSPPNPCILEANQAKIACCTMDILRHLSGEELSRNLTKGGTNDRLSTLASHILRQRSFYPLYPPAEGVPLDFSLAPQALDISVIPDILILPSDMKHFVKVISLGEGGSEEEQARCVCVNPGRLAKGEGGGTFVELNYFGRPDQINAMVVSI >KGN64558 pep chromosome:ASM407v2:1:6859273:6862185:1 gene:Csa_1G064800 transcript:KGN64558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIFPTFFIICFIIHGNSQTADQYPYRSCSSANFTQSSTYHSILNLLLSNLSAHAPGTNGFFNTSIARPPNDAVYGLFQCRGDVTNTTCRNCVTSATKNSAEQFCPLSQGAVVWYDECIFRYSSHSFFSVISLRPGLLAHNEADIGIDTARFNQLVMSTLRHTAASASSVDELFAKQHTYFTSDITLYTLAQCTRDLSYLDCQQCLAQSIDYVPNCCANKRGARVLFPSCFVRYEIYNFYEFTSNNSVQTPPPSLPSSPPGENKVSRVSIVAIVVPIAITVSIILVAVGWWFLHRRAKKKYSPVKEDSVIDEMSTAESLQFDFKTINDATNNFSEENRLGEGGFGAVYKGRLENGQEIAVKRLSRGSSQDVAEDIMTHAWKLWTDGTSLTLLDASLRESYSKRQALRCIHIALLCVQHDPLCRPSMASIVLMLSSHSTSLPLPKEPAFSMRSKDGGIVIESDRSTRKSDHSSTNEISMSELCPR >KGN63874 pep chromosome:ASM407v2:1:2695435:2696937:1 gene:Csa_1G025065 transcript:KGN63874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTNQPKRDSVALDTQISRITEKNQIDVLSPTVIKSLPNDLLTEVLAKVATSSYIDLIQAKLATKHFLEASNDRYIFQHVSLGNFRNLLWNNSPKFWSFMETCNNSENPESLYRKGMLEFFTHCKEASGMAYLKRSAQKGYVDACYVFGVILYASNLKDEGLEFLKNNEVKLGNKMIECRQRVKEFVSYIWIKNKISLSEGDSSYGRKCDKNINCRVNEKINVWDSKDEDDYYGKYTCEECKWNNEVLRFCKMLRTGRFS >KGN64216 pep chromosome:ASM407v2:1:4788175:4790467:1 gene:Csa_1G043190 transcript:KGN64216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKSFDKTPDRNSSFSEPVSSIHSPLYSDGSPSSSGNNNSKSGRKKQLIRKRGGFVFRVYEHVKLGPKFLVTAKGKLRLGAKIIQQGGRKNIFKQVFGIVEGEQLLKASQCYLSTSAGPIAGLLFISTEKVAFCSEQSITFSSPTGELLKTPYKVLIPLKKIRKANQSENVNDPAKKYIEVVTDDNFDFWFMGFLRYEKAFTNLQKAISMANNSMQQFTDIS >KGN66239 pep chromosome:ASM407v2:1:22152792:22157547:1 gene:Csa_1G587990 transcript:KGN66239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKMSKIMVVFLGLVLWVCSSVMASVTYDHKALVIDGKRRILISGSIHYPRSTPQMWPDLIQKAKDGGLDVIETYVFWNGHEPSPGQYYFEDRYELVRFVKLVQQAGLYVHLRIGPYVCAEWNFGGFPVWLKYVPGIAFRTDNGPFKAAMQKFTAKIVSMMKGEKLYHSQGGPIILSQVTSNKHINKRLFLVSKLEDAPDPMIDTCNGFYCENFEPNKAYKPKMWTEAWTGWFTEFGGPVPYRPVEDLAYAVARFIQNRGSLINYYMYHGGTNFGRTAGGPFIATSYDYDAPIDEYGLIRQPKWGHLRDLHKAIKLCEPALVSVDPTVSSLGSKQEAHVYNTRSGECAAFLANYDPSTSVRVTFGNHPYDLPPWSVSILPDCKTVVFNTAKVNAPSYWPKMTPISSFSWHSYNEETASAYADDTTTMAGLVEQISITRDATDYLWYMTDIRIDSNEGFLKSGQWPLLTIFSAGHALHVFINGQLSGTVYGGLDNPKLTFSKYVNLRPGVNKLSMLSVAVGLPNVGVHFETWNAGILGPVTLKGLNEGTRDMSGYKWSYKVGLKGEALNLHTVSGSSSVEWMTGSLVSQKQPLTWYKVPRSSSLVETEREYLSDFRRMGWQS >KGN65144 pep chromosome:ASM407v2:1:12307450:12309953:1 gene:Csa_1G242600 transcript:KGN65144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDYWKELQGKRLHDPLTKNQVSPIPQILVQGPLIVGAGPSGLAVAACLKQKGIQSLILERAECIASLWQFKTYDRLRLHLPKPFCQLPFMPFPSHFPTYPTKQQFLSYLKAYADYFKLKTVFNSTVIRAEWDERCGFWKVKSVKDQKVIVEYFCKWLIVATGENAEEIIPQIEGLEIFEGPVVHTSSYKTGEVFHGKKVLVIGCGNSGMEVCLDLCNFNASPHLVVRNSVHILPHEILGRSTFGLSMCLLRWLPMRIVDQFLLLVSRLMLGDTSKLGLHRPKLGPLQLKNLSGKTPVLDVGTFAKIKTGKIKVRRGVTRLTRHAAEFVDGSLENFDAVILATGYKSNVPSWLKERHMFDEKDGMPRKEFPNGWKGECGLYAVGFTKRGLLGASMDAKRIAEDIELCLEN >KGN64978 pep chromosome:ASM407v2:1:10599199:10606858:1 gene:Csa_1G170510 transcript:KGN64978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSGSKNMKLTVHVIEARNLPPTDLNGLSDPYVRLQLGKQRFRTKVVKKTLNPTWGEEFSFRVDDLDEELMISVLDEDKYFNDDFVGQVKIPISRAFNSDNGSLGTTWHSIQPKSKRSKQKVCGEILLGICFSQTNAFVEFNSNGHVSYPKTSSDEIMGSPPRSHSGKSSSPSPVRQRESSLKEQRSSQQKTFAGRIAQIFQKNVDSASSVSSRAPELSDISEIPPSEILEVKSEDQTSMATFEEAMKVLESKDQESETPSNFPGIMVDQLYAIQPSDLNSLLFSSDSSFLQSLADLQGTTELQLGNWKFEDGGESLKRTVSYLKAPTKLIKAVKAFEEQSYLKADGNVYAVLAVVSTPDVMYGNTFKVEILYCITPGPELPSEEKSSRLVISWRMNFLQSTMMKGMIENGARQGIKDNFDQYTSLLSQTVPPVDQRSIGSNKEQALASLEAPPPQSTFKLAIQYFANCTVVFTTFMALYVLVHIWLAAPSTIQGLEFVGLDLPDSIGEFIVCGVLVLQGERVLGLISRFMRARLQTGSDHGIKAQGDGWLLTVALIEGCSLAAVDSSGLSDPYVVFTCNGKTKNSSIKFQKSDPQWNEIFEFDAMDEPPSVLGVEVYDFDGPFDEATSLGYAEINFLRTSISDLADIWVPLQGKLAQTCQSKLHLRIFLDNTRGSHVNIVKEYLSKMEKEVGKKINLRSPQSNSAFQKLFGLPAEEFLINDFTCHLKRKMPIQGRIFLSARVIGFHANIFGHKTKFFFLWEDIEDIQVAAPTLSSMGSPIIVITLRAGRGLDARSGAKTLDEEGRLKFHFHSFVSFGVAHRTIMALWKARSLSPEQKVRIVEEESEAKGCLQTEESGSFLGPSEVSMSEVLSTTLSVPTNFAMELFNGADLERKVMEKAGCLNYSFTPWESEKENVYERQIYYIFDKRISHYRVEVTSTQQRHSLPNKNGWLVEEVLTLHGVPLGDYFNVHLRYQIEDLPSKLKGCCSVVVSFGMAWQKSTKHQKRMTKNILKNLHDRLKATFGLVENESATR >KGN66354 pep chromosome:ASM407v2:1:22963065:22966230:1 gene:Csa_1G599480 transcript:KGN66354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHRKSIFSSHLKLSSAAASMEDLHFLHIPIRFVVFFFVLPCIFSCPDQQKQALLLFKDTLLSTTISPDSSIPLFSSLDSWNSTTDCCHWERVVCSSPDSSSRMVQGLYLYFLALRITEDPLPLDGKALMPLFTIKSLMLLDLSSNYFEGEISGPGFGNLSKMVNLNLMQNKFSGSIPPQMYHLQYLQYLDMSSNLLGGTLTSDVRFLRNLRVLKLDSNSLTGKLPEEIGDLEMLQKLFIRSNSFVGEVPLTIVNLKSLQTLDMRDNKFTMGIPSDIGSLSNLTHLALSNNKLNGTIPTSIQHMEKLEQLELENNLLEGLVPIWLFDMKGLVDLLIGGNLMTWNNSVKSVKPKQMLSRLSLKSCGLIGEIPGWISSQKGLNFLDLSKNKLEGTFPLWLAEMALGSIILSDNKLSGSLPPRLFESLSLSVLDLSRNNFSGELPENIGNANSIMLLMLSGNDFSGEVPKSISNIHRLLLLDFSRNRLSGDTFPVFDPDGFLGYIDLSSNDFTGEIPTIFPQQTRILSLSNNRFSGSLPKNLTNWTLLEHLDLQNNNISGELPDFLSELPTLQILSLRNNSLTGPIPKSISKMSNLHILDLCSNELIGEIPPEIGELKGMIDRPSTYSLSDAFLNIDIGFNDLIVNWKKSLLGLPTSPSLDIYSLLDLSENHLSGEIPTSIGNLKDIKLLNLAYNNLSGNIPSSLGKLEKVETLDLSHNELSGSIPESLVNLHELSVLDVSNNKLTGRIPVGGQMTIMNTPSYYANNSGLCGIQIRQPCPEDQQPTVPAEPAEEEEKQQVFSWIGAGIGFPIGFAFAVLILYISGYFSPLVPHRRVVPRPRRRRP >KGN64737 pep chromosome:ASM407v2:1:8080422:8082184:-1 gene:Csa_1G084850 transcript:KGN64737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDTTMFRTWSNEEEGNFLKPSKANSNIHRTRRYPRFLYMVRLHFCEFQTGINSIKDRVFLIYIRGTIIEQSADMFRWAGGREIPYRRDYVVLISQNDKKKVNLSVTLQANPDDSKTRYTNVILNGIEIFKLNDTDGNLGGKKSRSTSHYQNPIFSTTKKSFRKKEGMSKVPYCRRKKWTSKVPYCQRALPVDIDGVESKAFPTPFHLCVGKPPPSHYYRLPSR >KGN66314 pep chromosome:ASM407v2:1:22653401:22655053:-1 gene:Csa_1G597120 transcript:KGN66314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGQWKENPPKAVAWICYGHVKVSIIHKVGGGSFKQVHIGRTIESGFACLHKGAGE >KGN63640 pep chromosome:ASM407v2:1:1292811:1293002:-1 gene:Csa_1G008465 transcript:KGN63640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIGYIIIASGFTGNLYIGSVTVGICYGAQNSLMPAAVTSEIFGIKHMDTIYNTINVAYPDGS >KGN64311 pep chromosome:ASM407v2:1:5303347:5303580:1 gene:Csa_1G046085 transcript:KGN64311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSETFKNSKIRKLISIQNKMLSDPNFNDNTRLPYGSLELWNLIWKAYLACPQLKIKWEICAKLQLKSKAKFLPTQE >KGN63691 pep chromosome:ASM407v2:1:1565223:1573521:1 gene:Csa_1G010940 transcript:KGN63691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSEPSPSLSMDSLGDIDDYIWANEGEGSLPWDMFRDVFEFVQNGNQAFKNNHFEEAIKYYSRANNIKPGDPVILNNRSAAYIRISQFLKDRPPAASEYRPLNGLDPTVHAELALKDAEKLMDLRGKSVKPYILKANALMLLEKYAIAKDIILSGLQIDPLSNPLQASLQRLERIAATMMGNGRHGLPDRSDDFDCTLCLKLLYEPITTPCGHSFCRSCLFQSMDRGNKCPLCRTVLFISSRTCSISVTLSNIIQKNFPEEYAERKSENEGLTNFGVDLMPLFVMDVVIPCQKFPLHIFEPRYRLMVRRVMEGNHRMGMVIVDSTTGSIADFACEVEITECEPLADGRFYLEIESRRRFRIIRSWDQDGYRVAEIEWVNDITPPEGTIERTELQEMTTNAAEYAQSWIRRAKEASRRDQIKRDRLLNVEAMMPSSRDPERFSFWLATLSNRRPLERLELLRMTDTRERIRRGLVYLKAEEQGCQMQ >KGN66353 pep chromosome:ASM407v2:1:22954759:22960280:1 gene:Csa_1G599470 transcript:KGN66353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENVTLQIHADPEGLSSISVSSYEGSSMRDYHSNGNLMDGNISMSTLQQSSMDHTSTRQNFVPGDQSLTRAFEKLNFGDESKVRAWKSRAILLENHYSDNLSKQLQNIDPSVFAAWSSSNHLTNGVHDSHSTRLGHQNSPSRYIPEQYKKWQTGQLQPFESLSPNAHLTHEVANVSGPNSQFPIASQRQQVLHNGRRCIHPQQLERLLPIQQHENIAGRISSQNRKPQYYEVPIAHHLEQSNHEPTWKPSAFCRGSNQLNSVFSTHYMDTVQGMEKVSFPRKILGRSPGMNTVDAMRFMSMDADKSSNHVNQCRFLRPNSFFRPNNLSTANECMCRDHSSAMYSESPSLKLVPERFNSVDEVRGRIFLMAKDQHGCRFLQRKFMEGTDEDIEKIFKEIIDRVVELMMDAFGNYLVQKLLEVCNDNQRMQILRRITQNHGELVMISCDMHGTRAIQKVIETLKTQEQVHMIVSALKSGIVTLMKNINGNHVAQHCLDYLMPSCRELLFDAARNSCVDLAVDRHGCCVLQKCLSCSDSTDRDNLINEITQNALIISQDQYGNYVVQFILKLNLRWATEAILKQLEGNYGDLSMQKYSSNVVEKCLQFAGGQITKIVLELINDPRFDKIMQDPYGNYAIQTALNNTEGTLHTKLVEAIRPHVPVLRMSPYGKKVLAIVGKSN >KGN66246 pep chromosome:ASM407v2:1:22205536:22212605:-1 gene:Csa_1G588540 transcript:KGN66246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSGPVIHFYRSSFALSSVFSATVPVPVFIMSPPRILLCGDVFGRLNQLYKRVVSVNKSAGPFDVLLCVGQFFPDSTDQLDEFMDYVEGRSVIPLSTYFIGDYGVGAAKVLLASSKDSANQGFKMDGLKICANLHWLKGSGKFTLHGLSVAYLSGRCSSDGLPFGTYTQDDVDALRAIAEEPGVVDLVPTSDIPPGVSDLSGSDATISELVVEIKPRYHIAGTKGVFFAREPYSNVDAVHVTRFLGLASVGNKEKQKFIHAISPIPSSTMSAVEISMKPPNTTLSPYTLTERKSEASDSAKRSSNSVSESQYWRYEVSQKRQKYGTSDTNKLCFKFTSSGSCPRGEKCNFHHDMDAREQSQRGVCFDFLNKGKCERGPDCNFKHSFQNEFDSHSGKRRSGNAGTNRSKECWFCLSSPNIESHLIVSVGESFYCALAKGPLVPDHILVIPVEHFPNTLSLGPDYETEINRLQNCLRKYFKSQGKEVVFFEWVSKRSTHANLQAVPIPSSRAHVVQNIFDMAAEKLGFKFVISKSDAPSEGRKSLRTQFDSDHSFFYAELPECVTLSHVIEENEIFPAQFGREVLAGLLNMADKADWRNCAHSKEEETKMAEDFKIRFENFDPNK >KGN64433 pep chromosome:ASM407v2:1:6084187:6086465:-1 gene:Csa_1G051710 transcript:KGN64433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSFNTHNPAAFTFGLLGNIISFIVFLAPVPTFMRIYKKKSTEGFQSIPYVVALFSAMLWLYYASFNPNETLLITINSVGCLIETIYLAIFIVFAPKQIRVSTLRFVLLLNFGGFCIILLVTHFLVHGSNRVKVVGWICVAFSISVFAAPLTIIRLVIRTKSVEFMPFYLSFFLTLSATSWLLYGVFLKDIYIAVPNIPGFMFGIAQMILYLIYKKRETAMEMQLPQHSTDNIVIVSAATNSDKQKQHSSSLPSNNLVGAAVDDDDVTTTTKNGIDPINNLEQNHQVKDQLNHV >KGN65949 pep chromosome:ASM407v2:1:20037178:20044556:-1 gene:Csa_1G541910 transcript:KGN65949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSYCGQARKFQYLWNCRDYLNKALRIILATDGDTPGQALAEEIARRVGKERCWRVKWPKKDEVDHFKDANEVLMYLGPEALKEVVDNAELYPISGLFRFKDYFHEIDAYYHKKFGNEFGVPTGWRCLNDLYNVVPGELTIVTGVPNSGKSEWIDALLCNLNASVGWKFALCSIENKVGEHARKLLEKHIKKPFFGGRYGGSVERMSVEELEQGKQWLNDTFFILRCENKSLRSISWVLDLAKAAVLRHGVSGLVIDPYNELDHQRLPNQSETEYVSQMLTEVKQFAQHNACHVWFVAHPMQNKYTRLLD >KGN64825 pep chromosome:ASM407v2:1:9088776:9091667:1 gene:Csa_1G120410 transcript:KGN64825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISRVAISQEVEDETEFDYNEEGEKGPTHWGDLKPEWHSCKTGHMQSPIDLLHERVRIASLFTNIEFNYKPTNATLKNRGHDIMLKLGHGAGYMVMNRTRYFLQQIHWHSPSEHTINGRRFALEAHLVHQSQNGNYAVIGVVYNIGRRPDYLLSKMKENLEEISDTCEEKALDVIDLSMLKMQSSLYYRYIGSLTTPPCSQNVLWTIVRKVRTVTTEQVKLLRVASHDDSNTNARPLQPINDRKIQLRIQSTIF >KGN64667 pep chromosome:ASM407v2:1:7523104:7524847:1 gene:Csa_1G073740 transcript:KGN64667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLLACEVSDLCLGKPALRSISISATLADALSILTKIDEGYISVWSCGDHSSSKADSDLHCRCVGKVCMVDIICFLCRQENLLQPAIGLQSPISVLIPEGFELVRHLEPHASLMEAIDLIHDGVHNLVIPIKMSISKRKNILKKSLANSISSLHNDQEYCWLAPEDIIRYLLNSIGLFSTTAANPINSFNIIDTNNILAVRYDESALSILPLISQALIHQSSVAIVDLDDKLIGEISPFTLNFCDETVVAAIATLTAGELMGYIDCGGPPDDLVQLVKERLEEKNLEAVLEWVEEESLTISSSSSSICSSSDDEFGCGSSSSGSGRSGRICGYSARVMRRSEAIVCYPWNSLVAVMIQALAHRVSYMWVIQEDGTLAGTVTFPSLLAVFRDRLKFL >KGN65792 pep chromosome:ASM407v2:1:18559941:18566077:-1 gene:Csa_1G528570 transcript:KGN65792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLGLSEIERNRRLESLIARRRARKSYKRKNVDNSLIADTLPQGQVSKIITTRNDPIDLENGCKDIEGIPLPGSAPSVLLPMRNPFDLPYDPHEEKPNLMADSFQQEFTAAHQKDLAFCRHESFCFGPAYPEESGAMGYHPRYRRPSISIADKGEHDWLIEQLLFKGEQVSRPEKKPIAVETRGIQTEDLPQTKAVNVMEPESDQEKEIPPDAESEFEMEPELMRDGNSQSSRSSSPENPENVICDDVRVVSKNFESTLSSALNKTLNCRVPKGRLIKEALCEFSPTAFDKNRMDDRFSYPDKVVCHTPTYSIASDLQVEVSEIGSPPTIDGNNTDAESLNPDWEVEKDVSFGGEQDDMCPPLDGRFNEIVSDAHKEEVKALSVKEASPPKINQSPMPEELVDNPSQAVPQMPEELSFPTFDHDEEAVNHMVDQKNPEALANMKNLVKTREDVDDGLEMFIKQEDNGKETKSLEETYVKSSRSLSDNSEDSSGCQAHSDHEHSEEGSKNMDQITGSGDLGRAHKHSEEGNKNIDQISGSEDHGWAHKYSEEGSKNKDQITGNGDLVQAQEHSEEGIKNMDQITGSEDLGWAHKHPEEGSKNKDQITGNGDLSLVQEDSEEGSRKMDQIIGNGHLGWAHEHSEEGIKNTGQITGNGDLVEPRNVEEQIEFIQDHKHQPNVVTTELQSPRNALKLTVDKDLGPSGGVPPVSIDIMCSGASTNQVNDVQSEYQKSNKDLVEPRKIEEPLELKQDNKNQQIFLETEFQSSKDASKSTVEDDLASDVGMPLHSNDIIDSVASQNQANAVPLEFQKSDDAMKSTPGQDSVIEGELVDTNAGLYPESLMEEQIHMNKVSSSQDSIVENSPKTKEEDDNKPADSIKVENEFIKDLSAQGEKSNLDAKDEPVETDKNLSSPNSDLNVDLKISEITSQEEVAAPNYPLAEITTKEVEVETEPTLIIVTNLENVGENNRTEYESHKFNKQESDIVKDKDLEFDKDMESYSKDLNGNEAEGSSNPSILRANLVGLQKPPDSAHQSPVDSSLIADKGSF >KGN66570 pep chromosome:ASM407v2:1:25043745:25045974:-1 gene:Csa_1G629760 transcript:KGN66570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSSSQSFFRKHWEGYKEFWSDRFSILDNYSPYVQRQTPLPSWSSSDVEEFIASDPVHGPVLKTAREAVNFGLTGSVIGAVSTAGVAWKYSRSLHGIQLTCLSI >KGN64099 pep chromosome:ASM407v2:1:4075323:4075997:-1 gene:Csa_1G042110 transcript:KGN64099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLHCYSPFKPFPLAFFVLLLSFHFFPAISTDFLVGDSDGWSAPKPKEADKYNKWASHNRFNIDDTVHFKYEKDSVMMVTEEEYKQCVSPKPLFYENNGDSVVKLDRAGLFYFISGVSGHCQKGQRMIIKVLEPMSPPHPPTVPPDEKSGAAHSNLVSVTSSFLGMFFMLFFALFLSI >KGN64519 pep chromosome:ASM407v2:1:6646922:6648095:-1 gene:Csa_1G062940 transcript:KGN64519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEMDLALKLTRNIEVISGGSVSPNIVAYNCIINGFCKIRRLESAKNVLGEMIKLGIDFNERTYATLIDGYARKGSLDVAFRLCDEMVEMRRILPDEFTYSILTKGLCVSGHLNKALRVHYYIVERSLVRDAFTYNILINYMFQSRNIAGAKQLLSSMIVGGIKPDMVTYGTPVDGHCKEGKIEAAVQIYDKAVVYNSILDGLCKQGSIYAAKLLVDKLQQNGFLDPVTYNTLLHGFCVNGEIEKAFALFLEMINVGSLVNIVSYNIMINFLCKMGLIQQAMELMRAMSSQGIIPDLITYTTLITNFVETCGSEDVIELHGYMMLKGAVPDRKTYRSFVSPCLQEHTER >KGN66126 pep chromosome:ASM407v2:1:21340711:21341986:1 gene:Csa_1G572960 transcript:KGN66126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKPKTNIVNKRSELPPDVLQLIFSKLPFFNLPTCRLVSTTWNNLISSCKFDPSISISHLFFARFYSSRHPNLHCVEFDPQHAEGMSTVASFAFHPDLSSGSCRITIINSCSGLISLIINKRRRRGHRLDLVCVLNPITNEYFKLPTSRSKGDRVPNYCYGLGFSPTTNQYKLARTHFTHDEFIVDIFAFGTSCEWTPVGSVPNFLNEYHGVYLNGGLYWVGSQKLPNGGISDYTEVIYRLDLKDEKFEKISFPLDGGDDPYIAVYNGTLYLTFCCEDFDYHAWKMEEDFSWSKEFVLALPENVHHSLRHHPIGYYLQLIKFCEDGNILCLYAGILLILYDPSTQTVEILTNQDFEIKEAMWVHQIESFSFNSLHNILAGKC >KGN63557 pep chromosome:ASM407v2:1:741848:746663:1 gene:Csa_1G004240 transcript:KGN63557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMPVNSWTLPSSILILCFSVLYLFFPFGVSAINEQGQALLNWKLSFNGSNEALYNWNPNNENPCGWFGISCNRNREVVEVVLRYVNLPGKLPLNFSPLSSLNRLVLSGVNLTGSIPKEISALTQLRTLELSDNGLTGEIPSEICNLVDLEQLYLNSNLLEGSIPAGIGNLTNLKELILYDNQLSGEIPISIGNLKQLEVIRAGGNKNLHGSVPEEIGNCSSLVILGLAETSISGFLPSSLGRLKKLQTLAIYTALLSGQIPQELGDCTELQNIYLYENSLSGSIPSTLGRLQNLQSVLIWQNSLVGVIPPELGRCDQLFVIDISINSLTGSIPSTFGNLTLLQELQLSTNQLSGEIPKEIGNCPRITHIELDNNQLTGTIPSELGNLTNLTLLFLWQNKLEGSIPPTISNCRNLEALDLSLNALTGSIPTGIFQLKKLSKLLLLSNNLSGVIPPAIGNCSALFRFRANNNKLSGEIPPEIGNLKSLIFLDLGNNHLTGALPPEISGCRNLTFLDMHSNSIKFLPQEFNQLSSLQYVDLSNNLIEGSPNPSFGSFNSLTKLVLSNNRFSGPIPTEIGTCLKLQLLDLSCNQLSGNIPPSLGKIPSLEISLNLSLNQLTGEIPSELANLDKLGSLDLSYNQLSGDLHILADMQNLVVLNVSHNNFSGRVPETPFFTQLPLSVLSGNPDLCFAGEKCYSDNHSGGGHHTLAARVAMVVLLCTACALLLAAVYIILKDRHSCRRCINGSRGEDPDTAFDSDLELGSGWEVTLYQKLDLSISDVIKCLTPANVIGRGKTGVVYRACISSGLIIAVKRFRSSDKFSAAAFSSEIATLARIRHRNIVRLLGWGANRRTKLLFYDYLPNGNLGALLHEGNGRVGLDWESRFKIALGVAEGLAYLHHDCVPAILHRDVKAHNILLGDRYEACLADFGLARLVEDGPSGSSSANPQFAGSYGYFAPEYGCMLRITEKSDVYSYGVVLLEIITGKKPADSSFAEGQHVIQWVRDHLKKKKDPVLILDPKLQGQPDSQIQEILQVLGISLLCTSDRSEDRPTMKDVAALLREIQQDQMGTEAETADKPPRKNSNATSFDTTTSFSSSSSMAPASHLLFTLPLQESSRCSYASLSSSGAYIPRK >KGN66418 pep chromosome:ASM407v2:1:23487966:23495000:-1 gene:Csa_1G604040 transcript:KGN66418 gene_biotype:protein_coding transcript_biotype:protein_coding description:L-ascorbate oxidase MAKVADKPFFPKPFLSFLVLSIIFGFGITLSEAGFPKIKHYKWDVEYMFWSPDCVENIVMGINGEFPGPTIRANAGDIVVVELTNKLHTEGVVIHWHGILQRGTPWADGTASISQCAINPGETFTYRFVVDKAGTYFYHGHLGMQRSAGLYGSLIVDPPEGRSEPFHYDEEINLLLSDWWHQSVHKQEVGLSSKPMRWIGEPQSILINGKGQFDCSIAAKYNQGLKQCELSGKEKCAPFILHVQPKKTYRIRIASTTALASLNFAIGNHELLVVEADGNYVQPFVTSDIDIYSGESYSVLITTDQNPLENYWVSIGVRARLPKTPPGLTLLNYLPNSASKLPISPPPETPHWEDFDRSKNFTFRIFAAMGSPKPPVRYNRRLFLLNTQNRINGFMKWAINNVSLALPPTPYLAAMKMRLNTAFNQNPPPETFPLNYDINNPPPNPETTTGNGVYKFNMGETVDVILQNANMLNPNMSEIHPWHLHGHDFWVLGYGEGKFYAPEDEKKLNLKNPPLRNTVVIFPYGWTAIRFVADNPGVWAFHCHIEPHLHMGMGVVFAEGVHMVGMIPPKALACGSTALVKNYPRLP >KGN66916 pep chromosome:ASM407v2:1:29087524:29092100:-1 gene:Csa_1G715220 transcript:KGN66916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAILLPCVCNLWNLIRSSGVKESPLSHLCWSLPVVRAWKLYFDASWVDSAGYGGIGWLVRDSAGSPVLVGCEKSVVEGDLPLLVIVEFNCSELIFACRGQNSDLSELAGLVDVMCYQKLPCDEQIKDLLRRKNFKEAISLAEDLECAGEMSKEMLYFVHAQIGFLLLFDLQFEEAVNHFLQSETMQPSEIFPFVMKDPNRWSLLIPRNRYWAMHPPPAPFEDVIDDGLLAIQRATFLKKVGVETAVNDDFLLNPPSRSDLLESAVKHIIRYLYYF >KGN64364 pep chromosome:ASM407v2:1:5697848:5705511:1 gene:Csa_1G050030 transcript:KGN64364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLKTEHGAPDSSLDDHSKAVYSSKVVVLADLNVDPPEMDDDSSVHVSASTISRLSVDESNHDKTTEICKDTNAMEVEGRRVSKIGKCRSRNNKVEYSLDSAADPDGDQPCQGVSTSREEKVSSLKTGLVHVARKMPKNAHAHFILGLMYQRLGQPQKAVLAYEKAEEILLQSDVEIHRPEFLSLIQIHHAQCLLLESVGDNTSNEELEQEELDDVCSKLKHSMQSDVRQAAVWNTLGLLLLTTGRVKSAITVLSSLLAIVPNNCDCLGNLGIAYLQSGNMELSEKCFQELILTDQNHLAALVYYAAFLLCKYGSTVVGAGANAGEGGVDEKVVGMNVAKECLLAALKVDPKAAHAWANLANAYFVTGDHRSSAKCLEKGAKLEPNCMSMRYAVAMHRLKDAERSQDRSEQLSWAGNEMASVIRDGDGLTIDHSVAWAGFSMVHKIQHEIAAGFRTDLSELREKEDHAVYSLNQAIAEDTDDAVQWHQFGLHSLCTREFKTSQRYLKAAIARFKKCSFAWSNLGISLQLPKNPTEAEEVYRKALSLVATEQAHTVFCNLGNLYRQQKQYERAKAMFSKTLGLQLGYAPAFNNLGLVFIAEGQWEEAKYCFEKALEADPLLDSANSNLLKTVAVHRLCNSLSSCHVKD >KGN63806 pep chromosome:ASM407v2:1:2276238:2280219:-1 gene:Csa_1G021960 transcript:KGN63806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTFLSCGPFLRHHSHFSKFFFCCSNDAADASSTSSLPKSTTSSYYTRRWYNPSGRRQLNQEGVQILRHWIETDQPSASEEKFSVVSYNILAERNTWKHRGLYPNVPSPYLKWNHRKRVICEELLMWNPDIICLQEVDKYFDVSEIMEKAGYVGSYTRRTGDAIDGCAIFWKADKFRLIDEESIKFKMFNLRDNVAQLSVLEMSKAKSRRLLIGNIHVLYNPSRGDVKLGQLRYLLSRAEILSKKWRNLPFVLAGDFNSTPESAIYNFLSSSELNFMSYDRRELSGQSGCHPDKVLGVKTEVCAPFFFLGSQTKGLWTEEEVKVATGSADCKVVRNPFRLTSSYATIKGPPTTRGSTDEPLATSYHSKFLGTVDYIWYSDGLIPIRVVDTVPIDILLKTGGLPCEKVGSDHLPLVSEIAFTRTSE >KGN65315 pep chromosome:ASM407v2:1:13722662:13727231:1 gene:Csa_1G320320 transcript:KGN65315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERMIQPPLVDTTACLCRVDAGLKTVAGAKKFVPGSKLCLQPSIKPSIHPTRPKPARSDRSRNQSPLLPGLPDDLAIACLIRVPRVEHRKLRLVCKRWYRLLAGNFFYSLRKSLGVAEEWIYVIKRDRDNKISWHAFDPVYQLWQPLPPVPKEYSEALGFGCAVLSGCHLYVFGGRDPIKGTMRRVIFYSARTNKWHRAPDMLRRRHVFGSCVINNCLYVAGGENEGGHRSLKSAEVYDPNKNRWTFISDMSTPMVPIIGVVYEGKWYLKGFGAQRQVLSDVYQPETDSWCSVYDGMVAGWRNPSVSLNGHLYSVDCKDGCKLRVYDEVSNSWNKSIDSKLHLGNSKALEAAALVPLNGKLCIIRNNMSISLVDVSKCEDADGAPGEHLWETLAGKGQLITLVTNLWSSLSGRSRLKSHIVHCQVLQA >KGN66753 pep chromosome:ASM407v2:1:27294807:27295178:1 gene:Csa_1G676915 transcript:KGN66753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINLHKNKAKNKHLKTKKTEKVIENHEVEIEDDEEMEDEMQSPLICKRKGMEEVSRSRKKKKKSKAKDSRNPIPLVIINPPTVQTTTTSPFPSKALNVTTFSNNPNPISPKFLNANTTTITTP >KGN63585 pep chromosome:ASM407v2:1:973136:975825:-1 gene:Csa_1G004970 transcript:KGN63585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIETKLRAQRSNDSSSSMKKSSSRNSDSSVHSHMESPHSPLRFHSPLRSDAGDPMETPPYASPDTSPEKLPDNSKAIVVVDVVDKSTQFSPLPSPYAASRKPLENVNFVGDRSTSSKIMFNRAMKEEVPQSVTKVGPVGVGGEENGGGGGGGGGAGAGGGGGGRSPVKQMAPMSRRSKDDALVKAALGFRVCEVAVCLISFSVMASDKTQGWSGDSFDRYKEYRYCLTVNIIAFVYAAFQAFDLVFTLIKKNHMIRHQFRCYFDFFMDQVLAYLLISSSSSAATRVDDWQSNWGKDEFTQLASASVSMSFLAFVAFAVSSLISGYNLCTRDPA >KGN66554 pep chromosome:ASM407v2:1:24918675:24919049:1 gene:Csa_1G629110 transcript:KGN66554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFYRDMLMMLAKNKRVEETKQVWEDLKKEGVLFDQHTFGDIIRAYLDNTMLSEAMDIYREMRESPDRPLSLPFRVILKGLIPYPELREQVKDDFLELFPDMIVYDPPEDLFEEDEDRNKSEDD >KGN65216 pep chromosome:ASM407v2:1:12874001:12874303:-1 gene:Csa_1G265640 transcript:KGN65216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKMGGVGSRVFDGSLSLQSFLIERRPYHRNCGCALHDDRIRNGAACSHALKSKNSVSFLKTQKCPKTSLQILPSSSRQFVNSESPPPPLHFVYQFSTSS >KGN66128 pep chromosome:ASM407v2:1:21346238:21349210:1 gene:Csa_1G572980 transcript:KGN66128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYAVLRYRPCFRAAGIWNCSTEVGLRRRQVLEQVDKELAKGDDRAALFLLKESQGKLDGVRCFGAARQIPQRLYTLEELKLNGIETSSLLSPLDSTLGSIERYIQLAAGLLAVSAWNLFEFTPQQIFYISLGFLFLWTLDSVALNGGVGSLVLDTIGHTFSKKYHNRVIQHEAGHFLIAYLLGVLPKGYTTSSFEAFQKEGSLNLQAGTAFVDFEFLEEVNAGKVSATMLNRFSCIALAGVATEYLLYGCAEGGLADINKLDVLLKGLGFTQKKADSQVRWAVLNTILILRRHESARAKLADAMSSGKSVGNCIDVVENSIHLPDL >KGN65333 pep chromosome:ASM407v2:1:13916434:13916676:-1 gene:Csa_1G336420 transcript:KGN65333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPEKTKTMDTSERIDGHARVNRLEKTKMMDTSERIDDHARVNRRPGVGANGDEAGRWSDWRWKTIRLEMEISRKNWGK >KGN65898 pep chromosome:ASM407v2:1:19503333:19507674:1 gene:Csa_1G537480 transcript:KGN65898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRVTFDVVNGTNKGKKTLRATFIALFLGDSERLLFVINNSFPKLGLKKSDCIEMSWLQSVLFWTNFPLGTSVEALLSRTPQVLTHLKRKSDYVKTPIPKEGLNKIWKKMIELEKPMLTFNPYGGRMAEIPSNATPFPHRAGNLWKIQYATNWVEEGNKEAKHFIDLTRKLYKFMTPFVSKNPRTAFLNYRDLDIGVNHNGKNSYYEGRVYGIKYFEGNFDRLVKIKTKVDPHNFFRNEQSIPRFPHVW >KGN63673 pep chromosome:ASM407v2:1:1479396:1481218:-1 gene:Csa_1G009770 transcript:KGN63673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVALKSFTGLRQSSTEKPHFITQTKANQNPQFRRRFYVAAAKTSPKIAGRNLRVAVVGGGPAGGSAAETLARGGVETFLFERKLDNCKPCGGAIPLCMVGEFDLPLDLIDRRVTKMKMISPSNVAVDIGQTLKPHEYIGMVRREVLDAYLRDRAAENGANVINGLVMKLELPKNQNAPYVLHYTAYDGKKGGVGEKMTLEVDAVIGADGANSRVAKAIDAGDYDYAIAFQERIKIPDDKMVYYENLAEMYVGDDVSPDFYGWVFPKCDHVAVGTGTVTHKADIKKFQIATRNRAKDKILGGKIIRVEAHPIPEHPRPRRLAGRVALVGDAAGYVTKCSGEGIYFAAKSGRMCAEAIVEGSENGKRMVEESDLRKYLEKWDKTYWPTYKVLDVLQKVFYRSNPAREAFVEMCADEYVQKMTFDSYLYKKVVPGNPLDDLKLAVNTIGSLVRANALKREMEKVSL >KGN64292 pep chromosome:ASM407v2:1:5197821:5198893:-1 gene:Csa_1G045900 transcript:KGN64292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCQAIDTASLIIQHPNGKVDRLYWPVNAGEIMKTNPGHYVALLISTKVCQSETTSTHHRRRDNDTQTNSTNFNSVRLTRIKLLKPTDSLVLGQIYRLVTTQDVLQGLKAKQEAKKKRNLLEFEGKMGNSEKGSEGEINQGMKNERNRVKKCNSTVSTAAKSRGWQPSLQSISEGGS >KGN64481 pep chromosome:ASM407v2:1:6401559:6402032:1 gene:Csa_1G058155 transcript:KGN64481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIVKLFLFLVLAIIFSHYASHAAEVETNSKENATNLSDDEVSNHLASIEDEDSPLGLARRLLFPFQSLQKGLLTCNKYPRVCRRKGSAGPDCCKKKCVNVERDRNNCGRCGKKCKYSKICCKGKCVNPLFNRKHCGGCNIECSKGSFCVYGMCGYA >KGN66087 pep chromosome:ASM407v2:1:21074062:21078295:-1 gene:Csa_1G570120 transcript:KGN66087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDDYGAFIEKFLLQMSSPSDKLPLSGLTFAVKDIFDIEGYVAGFGNPEWLRTHPPANQTAPAVLTILRGGATCIGKTIMDEMAFSINGENFHYGTPQNPHASDRIPGGSSSGSGVAVAAKLVDFSLGTDTGGSVRVPASYCGILGFRPSHGVVSTSGVIPMTQSFDTVGWFARDSAILKRVGWLLLQEPEIEHYKPTKVFIAEDCFKLLSNITSERLTQAFVSSVEKLFGGHLIKQISLGKYVEDKVPSLKHFMIEENDGYKHSIPSLAALVRSKRFLQRHEFNINHGEWVRSYHSHLGPGISERILEFIREVTDENIDLSRSIQIELREALAALLEDFGVLAIPTVPGPPPKLNTDISEQYDFRAKAFSLLTIAAVSGVCQVSVPLGLYNGLPVSISLLAKHGADGFLLNLVDSLYSTLKEEVEAIY >KGN64541 pep chromosome:ASM407v2:1:6751500:6756580:1 gene:Csa_1G064140 transcript:KGN64541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASMNIALVATHGCRTLSSTSPSLLRTLARPRASLSAASSRDYFAPRRSLGSKSSAFPCKSSITDVTVKTATNSAENGYPQYHRLLPCPSFSKPPRVEHMVVLEAGPVMEYICKSLNLPPLYVADLIHFGAVYYALVCPQPPKTATPEQIRLFKKFTEPSFLKGRKSIKGKTVREAQKTFRITHVDEFVEVGTYLRVHVHPKRFPRCYEIDWKSRIIAVTESYVVLDKPAGTSVGGTTDNIEESCATFATRALGLTSPLWTTHQIDNCTEGCVIFARTKEYCSIFHGKIREKKVMKLYLALSAAPVPMGIITHYMRPINIAPRLVSKDCIDGWIICQLEVLECREVSWPDADIEAKYRVEDCGWPSKKKAYECRINLLTGRTHQIRAQLADCGAPLVGDSMYMPAVVAEMGSPGLNPFGKCKKEYTNEEDKETAVAEWSALHGKEPAVAIGLQAYEISWDSREHSYNAGTPWWRQEQL >KGN65334 pep chromosome:ASM407v2:1:13930550:13933133:-1 gene:Csa_1G338920 transcript:KGN65334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYIWWNLVAILAMVLPVKSQLSVGFYSKSCPKAEFIVRSTVESYFKADPTIAAGLLRLHFHDCFVQGCDGSVLIMDENAEINAGPNMGLRGFEVVDDAKAKLENLCPGVVSCADILTLATRDAIDLSDGPSWSVPTGRRDGKVSISFDAEDLPSPFEPIDNHIQKFAEKGLTEEDLVTLVGAHTIGRTDCQLFSYRLQNFTSTGNADPTISTSFLTELRTLCPLDGDPFRGVAMDKDSQLKFDNSFYKNLMDGNGVLESDQRLWSHPSTRDIVKRYGGNLRGLLGLRFSYEFKKAMVKLSSIGVKTGTQGEIRKVCYQFNK >KGN65599 pep chromosome:ASM407v2:1:16763213:16764138:-1 gene:Csa_1G467180 transcript:KGN65599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRSSHLSSPLSIFLISFLFFFFISCNALSPDRKFNSPSSYRTLSSLQRRRDQQISNCGEKVSALQCSQTPKCRWCRSDIIDDTCFTRAEALRLPQQVFSCD >KGN66741 pep chromosome:ASM407v2:1:27122723:27124124:-1 gene:Csa_1G667000 transcript:KGN66741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVEQRYLDRRRYFRPFCYLFLCFTTNLFKFRRFTSSLLLYYTSCVLRVDVCPRKWICGLRVIGLTIEAISGLRLP >KGN65648 pep chromosome:ASM407v2:1:17220988:17221343:-1 gene:Csa_1G478070 transcript:KGN65648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCCCCSEKCNCRPLGFLLSLPFAFLSIFVGFVGVVIWIVGSVLSCICPCCFCVTVVIEFALALINAPILVMKWFTSKIPC >KGN65649 pep chromosome:ASM407v2:1:17221758:17223329:-1 gene:Csa_1G478080 transcript:KGN65649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNDRFKSVTHRVFSSLIDYVPSFAPTQTSNQTSTSLSSALQHYINSDDPSHGLKIHAHILKTGFIPNTNISIKLLILHLKCKSLKFARQAFDALPQKTLSAYNYMIGGYMKMGEVPESLPLVRELICSGEKPDGFTFSMLLKASTLAREDFVPRSLGRVVHAQILKSNVSPDDVLYTALVDSYIKNGDVYIARMVFDLMLKKNVICSTSMISGYMNRGLVKDAEEIFRKTVEKDIVVFNAMIEGYSKTHEHAKKSLEFYIDMQRLCFSPNISTFSSVIGACSVLAAFEAGQQIQCQLMKTKFFTDIRMGSALIDMYSKCGRTDDARQVFDQMQERNVFSWTSMIDGYGKNGYPSEALELFCIMQEKHQIQPNFVTFLSALSACAHGGLVEEGWEIFESMERDYSLKPKMEHYACMVDLLGRAGSLLQAWEFAMQMPETPNSDVWAALLSSAKLHGNVEIACMAADELFKLNADSRPGAYVALSNTFAEAGKWNNVSELREIMKIKRVKCKGSSWVGAGDGSL >KGN65988 pep chromosome:ASM407v2:1:20379030:20383047:-1 gene:Csa_1G560720 transcript:KGN65988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYRGFSSRLETSNPSKGYAREQESLGDDFIDDFRLPINHKPTENVDLDNVEQASLDTQLTSSNVGYRLLQKMGWKGKGLGKNEQGIIEPIKSGMRDPKLGIGKQEEDDFFTAEENIQRKKLDVEVEETEEHAKKREVLAEREEKIQTEVKEIRKVFYCDLCNKQYKLAMEFEAHLSSYDHNHRKRFKEMREMHGSSSRDDRNKREQQREEREMAKFAQIADARKKQQQLLEQQARAAEAPVSTELRNATSVADQDQRKTLKFGFSSKGGTSKNIFGGAKKKPKVAPVASVFGNDSDDE >KGN65696 pep chromosome:ASM407v2:1:17752889:17757617:-1 gene:Csa_1G502350 transcript:KGN65696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKMRIKLLPTRRLHSYSSADHLNSGLKSSFSRKELDNFVPYSNTWWRGRSYVPSVASDIPGPEKDRKRVSKEERRAMVESFVHKYKASNTGKFPSAANTCKEVGGSYYVVRKILQELQSESSMSSLKGRSKNSFQETEIKSNGSLTEERPNAGRIHLEAASELQKSSRAEKILSADDDVSHSVLPVRSNLLEDSEDVISSHKKPCDDDKKFDVSEHFSTESHALKNERDAVSDVHLESRSSSEELKHEEGSYGKEQQVQSSPKLHRENVENRTVDEAQHTATESKPWGERIKSIVDGIVNMWWKR >KGN66538 pep chromosome:ASM407v2:1:24693207:24695198:-1 gene:Csa_1G627470 transcript:KGN66538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVVIIFLELLLKSHALAAATGGLTFDIVNLGAKPDCKTDASHALQSAWARACASKVASTVYVPKGRFYVQSGNFIGPCNNNSITFLIDGTLVASSDFTVLAKSRTWISFSHINGLSIYGGVLDGQGTTLWACKNSGINTCSLGATTLEVSDSQNILINGLSSVNSQMYHIVVYDCQDVKIQGVKVLAASNSPNTDGIHVERSSNVAILNSNISTGDDCISIGPGTSHLWMERLACGPGHGISIGSLGKWWEEAGVKNVTLKTAHFKGTMNGVRIKSWGRPSNGSAKNILFQHIVLDNVTNPLIIDQNYCPHNQGCPNQASGVKISNVTYKDIRGTSATEVGINFECSPARPCNQIRLEDVKLIFKDQIAQAWCEYATGTTLGLVQPSNCLV >KGN64704 pep chromosome:ASM407v2:1:7764048:7775639:-1 gene:Csa_1G075580 transcript:KGN64704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAFSSLPILNVPARSKPSISSPTIFIPPFSVRARNLSSRFVFCQASNGTNPTSETVFAVPTISVDNSEEDDSTAFVIRARNRIGLLQVITRVFKVLGLSIDKATVEFEGEYFTKTFFVSDSHGNKIENLESIDRIKKALMEAIDGDDLTISARPATRGIVVRKPGLLSTSGERTAKAERMFELMDGFLKNDPLSLQKDILDHVEYTVARSRFSFDDFEAYQALSHCIRDRLIERWHDTQLHFKRKDPKRVYFLSLEYLMGRSLSNSIINLGIRDQCADALSQLGFEFEVVAEQEGDAALGNGGLARLSACQMDSLATMDFPAWGYGLRYQYGLFRQVILDGFQHEQPDYWLNFGNPWEIERVHVTYPVKFYGTVEEEILNGEKYKIWIPGETIEAVAYDNPIPGYGTRNTITLRLWAAKPSNQHDMEAYNTGDYIDAVVNRQRAETISSILYPDDRSHQGKELRLKQQYFFVSASLQDIIRRFKDVHKDFNKFPDKVALQLNDIHPALAIPEVMRVFVDEEHLGWNKAFDLTCKIFSFTTHTVQAEALEKIPVDLLESLLPRHLQIIYDINSYFMEELKKRIGLDYNRLARMSIVEEGAVKSIRVANLSLFCSHTVNGVSKLHSELLQTRVFKDFYELWPEKFQYKTNGVTQRRWIVVSNPNLCALISKWLGTESWIRDIDLLIGLREYATDISLHQEWQMVRRVNKMRLAEYIEATSGLKVSLDAMFDVQIKRIHQYKRQLLNILGIIHRYDCIKNMAKDDRRKVVPRVCIIGGKAAPGYEMAKKMIKLCHAVAEKINNDSDVGDLLKLVFIPDYNVSVAELVIPGADLSQHISTAGHEASGTGSMKFLMNGCLLLATADGSTVEIIEEIGEDNMFLFGAKVHEVPTLREKGSTIKVPLQFARVVRMVRDGYFGFQDYFKSLCDTVEGNSDYYLLGADFGSYLEAQAAADKAFVDQEKWTRMSILSTAGSGRFSSDRTIQDYAEKTWGIEPCRCPL >KGN66459 pep chromosome:ASM407v2:1:23917438:23918534:1 gene:Csa_1G612830 transcript:KGN66459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRELVKERLPKFTDDEVSLVKGSYDFLGINYYTANYAKNNPNVDPNKPSQVTDSHADVSTDRDGVSIGPKVRKDSWLAVYPEGLKDLMIHIKHHYKDPIIYITENGYLDYDSSDVEKLLKDEGRVKYYQQHLIKLHESMEAGVKVKGYFAWTLLDDFEWSRGYTMRFGITYIDFKSKTLERIPKLSSKWFNHFLST >KGN64973 pep chromosome:ASM407v2:1:10556711:10557046:1 gene:Csa_1G169970 transcript:KGN64973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVHELDRLIKIEKGLLSFNGSLPDFLYAPIKALKWEKFFTDETKVKFDVVDLFYATKFHPKESYAIVDDERVPFTKEKSSMSCMVYLMIWTHTLAIGSSPNPQKKMQVEL >KGN65748 pep chromosome:ASM407v2:1:18289348:18296131:1 gene:Csa_1G524700 transcript:KGN65748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGFFRGTSADQDTRFSNKQAKLLKTQKFPAELDQLVDTTKVKMDVIRPWIATRVTELLGFEDEVLINFIYGLLDGKEVNGKEVQIQLTGFMEKNTVKFMKELWILLLSAQKNASGVPQQFLDAKEEEIRKKKAETDFLANEIQKKREKENREIEEQRSKNMDDGAEKVSNSVLEHTSKNVRSRAMRNYPEDEEVAEKRNGVRGRSSDRHKSRSMSRSPQRRRRSFSRGRSSSSPRRRDRRDSFSPRHRSSHLMRRSGSTSHRKSRSPEVRQLRSPSQHSSPSPGRKSPYRSSSPMQRLSLSPAHRRSPALDKHNRSPSPTRHRRSLSPIRRRRSPSPVRRRRSPSPKWRRRSPSPEWRRRSPSPGRRRRSPSPIRRWRSPSPIRRRRSPSPIRRRRSPSPPRRHRSPSPYRRKSPSFVRRRRSPSPSHRRRSPSPAHYRRSPSPIKSHKSRSPVRRPMLPSPSPTRKLDRSSPLDHRRTPSPLERRSPSTSLSKSPPLLQRSSPGLRKRSSPSQHGRRSTYGRSYSSEEQENPSPVKVKSAQHRRRSLSQSPMDRSRNVQTSPASQLPSRSLRSPERDAKERNNSNNRARASSSSLEKSPAPANSPPVTSSANDDRRLSSPQSRAKQHRRDTRGEEEVATYTREGGNLESRSSLRKSLNSSIVGKVPSPGSDKHPQRDVPGSPNHKKSSSAHKEPSLVEEQQLSYSREDMKHDEKSHSRRSKAKEDTELPSLENNNNRRDDSDSDLEEGYKRKAGSKEKKRHRKSDRRDTSSDSEDSYDSELERKESRRRKKEERRLRKEKKRQKREERRRKKEERRAGKTKAKNLSDNYSDDDDQAAKEESYHSDDADEAEQKRLEIELRKKALESFNARKGTRS >KGN66162 pep chromosome:ASM407v2:1:21574091:21581310:-1 gene:Csa_1G574790 transcript:KGN66162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKCAIKADATELIGNTPMVYLNKIVDNCYAKIAAKLESMEPCSSVKDRIGLSMIEDAEEKGLITPGKSILIEVTAGNTGIGLAWVAAIKGYKLILIMPAFFSVERRILALAFGAELRIPDPEMSGEEILKMAEELEKSTPNGYFMRQFDNPANTKIHYETTGVEIWKDSNGKVDALVAGIGTGGTITGAGKFLKEQNPKIKVYGVEPVESAVLSGGEPGRHLIQGIGAGIIPSILDVELLDEVIQISSEEAIETAKLLALKEGLLVGISSGAAAAAAIKVAKRPENEGKLIAVVFPSFGERYLSTSLFDSARQEALNMAYS >KGN66389 pep chromosome:ASM407v2:1:23210170:23214935:-1 gene:Csa_1G600780 transcript:KGN66389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCSILCSSQLQFHSISFRKNFAFNSHGSQGSLAFASRLKQQRAITCNSTASKQQFEIVYDPDERISKLADEVDRDAPLSRLTLFSPCKINVFLRITKKREDGYHDLASLFHVISLGDTIKFSLSPSKKDRLSTNVSGVPLDDRNLIIKALNLYRKKTGSDNFFWIHLDKKVPTGAGLGGGSSNAATALWAANQFSGCLATEKDLQEWSGEIGSDIPFFFSDGAAFCTGRGEVVQNLPPPVPLDVPMVLIKPQEACSTAEVYKRLRLDQTSKVDPSSLLDKITKNGISQDVCINDLEPPAFEVLPSLKRLKQRIISASRGGFDAVFMSGSGSTIVGIGSPDPPGFIYDDEEFRDVFLAEANFLTREPNKWYQEPASSSACSPPSEHPESSSAR >KGN65090 pep chromosome:ASM407v2:1:11580665:11581527:1 gene:Csa_1G202290 transcript:KGN65090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIGDVEKMVAIGLVWGATNVLMRRGALLWDQALKSSSDSTKPFSSLRRWLKLFSIWQYTLPFFLNLTASATFFTILSHAPISLAVPVTNATTFAATAVFGMLLGEATRVGYALFGTTLIGFGVWLCIN >KGN63835 pep chromosome:ASM407v2:1:2429414:2438826:-1 gene:Csa_1G024210 transcript:KGN63835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPLTGLHHRDGGGGVAFLANSVNKMDSASSPSSPNDCLRSSQPQSPILIFLFFHKAIRNELDTLHRLAMAFATGQRADIRPLFERYHFLRSIYKHHSNAEDEVIFPALDIRVKNVAQTYSLEHKGESNLFDHLFELLNCNTQNDESFPRELASCTGALKTSVSQHMAKEEEQVFPLLIEKFSLEEQASLVWQFFCSIPVYMMAQFLPWLSSSVSSDEFQDLQKCLIKVVPEEKLLQQVIFTWMEARSCGDVSTSCFGDSLVDYHTDPTTDTSNHQTENVNCACALTSPGKRKYVESSDDISDYAVTHPINEILFWHNAIKRELNDIAEEARKIQLSGNFSNLSTFNERLQFIAEVCIFHSIAEDKVIFPAVDGEFSFLQEHAEEESQFNEFRCLIENIQSAGASSTSRAEFYVKLCSHADQIMDTIKRHFHNEEVQVLPLARKHFSFKRQRELLYQSLCMMPLKLIERVLPWLVGSVKEDEARDILKNIQLAAPAKDTALVTLFSGWACKARNNGLCLSSRAVGCCAVKRLTDIEEDIVQSSCSCAPALAAREGSKSDNETNANVKRLTIRNVPLPCGSCDGRIASETVNVQKQCCSDQSCRVPALGVNIKNLGLSSIFTSKSMRSLSPSSCAPSLNSSLFSWETDCGSSDVGSAGRPIDTIFKFHKAIRKDLEYLDVESGKLSDCDGTFLRPFIGRFRLLWGLYRAHSNAEDDIVFPALESKETLHNVSHSYTLDHKQEEKLFEDISCVLSEISVLHESLHEVPLDGSFSRSVVGSVNMVGEDCNRKYNELATKLQGMCKSIRVTLDQHIYREELELWPLFGKHFSVEEQDKIVGRIIGTTGAEVLQSMLPWVTSALTQDEQNTLMDTWKQATKNTMFNEWLNECWRGAASSTINGETLEACVAQKDSGLIESLDQNDQMFKPGWKDIFRMNQNELESEIRKVYQDSTLDPRRKAYLVQNLMTSRWIAAQQKLPQANIEDNSNGEDVTGRTASFRCAEKKEFGCEHYKRNCKLLAACCGKLFTCRFCHDNVSDHSMDRKATSEMMCMNCLTIQPVGSICTTPSCNGLSMAKYYCNICKFFDDERAVYHCPFCNLCRVGKGLGIDFFHCMICNCCLGIKLESHKCLEKSLETNCPICCDFLFTSSATVRPLPCGHYMHSACFQAYTCSHYTCPICSKSLGDMAVYFGMLDALLAAEELPEEYRDRCQDILCNDCERKGTSRFHWLYHKCGFCGSYNSRVIKNDTTIADCPSSNQ >KGN66635 pep chromosome:ASM407v2:1:25841868:25844939:1 gene:Csa_1G651690 transcript:KGN66635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGQSASENMRIYVGGLGAAMTEDDLRKVFHSVGGVVEAVDFVRTKSRSFAYVDFFPSSQSSLSKLFSTYNGCAWKGGKLRLEKAKENYLARLNREWEEDAQIRDNNVGADMELVAPESTEHVTKSEHINIFFPSLGEVKPLPISGTGTHKYDFPHVEVPPFPVHFCDCEEHNASSPIGNSKYTKTRDLNAENGGMDEDEIKMMNAVLSKLFERKEASQSNCNDSMALNDKHNSTTSTDNQLLEDNKVDSDEDNLVLNVMASNCNSKTMALNRGNKIFKAHGNSKDAVRDQKNNCRVQSKKRKSFISEEFDGNESVPSIFTSNRGTDPSYDPARSSRPQAPDRGPPVQSLRSQKSSWKTLIRDKSNVSFCISDILSSVPSANEEKAEADDLNIAHSTPNRNSNLASTAVLGSEIDEIQSGKINVPFSITDVLPLVLSADQEKAASADQEKAASADLNLAHSTPNINTDVGADPISKSKSEEMESVESFQDAQCTVPNVTLNKGRGSSWRKKSSWTQLVSEEFTSFSITQILPNSTSENQVQGESGDINANFSAWSETNAPRKQDSECIAKDESTAFVIGKGEIGCNDVKQNEPQAVQECETCPTQITESNFPQQEGSFDEISGDTCPFMRNSQSVAEWTKIKAALSGGSKKKKQRQ >KGN64013 pep chromosome:ASM407v2:1:3607544:3608703:-1 gene:Csa_1G033320 transcript:KGN64013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVNVGILVIGIFCLLSLVHGRNGGGRWITDAHATFYGGPDASGTSGGACGYGSGFDYGTITTALSPALYDNGLSCGACFEVKCINNPQWCLPGSVVVTATNYCPPGGWCAPSLHHFDLSQPAFQTIANFIGGVVPVAYRRVNCGRRGGIKFQISGNPYFNLVLISNVGGAGDVRAVYIKGGKGRPNSWKAMTRNWGQNWQSDDYLVGKPLSFKVITGDGRSLVSSNVAPHDWSFGQTYIGRQFS >KGN63951 pep chromosome:ASM407v2:1:3319515:3323383:-1 gene:Csa_1G031750 transcript:KGN63951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFGGGSGWDNDPSSDPQRRKKRYHRHNANQIQRLEAMFKECPHPDEKQRLQLSRELGLAPRQIKFWFQNRRTQMKAQHERADNSALRAENDKIRCENIAIREALKNVICPSCGGPPLQDPYFDEHKLRIENAHLKEELDRVSSIAAKYIGRPISQLPPIQPSHFSSLELSMGSFPSQEMGCPSLDLDLLSASSTSVPNLPYHHPIHLSTVDKSLMTEIATNAMAELLRLSQTNEPFWMKSPTDGRDLLDLETYEHAFPRPNTPLKNLHFRTEASRDSGVVIISSAALVDIFMDSNKWTELFPTIVSVARTLEVVSSGMLGSQNGSLQLMYQELQLLSPLVPTRHFYFIRYCQQIEQGVWAVVDVSYNIPRENQIVSHPQCHRFPSGCLIQDMPNGYSKVTWIEHVEVEDRGSTHWLFRDLIHSGLAFGAERWLATLQRMSERFACLMVTSSSNQDLGGVIPSLEGKRSMMKLAQRMVNNFCASISTSHGHRWTTLSGMNEVGVRVTVHKSTDSGQPNGVVLSAATTIWLPVSPQTIFNFFKNDRTRSQWDVLSEGNPVQEVAHISNGSHPGNCISVLRGFNTSQNNMLILQESCIDSSGSLVVYCPVDLPAMNVAMSGEDPSSIPLLPSGFTILPDGRRDQGEGASSSSDVHNRSGGSLVTVAFQILVSSLPSGKLNLESVTTVNNLISTTVHQIKTALNCHSS >KGN66024 pep chromosome:ASM407v2:1:20689095:20690827:1 gene:Csa_1G568530 transcript:KGN66024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSHKTFRIKKKLAKKMRQNRPIPHWIRLRTDNTIRYNAKRRHWRRTKLGF >KGN65570 pep chromosome:ASM407v2:1:16490152:16496305:1 gene:Csa_1G458990 transcript:KGN65570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVIFFFSSFSPSHLHFPSLLPMPPPFPSFVNSEKKSFSNSLNLVDKTLIGAISGVLSFGLLLHSPSSVALDYSAVDFFSLSSHSLPSSSLSDSSASCIDEDELHEFGSSETVSSPATNEDIVREAWEIVNDSFLDSGRNRWSPEAWKQRQEDITNISIQTRSKAHNIIRRMLASLGDPYTRFLPPAEFSKMARYDMTGIGINLREVPDDNGVMKIKVLGLLLDGPAHLAGVRQGDEIVAVNGVDAGGKSAFEVSSLLQGPNETLVTVKVMHGNCGPVESIQVQRQVLARTPVFYRLEQMDATSSVGYIRLKEFNGLAKKDLVTATKRLEAMGASYFILDLRDNLGGLVQAGIEIAKLFLNEGSTVIYTVGRDPQYQKTVVADAEPLVKAPVVVLVNKRTASASEIVASSLHDNCKAVLVGERTYGKGLIQSVFELHDGSGVAVTVGKYVTPNHKDINGNGIEPDFQSFPAWSDVTERLSQCSILRQG >KGN63634 pep chromosome:ASM407v2:1:1271329:1274171:-1 gene:Csa_1G008420 transcript:KGN63634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSEGGRKRDPASSSNRAREEESMVAISLYRGNLHRVPDIPRRWLMPTHNISIKDFKSLLHRRSKALSRLRAPASSSPAKLSTSPNPNPITNSLVKSDGDGPRNNGSAPEVPLESHRVSVGGERPSALVKERKKSDIGDNCIGKSGDGFDSFNGSKPCFAEQGSNPVENGGAHAKDKNPAVSENPNTEANKEEDLLDDKEDRKREVEEKLKVLNEKKHNLVQVLKQILHVEEELKRRSTVQGTAIRPSAPLQVDASADTGSMTRQLASRVGSEVNASGYIEGGEADDLLNQNFLARQMLRNSSMSPSSESPLRRPVHIQPNMGSHPSRTNLSITGSPSCLPPAGQSGLPPNLPTVSVSGTNYVASSPSPAASGGSSVLRDARQPSPWN >KGN66277 pep chromosome:ASM407v2:1:22459352:22461820:1 gene:Csa_1G595810 transcript:KGN66277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLFGKRKTPAELLRENKRMLDKSIREIDRERQGLQTQEKKLIAEIKKSAKQGQMGAVKVMAKDLVRTRHQIEKFYKLKSQLQGVSLRIQTLKSTQAMGEAMKGVTKAMGQMNRQMNLPSLQKIMQEFMKQNEKMELMTEVMEDAIDDALEGDEEEEETEELVSQVLDEIGIDMNQELVNAPSTAVAAPAVKTKVAQAEATGNDDGGIDSDLQARLDNLRRM >KGN64035 pep chromosome:ASM407v2:1:3713306:3715903:-1 gene:Csa_1G039020 transcript:KGN64035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGSRKVHFRLGKHSSTPNPIESDDDVKAKQNLVSSQRTSSDPSLLTEKFSSNPFDDDHGHAPSSSSSTTWSERNKYKNDFRDSGGVENQSVQELENYAVYKAEETTKAVNGCLKIAEEIREDATQTLVALHHQGEQITRTHMVAADIDYDLSRGEKLLGNLGGIFSKTWKPKKTRPISGPIISSDDLVRRKGNHLEQKERLGLTHHKERTSTKAAFAEPTNAIQKVEVEKMKQDDALSDMSDILVQLKEMAVDMGSEMDRQNVALDHASVDVEVLGDRVKDANRRGRRLLGK >KGN66262 pep chromosome:ASM407v2:1:22337263:22339332:1 gene:Csa_1G589690 transcript:KGN66262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDNNSSPGSPKENPDQENEAGKITKDDGSLENMVRRIQDSMSIGKRHKFWETQPVGQFKDIGDSSLSDGPIEPPTPLSEIKQEPYNLPSSYEWTTCDMDSEETCMEVYNLLKNNYVEDDENLFRFNYSKEFLGWALRPPGYYPSWHIGVRAKASKKLVAFISGVPARIRARNDVVKMAEINFLCVHKKLRSKRLAPVMIKEVTRRVHLENIFQAAYTAGVVLPTPITTCQYWHRSLNPKKLIDVGFSRLGARMTMSRTIKLYKLPDSPATPGFRKMELRDVPSVTRLLRNYLSQFIVAPDFDETDVEHWLLPKESVVDSFLVESPETHEVTDFCSFYTLPSSILGNQTYSTLKAAYSYYNVSTKTPLLQLMNDALIVAKQRDFDVFNALDVMENESFLKELKFGPGDGQLHYYLYNYRIRDALKPSELGLVLL >KGN66825 pep chromosome:ASM407v2:1:28070034:28070561:-1 gene:Csa_1G699050 transcript:KGN66825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFRLIIVQIPSSSAVLESYQLLLVKILFKPNIHREYSKSVTIETKPAVDPVRTKVEAMGVGEPDFPMIRTTKDKADPSRVEAEAAIIDIKVKFSKAVEAKFEANPTRVMDVIEELHLSKFKYVEAKFEVIPITIEIVAKPSRNRLETGSKEIDDDGRNVTRMDLAIKIFKKMTS >KGN64942 pep chromosome:ASM407v2:1:10330715:10331953:-1 gene:Csa_1G165740 transcript:KGN64942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNFRSPSSSYLEFQASSPSLRCFTFAINALCLLNLKEKQVNCGLPLCV >KGN65630 pep chromosome:ASM407v2:1:17023939:17024674:-1 gene:Csa_1G470460 transcript:KGN65630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHYHNPTTDSMIQLYHSPPLSPNQCGSSLFQVVHAPLALVWSMVRRFDNPQAYKGFVKSCTIRQGDGGVGTVREVVLVTGMPANTSVERLEHLDDEAHVMVFTIVGGDHKLANYRSTTTLHENGDGGGNTVVVESYVVDVPYGSTKEDTVLFANTIVTCNLKWLARTVEALL >KGN66765 pep chromosome:ASM407v2:1:27450261:27454000:-1 gene:Csa_1G680120 transcript:KGN66765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSRKIDLRSDTVTKPTETMRAAMAMAEVDDDVLGNDPIAVELEEEMAKIMGKEGGLFVPSGTMGNLISVLVHCEIRGSEVILGDSSHIHIYENGGIATIGGVHSRTVKNKDDGTMDIDLIEAAIRDPKGDLFFPTTRLICLENTHANSGGRCLGVEYIDKVGELAKKHDLKLHIDGARIFNASLAIGVSVDRLVQAADSVSVCLSKGLGAPVGSVIVGSKSFISKARRVRKTLGGGMRQIGILCAAGLVAIKENVQKLEADHHKAKQLASGLCQIKGLKVNPKSVETNIIFFEIEEDSQISAKLLCESMKERGILLMQESLSRSILKPQ >KGN65124 pep chromosome:ASM407v2:1:12007966:12009386:-1 gene:Csa_1G229000 transcript:KGN65124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNISNRLQEINTLICSGLKAHKSLAYSSLLQIQQASIPDHISIDALAEFSRDSIHHIVSDTQDEDEEIAAQALKCLGFIIYHSSIVAAIPAKEANFIFKSLAELISRTRLKSVCNLGVWCISIQQLDSDILAVHFQSLLLAVTHALDNPYGSLSTTFEAIQVQVLFNYLYWSFHTFYYPTTIWDSLGYRLFYQVSSPD >KGN64573 pep chromosome:ASM407v2:1:6928293:6931195:1 gene:Csa_1G065930 transcript:KGN64573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATTFVCSITLSLLALALINHPPLAFAQPRFSNYICVDDTKNNHTTDAAAYKANLNHLLTTFTTDHRIDYGFYNFSYGAAENKANVIGLCRGDVSPETCRKCLNDSRDLLPVRCPTQKEAIGWYQNCMLRYSDRPILGSMELSPSIPVGYPFNASYPERFTQVASSLIASLIPKASTGNSRVKFSTGNTSLPDLPTIYGFAQCTPDLSQRQCNECLTEALPMIQDCCVGNLWGRVVTPSCLFRYSNFPIIQSQPLQPPSPSPVPPLLNGTTHHGNKRELSGTFIAVIVPVVVVVVLLLTIAVYLGVRKSSSKIDEEEYRTEEDMTTMGFVQFNFDIIRKLTDEFSDENKVGEGRFGAVYKGKLPNGRIVALDLFFNLQQLIFNTNILLDIEMNAKITDFGVAKLFASEQTHGDTNTIIGTSGYMAPEYVRHGHLSSKSDVFSFGVLVLEIVTGQRNNRTYNNVENIEDPLISYAWRNWREGTPLNIVDPSIEIQKEEESEVTRCIHIGLLCIQEKVDERPKMATALLMINGDLTDFPKPSQPSFFMNASTKQNSS >KGN66755 pep chromosome:ASM407v2:1:27309612:27311934:1 gene:Csa_1G678040 transcript:KGN66755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMEGREESPRSPEAKLGMQVEDLWDIQEPQLSPTEKLNACFESIPVSAFPPAPLHQGIEIKSDCSLSEAVQILAQHKILSAPVVDVDAPDHASWIDRYIGIVEFAGIVVWILHQSEPPSPRSPNDGSAVAAAAKKVISPLEQEILGPESAAATSGSFFESLTSSELYKNTQVRDISGSFRWAPFLALQTSNSFLTMLLLLSKYKMKSIPVVDLGEGKIENIITQSSVIHMLAECAGLQWFERWGTKTLSDLGLPMMSPASIVKVYEEEPVLQAFKLMRKKRVGGIPVIQKSGKAVGHISLRDIHFLLTAPEIYHDYRSITAKNFLTAVRNYLEKHEEFSTMLSNMVTCKKDNTIKDLILLLDSKKINRVYVVDNDGNLEAVITLRDIISRLVHEPRGYFGDFFDGILPVPQDCRV >KGN65911 pep chromosome:ASM407v2:1:19623583:19625365:-1 gene:Csa_1G537590 transcript:KGN65911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTNSGKNKFLCKGFSTPPPSWKWKPFRLPKTAPFSESKRLSPNFANKSDLFHVIHKVPAGDSPYVKAKQVQLIDKDPNRAVSLFWAAINAGDRVDSALKDMAVVMKQLDRSDEAIEAIKSFRHLCPYDSQESIDNVLIELYKRSGRIEEEIDMLQCKLKQIEDGTVFGGKRTKAARSQGKKVQITVEQEKSRVLGNLAWAFLQLDNIYIAEEYYRNNSQVFKGADFCLQESFVSRVR >KGN66062 pep chromosome:ASM407v2:1:20949871:20956305:1 gene:Csa_1G569390 transcript:KGN66062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGYSSTASDKKSSDLADDIPIFNAENLQNNLKIIYYSRTFLSIIGGVIAGVLGFTGLTGFIFYFLVMAITSVALAAKAGFSFHSYFESCNQILFDGFLSGLMSFVLFWTPRHCSFSSIQRSRFSPNATNKLVRRFITFPFPLYITSHRSPLSLLLCKPFR >KGN64932 pep chromosome:ASM407v2:1:10251241:10253304:1 gene:Csa_1G164660 transcript:KGN64932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFSSHLLLGNSSISSYTALPEADPEVRWCFVALALSFPSFMANFHRLFRVLLMLFALSGSVIPTLSVGVGINYGQIANNLPSPSRVASLLRSLNISRVKLYDADPNVLFAFSRSEVNFIIGLGNEYLQNMSDPQKALAWVQQHVQTHISQTKITCITVGNEVFNSNDNQLRSNLLPAMQSVYNVLVNLGLDKQVSVTTAHSLNILGNSFPPSAGTFKPDLAEYLQPILNFHSMVKSPFLINAYPFFAYKDNPTQVSLEYVLFQPNQGMTDPITNLHYDNMLYAQIDAVYAAIKAMGHTDIRVQISETGWPSRGDPNEVGATPENAGLYNGNLLRRIESGQGTPLKPSIPIDIYVFALFNEDLKPGPSSERNYGLYYPDGTPVYNIGLQGYLPELLYSSSKRNVFSVLSLLLIFVAYGIYT >KGN66561 pep chromosome:ASM407v2:1:24974811:24976569:-1 gene:Csa_1G629180 transcript:KGN66561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERMEENYNAGLCSSSVESQKANNPAPFLSKTYDLVEDPTTDHIVSWGQSLTTFIVWRPSEFATHILPNYFKHNNFSSFVRQLNTYGFKKIVAERWEFGNENFKKGEKQLLLEIQRRKSHNHNNNSQQIPFQLFHLHQQQQLSLCYTTTSSSDPDILAALTQDNRRLRRRNFMLLSELAQMKNLYSDIIYFIQNNVKPLDNKYCNRSVPKLVELDPPSSPTPMSPEIRLEEGNGMVKLFGVPIRGKKRVLPEESEV >KGN65543 pep chromosome:ASM407v2:1:16205546:16208916:-1 gene:Csa_1G441340 transcript:KGN65543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESIFLLPSISIPKLPSNAPSFTIPSMSSSWLPFNLRNNTPFSQLSNYSTNIVSIGSISSLNTCNRLLVRCGNVHGGEAHSDARAQDPLKSLLSLVEPMKINSITSTITRFKSEALKLVMDGKYNEAESHMEALLKGDTDVAYEARLAHLQILIHLDKYEKALNFLEKEGDFPRSKLWEERLFLYKAVVYTMLDKDDDAEKWWNKYVDTLPNVNGKTETNVINHTNSEMIIVMDAKDLLKPLLSFKKPAKVEENTFLSHIIHTKNMAMKKVVNGEYEFAKSLMKSKVELIKDSQERLEAQITHIHILIYLDEYEEALDILSEIEYQFSPSDFRPWLYKAIGLTMLGNHKDAKTCWKAFMKTIGIKGFPNFN >KGN65119 pep chromosome:ASM407v2:1:11936523:11939129:1 gene:Csa_1G227460 transcript:KGN65119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTMVKADQIPFGERKWTNRDKYMAALFVIMHFICILAPFHFNWNAFWVATLLYFFSFFGINISYHRNLSHRSFRLSKWLEYFFAYCGALAFQGDPIDWVSTHRCHHQFADTKNDPHSPIQGFWFSYFTWLLDSNALTKRVCPQYFIDHKDTDKTIFTLVLKYGRPNNVGDLEKQSFYRFLRKTYFLHQLALAILLYAVGGTPFLVWGMFVRTIAFLHVTFMLNSICHTFGNQPWNTGDLSKNTWWMCLLTLGESWHNNHHAFEYSARQGLEWWQIDICWYIIWFLQVIGLATEVKVPSQSHKQRLQALDQTKRKEL >KGN65037 pep chromosome:ASM407v2:1:11096622:11097007:-1 gene:Csa_1G181490 transcript:KGN65037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHYMKVMKKENMRRKTKRKQSQEQNQIIEEKKLEKEDEEVKEEQNQEDIDNESEEILFTIRRRQKGKLVVDDDDETEEEIASDSEEDAEEEI >KGN64036 pep chromosome:ASM407v2:1:3716795:3719067:-1 gene:Csa_1G039030 transcript:KGN64036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQVRRRPPRISLSRPILSITCCKFAVEHHDFYAIGIDDGHTRRLTFNIWIVAAVVDFIGRDLERCGCSTGQMNVTLCYCAATIEDLEFTDKSDWVRRRNFSLEFECFEVQSSKRRRIQEAITEPIMDSNSLTCTFSCDDILCHVNSLSEAHKEGKLRIESLEKELTNCTEEIGGVKRREVESKKEEAEDFAQEMAKAKVPNYRFA >KGN65424 pep chromosome:ASM407v2:1:15246913:15247425:-1 gene:Csa_1G420610 transcript:KGN65424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAYFLIIPIPILLLLTSTPYAAAAPSIRSDRILQKQFLAPHNAARYALRLSPLVWDPKLARYAQSYANKRRGDCALRHSGGPYGENIFWGSGKDWTPAQAVADWVSERKWYSYWANSCVEGELCGHYTQIVWRSTRRIGCARVTCNDGKGVFITCNYDPPGNYIGMRPY >KGN66639 pep chromosome:ASM407v2:1:25887243:25896931:-1 gene:Csa_1G652220 transcript:KGN66639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPDRLPNRPFFLGDVYPVEPYESFHSDQVLDAHWGVLYDEDSSGHQSHTLSMLVNDYPGVLNVVTGIISRRGYNIQSLAVGHAEREGLSRITTVIPGTDESIGKLVQQLHKLIDLHEVRDLTHLPFAERELMLIKIAANATARRDVLDIADIFRAKAVDVSGHTITLQLTGDLNKMVALQRLLEPFGICEVARTGRVALERESGVDSAYLRGYPLPI >KGN64826 pep chromosome:ASM407v2:1:9107870:9110512:-1 gene:Csa_1G120420 transcript:KGN64826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKKLSFELLFCSFFFAFILVSWPAMSQEVENQREFDYNTNGTRGPIHWGDLRPEWHNCNTGQMQSPIDLLNQRVRIVSHFTDFQIDYGSSNATLKNRGHDIMLKWRSAAGHMEVNRTRYFLRQIHWHSPSEHTINGRRFALEAHLVHQSQTGKIAVIGILYNIGQPDYFLSTMRQHLVEISGTQRDKLLNMVNPSLLKMRSSLYYRYIGSLTVPPCSQNVLWTIVRKVRTVTPEQVNLLRVAVHDDSETNARPLQPLNNRNVQLRIKASIEGAE >KGN64155 pep chromosome:ASM407v2:1:4397753:4402861:-1 gene:Csa_1G042640 transcript:KGN64155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSPALCGRNNGQQRLGITDPISLSGPTEYDVLKTRELEKYLQDAGLYESQEDAVNREEVLGRLDQIVKIWVKAISRAKGLNEQLVQEANAKIFTFGSYRLGVHGPGADIDTLCVGPRHATREEDFFGELHKMLSEMPEVSELHPVPDAHVPVMRFKLSGVSIDLLYAKLSLWVIPEDLDISQDSILQNTDEQTVRSLNGCRVTDRILRLVPNIQSFRTTLRCMRFWAKRRGVYSNVSGFLGGINWALLVARICQLYPNALPNMLVSRFFRVFTQWRWPNPVMLCANEEGSLGLQVWDPRRNPKDRYHLMPIITPAYPCMNSSYNVSASTLRIMTEEFRRGHDICEVMEENKSDWDTLFEPYPFFEAYKNYLQIDITAENDDDIRIWKGWVESRLRQLTLKIERHTYNMLQCHPYPGDFSDKSRPFHHCYFMGLQRKQGGPASGGEQFDIRLTVDEFKHSVNVYTQRKRGMEIYVSHVKRRSIPNFVFPGGVRPSRASKLTWDIRRSSELKASDSTQVDSPSEATESLDGDDRRKRIRIDDNANTNLRNGECLAMAHSHPEEVHEVSQVSNTSSCSIKDVNFIPTSANNLENLADVSSQNNGDHGSLRVSPSTNNVSDAAADTSNCKEAEKLAIQKILSDSYDSHQDFPCETEELEDFDYNNQAKDFGATKQGSPMMSSVANTSPLVLPTVSCNEARQSSSSYYNGGLEELEPAEIVAPLSTGTAPVAERKPIIRLSFTSLGKAGKSS >KGN64498 pep chromosome:ASM407v2:1:6524496:6532842:1 gene:Csa_1G060260 transcript:KGN64498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEANMENGCPHPNTTEEIIKAVNLYQAAFKGDWKAAQSIFDDHPPSWISKEITSKGNTALHIAAAAKHISFVEKLIKLYSHHQLDLARPNGAGCTALSYAAVSGVVSIAEAMVLQNNILPDIPDHKGRIPVLKAVIYKRKDMAFYLYHQTNFEGLENNQQFDLLLATIDSDYYDIALDILNRKPELAKERVEENGESETAWHLLARKPNAIGFNRISKTAVMQTLAHEVVNFAIGDLSTFELDETFKETFSILLNDAAGVGNVEFLIILIRSYPYLIWIVDKDNKSIFHVAVENRQENVFSLIHDMGGVKDFLVNCYNVTNKCNILHLAGKLASPYHLSRVSGAALQMQRELQWFKESGENRYPIPSRNEDERKQR >KGN66640 pep chromosome:ASM407v2:1:25905282:25911922:-1 gene:Csa_1G652230 transcript:KGN66640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPFPELVNISINQVEDISRQPQVERELVLMKLNADSSTRAEIMWLVDIFRGKIVDISEHSVTIEVTGDPGKLVAVERNFSKFGIRELARTGKIALRREKRGETAPFWRFSAASYPDLEDSRPINSFVEDAIQSLKGQVSTSTVCTFVL >KGN64047 pep chromosome:ASM407v2:1:3779317:3784934:-1 gene:Csa_1G039130 transcript:KGN64047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEELFANNKIQVLVCTSTLAWGVNLPAHLVIIKGTEYYDGKSKRYVDFPITDILQMMGRAGRPQYDQHGKAVILVHEPRKSFYKKFLYEPFPVESSLKEQLHDHINAEIVSGTICHKEDAVHYLSWTYLFRRLMVNPAYYGLDSMEPEILSSYLSRLVQSTFEDLEDSGCIKMEEDSVEPMMLGSIASQYYLSYITLSMFGSNIGPDTSLEVFLHILSAASEYDELPVRHNEENYNGALSERVRYKVDKDRLDDPHVKANLLLQAHFSQLELPISDYITDLKSVLDQSIRIIQAMIDICANSGWLSSSITCMRLLQMVMQGLWFDVDSALWMIPCMNDDLASSLKKSGYLTLQQLLDLPKTALQNLIGNFPASKLTQDLQIFPRVQMKIKLLRKDDDAEKAPSLNIRLEKISSRKNRTRAYAPRFPKIKDEAWWLVLGNTSTSELYALKRVSFSDRLVTTMQLPPKRNDFQEMKLILVSDCYLGYEQEYSIKELLN >KGN64811 pep chromosome:ASM407v2:1:8828396:8832268:-1 gene:Csa_1G109340 transcript:KGN64811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKVMNILKPKPNPQQQLRDWQRRLRQECRNVERQIRDIQREEKNVQKAIRDAAKRNDMVSAKALAKEIVRSKKTVNRLHENKAQLNSISMHLGESVAIARTVGHLSKSAEVMKLVNNLMKAPEMAKTMQEFSKEMTKAGVIEEFVNDAVDSALDSEDIEEEIEEEVDKVLTAIAGETAAQLPEAVRKEKMKQPAHVQEVREEEAIAEGIDDEEELEEIRARLAKVRS >KGN65490 pep chromosome:ASM407v2:1:15718738:15722523:-1 gene:Csa_1G425940 transcript:KGN65490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIIGDDYLNPFGNEIFIGEELDSWGLEEPFSGDYDSSSPDGSAASKNVASERNRRRKLNERLFALRSVVPNISKMDKASIIKDAIDYIHDLHDQERRIQAEIYELESGKLKKITGYEFDQDQLPLLLRSKRKKTEQYFSYDSPVSRISPIEVLDLSVTYMGDRTIVVSMTCCKRADSMVKLCEVFESLNLKIITANITAVSGRLLKTVFIEAEQEERDCLKIKIETAIAGLNDPHSPMSI >KGN65055 pep chromosome:ASM407v2:1:11256687:11256926:1 gene:Csa_1G185110 transcript:KGN65055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEKEKEKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKEMKYNR >KGN66627 pep chromosome:ASM407v2:1:25755011:25757425:-1 gene:Csa_1G650630 transcript:KGN66627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLLLLQGDELRKLARIVRNQEVEILGNLNFPSEQEQAKYLRNVGDNYHATLKLLDDADGVKQMFKDDETKSSIAHESYSYVEKAVNISLQAVRNYALRTNYLSKIDAHSKDIFEALKTLDPENVTNVARLAKEANQYNESMQQVMLNHQSPASRNFSKWLKDSGTKFEDLITRYQNKRGFSGLFKNLADEEKLLVYNDIIVASGRGSVVADTLSTISGVAGILFLILAAGVIVWDIFTAEHVLQTATKDVMMTVATVGGAMVGQVVGAALPTLAGVEASALFLMATAVIGSIVGAFVVGAFVGWLVDHIFSSGGHYSHETDSHTCYVAPLPDGEAIARQIVHQ >KGN66471 pep chromosome:ASM407v2:1:23993048:23993209:-1 gene:Csa_1G612940 transcript:KGN66471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSGGKTADEGSKSQPITIHTLHSPELYPHGRPETIYIQWPSSLLSGQRQMKL >KGN64127 pep chromosome:ASM407v2:1:4280730:4287519:1 gene:Csa_1G042390 transcript:KGN64127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPSSDRINIAASTRRVVVDNRFPLRYYYRVADNVLKQADIFRAEKNIIDLYVMLMRFSSLVTETIPRHLEYGTTPKFQKNHFRKKLLDALSELEELKPAVQRKVDEINGKQKYQVNGRGNQQQNGSLEWHSGGKQYLPNNGMAKAGRSPAREIARQGSGPQQFSYSRPIHIPLPKEETLSRHSILGPNGLRGQWQPPTIDKGVQYPRILDVSPVDIPSLQQSIDKLTIEKDLGNLEKKGSDIESICTQNNDHENNATETDSLISFENVEMPAPIEIVRQPSPPPVLAEVQDLIPAVSPQVSEVECARDTSLSDGFVHPEPSMQLHISTTMMESFMRLAKSNTAKNLETCGVLAGSLKNRKFYITALIVPKQESTPNTCQATNEEEIFDVQDKRSLFPLGWIHTHPTQSCFMSSVDVHTHYSYQVMLPEAVAIVMAPKDSARTHGIFRLTTPGGMSVIRQCQQRGFHSHGQPPDGGPIYKTCTDIYMDPNLKFDVIDLR >KGN63524 pep chromosome:ASM407v2:1:552186:555947:1 gene:Csa_1G002930 transcript:KGN63524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALALPSLNSLTISCSNLKKGSFSNLPRSLNHSKFYEQSTLKFSPLHGTYRRRFNVVKMQSDSEDYELKQMRDMAAAKKRWDSLIREGKVKVLMPREAGYAVQLSDKTLVDVRPSIEHKKAWVKGSTWIPIFEVDDKLDAGTLSRKVTSFMMGGWWSGVPTVSYNSRFLSEVQEKFPKDADLILACQKGLRSLAACEILYNAGYRNLFWVQGGLDAAEEEDLVREGPQPLKFAGIGGLSEFLGWTDQQRIAGAKEGWGYRLVYSARLIAVFIVADALFIGAQQLGRYVQELRSH >KGN63459 pep chromosome:ASM407v2:1:172024:177042:-1 gene:Csa_1G001310 transcript:KGN63459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSKRKREEESMRMGMGMGGIVWQTPDNPPQRSDYIFHNGRRHVRPYYFEFIAHVKNRWAGKTIVDLFAEEFKGRPYEYYVDAVECGRIQVDGEMVPVSYIVKSSQKISHFLHRHEPPVMAWGVKVLKEEEEVLTVCKPASVPVHPCGQYRKNTVVGILEAEHGLAPLFPIHRLDRLVSGLLILARNASKADLFRQQIESGLVKKQYIARVVGVFPEEEQVVDANINYNPREGRSTAEMVDSSTDTRTKGKAAQTKFTRISSDGVHSIVSCEPITGRTHQIRVHLQYTGYPIANDALYLTKEASGRSVQKTTADRAAAISFCSPARDVQEDCANACKENWSEDFGIDPMCTNCPNLAPKGYEGEEEGLWLHCVQYSGPGWIYECPYPEWASLE >KGN64303 pep chromosome:ASM407v2:1:5246254:5247886:1 gene:Csa_1G046010 transcript:KGN64303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNTETARTVIGIIGNVISFGLFMSPIPTFVKIIKHKAVEDFKPDPYLATILNCAMWVFYGMPFVHPDSILVVTINGIGFFIEAVYVSIFFIYSPWAKKKKMMVILLIETIFFAVVVVITLLVFHTTTTRTYFVGILCIIFNIGMYTSPLTVMRLVIKTRSVKYMPFTLSLANFCNGIVWAIYAILKFDPNVLIPNSLGALSGLIQLILYATYYKTTNWDSDDSSRSKRPEVQMTDNV >KGN66133 pep chromosome:ASM407v2:1:21375557:21375928:1 gene:Csa_1G573030 transcript:KGN66133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLGAIFSWVFVAFLVLYAGSMNTNAQLCCNNHRELGSCVPGVDDDYDGKCWHHCIVGCERGGFCKKLWYGHVCHCYC >KGN64473 pep chromosome:ASM407v2:1:6342689:6346734:-1 gene:Csa_1G058080 transcript:KGN64473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMKKRMRKSTSFPSIIPNYNSLFEENEDDQDERSHTAWNRLAATMFKEYAHLETHAKDADEPSDHNLFQIGAATRIFLYQNALKGEWEYVELLLDESPNIVRSAITRNRETILHIAAGAKQIEFVVKLLNRMSDDDMILQNEFGNTALCFAAASGVVRIAELMVEKNPNLPLIRGFNNAVTPLFIAVSYKCTEMVSYLLSVTDLNQLGKQEQIELLIATIQSDFYDISLWILQRYPYLAIMRDTNEETALHVIARKPSAMDVTKQLSSWTLFLNSRIYRKPVTKTLAHELVVLLLTNVLRILPEKKMLQFIKHPTRLLNDAACTGNVEFLIVLIRKYPDIIWEDADDGKSIFHVAIENRLENVFNLINEIGRLNEFTAKYRTFKGRNYNILHLAGHLATPNHLNRVSGAALQMQREMLWFKEVEKIVLPSQLEAKSNVTSSQQLEAKFNYPNTPKLTPRQLFTQEHKDLRKDGEEWMKHTANSCMLVATLISTVVFAAAFTVPGGSNNNEGTPVFQQKFWFTVFAMSDAVALFSSSTSILMFMSILTSRYAEDDFMHSLPSRLLFGLATLFISIVCMVVAFSATFFILYHKANICIPTIVSAMAILPVICFCVLQCKLWADIFHNTYSSRFLFKPRRGKLF >KGN64795 pep chromosome:ASM407v2:1:8651592:8653753:1 gene:Csa_1G097730 transcript:KGN64795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAISSGIPQTLPFSSMLSRDQLFHLFNRFSQLTSLSDVKKRIADAVRDDNQEAVAVTTAIQEEIFSEMGVDAGFGISCLGKVSSYYENDHELMVHFYKFVAMEEMACDEAELEPDDFAEKMNNQQKLHDKQLEMLQHMRKLHSYDQSAILEKLHQQLESVEFDSSASILSSEEIQEIVQRTSPTSV >KGN65235 pep chromosome:ASM407v2:1:12996662:13001051:-1 gene:Csa_1G268290 transcript:KGN65235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKITALLVLKCHPEGSDSIILANASDVSHFGYFQRSSVKEFICFVGRTVAKRTPPDQRQSVQHEEYKVHSYNRNGLCALGFMDDHYPVRSAFSLLNQVIDEYQKNFGETWRNAQEDNSQPWPYLTEALTKFQDPAEADKLLKIQRELDETKIILHKTIDSVLARGERLDSLVEKSSDLSAASQMFYKQAKKTNQCCTIL >KGN64188 pep chromosome:ASM407v2:1:4594854:4596789:-1 gene:Csa_1G042940 transcript:KGN64188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLRAKCDYEDLRNARILENKERLASLGLKKAVTELRSIISSAKSARIHARKCHNTLSRISLPLRRSDRLKQISPVSTPVRCQISFRRSDRLKRKLVLDSNEVEGEVVNRPANAPLVKISNSEHWTSPDASARRCNSKGRGGVYDPVFGICCHFCRQKKLCGEEDCRRCGDFDMDEPCIGKTDCSVCHSTNGVFCRACLKVRYGEEMEEVIKNKKWMCPHCVEEKGINSYWICNSSLCLKKRKMAPTGLAIYRARKMGYESVAHLLMDELKRADLRNR >KGN66625 pep chromosome:ASM407v2:1:25724876:25725848:1 gene:Csa_1G650120 transcript:KGN66625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVDLKVCLHCKSCENSVRKTLCKIKGVKCVETNRALNKITVLGYMDRKIVIKEVRKTGRKAEVLSSSSYRHPSTPRLKSRRATTAFKCIMPSCFL >KGN66630 pep chromosome:ASM407v2:1:25777773:25780565:-1 gene:Csa_1G651640 transcript:KGN66630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRNEYLRFLSFSRRSISSGRLHDLPPQDPPWRHHTSRRYSSSFSIPPCFPSQRPAISLHTLPAANDSNSTEQLTAESAVERSEADKIVDGMDFGELCNEFECISSPLVESTARQLARDILQLRQGDRSLGNFAVFVKYKDPIRKFTGREKYKRQLWATSALDNPTTSVQEMVMMSTSILKIKWTIKGKPKSLVAAIGGDLIIKVDSQFTLNQISGQVIEHEESWDVSSSSAISQAFFWASRYLFASAEAGKDLGDSVSSLTGRVSTEKQNLEMFPDPSGDPTKT >KGN63470 pep chromosome:ASM407v2:1:223141:225480:-1 gene:Csa_1G001420 transcript:KGN63470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVKKLKTATTSNTNVDLKSIIHQHALFIDKLVELIPARFYLSNDEKEKPWFQGLSKNEKALAKKESKENLKKVKRDRMDPEKSDKSTVDLLKQNLEKNKLRIETGENKRDDKPMTSGWEDDQSVTYEELRQRLHQKIEEFRANRNSECSNREKKRKERNERRELIHKKQKRENGLEEKKSTGYPSKDEVEKNVVEASKELAFSHVKLGSEEEHGKKKKRKFSKLEELDRAKKLEEAKKDPEKGEIISKKHSWKAATNRAAGLKVHDDAKLLMKSLKKEKKQQLKNVQKWKERIETTHKMKAERQQKRSDNIAQKIQDKKMRKIAKREKKLMRPGFEGRKEGFINEGTA >KGN65125 pep chromosome:ASM407v2:1:12025637:12030462:1 gene:Csa_1G229500 transcript:KGN65125 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydrolase, hydrolyzing O-glycosyl compounds MTIKPAVRISDGKLIVKDRTILTGVPDNVIATSGSSSGPVEGVFLGAVFEEEQSRQVVSLGTLRDVRFMACFRFKLWWMAQKMGDKGKEIPLETQFLLLETKDGSHLESDDGNEENQIIYTVFLPLIEGSFRACLQGNGQDELELCLESGDVDTKASSFTHSLFIHAGTDPFDAISDAMKAVKLHLNTFRLRHEKKFPAIVDYFGWCTWDAFYHEVTQDGVEAGLESLTAGGVPPKFVIIDDGWQSVGGDPQEEKEEGDEKQPKQPPLLRLTAIRENSKFQKKEDPTEGIKNIVNIAKNKYGLKYVYVWHAITGYWGGVRTGVKDMEEYGSSMQYPKVSKGVFENEPIWKNDALALQGLGLMNPKNVYKFYNELHSYLASAGIDGVKVDAQSILETLGAGLGGRVELTRQYHQALDASVARNFPDNGIIACMSHHTDAVYCAKQTAVVRASDDFYPRDPVSHTIHIAAVAYNTVFLGEIMVPDWDMFHSLHSAAEYHASARAISGGPVYVSDAPGKHNFELLRKLVLPDGSVLRATLPGRPTRDCLFSDPARDGVSLLKIWNLNKFTGVIGIYNCQGAAWNSQERKNTFHDTNSDAITGYVKGRDVHAISKVAADPDWNGDCAFYRHLSGDLVTLPYNSALPVSLKVLEFDIFTISPIKVLAPGFSFAPIGLIDMYNSGGAIEGLKYEVKGGAKLVEVDGASEGIETASERVENRSSELVAIVHLEVKGCGRFGAYSSAKPRQCIVDSSVVEFGYDSESGLLTLGIDKLPEGDLKYHDVKIEL >KGN66384 pep chromosome:ASM407v2:1:23179803:23181100:1 gene:Csa_1G600240 transcript:KGN66384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKIRTHTHLYKLPITSNPRRFIRCNFISFDFSVFRRIHGLVFSLSRGLYNPNRWQFQMLLNMWKSACTKFYRQITELKAHKKKI >KGN63964 pep chromosome:ASM407v2:1:3393176:3394294:1 gene:Csa_1G031850 transcript:KGN63964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFIGYFFILLVSSVVSCTKFDELFQSNWAPDHLLIQGDYANLTLDSLSGCGYESKKKYLFGEATVQIKLVEGDSAGIVTAFYMSSEGPNHDELDFEFLGNVSGEPYLVQTNVYTNGTGNREQRHTLWFDPTADFHSYSLFWNRHSIVFLVDRIPIRVFENKEESGVSYPRNQAMGVRGSIWNADDWATQGGRVKTNWSDAPFVATFRGFEIKACELEPETEDAETKCGLTRQFWWDKPSLRGLSRHKAHQLKWVRARHLVYDYCKDTARFIELPKECV >KGN64184 pep chromosome:ASM407v2:1:4569526:4572775:1 gene:Csa_1G042910 transcript:KGN64184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDGRRGVNRSLETLIDIDKKAVAARAAAPLPQLQKTVPGQGLEFNNLSYSVIKKYKKDGVWIKRETYLLNDISGQALRGEIMAILGPSGAGKSTFLDALAGRMAKGSLEGSVRIDGKPVTASYMKMVSSYVMQDDQLFPMLTVFETFMFSAEVRLPSSISREEKKNRVYELIDQLGLQSAMHTYIGDEGRRGVSGGERRRVSIGIDIIHKPSLLFLDEPTSGLDSTSAFSVVEKVKEIARNGSIVLMTIHQPSYRIQLLLDRITVLARGRLIYVGGPLNLSAHLSGFGRPVPNGENNIEYLLDVIKEYDESTVGLEPLVVYQRHGIKPDQVARTPVPKTPRTPYKKTTGPGPGPGSGLGAKFLNLRSQAFSMTSGPNSSQFDSAYAYEDNEDDDFDRSLERKSTKTSVHNRSGVHNPRLASEFYKDLSAWVYNGVRGTPHRNPSWTPARTPGQTPAKTPMSGVRSGIVSSQIPSSHAKIPSVFSMSMDSHFPSFQDTDIEEVLDEPDHGPKYANPWLREVIVLSWRTALNVIRTPELFLSREIVLTVMALILSSMFKNLGHASFRDVNRLLNFYIFAVCLVFFSSNDAVPTFIQERFIFIRETSHNAYRASSYVISSLIVYLPFFAIQGFTFAVITQFWLHLKSNLLYFWITLFASLITTNAYVMLVSALVPSYITGYAIVIATTAIFFLTCGFFLKRTQIPVYWRWLHYISAIKYPFESLLINEFKGKRCYSGNPSDLSPGPMGDVRFSKLHNTSTDLKPDCLLIGEDVLFSMDINMESIWYDVAILLAWGFLYRLFFYVVLRFYSKNERK >KGN66370 pep chromosome:ASM407v2:1:23093822:23095017:-1 gene:Csa_1G600100 transcript:KGN66370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMNPSSSAKNFEEFEPRFDWVHHPDSHVLVVHLSDFKSNQLEVQVTSTGKLRVSGDRMLSNGTWLRFEKEIDIPADADTDKISAKLEQGILYVKQPKKPSATSSNIPPVQQSKPKVQSQHPPAATKPTADPPTVRPKAPNRRNEGLPTAFYPAIKTNLKNFPKSGDETGTPYPSIDAKKMHFHFPQTVKPNAPKSQNDRPQSQASGKQIPTPLKLQEATGAPALGETSSTGSGHPVEDLAKKDKTEEKGKSHTKLRDAQEKTREEGKEEEVGSKMAEKEKEEVEEEKMRRRRMKRRSEEMGEESGRLRRREGYKQVIDVVVKELRTNMVTLALGIAAFVVLYLNLSKNGHMEEEL >KGN65916 pep chromosome:ASM407v2:1:19676450:19685161:-1 gene:Csa_1G538140 transcript:KGN65916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSFVKPENALKRAEELINVGQKQDALQALHDLITSTRYRAWQKPLERIMFKYVELCVDMRKGRFAKDGLIQYRIVCQQVNVTSLEEVIKHFLHLSTEKAEQARSQAQALEEALDVDDLEADKRPEDLMLSYVSGEKGKDRSDRELVTPWFKFLWETYRTVLEILRNNSKLEALYAMTAHRAFQFCKVYKRTTEFRRLCEIIRNHLANLNKYRDQRDRPDLSAPESLQLYLDTRIEQLKVATELKLWQEAFRSVEDIHGLMCMVKKTPKPSLMVVYYVKLTEIFWISDNNLYHAHAWLKLFSIQKSFNKNLSQKDLQLIASSVILAALAVSPYDSKHGASHLELEHEKERNLRMANLIGFSLDSKLESRDVLSRANLLSELVSKGVLSCTIQEVKDLYHLLEHEFFPLDLATKLQPLLNKISKLGGKLSSASSVPEVQLSQYVPALEKLATLRLLQQVSKVYQTMKIESLSQMIPYFDFSAVEKISVDAVKQNFVAMKVDHSRNIVLFGNLGIESDGLRDHLTVLAESLNKARAMIYPPVGKASKTSDILPDLADIVDKEHKRLLARKSIIEKRKEELERQLLEMEREEESKRLKLLKITEEAEQKRLAAEYEQRKNQRLRREIEERELEEAQALLQEAEKRVGKKKGSRKPVLDSEKLSKQTLMQLALTEQLRERQEMEKKLQKLAKTMDYLERAKREEAAPLIEAEFQQRLLEERMIHERNQQLEVELSKHRHEGDLKEKNRMARMLESKKSFQERVISLRQDEFSRRRAEREEHIRQIIQARKAEREAQRKKIFYVRREEERIRILCEEEEARKREGDAPARPDVAPSSRPLEPVTAAPAAAAAAAAAAATSPAKYVPKFRRSEASNPDAPPPESGRWGSSRPDNRPSRPDSWRNDDSRSGFGSSRPSWSSSRVRSSTDR >KGN66461 pep chromosome:ASM407v2:1:23927183:23927785:1 gene:Csa_1G612850 transcript:KGN66461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIIIDFRDDYRDFAELCFKEFGDRVKHWITFNEQYIFASYGYATGLFAPGRGSSSKQYDYLCEDSEHKHVGLVSRRDFFWKQLECELEGHPGTEPYIVGHNQILAHAATVKLYKSKYEYQNGKIGVTLNTDWYVPNSNLVDDIRAASRALDFSLGW >KGN65773 pep chromosome:ASM407v2:1:18414243:18416728:-1 gene:Csa_1G527890 transcript:KGN65773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPNNMPSAVDKEQIFGMAEKEMEYRVELFNKLTQSCFNKCVDKRYKESELNMGENSCIDRCVSKYWHVTNLIGQLLGSGRPPM >KGN64316 pep chromosome:ASM407v2:1:5352375:5355835:1 gene:Csa_1G046130 transcript:KGN64316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSLSFKVVGGFNAGSRMNLPRRVPEMEVGAMRCFGGRRAFGRSCRIVACASERNIGDGGEGQSQSASTSRSRSFLSRSETYALLKQQLEVAAKSEDYEEAARIRDSLKLFEEEEPVLRLRRLMKEAISSERFEDAAKYRDELNEIAPHCLLKCASDATTLGIRVQVRSVYIEGRSQPSKNQYFFAYRIRITNNSNRPVQLLRRHWIITDANGKTENVWGVGVIGEQPVILPKTGFEYSSACPLTTANGRMEGDFEMKYIDRVGEQSFNVAIAPFSLSIIGDSTEAF >KGN66636 pep chromosome:ASM407v2:1:25847659:25848305:-1 gene:Csa_1G651700 transcript:KGN66636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGPSCSKFGWNEEYQCILTEQELFDSWVKDDLMSSIKIGIQEHAQRSLLMWVKMCQTILMTVFPLVDQVKEEIVHVEVKGNKAIVVWPKEERATKVELCVEVVKQLQDIPELQNRVRVKLM >KGN64014 pep chromosome:ASM407v2:1:3614647:3616350:1 gene:Csa_1G038320 transcript:KGN64014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLKSALSVSGLEDNLRCSISSGISGFSTSISASNLKGYKQPGFPVLKVDFLGRPLVISDQNGVTNSNPKTPNRFTINAQTSICISRARRWWEKTSKPNMVEIHSAQALVDALLDAGDRLAIIDFYSPGCGGCKALHPKICQLAELNPDAVFLKVNFEELKTMCQALHVRVLPFFRFYRGGEGRVCSFSCTNATIKKFKDALAKHGTDRCSMLPAKGLDESELEQLVMAGELSLSSPSPYLKEGALKDLVMRDMDSYGSWSSRSSNKMDLMEDDNLILKV >KGN65111 pep chromosome:ASM407v2:1:11826124:11832472:1 gene:Csa_1G225390 transcript:KGN65111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLLVMSSIMASITAVLLVQSSGVFASEFMLESGGSRGNQIPCSLPKGCERCYFMNGIPMDKILFCKLLQFLRGYPCIFENSRGSDDGRWDSSFSGIRVKVSRKLLKERKEEHVNLKDGQYLPQRAKEDPKSFSTPKNIGIAVTGTFVLCCGVLCPCFYRKRRRSVQKLTEEQQSVHLASTIEAMNSAHEKILASPLRVPPSPRYSPSPKLKRLGSVHLNMSQVAKATQEFSSALRIGEGGFGTVYKAQLDDGQIVAIKRAKKEQFENSRTDFGSEVELLSKIDHRSLVKLLGYVDHGNERIIITEYVGNGTLREHLDGVHGKVLDFNQRLEIAIDIAHGLTYLHLYAEKQIIHRDVKSTNILLTETMRAKVADFGFARLGTLGTEQTHISTQVKGTVGYLDPEYMKTYQLTTKSDVYSFGILLVEILTGRRPLEVKRPPEERVTIRWAFNKYSEDKILETLDPLMEETVDADIVVKMFELAIHCAAPVRADRPDMKLVGEQLWAIRADYISQKKDKARLP >KGN64018 pep chromosome:ASM407v2:1:3631109:3634759:1 gene:Csa_1G038360 transcript:KGN64018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVASLCQSTMKSQVSGFIGGLTFQKPPNSQPSSLTVSRRRFRNVVKASARVDKFSKSDIIVSPSILSANFSKLGEQVKAVELAGCDWIHVDVMDGRFVPNITIGPLVVDALRPVTDLPLDVHLMIVEPEQRVPDFIKAGADIVSVHCEQSSTIHLHRTVNQIKSLGAKAGVVLNPATSLSTIEYVLDVVDLVLIMSVNPGFGGQSFIESQVKKISDLRRLCAEKGVNPWIEVDGGVGPANAYKVIEAGANALVAGSAVFGAKDYAEAIKGIKTSKRPEAVPV >KGN66329 pep chromosome:ASM407v2:1:22763308:22773384:-1 gene:Csa_1G597760 transcript:KGN66329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEGLEMEASFDFESNDDFCNSILFRFSDSTNEEHQHLCAVIGAMAQELRDQSLPSTPLAYFGATCSSLDRISSEPEPSPHLLEALLTILSLLLPRISSPILNKKKDFLSYLLIRVLRVPSLTPGAATFGLKCVSHLVIVRNAVNWSDVSNLFGFILGFVIDSRPKVRRQSHICLRDVLLKLQGTPLLPSASEGVANVFEKSLLLAGGSTPKAPEGPKGAQEVLFILEALRECLPLMSMKYITNILKYYKTLLELHQPVVTRRITDSLNSLCLHPTVDVSAEVLLDLLCSMAVSFSTSETSADGLAFTARLLNVGMEKVYKINRQICVVKLPVTFNALKDIMLCDHEEAIRAAQDAMKNLICACINEDLIREGVTTGNMEARRPGPTVIEKLCAIIESLLDYHYTAVFDLAFQVVSAMFDKLGKYSSHFLKGALISLAKMQKLRDEDFPFRKELHECLGSALGAMGPQSFLELIPFNLDTENLSQINIWLLPILKQYTVGAHLSYFTKTILGMIGEIKQKSQKLEQQGMIFSLRSMDSLVYSFWSLLPSFCNYPLDTAESFKDLQKALCIALNEEPDVRGIICSSLQILIQQNKRVLEGKNDESDLEVDMARKLAMSRYTQKVAETNLTVLKSSSPELLSALSDIFLKSTKDDGYLQSTIGEISSISDKSVVSNLFGKTMRKLLKLTQQAAKVEPKVSNSMQIDDSTNANSSSFMRAQMYDLAVSFLPGLNSKEIDVLFVADCDGLIQKKAYKVLSAILKTSDEFLSTKFDELLTLMIEVLPLCHFSAKRHRLDCLYFLIVQVAKEDSGSRRHDIISSFLTEIILALKEVNKKTRNRAYDILVQIGHACLDDNKGGKMEYLYHLFNMVAGGLGGETPHMISAAMKGLARLAYEFSDLVSAACNLLPSTYLLLQRKNREIIKANLGFLKVLVAKSKAEVLHMHLTSLVESLLKWQDGPKNHFKAKVKQLLEMLVRKCGLDAIKGVMPEEHMKLLTNIRKIRERKEKKLKSEGPRSIASKATTSRMSKWNHTRIFSEVSDDETEDSGGEYLGESDSEYVDGRKSRPSKASSHLRSKTSKRPKSRSTMSLLERLPGQMEDEPLDLLDQQKTRHALQSSLHLKRKTVLSDGELKMDDEGRLIIEDDDEANFKRKASNPDLDERSEVRSHLSVGSSKKNQKRRRTSDSGWAYTGTEYASKKAGGDVKRKDKLEPYAYWPLDRKMMSRRPEHRAAARKGMVSVVNMTKKLEGKSASSILSSKGSKIKKGHNKGSKKKAK >KGN64870 pep chromosome:ASM407v2:1:9540100:9541226:1 gene:Csa_1G136770 transcript:KGN64870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRRSRRVVGSVVRTTTRVVEETVQVAVVEKESQDLTQEPDMAETPDGGGEMFRRTVPVEEIKAHPQQPISHPVSEDFELRRATDSTSQLTEDTAVTPEMEPKIRKGKKGRRRRKKKKEKKREIKEGKRRSKKSGGGGGREGYKRYVFKVLKQVHPEVGISGQAMLILNNFMNDMFQRLAEEATKLSKYTGGMTLSSREIQGAVRLVLPGELGKHAIAEGTKAVSNYVSYGNRATKSKLP >KGN66727 pep chromosome:ASM407v2:1:26951836:26965919:1 gene:Csa_1G665390 transcript:KGN66727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSVQGEVRHQQLLPGTGAVVGVSRAAFGSDRNTESFLGKGETPSLSLVTFESPDSHEVDEETYLALAHQKYKNGDYKQALEHSTLVYERNSLRTDNLLLMGAIYYQLSDFDMCIAKNEEALRIEPRFAECYGNMANAWKEKGNIDLAIRYYLHAIELRPNFCDAWSNLASAYMRKGRLGEAAQCCRQALALNPLLVDAHSNLGNLMKAQGMVQEAYSCYLEALRIQPTFAIAWSNLAGLFMESGDLNRALQYYKEAVKLKPQFPDAYLNLGNVYKALGMPQEAIVCYQRAIQMRPNYAIAYGNLASTYYEQSQLDMAILHYKQAITCDPRFLEAYNNLGNALKEFGRVEEAIQCYNQCLALQPSHPQALTNLGNIYMEWNMVPAAASYYKATLRVTTGLSAPFNNLAIIYKQQGNYADAISCYNEVLRIDPLAADGLVNRGNTYKEIGRVSEAIQDYIRAINIRPTMAEAHANLASAYKDSGLVEAAIKSYKQALHLRPEFPEATCNLLHTLQCVCNWEDRDKMFAEVEGIIKRQINMSVLPSVQPFHAIAYPIDPLLALEISRSYASHCLKIASRFSLPSFNHPSPVAIKRNGGFERLRIGYVSSDFGNHPLSHLMGSVFGMHNREHVEVFCYALSPNDNTEWRQRIQFEAEHFVDVSSMTSDVIAKMINEDKIQILINLNGYTKGARNEIFAMQPAPIQVSYMGFPGTTGATYIDYLVTDEFVSPLRYAHIYSEKIVHLPHCYFVNDYKQKNLDALDSNCQHKRSDYGLPEGKFIFACFNQLYKMDPEIFNTWCNILKRVPNSALWLLRFPAAGEMRLRAYAVAQGVQPEQIIFTDVAMKNEHIRRSALADLFLDTPLCNAHTTGTDILWAGLPMITLPLEKMATRVAGSLCLATGLGDEMIVSSMKEYEEKAVTLALNRPKLQALTNKLKAVRMTCPLFDTARWVRNLERSYFKMWNLHCSGQPPQHFKVTENNLEYPFDR >KGN63857 pep chromosome:ASM407v2:1:2584152:2587364:-1 gene:Csa_1G024890 transcript:KGN63857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEKGAGSSLNSPLLHISEDGLVSSNGVIRSSDRIRRRQQVAEELKRQLWLAGPLILVSLLQYSLQMISVMFIGHLGELPLSGASMATSFATVTGFSLLMGMASALDTFCGQSYGAKQYHMLGIHMQRAMVVLLLVSVPLAVIWANTGGILKLLGQDHEIAAEAGKYAICMIPTLFAYGLLQCLNRFLQTQSIVLPMVMCSATVVLLHILICWIFIYKVGLGIRGAAIASSISYSLNVLMTMLYVKFSSSCSKSWTGFSVKAFQNIPTYIRLAIPSACMVCLEMWSFELTVLLSGLLPNPKLETSVLSISLNTAAIIWNISFGMSGVGSTRVSNELGAGHPAAAKLAGCVVMTMVTIHGVVVGTFFILIRNVWGYAFSNEQEVVEYLAKMLPIVAVSEFFSGLQCVLSGIARGCGWQKIGAYVNLGSYYIAGVPFGILLAFVFHVGGQGLWFGIMSALIVQASSLGIITIRTNWDQEAKKATERVYDTTIPSNVVS >KGN63889 pep chromosome:ASM407v2:1:2806912:2808947:1 gene:Csa_1G025220 transcript:KGN63889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVEVKGALCLTLSLELASSVFSLISKSDKKVTTIQKKEISSPCSLKWKLKWFCKENLLNFIALAKAMHQESKGSSLPVRYVS >KGN64347 pep chromosome:ASM407v2:1:5508189:5511806:-1 gene:Csa_1G046910 transcript:KGN64347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQDLSPDQDLDESDPEFVEIDPTGRYGRYKEILGKGAFKRVYRAFDELEGIEVAWNQVKVTDLLRNSEDLERLYSEVHLLKTLKHKNIIKFYNSWVDTKNENINFITEIFTSGTLRQYRKKHKHVDVRALKKWSRQILEGLLYLHSHDPPVIHRDLKCDNIFVNGNQGEVKIGDLGLAAILQQARSAHSVIGTPEFMAPELYEEEYNELVDIYAFGMCLLELVTFEYPYIECANAAQIYKKVTSGIKPASLAKVTNLGVRAFIEKCIANVSDRLPAKDLLRDPFLQADDDHESISRHLRSKTQPTEKKEQIDFDRSVDYSPAETSRDFSMHGERKDVNKIFLKLRIADSMGNFRNIHFPFDIEADTAISVASEMVEELDLSDQDVSTISEMIETEIRSYIPDWISVEYSGDNVGADAPVSDSSPSETRNVASPLSIESGNLALEVMPSGRKYWSDSPKGIGGCSPIKPGPSNLSFASDQNVESSNSHIHGDNLDHAAIIKGLENELLSEGGDHDGQDESSIHTSSETHHSEENNYDESVDLKIVAEKLENLLTQQQKELDELRKKHKLDISELLTKLTPESYQKVIEMCQLQHPDFELVL >KGN64397 pep chromosome:ASM407v2:1:5871041:5874312:1 gene:Csa_1G050360 transcript:KGN64397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLGMYSESGLTKKGSSRGYDVPEGVDIRGRYDEEFAKILNKEALLFVADLQRTFRNHIKYSMECRREAKRRYNEGGLPGFDPATKYIRDSEWTCAPVPPAVADRRVEITGPVERKMIINALNSGAKVFMADFEDALSPNWENLMRGQINLKDAVDGTISFHDRVRNRVYKLNDQTAKLFVRPRGWHLPEAHIFIDGEPATGCLVDFGLYFFHNHANFRRSQGQGYGPFFYLPKMEHSREAKIWNSVFERAEKMAGIERGSIRATVLIETLPAVFQMNEILYELRDHSVGLNCGRWDYIFSYVKTFQAHPDRLLPDRVLVGMTQHFMRSYSDLLIRTCHRRGVHAMGGMAAQIPIRDDPKANEVALELVRKDKLREVKAGHDGTWAAHPGLIPACMEVFTNNMGNAPNQIRSMRRDDAANLTEEDLLQRPRGVRTMEGLRLNTRVGIQYLAAWLTGAGSVPLYNLMEDAATAEISRVQNWQWLKYGVELDGDGLGVRVNKELFGRVVEEEMERIEREVGKERFKKGMYKEACKMFTRQCTAPNLDDFLTLDAYNYIVIHHPRELSKL >KGN64512 pep chromosome:ASM407v2:1:6607220:6609932:-1 gene:Csa_1G062380 transcript:KGN64512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSLSSSSSSHFHHFAASSDPNVPPIGSLQLLHPPHVLSSGVNYSRIRGCSVVKPMNAQSKRNDSLVPFVANTLAPEVAERTEIVDYDGLAKDLENASPLEIMDQALGKFGDDIAIAFSGAEDVALIEYARLTGRPFRVFSLDTGRLNSETYQFFDAVEKQYGIHIEYMFPDAVEVQGLVRNKGLFSFYDDGHQECCRVRKVRPLRRALKGLRAWITGQRKDQSPGTRSEVPVVQVDPVFEGLDGGIGSLIKWNPVANVHGKDVWNFLRTMNVPVNSLHSQGYVSIGCEPCTRPVLPGQHEREGRWWWEDSKAKECGLHKGNLKQGDVPQLNGNGNETPSVNDIFISQNLVNLNRTGMENLTRLDNRKEPWLVVLYAPWCQFCQAMEGSYVELADKLAGSGVKVGKFRADGEQKQFAKQELELGSFPTILLFPKHSSKAIKYPSEKRDVESLTAFVNALR >KGN66282 pep chromosome:ASM407v2:1:22489665:22492907:1 gene:Csa_1G595860 transcript:KGN66282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYFVFYCLALCLVVFGVAKAFHSIWWKPISVERHLKRQGIRGNAYKPLVGDVKEYVRMMTEAWSTPMNLDHQIVQRVDPFTAVNAEKYGKISMCWFGTSPRLIVKDPEMMKEVLTNKVGSFEKPPLSPLILTLTRGLTTLKGNEWAKHKRIINPAFHLERLKGMMAAFNISCSNMIEEWKEKAANQDSVEVDVWPELQRLTGDVISRAAFGSNFEEGKKIFEHLKQLTLLTLEAMQTLYLPGFRFIPTAKNRKRERLNKEIKTMIRSLILRKESSMSNQEDNADDLLSLLLQSKKKENQQEGDGLTIDEVMEECKQFYLAGQETTASLLIWTVIVLAMHSDWQEKARQEVLQFCGKNEPTFESLNHFKIKNFCKSPSLVLCYVSL >KGN63764 pep chromosome:ASM407v2:1:2015307:2015519:1 gene:Csa_1G015585 transcript:KGN63764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPQEDVELVRLKEMSYLEEPGPTLRVSQRGKGVANTLARFACVIGKVGPTSTLWLKSGSASFRAVVYLT >KGN65021 pep chromosome:ASM407v2:1:10988330:10990968:1 gene:Csa_1G181340 transcript:KGN65021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNVNGREYVDESPSGSVEENEEEEEEIEEEEEEDELIVHEGVPMPDGAPILCHSQGVCSKLMRGQSPPQSPTTTRSPLIFTPQVPLTPLRKADEMLIHTHSQMQSSLAYEDTCNEQSIPTMITWSYGGKEVTIEGSWDCWRTRMPLQRSGKDFTLMKVLPAGVYQYRFLVDGQWRYAPELPWAQDDAGNAYNVLDLQDNVPEDIESISSFEPPQSPDSSYDNLLLGSDDYAKEPPLVPPHLQRTLLNSPSTYMEIPTCLSRPQHVVLNHLYMQRGKGGPSVVALGTTHRFLSKYVTVVLYKSFQR >KGN65578 pep chromosome:ASM407v2:1:16586613:16588546:1 gene:Csa_1G462030 transcript:KGN65578 gene_biotype:protein_coding transcript_biotype:protein_coding description:AWPM19-like protein MASTQMKSFATLLLLLNFCMYVIILGIGGWAMNKAIDHGFIIGPGLRLPAHFSPIYFPMGNAATGFFVLFALLAGVFGAASAISGLNHIRSWSVESLSAASSAAVFAWTLTILAMGFAWKEIALDFRNARLVTMEAFFIILSATQLVYIMAIHGDISTR >KGN64976 pep chromosome:ASM407v2:1:10571800:10577656:-1 gene:Csa_1G170000 transcript:KGN64976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFMKLGTRPDTFHTQEATRTVISETPSDLIIKINNITYLIHKFPVVAKCGLLQRLCSNHSSSNNNGDEKIRIELHEIPGGGDGFELCAKFCYGITINLSAHNFVSAFCAANFLGMNESTDEGNFISKLESFFISCILCGWKDSILTLCSSVGVSEWCHNLGITSKCIDSIVHKILTPPPKVTWSYTYTRLGYNKKNHRSVPKDWWTEDIADLDIDLFRCIMLAISSTCILPPPLIGEALHVYTCRWLSDVVRDTSTSDNVEKNRQIIETIISLIPPDRESVSVSFSLRLHSLANVLGASQVTKAEIIRRCSLLLEEATVKDLLFSNYVPKVDDNGQCLKVDDDVDLVVGVLESYLMMWRRNNNSDDDHVENEQLLRSIRKVGKLIDCYLQVVGRDRKMSLAKMICVAEALPDLARPSHDHIYKAINIFLKEHPDISKAEKKRLCRVLNCQKLSPELRSHAVKNERLPLRTVVQVLFFEQEMKGSKTSRQGVVEGTLEEDEWQDEMRSEPKERPGSGDPMRPWTQQKLRRSESTRSSRPNGKEDQKMKASELIKKSIVESRRKVVVHKGEERGKS >KGN64441 pep chromosome:ASM407v2:1:6148539:6153887:1 gene:Csa_1G051780 transcript:KGN64441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPAEDIDGITSKEEQEEALVALIDHRCHEVQNLKHRVSYYTSQLEEAQKRLQDTESKLARLRRQSNAVSSKDSLRSRAVSVKVEQTVNEGSRPQPVSKPELVIPAVVPKTSQNSALAGNGAKASNSSRAQSSPSHIKNVVKVEGDKNIGNTSLRETSNTPDRGTKRKLEQQFKEHKELIPLIRSSSSPSQIRCVGSNHISSQHKRKLRSLISCPVNEQLFVTSALDGVVNLWQLQARGSSASLLSSADCVSPKQRRWPEDMAWHPEGNRVFLVYSADGGDSQVSIMNLNKSEGKARVTFLEDKPHVKGIINSIIFLPWDSTSFITGGSDHAVIQWKEGDGDKRWKPKALHRSLHSSAVMGVAGMQQKPIVLSAGADKRILGFDVNVGRTEFRHQIESKCMSILPNPCDFNLFMVQTGTPGKQLRLYDIRLRQTELHAFGWEQKSSESQSALINQAWSPDGLILTSGSADPVIHLFDIRYNLHKPSQSISAHHKRVFKAVWLESLPLLISISSDLNIGLHKTA >KGN63981 pep chromosome:ASM407v2:1:3485170:3486106:-1 gene:Csa_1G033000 transcript:KGN63981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQINALKGYGKLTHLNLDLEHQIPPPPSKPNSKFPTNHNFSLLLRFAAAISALLLTALIISLIVGVYIHNSTPDNKSSSNNAAHTISIVCNVTRYPNSCFTSIFSLNSSPQPDPELILNLSLQVSLNELSNMSRWLKSVGGEGDGGAAAALKDCQSQIEDAISQVNDSVAEMRGGSGEKTLTESKIGNIQTWMSSAMTNEESCLEGVEEMDATSFEEVKRRMKKSIEYVSNSLAIVANIHVILDKFNMPLH >KGN63685 pep chromosome:ASM407v2:1:1534932:1546189:1 gene:Csa_1G009890 transcript:KGN63685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNKPPSEPAMPTSSMASTIKAYSVPLILFSLAVFYHLVVIPASFPTSHYDVLGIKRYSSVDEVKEAYEKLSNKWESGGEISEAVDFVKIQYAYELLKNNLWKRDYDLFGFDEQRGVLEKAKVQYAGKKISEISLPLLDEVALNTEDRSLNFIRSNDVQSMFNDDKPSLIMLYSFGSKLCVRFSDVWKQIVALLDGVANTAVVELGEAQLAAYLAEKKPTGQPFFRNGLPSFVAFSPGCKSTDCINRFNGKLSFDDITDWFATSILYLPRILYYSKETLGPKFLAKSSPHKVKVIIFSETGERAAPFIRQTAKNNWDSVSFALVLWREEESSIWLDAFGVELAPAMVFLKDPGMKPIVYHGSVNRSSFVQLIEQNKQHELPQLRSRTSMELGCDPHGYSRAGSDTLTWYCAIVAGRLGAELNKMRETMRRVKETLTSDSEAYGADEDPKIFPAVVALQSQRLSFTWLDGEAQKKYCFFYISSESSYETCGPMRDLSDVPRLFIVRYKRDATKAKEMKPKSMFDTSSDDPDLAAQLVALYNGSSEISEIAQWVSKIIEDGDSKDLPFYRVKSPELVHEDPEPMSFGSAGSSFITNVLKRIEHIKVGIYDRLEDPRIGPVLFLASLLSFGTIWLRKSQPTPPSRPAAQPEPPSESAQPSQPITKEGSKPRRRNRSRTASNADVPPSITDLEPPNAYQMHLSGSDSE >KGN66322 pep chromosome:ASM407v2:1:22692966:22694494:1 gene:Csa_1G597690 transcript:KGN66322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSSGFSQISSHRSISNSPDNLAFLPLHPMLLSPTDLNLGFSPNLRRTNGSVPEFFNSPEKMADRKSLDLFPQEAGFGNSNDVDSRVYKSVTAAPQTSQMTIFYAGQVFVFNNIPADRVGDVMFLASQESSRLNIPTVAARQPPILVGTPADSLSSTSPVPTRNQTSPPPPPPPSVPGALPMARKASIQRFLEKRKDRLTPRTPYQSSSPVTSKKTGENSWLGLAVQSQK >KGN63672 pep chromosome:ASM407v2:1:1476440:1479011:-1 gene:Csa_1G009760 transcript:KGN63672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATSVTSLSIGATASLNTKLNLFSQSKSASPRINSLKLQSFCGLKADSSLRCDSESSFLGKQSSAALWRHLAPSAQRENLNLCKSLQPQASYKVAVLGAAGGIGQPLALLIKMSPLVATLNLYDIANVKGVAADISHCNTPSKVQDFTGPSELANALKGVDVVVIPAGVPRKPGMTRDDLFNINAGIVKSLVEAVADNCPDAFIHIISNPVNSTVPIAAEVLKQKDEQIQELTIRTQNGGTEVVEAKAGAGSATLSMAYAAARFVESSLRALDGDSDVFECTFVESDLTELPFFASRVKLGKKGVEAFVTSDLHGLSEYEQKALEALKPELKASIEKGIAFAHKQAVAA >KGN66567 pep chromosome:ASM407v2:1:25011042:25017354:1 gene:Csa_1G629730 transcript:KGN66567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPTMDETKKSEAHLTSAAAFVEGGIQDACDDACSICLENFCDSDPSTMTSCKHEFHLQCVLEWCQRSSQCPMCWQSISLKDPTSQELLEAVEQERSFRLNPARNSTIFRHPTLGDFELQHLPAGANDADLEERILQHLAAAAAMGQARHSARRESHRNRSGIHGRPQFLVFSSHPNSSSTSPSSGDDREGEAAPRIMVASISPPPPAGEEAPQSNASVSPVQTDQSSPSAPQSSSASASQLGSPSSERRSSSQPVPNNQDRAGPSELQSFSDSLKSRFNAMSMRYKDSITKSTKGWKEKLFSRNTSMSDIGSEVRREVNAGIATVSRMMERLETRDARKSSSPESSSVGGNSPVSESNNRSMPENGGNSPSTDTNKEGPRSAESSSS >KGN64324 pep chromosome:ASM407v2:1:5390525:5394038:-1 gene:Csa_1G046210 transcript:KGN64324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTYTLRFILFFFILFFFILSVLLAMARGQAPTNSDWLLKIKSELVDPVGVLENWSPSVHVCSWHGISCSNDETQIVSLNLSQSRLSGSMWSELWHVTSLEVLDLSSNSLSGSIPSELGQLYNLRVLILHSNFLSGKLPAEIGLLKNLQALRIGNNLLSGEITPFIGNLTNLTVLGLGYCEFNGSIPVEIGNLKHLISLNLQQNRLSGSIPDTIRGNEELEDLLASNNMFDGNIPDSLGSIKSLRVLNLANNSLSGSIPVAFSGLSNLVYLNLLGNRLSGEIPPEINQLVLLEEVDLSRNNLSGTISLLNAQLQNLTTLVLSDNALTGNIPNSFCFRTSNLQQLFLARNKLSGKFPQELLNCSSLQQLDLSGNRLEGDLPPGLDDLEHLTVLLLNNNSFTGFIPPQIGNMSNLEDLYLFDNKLTGTIPKEIGKLKKLSFIFLYDNQMTGSIPNELTNCSNLMEIDFFGNHFIGPIPENIGSLKNLIVLHLRQNFLWGPIPASLGYCKSLQLLALADNNLSGSLPSTLGLLSELSTITLYNNSLEGPLPVSFFILKRLKIINFSNNKFNGTILPLCGLNSLTALDLTNNSFSGHIPSRLINSRNLRRLRLAHNRLTGYIPSEFGQLKELNFLDLSHNNLTGEMSPQLFNCTKLEHFLLNDNRLTGTITPLIGNLQAVGELDFSSNNLYGRIPAEIGSCSKLLKLSLHNNNLSGMIPLEIGNFTFLNVLNLERNNLSGSIPSTIEKCSKLYELKLSENFLTGEIPQELGELSDLQVALDLSKNLISGKIPSSIGNLMKLERLDLSSNHLIGEIPTSLEQLTSIHILNLSDNQLQGSIPQLFSDFPLTSFKGNDELCGRPLSTCSKSASQETSRLSKAAVIGIIVAIVFTSMVICLIMLYIMLRIWCNWRKVSVISSSDGSGNEHGREEVKWVYRNDKNVGQYWKVDSVTF >KGN63927 pep chromosome:ASM407v2:1:3097057:3100574:1 gene:Csa_1G028050 transcript:KGN63927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEVQNDANDQVADIAFDPTKKKKKKKVVLQDRTDEIVDKLAEKTESLSVSDGLETTTFSGLKKKKKKQMNM >KGN65743 pep chromosome:ASM407v2:1:18259506:18261086:-1 gene:Csa_1G524650 transcript:KGN65743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQLFFLLPSSPMAASSVFLHPSTNVRGLSLVDKHKLPFKHFDKQVKSPLMLLNSPFHLRLTPLITRPNGFTNSRSTSLFSRTTRTLLKCSSSDGVSSESQNPFLKPFEFVSFDSLQGTLSRITPFDVVKWSGVLSISIAATKWTLNLFFNPFFWMYFSWTWLFWPWVAAITMAAYGLYCLRKHLNGEANIFEQLAVVTSLFTWLTLVPPAHFNGFLEGWPLVFFFVYHYFFFFNVSIRKRLYGDYFVRPHDPKWDVNMPNLSRLLFFVGVMAGHWFAAFEGPELHQIPGGWNNVGIWILIVLTLLTHYNSSLYLANYSEKVVVPTAVVQFGPYRWVRHPIYASTMLLLATYCAALRAPVSLLFTVAVCSLYYGQKAKAEEALMVETFGEGYVEYANKVRYKFIPFVY >KGN66131 pep chromosome:ASM407v2:1:21365859:21368737:-1 gene:Csa_1G573010 transcript:KGN66131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGREVAESCVDSLLTEMVSTYCHRFYANKPELAARRIEAIGYQVGHQLSERYTMERPRFTDHLEAIKFICKDFWSELFKKQIDNLKTNHRGTFVLQDNRFRWLTRMSVDPQNESQDNSADGENKVAQATSMHLYFPCGIIRGALSNLGIPCAVSADISNLPACSFVVRIKA >KGN63759 pep chromosome:ASM407v2:1:1979786:1982619:1 gene:Csa_1G015050 transcript:KGN63759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSASAPASPPSASLSQNSATQPSPRKNLAEAANNNDGLFNAEEKKPDLSDQFEDFDNFTSIEKYQKYEADYAQRLMAKYFSKKNLYGGNIFEENTTIDNEIIKSSKWPCTRSLADPLQGFEDHRSSCSTSAMESHSAISNGKHAVKKNG >KGN66397 pep chromosome:ASM407v2:1:23273811:23279861:-1 gene:Csa_1G600870 transcript:KGN66397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMLQPQIILLKEGTDTSQGKAQLVSNINACTAVADVVRTTLGPRGMDKLIHDDKGNVTISNDGATIMKLLDIVHPAAKILVDIAKSQDSEVGDGTTTVVLLAAEFLKEAKPFIEDGVHSQNLIRSYRTASYLAIEKVKELAISIEGKSLEEKKSLLAKCAATTLSSKLIGGEKDFFASMVVDSVIAIGNEDRLNMIGIKKVPGGTMRDSFLVNGVAFKKTFSYAGFEQQPKKFSNPKILLLNIELELKSEKENAEIRLSDPSQYQSIVDAEWNIIYEKLDKCVESGAKVVLSRLAIGDLGTQYFADRDIFCAGRVAEEDLQRVAAATGGTIQTSVNNVIDEVLGTCEVFEEKQVGNERYNIFSGCPSGRTATIVLRGGADQFIEEAERSLHDAIMIVRRALKNSTVVAGGGAIDMEISRYLRQHARTIAGKSQLFINSYAKALEVIPRQLCDNAGFDATDVLNKLRQKHAHPSGEGAPYGVDINTGGVADSFANFVWEPAVVKINAINAATEAACLILSVDETVKNPKSESAQGEAAASAMGGRRGGAAFRGRGRGMRRR >KGN64885 pep chromosome:ASM407v2:1:9696850:9700298:-1 gene:Csa_1G145870 transcript:KGN64885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGDKKQKHHDRHSRRRDFKFTSEGEVMEDGDFNSHPLPQEEEQEVEVEEEEQETSNEASSMDIPSKFQLYQQSVQSPKGDISYLQKFFLMYVGGRQPIHFQEDFCGTALLSLEWLRSDSRRTAVGLDLDLEALVWCMENNVNRIGADGFSRISLFHGNVLHPSEARLVNPEPEVDLIDNLTMEDSKDNPEASASKSIVKEESASFNDNKYLKRNITLAARDIVCAFNYSCCCLHSRADLVMYFKHARATLSKKGGIFVMDLYGGTSSEQKLKLQRKFANFKYIWEQAEFDVIGRKTRISLHFDLKKQQKKLRHAFSYSWRLWSLPEIKDCLEEAGFRSVHFWMRQMPDTEEIRSIEGFGAGSEVKYEEVETFQQKESWNAYIVGVS >KGN63526 pep chromosome:ASM407v2:1:565892:566441:1 gene:Csa_1G002950 transcript:KGN63526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPPHTVAVTPRQRVLHSFSSVVITTFLFKSFSMYALICVALRCDYPLALEDESSAPPQPPPFSSDTYSKLHRASFHTCASHCFSWRAEYGIIPIPGAKLEGAMWAVVGELPLDEITGVPFLGFGDQS >KGN66203 pep chromosome:ASM407v2:1:21879405:21880553:-1 gene:Csa_1G575190 transcript:KGN66203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLISERHRPGPVVHITPLTIPEEPTATETRFPYPLSGISVSSDGNANGGDYSPYYLQEALAALQRYTNESEIESDSELSGREADVPLNAYSVLPQQSPRSANSPDSYDESPLRQSVDGSCSKTLPFLSSPVSVSPTATPVDSPPLSPMTRSLGRSLGSTSINEMVASLRNLHLSKVKSLPSSWNVQIGSPGYPSRVCHGFCSLPTTPTRAPTGHGVGLLDFTEHGFEEEPVMERVESGRELRAKMLEKLSKENSLDWAESNPSTCTPDVEWVSELVK >KGN65719 pep chromosome:ASM407v2:1:18067916:18068359:1 gene:Csa_1G515480 transcript:KGN65719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPPYIAASPMPTNGFEAIFDDFELLAAICFAIIVYFLSFTFYFKTGNNESEFDTGTVEDRLPVFREREIVQIETMVFSYYREAKGSENDDDYECVICLNKFEDGQKCQWMKKCGHIFHCSCIDRWLRTERECPLCRSCVCVVVNP >KGN66530 pep chromosome:ASM407v2:1:24608187:24615485:1 gene:Csa_1G627400 transcript:KGN66530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSEDNLTGVILALLSSGFIGASFIIKKKGLRRAAAASGVRAGVGGYTYLLEPLWWIGMFIMIVGEAANFVAYAFAPAVLVTPLGALSIIVSAVLAHFILKERLHKLGVLGCVMCIAGSVIIVVHAPRELSITSVQEIWTMATQPAFLLYMGSVVVLVFILVIHFAPRCGHSNVLVFTGICSLMGSLSVMSVKALGTSLKLTFEGKNQLIFPETWLFMLVVVTCVITQMNYLNKALDTFNTAIVSPIYYVMFTTLTILASVIMFKDWDGQSGATIISEICGFVVVLSGTILLQVAKDFERSSSFRANHTPGSPSLSTRLCPGNGELAKYNDEEVSSEEICLRIQESY >KGN66555 pep chromosome:ASM407v2:1:24921221:24924275:-1 gene:Csa_1G629120 transcript:KGN66555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEQRIYGDLMTFNLLIGGYLTAGMINKAMEMWKHVLNLGFVPNSNTYSVMIKGLCGLGMISIAKGLFGRMRIHGPNPTVIDYNTLISSMCKEGSIEQAKRLFQEMSNVNLEPDIITFNTIINGSLKAGDLSYFQELLMEMVGKGLAPDAVTFSTLINRLSKSGLMSEAKIVFEKLIACGLTPDVFVYDSLLKAYRLNNETAEIIGLLNDMAKKSVVLDQELTCTILTCLCQSSDHAAILDSLPNFSQPTSDGKQITCSELLLRLHKSHPELKLPVEN >KGN64053 pep chromosome:ASM407v2:1:3829080:3831035:-1 gene:Csa_1G039190 transcript:KGN64053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLSKVGKIFSQSSASGIRSHFQPSNLSVFQTLRFMSSSKVFVGGLSYGTDDQRLTEAFTKYGEVVEARVIMDRDTGRSRGFGFVTFGASEDASSAIQALDGQDLDGRRIRCSYATDRASGGGGGGGGYGGGGGYGGGGYGGGRGGYGGGGYGGGGYGGGGGGDDFADRT >KGN64858 pep chromosome:ASM407v2:1:9451991:9452806:1 gene:Csa_1G132680 transcript:KGN64858 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2B MAPKAEKKPAEKKPAAEEKKAEKTPAEKKPRAEKKLPKDASDKKKKRAKKSIETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQESSKLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >KGN63427 pep chromosome:ASM407v2:1:5474:14089:-1 gene:Csa_1G000020 transcript:KGN63427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTAGATTGWYRGRVKAVPSGDCLVITAMASSKPGPPPEKTITLSSLIAPRLARRGGVDEPFAWDSREYLRKLCIGKEVAFRVDYTVPSIGREFGSVFLCDKNIAALVVSEGWAKVREQGQQKGEVSPYLAELLRLEDQAKQQGLGRWSKVPGASEASIRNLPPSAIGDPSNLDAMGLLAVNKGKPMEGIVEQVRDGSTVRVYLLPEFQFVQVFVAGIQAPSMGRRAPPDTVAETDNSSNDHNGEVSAEPRATLTSAQRLAVSSTSSGEVAPETFGVEAKHFTEIRVLNRDVRIVLEGVDKFSNLIGSVYYSDGETAKDLAMELIENGLAKYVEWSANMMEEDAKRRLKAAELHAKKTRLRLWANYVPPPTNSKAIHDQNFMGKVVEVVSGDCIIVADDSVPYGSPLAERRVNLSSIRCPKMGNPRRDEKPAPYAREAKEFLRTRLIGRQVKVQMEYSRKVSMVDGPATAPPDSRVMDFGSVFLLSSTKGEGEDNSAKNSSEQQAGVNVGELVVSRGFGTVIRHRDFEERSNYYDALLAAESRAIAGKKGIHSAKDPPVMHVTDLLTAPAKKSRDFLPFLHRSGRRIPAVVEYVLSGHRFKLLIPKETCSIAFAFSGVRCPGRDEPYSDEAISLMRRKTMQRDVEIEVETVDRTGTFLGSLWEARTNMAVVLVEAGLAKIQTSFSSDRIPDAHLLEQAERSAKRQKLKIWENYVEGEEVSNGAAVESKQKEVLKVIVTEVLGGGKFYVQTIGDQKATSLQQQLAALNLQEVPLIGAFNPKKGDIVLAQFSADNSWNRAMIINTPRGAVESLKDMFEVFYIDFGNQEAVPYSRLRPVDPSMSSASGLAQLCSLAHIKVPSLDEDFGQEAAEYLSDYMLNGATEFMATIEEKDTSGGKVKGQGTGNILIVTLVAVGSELSLNALMLQEGLARLEKRKKWESKERQVAFGSLEVYQEEARTDRRGMWQYGDIQSDEEDAGPVRKAGGRR >KGN64103 pep chromosome:ASM407v2:1:4089239:4092312:1 gene:Csa_1G042150 transcript:KGN64103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLRKIVVIVEDVEVARTALKWALNNLMRYGDLITLLHVFPSTRSKSSSKVRNRRLNGYQLALTFRDLCNTFPNTKVEIVVTEGDQEGRKITAIVREIGASVLVVGLHSHSFLYKMAMEEEDLTRIFNCKVLAIKQATNTAEESQKTKSVEVIAATTNGSTNMEFSQIEIAKLQAPEVPIQKIPYRICPDPYAIIWRSKKSTRRWTL >KGN65858 pep chromosome:ASM407v2:1:19026275:19028170:-1 gene:Csa_1G533650 transcript:KGN65858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPNCSNLWCVLVFASLVTLSSGSLSAKFYASTCPKLLSIVRSEVVKAVDKEYRMGASLLRLHFHDCFGCDASVLLDDTSNFTGEKTAIPNKDSLRGFEVIDSIKTLVEAACPSVVSCADILSLAARDSVIALGGPSWVVGLGRRDSTTASFDNANNDLPSPFLDLPDLISAFSNKGFDTKELVALSGSHTIGQARCSMFRVRAHNETTTIDPDFAASLRTNCPFSGDDQNLSPLDLNTQSLFDNAYFKNLVQNKGLLHSDQALFTNSSSPSSADSHVNSYISDPKAFFSDFAAAMVKMSNLSPLTGSDGQIRSDCRKIN >KGN65094 pep chromosome:ASM407v2:1:11623409:11623810:1 gene:Csa_1G207810 transcript:KGN65094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIHRNKEEEHCLKTQPPRNENDEEIDTYPPLLLLKRKPTTTQVVVDLTKEYQLNKEPSQKKRRKLTTKWMLVADSPIESPHQDGSVPSTSLPTEQLSSLPREDNEDPFLLPIEDVFYPPPPIDPFSPKSPIS >KGN64702 pep chromosome:ASM407v2:1:7755887:7759819:1 gene:Csa_1G075560 transcript:KGN64702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFNINRFNPQSAAEKAVSVIGFGYDLCNDLRLSSCKPGPSGSKLIDIDFTRSRDLVLPAGVVVPNVPTSINCDKGERTRFRSDVISFNQMSELCNQQLSLSGKIPSGFFNTMFGLKGCWQNDAGSTRSLAFDGCFITLYNIELEKSHITLSEQVKREVPSSWDPAALAEFIEKYGTHVVVGVKMGGKDVIFMRQLRESNVEQTDVQKKLKKLADDKFSEDANGGLTSNPVAAKIKDEYSLPWELRNAFAASIKPPVINHSKGDELTAIYIRRGGVDVGQSHNQWLSTISQSPNVISMSFVPITSLMNGVRGNGFLSHAVNLYLRYKPPIEELQQFLEFQLPRQWAPVYADLPLAPRHRKQASPSLKFTLMGPKLYVNTTKVDSNNLPVTGVRLFLEGKKSDHLAIHLQHLSTLPKTLQLSDDLSYRPIDEPEEKGYFEPVKWSIFSHVCTAPVEYNGSLIDDDSASIVTKAWFEVKGIGMRKVLFLRLGFSMVASAGIRRSDWEGPSTTSRKSGLISTFISTRFSAGLHQPEEQPKIELNSAVYPDGPPLTSRAMKMLNVIDTKEMVRGPENSPGYWVVTGAKLCVEGGRISIKVKYSLLTILSEDSMI >KGN64202 pep chromosome:ASM407v2:1:4704125:4707856:1 gene:Csa_1G043055 transcript:KGN64202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFFCRGTCFNLHFSSLSKSSLVLTHFGLLFFIFDDGLLMPRTCLNLYCSLIAFFDCEFRSEGKNSLVFKKRDEAPMDHTKLQKMAGAVRTGGKGSVRRKKKAVHKTTTTDDKRLQSTLKRIGVNAIPAIEEVNIFKDDVVIQFTNPKVQASIAANTWVVSGSPQTKKLQDILPGIINQLGPDNLDNLRKLAEQFQKQAPGVGAGAAAAAANGEDDDDDVPDLVEGQTFEAAAEEKQAS >KGN66801 pep chromosome:ASM407v2:1:27824786:27827114:1 gene:Csa_1G690370 transcript:KGN66801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEGGSVSEQLHQFLTPRTTPPPPNSNSLPLIPLNFALHSPNFNFHPFDSYNATSTAHHHHQIHLPHHLLHHQSPNPHGDDKNDVKTTTTAAGSSLQVGVDLEVGRENSRSILMEDHHIIHHDQWSNDELLALLRIRSNIENCFPESTWEHVSRKLGEVGFRRTADKCKEKFEEESRYFNHINYNKNCRFLTHELNYNHHPNQDQDQDHLLLIHEGNGKPDDGGPTLVVVPEEGEEENQDKDGELHDDDEEEDLRNDEMRPGRNEEERNESSRSSSCQKSKKKRKMMRQKEFELLKGYCEEIVKKMMIQQEEIHSKLLQDMLKKEEEKVAKEEYWKKEQMERLHKELEVMAHEQAIAGDRQATIIEILNQITNSTTLISSSHESKKDLQNLLQSLNNYNNNNNIPNSTPSSSSLIQCQTSSSPNKKPPHENSNSFTSQNDPIKNPKNNPCLSTQILAPQDPNSFINNHPNPKSKEKLDHESEDLGKRWPRDEVLALVNVRCKMYNNTTTTNNQDESQSGGASLKAPLWERISQGMLQLGYKRSAKRCKEKWENINKYFRKTKDVNKKRSLDSRTCPYFHQLSTLYNQGGGNNNPLENCPNVSSENHSDHSENHLATSS >KGN65589 pep chromosome:ASM407v2:1:16699906:16703401:1 gene:Csa_1G467090 transcript:KGN65589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKICIITFSALYKPTFISTFSPCPINKEINKHTYENFSLVLKMEGRSHRAAIWAAVVAVVMAAAAWSGAGAQQVPCYFIFGDSLVDNGNNNQLQSLARADYLPYGIDFGGPTGRFSNGKTTVDVIAELLGFDDYIPPYATARGRDILGGVNYASAAAGIREETGRQLGGRISFSGQVENYQNTVSQVVELLGDEDSAAEYLSKCIYSIGLGSNDYLNNYFMPQFYSTGNQYTPQQYSENLIQQYAEQLRLLYNYGARKFVLFGIGQIGCSPNELAQNSPDGRTCVQRINSANQIFNAGLKSLVDQFNNNQADAKFIFIDSYGIFQDVIDNPSAFGFRVVNAGCCGVGRNNGQITCLPFQTPCSNRDEYLFWDAFHPTEAGNAVIGRRAYSAQQQTDAYPVDIRRLAQL >KGN64784 pep chromosome:ASM407v2:1:8510684:8512438:1 gene:Csa_1G097645 transcript:KGN64784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNIGSENWRFFKQVLEGRWFSIFAAFLIMIGCGSTYLFGTYSKVLKTKFDYSQTQLSSLSFAKDLGSNLGVFAGLFAEVAPPWMLFLVGLTLNFFSYFMIWLSLSEYVPKPNLWLMFIYVYISANAQNFANTAVLVTSVRNFPDQRGVVIGLLKGFVGLGGAILTQVYFSMYGHDDPISLVLLLSWLPSLVCFLFFLTFRTIKAPKHPQELKIFFHLLYVSLTMAVFILFLTITQKNSHFTHAKYVGGVSVIIVLLCLPLLIAIKEELFLFKLNKQTKDPSVVVSIPVLKLEEVAETSSPPSFSNNVSNKPQRGDDFGILQALFSKDMALIFIATVSACGSSVAAIDNLGQIAESLNYPSKSINVFVSWISIFNFFGRVCSGFISETLMTKYKLPRPLMFGLTQIITCIGLVAIAFPFKNSIYAASLIVGFGFGAQTPLLFALISDLFGLKHYSTLLNCGQLAVPFGSYIMNIHVVGKLYDREATKNGNVKTGKGLTCTGIHCFSKSFTILVIATLFGAMASFVLAYRTREFYKGDIYKRYRDDQMWTTTQSDVELFSSSDNKKMKNCDEEQDRDESKFVSK >KGN64007 pep chromosome:ASM407v2:1:3594344:3595353:1 gene:Csa_1G033260 transcript:KGN64007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPIDVVDYTNPISVFHILFLFFLNFILGRFFPGLFNIFILSAAFIFYLWNSWVAQRSIITPSVRRNDHEEHCCCTKIVGMLVNDKRVEQAKLSIEDVKTMMEVLDHEHNNNNRDSDSDNLELEGMFEEEVSLGEVKEAFDLFDENGDGFIDAEDLKKVLCGLGLITVGSDIDECKRMLSGFDNDGDGRLDFEEFAKLVEQSFC >KGN66824 pep chromosome:ASM407v2:1:28065484:28066524:-1 gene:Csa_1G699040 transcript:KGN66824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGPKSSSHVPKFGNWDSDDVPYTIYFENARELQAAGITFDPNDPDTYPPTAVANQNSSPANHHRYHDGDDSHQRREIVNSGSEKLSSERSDSDYALLKAKQSGRKKKNSNGAEGMSRFSPATVDGKGNRNPRVRRNNEGEMMASVPKFGSWDVRDPKSGDGYTAIFNKVKIEKQVGGSNDTQSVPALMNQTKQPITQTTVGKSSSHGSTSFGSKICCCLYPK >KGN64862 pep chromosome:ASM407v2:1:9464033:9465096:1 gene:Csa_1G132720 transcript:KGN64862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGPPQPLSRSGPSRILRFVIIFLVALIILVGLAVLIIWLTVRPKRLSYTVESAEVHNFDMTDTQLNASFSFGVRAYNPNKRVSVYYDSITATVGFGDQDLSFGVLSPFYQPHKNEQWLNIHLNAQNFLLHDSVSKELALERSAGEMDLDLWIKARIRFKVGVWKSAHRTLRIRCSPVIVYLSKSKTFKKTTCFTEV >KGN66042 pep chromosome:ASM407v2:1:20852258:20856252:1 gene:Csa_1G569200 transcript:KGN66042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPFPLLLNFPDEILIRVRQSLTHHSDSMSWRLVCKDFHRVDLISRKALRVRRIEFLLSLIAKFENIDELDLSVCSRINDGTVSIFVGFASSSLRRLILRRSAGLSYIGLEKVTSHCTGLEMVDMSYSWRFGDREAAAVSNCEGLKEVRLDKCLGVTDVGLARIVVGCGRLERLSLKWCLQVSDLGLELLCKKCFNLRFLDLSYLKVTNESLRSISSLPKLETLVMAGCLSVDDAGLQFLEHGCPFLKKLDISRCDGISSYGLTSILRGHDGLEQLDASYCISELSTDSIYSLKNLKCLKAIRLDGTQLSSTFFNVISVHCEYLVELGLSKCLGVTDANIIQLISRCISLKVLNLTCCHSITDAAISKTATSCLKLMSLKLESCNMITERSLDQLALNCPSLEELDLTDCCGVNDKGLECLSRCSQLLSLKLGLCTNITDKGLIKIGLNCKRIHELDLYRCLGIGDAGLEALSSGCKKLMKLNLSYCNKLTDRGMGYIGHLEELCVLEIRGLHNVTSVGLTAVAAGCKRLVDLDMKQCQNVDDAGFWALASYAHNLRQLNVSSCAVSDVGLCMMMGNLTCLQDVKLVNLNKVSVRGFDLALRTCCLRIKKVKLHASLRFMLSSETLEILNAWGCKIRWD >KGN64569 pep chromosome:ASM407v2:1:6905863:6906839:-1 gene:Csa_1G065400 transcript:KGN64569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAFKFLSTLYLSTTLLLIIFGSFFCCADTNLNCGRQCSKSDSFTTENDFKANLKNLLDSLAENGPLFRGFYSTSNGESSNQIFGLVQCRGDVSSIDCGSCIKNSSAFALSECPESKDVMIWFTWCFLRYSTDNFVGVLSQTSVAIFNDTNYDDPSLVSYGLSLMSGLASSASYQNLMFQTDVLNAGMKGKRYGMAQCTRDISGSDCAQCLNSQLATFRTTIGSKKDWEIYGFNCFMWYHDHQFYFNFSTSADGGRTICISLAVLMMVVMIL >KGN66492 pep chromosome:ASM407v2:1:24191958:24197144:1 gene:Csa_1G614130 transcript:KGN66492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTPSSFAASTSSVFTDPAVQIPTFHGLKSSTSLALARHVRLFAPSASSSSSSRPLLVRAVSTPAKPGVAAEPKRSKVEIFKEHSNYIRYPLNEELLTDAPNINEAATQLIKFHGSYQQYNREERGQRSYSFMLRTKNPCGKVSNQLYLTMDDLADQFGIGTLRLTTRQTFQLHGVLKKDLKTVMSSIIRSMGSTLGACGDLNRNVLAPAAPLVRKDYLFAQQTAENIAALLTPQSGFYYDMWVDGERFMTSEPPEVAEARNDNSHGTNFPDSPEPIYGTQFLPRKFKIAVTVPTDNSVDILTNDIGVVVISDAEGEPRGFNLYVGGGMGRTHRVDTTFPRLGEPLGYVPKEDILYAVKAIVVTQRENGRRDDRKYSRLKYLISSWGIEKFRSVVEQYYGKKFEPFRELPEWKFESYLGWHEQGDGHLYCGLHVDSGRIAGKMKKTLREVIEKYNLDVRITPNQNIILTNIRSAWKRPISTVLAQSGLLHPRFVDPLNITAMACPAMPLCPLAITEAERGIPDILKRVRAVFEKVGLKYSESVVIRITGCPNGCARPYMAELGLVGDGPNSYQIWLGGTPNQMSLASTFMDKVKIHDLENVLEPLFYHWKRKRHSKESFGAFTNRLGFEKLKELVEKWDGPVLSPARYNLKLFADKDTYEAMDDLAKLQNKNAHQLAMEVIRNYVAAQHNGRTE >KGN65004 pep chromosome:ASM407v2:1:10815684:10816885:1 gene:Csa_1G175720 transcript:KGN65004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTLEGDVYFGTDDFLLDPSLSANEDEESSELFEIELGIEVRSNCKLEDDCGESWFSFDFRKSNHCVYVGISDNFDSSLDALQWTLHFAVLSSTIVYLFRVFPEIRFIPSPLGMLPRSQVSPKWRSSGLKRAKKREFLQKFVNKCLAVQVSGEVVMIESDMVSKAILDLIALFQIKKLILGSSKPRSKRGKGIARHVLQNAEEFSDVKIICEGKGSANTYQAIESPLSSLSSHTTSSPNLNDKNIHFKRVENEYPRSSFLSWRCFGG >KGN63727 pep chromosome:ASM407v2:1:1802906:1806916:1 gene:Csa_1G013750 transcript:KGN63727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLLSARIKPLPTHCRQIHSSFRPKLFLQFARRLDKGGMEMGRCGMSFNPIAASVQPIEGPTLSKFNNTSPSKEALELWRSANAVCFDVDSTVCVDEGIDELADFCGAGEAVAEWTARAMGGSVPFEDALAARLSLFNPSLSQVEEFLAKKPPRLSPGIDELVKKLKANSIDVYLISGGFRQMINPVASILGIPHENIFANQLLFGSNGEFVGFDKSEPTSRSGGKAVAVQQLRKARGYKTLVMTGDGATDLEARKPGGADLFICYGGVQLRENVASKADWLVFNFVDMISSLD >KGN63633 pep chromosome:ASM407v2:1:1269441:1270906:-1 gene:Csa_1G008410 transcript:KGN63633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATHNTTSSSKSMDPHLRNQPPDAAVAVVTPAVVSPHPTSPKLDSPTPLSDSDNLSKSRPPSPVGPRTEQKVAKNPNETPQEHSTTEKFNGSEERFEHMINCITAKEREMKQLLKEHEHLTRRLSVSLSSSRSGRRRSFYGSQIQLGDVFAKNGVKVVSADMPPFMQIHAVDCARKAHDSMEKFTSKSLALTLKKEFDGVYGPAWHCIVGKSFGSFVTHSVGGFLYFSMAQKLYILLFKTTVQRAN >KGN64148 pep chromosome:ASM407v2:1:4373061:4373348:1 gene:Csa_1G042580 transcript:KGN64148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLMREISSQNGSFGLAGGETYWLFWVALFTLCIISTLIFSCSDGMSKDRNSTVDVELYGGGCAAGCGAGCGA >KGN65663 pep chromosome:ASM407v2:1:17340565:17342622:-1 gene:Csa_1G480180 transcript:KGN65663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANAASGMAVHDDCKLKFLELKTKRTYRYIVYKIEEKQKQVTVEKLGEPGQSYEDFTACLPADECRYAVYDFEFLTEGNVPKSRIFFIAWSPDTSKVRSKMIYASSKDKFRRELDGIQIELQATDPSEMDLDVFKSRAK >KGN66368 pep chromosome:ASM407v2:1:23088845:23091565:-1 gene:Csa_1G600080 transcript:KGN66368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMDPSSSAKNFEKFEPRFDWVDHPDSHVLVVHLSVDTAFYPGTKTYLKIVPKSEDGIAKQSINPKKYHAPRSVGANPPKSQNERPQSQASGKQIPTPPKPQGATGAPARIPKPGETSSIGSGQPVEDLAKKEKTEEKGKAHTKLQDALEKTREDGKEEEGGSKMAEKEKEEVGIAKPSIDAKKFHVPRSVGANPPKSQNDRPQSQASGKQIPTPPKPQEATGAPASIPKPGETSSMGSGQPIEDLAKEDKTEEKGKAHTKLQDALEKTSEEGGSKMAEKEKEEVEKEKRRRMKRMIEEMGEESGRLRRRERYKQVIDGVVKELRTNMVTLALGVAVFGILYLNLSKKGHVEEEL >KGN66219 pep chromosome:ASM407v2:1:21996006:22000029:1 gene:Csa_1G586810 transcript:KGN66219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKRDEREVGMIMDGVIESMPQYAKELVAGGLAGGIAKTVVAPLERVKILFQTRRAEYQSIGLLGSIKKISKTEGFLGFYRGNGASVARIVPYAALHYMAYEQYRRWIILSFPNFNRGPVLDLLAGSFAGGTAVIFTYPLDLVRTKLAFQVVAPSKSSIHGLVVPEHVYRGISDCFSKTFKEAGLRGLYRGVAPSLYGIFPYAGLKFYFYEEMKRHVPEEQKKNIMVKLVCGSVAGLLGQTFTYPLDVVRRQMQVQRLLASNNTEMMGTFETLSLIARKQGFKQLFSGLSINYLKVVPSVAIGFTVYDVMKTYLRVPSRDEAVVEVVTNKRNIQSSSLNT >KGN64247 pep chromosome:ASM407v2:1:4937341:4938903:1 gene:Csa_1G045450 transcript:KGN64247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTQGYAAPEYIATGRLTAKCDVYSFGVVLLELLSGRRAVDKTKVGVEQNLVEWARPYLADKRKLFRIMDIKLEGQYPQKAAYMTTVLALQCIREAKFRPQMSEVLYALEQLPLVKHVDTQRANSPGPKSPLRHKYPSPLNSMTPKPSSPLPSK >KGN65370 pep chromosome:ASM407v2:1:14555468:14556466:1 gene:Csa_1G385550 transcript:KGN65370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSIFLLFLFSALLSLSTQSIDSKNLNIPPSSAHARLADYGFPFGLLPSAVSSYTINDTSGDFSLDLGDSCKFTLPPDNYVASFSRVVTGKIAKGRIHNLDGIRVRALFQWWSITGIRSTGEDLVFEVGLITAKYPSKSFNESPVCEGRRSSS >KGN66537 pep chromosome:ASM407v2:1:24686637:24688428:1 gene:Csa_1G627460 transcript:KGN66537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFHKTLFFPFLFLTLASASTFNVVDFGAKPNVQTDSSQAFEAAWASACRARTAVSIYVPKGRFYVASLAFEGPCNNNDITIRIDGTLLAPSNYGVIANSGNWITFRRVDGVTLFGGVLDAQGSGLWACKNSKSSCPPGATSLEFSNSKNIMVSGLTSLNSQMFHIVINGCQNVKAQGLKVSAAGNSPNTDGIHVALSSTVTILNSIIGTGDDCISIGPGTSNLWIENVACGPGHGISIGSLGREVQEDGVENVTVKSATFTNTQNGVRIKTWGKPSNGFARSVIFQDIVMVNVENPIIIDQNYCPDNKGCPGQDSGVKISDVTYRNIHGTSATQVAMKFDCSSKFPCSDITLEDVKLSCKNEAAEASCSHAEGSAAGLVQPSSCL >KGN66783 pep chromosome:ASM407v2:1:27662377:27666950:1 gene:Csa_1G690210 transcript:KGN66783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGREPSAVAVIQKPNGVAHDIVHVAPRVAHHDIVHVAPRVPGRNMEAKDYEVKECTEENLIIEKYDEKVEVLSIKSTNVDGEEKYEKSRVEKFGEYKKSSPIGSKSPGNMKGQYTVPQPFTLETEKRGPCAHNIGNDATTTTGVNISPNLQSPSAKKNSQPNSPPSLRKHVQLDKKYHDEEDNWSITSSVATSVKSKVTVGVAPTFRSASRAERRKEFYQKLEEKHQALQAEKSQYEARTKEEQEAAIKQLRKSLIIKANPVPTFYYEGPPPKVELKKLPLTRPKSPNFTRRRSCGDAVNSNIEKGKECGRVKRHSLGSIRTDPTNVMTTPKTKGQISGRNSGSRVKENKETTKTSGAKIPEQRSNLNIAVQS >KGN66885 pep chromosome:ASM407v2:1:28613524:28614441:-1 gene:Csa_1G703580 transcript:KGN66885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGFFYSSPHFNGNPKAIEKAKNQKVIIYPKGFTITWGNDKRYWRFPRQSRNLKESSAAAELLQVSWLEVTCWTDNVEIGKSYKVGFNVSMTAAAFGWKGCEVYIMAKIGKAGKFVYKKMCLDGKASDGQTKFNMPEDNLIITVKPPSTSPGDNRLYFGMYEVWSGKWKGGLKIHHAFVEKVGN >KGN63812 pep chromosome:ASM407v2:1:2313094:2313999:1 gene:Csa_1G022510 transcript:KGN63812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLPHLPLPQQAISYLSLLFLLHVLPITISQGPTISTPTIAQCTTSILPLASCAPFVQGVTPTPPMGCCDNLKQLYNVVPNCLCLLLNGTNLSSFPINTTRALQLPDICSLQVNISTCSALLGPPSPPSSHVPPRVHINSTISNATVLASPMTQPTPKPSIVGLGLGKISASFKLKGGIQLTQLAVMFFFIRLLLDCFS >KGN49489 pep chromosome:ASM407v2:6:28630392:28633355:-1 gene:Csa_6G526230 transcript:KGN49489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENYEEVGDLTDIVRGRSSTTTTRSSTTTTTTTTSSSSNCKTEILADHHLQDSTSFYYSSSSQLLQLQDHQHHQYNFGDPFCSVVAPSVLDHHLHQHHHQLPVDNSTNINAFFNGISASSTTTTAAAAHQDHEDHHEVMKSSPCNSNLFSRMLQISPSPNKFQTISSLTNNSPPPSNFLISNHSPTTTTTPLHPDHHLHHFLHHHDHNNNNNNNTSSALHISSPRNPPGIKRRKSQARKVVCVPAPVAASSRPNGEVIPSDLWAWRKYGQKPIKGSPYPRGYYRCSSSKGCSARKQVERSRTDPNMLVITYTSEHNHPWPTQRNALAGSSRSSQQSSKNNTSTITTTSTTTQPNSSKLVHHKNKQEVQEEEEEQDHENNNDGTTMTLLSSTAAVDEEASNKNNNNVKEEEMIENELMMSSTSEGGLIDEDHDFFADLEELETDPLTLLFNTTTQQQQQQQQHLQQPPHKLEQIIKGSAAAACLHDVVPFNHLFDWPPPPHQEQPPPSSPTNRGFY >KGN47380 pep chromosome:ASM407v2:6:14694710:14698156:-1 gene:Csa_6G307410 transcript:KGN47380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTNYIFFIFLGPGSACEHFFSLTLAIKSTAVPDFAVSISDSIQDFEEEMGSRSIDNLSMVDEIRCSHGGTFLYDLGHPLLNRVADSFVKAAGIGALQSVSREAYFTVAGSVDSNIAPPPELSSMRKQRFPGLKGETNKESLEAMVKSVGKESIQWGLAAGVYSGLTYGLKEARGAHDWKNSAIAGAVTGVAVALTADKSSHEHIVQYAITGAAMSTAANIFAGIF >KGN45968 pep chromosome:ASM407v2:6:2900754:2902271:1 gene:Csa_6G040570 transcript:KGN45968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGTGGPLLCIGDLLCDVGEDEAVEGKGLNETPRSIPSPSSSSSSVSTTIFDLSQPPDLPKLFQENYDQLNKVFDDNDHSWTALTLKMCSALDTATKLVESTNSNSRFLLEKIVELEHVLEKGDATREAAMAIQTSYSSHVAQDSISSLNEG >KGN49283 pep chromosome:ASM407v2:6:27511194:27513398:-1 gene:Csa_6G518380 transcript:KGN49283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFALGGSSSTAILASRSLSSPSPRHFLPLLSLTPAHGNGKKSIGSIRIQGKKGRPQVQCNVATEINTAEQAVNISKESQRPVYPFAAIVGQDEMKLCLLLNVIDPKIGGVMIMGDRGTGKSTTVRSLVDLLPEIRVVFGDPYNSDPEDPESMGIEVRESLGKGEQLSVVMTKINMVDLPLGATEDRVCGTIDIEKALTEGVKAFEPGLLAKANRGILYVDEVNLLDDHLVDVLLDSAASGWNTVEREGISISHPARFILIGSGNPEEGELRPQLLDRFGMHAQVGTVRDAELRVKIVEERARFDQNPKEFRESYKAEQEKLQQQISSARSSLSSVQIDQDLKVKISRVCAELNVDGLRGDIVTNRAAKALAALKGRDKVAAEDIATVIPNCLRHRLRKDPLESIDSGLLVIEKFYEVFS >KGN48509 pep chromosome:ASM407v2:6:23307617:23312780:1 gene:Csa_6G490240 transcript:KGN48509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLCVSKPSSTTVVAVPAIQDALPPLETSLPSPDAGNRTTETREGDKTPFDLEVAKKSPYFPLFTPSPAHYLFSKKSPLRSPANVSSNSTPKRFFKKPFPPPSPAKHIMAVLARRHGSVKPNEASIPEGNVIEGPGLDKSFGFSKHFRNKYELAEEVGKGHFGHTCRATVKKGELKGKQVAVKVIPKAKMTTAIAIEDVRREVKILKALSGHKNLVQFYDACEDHDNVYIVMELCEGGELLDRILSRGGKYTEDDAKSVLIQILNVAAFCHLQGVVHRDLKPENFLYTSKDESSQLKAIDFGLSDFVKPDERLNDIVGSAYYVAPEVLRRSYGTEADVWSIGVIAYILLCGSRPFWARTESGIFKAVLKTDPNFDDPPWPSLSSESRDLVKGLLNKDPEKRMTAAQALSHSWFKDSKDVKIPLDVNLLKLMRVYMCSSSLRKAALKALSKTLSIDEQRYFKIQFALLEPNKNGTISLENIKETLMKNGTEAMKESRTIDFLASLNALQFRRMDFEEFCAATLSVRQLEDLGHWEQLARSAYEAFEKDGNRAIMIEELASELGLSPSVPVHSVLQDWIRHTDGKLSFLGFVKLLHGPSSRAGRRQ >KGN48520 pep chromosome:ASM407v2:6:23394119:23397476:-1 gene:Csa_6G490840 transcript:KGN48520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIDPFEAFSPPSHSSSHFSQQRHFYVAVDRLQFKMETLVGLLDVAGRRPSLPMVVCCSSRDELDSVCSAVSNLHYISLASLYSDLAEADRSLILEKFRQTTSRWSQKFSSLSEEKCEAEKVGEKSHMIVVTDACLPLLASGESPLSAHVLINYEIPTKKETYMRRMTTCSASDGILINMVVGGEVVTLKSIEESSGLVIAEMPINISEIL >KGN48689 pep chromosome:ASM407v2:6:24540174:24541717:-1 gene:Csa_6G497390 transcript:KGN48689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDKKLHIVMFPWLAFGHMIPYLELSKLIAQKGHRVSFVSTPKNIDRLPTQLPPHLSPFLSFVKIPLPQLHTLPPDAEATSDLPYDKVQFLKEAFDALKQPLSDFLQTSDADWILYDFAPYWVGQEIGPNLRIKTAFFSIFILQSLAFVGPMSGDSRMKLEDFTVPPDWIPFPTTVAFRHFEIKKIFDFVAGNATGVTDIDRFKMSAHYSDLVVVRAFPEFEPEWIQLLEDIHHKTVLPVGQLPTSEYDLKEDNPTWQSIKEWLDKQAKGSVVYVAFGSEAKPNQHELTEIALGLEQSRFSFFWVLRTRLGLSDPEPIELPEGFEERTKGRGVVCTTWAPQLKILGHESVGGFLTHSGWSSVVEAIQSERALVLLSFVADQGIIARVLEEKKMGYCVPRSELDGSFTRDSVAESLKLVMVEEEGKVYRERIREMKDLFVNKERDEKLIDGFLSYLKKHRNNVDEQDH >KGN48969 pep chromosome:ASM407v2:6:26046354:26047996:-1 gene:Csa_6G507420 transcript:KGN48969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSRVRWSDLPPELWPIIGKRLDTYIDVLRFRSVCRSWRASLPPFNAVSPLLPLDLPSPVFAADHLTDAYLIRRIIYRLSPLDHHQTFDFASSSSSSSSSSSSSFSCPAEGWLAKVESTKLGKMRFLHPLSTRYAKCNSDLLRKEVNLLDFGIYEVAKSYTLGYTNGSLVPRITKVVMFPDSPWIDVKKCTILAIYAGGKLGFAKHGDNKWTLIDHCNFHYDDVIVYKGQFYAVDRWGTIFWIDSSMKLVQFSPPLCGFGNQKHLVECNGELYVVDRFLDKEPLLWNADIFHIHWLNNLIEDSSPKVIDFKLHRLDQEWGRWVEVKNLGNESFVLGNDCCFSVSTPYFKGLKGSCIYFTHTPKCALGYNTLVFELEEKRILNAFSNDNAPIFRPPPIWLNLEATQFEEDAADNQ >KGN46186 pep chromosome:ASM407v2:6:4803349:4808634:1 gene:Csa_6G067930 transcript:KGN46186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVQPLSNGVSEITQEEDAEIVKSVNEQTSDTGHSSRRPDISLQIPPRTTGFGKSRSGKGLLQSQGSNKGGLSPGSFLRALSFKRKGIAPEGEKSTLLSSDPKTAAESPISTIASAFSWKKSTSLPVTPASNLSPLISLPATTSTIIENPIPHKEAVRAVSRSLSVPVRNIVIVRSTSFATPRPISEASASSDQDGSVTLENDDEEIPEEEAVCRICLDPCEEENTLKMECSCKGALRLVHKHCAIEWFSIRGSKVCEVCRQEVQNLPVTLLRIPTTARQDGRQLRNQLTFRSRTVSVWQDFVVLVLISTICYFFFLEQLLIHDLKTQAIVYAAPFALVFGLTSSIFSVILAIREYIWTYAALEFALVAIILHLFYTLLKLKAIYAILLSAILGFGVAMSLNAVYIHYYIWRVRIAQNPNPA >KGN46532 pep chromosome:ASM407v2:6:7083801:7085494:1 gene:Csa_6G107330 transcript:KGN46532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFPRRFSLKSISNNLYLGYVHGKNELNGFLQFSAKEAVSPYTMFEIEESKLGHGYVHIKCCYNNKYWVLQSPSSHYIVATANEKDENLSAHSCTLFKPNYKDGDNKHHTFRFQHVFLNFSVFFQQARESTHRDCLMVNFDLPNEFSTVLNFDTYLFLPKHVAFKSLINNQYLRRKSNGTNLLAFRSTNKADPKVTQEVIRTPDGHFGLKNVADRKFFTVSDDAWIVLDDDKSTEKNDPGRFFWPIKVEHNVVALRNAGGNKICAYASRVLTGHGDCFAPSPYIIDEKAKLEVIDFVLSRYIYSVQFHLSDARRYNEKPLLMTSTIVENKNSQEKIFTIKLSYQDTTTSTWRVNVNPMLGIKMKFDTAVPKVFEGEIEFCSELSEDYYTWGETHQMKYHAEVVHEVTVPAGTKVKASVMATQASCDIPFSYIQRDKLNDGGYSTQRYHDGLYNVVSSYNYQFVIEKV >KGN49417 pep chromosome:ASM407v2:6:28198418:28201337:-1 gene:Csa_6G524060 transcript:KGN49417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADESQQPQSETQPPLDIDSVPPPPPPPRFDPSRMIGIIRRKALIKELAAAYHAECLVYCQELLELQRKWDEPYIELKAPDDPRKETTKPSKRQKKSR >KGN47636 pep chromosome:ASM407v2:6:16644728:16649617:1 gene:Csa_6G366340 transcript:KGN47636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTKVQCKSSLPGFYPMRELNNDSNSHSWPLFYGDRSFTNAPFHKVVLPRASANGYLGDDKDVVKQKMLEHEAIFKNQVFELHRLYRRQRDLMDKIKSTELSRNRLHVDSLLSSSPLTSQVTSEDASRRNLPCFPMANSSSARFSISGVEEGHSSLIPVKGNNQMPCFFPSQSESTVKDLKVLGSRPTKLRRKMLDLQLPADEYIDSEDGEQFHDENVADTLSHNHNTNPKIDLERDAKLYADDSEQSGCLQNAHKLGTCLEKNTSCLTDLNEPIQPVETNASTYVDPLRSASCHGETQCSYPSSGPKSSPVNMQRKSSLITDNMTGNNLNLDKNISRGGILPHFHESGHSYNSKNLFPHGLQTKVWPVSSQPMESFASEIHEAPPYRSIDKGRAEQSRVEQVFGLQFTKRSSEIKGEPPCSFVPSHTSPLQPAAPDISKSWSNSNSSWESASTNFQKLTTTQAQQCMSSVATMLKNVHSPFHGMEISGEKWLLNSDSQLNRGSDSELSYYNRAFLGSSFEYKEEVGHPSSVMHCYQMRGTGNNQAPKDLSPSMSLKLLKDSNHIDVKGPKERNFNMVFSNNSSGQAEPAVGENCKLLPWLRGTTGGSTETTNSERFSSAGELIYVRSSINSLPHKSSHLFRNDIFNKEFESVSSSKSQKLLKISTSEELQDPKKAMSSLARSSVQCEAKESRECRVLDINLPWHSLASESDNPYSETLKEGKVSSFGLIDLNLSLSDDEESSRPIPKSTVRMRGDIDLEAPAISETEDIVPAEEIIETNCELASKPHCKDINQEDELMELAAEAMVCISSSICHNYLEDATCSSAQDSTDNPLNWLVEMAFLCSDGYESESQAAALRAKPSSDEVESSLEGMDTFESMTLGLIETEADEYMPKSLVPGHITMEEKAINLLQNRPRRGQARRGRQRRDFQRDILPGLASLSRQEVTEDLNTFGGLMRAMGHVWNSGLAKRNSLRNPASGRGRRRSVISPSPQPTENLPLPLPLLPQPSNTEMGLDKRSLTGWGKTTRRPRRQRVPAGNLSAIALV >KGN46086 pep chromosome:ASM407v2:6:3981911:3987661:1 gene:Csa_6G052120 transcript:KGN46086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLFSLNLPLKLHSLIIPSLSFPTSFLKFPPPHSPFKFPTTRFPSPKSMTTAISSPKPLVQVKDNIELTETEEKIFHRLLGTLRHFNLQTQLRVAGGWVRDKLLGKDCYDIDIALDNMLGSEFVDKVREYLLTVGEEAQGVAVIPCNPDQSKHLETARMRIFDMWIDFVNLRCEEYSENSRIPTKQKFGTAEEDAYRRDLTINSLFYNINLSIVEDFTKRGISDLKFGKIVTPLPAKATFMDDPLRVLRAIRFCARFEFTLDEELKEAAACEEVKAALAAKISRERIGVEIDLMIAGNQPVKAMSYISDLTLFWTVFTLPSNIEPEISEVCNRLCVACLDATWNLIQHINCFTFSDEQKRLSFYASPFLPFRKFTFKDKKSKKIPIVNYIFRDSLKRKASDAETVVNVHQALEKFLSLIPLLVSKEEIQPNGVDWGVECADVPATSRIRVLTGLLLREIKDFWPVALLMATLLYPADVDYAQDLLNRHFELEKRKELFDDVYNEIVKLGLENVWEMKPLVNGKEIMNILQLKAGGPLVREWQQKILAWQLAHPSGTSEECLDWIRETHSKRVKLDE >KGN45680 pep chromosome:ASM407v2:6:487825:489724:-1 gene:Csa_6G006180 transcript:KGN45680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLHRKQAHHACFLIILFLFFSLPSFSVSLSEAEASYLTRRRLLTLKNHYELPDDFQYELDIADTFPNERLKKAYRALQAWKLAVYSDPQNMTANWVGADVCSYTGVFCAPALDDPKIEVVAGIDLNHGDIAGHLPPELGLLTDLALFHINSNRFCGIIPSSFSNLVLMFEFDVSNNRFVGHFPLVVLEWPSAKYLDLRYNDFEGEIPSTLFTKEFDAIFLNNNRFNSLIPETIGNSTVSVVSFANNEFHGCIPSTIGQMSNLNQILFLGNKLSGCFPPEIGNLVNLTVFDVSNNDFIGQLPESLSSLQNLEIMDVSNNELRGSVSGGLCKLPKLANFTFSFNYFDGEDAACATSKGSEKLFDDSQNCLANRPMQKDANKCSTVLKKSVDCGNCGGGSSSPGVPSTFEDPYDQSPSPRYRSPPPPVVTPPSPSETSVPSSPPSPIESSPPISTPSPPPPVNSPPPPVHSPPPPVHSPPPPVHSPPPPVHSPPPPVHSPPPPVYSPPPPIHSPPPPVYSPPPPVHSPPPPVYSPPPPVHSPPPPVYSPPPPPVQSPPPPTASPPPPPSHDSSPPPSFEDVILPPNIGFQYASPPPPLFPAISFMTTSCSIVILFTLS >KGN45643 pep chromosome:ASM407v2:6:288267:290174:-1 gene:Csa_6G002370 transcript:KGN45643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMFTIHIERGNALRRLLLPIANVSNTAQINCSLQCLSFVVTPRNNFVAVLRIFPSFFTSFQFNPNFAGQYTSFHARLYLKLLHTNISNMLTDDLSVTVYLNRFQSTVPVRFYDPRSGYLQFSALLLARARRINMYPVDMGVFFAIRSDEFLKILTDLRVLAGEYFVIYVSTTNALFKSLRREIAYNTQDDENMMVMGGVPTGGARQFFLICPSPLHFFYYTASISKMVWFFIAAENYPRHFLSCCVNIHASYLVCF >KGN49413 pep chromosome:ASM407v2:6:28183239:28185775:1 gene:Csa_6G524020 transcript:KGN49413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTKLTKFAKAEVDGHWRGHEDGTLEAKARVSVKSAKASFPIHTHVSRPKPTLTATPEFSWPAFCLRSLFSLRRFSNQDFGDQSSEMAAAFASKFSRVSRSLLGGLGNNLSTLLTASKESICSSFISQQQRTFIQMRTVLKVVDNSGAKKVMCIQALKGKKGARLGDTIVASVKEAHPNGKVKKGKVVYGVVVRAAMQKGRCDGSEVKFDDNAVVLVDKQGQPIGTRVFGPVPHELRKKKHVKILTLAEHIA >KGN46069 pep chromosome:ASM407v2:6:3858273:3860655:1 gene:Csa_6G046470 transcript:KGN46069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPELPDISSCMLKRGLDTSQYCNLVQPTFHRQKRFRDSASLFPGPDGSVKTPFHQFEDNSYSQAAQSFGTPFAHESNPTTKNAMSFGSFEGSHSLTNGNSSASQHSKETEKLELPSLQYPETDLTSWDTTIQPAMFESVDPFIQSTPTFVLAPDRTSPCHSGLLESLVYSKTMGPKNHPSDKNSNSCSVTPGDVTDSYNMAASKTEIDDYTEVISPFGHSTSSLFSECTPISATGSSYEDPTLTEAFSGSHVKSEPFDHAWTPDREKAAKSRVNFARPDALLASDWHDRSSGIVEDTTNVTDAISLLLGDDLAADYEHFPNGISTTHSAWGLDSCSWNNMPAVCHMSDLP >KGN48162 pep chromosome:ASM407v2:6:20840774:20843029:1 gene:Csa_6G445750 transcript:KGN48162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFQNIEDNLCHTHSDPLNWGVAAESLKGSHLDEVKRMVEEYRRPLVKLGGETLTISQVAAIATRDSDVIVELSESARVGVKASSDWVMESMNKGTDSYGVTTGFGATSHRRTKQGGALQKELIRFLNAGIFGSGAESDHTLPHSATRAAMLVRINTLLQGYSGIRFEILEAITKLLNNNITPCLPLRGTITASGDLVPLSYIAGLLTGRPNSKAVGPNGESFDAKEAFQQAGIPSGFFELQPKEGLALVNGTAVGSGLASIVLFEANILAVLSEILSAIFAEVMQGKPEFTDHLTHKLKHHPGQIEAAAIMEHILDGSSYMKTAKKLHEMDPLQKPKQDRYALRTSPQWLGPLIEVIRFSTKSIEREINSVNDNPLIDVSRNKALHGGNFQGTPIGVSMDNTRLAIASIGKLLFAQFSELVNDFYNNGLPSNLSASRNPSLDYGFKGAEIAMASYCSELQYLANPVTSHVQSAEQHNQDVNSLGLISSRKTAEAIDILKLMSSTFMVALCQAIDLRHLEENLKHTVKNTVSQVVKKVLTTNSNGTLHPSRFCEKDLLKVVDREYTFAYIDDPCSATYPLMQKLRQVIVEHALNNGEGEKELATSIFQKIGTFEEELKAVLPKEVENVRLAYENGDATIENQIKECRSYPLYKFVREALGTNLLTGEKVISPGEECEKVFTALCQGKMIDPILECLKEWDGAPIPIC >KGN47096 pep chromosome:ASM407v2:6:12094905:12095288:1 gene:Csa_6G187400 transcript:KGN47096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQGSTALGLKTKSSSSSNVVWAPLPVLPTTESNPPPSFSGSSASDPTRPATTRQTKIARFTLRNLNSSSMSSGIATCALQLFATVEIPSNLCENPDLIIPQILQNCCPQILELPFCPKSHKNVEQ >KGN47865 pep chromosome:ASM407v2:6:18730124:18731573:1 gene:Csa_6G408240 transcript:KGN47865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISVVLGNAKLITPEVLLHWTSDKNKLLSRKGKTSQLEFRDVMASGSLFSSAGQSHGVNWSALWLPIDLFLEDAMDGSQVLATSAVERLICLIKSLRAVNDTSWHNTFLGLWIAALRLIQRERDPSEGPVPRLDTCLCMLLSISTLAVTIIIEEEEVEPKEDDCSPSKSRDEKQSSGMCRKGLITSLQMLGEYESLLTPPQSIIAVANQAAAKAVMFISGVAVGNEYYDCASMNDTPINCCKYLFLCKNLTFVDPVKQ >KGN47444 pep chromosome:ASM407v2:6:15137895:15139445:1 gene:Csa_6G324880 transcript:KGN47444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGYGMSIITAAAVSYLIILHLPIHCNAIVRRENNFIPQGDADLLEFPLNLEYLEAEFFLYGSLGYGLDKVAPNLTMGGPPPIGAKRAKLDPFIRDIILQFGYQEVGHLRAIKTTVKGFPRPLLDLSSASFAKVMDKAFGRQLKPHFDPYANGLNFLLASYLVPYVGLTGYVGANPRLESAVAKKLVAGLLGVESGQDAVIRALLYQRAAEKVEPYGVTVAEFTDRISDLRNKLGHAGIKDEGTVVPKNEGAEGKITGNVLAGDQDSLAYPRTPQEILRIVYGGGNEHAPGGFYPKGADGHIAKSMAYSK >KGN49521 pep chromosome:ASM407v2:6:28864784:28867207:-1 gene:Csa_6G526550 transcript:KGN49521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQIIRRYQIAIGSRIPEHNMNDPEELERQVRSMKKETDDLQLSLQRYTADDFSTFHLRDLDDIENRLQNSLNRVRARKSELLQQQVDNLRRKEKILEDENEQIYHLMAMEEQQQQQMAAAVMMQKRRSEEDDEEVMMRLRRRAAHDNHDNAADDQAQAAAVEVVNIGDHDHDGSSWFGYIHGLLEMNENSNKNNNHIHPPQLQLQLQHDYHNHHHHHHHHHHDQASSCVLQLASVQQQLPSDPSPPPPPFLLPYRLQPFQPNLQDINLHSSTYE >KGN45692 pep chromosome:ASM407v2:6:557493:560208:-1 gene:Csa_6G006780 transcript:KGN45692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVVSLCYHLALGPSMATKPFITMAEQPIAPDLYVDRSTFHRGSSSFSSSSGRRDLVFVVNPRGANGKTAKQWKKLVPYLRTRLGEHYNICESLTSGPCHAIDITREAIREGADAVIAVGGDGTFHEVVNGFFWAGKPIVNNDGEARKSTALGVRDSFIVTILSCLFPLSTSCPMAAKPCVLMLSHPSMST >KGN46479 pep chromosome:ASM407v2:6:6709073:6710290:1 gene:Csa_6G096440 transcript:KGN46479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFHKPDMEFLTKSTKEDQDQDQEEEEEEDEDQEQDQDLVACNFFPNSHSTQLTKQQDQDQDQESGNYECQNEDHQPILMDLSLRMESNGFADVVEREHMFDKVVTPSDVGKLNRLVIPKQHAEKYFPLDSSTNDKGLILNFEDRHGKPWRFRYSYWNSSQSYVMTKGWSRFVKEKKLDAGDIVSFHRALPSHSVNDRLFIDWRRRRSDAPTPHHHHHHFSNPFLLRWGTTARHLPPPPPPPRRTTHHQHHLYPNYGFEIPNFGMSNINNTSMYYFRPPSSSSSLYRMGNGDEIVVNNQGCSSMGIINKPGSGAAKRLRLFGVNMECASPDGEGGGGGEDVSNGGVPRRGKEPLSLNWDLL >KGN47610 pep chromosome:ASM407v2:6:16492848:16493418:1 gene:Csa_6G364120 transcript:KGN47610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITTLCGVRSRRRSTPSEDSSHAAADNKTDENVSPTTTTPFQAANPSGGEAENEGEMKELPLPPKMQQVASTSPPSQISKSASERKLNHMRSMSIKVPRSLSVVRNHLDEGRQRRKERIKGEDSIWTKTIILGEKCKVSDEEDGIIYEGKGKKITAYHPRAPSSMSISMSRQNSAVEAEALQSSEAK >KGN48552 pep chromosome:ASM407v2:6:23626535:23627107:1 gene:Csa_6G491640 transcript:KGN48552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALHDEPQVHDIQTTHSFLLDALFCEELCCHEDLPVNASDDDTQYWETLRKDQPFLAFNFLENDPLWADNQLHSLISKEEQTQVSYASMTSDDYLIEARNEALSWIFRVKHHYAFSVLTSLLAVNYFDRFVSNVRFQRDKPWMSQLAAVACLSLAAKVEETQVPLLLDLQVCLNSSPINTTFQVFDKMLH >KGN46404 pep chromosome:ASM407v2:6:6142513:6143673:-1 gene:Csa_6G091280 transcript:KGN46404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLFRTSLANKMWKSMLVETRIQGNRRFSSSTTTPSANNIQNASSPSSSSNGGSGGSEYMKGEYAPIYIVMGMVAVVVSIATHTAKQQLLHSPMVNCSKKKRESIPEVEDPDTVITSADKFINKSFLRKVAHIQSDTKTLSDPVHPDPFTRPRNAETLKTAGIDPTRR >KGN49172 pep chromosome:ASM407v2:6:26910557:26912802:-1 gene:Csa_6G516800 transcript:KGN49172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIHPSELDSNATDSVASSPRSDHHFNLSNDPHARVRFMCSFGGNILPRPHDNQLRYVGGETRIVAVQRSTTFSHFLAKLAKITGTINMSIKYQLPNEDLDALISVSTDEDVENMMDEYDRLVQNHNPKSARLRLFLFPRGEDSRASSINSLLGGSTNRDHWFLDALNGGAPVPELERGRSEVSSIVSEVPDYLFGLDNQDDTSTHSREPKSKSRFNLNPSENVSVSDPGSPSPILPSATCVTSMPNLPPVKTRPDTPSPRSEPVDKTPENCEPPAIPFSQPPGYPNNPMMHYFPGTNYPGHHQQVVYVLPGQIPPGNVPVQHIPIQTQPSYVQQFQPVGGGQVPLTYHHPVTMGGQVYGGGMGPVSGYDPQAEVARMAADGVSQQMYYGVQNATRAPPYAMGMMGGPVAEEVRGSGSETRTGSRVSP >KGN48365 pep chromosome:ASM407v2:6:22280715:22287098:-1 gene:Csa_6G483460 transcript:KGN48365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSGRKRTSKWDLREDSHVETDIAQEHGWPGKESRPGWISPELAGDDGSKWSGMETTNGISKPKQDWGLLLEEPFPGTRASHKEDYTSKGYNKDLEGTAEWDADDKSYSTRMSPGLDEWRRHRSSLSDRNDGSRGRSRSRSWSRSRSRSRSPHSFKRDSAFHDRNRNRSRVSTQLCREFVSGRCRRGNGCQFLHQDNQIMDDSWDSRNRKGGRSLRSTPHDFRDYPRSGRSAAQCTDFVKGRCHRGASCKYPHDSAFHDLSRGSPNDISRDRENDRSKEAYFSRGEREPGNSSLVTCKFFAAGTCRNGKNCKFSHHSQPRASPERKSSTDRWEQDPFSDGRERLWDGSKSSELASASDFTQLREDKGEQIASQEPSYTWASEQKWVHGLNNESKTQWDQTVGVKAVQGNKNDTILSKAEDTGGCIGTSDPRGHRKWPSDDMEMSPDWHYPVQPSNHVVKSDCNIVPDSGSKTSIALATLSHAIVQEALAKKQDIAIEPITADNTHFRQNLNLTKDVTIASAFNDKITMDKTIASHAEGNPSSNTVLVQRMAYHTDHPGGTVMNPKVSDGNFRVKQKEEDGSVPGINSGTTIAPNIVTSEQITQLTNLSVSLAQYFGNVQPLPQIYNSLNTQSVSETASFSYSDASTGALGLPMKSGPVVESSKQHDSALCNSLELKKLEVTKTPSDCLPNSAGQKIATEVKDEVQMPNLPLSSDPRDKVGISAKETFHGSDAINHAKLATEGEAIKEKNGDGDNENKTDPEDSQENDTTENANGNDGVHDKKKSKDAKGIRAFKFALVEFVKELLKPTWKEGHISKDVYKTIVKKVVDKVTGTLQGGHIPQTQEKIDHYLSFSKSKLTKLVQAYVDRVQKTA >KGN45890 pep chromosome:ASM407v2:6:2025240:2028782:-1 gene:Csa_6G017060 transcript:KGN45890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLKSTFLKVYSVLKSELLQDPAFEFTDASRQWVERMLDYNVPGGKLNRGLSVIDSYRLLKEGKELTEDEIFLTSVLGWCIEWLQAYFLVLDDIMDNSVTRRGQPCWFRVPKVGMIAVNDGVLLRNHIPRMLKNHFKGKPYYVDLLDLFNEVEFQTASGQMIDLITTIEGEKDLSKYALSLHRRIVQYKTAYYSFYLPVACALVMAGEDLENHIAVKDILVQMGVYFQVQVGTDIEDFKCSWLVVKALELCNDNQKKLLHEAYGKPDPENVAKVKAIYKDLDLQGVFAEYESQSYAKLNASIEAQPSKAIQAVLKSFLGKIYKRQK >KGN47255 pep chromosome:ASM407v2:6:13467021:13467275:1 gene:Csa_6G237590 transcript:KGN47255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEADLDRGCGRFGLTGRDARRRATTLQKGGEQFLQQSMVGSREQRPAERKRPATRTGSSTSTLTGRRRFRKTADVADVRLGRWS >KGN46747 pep chromosome:ASM407v2:6:9034559:9036763:1 gene:Csa_6G128570 transcript:KGN46747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRMLRACIRSLLKFVNSGNGMVGIAMILYGIWLMRAWQRHLGHLPYEGPNDPIPWFTYSFLGLGILLCVITCLGHIAAETANGCCLHLYMLLLFMVIMVEAGVTTDVFLNRDWAEDFPKDPSGCFDQFKHFIKSNFNICKWIGISTVSIQGLSLLLAMVLKAIGSRRYYESDDEYAPERLPFLKNTLHSPTACVIGDPVFPSKNDTWNKQTEDK >KGN47089 pep chromosome:ASM407v2:6:12064396:12064784:1 gene:Csa_6G186360 transcript:KGN47089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYHSHLLIFIPPDVDVDDPICYITYWAVLEIFVEINSGVSAELFDAFKGAVTTCLLQPEKLSRTTLQGGDLTYMPN >KGN48417 pep chromosome:ASM407v2:6:22737961:22739751:1 gene:Csa_6G486900 transcript:KGN48417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVSMVPAVWAAVMTLGAVFSVVEGAGIGVNWGILSSHPLRPNIVVKLLKDNGIKKVKLFDSDAWTVSSLSGSKIETIIGIPNDQLKKFAKSYDDAKDWVKENVTSHMFEGGVDLRYVSVGNEAFLTAYNGSFVKVTFPAMQNIQKAIDAAGHGKKIKVTTALNADVYESSTNLPSDGEFRPDIYSTMKDIVHFLDRNKAPFMVNIYPFLSLYQNPNFPLDYAFFDGGGKATNDKDKSYTNVFDANYDTLIWSLKKLGVSDMKIIVGEVGWPTDGNKFANVNLAKRFYDGLFKKLASGDGTPMRPKEKFEVYLFGLLDEDMKSVLPGFFERHWGIFQFDGKPKFPMDSSGKGNDKMLVAAKGVQYLEKKWCVLKKNVKVFDNISPQVDYACSLSDCTSLGYGSSCNNLDRRGNISYAFNMYYQMQDQSVEACVFNGSAEIVKNNASVGSCLFPIQIVSAGERLKAAAAAMVGLVLSLFALRL >KGN48913 pep chromosome:ASM407v2:6:25785905:25786114:-1 gene:Csa_6G505915 transcript:KGN48913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIGEESFEQTAGRREEREGTKVTVNEINFSLSSFEFLKKGKNLKEQEKEEPNHHQIISILKAFSNSPK >KGN45646 pep chromosome:ASM407v2:6:293694:297393:1 gene:Csa_6G002890 transcript:KGN45646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDWLSSPERWGETISEKVLSVYNTLPKKGKPQGREVTVLAAFLLSSPSPQDLEVVALGTGTKCLGRSQLSSNGDVVNDSHAEVVARRALMRFFYTQIYHQSQSNSESEHHKTKKMRCDNVNNFLLQIDEEAGAERKYVMRKCWKLHLYISQLPCGDASSSATRDVQARSDNVAPCLFDKGDDSQCIGSVQRKPGRGDTTLSVSCSDKIARWNVAGLQGALLSYFLQPVFLSSITVGLSPHNAKNCIVEGLLKSAVCDRVQLFSNELMNPLKVNQPLFCTAPIPPKEFQHSESALNTITCGYSIYWNKSGLHEVILGTTGRKQGASAKGAQYPSTESSLCKKRLLEMFWSLKSECTTKFPSTDISYRTLKDGSQDYYLTSKVFKEQPAFRNWISKPINYEAFSFGC >KGN47192 pep chromosome:ASM407v2:6:12670524:12670942:-1 gene:Csa_6G197220 transcript:KGN47192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLKFGVTCWTIWNMIEIKCYVVSKECLKSWIMLQALVKCVVDALLCQIMIGFEVGIAIHDVVVVVVYTFYIFLMAWNLKLSKISMHIEKVIVGG >KGN49525 pep chromosome:ASM407v2:6:28896792:28898492:1 gene:Csa_6G527080 transcript:KGN49525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATLLSYCNPSLVCNYGRMDLKRMALGHLRSFGRRNNGYRKLTVFAVTEGSAKSSESEETIPSWAKLDSEEPPPWAKEEGKEIGTQQGFQVPFYVYLLASSITAIAAIGSVFEYVNQKPVFGIINSDSILYAPLLGFFALTGIPTAAFLWFKSVEVANKEAEDQDRRDGYL >KGN48516 pep chromosome:ASM407v2:6:23372254:23372722:-1 gene:Csa_6G490800 transcript:KGN48516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKTMAPTKLSFAFLFISLLILCHLVDPAFSRPLTTHTIHQQLSDTLPKNPHFQLHGQTLHEGKASNDDAVSTPNPNPPSAAASSTPGRKMDDFRPTTPGHSPGVGHSIEN >KGN46578 pep chromosome:ASM407v2:6:7415737:7417147:-1 gene:Csa_6G109730 transcript:KGN46578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNHHFLIVCFPVHGHINPSLELARRLTDLGHHVTFATTVLGSHKITTITNKKPTTLLSFTTLSDGSDEQTTPNKSTGNITQFFDSLKLHGSRSLTNLFISNQQSHNPFTFVIYSLLFHWVADIATSFHFPSALLFVQPATLLVLYYYYFYGYGDTIPNQKLQGLPLLSTNDMPSLLSPSSPHAHLLPFLKQQIEVLLDQKSKPKVVLVNTFDALEVQALELAIDGLKMLGIGPLIPNFDSSPSFDGNDIDHDDCIEWLNSKPNSSVVYISFGSIYVLSNTQKEEILHALLESGFTFLWVMIGVDQKEAGKDECCNLLLEGQGKIVSWCRQIEVLKHPSLGCFVSHCGWNSTLESLNYGLPMVAFPQQVDQPTNAKLVEDVWKVGVRVKANLEGIVGKEEIRKCLELIMGRSRDDEQRTEIIMENAKKWKKLASQAIGEDGTSSSNLKSFVANIDKISG >KGN45819 pep chromosome:ASM407v2:6:1482742:1483582:-1 gene:Csa_6G013910 transcript:KGN45819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSFLQTLTNASKWIIDLKNREDELEDKINFLAQFTAAFRHQIHTDIQLNPGSPASPSISAHRALLATRSEIFKNILDSDGCKAAPTNSTITLPELSHEELESLMEFLYSGDLGEEKVKKHVYSLALAGDKYEIPYLQKFCERYMLKWLNCESALDVLEVAEVCSCRALKESALDFIVRNMEEIVFSSGFEAFALKNPHLSVQITRASLMDARKNRPNSSS >KGN48889 pep chromosome:ASM407v2:6:25624800:25625808:1 gene:Csa_6G504690 transcript:KGN48889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIESAFKSTPKLLFDDFWSSNLQNASSPSSDHIFVDQFLNFSDEEQQEDEEKNNHSSVSPPLQLQQVHHDTDQNSNHSSTNSSEDDFWSITSTDFEDLDWLSHLVADSTQEEYSAPTPSVFLTHKPRNPLPNFHSYLNTPFPSKPRTKRPKISARVWSLTNLIPSPLPAKKSKKNHTDEGSGRVQAGRRCSHCGVQKTPQWRAGPLGAKSLCNACGVRFKSGRLFPEYRPACSPTFSNELHSNHHRKVLEMRRKKERERPQSGMDLV >KGN49256 pep chromosome:ASM407v2:6:27359442:27370452:-1 gene:Csa_6G518120 transcript:KGN49256 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox protein MEAAASDGENHNLNNDNINKISNSSEGLSKPKRQMKTPFQLETLEKAYALETYPSESTRAELSEKLGLTDRQLQMWFCHRRLKDKKEPAKKPRKVVPAPALPDSPIDELRVVAEPGSDYASGSGSGSSPFGDVGLRNAAPRSVGDDMPMMRRYYETSRSVMELRAIACVESQLGEPLREDGPILGIEFDPLPPDAFGAPIVAEQQKRSGHYEQRDAKSNKVAARGFPEYPFMPDQANIRADAYGPVSQLHYSDSLGEVSAARTPSFLHGHEQLNRSHNYHSQVSRVRHMSQQEKQGVTISSPAEDNAFSLPRDSYPNIRMSSQFTEHPIVGQENSYVLPDGHFPNDAMIRMERKRKSEEARLSKEAEAHEIRMRKELEKQDILRKKNEERMRKEMEKQDRERRREEERLLREKQREAERLKREERREHERREKFLQREYLRAEKRKQKEAIRKEKEAVRRKAAIEKATARRIARESMELIEDEQLELMELAAANKGLSSILNLDHDTLQNLESFRDYLGAFPPKSVQLKKPFGIQPWVNSEENIGNLLMVWRFFITFSDVLELWPFTLDEFVQALHDYDSRLLAEIHICLLRLIVKDIEDVARTPSTGMGMNQNGVANSGGGHPQIVEGAYAWGFDICNWQKHLNPLTWPEIFRQLALSAGHGPQLKKRSLAMSEMRSKDEAKCGEDVVSTLRNGSAAENAFAIMQEKGLLAPRRSRHRLTPGTVKFAAFHVLSLEGSEGLTVLELAEKIQRSGLRDLSTSKTPEASISVALTRDTKLFERIAPSTYRVRAPYRKDPDDADEILSVARKKIQVFQNGFLAGEDADDVERDEESECDDVDEDPEVDDIATTSLVNEDVSKGDVNLEVENENLCHDIAGNLQNDIAKDVLPFPLSDSKDAKYLSMPTEQYAAVDDTTISDLDQENMEIDESKEGESWIQGLTEGEYHDLSVEERLNALVVLTSIANEGNSIRLVLEDRLEAANAVKKQMLTEAQIDKSRLKEEIITKSDFPIHIVSKVEIELNGSTMDGGQSPFPVADNKNNETTPSTAENHSSVPNERGTLVPDLFPGPDNFLAQQCGHASKRSRSQLKSYIAHRAEEMYTYRSLPLGRDRRRNRYWQFVASSSSNDPGSGRIFVEMYDGNWRLIDSEEGFDALSLALDTRGIRESHLRIMLQMIETSFKENVRRNLQCANEMVQSGITPKNENDYSSSSPDCTAGFNSPSSTVCGLNLDTMVTSSSFRIELGRNENEKKNAFRRYQDLQRWMLRECFSTSTLCAMKFGEKRCNSLFDICDSCLCLFDSQHSHCPSCHQTFGVGGNDINFLEHTRHCERERKSSPLDTHFLDASLPLKSRLLKAFLAFIEVHVPSEAFQSFWTEHRQEWGVRMKLSSSIEELLQLLTMFESVIKRDFLKSDFTTTDEHLSSCSISGNVIHDPADIGSVITLPWIPRTSAAVGLRLCEVDASIYYIGCEKPEPDQDKELGEHINFSSRYVQVKNDERTKLNGLDYDDLMKNENSADPKSLRNSYKRGRGSRDFGRRRKWQKKVNGSRSGRVRQNVKSNEKINQGQGELGQGTQLMGIRGRRTVRKRRAEKSIPDEGLSGLVPSSSTQNIDESPKDYLGEWEDEKMDRFVDMEDEENVMEDEENMMEDEENEENEENVNNVEQMDSDDGQEVGYEQGGWEFGFEGTSNRWNGDLGIGSDEDVDLSDYNGTEEGGNDDIEELDVDSSEESDCSPNRIGNNGGGESAVSDDYSD >KGN46175 pep chromosome:ASM407v2:6:4729668:4733947:1 gene:Csa_6G062340 transcript:KGN46175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREEESGVANFGLPDEVLQVLPSDPFDQLDVARKITSIALSTRVSALESESSALLAQISEKDALIAELQSQIESLDAALSQKSEVLTRAEQEKETLLQENASLSNTVKKLTRDVSKLEVFRKTLMQSLNEEENSTATGGPEAKVKIESQESLPSASVVEDDLALPPSKYSSIQSNTSETVNSVKEEHEADVATSRPRISNSLLLASQTSTPRLTPPGSPPISSASVSPTRTSKPVSPKRHSMSFSVSRGMFDRTSMYSSTGNHSSVSSPHGGTHTGRTRVDGKEFFRQVRSRLSYEQFSSFLTNVKELNAHKQTKEETLRKADEIFGPENKDLFAIFEGLITRNVH >KGN46263 pep chromosome:ASM407v2:6:5292509:5295688:-1 gene:Csa_6G078580 transcript:KGN46263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAVSFSPLHLKPSFHSSSHSQFSCSLRPAVILPGLGNNSGDYDKLRLLLKERHGVHSVVVKVSRIDWLRNAAGLLDPNYWRGTLRPRPVLDWYLKKTDEAIQEAKELAQGGTLSLIGHSAGGWLARVYMEEFGISQISMLLTLGTPHLPPPKGVPGVIDQTRGLLNYVDKNCSKAGYNPELKFVCIAGRYIQGSRLFGNSDANTILAAASISSNQPTPELAITNNTSNSTDSTTTSLRARFVGQGYKQVCGESEVWGDGVVPEVSAHLEGALNISFDGVYHSPVGSDDELRPWYGSPAILDQWVHHLLH >KGN46897 pep chromosome:ASM407v2:6:10423781:10425380:1 gene:Csa_6G149380 transcript:KGN46897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSSKTKRARSALSSEGAFNRHKFISKDAADRYRKLVVKSSVIPERGLAPCEVHQPQLFQNIMQRGWSDFVKQPEPAVLSIVREFYANMVEGSSRSFVRGRQVSFDYGTINRYYHLPNFERDEYDIYASEHVDVHQIIRELCQPGAEWVINPGEPIRFKSSNLTVSNQVWHKFICAKLLPVAHTSSVTKERAILLYAIATKRSVDVGKVIQKSLCNIRKSGMTGGLGHSSLITALCRNEGVVWNEKEELVDPKPIMDKSFIMEIPGWSFEPMGAGHCDETAGTSHCNKTTDAGHNDEPSDQDEAEPIREVRQTLTIDLPRQTQRPLSLDEQIRRLERRVRSYHRRSEERFDHLYKCLFALHDRGVMHVFPPRMQPYVSSDDDS >KGN48009 pep chromosome:ASM407v2:6:19735061:19740092:-1 gene:Csa_6G424000 transcript:KGN48009 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase MGICPGVEMLQKLLEAFFSGSLMKFGYGGGGGGDRTRIRGTVVIMKKCVLDFKDVKASVLDRVHEFFGKGVTIQLISSNPPQSGRLGAVGKAANLEQWISTVKPSLTADDIDLVIVVTDPSCEHRLPLLSLDIYVPRDERFRNLKLSDFLAYSVKSVVQSLLPEIKSLCDKTINEFDCFQDVLDLYEGGMSLPTEVLGTLRELVPWQLFRELMRSDGRQFLKFPVPDVIKENKTAWRTDEEFGREMLAGVNPVIIRRLLEFPPVSKLDPKTYGKQNSSITEEHIAEHLNGLTIDQYLDLVGVAIPDSSSPHGLKLLIEDYPYAVDGLEIWSAIEKWVRDYSYFYYKSDEMVQKDTEIQSWWTEIRTVGHGDLKDEPWWPKMNTREDLVQSCTIIIWIASALHAAVNFGQYPYAGYLPNRPTVSRRFMPEPGTPEFRELETDPELAYLKTITAQLQTILGVSLIESLSRHSVDEIYLGQRDTPEWTKDEEALAAFERFGDRLREIEEKIMRMNNEEKWRNRVGPVKMPYTFLFPNTSNYYEEEGLNARGIPNSISI >KGN47658 pep chromosome:ASM407v2:6:16777724:16779737:-1 gene:Csa_6G366560 transcript:KGN47658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSHTLLLYFPISISQSFDALLLSLLLLSLFTFFLHPGGFAWAISKCKTTASSAIPGPSGLPFIGLLHIFTLSTPHKSLSALANRFKAYPLMAFSVGLTRFVISSNPDVAKEILNSPSFADRPVKESAYELLFHRAMGFAPYGEYWRNLRRISATYMFSPKRIAGFEGFRKEVGFKMVREIEKSMVLKGDVRVKEILHFGSLNNVMMTVFGKCYEFCNGERDGLELEALVKEGYDLLGIFNWSDHFPLLGFLDLQGVRKRCRCLASKVNVFVGKIIEEHRMKREIKEFHQEEQEHNKDFVDVLLDLEKDDKLSDSDMIAVLWEMIFRGTDTVAILLEWILARMVIHPEIQTKAQNEIDSVIDEKWRAISDNDIQKLPYLQAIVKECLRMHPPGPLLSWARLAVADVHVDGRVIPAGTTAMVNMWAITHDEKVWKDAEKFDPNRFMEEEISVMGSDLRLAPFGAGRRVCPGKAMGLATVHLWLAQLLQAYKWMPCSDESTNGVDLSECLKLSMEMKTPLVCRAVPRPAW >KGN47104 pep chromosome:ASM407v2:6:12148514:12153448:1 gene:Csa_6G187970 transcript:KGN47104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSITGPTTFHFHYTLSKRGLPRFPKPEYPSILFRLTMGEEDSIYTQDGTVDYRGDPAVRTQTGTWRACPYILGNEFCERLAYYGMSSNLVLYFKDHLNQHSATASKNVNNWIGTCYITPLIGAFLADAYLGRYRTIAAFSILYVFGMTLLTLSASVPGLKPTCVSKDDCHATTAQSALCFLALYLIALGTGGIKPCVSSYGADQFDDADETEKKHKSSFFNWFYLSINVGGLIASSVLVWVQENVSWGWGFGIPAIAMAIAVVSFFSGTRLYRNQKPGGSPFTRICQVIVASFRKYKVKVPESKALYETADSESSIVGSRKLDHTDDFRFFDKAAVELESDQMLKGSVDRWKLCTVTQVEELKAIIRLLPVWATGITFAAVYSQISTLFVLQGDRMDPHIGPNFEIPAASLSIFDTLSVIFWVPVYDRIIVPVARKYTGHSNGITQLQRMGIGLFISILAMLSAAILELVRLQDVRRHNYYELKHMPMSIFWQVPQYFLIGCAEVFTFIGQLEFFYEQAPDAMRSLGSALSLTTVALGNYLSSLLVTIVNKASTKGGRLGWIPDNLNYGHVHYFFFLLAILSIKNLIAFFVIAKWYKYKRPIGTLR >KGN48782 pep chromosome:ASM407v2:6:25079399:25079828:-1 gene:Csa_6G501230 transcript:KGN48782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADIAILVAEEYERRTKNPRRGQEMIRQELQELGSSGVSISATATSIRMKKMMEMAKKHNYSAEMSEFNWVFEPKSQIGRAASTGFFSA >KGN48724 pep chromosome:ASM407v2:6:24735139:24736090:-1 gene:Csa_6G499190 transcript:KGN48724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLPFSFTPNLHSLSLLIIILLHPFFTVQAQQSPSQSQSHLHYLKLSRPLTVTVLLLLFIFFIVLFFSVYIRHFLELNAASDAVLRSLERVDRFGPSHGLKAAEIGAFPLVAHSAIKEMKMGKWSLECAVCLAEFQHYETLRLLPKCGHVFHPPCIDAWLASCATCPICRAQLAAGENFSSEFVAIDVVDHNNGDVVHN >KGN48035 pep chromosome:ASM407v2:6:19930645:19931512:1 gene:Csa_6G425720 transcript:KGN48035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHDQVIIREEEEEDQSLPGFRFFPTEEELVLFYLHNQLQGCRKDIHRVIPVVDIYEIEPFNLSRYSGERCRRDSEQWFFFVKQQERQARGGRANRTTSSGSGYWKATGSPTYVYSSENRVIGVKKTMVFYRGKAPTGTKTKWKMNEYKAIQDIIPPHSPFSSTPIPQLRHEFSLCRVYVVSGSFRAFDRRPLKAYS >KGN48322 pep chromosome:ASM407v2:6:21982610:21998530:1 gene:Csa_6G476130 transcript:KGN48322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNGNARKSRPPPHHPSPAPPPKHQALSQEEDFVDEDVFLDESLLDEDALILRDIEERQALASRISKWARPPLSQDYLSQSKSIVFQQLEIDYVIGESHKELLPNLSGPAAILRIFGVTREGHSVCCHVHGFEPYFYISCPSGMGPDDISLFHRTLEARMKEVNRNNKAPNFVRRIELVQRRSIMYYQQQTFQSFLKIVVALPTMVTSCRGILDRGIQIEGFGMKSFMTYESNVLFALRFMIDCNVVGGNWIEVPVGKYKKTVRSLSYCQLEFDCLYSDLISHAPEGEFSKMAPFRILSFDIECAGRKGHFPEPTQDPVIQVANLVTLQGEDQPFVRNVMTLNTCSPIAGVDVLSFDTERDVLLAWRDLIREVDPDIIIGYNICKFDLPYLIERAEALKIPEFPLLGRIRNSKVRVKDTTFSSRQLGTRESKEITVEGRVQFDLLQVMQRDYKLSSYSLNSVSSHFLSEQKEDVHHSIISDLQNGNAETRRRLAVYCLKDAYLPQRLLDKLMFIYNYVEMARVTGVPISFLLSRGQSIKVLSQLLRKAKQKNLVIPNAKHAGSDQGTYEGATVLEARAGFYEKPIATLDFASLYPSIMMAYNLCYCTLVTPEDACKLNLPPEHFNKTPSGEIFVKPNLQKGILPEILEELLTARKRAKADLKEAKDPFEKAVLDGRQLALKISANSVYGFTGATVGQLPCLEISSSVTSYGRQMIEHTKKLVEDKFTTLGGYKHNAEVIYGDTDSVMVQFGVPSVEAAMVLGREAADYISGTFIKPIKLEFEKVYYPYLLISKKRYAGIETVRRDNCLLVKNLVNECLHKILIDRDVPGAVQYVKNTISDLLMNRVDLSLLVITKGLTKTGDDYEVKAAHVELAERMRKRDAATAPNVGDRVPYVIVKAAKGAKAYERSEDPIYVLENSIPIDPQYYLENQISKPLLRIFEPILKNASKELLHGSHTRSIFISTPSNSGIMKFAKKQLTCIGCKALLGKSDKTLCSHCKGREAELYCKAVANGFGHSAKNAKGLFTKMSSVRVETVLYFIEERRHKKTWLKLKSNWNVGIFNIIERIFFPHVVDDFDID >KGN48335 pep chromosome:ASM407v2:6:22088191:22088655:1 gene:Csa_6G482730 transcript:KGN48335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCYFGSRSIQQKWLDAETKRSQPLTQQRREVIYTPPYYSSGTDSPRRSYAEVVSQKSSSDSDSLPLHKRESHGKRKEEAKTEKKEDFDWNKVVVITRRYIHDDSFKIIDKLKNQLESDITYKQFHAEKAIILFKDTSQAQIILLPDRGHLQRIH >KGN49237 pep chromosome:ASM407v2:6:27268168:27269468:1 gene:Csa_6G517940 transcript:KGN49237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYQDRRLKSVQVQDQVQPQPQKCPRCDSLNTKFCYYNNYSLSQPRYLCKTCRRYWTHGGTLRNVPVGGGCRKGKRLKQPSQSSNNSSVKPLVQTSASPLPPPQIVPLSLSTTTSQHVIYSGTPVITPPSFFNSGGELLSSSSWISSFGSSSQGPEIIYDMMDQSSGKADANSSSPAAGWSESYINNNSISNPIAATGDAVVWPAGENNNATLAAATTTNIATINQWPDYMPGFCPPP >KGN47903 pep chromosome:ASM407v2:6:18969247:18970504:-1 gene:Csa_6G410090 transcript:KGN47903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIMEILKALAFITVAATILGSFSVEGQIPYPCTTSMINNFTPCFNAITGSSSNGSSQQESCCTSLRSLSGTSMDCACLLLTANVPVPLPINAALGLILPSSCNISNLPAQCKATGSQLPSPGPISLGPTAPTSTAAISPKLSPQVSKAVAVAPAPEQSPATNPPAESVAPAATHRRIRPVLTPSASVPSCFHPPSLLLISIAIMVFKSH >KGN47042 pep chromosome:ASM407v2:6:11719210:11720908:1 gene:Csa_6G180980 transcript:KGN47042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARANYLIAALSIHIIWLLFLSKPCSALAPKTSRSFSSVLIFGDSTVDTGNNNFIPTIFKANYWPYGKDFPGHVATGRFSDGKLIPDMVASKLGIKELVPPFLDPELSDDDVKTGVSFASAGTGVDDLTAAISKVIPAMKQIDMFKNYIQRLQRIVGVDESKRIIGSALAVISVGTNDLTFNFYDIPTRQLQYNISGYQEFLQNRLQSLIKEIYQLGCRTIVVAGLPPIGCLPIQETISSPIPLNRRCLEYQNKDAEAYNQKLSKLLGSLQPQLPGSQILYADIYTPLMDMINNPQKYGFEQTNIGCCGTGLVEAGPLCNKITPTCEDPSKFMFWDSIHPSEATYKFVTESLLNQFFDRLN >KGN47239 pep chromosome:ASM407v2:6:13149535:13154336:-1 gene:Csa_6G227020 transcript:KGN47239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQMGFCFSLTLLPSPPTFLLLLLLFLGHFHYTNAQFSNNNNTLSSLAAGNCNLYQGKWVFDSSYPLYDSSTCPFVDPQFNCQKYGRPDKSYLKYRWQPFACGIPRFNGLNFLEKWRGKKIMFVGDSLSLNQWESLACMIHASVPNSQSSFVRRDGLSSVTFQVYGVELWLYRTPYLVDMVNEKIGTVLKLDSIKSGNAWRGMDMLIFNTWHWWTHTGSSQPWDYIQDGNRVLKDMNRYVAYYKGLTTWARWVNLNVDPSKTTVFFLGISPTHYIGRDWSQPTKSCSGETEPYFGGRYPAGTPMAWVVVNKVLSRIKKPVVFLDVTTLSQYRKDGHPSAYSGEHSGTDCSHWCLPGLPDTWNELLYAHLFG >KGN45964 pep chromosome:ASM407v2:6:2844019:2844375:1 gene:Csa_6G040040 transcript:KGN45964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIFGKKKGSGQLGTVRLFSSNSLEPKLLLPLKYFSLLPTAPISGFSLSRNDLAIVLAAWLPTTTQMKKNIMKKYERSRRRREGVALPSYIAGKPTIGIVSFWNG >KGN45859 pep chromosome:ASM407v2:6:1748002:1750461:-1 gene:Csa_6G014790 transcript:KGN45859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHRKFEHPRHGSLGFLPRKRAARHRGKVKAFPKDDATKPCRLTAFLGYKAGMTHIVREVEKPGSKLHKKETCEPVTIIETPPMVIVGVVGYVKTPRGLRTLGTVWAQHLSEEVRRRFYKNWCKSKKKAFAKYSKQYETDEGKKTIQEKLEKLKKYASVIRVLAHTQITKMKGLKQKKAHLMEIQVNGGSIAQKVDYAYGFFEKQVPVEAVFQKDEMIDLIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSFTVARAGQNGYHHRTEMNKKVYKIGKTGQESHTAITEFDRTEKDITPMGGFPHYGIVKSDYLMIKGGCVGPKKRVITLRQSLLKQTSRVALEEIKLKFIDTSSKFGHGRFQTTQEKQKFFGRLKA >KGN47273 pep chromosome:ASM407v2:6:13638048:13638301:-1 gene:Csa_6G240700 transcript:KGN47273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARELSVAKYEKKFTELAKYAMVLVALETERCMQFVNGLRGETRPPIVSITVRNDYAQLVDAAV >KGN47623 pep chromosome:ASM407v2:6:16554454:16557366:-1 gene:Csa_6G365720 transcript:KGN47623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLDTSGLQTTAPLFGPTMEAMEGFAAAPSFEIPNSSDFDGFQKDAIQMVKPAKGTTTLAFIFKEGVMVAADSRASMGGYISSQSVKKIIEINPYMLGTMAGGAADCQFWHRNLGVKCRLHKLANKRRISVAGASKLLANILYSYRGMGLSVGTMIAGWDETGPGLYYVDSEGGRLKGTRFSVGSGSPYAYGVLDNGYRYDLSVEEAAELARRAIYHATFRDGASGGVASVYYVGPNGWKKLSGDDVGELHYNYYPVTPTTVDQEMTEVTAA >KGN46170 pep chromosome:ASM407v2:6:4707564:4710271:1 gene:Csa_6G062300 transcript:KGN46170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCIHTKCCCRYPKSLVGESQDFRQGVPYCLQDKNTVIEKSLEMIPVASHNYHLEYLVLAQRGYYPESPDKENQDSFCIRTNIQGNPNAHFFGVFDGHGQFGMQCSNFVKDRLIEKLCNDPTLLDDPIQAYNSAFLSTNDELHTSEIDDSMSGTTAITVLVVGDTLYVANVGDSRAVIAVKEGNCVLAKDLSNDQTPFRKDEYERVKLCGARVLSVDQVEGLVDPDIQCWGDEESQGGDPPRLWVQNGLYPGTAFTRSIGDSTAEKIGVNAVPEVLVLQLNPNHLFFVVASDGVFEFLSSQTVVDMASSYADPQDACTAIAAESYKLWMEHENRTDDITIIVVQIKGLSNSGADNNPSSNGLETASLRIDFSDLPTEPNTQPVAVTNRNPAIVVPMLNNQTSL >KGN46073 pep chromosome:ASM407v2:6:3893840:3898096:1 gene:Csa_6G051500 transcript:KGN46073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLRKQNSRKKSFIRKMFAMGERNGKKEFKGSFVESTDPNSDLQLDSTFLGSTDSLMAPGQEVQSFRVFVATWNVGGKSPQTNLNLNDFLKNDNCADIYIFGFQEIVPLNAGNVLVIEDNEPAARWLSLINQSLNNPTNGGSRGPKSNTGLGGSKFFPKPSLKSISKTFRTVSRRKLKSCNCTPLELERKRNKDFWFRCQPSNVSQSGISSEEDDDEEDPSIFDISDISIPESSNETKYGLIASKQMVGIFVTIWMRQELVPHVSHLRISSTGRGIMGCLGNKGCISVSMLFHQTSFCFICSHLASGEKEGDELRRNLDVIEILKNTQFPKICRPPYSRMPEKILGHERIIWLGDLNYRIALSFSETRRLMEENRWDALLSKDQLKIERDAGRVFSGWKEGMIYFAPTYKYYYNSDTYAGDLKKSKKKRRTPAWCDRILWHGDGIRQLFYIRGESRFSDHRPVCSTFLVDVMVIEGGLKKKMPISDMKVGAEELLPTNSRYYP >KGN48282 pep chromosome:ASM407v2:6:21694724:21701606:1 gene:Csa_6G453810 transcript:KGN48282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQSGKLMPNLDQQSTKMLNLTVLQRIDPFIEEILITAAHVTFYEFNIETNQWSRKDVEGSLFVVKRNAQPRFQFIVMNRRNTDNLVENLLGDFEYEVQAPYLLYRNAAQEVNGIWFYNPRECEDVANLFSRILNAFSKVPLKSKVSSSKGDFEELEAVPTMSVIEGPLEPSAPPSTVTDPPEDPSFVNFFSAAMNIGSNAPNIPDSRQPYHASPINPPSLLAPNLVSAPALAPQPSFPIAASTTLTSPYGPSDPNNGTNQVTNLVKPSSFFPPPSFSSAPMRPTLPLSMPMPTLHPPLNLQSPYGTPMLQPFPPPNPPPSLTPGSAPALNDGPVISRDKVRDALLMLVQDDQFIDKFYQALLKMHHS >KGN45609 pep chromosome:ASM407v2:6:48576:52187:1 gene:Csa_6G00090 transcript:KGN45609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTNNLHGSDSVNEVLMESAKEGSANMRSEGKTSDTEIKARVDSMWEQMNKGVSSKTLKGLSTKRSPAVNKNSKKPSNNWMAYLGMASKKPQEKDTLSEGSKVLQNSSIDDAKMLAATALSAVRDATATISGRGKVEITEIRDFAGQNVEIKKFVDADSKEAHEKMKAPATSAVDVVLEQIKKKQKLSVLDKTKKDWGEFKEENKGLEEDLDAYKKSSNQYLDKVSFLQRTDYREFERERDARLALQARRRPDMREEP >KGN46932 pep chromosome:ASM407v2:6:10676597:10678997:-1 gene:Csa_6G151690 transcript:KGN46932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRALHLQLRVDANLVKPFCHLCFASSLNVSPLIAFRPIKYPHLQKFNPSRSSRRTHFSTSFVASSMADSSLSRSSETETKPFSVLFVCLGNICRSPAAEGVFRNLVTKKDLDSKFTIDSAGTIGYHEGNEADPRMRAASKRRGIAITSISRPIQPSDFVNFDLILAMDKQNREDILGAFERWCTKNGLPPDSHKKVKLMCSYCKKHDETEVPDPYYGGQQGFEKVLDLLEDACESLLESILAENKHISD >KGN48672 pep chromosome:ASM407v2:6:24448291:24449012:-1 gene:Csa_6G497230 transcript:KGN48672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENRGKWEKEEEEEEKYVYNVKIATKERAKEAAAVCMPCGIRIIDKLLKWQVVGRNEYEMERIDRETEGGKWVEESERKGGRRGPVDKWATSDSGSGGNNGRHFGLAGSQ >KGN48347 pep chromosome:ASM407v2:6:22163193:22167664:1 gene:Csa_6G483290 transcript:KGN48347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIVESILDLQVQDPPEEEFYSADLTWTKFGTVEHHDEVALIPYARVDAFIIGECTNIECPTRFHIERGRKRSRGSLKEFKDDEYLEYRQYWCSFGPENYGEGGSILPSRRYRLNTRNRAARPQSMRGCTCHFVVKRLYARPSLALIIYNERRHVNKSGFVCHGPFDREAIGPGAKKIPYICNEIQQQTMSMLYLGIPEANIVEKHLECLQRYCGSNAKANSLASQYVHKLGMIIKRSTHELDLDDRASISMWVERNKKSIFIHQDTSEDNSFILGIQTEWQLQQMIRFGHRSLIAADSTFGIRRLKYPLCTLLVFDSRQHALPVAWIITRSFAKSDVSKWMKALLDRAQSVEPGWKVSGFLIDDAATEIDPIMDIFCCPVLFSLWRIRRSWLKNVVRKCSSIEVQREIFKRLGKLVYSIWDGVDASVVLEEFTRDFVDQTAFMEYFKGCWVPKIEMWLSAMRAFPLASQEASGAIEAYHMKLKAKLFDDSHLGAFQRVDWLVHKLTTELHSTYWLDRYADESDSFQNVKEEYISSTSWHRALQIPDSSVTLDDENHLFAKVLSQKDTSISHVVWNPGSEFSFCDCSWSMQGNLCKHVIKVNMVCENCPSYKPSMSFQSFEEILMNMWKLPMDDSVALDVSMAWTHQILDEVQKLVELNSSNDISSVVNKLPLKWASGKGRTSFRKPSSTIPFPSESNTVKKAMQKKNQKRKRLSSIR >KGN48153 pep chromosome:ASM407v2:6:20744642:20745581:-1 gene:Csa_6G445170 transcript:KGN48153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKLDPSQVVEVFVRVTGGEVGAASSLAPKIGPLGLSPKKIGEDIAKETAKEWKGLRVTVKLTVQNRQAKVSVVPSAAALVIKALKEPERDRKKTKNIKHNGNISLDDVIEIARVMRPRSMAKDLSGSVKEILGTCVSVGCTVDGKDPKDLQQEITDGDVEIPQD >KGN48824 pep chromosome:ASM407v2:6:25301221:25303526:1 gene:Csa_6G502620 transcript:KGN48824 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chitin-inducible gibberellin-responsive protein MANMCADSGNLMAIAQQVMKQKQQQEQQQQHPQHQPQQLTGPCTFGLNPWSASNHALSGAPDLGFGLTGAGFPDPFQVQRVVDGGETSFQFPNLEHHSPAFRFSDFDGGAAAEFDSDEWMESLMAGGDSTDSSNLPSGCDAWQSNSDFAFYAADPFVPCPSRLPIPCSSPSDLNRVIFPDPPKSEPAVEPPVVSWTGIPPATPSAVVKDTQIPNPPLAVLKSEDNGGSSSSADTESTPPLLKTLIECARISESEPDRAAQTLIKLKESSSEHGDPTERVAFYFMDALCRRLSLPSDSRLISCESTSDDFTLSYKALNDACPYSKFAHLTANQAILESTENASKIHIIDFGIAQGVQWAALLQALATRSTGKPTGIRISGIPAPMLGSCPATGLFATGNRLAEFAKLLELNFEFDPILTPIEELNESSFQIDTHETLAVNFMLQLYNLLDETPRAVLNVLQLAKSLNPKIVTLGEYEASLNRVGFLNRFKNALRHYSAVFESLDPKLPRDSNERLHLEKLLLGRQIGGLVGPESSPGSKTERMEDKEEWKKLMENSGFESVNLSHYAKSQAKILLWKYDYSSEYSLMESSPGFLSLAWNEVPIITVSSWR >KGN48476 pep chromosome:ASM407v2:6:23119137:23119322:-1 gene:Csa_6G489920 transcript:KGN48476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFIQKTGLSQGGKLFKYVVSIICISRLETIHQQVANLKKFGFTEDETFSAISPYLDSISR >KGN47581 pep chromosome:ASM407v2:6:16307905:16310768:-1 gene:Csa_6G361660 transcript:KGN47581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQYKGAPFGELSPHVFAVADASYRAMISEGRSQSILVSGESGAGKTETTKLIMQYLTFVGGRASGDNRTVEQQVLESNPLLEAFGNARTVRNDNSSRFGKFVEIQFDTNGRISGAAIRTYLLERSRVVQITNPERNYHCFYQLCASGRDAEKYKLDHPSHFRYLNQSKTYELDGVSNAEEYIRTRRAMDIVGISHEDQEAIFRTLAAILHLGNVEFSPGKEYDSSVLKDEKSSFHLGVASNLLM >KGN47818 pep chromosome:ASM407v2:6:18294210:18294443:-1 gene:Csa_6G405315 transcript:KGN47818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFDEGVKNKRDKCISYRIWREKEMAPISTILSFLLSSLSFLSDPWPEAFYGLPLEHSAQLSLIGYAIFHTDTAIVL >KGN46803 pep chromosome:ASM407v2:6:9582247:9582567:1 gene:Csa_6G136570 transcript:KGN46803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELDFGTSALVRDCLTNAAVANNPRVFWGRRGLKFAIIFFQVLENARGRFVILSLESFKGKKTRIFISKGSKGKGRKSFAEEISVLLLGLEGAIRISFASEVWKGN >KGN48652 pep chromosome:ASM407v2:6:24295202:24296325:-1 gene:Csa_6G497030 transcript:KGN48652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATVTVNPPRPKLACFSFAAYAKTVIDHLKSLQIPVHPGLSDPEFTSVESTFRFSFPPDLRSILQEGLPIGSGFPNWRSSSTQQLHILINLPKFCLLKEISQRKFWCQSWGAQPDDTNDAVALAKQFLDRAPVLVPIYKNWYIPSAPNMAGNPVFHLDDGEIRVSSFDLAGFFQTHEYSQLGKAETDRLVIDSPAWAATEARAVEFWTEVASRKKATGREVTEGWWNEGEFEMGLDGCLEDVFWKLREGGWREEDVRDMMMMDRHDRSLEQNEATMEKLRVSVCEILLSGGWSRDDVVYSLDLEGHSASVIPEEESTFEINLHHQHLPIRIPQVERKIKPRNTTTSHLKMPPFFFAPHRNLIL >KGN48358 pep chromosome:ASM407v2:6:22222335:22227204:-1 gene:Csa_6G483390 transcript:KGN48358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNRSFVQHRIPPTSRFIIRKSSSPFAVTLFLILIFASSIFVFLFCTRNILDDEQKPLFSKPEKFQSKSELKSVDHLWNAPSSYGFHPCVKPTSRYEAAQTSDHYITVRSNGGLNQMRAGISDMVAVARILNGTLVIPQLDKRSFWHDTSTFSDIFNEHHFIKTLQSDVKIVKELPKELESIPHARKHFTSWAGFGYYEEIARLWRDYQVIHVAKSDSRLANNDLPLDIQRLRCRAMYEALHFAPPIENFGKKLVERLRLRGERYIALHLRYEKDMLSFTGCTYGLTHLEAEELKIMREKTPHWKVKIINSTEHRIEGLCPLTPKEVGIFLQALGYLPSTLIYIAAGEIYGGDTRLSELSSRFPNIVTKETLATEEELKPFINHASQSAALDYIISIESDVFIPTYSGNMARAVEGHRRYLGHRKTITPERKGLVELFDKLERGQLTEGSSLSDHVQKMHKNRQGGPRRRRGPQAGIKGRARFRTEESFYENSYPECICKSKQQL >KGN49400 pep chromosome:ASM407v2:6:28085753:28087553:-1 gene:Csa_6G523410 transcript:KGN49400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHFFLLFSLTFSGVESLGINYGQVGDNLPPPDKVLELLTSLKISKVRIYDTNPQILATFANSKTELIVTIENEMLSQLMDPQQALQWVATHIKPYFPASRITGIAVGNEIFTGNDTVLMSNLVPAMINIHNALARLGLGSYIHVSTPTSLAVLENSFPPSAGSFKTQFSTYISQFLQFLSSTKAPFWINAYPYFAYKDNPNKISLDYALLNPMSYMVDPYTKLNYDNMLYAQVDAVIFAMANLGFGGIEVRVSETGWPSKGDYDEIGATAENAAIYNRNLLRRQLANEGTPLRPNMRLEVYLFALFNEDMKPGPTSERNYGLYQPDGTMAYNVGLSALLSSSSSTSSASISLSSSATKTISMEYKSLVYWMFVYLLISLEIILTRRPLYLED >KGN49357 pep chromosome:ASM407v2:6:27862470:27864383:1 gene:Csa_6G521040 transcript:KGN49357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPGVNLYTMMIGGYADEGRLEDALKLFYEMPVKDLISWNSMLKGCLKCGDLTMACNMFDKMSERNVVSWTTIINGLLEFGRVEVAECLFRVMPTKDVTAWNSMVHGFFSNGRVEDAIELFEKMPNRNVISWTSVIGGLDHNGRSFEALVVFHKMLASFKATSSTLACALTACANICTPFIGVQIHGLIVKTGYCFNEYISASLISFYANCKLIDNASSIFNDNVSRNVVVWTALLTGYGLNCRHTDALQVFKGMMRMSVLPNQSSLTSALNSCCGLEAVDRGREVHAVAHKLGLESDIFVSNSLVVMYTKCGHINDGIAVFTRMSRKNVVSWNSIIVGCAQHGFGRWALTLFAQMIRTRVDPDEITLAGLLSACGHSGMLTKGRCFFKHFGKNFGIEMTNEHYSSMVDLLGRYGQLEEAEALIHIMPGKANYMVWLALLSSSINHSNVHVAERAAKCVLDLQPNCSAAYTLLSNLYASTGKWTEVSKIRKKMKDEGILKQPGSSWITIKGIKHNFISGDQSHPLSRKIYQKLEWLGGKLKELGYVPDPKFSFHDVETEQKEEMLSYHSERLAIGFGLISTVEGSTIIVMKNLRICGDCHNAVKLTSKVVGREIVVRDPSRFHHFHNGTCSCGDYW >KGN48533 pep chromosome:ASM407v2:6:23488031:23496633:1 gene:Csa_6G490970 transcript:KGN48533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPKTCFLQFSLPSSSLYITLHSPLSSPSRFELHPTRGRPTNSHLFPPSSSLIFPFDRLILRLVQSTPPPTTSYFISLPRYLMLGNIRKAVRSLHTNHRFRHLEVRNIGTTVTVEPLLHNKLLSHYRFLARGHGFSPLYCTKRNLSLTRNSRNFCIWTTSNVVKHQAQFVWKRVCSKFSSNGYSIPTLNEVARAFCLAMSRSHLIVPGIFAFTCGELACAQRTLTNMDPYPSHNSFYIRAQDGHAYLISLLFSTLEGIILLFRALYLAILFSPSILMAPFADVFGLRFRKLWLQVVHRSLEKGGPAFIKWGQWAATRPDLFPRDLCIELSKLHTKAPEHSFAYSRKTIERAFGRKLSEIFENFEEEPVASGSIAQVHRASLKFRYPGQQMEPMVVAVKVRHPGVGELIRRDFIIIDFVAKISKFIPTLNWLRLDESVQQFAVFMMSQVDLAREAAHLSRFIYNFRRWKDVSFPKPVYPLVHPAVLVETYEQGESVSHYVDGLEGYERLKSSLAHIGTHALLKMLLVDNFIHADMHPGNILVRVSPEKSRRKRLFKSKPHVVFLDVGMTAELSGNDRVNLLEFFKAVARRDGRTVAERALKLAKQQNCPDPEAFIEEVTNAFDFWATPEGDLVHPAEALQQLLEKVRRYRVNIDGNVCTVMVTTLVLEGWQRKLDPSYNVMETLQTLLLKAGWAESLSYTIEGLMAP >KGN47565 pep chromosome:ASM407v2:6:16155987:16157808:-1 gene:Csa_6G361270 transcript:KGN47565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSLVNGQESLCLKGVLWCCSSMGWNEIRARASVTMGRKAGSLFINPKKFGNLNKPCMKEMVSFLNCIALNQNNDEKCTRHKELLNTCMDAQATRSKKPWGSINYHLQRLNRGRK >KGN47011 pep chromosome:ASM407v2:6:11416723:11417391:1 gene:Csa_6G169270 transcript:KGN47011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISRRLPLILTLGTILFCFLFIGVSAAAGPDYGDDIHTVKHPSDTAECKREHLDKGDQCREENDLFEDDDFDDTYKIVNNIAVTLSASGRILQQETEESEDIPSSEEEMGNILIVGH >KGN48096 pep chromosome:ASM407v2:6:20389266:20395948:-1 gene:Csa_6G430720 transcript:KGN48096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSWSHRFSAGTASFGGPFRLRQRPRSLPEVSIYRNDDLRSGCGCVILRAPKHRRLEAVAPSGAWDLSDSGEEDRVKPCSYAVGDQRVEDLAEGKSGEILENIRAEKLRRSDRKVEIVVAAASTVIFGVANRVLYKLALVPLKHYPFFLAQLATFGYVIVYFSILYLRYHAGIVTDEMLSTPKAPYIVAGLLEALGAATGMAAAAILSGASIPVLSQTFLVWQILLSTIFLGRRYKTSQLFGCFFVTIGVIITVASGSNAGNSLKEAGIFWSLLMIISFLFQAADTVLKEIIFLDASRQLKGRTIDLFVVNSFGSAFQAVFILALLPFLSKLWGIPFTQLPSYLRDGAACFLNYGSLSGCDGAPLLPLLFILVNIGFNISLLHLLKISSAVVSSLASTFSVPISVYMFTLPLPYIGVASALPSGFVAGAVILVLGLLIYAWTPSGSSDTNNATPHVPTT >KGN49089 pep chromosome:ASM407v2:6:26584427:26586372:1 gene:Csa_6G513530 transcript:KGN49089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKGWGLTLRDSEHQSIGFFSNKPPPPPPPPTLNSFQRMFQGLEFSGKLGHTDSTPDDNNRLAVEVDFFSAKKRVVDDLEADQDSKPTSTTSIIKDDKALTPPPPPTTSFNLVNTGLHLLTANTGSDQSTVDDGISSDGEDKRAKNELAQLQVELQRMNAENHKLRDMLSHVSNNYSSLHMHLLSLMQQKQQQQNHPSEPAHQREIGGEKKSTEIKHEVGKVMVPRQFMDLGPSGNSNIGESEELLCNSSSDERTRSGSPLNINNNNNNTETASKKRDHAEIMPPNSDHENSKRSIPREDSPESESQGWGPNHKTPRFNNSSNSKPLDQSTEATMRKARVSVRARSEAPMISDGCQWRKYGQKMAKGNPCPRAYYRCTMAVGCPVRKQPEPYFHVLQAWLQFQLQLHFQPSH >KGN47480 pep chromosome:ASM407v2:6:15468978:15475981:-1 gene:Csa_6G338120 transcript:KGN47480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWRRVFFYTLFILFKMVESSSRSGKMWLLNLSSEAAPKFSWTILSAGVFVFAALVLSTFLIIEHLASYNQPEEQKFLIGLILMVPVYSLESFLSLLNSNGAFNCEVIRDCYEAFALYCFERYLIACLGGEKRTVEFMESQTVVDSSTPLLAEKYAYGVVEHPFPLNCFIREWYLGSDFYHAVKIGIVQYMILKMICALLAMILESFGVYGEGKFEWRYGYPYLAVVLNFSQSWALYCLLQFYSVTKDKLESIKPLAKFLVVKSIVFLTWWQGVAVAFLFSIGAFKGSLARELKTRIQDYLICIEMGIAAVAHVYTFPAVPYKRGERCVRNVSVLSDYASLGTPPDPEEVQDSERTTKIRLGRHDEREKRLNFPQSVRDVVIGSGEIIVDDMKYTVTHVVEPVERGIAKLNKTIHRFSENVKRHEEQRKSAKDDSHLIPLNSWSKEFSEVEENITQGSVSDSGITNGKRQHSQSKATTSRIRFGR >KGN46072 pep chromosome:ASM407v2:6:3882384:3888123:1 gene:Csa_6G051490 transcript:KGN46072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASTVSITANPATRRRPVLASEKKGASFELLATDGLNPLSNTATLGTVGAADDKLAGANGRDMSHHSIRGEVVLERSSRDPIQIKKAVANSTISPRRSRKVITKPEKPRWVTIVSVLTKNGVLLLVLLGLAQMVRKLALKSGEGEVGNQMGFSEVEGRIAEVEALLKTTSKMLQVQVEVVDRKIENEVGGLRREVNKKIDEKTADLDSGLKKLENKGEELERSLSELKTGDWLSKQEFDKIYEELKKTKNGEFDEQRFANLDEIRASAREMIEREIQKHAADGLGRVDYAVASGGAMVVKHSDPYRGRTSNWFLKNVRNGVHSDADKLLKPSFGEPGQCFALKGSSGFVQIRLRAAIVPEAITLEHVAKSVAFDRTSAPKDCRVSGWFQGKNPNSAINGEKMFPLAKFTYDLEKSNAQTFDVVDTTGSGLVDMIRLDFSSNHGNPSHTCIYRMRVHGHEPYSVSMMAIQS >KGN47318 pep chromosome:ASM407v2:6:14150180:14153107:-1 gene:Csa_6G294440 transcript:KGN47318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSKKSSTEAKSKEVGTSKPSPKAKTHNNARNSDKDISKKKKKKKKISISISTNNGSVKDNHALAVSAPGASASEDADAADEDKAETTSRKSNTSKSKKVKRNHAKEEDNRYAAEEEAEEKIYKFPMHRIKKIMRDENSDLRINQEALFLVNKASEMFLVQFCKDAYACCAQDRKKSLAYKHLSSVVSKRKRYDFLSDFVPEKLKFEDALKERSMAESGKD >KGN47841 pep chromosome:ASM407v2:6:18488767:18492584:1 gene:Csa_6G406530 transcript:KGN47841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCSSSVPDRASGRSGGLNPENSAADSKNLRVKLVLLGDSGVGKSCIVLRFVRGQFDPTSKVTVGASFLSQTIALQDSTTVKFEIWDTAGQERYAALAPLYYRGAAVAVIVYDITSSDSFAKAQYWVKELQKHGSPDIILALVGNKADLQEKRKVTVQDGTEYAEKNGMFFIETSAKTADNINELFEEIAKRLPRPTSS >KGN48661 pep chromosome:ASM407v2:6:24358265:24360152:-1 gene:Csa_6G497120 transcript:KGN48661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMECSYEYERMKKYPHDYTLDELNFPAMEKVNNSRSSGQLISFGDYSGEIENYKFDDYGNLIGFEEEEHEIKKDYCSTIIMGQLPNHNHNNNNSEHVIAERRRREKIRQNFIALSALIPGLIKDHDGHTVLQVEVSVEGLKADKASVLGGAIKFVKELQERLKWAEEKEKEQKRVIKSVVFVKTINLDSDFDNETFSLDENGGRFSVRSVPTIETRVLEKDVLVRIHCKKHKGCYTSIVSEIEKLKLTIVNSCVFPFGQSRLDITIIAEMEAGFCMTPMDLGKKLRETLIEFI >KGN45972 pep chromosome:ASM407v2:6:2940109:2940714:-1 gene:Csa_6G040610 transcript:KGN45972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKELGNDDNHSTSVPTSKKKYKGVRMRSWGSWVSEIRAPNQKTRIWLGSYSTAEAAARAYDAALLCLKGSSANLNFPISSSSFAHFHNFLDDNNVMSPKSIQRVAAEAAANTAFFDGNVQSNMAGSSDVPPLSPLVSSSSSSSSTSNSESFMMSDPSWFNFDEILSPKYVGQMMDWTLFDPPVTDDFYEESDIRLWSFC >KGN45681 pep chromosome:ASM407v2:6:491897:494318:1 gene:Csa_6G006190 transcript:KGN45681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLHRKQANHACFLIILFLFFSLPSFSISLSEAEASYLTRRQLLTLKNYDELPLDFQYELDIPDTFPNERLKKAYVALQAWKLAVYSDPQNMTANWVGADVCSYTGVFCAPALDDPKIEVVAGIDLNHGDIAGHLPPELGLLTDLALFHINSNRFCGIIPSSFSNLVLMFEFDVSNNRFVGHFPLVVLEWPSAKYLDLRYNDFEGEIPSTLFTKDFDAIFLNNNRFNSLIPDTIGNSTVSVVSFANNEFHGCIPSTIGQMSNLNQILFLGNKLSGCFPPEIGNLVNLTVFDVSNNDFIGQLPESLSSLQNLEIMDVSNNELRGSVSGGLCKLPKLANFTFSFNYFNGEDAACATSKGSEKLFDDKVDTPPSPIETSIPSSPPSPIESSPPTSVPSSPPPLVHSPPPPVSSPPPPVHSPPPPVSSPPPPVSSPPPPPPVSSPPPPPPVSSPPPPPPVSSPPPPPPVSSPPPPPPVSSPPPPPPVSSPPPPPPVSSPPPPPPVSSPPPPPPVSSPPPPPPVSSPPPPVHSPPPPVFSPPPPPVFSPPPPPVFSPPPPPVFSPPPPPVFSPPPPPVFSPPPPPVFSPPPPPVFSPPPPPVFSPPPPPVFSPPPPPVFSPPPPPVFSPPPPPVFSPPPPVLSPPPPVSSPPPPVHSPPPPVSSPPPPVQSPPPPVSSPPPPPVFSPPPPVLSPPPPVSSPPPPVLSPPPPVLSPPPPVNSPPPPVQSPPPPVNSSPPPSFEDVILPPNIGFEYASPPPPLFPGY >KGN47173 pep chromosome:ASM407v2:6:12579820:12580651:1 gene:Csa_6G193590 transcript:KGN47173 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H4 MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >KGN45948 pep chromosome:ASM407v2:6:2590801:2594394:-1 gene:Csa_6G031970 transcript:KGN45948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKIMKRVLGRSFSSSCFKRSVQSSFTRLKSLTDHRRRRFSEDLNEVVSLLQEDLQELALSRCEQMIKHQNLVDAYGLIEGYLNLLIERIHLLGRERECPDELKEAVSSVIFAASRWKDFTELGDVKSIFTSQFGKEFTARAVELRNNNRVNQSIIQKLSAKKPDTKSKMNLLKLIASDKGIVVKLNEEGKLSKSIQIRKRGREKNKVVTATTSSYQTRNSSRRPKR >KGN47022 pep chromosome:ASM407v2:6:11509661:11515608:-1 gene:Csa_6G169870 transcript:KGN47022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFQSVGAMEVSLVDPYGTNPGPIESSVLYDQEKHVSSAVWDGQERGALRCHEHTSKLDQWTLTAKQIELVERAGFGYLRLIPAISLDNPLISALVERWRRETNTFHLNVGELTVTLKDVALLLGLAIDGDPVIGLTYTTCHSICERYLGRAPDPGYTSGGMVKLSWLKEFFSRCYEDAPMEIVERHTRAYLLYLVGSTIFSTTTGNKVPVMYLPLFENFDQCGKFAWGAAALSFLYRALGNASLRSQSTISGCLTLLQCWSYFHLNIGRPKLNHDPMHNRFPFVLRWKGKQSGPTANRDVVFYRKALDSLKPCDVEWLPYRNMDSMLIPENIKSSLILGRAKTMLICFDKAERHLPSRCLRQYGMLQGIPEDVPRWERKSRGVDGGVDLSAKMEVELNEWRDRGLQIVDGDDSVDENEYMQWYLKITRRFVGRPISLSSEFQRTNAGLRDIAHIADTFSTKGLDQQQIDLISRIRYIAHECLRDQVGGPIILSSIPQIELGKRIRGKERVRRKGTGKRIRKEDQLQYTAVSEDDQSHFCDSAIEVDQLQLHHMNRDMEHPELCSVDSEVDHLPLIHEVDEDDSMQLCEANIGVDHSDMIHNAAGGNMAELTHADIKLDEAELCVAPKDVDDLHLSDAINEVTNTQICGAMDAGDTPHFSTSKEANYHSTKDVNMPKFPGTGNNVDNSELCHSSIKSSPQTGELAAEIISESSFVVPHEDIMQKGNNNIYIKQR >KGN47163 pep chromosome:ASM407v2:6:12514874:12516795:-1 gene:Csa_6G191030 transcript:KGN47163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHSSLPIFLDPPNWHQSNQTSATTTNDDHQDPRQVSAAFLPPPPPTTGHGGGGIRPNSMAYRARLAMIPQSEATLKCPRCDSTNTKFCYFNNYSLSQPRHFCKACRRYWTRGGALRNVPVGGGFRKNKKKKKSNNRSKSPTPSQSQLGNSRTIMSRNCNNIESSNTTRDFSSHPSLQLSSMNIIPSLQQQFSRNFGDSFVGIHINSSSATTAREFDQWHCFQQQQQPFLVAGLESPTTTTTAPEYPEIGVNNNNNFGANSLQQASGLVQYNNSNNCHQQQLQNLTQFSRIPLKNEEQNREQGTMLSNFLRPNDQNNSQFWGSEHQNSWTDHLSALSSSSSSHLL >KGN46337 pep chromosome:ASM407v2:6:5704614:5711238:1 gene:Csa_6G087170 transcript:KGN46337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDEIDAFHRQRDVIPLDINGDATESDDDAEQPVFNLEGIDDEDDEDDEDIRDTGLAAKIARQQKFLREKFGGVEDEMHEDEDEDMDEQKTIWGGREGKYYDADNRDFEIQSSDDESLAEEEEEVIRLQKEKAKTLSLEDFGLEDESDEELTLEEMNGKGVDAKTSARKEASDDMDATYQEIAKDVNALTREEQMDVLSSSAPELIGLLTELNEAVDQLENKVNPILNKVQNGQIAVGGGLQYLELKQVLLLSYCQAITFYLLLKSEGQAVRDHPVIARLVEIRSLLDKVKQLDENLPSDLEDIINIYHQQETDGKLKKENCSIPAEFFAKDQGSSHHSYSQEVELKQPNPKTGSKKADKLKEKGKKDDQMSAQSMEMLKVRALLEEKLKQKNFTLIEPKQSGAKKHLQPINRKLEAYNDFNDETDTQAAKRKGPDNSAKAADLKRLVSTKLKPKVISGDDDLPTRDDIGERRRRYELQMLARAGVEPKNGTSVLESNSDDDDSVLKGNGEVDSEDELYKQVKQQRAAKLAAEAETYSRTMATPSSPEVVGGKRKISYQMEKNRGLTRKRKKLIKNPRKKYKLKFQDAAKRRKGQVQEVKKPIHMYGGETTGINPRISRSIRFKS >KGN47349 pep chromosome:ASM407v2:6:14450461:14455994:-1 gene:Csa_6G302170 transcript:KGN47349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKAVDVQRSPFKILIASPSGLTPSQVSIAFSDKYDRISHPDSSLEDSITEIWNQRLQTNSSLFNGKKFRYGGFTFLSEGGSSNEDPHVCLHLGLTDYRTFVGTNLSPLWERFLVPSEDDSILCQHSSSPLGNGAIVETSDGKIVLLKRSNNVGEFPGHFVFPGGHPEPQELGIESHDDAKGFADPNTIDEKLSHEMFNSIIREVVEEIGVPPESLCNPVLIGVSRRELNVRPAAFFFIKCSLDSLEIQKLYTSAQDGYESTQLYTVPMVDVENMTLKMPGCHHGGFYLYKLMLQAADDNNITAD >KGN46053 pep chromosome:ASM407v2:6:3739853:3743078:1 gene:Csa_6G046310 transcript:KGN46053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAGICTIQLQALSIEAEATVKQAIGLARRRGHAHVTPLHVASAMLASSSGLLRRACLHCHSHPLQCKALELCFNVALNRLPTSTPSPLFGPQYPNPCLSNALVAAFKRAQAHQRRGSIENQQQQQHQQQQPILALKIELEQLIISILDDPSVSRVMREAGFSSTQVKNRVEKAVSLEDYTNERHYFCEKKWNVLPTNTPIHKFHFQEPNLEITHKTHPNLSINPSQSIPFTQITKIPSTKQQFENNNEEEVTHVLEELSNRMNNKMRRVSNTIIVGESLGTVETIVRGVMERFEKGEVPKELKHVEFLSLNPLFSLRNVVSKEEIEQKILELRCIVKSCMGKRVIFYLGDLKWVSEFWSNYCYGEEERRFYSYVEELIMEIKRLVNNNNSENYGKFWVLGIATFQMYMKCKVGHPSLDSLWSLHPLTVPVGSLSLSLNFESKEGNFPTTSAMAFPLCLEQYKEDARKSGAITNQQDGEFEAKLLNSSVKQGVMFTEKSPSHYNFLGLKPSPKEYQFWGSSSSSDEHPERRENIVVSKPDLLSNPNSSPNSASSTKEKAAREIAKIIFGSQSKMICMGLSNFKEGTDGEKSMKKRGRNEMGWNYLERFAEAVNENPHRVFFIEDIEQIDYCSLKGLKEAIEKGRVKLSDGEFCSLKDAIIIINSQKQIAVKQEQPEEDQDQKATFVSLDLNIAIQDTNGDQKILRSIMEECVHGKILFS >KGN47265 pep chromosome:ASM407v2:6:13559562:13561842:1 gene:Csa_6G239150 transcript:KGN47265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVSSIPKFFPRPIAIPLSFPPPLYLKWVSTFSTIESSPRPLQNLSSFLNGRPSSSSLDCELSVVSALKYCASSSAISSGQQIHAIVLKYGFNSNTFILNSLINMYVKCGLLSSARLLFDSCSVLDSVSCNIMMSGYVKLRQLENARQLFAKMPERGCVSYTTMILGLAQNDCWGEAIEVFKDMRSAGVAPNEVTMASVMSACSHIGGIWNCRMLHALVIKLHFFGLVLISTNLLHMYCVFSSLKDTKRLFNEMPVRNTVSWNVMLKGYVKSGLVDQARELFERIPERDVFSWAIMIDGFVQMKRLRKALLLYSAMRKSDLHPNEVLIVDLLSACGQSVSIEEGRQFHSLIVKNGFVCFDFIQATIISFYAACRRIDLAYLQYQMSDKSHLTSSNVMIVGFTKNGMIDQARQIFDMMPEKDVFSWSTMISGYAQNELPDVALDLFHGMIDSKVEPNEITMVSVFSAIAALGKLPEGRWAHEYVCNKVIPLNDNLSAAIIDMYAKCGSIDTALDVFRQIKDKTSTVSPWNAIICGLAMHGHANLSLEIFSNLQRRSIKLNSITFLGVLSACCHAGLVEVGERYFWSMKTQHGVEPNIKHYGCLVDLLGRVGRLREAEEIVRTMPMKADVVIWGTLLASSRTHGEVEIGERAAENLARLQPSHGPGRVLLSNLYADAGLWEDAALVRRAIQSQRMIRSPGYSGVV >KGN46586 pep chromosome:ASM407v2:6:7492716:7493255:1 gene:Csa_6G110050 transcript:KGN46586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWQVFALIRPTLHNFTNSHRIADESMFTSTDQFPIYAAANNRRTFSSSIFNIIRAPFSILSCFAPPAVHRSPDAFWLSGDHYFASTISEINHLMVSDGMRYAILM >KGN46003 pep chromosome:ASM407v2:6:3282639:3282986:1 gene:Csa_6G042375 transcript:KGN46003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFRRVLRQLPNKLRRKCSVIVLLNFRIQLLHPTKFHKQFREIFVHFLENPFLYAVPKFPIAVFLPQIVNGFQILGGNELNFGEIDVPSFQGAFAGEGDQKPAGLLVGLPKVFGA >KGN46080 pep chromosome:ASM407v2:6:3942366:3943182:1 gene:Csa_6G052060 transcript:KGN46080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSINSTKKYMYNQIIRHSTRFQKVKEKHTYARTNPVTFPNISFTSESGLSIDTTGTIPAVSPRRTRVPIPMMMMMTMIIIIILLIAAVLVEGFLLVKYTALLGTLFLHELVVDGTFLPGDLLLLPIETEH >KGN46234 pep chromosome:ASM407v2:6:5125937:5126867:-1 gene:Csa_6G076820 transcript:KGN46234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGITPHNRPLPPPFLSKDLHLHHGLFHAHHQNSDDDHTPGPKRDRDSDDNPTMDDDTKELSNSSSRRPRGRPAGSKNKPKPPIIITRDSANALRSHLIEISTASDIVDSLATFARRRQRGVCILSATGTVANVTLRQPSSPGAVITLPGRFEILSLSGSFLPPPAPPAASGLTVYLAGGQGQVVGGNVIGPLSASGPVIIMAASFGNAAYERLPIDDEDETSPAPDQMAGQQAAAAPPPPQLLGDPNGGLFHGMAQNVVNSSCQLPGEAAAAFWGGGRPPY >KGN47984 pep chromosome:ASM407v2:6:19525643:19534337:1 gene:Csa_6G421780 transcript:KGN47984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVKSQYPNRVRSAKLHFFYAILLLQFATFGLAAAAKLHREEVKALKEIEKKLGKNDWDFNIDPCSGEGKWHVVNGRKGFESSVTCDCSFNHNSTCHIVAIALKSQNLSGIVPPEFSKLRFLKQLDLSRNCLTGFVPSQWATMRLVELSFMGNKLSGPFPKVLTNITTLRNLSIEGNQFSGRIPPEIGKLVNLEKLVLSSNGLTGELPKGLAKLSNLTDMRISDNNFSGKIPEFISNWAQIEKLHIQGCSLEGPIPLSISTMTSLTDLRISDLKGGRSPFPPLSNIKSMKTLILRKCFIFGEIPKYIGDMKKLKNLDLSYNDLTGEVPATFERLDKIDYIFLTANKLNGIIPGWILGSNKNVDLSNNNFTWENSSPAECPRGSVNLVETYSPSAEKLTRIHPCLKRNFPCSASREEHHYSLRINCGGKETSIRGERYEADREGASMFYTGQNWAFSSTGSFMDNDVDADNYIVTNTSALSNVSATYSELYTKARNSPQSLTYYGLCLINGNYTVKLHFAEIVFINDSSFNSLGRRVFDVYIQEKLVLKDFDIEHEAGGTGKPIIKKITVAVTSHTLKIHFYWAGRGTTGIPLRGNYGPLISAISVDPNFTPPKNHGKKDFTIIIIGTAAAAFVLLLLVLCIMRRKGWLGGKASVYKELRGIDLQTGLFTIRQIKGLLSDGTIIAVKQLSSKSKQGNREFVNEIGMISALQHPNLVKLYGCCIDGNQLMLIYEYMENNCLSRALFRNDPGSKLKLDWPTRQKICLGIARGLAYLHEESRLKIVHRDIKTSNVLLDKDFSAKISDFGLAKLHEDDNTHISTRVAGTILFFLQLKYN >KGN48629 pep chromosome:ASM407v2:6:24120669:24123927:-1 gene:Csa_6G495830 transcript:KGN48629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLEVGKRKVEGRMNLETICMNQAAAAIGQHGNHPPTSATPLPTWQPANETTSSQLPQFCCRQNYFPFLLSIHSNHKKLPFSASPFSFFFSFPFTFPRPSPFHTCLPSPSLKLLSAFRSEKSEDMETIYHQPYFVDPQLELLIERIYPPRVCIDNDTFQDCTLVKVDSANKHGILLEMVQVLTDLDLVISKSYISSDGGWFMDVFHVTDQFGNKLTDESLIHYIKQALCASRKEGSPRKVRMCNTGKELLSPEHTAAEITGIDRPGLLSEIFAVLVELGCNITAAVAWTHHKKAASIIYIEEGWNGGMIKDSKRLAHVQEQLENVVDAHNGQGETSSVKLTAPSAGWTHPERRLHQLMYANGDYEQCRCHDDSKSCKMSCTRTHVKIESCKEKGYSIINIRSRDRPKLLFDTVCALTDLQYVVFHAAVSSNGTVAYQEYFIRQKGGCILDSECERKRLLQALVAAIERRVSHGLRLELCALNRVGLLSDITRVFRENGFSISTMDVKTNGKRAIGSIFITDASGHDVDVDPHILDLVLKEIGGSIAVVQGPSKWDDRTSSSRANHGTKVARVEDKPRFSLGNLLWSQLERLSTNFGSIKS >KGN48952 pep chromosome:ASM407v2:6:25973023:25975922:-1 gene:Csa_6G507260 transcript:KGN48952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFFYSFFHFFLLISSFPSSLAIARPIFSFKMHHRFSDQLKNWSGVSGKFTLPDSWPVKGTIEYYAQLAFRDRFFRGQRLSEFDGPLAFSDGNSSFRISSLGFLHYTTVQLGTPGTKFMVALDTGSDLFWVPCDCSRCAPTEGSPYASDFELSVYSPKKSSTSKTVPCNNNLCAQRDQCTEAFGNCPYVVSYVSAETSTTGILIEDLLHLKTEHKHSEPIQAYITFGCGQVQSGSFLDVAAPNGLFGLGMEQISVPSILSREGLMANSFSMCFSDDGVGRINFGDKGSLEQEETPFNLNQLHPNYNITVTSIRVGTTLIDADITALFDSGTSFSYFTDPIYSKLSASFHAQTRDGRHPPNPRIPFEYCYNMSPDANASLTPGISLTMKGGGPFPVYDPIIVISTQNELIYCLAVVKSAELNIIGQNFMTGYRIVFDREKLVLGWKKFDCYDIEEKSLFPMKPDVTTVPPAVAAGVDIFISHRLLTHLVTHVS >KGN47286 pep chromosome:ASM407v2:6:13907759:13908147:1 gene:Csa_6G285220 transcript:KGN47286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVGQIALNIKSMSIFLNQWIPKKQAREPNLNQNVGGFEILVAVAFNSVQMVACSSDVRMVGCKNRKREMGRVATTFRWWVVRRGRGKSEG >KGN46136 pep chromosome:ASM407v2:6:4364216:4368173:1 gene:Csa_6G056535 transcript:KGN46136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSMELFEFSFFSFQAQRQHSIVYPNYDSRDPFQRLINYVFQVYWNHNFSTTDDPPRTPDYPPLFSGYLSNSLLLGVLIGSVLEYCSIWRTQAID >KGN46314 pep chromosome:ASM407v2:6:5577878:5581193:-1 gene:Csa_6G082020 transcript:KGN46314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIKLEDCVQELLKFVLQSSTNGTPDFDLGLSSAFCSSLFKHDPSTSNPLPYSKAGVPPYPLYERLSLALWESLCSGTFCPMYEKMLMKNGESSLKQKEEMWLKLIMDKGSEMVQMLRTLNLELYIDEPFFTQLKDGQKTVEGKYALGKYDRLEPGMLIIVNKCLVFEILDIHRYVSFSDMLESENLQSILPGVESIDEGLQILKSLNREDEEMADSVLALCISSVPFQPYISLAAIISGLSYEGLQGLLGLAHTAGTVADALPPPRSALLSSFVLPYKPEA >KGN46134 pep chromosome:ASM407v2:6:4353690:4357728:-1 gene:Csa_6G056520 transcript:KGN46134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSKLFPSSNSRNSDLSRGSSSSSSSSSASLLKPQFLSNHSRNYDTPSRNPPPHTMTVDGLLPNAFDSNPTESSILLDAQITLVDSPNPSSLHIDTTTPTTTNSSAVIDSNHNSSSVAPPPKTVDDVWREIVSGERKELKEEVANEIITLEDFLMKSGAVPVEDVKFPQTERLSGGIFSFDPIPSTTFQALDKIEGSIIGFANGVDLIGSGGSGGRGKRGRAALEPLDKAAEQRQRRMIKNRESAARSRERKQAYQVELESLAVRLEEENEQLLREKAERTKERLKQLMDKVIPVVEKRRPQRVIRRVNSMQW >KGN46475 pep chromosome:ASM407v2:6:6661019:6673071:1 gene:Csa_6G095910 transcript:KGN46475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPNSSGEDLVFKTRKPYTITKQRERWTEEEHNRFLEALKLYGRAWQRIEEHIGTKTAVQIRSHAQKFFSKLEKEALVKGIPVGQTLDIDIPPPRPKRKPSNPYPRKTPISKLGANDGKVLTLVSSSQRKQILDLEKEPLNEGTSGEEQATIEKDAHDDNYSEVFTLSREANSISWKNTNCVPSQVKLNDSCAFREFVPSLKEPLQDKGPGKVLEMEISSTSQEKSMPAEKKEALSCVLSGDEMQAAHNYPRHVPVHVVDGSLGANVQGSVTDTLLQESTFHPAMEVRGEHNIIGNPSDCVSFEHQNNAPRCVYQSYPTIHPTPFTLLRPNQEHYKSLLHMSSSFSNLVVSTLQQNPAAHAIASLTATCWPYVNPETSVDSPVCDKEGSGTKQMNPTPSMEAIAAATVAAATAWWAAHGLLPLCAPFHSAFPSAGVSAPVVQSSDTCQNLESKDKAESSQQIVALQNQQLDAEQSEALTAQHSGSKLPTHSSSDSEGSGGANANDTVKPAHDEKTPAEVEFHDSNKGKRGKQVDRSSCGSNTPSGSDQEIDATENNDKEEKEEENDLEMNRPAVELSNRRNRSISNTSESWKEVSDEGRLAFQALFTRDVLPQSFSPPYDVENENKASENVEKDSHVVDKDSGASVLDLNGKTCGSFSHQSMERDTSAIGINNGEGELLTIGLGNGTPKACRTGFKPYKRCSVEAKEKRMTTSSNHCEEGGQKRLRLEQKVTN >KGN46440 pep chromosome:ASM407v2:6:6406868:6407950:-1 gene:Csa_6G093600 transcript:KGN46440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSINSQLPSHIIEEIFSKISTVNFSFFRAVCKDWNRLILNCRISSSSTLFITWRPLQPRMDCVDFHHKFSQGMNVLSSFTFDFPISSDTQITNSCNGLLCIIEENKYYKTSYVATIINPMTNEYVTLPGYNEYCLYFGFGLGFSSKSNEYKFARIFLVKNICGLEILTLGKSNEWRHVSYLPILYIDQEDGIYFNGVLYWICIDKDKKIKMLCLDVEEESFSIIDIPKLANSAIVDAFDDGIYVSIIDEEEEVGEHEKQVEVWKLGSCASNGLWTLWFVVNISACVPLFYLDNILWKGCSLKIIKICESGEIFFLLEEQYLLLYDLKTQKITKIHETEDSFSVFEIKSPSFNSLRTIFGS >KGN48698 pep chromosome:ASM407v2:6:24577466:24581140:1 gene:Csa_6G498940 transcript:KGN48698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAKPDKVFVAIGNDLQDGFKTLGWTLRKWKSHPISIVILHVSHNNSMEYVHTPFGKLPVSSVSEEKVEVLRRYEQEKINKLLSKYVDFCGKVRAEILKVERSDKPVHKLIVDLVSELGITNLVIGFTFMKSSSWKPKNAISGSFYIYRNKAHFCELYVIWGGKQVFLRDERIMEDDRGVRISKISTKHSLRGWLGKMFMEDPTYSSDRNLSLSSSSPRNSNSMSSRNYWDHNVQELENYYEELLSLNVQEEEDCEQDQDGVLENSCSTQFNILDYLDSNTNPEERIEHLRTKIEEARKSIQLMRDETKGSSERQAKAEWAINLCSQRTDELEAKIKEEVTIREELQKELGSAKEYILEIVAEIKESKIRLSSLLELQAELSSKLQISTAEKLRLEAQLEKTAKTKKGMEREIEELRRQREILHRRIEFCKDKDAIGMGERSTEVSCSTRVYTVEEISLATDNFSEQMRLSSRVYRGRINHMSVAIQMIASGNRLSEDDFQSKVELLSNIRHPHLIAMIGFCPELKCIVFDYMHNGSLSDRLLPSNSNKRSKKISHPLMWNERIRIASEVCSGLSFLHQAQPQPISHGKLTLSKILLDQNLAAKVTGFGLDELDESSGTELDIRAFGALLLHIVTGRTWAGQIEEALSMGKVGLVQILDDKAGQWPLSLVDGLLGLALRCAAPNGPSPDVKLGTAMEEIDEIKRKADDLVMGNGKNVEDIEGADAANEDVDDVPRIFICPILQEVMKNPHVAADGFSYELEAIEQWIRAGHETSPMTNLKLQHPYLTPNHTLRSLIQDWQNENSNV >KGN49033 pep chromosome:ASM407v2:6:26304497:26306529:-1 gene:Csa_6G511000 transcript:KGN49033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESESLGLLKVLVIQGKKLVIRDFKSSDPYVVVKLGNQTAKTKVINSCLNPVWNEELSFSLTDPVQDLTLEVFDKDRFKSDDKMGHAELSLKPIVSAARLRRALGVSLGATMLRKVIPDTDNCLARDSSISCMEGGGVTQSVWLKLRDVESGEIELKIKFIDQPGPPSR >KGN45697 pep chromosome:ASM407v2:6:601323:603191:1 gene:Csa_6G006830 transcript:KGN45697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSSETVQPKAVEIADDNINNDQHPITPSPPPSSNFNKMDHSLPLSPEDETAESSQMLEKKQPVHRVLGAGKLADGLLWRDKKVSVAVVGGATIVWAFFELLEYHLLPILCYALIVVVALLFLWSNAHILIYKSPPHLPEVRIREEPFLQVASAVRTEINRAIAILRDVASGRDVKKFIAVVVGLWFLSVVGNWCNFLTLFYIVVVLLHMVPVFYEKYKYKIDSFAEKIVAEIRKKYTVFNEKVASKFSKEPLRDKKD >KGN47532 pep chromosome:ASM407v2:6:15964207:15966507:1 gene:Csa_6G356490 transcript:KGN47532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNLCATPVDTHTPPPTIPSSPVSSNRHGSTQPQNLRVYSLTELKTATKNFRPDTMLGEGGFGRVFKGWVDEATYAPSKVGVGIPVAVKKSNPDSSQGLREWKAEVEFLGKFSHPNVVKLIGYCWEEKQFLLVYEYMQRGSLENHLFRKGVEPLPWETRIKIATGAACGLTFLHTSEKSVIYRDFKASNILLDGNFNPKLSDFGLAKLGPSNGNSHVSTNPVGTYGYAAPEYIATGHLYIKSDVYGFGVVLLELLTGLRAVDPNRPSGSHNLVGWAEPSLSSKKKVKKLIDPRLGDDYSPKGAWATAELILKCLESDPRKRPSMEEVLVILERISSFKDRPKEPKSRARTPSHY >KGN46983 pep chromosome:ASM407v2:6:11025036:11027269:1 gene:Csa_6G157090 transcript:KGN46983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFTPPSHRSDPRSRTKSSSRLAVEADQTPHFSVDAISSPRRKTPSPSIQDILFLSPSTVGKSRSRLVDRFEMNDEVPEPAVSRRRCRNRGPQLGLMGCASPRSIRRSRRRSEVETREERDLCLAEEFVKARKRKQSGRSKKEKLSLVPVPSTPSSSTTPKLDNDENGSLDQIGQLITDLIMWKDVAKSSLWFGLGSLFFFSTCFTRGVSFSSNIDNYSIFSAISQLGLLFLGLAFVSNSICQRYNFKLKEDDIKRLVKLVLPAANFAIFKIRELFCGEAEMTLKVAPFLILGAEYGYLITLRRLCAIGFFSSFSVPKLYTCYQIQINSKVDAVKQWMFEAWEACSHKKVVIGSAATAFWNFSSLKTRIFTAFIVLVIVRYCRQFIVSSEPEIEEVQEDEKQALVVVEAGKEEEQKQVQVVAEPHC >KGN49294 pep chromosome:ASM407v2:6:27555356:27557416:-1 gene:Csa_6G519470 transcript:KGN49294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCNSRIKRAVVGIKCGRKSIRFWKCFGKKKKTTKTAAMDHTSGKSENNWKQLMGSDNWKGLLEPLHIDLRRYLIHYGQMAQATYDTFNTEKASKFAGSSRYSKQDFFAKIGLEKGKTGPYKYRVTKFLYATSQVQVPDAFIVRSLSREAWSKESNWIGYVAVGTDEGAAELGRRDVVIAWRGTVRSLEWMDDFEFGLVSAPKIFGESSDVKIHQGWYSIYTSDDRRSPFTNNSVRNQVIGEVKRLVEEYKNEEISIVTTGHSLGAALATLNAFDMAANKLNVAATTGEAYPVTSFVFASPRVGDSDFKRAFSEYKDVHVLRVKNAMDVVPNYPIIGYSEVGEELEIDTRKSKYLKSPGSLSSWHNLEAYLHGVAGTQGKNKGGFRLEIERDIALLNKSLDALKDEYLVPVAWRCLQNKGMVQQSDGSWKLMDHEEDDEFFHR >KGN46201 pep chromosome:ASM407v2:6:4960159:4960560:1 gene:Csa_6G074540 transcript:KGN46201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEKGRDGKKKSLGVCNKKVTQSLSIKHLIVAELKKERSGFDCIRGKVESVSDSLSFLLLLQNGAWLVVSDDVNPAFALPIQIAFLPMDDGDAHNFLNPDCDRLIIIQEFGGTPSNDLLIIDVFFSPNPNFVW >KGN46019 pep chromosome:ASM407v2:6:3522390:3526555:-1 gene:Csa_6G044000 transcript:KGN46019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILLSAMELNLKLPVNNFYHGLNIRTRPPFLPGPNRQVTAHRIQFQPISLAVKSQQIVRTTSSKIQGKGRTKDSVLSEGRDEDEQNGDICPGCGVFMQDEDPNVLGFYQKRKVSLTEPMEDGEDVEDEFYGIVDSDVVDEEENSDVVDEEENSDAEEIEDGFDWDSDEWEAKLMEDEENNLELDGFAPADVGYGNITEETVKRAEKKRISKSEKKRRAREAQKEIEEVTVCARCHSLRNYGQVKNQAAENLIPDFDFDRLMANRLMKSTSNLNNVVVMVVDCVDFDGSFPKRAAKSLFKALEGNKNDPKMGKKLPKLVLVATKVDLLPSQISPTRLDRWVRHRAKAAGAPKLAGVYLVSSRKDVGVKNLLSFIKELAGPRGNVWVIGAQNAGKSTLINALAKKERAKVTKLTEAPIPGTTLGILRIGGILSAKAKLFDTPGLLHPYLVSMRLNREEQKMVEIRKELQPRTYRVKVGQTVHVGGLVRLDLNQASVETIYVTVWASPNVSLHLGKIENADEIWKKHAGIRLQPPIGVDRASEIGKWAEREVKISGTSWVVNSIDISIAGLGWFSLGLKGEATLTLWIDNGIEVTMREPLVLDRATFIERPGFWLSKAISNTIGNETKLDAQRRISVEEESAEPFVRAST >KGN45700 pep chromosome:ASM407v2:6:621059:621661:1 gene:Csa_6G006855 transcript:KGN45700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVANKESSQGIKLQLPTIEMRCQSPEETSRNSKNRDVLKIWIVVKAIAGDVMSIMSPFPPGYTNPRQTISRQNLSQFVKSTTRHYIRMSRIMTHISTLDPKHTQNHSTTQMNQNALRFQDPINHKKHHHSNRNQRIHSNMPLLLKQTLFGKFRRKLSVILRNLRDLKILEMKSSKQPIQIRPSGARMISNECIGHIMTR >KGN46024 pep chromosome:ASM407v2:6:3553776:3558546:-1 gene:Csa_6G044540 transcript:KGN46024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSSGVNLVMTVIGFTVSTLFIVFVCTRLVCARIHLNASRRSFPIASRSDLSGLERGLHGVEPMVVANFPTKKYSDDYFSSMQNAQCTVCLADYHSEDLLRILPYCGHSFHVNCIDIWLHQHSTCPVCRLSLREVQDKKRTMQPLFSSAIRALNSDPCRGFPLRDLENNGLEQPIQENHYTTGTNGAADPIENASPFIEGNQNSKGCRNKNVESPSNA >KGN47276 pep chromosome:ASM407v2:6:13752602:13752983:-1 gene:Csa_6G254200 transcript:KGN47276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAAQIWLEGTEMRDSHIEGAHGLDDHAQITRGDATWVRFWLRCTRSASARVEHATRTTGETRGHEDERAAMTDGWRCEAKKERNTIASSGRSSSCRVASVVGFSMAEGA >KGN46959 pep chromosome:ASM407v2:6:10839421:10841627:-1 gene:Csa_6G152940 transcript:KGN46959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRTVRPVFSVLLFITFSVTLIFRLIFRRGLTSFDLETNVITPRPPPFVFNSTLLKFASVDLAEAQLKREIEQLLEANFGGPRTYKTYATWRKFNHYSKKARPSNSFPVTFRSPAFYRHWLDFRRALSGWARRKGYATDIMPELVRLIKHPLDKHSELVGSDQRYPSCAVVGNSGILLNSGYGRLIDSHDVVIRLNNAKTDNYENKVGSKTNISFINSNILHLCARREGCFCHPYGPNVPTVMYICQPVHFMDYTICNTSHKSPLLVTDPSFDALCSKIVKYYSIKRFVEVTGKSSEEWSSAHEGPLFHYSSGMQAVMLAVGICDKVSIFGFGKSVSAKHHYHTNQKAELSLHDYEAEYAFYYDLISRPQRIPFLSDKFKVPPTVLYQ >KGN45982 pep chromosome:ASM407v2:6:3062127:3073273:-1 gene:Csa_6G041180 transcript:KGN45982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQSISSLAFKGSIAEAIVESKNQRKLFLVYISGDDAESSRLESSTWTSSKVAESVSKYCVLLHIPAGSMDAAQFSSIYPQKSVPCITAVGYNGIQLWLNEGFIGAEVLASNLEKAWLGLHIQETTASVLTAALASKKSEASTSRPSDLRSSSLASVSPSDHHIGSLETNLGVNSGIVEEEKGPEKLVKQEDSKADIKESNVHHSLSVEIQNNDESSPEPSGKDKSSLAHPQDQQSCSPENTSKIVNDSYTTPNLIESSQSGAPQPISLEAKEDVRENKEIVDDNNAIENDSARKDYASNDVHLNIRLLNGINLQEKFSKTSTLRMIKDYVDNSQPSTFGPYDLAIPYPRKVFTDQDLGKSLSDLGLHNRQALIMVRHQGVRSDLRGASSSSDERKFSANGVSSDENSDGYFAFVKRILSYVNPFSYLGVGASTASSRHETQGDARQYSNNSLEAEDHYVRKPNQGTAMVGGNNTRGKQPSSSSRFGANIHSIHTLKHDDDEERFKSRNSFWNGNSTEYGGDNDSK >KGN47505 pep chromosome:ASM407v2:6:15713601:15714895:1 gene:Csa_6G349820 transcript:KGN47505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDINTKLPPKPDSYYKSPEHNSEDCIIFRGWDSAAAIDDDSQSESGVSSPTLWASNSRTTPQFHHRHRNRSLSPTSRTQAIARGQQELMEMVRNMPESSYELSLKDLVEHHLTNSKRQQDGDVASLTRDDSSSETSFRRDPSKNRGETRALVTRSRSVDSGGFYLKMFFPLPFGQVSAKKKNNLRTDSGLSGSSRVSPKPPPVDKDWWRKRSSVSGGENDGSISGGSMTSSGSSNSTSSERSNSRNSESQGSCWFCISPMRSKDRE >KGN48998 pep chromosome:ASM407v2:6:26151856:26155023:1 gene:Csa_6G509670 transcript:KGN48998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGKVGKIAVEAAIEDVNSNPSIMGGTKLKLSLHDTNYSGFLGIIESLRFMETKTMAIIGPQNSVTAHVISHIANELQVPLLSFSATDPTLSSLQFPFFIRTSQNDLYQMAAVAEIVDYFQWKEVIAIFVDDDHGRNGIAALGDQLNERRCKISLKVPLKPDASRDVVTDALVKVALTESRILVIHTYETTGMVVLSVAQYLGLTGPGYVWIATNWLSLLLDTNSPLPTTSMENIQGLVALRLYTPDSVLKRNFVSRWTNFTDVKSSSGSLGLSTYGLYAYDTVWILAHAINAFLNEGGNLSFSTLSKLTGVDVRTLNLNSMNIFNGGKTLLDKILEVNFTGITGSVEFTPERDLIHPAFEVINIIGTGERRIGYWSNYSGLSIVPPETLYSKPPNRTSSNQKLYDVVWPGQATQKPRGWAFPNTGRYLRIGVPRRVSYQEFVSQVEGTDMFTGFCIDVFTAAINFLPYAVPYKLIPFGDGLTNPSGTELIRLITTGVYDGAIGDIAIITNRTRMADFTQPYIESGLVVVAPVKKLNSSAWAFLRPFTARMWCATAASFIVIGAVVWILEHRINDDFRGPPKKQVITILWFSFSTLFFSHRQNTVSALGRLVLIIWLFVVLIINSSYTASLTSILTVQQLSSPVKGIETLISNNEPIGYQQGSFARNYLIEELGIHESRLVPLISAEHYVKALNDGPTNNGVAAIVDERAYVELFLSTRCEYSIVGQEFTKNGWGFAFPRDSPLAVDMSTAILRLSETGDLQRIHDKWLMKSACTSQASKIEVDRLQLNSFWGLFLICGVACVLALSIYLFQMVRQYSEHYTEELGSSEQPSRSASLHRFLSFADEKEEVFKSQSKRRRMQEASVRSVNEENSTGSSRKNGHGYADGVDA >KGN47486 pep chromosome:ASM407v2:6:15513789:15523597:-1 gene:Csa_6G338670 transcript:KGN47486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSKSEEMDDDDEEEEEDRSSRKRRRSDFIDDVAEEDEDEEEEEEEEEEEEAFGGAGRRRRAKRPSGSQFLDIEAEVDSDDDEEDDEAEDDFIVDNVADIPDEDDNRRMHRRPLLPREDEQEDVEALERRIQARYARSNHMEYDEETTEVEQQALLPSVRDPKLWMVKCAIGREREAAVCLMQKCIDRGPEMQIRSAVALDHLKNFIYIEADKEAHVREACKGLRNIYAQKITLVPIKEMTDVLSVESKAIDLSRDTWVRMKIGTYKGDLAKVVDVDNVRQRVTVKLIPRIDLQALANKLEGREVAKKKAFVPPPRFMNIDEARELHIRVERRRDPITGEYFENIGGMFFKDGFLYKTVSMKSISAQNIKPTFDELEKFRKPGENGDGDIASLSTLFANRKKGHFMKGDAVIVVKGDLKNLKGWVEKVEEENVHIRPEMKGLPKTLAVNERELCKYFEPGNHVKVVSGTQEGATGMVVKVDQHVLIILSDTTKEHIRVFADDVVESSEVTTGVTRIGDYELHDLVLLDNMSFGVIIRVETEAFQVLKGTPDRPEVDIVKLREIKSKIDKKISVQDRFNNTISSKDVVRILEGPCKGKQGPVEHIYRGILFIYDRHHLEHAGFICAKSQSCVVVGGSRTNGNRNGNSYSRFAGIATPPRFPQSPKRFSRGGPPNDSGGRHRGGRGHHDGLVGSTVKVRQGPYKGYRGRVVEIKGQLVRVELESQMKVVTVDRNFISDNVAISTPHRDASRYGMGSETPMHPSRTPLHPYMTPMRDIGTTPIHDGMRTPMRDRAWNPYAPMSPSRDNWEEGNPATWGASPQYQPGSPPSRTYEAPTPGSGWANTPGGSYSDAGTPRDSGSAYANAPSPYLPSTPGGQPMTPNSASYLPGTPGGQPMTPGTGGLDMMSPVIGGDTEGPWYMPDILVNYRRSGDDPIMGVIREVLPDGSCRIGLGSSGNGETVTAPSSEVEVIVPRKSDKIKIMGGALRGATGKLIGVDGTDGIVKVDDTLDVKILDLVILAKLAQP >KGN46300 pep chromosome:ASM407v2:6:5495192:5496646:-1 gene:Csa_6G080920 transcript:KGN46300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYLPKFAALKSKYNNKYLCYVNEKSSTVRTFLRYSGDEILTPFTKLEFEQAQSDPSSYHIKCCYNNKYLVSASSDHHYIVAGADQKQEDKSKWTCTLFRPAYDNYHQSFQFSHVFLGSHVVLWRSTAPYGECLRAQSSDPTTDHLSDLNMVINMESLISLPKFLAFTGDNGLYLREIMRGNNNIPHLQFGSSSLDDSTIQMETFITKDGNTRIKSSHLGRFWRREHTSNYIVVDYSTNNNNNTQSIIDTLFSPTQISSNVVALRNLGNGKFVKRYNFANDLNFLFAERNEIDAFARLRMTELVRSREIFDVSFHLSDAKIYNQSVVVLATKSATNGTGEPTNVRLNIPYTHTTYRTWTSSISKKLVEVKTRIKSGVPLILDGNAISTTCKFFGEYKWGETTSKSENTNGITHELTVPAMSSIIGTLYATKGSCDIPFSYKQSDILLAGNNNQVEFSLDDGVYHGTNYYNFHYDVKIVPISTSI >KGN48289 pep chromosome:ASM407v2:6:21750085:21750643:1 gene:Csa_6G454370 transcript:KGN48289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRRNSGGGGAATPKLELKLNLSPPRANRGVESPSRSATVSPTSPPSSCVSSEEEMRYSNSPETTSMMLVGCPRCLMYVMLSEEDPKCPKCKSTVLLDVLHDNAAVKTRKN >KGN46119 pep chromosome:ASM407v2:6:4253629:4256457:1 gene:Csa_6G055400 transcript:KGN46119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGACSFPFPSIKIPSTSSSPAATSAAASSSASTSSSASSSSSLRFSSSKPFLFTIRSSQTEGPLRRPSAPSLRDPSPPSPPSLTPPLKPTPPPQSPPSDNVITLEFQRLKAKELQEYFKQKKLDEADQGPFFGFIGKNEISNGRWAMFGFAVGLLTEYATGSNFVDQVKILLSNFGIIDLE >KGN48066 pep chromosome:ASM407v2:6:20211421:20212289:1 gene:Csa_6G427990 transcript:KGN48066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNRTQSELNGRRLNQNAPVLTPLQLSIARVISRSLFFGVILILIPQITPVFNDQGKFITFDSKYPDSITKQEFHDLIDELKTAEILTEDKESLVFIPESSYLNTDDAPNDYADYLRRMGADVVIENSFLPGGLFENEFDIVFTVGQIGARFVDRVLRSGGAVVLPATDISVNVYLDELKYRCVYLKAYRVERIIMVVMKPRASEQSDAGEKRGHRRRPNWVYHKQ >KGN48789 pep chromosome:ASM407v2:6:25105551:25106489:1 gene:Csa_6G501300 transcript:KGN48789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWVSATIIGLLLLLLLLLLSNYLFEIWLFKNKKAASDGVSINISSKAASLITDMTCLMVFGRKFGDEELDDRGFKAMMQEVMQLIAAPNLGDFIPFIERFDLQGLNRRMINVSKVFDGFFERIIDEHLKSMGEKKTKDFLDVMLDLMNTECLSYEYRIDRSNVKAIILVRIPILLIQ >KGN47653 pep chromosome:ASM407v2:6:16752600:16756652:-1 gene:Csa_6G366510 transcript:KGN47653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASRGVKKRGHGNRSWIKIDQEGNSEVLELEKATIMRHCSLPSRDMRLLDPLFLCPSTILGREKAIVVSLEQIRCVITSDEVFLMNSLDGCAAQYKSELCKRLQANKDQSDDLPFEFRALELALELTCSLLDAQVKGMEGEIYPLLDDLASSINTLNLERVRRFKGNLLTLTQQVQKVRDEIEHLMDDDGDMAEMYLTEKKRRMEANIRSNLYLEASFFGKQPPKSAPVSPVGSANGIYKLQRAFSSIVNSSSLMSSSTSGDNIEQLEMLLEAYFVVIDDMLSKLLSLKESIDDTEDLINIKLGNVQNQLIQFQLLFTAATFLATMFAALTAVFGMNFVDDVFDHPSSFQLIVYFTLIACGLVYFGFLFYFRYKKIFPL >KGN45622 pep chromosome:ASM407v2:6:141017:141368:1 gene:Csa_6G000700 transcript:KGN45622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRAGFRTVIANFVFLHFLLRISFNLVRFGYERKPATRIMYRSLDLSNIISHFLCSHCRFCNTTYLASFGGIHADAQSFKYMPRKMHIYFYNDFVWKYLTS >KGN45732 pep chromosome:ASM407v2:6:829726:833598:-1 gene:Csa_6G008630 transcript:KGN45732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGWVTFGKCVENSKKKREALEFDQTETILRRFNDSSPFTKVCRSWKPSPRRVLEQLNASESPPFTRLAPSSSPSPHRSPPFLFHFSFPSSHNFNRPHRRNFSIRSKPCRFWTYTINPIYSILFYMSFVFRGTRVPDIENGLSGFIPERRAMRVHAARPVNSNSLAFLVTVLLLFMILNSHQMSPNFLLWLVLGVFLMATTLRMYATCQQLQAQAQARAMAASGLLGHTELRLHMPPSIALATRGRLQGLRLQLALLDREFDDLDYETLRALDSDNAPTTPSMSEEQINALPVHKYKVSGPQSDSSVNQQASSSESIEKRQDSVNAVGSTKTSEDELTCSVCLEQVNVGELIRSLPCLHQFHANCIDPWLRQQGTCPVCKFRAVSGWSEQEQGETDAYMV >KGN46975 pep chromosome:ASM407v2:6:10954780:10960492:-1 gene:Csa_6G155540 transcript:KGN46975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAHKRSWSDTVHGEDPRDQQFSHQDAKQSRTERETKTDIEEEEEEEEQREEQLKEGQRVDEGEEEKQRQQLEEATGDRDSEGTQSSSSEEKPEFIFVELSGIRKDVQCPICLGIIKKTRTVMECLHRFCRECIDKSMRLGNNECPACRTHCASRRSLRDDPNYDALIAALYPDIDKYEEEELTFHEEERNRNKQIQESIAQIFQRQSEALSKKRILGKDTAGVILTRSRRNHRNVHLRRQNGRGDEVSGYEDNDDDDDNNEGKDSSSADERFTEVRQRRKKRHPTVRSSQPSSSIANIDSGDGCAESDLDMSRENRTVSPGLVLNTEMLGWGRGGVRSNSRHGSAGGSGNKSSRSSRLMKLAKYLRGLEENNNELDVHLLLISVDKESTPSLQQPHLYCRPSLTVKHLREYVSRKTPLQADDVEILSLKGRPRTSNEQSTPSASISIDGMSLVFDPLKYELQSLEGEIFLAGLQSDCTYSRDLLILGYRRKGRS >KGN49330 pep chromosome:ASM407v2:6:27731714:27739923:1 gene:Csa_6G520280 transcript:KGN49330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRAVRDRILKEANGDISDHLRNHIHLTNCIHLKNHMHKHSPILADRSLMRDLIVLQRSRSLRDPSASPPSWQSPSITDLPSRMGENNVVIREGRRSVGTESRRVGRTISGSSPPLGSFATSKVAPAEVNVGADGVTAVSEHSVKSEIRDGRRIRREESSRRSDRNSVLDGNEESSPVHDAHLLHEVISRKSESKDRKSEQKDKQVRSIPFKTLSEQLNSAPIDSDDIASSSAVHGRRSQQERIADEPEPSFRGNCSGLNRGKRRKFRGTRRSRMNLTSRDTGVQNELSVASNTLAHGSAHSKHKMEEENENYGNKNVIGGPRNGCGMPWNWSRIHHRGKSFLDMAGRSFSCGISDSMLRKCSPTARGRGISGTPIASDHSSSSAKFDAEALPLLVEASGSQESIENAGWQRDYSGELGIFADNYIKHEVDSDLASEARCSNRRRTRGHHRARHQNLTQKYMPRTFKDLVGQHLVAQALSNAVLRKKVGLLYVFYGPHGTGKTSCARIFARALNCQSLEHSKPCGLCNSCVGYDMGKSRNIREVVPVSNLDFESITELLDHMIASQLPSQYTVFIFDDCDSFSANCWSAITKVIDRAPRRLVFVLVCSSLDVLPHIIISRCQKFFFPKLKDADVIHTLQWIATQENLEIDKDALKLITSRSDGSLRDAEMTLEQLSLLGQRISVPLIQELVGLISDEKLVDLLDLALSADTVNTVKHLRLIIESGVEPMALMSQIATVITDILAGSYDFKKERPRRKFFRRQPLSKEDMEKLRQALKTLSEAEKQLRMSNDKLTWLTAALLQLAPDQQYLLSSSAETSFNHSPLALNNVSGRGVSRNIDQHGQISAGEKGLPTDVKFAGHSDSNRISKGISLDRKRHSGVGVSPQLTVASATDLMKSSGKQVSGTTHKAMEEIWLEVLGKIRMNSIKEFLIQEGTLASVSFGAAPTVRLIFNSHNAKSKAEKLREQILQAFESALGSSVIIEIRYESKRDTLVGNHSSVTLPASKNGLLQIRDISGNMSQAQLTHYGSGEVGRGEIVEIDASPREANNQREPNQRNLEGSQGEVSVSRKNSTMSSISERREAGAQSRSQSIVRSKVSLAHVIQQAEGCSQRSGWSTRKAVSIAEKLEQENLRLEPQSRSLLCWKASRVTRRKLSRLKVRTRRPQSLLKLVSCGKCLSA >KGN48081 pep chromosome:ASM407v2:6:20307567:20307893:1 gene:Csa_6G429110 transcript:KGN48081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKIKRGPESVWRGVEGVVAHPALVVSNPTRWLHEWLGRAGVDAPSIWPVGQVVGPYSFVESISFTPFLRRENLMPLLTQHVNTILAFYFENDTLEWNHRPYYKPQKS >KGN45832 pep chromosome:ASM407v2:6:1561198:1563652:1 gene:Csa_6G014530 transcript:KGN45832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDLSETAAGSAEADGKDIPCTSCDRQTLLHQSMGGGKAADILLWKQWHVSIGVLVVSTVFWLLIEHSGLPLLTTCSDVLLILVVLLFLRANFAAFRNKQLQTLPQLVLSEEFVNNVAASLRAKINNTLLMAHDITVGNDFKLFFRMVIGLWLLSVVGSYVSFFTFAYVGTIISITIPALYSKYDKHVDRYCGMIRQQFRKHYRVMDESVFSRLPGGLSKDKDQ >KGN46736 pep chromosome:ASM407v2:6:8919451:8922089:-1 gene:Csa_6G127480 transcript:KGN46736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCICSKVLADECSDNEHDSSKRPHSSMKHLSELKIPRAGSPNSSQVWEKDRLDCSDVTVMLFDTKPNGSLRSYNKPPNEKKKTDVLDVTFINHPRMRKIPNAIEAEQVAAGWPSWLAVVAGEAIKGWLPKRASNFVKLDKIGQGTYSSVYKARDIIQDKVVALKRIRFDNQDAESIKFMAREILVLRRLDHPNIVKLEGLITSQTSCTMYLVFEYMEHDLTGLTSRPGASFTEPQMKCYMKQLLSGLDHCHSNGVLHRDIKGSNLLIDNNGILKIADFGLAVFFDSQSAVPMTSRVITLWYRPPELLLGASKYGVEVDLWSAGCILGELYSGKPILPGKTEVEQLHKIYKLCGSPSKDYWKKLHLKHSTSMKPPQSYERCLRERYNDIPHSAVDLMDTLLSIDPAGRGTAASALDSEFFTTRPLPSDPSSLPKYPPSKEINTKLREEEARRQQGVGGGRSQIVYQEAKGMKQSRVVPAAKTSADQLVISLLRKRSRWSAKYRNETCSYGLVSKRNSNSGPITTHGPRYTNSKKEPKNASISSECLTSSGSMEFVSYKYFSERSNGVSRPESMSEDKNLPPLSSSGGVSSIKKMNKEDQVDMKKVANSCHLEESKASALIVG >KGN45682 pep chromosome:ASM407v2:6:495865:498721:1 gene:Csa_6G006690 transcript:KGN45682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQADYMDKMQLRQNYRNFWHTDLTGTIAASPPYCCFSVFCSPCVSYLLRKRALYDDMSRYTCCGGYMPCSGKCGEKHCPEACLCTEVFCCFANSVASTRFMLQDEFNIQSTECDNCIIGFMLCLSQLACICSIIACLLGSEELQEASDLITCLSNLVYCSVCACMQTQHKIEMDKRDGKFEPQLPPMAVPLTQQMSRIDQPIPAPVGGYPPPPVYGQPFGHLPPPVVQGYPPQPRPTPTHLAQGYPHPPLFQGYPPSGYPQHPPPGHCK >KGN47309 pep chromosome:ASM407v2:6:14063862:14064301:-1 gene:Csa_6G290900 transcript:KGN47309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSKIGSQGLPLCISAFTLSNHSLSTQIADHDRRREAMKTRTKRSSNMKHRLLEAIREGDLEDFVNENDLMICAAKAA >KGN46542 pep chromosome:ASM407v2:6:7137601:7137906:1 gene:Csa_6G107915 transcript:KGN46542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLYTKPHQKAMKLYPFSIHLVLQIVMRRIYCLVSPFSVVLLLRDSLHFLYSYSASVLVFALFFSSLLFSKLGYRNPTTPIPLFSFFFLMGFPFLPPPLRL >KGN47012 pep chromosome:ASM407v2:6:11423549:11428715:1 gene:Csa_6G169280 transcript:KGN47012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHSFGITRQRTRNALNNRDNGGRRHSSSNLPPINCRPDLHFHFSTLIEAIPQQTLLLFQHIRHPTLLKMEQQHIIYNIPVLWRGTKYMVEISSDSTLRDLGQELLKITEVKADTMRFIVPQFSSKSSKMLYPFSDEDGCLALQKFSIFKDNNKPIRMMGVSKNEVDEILNNAKKNERIVGFDEEEKRLKQRMSSKPRGVLKLPEGPYVFCEFRTLQIPGIELNPPASEALKRMHMLAADPGIVAIMNKHHWRVGIMTEMAPIGYVGVNPKCILGFNKNHGEEISLRLRTDDLKGFRKYESIKKTLLHELAHMIFSEHDANFYALDKQLNEEAAALDWTRSKGHTLTGMNYSQYHEENDVEDDFGVSQKLGGSMSHQLVNARAASVAAAYHRMTNNSDCSSGVPQVSAESNPNSSHQNKLEPDPDDSVYPKLEPDPDGSSNDQNMLGLDSNNSYNHKGKLEPAPDDSIGSENLESESEPRIIKSLVVQTDLSSTEVHPVPATNSRLLEATKSYGEPDLDDRGSSSNSKVIDTDHLSQGMQNLDCNIFQRMIVEPDPDALGEKVNTLASGRAIGHNETDCLEAGLVKNQSHLSINCKKHDTIQGEEPMQIEPDPDESLVHQVDSSKMAVDQLDPDDQEIQRIQDSVSVVCNRLREAITKLLAEVKPSESSAVVQTLFKIVKNVIEHPDEMKYRKLRKANPIIQKNVANYEAALEILFLIGFIEDALLDEIGKAETFLVLKRNDPGLLWLAKSTLETCNAL >KGN49095 pep chromosome:ASM407v2:6:26609381:26609638:-1 gene:Csa_6G513590 transcript:KGN49095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFPQLPRACGQKMAKPPVQYAIYDCKQIIVLLSNLVVHVRGSYYLAFFDSMWSLWWPPIIQIFCEKRTIRFDFMKHYCDQNSDS >KGN47991 pep chromosome:ASM407v2:6:19589903:19596506:1 gene:Csa_6G423330 transcript:KGN47991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPTSTFSIREYALNKRSMGLTTISWPFSEKVKKEVAESLLPPMDVKKFRWWSSLWLSSQEEEEGEEGEEKEVITERIKMQKICPVCGVFVAATVAAVNAHIDTCLAQTTSKEIRRKNYLKAKSRTPKKRSIAEIFAVAPPVKTMIVVNDCCEDEEEKKAVGKQIIHHNKNLKTTSLATSLVSAIKTIKNKIATTTEEPTILAKRKKKKKKKKKKNKDFCHGKLCKKGDIRNHKDVSTFCKRRPCFKRLSKQKKKKLAKKSTVVAKQQRPMPPLRSILKHSVKAISETNSSFINLKGSNQAFNNGGQKSDRRVSFLDKDDVLGPSTRTISDTFEQNVGNPFQASEVSTNSGESNKEVPSMEANLNDDVDCFNSTRHKVDSQHVKGKIQLPNFHNQVNAQSWENPKHSTEKLILESRDIPHDRNDLHLFDHVYVDAHQKLPPEHSAIPALLAAQEERPYGHVRTQCGLNVVPQAHSLYGKSVDHLINNNNHFNGVAALGSVTSRVPSSSLTENPVSRFLNLAESSARDSNRFQISNGEQGVVTYKEKGVNDGFFCLPLNSRGELIQLNSGLTDRFDQMNEANTTIAGSSRIPVCNFVVPRSRDYFVDNEKLFLDTKLTGNQLTLFPLHSHMQENQNRYLPAGFDVPEPGTSETADIRLMNSERGTETGRFFHPNLMDSPFNRCRYYEKFQNQNVSAQFYPENSSSMCANPGRQTMRLMGKDVAVGGNGKDVQEPEVINFWKNSHLIGNCLTNPIQETHMRKRNFLQDRELHYPSRGETLFYHPAGFHGNQVAQGNLLANAPQAVRYPHPCTNRKSSLLYPRPESVINLNERFNNIHSFPTSSTDTLNMARNFQAPFVSGLETQRFCSQPSAFSTSHHVCPNRYENSFELGFNQSLHPAKLGTFNFPFLQPDDGNHVQLPWSHTSKSLSPWILHDHQREVPPTANSKLADVNGYYCPCTPGTDVLISPSSIHHQLETAYPCSTMAYSHLQTKNHIPGSTSLFQPIPIAPRVLHSPIANAGHEIRMRSEDRLKFNSLSVKNSDFSSKKQLAEEFVDSRKRQKTLSLETNNSGVVPEWTRGKYSDDHLKSNPGTVKIHANWDKAVNSVGNIPNMTQTTDGIVISANNNEAHRVECMARSGPIKLTAGAKHILKPSQSMDVDNTKPTYSTIPSAGLVHSDSLAGSQKKSTKVYSF >KGN45812 pep chromosome:ASM407v2:6:1425916:1426433:1 gene:Csa_6G013350 transcript:KGN45812 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly(A)-binding protein C-terminal interacting protein 6 MDVVTQRNSSSSVSMLNPNAPLFVPMAYRTVEDFSDQWWELIQSSPWFREYWLQERFQDPQNELSFGENEEFILPDLESFFDDFTRQQEEEELEFSKDLVPMGAFKWQKARSGAEVPKYAQKAPKIVNVKVSPRTIHQPR >KGN46992 pep chromosome:ASM407v2:6:11096449:11096697:-1 gene:Csa_6G157660 transcript:KGN46992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRERNVAKEKRYEGERGLVINWDLVIIWVPAIGEKKKKEAEGRDLGGRRRVVAMEVHRVDRVRTNLAVASCNQHPFLILFYF >KGN45847 pep chromosome:ASM407v2:6:1675339:1683364:-1 gene:Csa_6G014670 transcript:KGN45847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLIPPPHRSANDLFSDPLDSHPLWFKPDLFLSPNFDSESYISELRTFVPFDTLRSQLHSHLSALNRELIDLINRDYTDFVNLSTKLVDVEAAVVRMRAPLVELREKIEQFRGSVEFSLSALQNGLRQRSEAASAREVLELLLDTFHVVSKVEKLIKELPSAPADGSNGTMNLTDKSGLSNGASLPHIENGTNLRETQSMLLERISSEMNRLKFYIAHAQNLPFIQNMDKRIQSASLLLDTSLGHCFVDGLAHHDENAIYNCLRAYAAIDNTTSAEEIFRSTVVSPAIHKVIPHKVSGMDTGSSDDDLENDYKQMKQYIDKDCKFLLEISATENSGLHVFDFLANSILKEVLSAIQKSKPGAFSPGRPTEFLKNYKSSLDFLAYLEGYCPSRSAVAKFRAASVYNEFMKQWNIGVYFSLRLQEIAGALDSSLSAPILTPVQTSSSGRGNNQDLTLKQSVMLLDCLTACWRDDVLVLSCSDKFLRLSLQLLSRYTNWLSSGLAARKTGTGSHPGSEWAVGATPDDLIYIIHDLGYLYTVVTGNFLETVLQLLSSCTVDVLDSVKQSILHGGKSLYNLMPKVIGAIVASLVEKSVEDLRQLKGITATYRMTNKPLPVRHSPYVSGLLRPLKAILDGDRASTFLTTETRTALLTDAVTEITSRYYEQAADLVSLARKTDSSLQKIRQGVQRRAGASSDVSDHNISDTDKICMQLFLDIQEYGRNLSALGVEAASIPTYRSFWHLVAPSDKQSSISF >KGN46887 pep chromosome:ASM407v2:6:10357889:10361936:1 gene:Csa_6G148290 transcript:KGN46887 gene_biotype:protein_coding transcript_biotype:protein_coding description:Superoxide dismutase [Cu-Zn] MQAVLAAMAAQSLLSVSLSNYVALPPFSNSSSSSSLSLTSSFHGASLKLPRHSLSLAASVAPKPLAIVAATKKAVAVLKGTSAVEGVVTLTQEDDGPTSVNVRITGLTPGLHGFHLHEFGDTTNGCISTGAHFNPNKLTHGAPEDEIRHAGDLGNITANADGVAEAIIVDNQIPLSGPYSVVGRAFVVHELEDDLGKGGHELSLTTGNAGGRLACGVVGLTPV >KGN49241 pep chromosome:ASM407v2:6:27297974:27299020:-1 gene:Csa_6G517980 transcript:KGN49241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARNLIFLLLLLSFISLSFSDSIPSPDSECEAQLQQDCHDRAESLKLKLISIATILVASMIGISLPLFSRAIPVLHPDGQTFAIVKAFASGVILATGYMHVLPDSYDFLTSPCLPENPWRKFPFPTFIAMLSAIMTLMLDSFSLSHFNKQSMQDQLSEEEEEINNEDRKEMSENLGKEEGTGEKLGSQLLRHRVIAQILEAGIVVHSVVIGLSLGASENPCTIRPLIAALCFHQLFEGMGLGGCILQAQYRIKMKAIMVFFFSVTTPFGIGLGIVLSNVYSENSPTALIVVGILNALSAGLLNYMALVNLLAHDFKGPKLQANLKLHIWAYVAVLMGVGGMSLLATWA >KGN49247 pep chromosome:ASM407v2:6:27324674:27326250:-1 gene:Csa_6G518040 transcript:KGN49247 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene response factor 1 MAPREKAVAVKPSVGNVKEVHFRGVRKRPWGRYAAEIRDPSKKSRVWLGTFDTAEEAARAYDSAARDFRGVKAKTNFPLPSDDQLLNLNNKINNINNNQSPSQSSTVESSSREQALMVDSSPLNLNLGHGIGGLTNAGPISFPFQRYQIPMIGEVFTRGIPPSNHVLYFDAALRAGMINSHPNQRLHFDRIREAVSDFRREFAGSGVQSDSDSSSVVDMNGQDLKPRGGSGGRLDLDLNFPPPESA >KGN47134 pep chromosome:ASM407v2:6:12327279:12328638:1 gene:Csa_6G190250 transcript:KGN47134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSNSVMPPVVPVHYIKARVHAHVKPRAIFLLKFRSEAMSTGTASYWCYRCNRFVRAWAQDSITCPYCDGGFVEAIETASSLPPSNLHRRLSPSAIHTLDQDSFQSPRLSTRRSRRRLGDRSTFNPVVVLRGSADAGDVVGGGERNSFDIYYDDGAGSGLRPVPATMSEFLMGTGFDRLLEQLAQLEINGFGRSENPPASKAAVESMPTIEILESHVDSDSHCAVCKEAFEIGTEAREMPCKHIYHSECIIPWLSMRNSCPVCRHELPSERVSPAGGVSDRVVDEETVGLTIWRLPGGGFAVGRFSGGRLAGERDPPAVYTEMDGGFNGNGVPRRVSWASRRSRGRESRGIGRTFRNIFSFFGRFRSSSSSSSSPSNESESVSRSHSHSSSSVFSRYLRRQNRAWVLENQNENGRW >KGN45985 pep chromosome:ASM407v2:6:3100143:3101370:-1 gene:Csa_6G041210 transcript:KGN45985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKESIKLKRQSTKTKRALIGRKSRERKRALFFNNKRFARSLFLPVISFFFFAYSSILPSLSNSNFIKGVGSAQTVLFALAGIIVELISSFNARLPRPLDQVSWISFRYAALAALF >KGN48634 pep chromosome:ASM407v2:6:24155455:24155637:-1 gene:Csa_6G495880 transcript:KGN48634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGGGGDRWESGVALDRDIGRVCGCVWLQRKEKNVRMFKVVEIGISICNCMVFYDMNEC >KGN49439 pep chromosome:ASM407v2:6:28326434:28329656:-1 gene:Csa_6G525250 transcript:KGN49439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVRPLAIRNLVHLVTHHSSSPTRFLNSFNDFRKRQQRRPTAVFNLNIWEQRSEKSDAFPAPPPAVCRFFFRNRNGFLQGLYERRRLGSSGRTAYQLNVETTWFQKDLANVQDTKRLQVFMATIAYRLQAQPQLGCQVVNSIHLMPAALHIIQFTVILELHDEKFVSWGLKTVARHGMCECLRLISHKTVPRTGLYTQMPRVSPELLDFGWLFSCDEIVVKLI >KGN47551 pep chromosome:ASM407v2:6:16067789:16067977:-1 gene:Csa_6G358150 transcript:KGN47551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSGWVELSCFYLFYIMRNLCGEDYYANGKQTCSDIKYDGMMGVSDSMSASGFFFSFQSTI >KGN48579 pep chromosome:ASM407v2:6:23796233:23813514:-1 gene:Csa_6G493870 transcript:KGN48579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAISERVELAKFCRSKDWSKAIRVLDSLIAKSCTVQDIWYFLHSCSLLFDSLSFSIFIYLFERTGQAYFALGKRGDALSIWERGYQYALCQTTDLKQLLELEELMTREKQEKNNVENGEVESGFSTVGPESGVTSASKNCRETNNNVGKLMEHPDFCMKSSDSSEVCSISSDNLVVCEGGCEEVGPNRDIKCESNGCTDNDDRLSDASKLHDLRSDMPEFCNKSKLTAFHSKSGDSTDILSKSSKTSDVRGNVSDEARRTKKFSVAKISKTKSISVDFRLSRGIAEVNEGKYANAISIFDQILKEDPSYPEALIGRGTAYAFQRELDAAISDFTKALESNPFAGEAWKRRGQARAALGASAEAIEDLTKALELEPNSADILHERGIVNFKFKDFYAAVEDLSECLKLDGCNTSAYTYLGLALSSIGDYKRAEEAHLKSIQLDRNFLEAWGHLTQFYQDLANSTKALECLHQVLQIDSTFGKAYHLRGLLYHGMGEHRKAIKDLSIGLKIENANIECLYLRASCYHAIGEYGLAVKDYDATLDLDLDSMEKFVLQCLAFYQKEIALYTASKSSSDFCWFDIDGDIDPLFKEYWCKRLHPKDVCEKVFRQPPIRESLKKGRLRKQEHGMTKQKISLLLAADTTGRKIQYDCPGFLSNRRQHRMAGLAVIDVAQKVSRTWRAMLAEWKCSNKSNTKHGKRARRRERPSIASQNRGGAGCSTSGFSEPSSSSHLEDRLSGHNFISWQDVFSFAVKWRQISEPCDPVVWINKLSEEFNSGFGSHTPMILGQAKVVRYYPNFERTLEVAKAVIKHKPFVYNKSDLMVDLREDGKLQNIMQAKSCSDLYKVVGEDFWLATWCNSTAFEGKQLEGTRITLVKTGERGFDFAIRTPCTPSRWEEFDAEMAMAWESICNAYCGENYGSMDFSTLETVRDSILRMIYYWYNFMPLSRGSAAVGFVVLLGLLLAANMEFCGNIPRGLQVDWEAMLNFDPNSFVDSVKSWLYPSLKMTTSWKEYPDVASTLKTTGSVVAALSSYDD >KGN45881 pep chromosome:ASM407v2:6:1950121:1950495:1 gene:Csa_6G016975 transcript:KGN45881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLDPEFPNLTVNPATKLGSICVFILTFFAPLNFCTCFAICAKCCCSNCTTELTTASSVFVILLYTNSNSVTISFRMSILFASTKIFKKFAECGCRDDEEAISSTTACFFSTLIVGFAKKSNTR >KGN46121 pep chromosome:ASM407v2:6:4264998:4271646:-1 gene:Csa_6G055910 transcript:KGN46121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQNIEGLPDYKDIKQNEFCYRKHKKQKEEDIAVCECKFDDNDNDSACGEGCLNVLTSTECTPGHCPSGVHCRNQRFQKCEYAKTKLFKTEGRGWGLLADENIKNGQFIIEYCGEVISWKEAKRRSHTYENQGLKDAYIISLNASESIDATRKGSLARFINHSCFPNCETRKWNVLGEIRVGIFAKQDISIGTELAYDYNFEWYGGAKVRCLCGASSCSGFLGAKSRGFLEDTYLWEDDDDRYSVEKIPLYDSAEDDEPYVKLHTAVTNTYSEFEGYLKNEDPMIMDDLGAEQQLGSTAFIDTSKDTVQLQDIVVGEIKNDAKEEPEDHPQNTQQKFSDQNAMISRIRSNTACHNYRIGPRPVAKKRSRNLSNGRTKKISLKQVDAKYVARLLEMKEAQDEVLQYEETKNKVSAELDSLYNEIRPAIEEYERDSQDSVATSVAEKWIEASCLKLKAEFDLYSSIVRNVACTPLRSGVSTEPQALEADGDNDLKLLTN >KGN46334 pep chromosome:ASM407v2:6:5693071:5696011:-1 gene:Csa_6G086150 transcript:KGN46334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIAAANFFLSVNPKAIYHKQTTDYFTPNLTCIGVSFPSQIRYGSRKISLSKNNRYLPAACAVLSENVSVSSSQFEDFSVTNVTNTKENKELKIRVEVSGAKTRAIFNVVFDRMVAEAQPIPGFRRVKGGKTPNIPRDILLEILGPSKVYKQVIKEVINSTVAAYVEKEALKVGKDLRIDQSYEDLEDQFEPDENFFFDAIIQLKESN >KGN48519 pep chromosome:ASM407v2:6:23391867:23392837:-1 gene:Csa_6G490830 transcript:KGN48519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMACLDTYKNKSDQKGQFPPMSPRLSFSNDFVESSNNPSPTSTTNNIQDPKILPNIPPSDDFEFSPNPTNHTAMTAADQLFFKGKLLPTLRDELLIDDDDEDFAPVPPKGGLPKWKEFLSLKRSVVDGRCTATDNNKNNDANQSKIPKLALYDNSSSHLPITS >KGN47466 pep chromosome:ASM407v2:6:15335982:15336278:-1 gene:Csa_6G336260 transcript:KGN47466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFETLASYLFSLLIAFFFLNLPSKLTAHYIHQSSSTNLYEYGPPPLPLLPPPPICRQGAPPPAKKQNKLKPLPSLSPPPPKFKWPRRFTPKSPPPPF >KGN47404 pep chromosome:ASM407v2:6:14883954:14888623:-1 gene:Csa_6G312560 transcript:KGN47404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLGHMKGSIPTPTPTPTSISARKATDMSSDQFPAGLRVLVVDDDPTCLKILEKMLQNCCYAVTKCNRAEIALSMLRENKNGFDIVLSDVHMPDMDGFKLLEQVGLEMDLPVIMMSADDGKNVVMKGVIHGACDYLIKPVRIEALRNLWQHVVRKRKTEWKDLEQSGSVDEGDREQNLSEGANYSSSAYEGSWNSSKRRRDAEEEPEERDDTSTLKKPRVVWSVELHQQFVAAVNQLGIDKAVPKKILELMNVPGLTRENVASHLQKYRLYLRRLSGVSPHQSNLNNSFINPQDPPFGSMGSFNGIDLQTLSVTGQLSPQSLAALQATGLRRPTAKSGISMALDQNNIFSFENPKLRFVEDQTQHLNNSKPVNLFHGIPTKMEPKQLANIQHPSVQPQGNINMQLNIKSEHGGTQLMHLSQQQTIGQTLGNSPASHLPRISSTLRKPIISGSVMRGNGTADNSHRPGYNLVSPTSVMVNYPMSQTTELSNNYSLQSNSSVSTLNCKGMFQEEISSDLKVSGGLMSSYDVFSDLPLQKSHDWDSQNVSTLAFGTFHHGNFIQDSFDISSSTLIHHGFPSSQTNGQNQNSSVVGKTVFSFSDSTQPENPQNIFQNSLLDPVEVKSQRIPDANYQTDLLSEDFGQDELLGVFIKQQQQGDNGSVDFSGYQTENICV >KGN47225 pep chromosome:ASM407v2:6:12986699:12988067:1 gene:Csa_6G216930 transcript:KGN47225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLVHSLLLCVLVLSASLIHTHAQLTSNFYNNVCPKALSTIKSVVLNAIKNEPRMGASLLRLHFHDCFVNGCDGSVLLDDTSTFTREKTALPNANSIRGFEVIDQIKTQVNQACNGNLVSCADILAVAARDSVAILGGPNYKVLVGRRDARTTSVNDANRNLPPPFFNVTQLLSNFQSHGLDLKDLVVLSAGHTLGYARCTSFRNRIYNDTNIDSKFAATLQGNCPQSGGDDNLSGLDKTPYSFDNAYFKFLLSNKGLLHSDQELFGGGNGDSDNLVKYYNTYPNAFKNDFASSMIKMGNMNPLTGSDGEVRANCRVVN >KGN49154 pep chromosome:ASM407v2:6:26848254:26848586:1 gene:Csa_6G516620 transcript:KGN49154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKATAIIDPILARTCIDEIMRCIHLELLCVQENVDIRPTMDSVVFMLNCNSVTLLVPLQPGFLLQSNTSNLPQHLDDHTEGPHHSLSESFYVEEESGNQYSAIDIQAY >KGN46856 pep chromosome:ASM407v2:6:10177309:10177962:-1 gene:Csa_6G147495 transcript:KGN46856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLGWLIVSFSVFVLVTNLGEAISSCNGPCKTLNDCDGQLICIKGKCNDDPDVGSHVCSNGGGGGGSSPPSDSSCQPFGHKICNGRSHPQYKCSPRVTSSTRATLTNNDFSEGGDGGAPSECDNKFHSNSELIVALSTGWYNGGSRCGKKIKITTSNGKSVLAKVVDECDSINGCDAEHAGQPPCRNNIVDASNAVWKALGLNTDIGTVPVTWSDA >KGN46901 pep chromosome:ASM407v2:6:10445463:10448465:1 gene:Csa_6G149420 transcript:KGN46901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGLKRLVPHACSIRASLTMQLSVYEDKFLVFPSQHLAQLTSNRFLDIYQLGNKTAIEKERARLADEINRGYFADMSELKQHGGKIAAANKILIPAMAAVKFPEFEVSYSDGKTLKLPIKSDVNVIEGNSSPSGLPMATLLCLSFRANSQAMIDSWSASFLNAFSSSNNVQLYEVSFIDSWFLCRNPIKKLLLRLMRKSSGNAQNDSLQRQIVYSFGDHYYFRKELKILNLLTGYVFLVDKLGRIRWQGFGLATQEEVSSLLSCASLLLEEK >KGN48416 pep chromosome:ASM407v2:6:22712041:22735480:1 gene:Csa_6G486890 transcript:KGN48416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSVSGCEGPAILQLQKWNPSQPQLNLAEYREAFISPTRQNLLLHSYKHEALLLPLNTGDIRCSDNFPKEYDTHLKDSGSLTFSEVSTAFRSEDAEGDVQCSNQSVVDIDTHSPTRDESSGASCNNFLGDVSSLAWGLCGDNYKKHEDYFFMEILFVSGSHGVTAHAFCEPKKTVAEAKNMVQSEFRKGRWVEWGPYPTLPQILGAQESSGSSETCGNVDENGRNQNGEMLPSSNSKCENDALLSGNSTSKRYLRSFLAKVKTIEYEDDIWTMYPEKSSVPCFTKVVSFNIFNYNLPPPNSVDNSSVNEQNWHEIILGTPGNTRSTSSDTRVLSDILSNVFGIGMNKSYKCSRVFASNSHILIGFVLKMVESVSADEDAETESRNDTLILVARAGSLGIKWVSSVEFEKSQYVSPRMEWADFCFSNDFIVCLSDSGFIFIHSALSGKHVTRIDVLQACGLDPKYLHEKQDLQMKQVDHVQDVVSCRRGSFYGTRKFRRLLSDSLSSRFAVIDTFGVMYVVSAVDHMLDHYYGSENLLGHSHNLELVKVPASWEGGGYDIGCQRNYSESLGSHSCGNGSMKNEGASLWGNSKYNVLQNIQDSKVYTGKRYKCSCLTASAPILQDQESQGGELQSCMMRKIFVSACKTNENDCFCFSPMGLTQYIRRCNTSGQNSFQVVHFDLHLKSEVHDDSCLKSQMTFIDGRKKDLVGEAVGCTSQGSLYLVTNDGLSVVLPSITVSSNSLPYESVARLQPGSLLGTTNQVKDLELKESKCPWSPWQVEVLDRVLLYESIDEADRLCSENGWDLKVVRMRRFQMTLHYLRFDELERSLEMLVDVDLEEEGILRLLFAAVHLMFQKAGNDNDISAASRLLALGTHFATRMIHQYGMAELKRNATTFNDFSSSQEISIFPDFPFRMQNELDYSRKLHEMSHFLEIIRNLHCHLSSKFKRPCQELVAGEALISDQTSQLLDEPQFVSTDVIPSGSTSQYELSFPSNDLNSNVIDGLVMMPMISGSQMDSEDLDGDSAVVPQGVFEKKVLPLENPNQMIARWKSDKLPLKNVVKDALLSGRLPLAVLQLHINHVRELIGENEPHDTFSEIRDIGRAIAYDLFLKGETGVAIATLQRLGDDIEVSLKQLLYGTINRTFRVEIAAEMEKYGYLGPFDQRMMDIILHIERLYPSSNFWKTFLSRQKANMGFPSSSNSPGENDLKTLHFHVINNTIIDCGEVDGVVLGSWPDANENSPVLEINEDNVHMGYWAAAAIWTNTWDQRTTDRILLDQSLDIGIHVTWESQLDYHICHNNWDGVSRLLDMIPVANLLDGSLQVSLDGLQTATAVGCNRESSFYGNYLYPLEELDAICLYIPNAKIFRFSTNIMCSKWLGALLEEKLARYFIFLKEYWEGTMELVPLLARAGFITPRLDEIDFMDDHINSSVGQSTSNKGGSFSVDSMQALYKVFIHHCSQYNLPFLLDLYLDHHKLAVDNNSVRSLLEAAGDCQWARWLLLSRTRGCEYDASFANARSIMSPNLVHDPNLSVRNIDEIISTVADIAEGAGEMAALATLMYAPSPIQDCLNCSGVNRHSSSSAQCTLENLRPVLQRFPTLCRALFTSAFQQDTACNFLGPKSKNALSEYLHWRNIIFLSAGRDTSLLHMLPCWFPKTVRRLLQLYVQGPLGWQSVSGLPTGQTIWERDVYFFMNDDEHSEISPISWEATIQKHIEDELYDSSLKETGLGLEHNLHRGRALSAFNHLLAARVQKLKSEVQSSSAPGHSNVQLDLQTLFAPLTPGEQSLLSSIIPLAITHFENSVLVASCAFLLELGGLSASMLRVDVAALRRISTFYKSGQSFENFRQLSPKGSAFHPVPLESDKIENLARALADEYLHQESSGVKRSKGSSDSEPPKRCPHVLLFVLQHLEEVSLPQVVDGNSCGSWLSSGKGDGTELRNQQKAASHYWNLVTVFCRMHSLPLSSKYLALLARDNDWVGFLTEAHVGGYPFDTVIQVASREFSDPRLKIHILTVLKAVQLRKSSGPSSHYDTEEKKGQTTFLDGKMYVPVELFTILAECEKKKNPGKALLIRAEELSWSILAMIASCFSDVSPLSCLTVWLEITAARETTSIKVNDIASQIAENVGAAVEATNTLPVGCRSPAFHYCRKNPKRRRTVVFISEEQSVGVMSDNSSASAGVSTNVSGDCIVKEEGKVVQERQPISVSYDSDEAASSLSKMVSVLCEQQLYLPLLRAFEMFLPSCSLLSFIRALQAFSQMRLAEASAHLGSFSVRVKDEASYSHSNVEGEENIGTSWTGSTAVKAANAVLSVCPSPYERRCLLKLLAASDFGDGGFAATYYRRLYWKIDLAEPLLRIDDGLHLGNEALDDSSLLTALENNGHWEQARNWAKQLEASGGSWKSASHHVTETQAESMVAEWKEFLWDVQEERVALWGHCQALFVRYSFPALQAGLFFLKHAEAVEKDLPAKELHELLLLSLQWLSGMFTMSNPVYPLHLLREIETKVWLLAVESEAELKNERDLNISGSSRECISRNSSSIIDSTANMISKMDKHISTMKNKNIDKHEARENSQTHHKGQILDAGISTAGGGNTKAKRRTKGSMLLRRSVVDSTDMNTNPEDGYISSNFKNDLQSQDENSKMDTSFSGWEERVGPAEADRAVLSLLEFGQITAAKQLQQKLSPGQVPSEFLLVDASFKLAALSTPNREVSMSMVDDDLSSVILSNNIPVDRYLNPLQVLEILATIFAEGSGRGLCKRVIAVVKAANVLGLSFSEAYNKQPIELLQLLSLKAQESFEEANLLVQTHSMPAASIAQILAESFLKGLLAAHRGGYMDSQKDEGPAPLLWRFSDFLKWSELCPSEPEIGHALMRLVITGQEIPHACEVELLILSHHFYKSSACLDGVDVLVALAATRVEAYVAEGDFPCLARLITGVGNFYALSFILGILIENGQLELLLQKFSAAVNTSAGSAEAVRGFRIAVLTSLKHFNPNDLDAFAKVYSHFDMKHETAALLESQAEQSCEMWFRRYDKDQNEDLLDAMHYYIKAAEVYSSIDAGNKTRRSCAQSSLVSLQIRMPDFKWLFQTETNARRALVEQSRFQEALIVAEAYDLDQPSEWALVIWNQMLKPEILEEFVAEFVTVLPLHPSMLTDIARFYRSEVAARGDQSQFSVWLTGGGLPAEWAKYLGRSFRCLLKRTRDLRLRLQLAQLATGFLDVINACTKALDKVPENAGPLVLRKGHGGTYLPLM >KGN45787 pep chromosome:ASM407v2:6:1209687:1218957:-1 gene:Csa_6G011620 transcript:KGN45787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFQKEDNSTKILRALKTLFFLITILLSLLFFSAPILLAIADALLPSALLSTLLHPDSLSFKSLSSHLQNYHFSSSLVDIPLISISRSAILICFYSLCNGPKLSRGPYLAAVMACSLISVAFVAVKAWCVFGEMMTSKGERAMEMGLFICSLFLGVAHIVVAYRGSCRERRKLRVYEIDIEAVWACHQGLLRYKNIALEGKNYNINSITARRFFPSPLDSPFSSGCRSPSVKSFPSFVSSALSTAASNSLGVEAAEFIEASKHGNLVPLSRCIFGDQLDPILAYRCLVKENDWDSPSFLFESVESNSQGRYSVVGAQPTMEIVAKENKINILDHKKGRLTEEFVDDPFTIPIKISEKWKPKSIGGLPDTFCGGWVGYFSYDTMRYVEGKKLPFSGAPKDDRNLADFHLGLYDEVVVFDHVEKKVCIILWVELDGFLSVENAYEDGVKRLDNLARKFQDGDHPRLSPGFVNLNTRQFGPSLKKSNMTSDSYKEAVLRAKEHILAGDIFQIVLSQRFEYRTFANPFEIYRALRIVNPSPYMAYLQARGCTLVGSSPEILTRVKKNKIVNRPLAGTVRRGKTEKEDEMLEKQLLDDAKQCAEHIMLVDLARNDVGKVSKNSSVKVEKLMNIERYSHVMHISSTVTGELHDHLTSWDVLQAALPVGTVSGAPKVKAMELIDELETTRRGPYSGGFGTVSFNGDMDIALALRTIVFPTSAHYDTMYSYKDVNQRREWIAHLQAGAGIVADSSPEDEHQECQNKAAALARAIALAESAFVNK >KGN48747 pep chromosome:ASM407v2:6:24880908:24884091:-1 gene:Csa_6G500390 transcript:KGN48747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGNHQSWLTHLIKSDPSDSIFLGGLFLDDNLPPSFVSLISSKTSSGSASFSPSCSHSRHKTLNFQILGRGRRRVSDGGGCGFLSVSLSANGSGENGDYIQDSEDYLGQNGNKGSMEKAIHHEEEEEEREEKAVLRGSGAMNMTKHLWAGAVAAMVSRTFVAPLERLKLEYIVRGEQKNLIELIKTIASSQGLNGFWKGNFVNILRTAPFKAINFYAYDTYRNQLLRWSGNEETTNFERFIAGAAAGITATVLCIPMDTIRTKMVAPGGEALGGVIGTFRHMIQTEGFFSLYKGLVPSIISMAPSGAVFYGVYDILKSAYLHSPEGRKRIQNMKEGGQELNALEQLELGTIRTLLYGAIAGAFAEAATYPFEVVRRQLQMQVRETKLSAVATCAKIVNQGGIPALYAGLIPSLLQVLPSAAISYLVYEFMKIVLKVESS >KGN47242 pep chromosome:ASM407v2:6:13224453:13226270:-1 gene:Csa_6G227540 transcript:KGN47242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHFSQIYSYDGFCANSASMRNGSVSTSSLVLDSERDELVEAPLKLESKGVPAERSAAALKNHSEAERRRRARINDHLGILRSLVPGGKKMDKATLLAEVISHLNVLKRAAAEVSDAHIIPEESDEITVEQEDGFNGVPYSIRASLCCDYKPGLLPDLRRALHALDLIIQRAEIATLNGRMKNVFVLTSCKEGNIETTELRRFLETSVHQAIKSVLNKFSDPQEFSFMTFPNKRRRISLFNSSSSSSMGDFW >KGN49104 pep chromosome:ASM407v2:6:26640399:26643577:1 gene:Csa_6G513680 transcript:KGN49104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAAASLAPNAELLEWPKKDKRRFLHVVYRVGDLDRTIKFYTECFGMKLLRKRDVPEEKYSNAFLGFGPEDSNFVVELTYNYGVSSYDIGSGFGHFAIATQDVYKLVEDIRAKGGIITREPGPVKGGSSVIAFAKDPDGYIFELIQRGPTPEPLCQVMLRVGDLERSIKFYDKALGMRLLKKVDRPEYKYTLAMMGYADEPETTVLELTYNYGVTEYTKGNAYAQVAIGTDDVYKSAEVVNQVNQELGGKITRQPGPLPGIGTKIVSFLDPDGWKTVLVDNADFQKELDNQ >KGN46916 pep chromosome:ASM407v2:6:10575997:10579851:-1 gene:Csa_6G150550 transcript:KGN46916 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase 2a MEFWGVEVKPGQPLSVQPGDMNYVHLSQATLGDLKKDKANEPVTIFLKIDDQKLVLGVLSADKFPQISFDLVFEKEFELSHNGKGGSIYCLGYRAPMEDQGQEEFSDSDFGSEDEELGMLSAENGKASEKEKSIGGKIFGLKPESSKKADAKSIAPSKEEDDDSEDDDSSDEGSDSDDVSDEEMLGGDSDSDDEDDGTDSEEETPKKVNESSKKRSNESASKTPVSKKTKLASAEKTDSKKGGHTATPHPAKKPAKSPGKAETPKSGGQFSCTSCDRSFGSDGALQSHSKAKHGAK >KGN48838 pep chromosome:ASM407v2:6:25373632:25374324:1 gene:Csa_6G502755 transcript:KGN48838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGKAINHSRPNIHIFLVTLQFPKHTQGFFHIPTFYVHIDKSTANYSINVDSIVEHIEMEPSSLIQRSKIRTSSEQTRNSNTIKFKSCILHLITKTQSFYALSCLNKPRNQRRPRNHILQWHVQENPISRFYIPRFDIPINHYVPRNSISFRNVVKQLACTMNLTTQGINMKQSIQCKNIRPKSQFDNQSMNLKCLTHGQQITTSSKQEREGVGIMRYRRRKHLLIREKC >KGN49234 pep chromosome:ASM407v2:6:27256239:27258386:-1 gene:Csa_6G517420 transcript:KGN49234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLSTRNDVVLGIVSSHMITSSPYPCRVHWDKPPSPNPQTFSIFPSMASSTLVPISSISLRSSPSHRLSIPLFKPFPLLHSTVFLLPISSSSSSSILSATRAKSSDIDTTFFDNVNPQEDIVYDPPEVPEGFVPPPSFDEGPIETEEEIAAGYEEIYGPAYSGVSVLGNDIYVMDSKVKKAGGFGSKAKKDKPRDGFDERVVQVRRVTKVVKGGKQLHFRAIVVVGDKQGQVGVGVGKAKEVITAVQKSAVNARRNIVTVPMTKYLTFPHRSEGDYGAAKVMLRPASPGTGVIAGGAVRIVLEMAGVENALGKQLGSNNALNNARATVVAVQKMKQFRDVAQERGIPMEELWK >KGN48001 pep chromosome:ASM407v2:6:19670393:19677230:1 gene:Csa_6G423430 transcript:KGN48001 gene_biotype:protein_coding transcript_biotype:protein_coding description:AML1 MEPQSEDSMSGQAKNLLVNVPRKAGSSAWGIPCASDSFHASSDVSLFSSSLPVLPHEKLDFDSELCQSDGADLSNELDPKTDIKDPLEEVEVEVDAIGNLLPDDDELFSGLMDDFDLSGLPSQLEDLEEYDLFGSGGGMELDFEPQENLSMGMSKLNLSDSVTGSMVSHYALPNGVGTVAGEHPYGEHPSRTLFVRNINSNVEDAELRALFEQYGDIRTLYTACKHRGFVMISYYDIRAARTAMRALQNKPLRRRKLDIHFSIPKDNPSEKDINQGTLVVFNLDASVSNDDLRRIFGAYGEVKEIRETPHKRHHKFIEFYDVRAAEAALRALNRSDIAGKRIKLEPSRPGGARRNLMQQLSQELEQDDARTFRHQVGSPATNSPPGNWSHIGSPVEHNSFSKSPGLGSLSPINSSHLSGLASILPPNLSNSPRIAPIGKDQGRANHASQVLTNSALMQGTTYHHHQSFPDNKFSSNGGSTSSVADLNSNSSSIGTLSGPQFLWGSPTPYAERPNSSAWPTPSAGQPFTSNGQGQGFPYVRHHGSLLGSHHHHVGSAPSGVPLDRPFGYFPESPETSFMSPGTLGSTSLSRHNGNFMNLSTRAAMTGGLGLPTNMAENGSPNFRLMSLPRQGSIYYGNGSFPGSGVVSADGLLERGRSRRVENVGNQIESKKQYQLDLEKIVSGEDTRTTLMIKNIPNKYTSKMLLAAIDENHRGAYDFLYLPIDFKNKCNVGYAFINMVSPTQIIPFYEAFNGKKWEKFNSEKVASLAYARIQGKTALVTHFQNSSLMNEDKRCRPILFRSEGQEIGDQDILLSSNLNICIRQPDGSYSGDSLDSPKGHPDEKPEN >KGN46689 pep chromosome:ASM407v2:6:8516963:8521585:-1 gene:Csa_6G124040 transcript:KGN46689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKKVAVVGAGLSGLIACKLLLSKGLTPIVFEAKDVIGGLWNDTIKSTLLQTRRQMFELSDFPWPKSVTEEYPRYDQVLDYLRSYAEHFGLFKYIRLNTKVLSIEYEGFSEEEIDSWTHWGGSGNAFSEQGKWKLNLVDARTNLPLQEEVVDFVVLCTGKFGDIPNIPKFPPGGGPKAFKNGKVLHYIEYAALDFDTATKHVKDKKIAIVGFQKSALELIRECTNLIGTTKPCTLIYKTEYWNPPDAQPWGIHIDYLFASRFAELLIHKPGEGFLLYLLAMLLAPIRWLITKLAEFHVRRKTKMDKYGMVPKHSILQDVTSCRYAVLPERFYERVEEGSIVLKKAPSFSFCEEGIMIQGETKPIHLDLVILATGYRGDLKYRNIFASSTFRDYMSFGDAALPLYRLCIHPRIPQVAVIGLTESISNLYTSEIRCRWLVEFLAGTFKLPSIKKMEKDIENWERCLKLYSGESYWRGCIGMLHHHYNDQVCKDIGWNPRRKKGFFANLFVPHGPRDYASPDNW >KGN49535 pep chromosome:ASM407v2:6:28958065:28962125:-1 gene:Csa_6G538640 transcript:KGN49535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSNSIIPNSFLEPCLGMEFDSHEHAYSFYRDYAKSMGFGTSKLSSRRSRASKEFIDAKFSCMRYGNKQQSDDAINPRPSPKIGCKASMHVKRKHNGKWYVYSFVKDHNHDLLPSQVHLFRSHRNIDPLKNDVKIRKRKNSAAISKLFSAYQNVDCLENFVRNQHDKGRTLALESGDAHILLELFMHMQQENPKFFYAVDMNEEHQLRNVFWVDGKGMEDYAHFGDVVSFDTTYFTNKYKLPLVLFIGVNHHIQHTLLGCALIADDTLYTYLWLMQTWYIAMGERSPKVILTDQNTSMKAVIEAVLPGTRHYFSLWYILEKIPKELEFLSMWHENFMEKFKKCVFKSWTKEEFEKRWQKLLDKFNLREVEWMQHLYDDRAYWVPAFAEDVSFAGLCTSSRMESLNSSFDKYVQIETSLKEFIDRYRDILEERYEEEAKANFDAWHETPELKSPSPFEKQMSLVYTYEIFKKFQMEVLGAAACHLKKETEDETIATYNVKDFEDGQNYVVECSHSNSDIYCSCRSFEYKGFLCRHAIIVLQMSGVFSIPSKYILQRWTNTAMSRNPINEKLDEVQYKVRRFNDLCRRAIILGEEGSLSQESYDIALSAINEALKQCATVSRSSSAETDVRSDTSTMLVFGIEDNQCNNNNLAVDNAPDLKVINAKKIPNLAGSSNEPEVNESNKNGKVSQPFATNAGSRDDFNQMELSDMRPIQLSGISPTQLHNMVPTLLQFHSMSSSHLHENRLPR >KGN48471 pep chromosome:ASM407v2:6:23066682:23067275:1 gene:Csa_6G489380 transcript:KGN48471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLQPPFLALIRHRICNLRTTVPATDKTFQGPFHFVQSLIETNAAKNHISVHFASRFQENMARNAVVPAEVRVPGILSFWERRRSFGNHESHFGFVEERGNCRELEGFL >KGN46670 pep chromosome:ASM407v2:6:8312188:8314337:1 gene:Csa_6G120400 transcript:KGN46670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIFPYSVFYIFFEQYLDIWKTALMNIAIALGAIFIVSLVITSSLWSSGMIILVLAMIVIDLLGVMAVLKIQLNAVSVVNILMSIGIAVEFCVHLVHAFSVSCGDRSQRAQEALSTIGASVFSGITLTKLVGVIVLCFAKSEIFVVYYFQMYLALVIIGFLHGLVFLPVILSMIGPPSRYLISDDAPMETELLVS >KGN46905 pep chromosome:ASM407v2:6:10474697:10477648:-1 gene:Csa_6G149950 transcript:KGN46905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTTCLSIFFLLLAPVISLANGQPLVPALFTFGDSVLDVGINNHLKTLIKANFLPYGRDFITHKPTGRFCNGKLASDFTAEYLGFTSYPQAYLGGGGKDLLIGASFASAASGYLDTTAELYNALSFTQQLEHYKEYQNKVAEVAGKSNASSIISGAIYLVSAGSNDFLQNYYINPLLYKKYTVSQFSEIIITSYIIFIQNLYALGARRIGVTTLPPLGCLPAAITVFGSDSNECVAKLNNDAVAFNSKLNATSQSLRTKLYGLNLVVLDSYKPLYDLITKPAEHGFSEARKACCGTGLLETSFLCNTESVGTCANASQYVFWDGFHPSEAANKFLASSLLASGISLIS >KGN49406 pep chromosome:ASM407v2:6:28134097:28138106:-1 gene:Csa_6G523470 transcript:KGN49406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVTNVTEFEAIAKEKLPKMVYDYYASGAEDQWTLKENRNAFSRILFRPRILIDVSKIDMSTTVLGFKISMPIMIAPTAMQKMAHPEGEYATARAASAAGTIMTLSSWATSSVEEVASTGPGIRFFQLYVYKDRNVVAQLVRRAEKAGFKAIALTVDTPRLGRREADIKNRFTLPPYLTLKNFEGLDLGKMDQADDSGLASYVAGQIDRTLSWQVTKKCHSKFRKTMLLLLPLLFGSKQARIAITSGAAGIIVSNHGARQLDYVPATIVALEEVVKAARGQVPVFLDGGVRRGTDVFKALALGASGIFIGRPVVFSLAAEGEAGVRKALQMMRDEFELTMALSGCRSLQEITRSHIVADWDTPRVVPRL >KGN45987 pep chromosome:ASM407v2:6:3117229:3122746:1 gene:Csa_6G041720 transcript:KGN45987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFARSFFRSPLKPSDICRHSPRFSSLFSSLSASSAASVEAERLLRDGPRNDWTRQQIRDIYDSPLLDLLFHGAQVHRHTHNFREVQQCTLLSIKTGGCSEDCSYCPQSSRYDTGLKAQKLMTKDVVLEAAKKAKEAGSTRFCMGAAWRDTIGRKTNFNQILDYVKEIREMGMEVCCTLGMIEKQQAIDLKKAGLTAYNHNLDTSREYYPNIITTRSYDERLKTLEFVRDAGINVCSGGIIGLGEAEEDRVGLLHTLATLPTHPESVPINALVAVKGTPLQDQKPVEIWEMIRMIATARITMPKAMVRLSAGRVKFSVPEQALCFLAGANSIFTGEKLLTTPNNDFDADQLMFKMLGLIPKAPSFTEDAEKAPEEESLQEAALSS >KGN45928 pep chromosome:ASM407v2:6:2331360:2340234:-1 gene:Csa_6G022390 transcript:KGN45928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVLRWRSFYSTQDHAWKAHYSEIFDHGIREALCCLGRSKYLSVLEEDEVFSVAQLLGDLVTYRSTGTGHLEFLAGLALLQRHGQLVHTSENLIEAPIDKLEEAAVLHKFAEAAYTGPLLDFGRNPLSFPCAWVYRQGILTPWTRNKRPVLHGDNWWRGHAAAFLKYVKLPPEVLRRGRVNQAKCEAAYFVLVLHDVKCLVIAVRGTETPEDLITDGLCREYTLTEEDLDGLINCDHIQPSVKQRIMSSFPHHAHSGILEAARELYMQIEGNCRDHDGSESCGLLSSLLGPGCECDGYQVRIVGHSLGGAIAALLGLRLYSRCPSLHVYAYGPLPCVDSIIASACSEFVTSIVFNNEFSSRLSVGSIMRLRAAATKALSQDSKDKSTPIFQLARRFLYLSNYQRDVKEIMNSQSEKYPRQIEADDQGISSSYQQNETSAMKKEYQESSLLAKNQINANNITVEDDEFSNSDDLVSQIIEAVEGSENDNSTENFSEMYLPGLLIHIVPEKRRFTLPFLNSLRCQALTDDFKAYVANRENFKDINVSPSMLLDHLPWRCHAALQRLLDAQTAKGSLHETLNV >KGN47478 pep chromosome:ASM407v2:6:15458981:15460289:1 gene:Csa_6G338100 transcript:KGN47478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSTAELSVLAKFKPVDHAASSIPTNHFLSICNLILQFLDKVGPTMTVLRQDIYQNIQRLENMYESDPSMYSNMVEILKKETNEGNARKLTSCSRAFLWLTRSLDFTVSLLQKSKEEPRLSMEQAVEDAYNLTLKPWHGWISSAAFKVIILFK >KGN48817 pep chromosome:ASM407v2:6:25268057:25269640:1 gene:Csa_6G502060 transcript:KGN48817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMTMVGLDGGATTGTHNKVNKYALACAIVGSIISIIFGYDTGVMSGAMIFIKEEMKINDVQVEVLAGILNLCALVGSLTAGRTSDIIGRRYTIVLASVIFMIGASLMGYGPNYAILMVGRCITGVGVGFALMIAPVYAAEISAPSSRGFLTSLPEFCISFGILTGYVSNYCFGKMGAKIGWRLMLGVAAIPSLFLALGVLRMPESPRWLVLQGRLKDAREVLSKVSNTEEEAVVRFRDIKLAAGVPEDCEQDVVKMHRKTHGEGVWKELLSPTVTVRWILAAAIGLHFFQHATGIEAVVLYSPRIFKKAGITSKDKLLLATVGVGVIKTSFILVATFLLDKVGRRRILFTSIAGMAVAHSMLGFGLTMVEDSNGGLPWALILSIISVYMYVALYSIGMAPVTWVYSTEIFPLKLRAQGLSIGVAVNRLMNAAISTSFISIYEAITIGGTFFMFAGISVIALIYFYFFLPETKGKSLEEIEKLFGPPLSREDDRARDDV >KGN48017 pep chromosome:ASM407v2:6:19808412:19815812:-1 gene:Csa_6G425060 transcript:KGN48017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSNQLQNGMDRAKNMWSLLPNTDENEDDLLSILKKNDGGGVESLDYEVIENYAYWDEQAQRGKLFVGYSVAVKWLYALFIGIGTGLAAVFINMAVENFAGWKFSLTFALIQKSYVAGFIVYLAINLALVFSSVYIVTHFAPATAGSGIPEIKGYLNGIDIHGVLFFRTLIGKIFGSIGSVGGGLALGKEGPLVHTGACIASLLGQGGSSKYHLNSRWLQVFKSDRDRRDLVTCGCAAGVAAAFRAPVGGVLFALEEVTSWWKSQLLWRVFFTSAVVAVVVRAAMGWCKSGKCGHFGSGGFIIWDISDGQEDYSFAELLPMTVIGVIGGLLGALFNQLTLYITYWRRNHLHKKGNRVKIIEACLISVLTSIISFGLPLLRQCTPCPKPDPELGNECPRPPGTYGNYVNFYCSKDNEYNDLATIFFNTQDDAIRNLFSAKTMHEFSARSLLTFLVMFYTLAVVTFGTAVPAGQFVPGIMIGSTYGRLVGKFVVSFYKKPNIEEGTYALLGAASFLGGSMRMTVSLCVIMVEISNNLKFLPLIMLVLLMSKAVGDAFNEGLYEEQAQLKGIPLLESRPKYQMRKITAKEACGKRVVSFPRVAKVADVVSILRSNRHNGFPVIDYSRNGETRVIGLMLRSYLLGLLQSKVDFQHSPFSSDPRGSISSRHNFSEFVKPASSKGISIDDINLSSEDLEMYIDLLPYLNPSPYIVPEDMSLTKVYNLFRQLGLRHAFVVPRPSNVVGLITRKDLLIEDSEDSDAMELQSTSSSSSR >KGN47547 pep chromosome:ASM407v2:6:16045215:16051590:-1 gene:Csa_6G358110 transcript:KGN47547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASCRLESFPLPSHGGPELRRRRWRRILFGINRLGFTPISCCCSDSMVPIRRATGSGKSVEKQEDWRFDPKKPPRRLRVQATPAMPFASPQSGFVSKPEKFYPRCTPRGSGPQSRDTPPKRDTGIANEKDWGINLLNENVSESGTNEDGSTWYRESGEDLGENGYRCRWTRMGGQSHDGYSEWKETWWEKSDWTGYKELGVEKSGKNVEGDSWWETWQEVLHQDEWSNLARIERSAQKQAKSGTENAGWHEKWWEKYDAKGWTEKGAHKYGRLNEQSWWEKWGEHYDGRGSVLKWTDKWAETELGTKWGDKWEEKFFSGIGSRQGETWHVSPSGERWSRTWGEEHFGNGKVHKYGKSTTGESWDIVVDEETYYEAEPHYGWADVVGDSSQLLSIEARERPPGVYPNLDFGSTPPAPTEEPPQELPPSE >KGN49300 pep chromosome:ASM407v2:6:27583868:27586152:-1 gene:Csa_6G519530 transcript:KGN49300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPISPLPSSSSSSSSPQTPIWVYSYIKLRFFNRIRRFLRSKTPKKPYVSTKDSINVTPTGNEVMQVAGAGWDCNSRIEGGADVSAAALRMTVKKLHFGSWEEKEMAAKMIEKMSKEDVEVKNLMVDLRVVPALVLMVASDAVGRPEVAVKALLELAKGSFENKALMVEAGILHKLPSNIQAMDESAKHDFARLLLSLSSLINSHFTIALQTNERGIPFLVDILDSTSNFETQKCCLETLYNISTVLENVGPLVSNGVVHILLKMSSSKGLSDRALAALGNLVVTSQGKREMESSQMVPDSLIKIMTWEDKPKSTELSAYILMMLAHQSSEQREKMAKSGIVAVLLEVALLGSPLAQKRALKLLQWFKNEKQAKMDPHSGPQTGRIVIGSPVNQREVQEGRKMMKNLVKQSLYKNMELITGRASAGDPAKLKNLVISTSSKSLPF >KGN46225 pep chromosome:ASM407v2:6:5088340:5089624:1 gene:Csa_6G076740 transcript:KGN46225 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gibberellin receptor GID1 MAAASSSSSPLSQSQFTMHLPETAPIFPLPLPTAMRRSHTMSKFNPYEHLSVSLNPDGSLSRLLQLPAVSSTSPVDPVSFKDISLNPSSATWLRLFRPTNIPANDGVAARLPILIYFHHGGWILHSASDAITHRNCADLASQIPAIAISVNYRLAPENRLPAQYDDAVDALRWVKTQMTDPNGDKWLKDFGDFSRCYLYGVGCGGNIAFFAGLKAVAGLKLEPMKVAGIVMNQPMFGGVKRTKSELRFATDQLLPLPVLDLMWELALPKGMDQDHRYCNPMVGGTHKELIGQLGRCLVVGFGGDPMVDRQQEFVKMLTGCGAQVLAWFDDMGFHNVDLVDHRRAAAVMSLVKDFIL >KGN47989 pep chromosome:ASM407v2:6:19562420:19563875:1 gene:Csa_6G422820 transcript:KGN47989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGQTQRLNVVPTVTMLGAMKARLVGATRGHALLKKKSDALTVQFRQILKKIVSAKESMGDVMKTSAFSLTEAKYVAGDNIKHIVLENVQTAAIKIRSRQENIAGVKLPKFEHYSDGETKNDLTGLARGGQQIQLCRGAYVKAIEVLVELASLQTSFLTLDEAIKTTNRRVNALENVVKPRIENTISYIKGELDELEREDFFRLKKIQGYKRREIERQRANAKLFAEEQLAEKVSLQKGVSISSAHNLLSAAAEKDEDIIF >KGN48821 pep chromosome:ASM407v2:6:25282456:25285346:1 gene:Csa_6G502590 transcript:KGN48821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCPYCSAAQGRCVTSSTGKSITECISCGRVVEERQFQPHHLFHLRAQDNPLCLVTSDLPTPPVHHQNDQVLDPFEPTGFITAFSTWSLEHNPLFFRSCFSFSGHLAELERTLESTSSSNLPSSSTVVVDNLRAYMQIIDVASLLGLDYYISEHAFKLFRDCCSATCLRNRSVEALATAALVQAIREAQEPRTLQEISIAANVPQKEIGKYIKILGEALQLSQPINSNSISVHMPRFCTLLQLNKSAQELATHIGEVVINKCFCTRRNPISISAAAIYLACQLEDKRKTQAEICKVTGLTEVTLRKVYKELLENWDDLLPSNYTPAVPPERAFPTTVIASGRSAAPKIDAFEGASLEKDKPIETKPNISTEISEMSHPSRVKEDSESKFVSHGMYNPVTNKSSTFSQPHPPKGNSVAGFVGKKSQTADTQGMDIVKDHSNSQQ >KGN47760 pep chromosome:ASM407v2:6:17842726:17851002:-1 gene:Csa_6G401070 transcript:KGN47760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSGLRISYLLRHQLAPPLVSNRFTRTCLGLSELRFLSTKNSTASRSLRLAKSGRRDEPVKDEGDDGQDGNGSVAVKKDGGGSDGRIVHTALHKEATDAYMAYAMSVLLGRALPDVRDGLKPVHRRILFAMHELGLSSRKPFKKCARVVGEVLGKFHPHGDNAVYDSLVRMAQDFSLRSPLIQGHGNFGSIDADPPAAMRYTECRLEALSEAMLLSDLEMNTVDFVPNFDNSQKEPSLLPARLPTLLLNGSSGIAVGMATNIPPHNLGEVVDALCVLIHNPEATLQELLEYMPGPDFPTGGLIMGNNGILEAYRTGRGRITVRGKTEVELLDSKTKRTAVIIKEVTIVVFFL >KGN45999 pep chromosome:ASM407v2:6:3228218:3239132:-1 gene:Csa_6G042330 transcript:KGN45999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPKQTGLGDGPVSSPLRTSARPRKRPISYGRPYVYYGSSATFKPNKKRTPAVRIAKLLRPKKQSMPTANAVPVRRSQRQKRRRTNFSGYTDSEDEDLMSSNPKFKIMTSQRDNNSNKNVFSSPKHKKNMDNRPTPRREGLRPRHSRLVSRDHLNSESDDEQGSSEDKGSQDEIENGNDIEDNDVDDIQNDDDGEPEPEDEGEEDGDDEGGEEEQEGRRRYDLRNRPDARRLSIGEGKPRPRSPRRVLHQGMGTKVGRDVRRGGSRVHKRRMGRIEDSDDSLLVDELDQAPGIPWARGGNRSGPPWLFGGLDMHGTASWGLNIAASGWGHQSDAFSSLTSGIQTAGPSSKGGADIQPVQVDESVSFGDIGGLSEYIDALKEMVFFPLLYPDFFASYHITPPRGVLLCGPPGTGKTLIARALACAASKAGQKVSFYMRKGADVLSKWVGEAERQLKLLFEEAQRNQPSIIFFDEIDGLAPVRSSKQEQIHNSIVSTLLALMDGLDSRGQVVLIGATNRIDAIDGALRRPGRFDREFNFPLPGCDARAEILTIHTRKWKNPPSEELRSELAATCVGYCGADLKALCTEAAIRAFRQKYPQVYTCDDKFLIDVESVKVEKYHFVEAMSTITPAAHRGAVVHSRPLSSVVAPCLKRHLHKAMVFLSDAFPLAASSEFAKLSMLSFGSAIPLVCRPRLLLCGGEDVGLDHLGPAILHELEKFPVHCLGLPSLLSDPSAKTPEEALVHIFGEARRTTPSILYLPQFHRWWETADQQLRAVLLTLLEELPSDLPILLLGTSLFSLAEVDEKASMIFPNRGIYQVSPPASEDKSLFFTNLIEAASSVLLEGMDKRVQVSESLPELPKVPVVASGPKASELKAKLEAEQHALRRLRMCLRDVCNRILYDKRFTVFHYPVLDEDAPNYRSVVQNPMDMATLLQRVDSGQYITCSAFIQDVDLIVSNAKAYNGDDYNGARIVSRAHELRDAVHGMLTQMDPALIAFCDNIAAQGGPLNVPDALRGTVFPSAPAMQLGTVTRASARLRNVQPEVDFNRSYEALKRPKKNTDAAHHAEERPPPQHQDLVAPKPSQEPDTGEASRESSKACPGSGNMCDASGGEASDLTDWNCSRDASISDSYILNQFESVKNVLLERTVKYGIPELERLYTRIMKGVFKIKEKGGRDDPKHSILKFLLKFAEDGANF >KGN47423 pep chromosome:ASM407v2:6:15028092:15030195:1 gene:Csa_6G318700 transcript:KGN47423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNGFANAEGDKKKKKIAIISVSSLILVAMVVAVTVGVNNAPHGEEHGDDNHGSETTPEITSSTKAIQAICQPTDYKETCEKSLEAEAGNTTDPKELVKVGFKIATRSLNEAIKNSTTLKELAKDPRTNQALQNCRELLEYAIDDLNQSFERIGTFQMSKLDDFVADLKIWLSGALTYEQTCLDGFENTTGDAGVRMQEFLKSAQQMTTNGLGIVNELSTILGSLQLPGMSGRRLLEDDDREMPSWVSDGKRRLMQAGAAAMKPDLVVAQDGSGKYKTINAALADVPLKSNKTFVIHVKAGIYKEIVVIPKHMTHLTMYGDGPTKTVVTGSLNFIDGIQTFKTATFSAIGANFYARDMGFENTAGAAKHQAVALRVQSDRSIFFNCQIDGYQDTLYAHAHRQFYRDCTISGTIDFVFGNAATNFQNCKLVVRKPLDNQQCIVTAHGRLNRKEPTALIFQSCHFMGDPAYLPFKAINKAYLGRPWKEYSRTIIIGSTIDDIIQPEGWLPWMGDFGLNTLFYAEVQNKGAGADESKRVKWRGIKHITPQHAADFTPRRFIDGDAWIPAKGIPYSSGMM >KGN46447 pep chromosome:ASM407v2:6:6436892:6437305:-1 gene:Csa_6G094160 transcript:KGN46447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGVISAEIDKEVPELITVKGVFEVKKLLAHIRRGVEKIATVIKEEPASAGGEDQQREAAGEGTSRGPNHEIEEEEEEDDDDDDDDGDGEIRVIHNP >KGN46290 pep chromosome:ASM407v2:6:5454643:5458036:1 gene:Csa_6G080330 transcript:KGN46290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTWPSFFCIGLSPPTTTWNESTDCCLWDGVECDDEGQGHVVGLHLGCSLLQGTLHPNNTLFTLSHLQTLNLSYNYMDGSPFSPQFGMLTDLRVLDLSRSFFQGNVPLQISHLTNLVSLHLSYNDGLSFSNMVMNQLVHNLTNLKDLGLAYTNLSDITPSSNFMNFSLSLESLDLSASMLSGYFPDYILSLKNFHVLKLYHNPELNGHLPKSNWSKSLQVLDLSQTHFSGGIPNSISEAKVLSYLDLSDCNFNGEIPNFETHSNPLIMGQLVPNCVLNLTQTPSSSTSFTNDVCSDIPFPNLVYLSLEQNSFIDAIPSWIFSLPNLKSLDLGNNNFFGFMKDFQSNSLEFLDFSYNNLQGEISESIYRQLNLTYLGLEYNNLSGVLNLDMLLRITRLHDLFVSNNSQLSILSTNVSSSNLTSIRMASLNLEKVPHFLKYHKKLEFLDLSNNQIVGKVPEWFSEMSGLNKLDLSHNFLSTGIEVLHAMPNLMGVDLSFNLFNKLPVPILLPSTMEMLIVSNNEISGNIHSSICQATNLNYLDLSYNSFSGELPSCLSNMTNLQTLVLKSNNFVGPIPMPTPSISFYIASENQFIGEIPRSICLSIYLRILSISNNRMSGTIPPCLASITSLTVLDLKNNNFSGTIPTFFSTECQLSRLDLNNNQIEGELPQSLLNCEYLQVLDLGKNKITGYFPSRLKPALYLQVIILRSNQFYGHINDTFHKDSFSNLRIIDLSHNNFDGPLPSNFIKNMRAIREVENRRSISFQEPEIRIYYRDSIVISSKGTEQKFERILLILKTIDLSSNDFSGEIPEEIGMLRSLIGLNLSHNKLTGRIPTSIGNLNNLEWLDLSSNQLLGSIPPQLVALTFLSCLNLSQNQLSGPIPEGKQFDTFESSSYLGNLGLCGNPLPKCEHPNDHKSQVLHEEEEGESCGKGTWVKAVFIGYGCGIIFGVFVGYVVFECGKPVWIVAIVEGKRSQKIQTSKSSRGYRKRNK >KGN47319 pep chromosome:ASM407v2:6:14162604:14164490:1 gene:Csa_6G295940 transcript:KGN47319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSLSCDNSVKEQVNLLTKMFTSFVKGEVPKVVSCGVCGLLGHHNDQCPEIKEVSALGGYRRNDSQSNAYNSGWRDDPSLRWGPQEPKHNNTPSTSSSKGTYLEEIVSKLAFSSNNFKSDFEKNLAKLSEYTVSSTGAIKNDVENMKASISELGNKLDQLAIQFLKTEGKGKLPAQPNHANVSAITLRSEKWDRN >KGN48598 pep chromosome:ASM407v2:6:23928095:23933622:1 gene:Csa_6G495030 transcript:KGN48598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSGYGPRLVVPIDLKKKPWQQEFPLHNRWHPEIPPVAEVKVAEFFRVEMVDFSGGGITQDYSADDIKHVDNSVTHYLSGPIRVVDKDGVPAKPGDLLVVEICNLGPLPGDEWGYTATFDRENGGGFLTDHFPCATKAIWYFEGIYAYSPQIPGVRFPGLTHPGVIGTAPSAELLKIWNERERDVQENGLQTLKLCEVVHSRPLANLPSAKGCALGKIKEGTEEWERIANEAARTIPGRENGGNCDIKNLSRGSKVYLPVFVEGANLSSGDMHFSQGDGEVSFCGAIEMSGFLELKCDIIRGGMKEYLTPMGPTPLHVNPIFEIGPVEPRFSEWLVFEGISVDESGRQHFLDATVAYKRAVLNAIDYLHKFGYSKEQAYLLLSCCPCEGRISGIVDTPNAMVTLAIPTAIFDQDIRPKPSKVPTGPRLLRRPDVLKCSYDGNLPITKNPSASP >KGN46813 pep chromosome:ASM407v2:6:9739657:9742011:-1 gene:Csa_6G139130 transcript:KGN46813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEISGRKQGTSLSPCAACKLLRRRCAQDCVFAPYFPADEPHKFASVHKVFGASNVNKMLQELPEQQRSDAVSSMVYEANARVRDPVYGCVGAISSLQQQIDLLQTQLAIAQAEVVHMRMRHFPSSSYNPTAGHSPETASPSSKMNIPAPNKSYFSMDMVDHDSMGETLWSSC >KGN47701 pep chromosome:ASM407v2:6:17208573:17213282:1 gene:Csa_6G382890 transcript:KGN47701 gene_biotype:protein_coding transcript_biotype:protein_coding description:8-oxoguanine DNA glycosylase MPSLSFKPLLLMTKRLKPTPPSTPSTKPSPPPPSPPTPQLSHSKPTTVSLHHSSKNPNKTLPLLKSPQSPSSSNWVSLNLTRSDLSLPLTFPTGQTFRWKQTNPFEFTGVVGSHLISLNHLPNGDVSYCLHFSSTSSSAAARLALLDFLNASISLSSIWEVFSAADPRFDALARHFEGARVLRQDPLECLIQFLCSSNNNIGRITKMVDYISSLGNYLGNVGGFDFYEFPSLERLSLVSEAELREAGFGYRAKYIIGAVNALKAKPVGGAEWLLSLRDSDLEEVIEALSTLPGVGPKVAACVALFSLDQHHAIPVDTHVWQIATRYLVPELAGARLTPKLCNRVAEAFVSKYGKYAGWAQTLLFIAELPQQKALLPANLENTKRKRSTKQQKDMAHAGNIDQCE >KGN49184 pep chromosome:ASM407v2:6:26969006:26972242:-1 gene:Csa_6G516920 transcript:KGN49184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAVAAIVPGDTKKEYPGNLTPFVTVTCIVAAMGGLIFGYDIGISGGVTSMDSFLEKFFPDVYRKKNLMATRNQYCKYDSPTLTMFTSSLYLAALLASLVASTVTRKFGRRLSMLFGGVLFCSGAIINGFAKAVWMLILGRVLLGFGIGFTNQSVPLYLSEMAPYKFRGALNIGFQLSVTVGILIANVLNYFFAKIKGGWGWRLSLGGAMVPALIITIGSLVLPDTPNSMIERGQIDEAKKKLRRVRGVEDVEEEFQDLVAASEASKQVEHPWTNLLQSKYRPHLTMAILIPFFQQFSGINVIMFYAPVLFNTIGFKSDASLMSAVITGSVNVAATIVSIYGVDKWGRRFLFIEGGIQMLICQAVVTAAIGAKFGVSGIAENLPQWYAVVVVLFICIYVAGFAWSWGPLGWLVPSEIFPLEIRSAAQSINVSVNMIFTFAIAQVFLMMLCHMKFGLFIFFAFWVCVMTTFIYFFLPETKGIPIEEMSKVWKTHWYWSRFVTDNNFQIGALEMGKGGGGGGGDQIIKTV >KGN46637 pep chromosome:ASM407v2:6:8024178:8024983:1 gene:Csa_6G117190 transcript:KGN46637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVECLATLGSHPHEFASAVAQFPYMLFHSMEDKLCPRLAFFQALDIPEKLLGKMILLNPRLIRYSIVPKLTEIVDFHANFGLDKQGLIDKVLVKYPFLMGYSVDKTLRPTLKFFKSIGLKDVDLQAIAGGYPEVLCRDANKVLTPNFDFLKNCGFRDAQIVALVAGYPPILIKSIEHSIEPQINFLIELKKLLVIRTSFDMKKFLMKFGMLDCHSTTLLIFSPAPPLSRIIISLFALY >KGN48076 pep chromosome:ASM407v2:6:20249157:20253534:1 gene:Csa_6G429060 transcript:KGN48076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELRKYKPAFLLLLFLSISGRSAVHAYKNHTVGDSLGWFDKLEKPAVDYQEWTAGKTFGLGDFLIFNTDNNHSVVQTYNVTTYKLCDYDDASDHDTTEWSAADPSATTPYPVTVAVPLVKEGPNYFFSGNYDGEQCQNGQQFNINVTHGQGLPKSMRDPSEEAPAPVVPDTGDQTESAPDTIIPSSFDNPRNDVADNNNDKESSGSNSLSLIYCFKFNEFLFLLVGIASACFLSLY >KGN46205 pep chromosome:ASM407v2:6:4972234:4973338:-1 gene:Csa_6G074580 transcript:KGN46205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELTKKWEACEVVVCRVLVVDHDSTSLKIVCKMLDLCRYEVVIASCAIDALRMVRERENEIHLVLTELHLPDMGSYEFLEKLVMDQQILKKLPIVIMSDDDNEIAKLGCLYKGAMLHLVKPLTMKTIRNLWQFAIIKGIITQPLPPNNDNIVHVPVQQQQQQKMIKITKQQPHHSTKVMKRPKLIWTQQLHNTFLHTVRALGLEKAHPKEILQHMNVPGLRKENISSHLQKFRLSLKRDEDEED >KGN48859 pep chromosome:ASM407v2:6:25470881:25471444:1 gene:Csa_6G504410 transcript:KGN48859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTKTVMKLDIACQKCKTKVLKAVTAIEGVDKVETDEAKGTLAVIGTADPFEIVKRTRKAIACAGKVADVVSIGPPPKPDEKKPEEKKQEEKKPVDKKPDPPPCPCPPYPCPPYYGSSYVIVPHETYPSCSIL >KGN46164 pep chromosome:ASM407v2:6:4658301:4663194:1 gene:Csa_6G061750 transcript:KGN46164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNRCTTLVLLFTRRKEPNQPGRLISEFPILRRQGERERRRRHVDELDRDDPSSYRRSKEKFHRIAGKRIPAISRRHSDGTEQTKVPKREHSLFFIDSFSMSCPYSYFQTLAYSLPSPVHIPKSLVSPILTSISPLSSGKVGAPVYWTIVLKPVVKRSLGVRASKSDDTGTEKWLKWIPNGALAADKILRSIAGATASPISQFISSPVTFLHSVDPRIKLIWLLALVVLPARSNITIRFGLVIFLAFLSIWILPTELWKDQLGRVSILSGILFVMLGLGADGVPSLIQSRTPPPGMMGLPELPASVGGYSYLIAKLGPLTFTRKGLSIASTSACLTFVVFQSASLCLTTTAPEQLAFAIRWFMLPLGYFGVPVAEITLTLLLSLRFVSLVFDEVRNVALGIVSRRINWQMLTTMETVDVFFTYLRRIFKNIFSHAEQISQAMIVRGFRGDSSSHKLYFLSNSSSRMANILSLLCLIGVISASVFFDKVII >KGN46236 pep chromosome:ASM407v2:6:5139372:5141865:-1 gene:Csa_6G076840 transcript:KGN46236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSANPSTSGGGGPGTASTGGSVGAGGGGPCGACKFLRRKCVPGCIFAPYFDSEQGAAHFAAVHKVFGASNVSKLLLHIPVHKRLDAVVTICYEAQARLRDPVYGCVAHIFALQQQVVSLQAELTYLQAHLATLELPSPPNPPPPPPPPSFPAQPPLSISDLPSASSLPATYDLSSLFDPMAQPAWAMQQQRLDHRQFAGGGGGSSTAPGEGDLQALARELLLRHGAPPCSDASSPQSLSK >KGN46406 pep chromosome:ASM407v2:6:6147466:6148586:1 gene:Csa_6G091300 transcript:KGN46406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKAKIFLILLLLSASVSYSISEDPVPTPWPLQFHSILLMNYSGIPQIINLWYDWPNGRNFNIIQHQLGHVLYDLEWNNGTSFFYTLDSSKTCSSAQLEVGILRPNWLDGAKYLGQRHVDGFLCNVWEKVDFIWYYEDVETKRPVHWLFYTGRQAHVMTFEVGAVLDDENWQAPVYCFDSTGTAVNDVALHQNLPLMADVNNRLLHQNFPAI >KGN48119 pep chromosome:ASM407v2:6:20575925:20579572:-1 gene:Csa_6G434390 transcript:KGN48119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGTLTVRETIAYSANLRLPSSMTKEEVNDIVEGALLEMGLQECADGIVGNWHLRGISGGEKKRLGIAMEILTRPSLLFLDEPTSGLDSASAFFVIQALRSIAHDGRTVISSIHQPSSEVFALFDDLFLLSGGQTVYFGESKMAAEFFAEAGFPCPRQRNPSDHFLRCINSDFDAVNMTLMSSQRENQKPIDPLSKFSTAEMKAKLVGKYKCSEHEAKVKIRMREISEMKGFSIPTKKCGNQATWLKQLSTLTRRSTVNMSRDLGYYWIRIIIYILLSICVGTIFINVGTSYSDVFARASCAAFISGFMTFMAIGGFPSFIEEMKVFHKERLNGHYGIAVYTLSHFLSSFPFLAFMSFASATIVFYMVKFETEFSRYVFISLDLLSSIAVVESIMMIIASLVPNFLMGVIIGAGYIGIMMMTSGYFRFVPDLPKVFWRYPMSYINFGAWGLQGAYKNDLIGLEIDAGLQGGLKIKGEVILEMLLGYQAHHSKWLDLGAVLIILVTSRFLFIVILKLKEKISPFLQTFYTKQTLRRIGKPSLAIRKAKVPQFPSKRHHQPLYSLSSQEGLNSPIN >KGN48679 pep chromosome:ASM407v2:6:24478192:24480540:1 gene:Csa_6G497290 transcript:KGN48679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANGGGYRTKFNGGLEFFDLTYTVLKDKEHEGKLVKQEVDLLHRISGYAPKGSITAVLGPSGAGKSTFLDGLAGRIASGSLKGRVSLDGMEMSPGLIKRTSAYIMQDDRLFPKLTVYETLMFAADFRLGPIPKNEKKQRVENMIEQLGLSSARNTYIGDEGTRGVSGGERRRVSIGVDIIHGPSVLFLDEPTSGLDSTSAYSVIEKVHNIASTGSTVVLTIHQPSSRILSFLDHLIILARGQLMFQGPSKDVNHHLTVMGRKVPQGESPIEYLMDVIRAYDQSEFGVEALAEFARTGTNPPHLTDEEISLSTIQSSPVSSSHSGNFVTGKRLHLQTNTRALNDFNHSLRSPYNTSRSWSASNSVVMQASRLQHRQQDGTKNRNQMSNSSASYAYSFDVLNGTPTPHSSDYTVNENDYLTSNIGSKSVPIHNNMGKKISNSFFSETWILMRRNFKNISRTPELFLSRLMVLTVMGFMMATMFLKPKENTQGITDRLSFFIFTVCLFFFSSNDAVPAFIQERFIFIRETSHNAYRASSYTIAGLITHLPFLGLQALVYALIVWFALKLRGSFIYFLIVLYMSLLSTNSFVVFISSVVPNYILGYAAVIAFTALFFLFCGYFLNSHDIPPYWKWMNKISTMTYPYEGLLMNEYQTSIPFGKQSNGTDISGINILESLHISTDSDKKWENVAVMFAWAVLYRILFYLILRFASKNQRK >KGN47002 pep chromosome:ASM407v2:6:11294617:11298448:1 gene:Csa_6G166210 transcript:KGN47002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDNLQVQRNDVPNQSPDISEALWRLEISDNQDGGDAAESSPYPDRPGEPDCLYYMRTGSCSYGSNCRFNHPVYVGQGALYNGELPERIGQPDCEYFLKTGTCKYGGSCKYHHPRDRRGAGPVTFNILGLPMRQEEKSCPYYLRTGSCKFGVSCKFNHPQPSPVGNMLPQARPGALGSGGTPFMPSSGVPYPAWSMPRVQYMPGPCVQGQQSYVPVLVSPSQGAIAAQDWNTYVSNVNPILPNLGYNNINLEDSYSNGQLALSTSTPTLPDRPDQPECRYFMNNGTCKYGSDCKFHHPKQRIAQSATNALGLPSRPGQAICSYYNMYGLCKYGPSCKFDHPSPTYPYNYGFTLPLLDSSIIKYPSNNFTMSSHETLPGTVSKSSEWVQKADPSNNKRRTTDSKVIIDSTGEEATSVSCSLPGGSESLQDQ >KGN49226 pep chromosome:ASM407v2:6:27205459:27214189:-1 gene:Csa_6G517340 transcript:KGN49226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKTDSLVQILDSSLNRTEPVNLGRVRSFELARGSFPRNHTSSVTLTKMEDYEEYDRNMNDDDDMDQAIPDDEEEITQEDAWAVISAYFEEKGLVRQQLDSFDEFIQNTMQEIVDESADIEIRPESQHNPGHQSDVAETIYKISFGQIYLSKPMMTESDGETATLFPKAARLRNLTYSAPLYVDVSKRVIKKGHDGEEVTETQDFTKVFIGKVPIMLRSSYCTLYQNSEKDLTELGECPYDQGGYFIINGSEKVLIAQEKMSTNHVYVFKKRQPNKYAFVAEVRSMAESQNRPPSTMFVRMLSRTSAKGGSSGQYIRATLPYIRNEIPIIIVFRALGFVADKDILEHICYDFSDTQMMELLRPSLEEAFVIQNQQVALDYIGKRGSTVGVTKEKRIKYAKEILQKEMLPHVGVGEFCETKKAYYFGYIIHRLLLCALGRRAEDDRDHYGNKRLDLAGPLLGGLFRMLFRKLTRDVRGYVQKCVDNGKDVNLQFAIKAKTITSGLKYSLATGNWGQANAAGTRAGVSQVLNRLTYASTLSHLRRLNSPIGREGKLAKPRQLHNSQWGMMCPAETPEGQACGLVKNLALMVYITVGSAAYPILEFLEEWGTENFEEISPAVIPQATKIFVNGCWVGIHRDPEMLVKTLRRLRRRVDVNTEVGVVRDIRLKELRIYTDYGRCSRPLFIVEKQRLLIKKKDIHALQQRESPEDGGWHDLVAKGFIEYIDTEEEETTMISMTISDLIQARINPVEAYSDTYTHCEIHPSLILGVCASIIPFPDHNQSPRNTYQSAMGKQAMGIYVTNYQFRMDTLAYVLYYPQKPLVTTRAMEHLHFRQLPAGINAIVAIACYSGYNQEDSVIMNQSSIDRGFFRSLFFRSYRDEEKKMGTLVKEDFGRPDRSNTMGMRHGSYDKLDDDGLAPPGTRVSGEDVIIGKTTPISQEEAQGQAARYSRRDHSISLRHSENGIVDQVLLTTNADGLRFVKVRVRSVRIPQIGDKFSSRHGQKGTVGMTYTQEDMPWTVEGITPDIIVNPHAIPSRMTIGQLIECIMGKVAAHMGKEGDATPFTDVTVDNISKALHKCGYQMRGFETMYNGHTGRRLSAMIFLGPTYYQRLKHMVDDKIHSRGRGPVQILTRQPAEGRSRDGGLRFGEMERDCMIAHGAAHFLKERLFDQSDAYRIHVCECCGLIAIANLKKSSFECRGCKNKTDIVQVYIPYACKLLFQELMAMAIAPRMFTKEIKPLKDQKKKGS >KGN48430 pep chromosome:ASM407v2:6:22801574:22804531:1 gene:Csa_6G487020 transcript:KGN48430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHDLLLPIFLISLPLIFIFFSLFLKWNPHGIPWNWPVLGMIPTVIHNIYRVHDRITEILQQTSCTFYFNGIWFTNTGFLMTVDPSNIHHIMSTNFQNYPKGPEFKYIFDVLGDGIFNSDSDSWKSQRKIAQSLIVHEKFLEFMARAAKEKVEKGLVPILEYFCESKKVVDLKDLFLRLAFDSTCMMVTGFHLNSLCIEFPEIPFSKAMDDVQEVLFLRHLYPKFYWELLKKLGIGEGKRMKKACDIIDEVIANLMAVKRERLQRDREVKEDQQDHGADLITWYMVNEHDEIDCNDKFLRDTVLNFMIAGRDALSVTLSWFFFVLSKNPAIVAKIREELKDITTIQQKEQEQQQKPRVFTIEELNNLVYLHGALCETLRLYPPIAFEHKSPVVAETLPSGHHVRPGTRILFSLYALGRMRSVWGEDCEEFKPERWISDKGTIKREPSYKFFSFNAGPRTCLGKGVAFSQLKIVSAAIVHNYDIEAVDEDINNVVVPAASVILHMKSGFRVKVSKR >KGN45612 pep chromosome:ASM407v2:6:63961:66551:1 gene:Csa_6G000120 transcript:KGN45612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELNGVFIVMIWVVAILFVENSSNVNGRYHFHKGKKDEDSSVSSPPENAVPSTPAQPLPSVPSDPYPNDPGTGNSTSDCVFDVTDFGAVGDGCTDDTAAFKAAWKAACAVESATLLVPSNLCFKITSTIFSGPCKPGLVFKVDGTLMPPDGPESWPKADSPRQWLVFYRLDQMTLTGSGTIEGNGQKWWELPCKPHRGPNGSTLPGPCDSPALIRFFMSSNLAVNSLRIQNSPMFHMKFDGCEGVLIEKLSISSPKLSPNTDGIHIENTKGVGIYNSMISNGVTCGPSHGISIGSLGVHNSQACVSNITVRNAVIRDSDNGLRIKTWQGGSGSVSDILFENIQMENVRNCIIVDQYYCLSKDCLNQTSAVFVNQVLYKNIKGTYDVRNTPIHFACSDSVACTNITMSEVELLPHEGELVEDPFCWNAFGIQETLTIPPIDCLQEGEPQNVAETSEYSC >KGN47614 pep chromosome:ASM407v2:6:16508237:16511586:-1 gene:Csa_6G365150 transcript:KGN47614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSKAIFFLIFSVILFISCSVLVGTVDIRSYFFPLLQSQPISPFPCTTDPPLRVYMYDLPRRFNVGILNRRNLDQTPVTASTWPPWPRNSGLKRQHSVEYWMMGSLLHEATGDGRDAVRVMDPENADAFFVPFFSSLSFNSHGRNMTDPATEVDHQLQIDLMKFLSESKYWQRSKGRDHVIPMTHPNAFRFLRNQVNASIQIVVDFGRYPKTMSNLGKDVVAPYVHVVSSFIDDNPPDPFESRPTLLFFQGKTFRKDDGIIRVKLAKILDGYDDVHYERSAATEKSIKTSSQGMRSSKFCLHPAGDTPSSCRLFDAIVSHCVPVIVSDQIELPYEDEIDYSQFTLFFSFEEALQPGYMVEKLREFPKERWIEMWKQLKEISRHYEFQYPPKKEDAVNMLWRQVKHKLPAVKLAVHRSRRLKVPDWWQRR >KGN48832 pep chromosome:ASM407v2:6:25337427:25338242:-1 gene:Csa_6G502700 transcript:KGN48832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQRCGSYQCYSAGECSCNGFYGQQGTYFSMPSYNNYYETEHYSFESSSPVDCTLSLGTPSTRMTEYDEKRREEQHSASNFTWDLPRTKHPHSSKTTRRSSANIGSDKSNANNGDQMFARHCANCDTTTTPLWRNGPSGPKSLCNACGIRYKKEERKAASSGQQASSWLQHHSHSQKAPRFSHGIANELNPSVAFLTWSLNDTEQPQLYYDFTS >KGN49027 pep chromosome:ASM407v2:6:26287753:26288652:1 gene:Csa_6G510940 transcript:KGN49027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGLLCKGVIDSAGPQKNCFGVELLGEVFRQHSFYSAKKSKAAQLQFLKFSSSSSSFLDHLLLSVDTKEKLGWAANVRQNGPENSC >KGN45606 pep chromosome:ASM407v2:6:30971:36041:1 gene:Csa_6G000060 transcript:KGN45606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQNRTPIAEKAKRVVCSSVRDSVGLSFWDFEDIDIELRQVSDWIQSERASSMGSNNEEKLRFCIDRGGTFTDVYAEIPGRPDGKVFKLLSVDPSNYDDAPVEGIRRILEEYTGKKIPRTSKIPTQNIEWIRMGTTVATNALLERKGERIALCVTKGFRDLLQIGNQARPDIFDLTVSKPSNLYEDVVEVDERVELIHGKGDGNQDSSTYVEGVSGELIRIVKTLNEEALKPLLNDLLQRGIGCLAVVLMHSYTYPQHELALEKLALSMGFKHVSLSSALTPMVRAVPRGLTASVDAYLTPVIKEYLSGFMSKFDESSGKVNVLFMQSDGGLAPESRFSGHKAVLSGPAGGVVGYSQTLFELETTKPLIGFDMGGTSTDVSRYAGSYEQVLETQIAGAIIQAPQLDINTVAAGGGSKLKFQFGAFRVGPESVGAHPGPVCYRKGGELAVTDANLVLGFVIPDFFPSIFGPNEDQPLDIEATRGEFEKLATEINSYRKNQDPSSKPMTIEEIALGFVNVANETMCRPIRQLTEMKGHETKNHALACFGGAGPQHACAIARLLGMKEIFIHRFCGILSAYGMGLADVVEEEQEPYSAVYCSKSVQEVSRREASLLKQVKHKLRSQGFREGSINTETYLNLRYDGTDTAIMVKSQRVDNGVEFDFAAEFEKLFQQEYGFKLQNRNILICDIRVRGVGVTNVLKPRAFEGLSGDPKIEGHYRVYFGNGWQDTPLFKLDNLGFGYIIPGPAIIMNGNSTVIVEPSCKATVTKYGNIKIEIDSTFCTKKVSEKVADVVQLSIFNHQFMGIAEQMGRTLQRTSISTNIKERLDFSCALFGPDGGLVANAPHVPVHLGAMSSTVRWQIDFWGDNLNEGDVLVTNHPCAGGSHLPDITVITPVFDNGKLIFFVASRGHHAEIGGITPGSMPPFSKSIWEEGAAIKAFKLVEKGIFQEEGINKLLQFPSSDEGVIPGTRRLQDNLSDLHAQVAANHRGISLIKELIVQYGLNIVQAYMTYVQLNAEEAVREMLKSVASRVSSNSAKYVEGGSIAIEEEDYMDDGSAIHLKLTIDPHKGEANFDFSGTSPEVYGNWNAPEAVTAAAVIYCLRCMVDVDIPLNQGCLAPVKIYIPPGSFLSPSEKAAIVGGNVLTSQRITDVILTAFQACACSQGCMNNLTFGDDTFGYYETIGGGSGAGPSWHGTSGVQCHMTNTRMTDPEIFEQRYPVLLHTFALRENSGGSGVYKGGDGLVREIEFKQPVVVSILSERRVHAPRGLKGGKDGARGANFLVRKDGRRVYLGGKNTITVKAGEILQILTPGGGGWGCA >KGN46033 pep chromosome:ASM407v2:6:3628175:3630070:1 gene:Csa_6G045120 transcript:KGN46033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFGDTPSRMLIDATVAPYALGFCQGFTKRTFQVFSLAQYLHGGEPEQREARGGRAFFGRDRDARISIPSTDRRAAGGGRRTETVTGERAAKKLDGRDNEGDLRRGFHGIYSLR >KGN47836 pep chromosome:ASM407v2:6:18409128:18409505:-1 gene:Csa_6G405980 transcript:KGN47836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRIWEDKMIEGKLKKGKNLGSQVVKYGKKWWRLCWGVVKEGAKAIPKDVPKGHFVVYVGEDWKRYVIEIGVLRHPLFKILLDSAEETFGFDNGNSKLYLPCKECVFVTILQCVHSSTPSLELVF >KGN49150 pep chromosome:ASM407v2:6:26828829:26831671:1 gene:Csa_6G516580 transcript:KGN49150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMGNLSLGSRLFLLFSLCSQLLFITTTSQPDFFYHICSKKGNYTNNSPFEKNLDHVLASISSNSITDTRQVDYGFYNATSGEDPDTANAKVLCRKGCPLEQCRTCANNSVHRIRQNCPNQKEGAGWYGDCQILYSNNSVHDETDLSAFQILYNTRVAPDQNGFNEELRDLLDGLRVEAASGTSIRKSASGDVKLQNPNTYTIYGLVDCFPDMSFFSCDVCLSRLQSNLASCCSGSIGARLIATSCQIGYEIHPLYASLLSPPPSPLPLQPPASNLPPSSPLPTQGNNSNTVRIVIIVAVSIAAVIILLVGICLVLKFRKRKQKGLLRNFGDVDVGDASDEISIVNTIQFDFDVIKDATNDFSNENKLGQGGFGAVYRHMVLSEIYIYIYNILLDEEMNPKIADFGLARLFEVDETQGNTSRIVGTYGYMAPEYIAHGQFSIKSDVFSFGVLVLEIVSGQKNNCFSHGENTEDLTSFTWNNWRAGTSTNVIDSTLGVGSRIEMIRCIHIGLLCVQENVANRPTMASVVMMLSSSSLTLPIPSKPAFFMDSIVTNGSNTSETNRSKSTTLQHSENEASITELHPR >KGN46836 pep chromosome:ASM407v2:6:9979753:9980754:-1 gene:Csa_6G140840 transcript:KGN46836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKTIHLSCLLFIFVSLFNNVSFSLEQPQQLPPSPSPVNSPPLPSPTPLPHAPASSPVESPLHSPPAPPPSDLPHTPSPAPLLPPVSSPSISPAADSDSSNITSGGGGEDSEVSKGGMNGGKKAGIAVGVIAALCFVGIGGFVYKKRQDNIRRSQYGNAARSSFL >KGN46378 pep chromosome:ASM407v2:6:5999339:6009687:1 gene:Csa_6G088060 transcript:KGN46378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPNPRILKAFRAMKDIGISEDKTKPVLKKLLKLYDKNWELIEEENYRVLADAIFDEEDSKVVEEKKCQNSQVEDFGEEVQAPDEPERPLKRLRLRGQETQVDGMPLKKPKLEEDAFPDANSQQQMQLSGPKRSETGPSSRRVDKGKEPMSPRVVTRVKKSSLERQSAAVRIKEPGADSGVKNSIVRASGAHALLKPKDEPVTDDTFANELPIAAIHPDSSRKEDYSIANDLVRKADGQVAQVSYPSDGSNKGDGTETSSCKRITGSELANVMEELHPNLEIASSALGEEGSISRVPLLDVIENSDPMDTPGTVANEENLNLPTSVNEPVSTSVNEPVCTSVNEQVSTICDGELAPQVPGVIESSSVSNDQTLHERSKSSKEIPNGHSEDEARKELDNLEPANPHNLMVVSQSQQATDELSFSHDVDDITKGEERVQVSWVNEINKEHPPFFHYIPCSLIFQSASVNFSLSLIGNDNCCHSCFGNCLTSSVPCACARETGDKYVYTPEGLVKEIFLEEWISLARESQGSHQFYCKECPLERLKNDDCLEPCKGHLERKLIKECWSKCGCNKHCGNRVVQRGITCKLQVFFTSDEKRWGLRTLEDLPKGYFVCEYAGEILTIPEMYHRKVQSTENEVHVDPILLDGFWNKEGPFKEEKALCLDATNFGNVARFINHRCFDANLVDVAVEIETPDHHYYHLALFTTRKIEAMEELTWDYGIDFNDLDDHVKPFLCQCGSKFCRNMKRSSRSKSASSTR >KGN47654 pep chromosome:ASM407v2:6:16757439:16759546:1 gene:Csa_6G366520 transcript:KGN47654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGRGVSSGGGQSSLGYLFGDGDAPNAGAPKGGRQAPPPPSEAKTISKPAVSKPAATASPPADISKQIPAGIHSSSSNNYLRADGQNTGNFITDRPSTKVHAAPGGGSSLDYLFGGAGGK >KGN47162 pep chromosome:ASM407v2:6:12505616:12510004:1 gene:Csa_6G191020 transcript:KGN47162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGNNGGSFRSLIDLVNDVASISDFRYAVRKQYCNLARRLKLLIPMFEEMRDMKQPLPDDIVQALASLKEALESTKELLRHGSEGSKIYLGLEREQILNQFHKVTARLEQALNEISHEALDISDEVKEQVDLVLSQFKRARERKDTEDAELYVNLNSLYNNRDVATDPSILKGLAEKLQLMDIADLTQESLALHEMVSASDGDPGGRFEKMSILLKRIKDFMLTENPEFGSSKEQSHPRTGGQTSAGKKNISSLHIPEDFRCPISLDLMKDPVIVSTGQTYERGFIEKWLADGHMTCPKTQQVLTSKILTPNYVLRSLIAQWCEANGIKPPQRASSSQPSELTPAERSKYEALLHKLTSGNIEDKRSAAGEIRLLAKRNANNRVAIAEAGAIPLLVDLLSTTDPLTQEHAVTALLNLSICDNNKRSIMSCRAAPGIVHVLKWGSMEARENAAATLFSLSVVDEYKVMIGASGAILPLIALLNEGTQRGKKDAATALFNLCFFQGNKIKAVRGGVVSILMQLLTESRIGMVDEALAILAILANNSEGRAAIGAAESVPILVNLIGTGSPRNRENAAAVLVHLCMGDKRHLVEAKELGVIGLLVDMAENGTDRGKRKATQLLDQINRFTELQKEGEVQSQSQSQPLLPPPSAIGNVES >KGN46693 pep chromosome:ASM407v2:6:8538159:8541208:1 gene:Csa_6G124080 transcript:KGN46693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLFLACCKVYISESRNKAALESIERATKLFPDAPIINKFTDEVYNRVGYTLVSKLPSHLSGKSCSLISAVLNMVKAAFSAIDFNSHCGSHPRLGVVDHICFHPLASATLEDAALIAKYLAADVGYSLQVPTFLYGAAHEEGRKLAVIRRELGYFKPNSEGSKWAGGLKSDSLPLKPDDGPAEASKAKGVVVIGATKWVDNYNVPVFSTNISAVRKIAKQVSERGGGLSSVQAMALAHDEGVIEVACNLLEPSKVGGKMVQQEVERLAENEGLGVGEGYFTDLSQESIIERYLELFSL >KGN48566 pep chromosome:ASM407v2:6:23712109:23712396:1 gene:Csa_6G492260 transcript:KGN48566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGTARWQYKIGGSSKPVLRRHSVMSLTVLVNATPNRGFSFFLRLIRRPERLKKAAVSSALYSVNIKWVSVSFFIPTPPTEKISLFHLLQLPLPL >KGN48933 pep chromosome:ASM407v2:6:25889450:25891866:1 gene:Csa_6G507080 transcript:KGN48933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDDYETEEKKQAAADVMFQYSKFVMACIGNQVRPCDLRFHLMKEISGMPTSLKRESSQRAASPDAMGESSSSGTARLDKVDSFRVL >KGN46703 pep chromosome:ASM407v2:6:8624484:8626721:1 gene:Csa_6G124180 transcript:KGN46703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKLEKLQTRRPGSLSVAETLAKWKDYNDHLDSCTDEPKLTRRVPAKGSKKGCMKGKGGPENMRCNYRGVRQRTWGKWVAEIRAPNRGSRLWLGTFPTAIDAALAYDEAARAMYGTLARLNFPNVSIPTLLKGKELSRKDSRDEIKRPSLSFLGSTSLSLSSESTITSDLSEDCAVEDV >KGN47522 pep chromosome:ASM407v2:6:15883301:15886215:-1 gene:Csa_6G355410 transcript:KGN47522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSFRYAADSRALRIHAKEKISLDSNVFLQVRGELDTRIGEPSLLAASVRQFYPDLSASAGLGVQYDKYKKLHYVGRGKMSFPVTTDGLLRFTIKGQSHLDKDFKQFKYKGAAEFSLGVLNFQREQDVRVKVGYEVFEKIPYIQIRENNWTLNADINGRWNVRLDI >KGN49492 pep chromosome:ASM407v2:6:28655598:28659544:-1 gene:Csa_6G526260 transcript:KGN49492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEDNPLEIRGKPSRFADQNQNPKCLNQNNAKGSTGNGSKLRAASSWGSHIVKGFSTDKRTKAQSNLQPKKAPPLGNSDLVNQKEKFVPSHSRIKRSIIGDLACSANPAQVHPQSYQTHRRQSSRDLFVELDQLRSLLNESKQREFELQNELAELKRNTRNYELERELEEKKVELDSLAKKVSVLEEDRRALSEQLVTLPSVSEKQEEQQTAPGNVEVEVVELRRLNKELQLQKRNLACRLSSVESELACLAKNSESEAVAKIKAEVSLLRHTNEDLCKQVEGLQMSRLNEVEELAYLRWVNSCLRSELRNSSPSANSGSPSSPQPVERSSEAIGSLSSQKEYMEYSSAKRINLIKKLKKWPITDEDLSNLDCSDNNLLDKNWVDTEEGRSPRRRHSISGAKCWPEELEPNKRRQSDGFMCAKEMEKDVDPLSSQKYDLGVIQRPHVLGNCHETNRNFASLDVEKRALRIPNPPPRPSCSISSEPKEENRAQVPPPLPPPPPPPPLPKFSVRSATGMVQRAPQVVEFYHSLMKRDSRKDSSNGTICNVPDVSNVRSSMIGEIENRSSHLLAIKADIETQGEFVNSLIREVNNAVYLKIEDIVEFVKWLDDELCFLVDERAVLKHFDWPERKADTLREAAFGYRDLKKLECEISAYKDDPRLPCDIALKKMVALSEKMERSSYNLLRMRESLMRNCKEFQIPTDWMLDNGIISKIKLGSVKLAKMYMKRVAMELQSKASSEKDPAMDYMLLQGVRFAFRIHQFAGGFDAETMHAFEDLRNLANLLNKK >KGN49503 pep chromosome:ASM407v2:6:28741810:28749351:1 gene:Csa_6G526370 transcript:KGN49503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEITYSSILYTHPIKRTTACNCSYSHAIPKHLPSRFYPSSPRLSVFSRSYNFIVDSSLRIRRLTAFSSSSSSNNDSPQELAVLLEVEGVLVDAYRSTNRQAFNEAFRKLGLDCANWTEPVYSDLVRKNAANEERMLIMYFNRIGWPTSLPTNEKESFIKSVLREKASDELMVSQSLPLRPGVEDFIDNAHNEGIPVIILTAYSKSGEEIARSIINKLGPERISKVKIVGNEEMRQSLYSEFVSGQAKQSGLEEELAKEAMKAASAEKQRIAKKVASALKLSVEINTTSSESLDKIICALRAGSELAGTPVSNCILVAGTQSGIDGAERIGMPRIVIRSSLTSRAEFPSANAIMDGFGVGGLTITRLRQKTWS >KGN48275 pep chromosome:ASM407v2:6:21651939:21653811:1 gene:Csa_6G452760 transcript:KGN48275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSETQTIWLFCSLVCLFSDKLSFRSLWMLSMPYSSMGYISYSIFVMFVFDFVFVHVLFFYKRLTIWILTHGKGDDSIRCVKGLILSELNFLRHFHLSDLPEFYLFT >KGN45825 pep chromosome:ASM407v2:6:1515061:1516081:-1 gene:Csa_6G014460 transcript:KGN45825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTQSYDQISQKSFPITKHEDKFFTRALSKELNSTTNSSFRVYYGGATGAIPFRWESRPGTPKHTFSDTSIPPLTPPPSYFSSSHSTSKASSKPTLLSSIFPRLTPRKSRTSPSFSSSSGSSSSSSSLASWSSSFSSSSSSPSPFVRPKFFKRRRRFSDIPSLPFEYSFDDKDGDEVVAGSAPNSPTSILCFGGGSSSRASLFGGCYQLVSVKNALLSIVGHGSASRGTLND >KGN48855 pep chromosome:ASM407v2:6:25448224:25449127:-1 gene:Csa_6G504130 transcript:KGN48855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLPSMVVSGSKRNGDDKQREHIHRQYKSKECDRRCRGEEVVRGGRMVVTLVGRTDEYPSNQDYCYAFTLLNLALNNMVAEGIVEEEKVDRFNIPTFMPSPKEIKEEVLKEGSFIINELKVSKIDWNFYSTELEGTKHVFVDSSYNVAKCIRSVIESLMSPHFGEAIVEELFYRYSKIVKDEMSNKRSEFTNLTISLTRI >KGN47749 pep chromosome:ASM407v2:6:17721985:17726570:1 gene:Csa_6G399730 transcript:KGN47749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPVYGFASSNNASLRLPSFPKFHFDLYPNSSFSRNSMNVACRMHFHAVSAHNRPNCQFSPIAIRTDRNCEGVNVPIPRSFALFDHSAQVVKLNDCRVDNLFGKKLTKFYVKDVKCVDSDSKVFDEIPERTLPAYAALIRAYCRSEKWNELFAAFRSMVDEGILPDKYLVPTILKACSRRQMVKTGKMAHGYAIRKRMVSDIVIENALMDFYGNCGDLSSSINVFDSMSEKDVVSWTALVSAYIEEGLLNEAMEVFHSMQSSGLKPDLISWNALVSGFARYGETNTALTYLEAMQEEGLRPRVNSWNGVISGCVQNGYFKDALDVFINMLLFPENPNSVTVASILPACAGLRDLGLGRAVHAYALKCELCTNIYVEGSLVDMYSKCGQDDRAEEIFAKAEKKNITLWNEIIATYMNQGKNSWALEHFRSMQHHGLKPDVVTYNTLLAGYAKNGQKVEAYELLSDMLQENLVPNVISLNVLVSGFQQSGLNYEALELCQTMLCTGSLLNKTIAFPVIPNTVTLTAALAACASLNLLHKGKEIHGYMLRNYFVNNYFISSALINMYAKCGDIDSAIQVFSRIKNRNVVCWNALIAGLLRTMQHKMAVELFCQMLVEGIKPSSATFSILLPALSERADLKVRRQLHSYIIKSQHLESRNDLANVLSSDNVDVGVLLHGI >KGN47100 pep chromosome:ASM407v2:6:12117270:12118239:-1 gene:Csa_6G187930 transcript:KGN47100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREDCGVYHERQKLQLCLLHALNNLFQEKDAFTRANLNAIAEKLVRDVPNQETWTPLSIVFKPHHNTVTGNYDINVLISALEEKGKSVVWHDHRNGAFSIDLDGPDHTCKLMGIVLNVSVRRFGGIWKSRHWVALRKIDGLWYNLDSDLPDPHPFKDTDELRQFLDHVINDNGEILLVMNDRN >KGN46799 pep chromosome:ASM407v2:6:9547681:9551442:1 gene:Csa_6G136530 transcript:KGN46799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQEVIVDRNFPLFSKPHRKKHKPSENPTAVPNVAPKKSLQIEKSTELTTKSTNNITFADLGLSEWIIQTCKELVMKKPTAVQTHCIPKILAGLDVLGIAQTGSGKTAAFALPILQRLSETPFGVFALVVTPTRELAYQLAEQFRALGSCLNLRCSVVVGGMDMLNQTQSLLKRPHIVIATPGRIKVLLEDNPDIPVVFSKTKFLVLDEADRVLDVGFEEELKVIFQCLPRNRQTLLFSATMTKDLETLHKLSANKAYFYEAYEGFKTVDMLKQQYVFIPKDVKDLYLLHLLSKMEDMGIRSAIIFVQTCKSCHLLGLLLEALDQEVAALHSVKSQSERLAALYRFKSGRVPVLLATDVASRGLDIPTVDLVINYDIPRFPRDYVHRVGRTARAGRGGLAVSFITQNDVHLIHEIEANLGKQLEIFECKENEVLENITKVYKARHVAKMKMVDGGFEEKVKERKKQKRKTLAEKGLLKKRNKRRRKEKTSE >KGN46048 pep chromosome:ASM407v2:6:3720133:3722193:1 gene:Csa_6G046260 transcript:KGN46048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKKKVVIAREGLPCPNSADGVENRLINVKYTHQHNGEENEALDLLLQMQREGTPFSEFTISSVLCACAAKCALSECQLLHAFAIKAAMDLNVFVATALLDVYAKCGLMKDAVCVFESMPDRSVVTWSSMAAGYVQNEMYEQALALFRKAWETGLKHDQFLMSSVICACAGLAAMIEGKQMNALLSKSGFCSNIFVASSLIDMYAKCGGIEESYKVFRDVEKRNVVLWNAMISGLSRHARSLEVMILFEKMQQMGLSPNDVTFVSVLSACGHMGLVRKGQKYFDLMTKEHHLAPNVFHYSCMVDTLSRAGQIFEAYDLISKLPFNASASMWGSLLASCRTHGNLELAEVAAKKLFDIEPHNSGNYLLLSNMYAANGKWDEVAKMRKLLKESDVKKERGKSWIEIKDKVHLFMVGERNHPKIVEIYSKLNEVMDELQKLGYKVETQHDLHQVGESIKQELLRHHSEKLAFTMGLLFLPPNAPIRIMKNLRICGDCHSFMKLASKFFCRDVIVRDTNRFHHFKNGCCSCGDFW >KGN47393 pep chromosome:ASM407v2:6:14807146:14807550:1 gene:Csa_6G310490 transcript:KGN47393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLRPDPTTSYPGRTKRFYKGPVIFPFGFSSNSSAGINVFHTDCASVFDLSILIDVKNTGTVDGSHTTIVFSTAPNQIWSYLIGFEKVHIMAWILEASSNRNYVKITEK >KGN45641 pep chromosome:ASM407v2:6:282100:282892:1 gene:Csa_6G002350 transcript:KGN45641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILFLHHQQPLNQIFLQFRNPRVVDDQDVLFHGFPLSPSREEDVGEIDYGIFFSIDSLVLRFIATELDDYDALVTLTNSEVKFSVNDKEISLYKEVDECIIGGVADEEESRFFVYLNPKILFRDLANKSERIWMFKSPNSYSIIVAPIGLYAQFCVYFPQLEEKSKRQRIC >KGN49087 pep chromosome:ASM407v2:6:26567366:26568180:1 gene:Csa_6G513510 transcript:KGN49087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKVILLGHNDEFRDDRIMRVTVAFNRFGAGLVQRMPRVRFGYAHVANNWYNKWEMYAMGGSADPTIFSQGNYFMAPNDPFSKQVTKREVYESGWKSWKWRSSNDVFMNGAYFIPTGWGSCTPFYTQAQAFPVAHGSLAPLLTIAAGPLRCVLAKPC >KGN49319 pep chromosome:ASM407v2:6:27673762:27674397:-1 gene:Csa_6G519700 transcript:KGN49319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEKVLNSYEWIWFHRQIFQQEPTFTILSNSEDNPDEESGLISSSASLSPNSVLSTSNLGSPFSETTNPKTTPFKEASKTKRSRRRKLERKSLSELEFEEVKGFKDLGFVFSEEDRNSELASVIPGLNRLGSEEEEKEREEKRSLDDDDEESRVSRPYLSEVWEVLAMDRRREEIISPLLKNWKLPSFNSEIDMKQNLRWWAHTVASTVR >KGN49321 pep chromosome:ASM407v2:6:27691181:27694192:-1 gene:Csa_6G519720 transcript:KGN49321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAYRAEDDYDYLFKVVLIGDSGVGKSNLLSRFTKNEFSLESKSTIGVEFATRSLNLDGKVIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRHSTYENVEKWLKELRDHTDPNIVVMLVGNKSDLRHLVAVSTEDAKSFAETESLYFMETSALEATNVDNAFAEVLTQIYHIVSKKAMETNDDAAASAVIAKGEKIDISKDVSEVKKGGCCSS >KGN48871 pep chromosome:ASM407v2:6:25525926:25527455:1 gene:Csa_6G504520 transcript:KGN48871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSGVSSGSTNGGIRPSRSEDDLEEERKRRRMQSNRESARRSRLRKQKHLDDLTNQVSRLRNHNNEMTTNMTVTMSLCISLEGENSILEAQILELTNRLKSLNNIIKLIESMEVLEKTFSCEIDDLNNDFEEEDYCNPWRYPFAN >KGN49391 pep chromosome:ASM407v2:6:28037894:28041313:-1 gene:Csa_6G523330 transcript:KGN49391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHTPIFRKCLSLILLVFAHFASQILADDGLVANGDFETIPSGGFPNDGAIEGPTAIPSWTSNGTVELVESGQKQGGMILIVPEGRHAVRLGNDAEISQELKVEKGALYSVTFSAARTCAQLESLNVSVPPSSQTIDLQTLYSVQGWDPYTYAFEPEEETVRLVFRNPGMEDDPTCGPIIDDIAIKKIFIPDRPKDNAVNNGDFESGPWMFRNGSLGVLIPTNLDEETSSLPGWIVESNRAVRYIDSYHFNVPQGKRAIELLSGKEGIISQMVETTPEKPYTMTFSLGQAGDKCKQPLAVMAFAGDQAQNFHYTGPDSNSSFQSVNLNFTAKADRTRIAFYSVYYNTRTDDMSSLCGPVVDDVRVWFSSSCRNGPGFWAFGVGLGLWLLIWALV >KGN47164 pep chromosome:ASM407v2:6:12527844:12528731:-1 gene:Csa_6G191280 transcript:KGN47164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSFSGLGIGLSLVFGCLLFALVAELYYLLWWKKRSITSSHVEDEFTNYAKEFFHLICWNWKKGSSSSSSSSSLQQPNSSRENQRNLELRNQESDIEIGCSKDLLLKSSGGEDGGVELELMRLHNLAGPPRFLFTIKEETKEDLESEDRSRKGSRTRSLSDLILTVDTPFLTPLPSPPLMPTTSPLNPLSSFKHHGFNINPLFESSTDLDLSRLLSSPPPKFKFLREAEEKLYRKLMEEAQKKPNKNDGSVQSSEIRAMSIPKEAVQEEDHHVSPYSSSSSQVLPLASSPSSEHI >KGN48540 pep chromosome:ASM407v2:6:23552905:23553243:1 gene:Csa_6G491035 transcript:KGN48540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAPTLLTYGTLQSIHSCPNLRGFLPYRSRRHIPGAGGNSTAFPCQQLGKIKPGRRASEVKNGGIVGPGGGDRRGVRAEPNPRAFIGLSNLSHPLTPLPHPYPFVKPLIVEG >KGN48420 pep chromosome:ASM407v2:6:22751710:22766082:1 gene:Csa_6G486930 transcript:KGN48420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKKVDERIRTLIENGVKSRHRSIFVIIGDKSRDQIVNLHYMLSKATIKSRPNVLWCYRDKLELSSHRKKRAKQVKKLMQRGLLDPEKVDPFSLFLETGGITYCLYKDSERILGNTFGMCILQDFEALTPNLLARTIETVEGGGLIILLLRSLSSLTSLYTMVMDVHERYRTESHLEAAGRFNERFLLSLASCKACVLMDDEMNVLPISSHIRSITPIPVKEDSEGLPEGEWDLKNLKEQLSDEFPVGPLIKKCCTLDQGRAVVTFLDAILDKTLRCTVALLAGRGRGKSAALGLAVAGAVAAGYSNIFVTAPSPENLKTLFDFVCKGLNAVEYKEHIDFDVVRSTNPEFKKATVRINIYKQHRQTIQYIQPQQHEKLSQVELLVVDEAAAIPLPVVKSLLGPYLVFLSSTVNGYEGTGRSLSLKLLQQLEEQSQVSKKSVEGSVSGCLFKKIELSESIRYASGDPIELWLHGLLCLDVTSECDLYYVNRDTLFSYHRDSELFLQRMMALYVASHYKNSPNDLQLMADAPAHHLFVLLGPVDETSNQLPDILCVIQVCLEGQISRKSAMKSLSAGHQPFGDQIPWKFCEQFREANFPSLSGARIVRIATHPSAMRLGYGSQAVDLLTRYFEGQFASITEVEISDEDVQAHVRVTEAAEKVSLLEESIKPRTNLPPLLVSLRERRPEKLHYIGVSFGLTLDLFRFWRRHKFAPFYIGQIPSTVTGEHTCMVLKPLNNDEIEANESAQWGFFGPFYQDFRLRFIRLLGISFPGMEYKLAMSVLDPKINFTELDPSEDTIGEFLNAIRYLMSAHDMKRLEAYADNLVDFHLILDLVPLLAQLYFMEKLPVTLSYAQASVLLCTGLQLRNVTYIEGQMKLERQQILSLFIKVMKKFHKYLNGIASKEIESTMPRMREIPLEPHEISVDDDLHEAAKQVEEKMKMNNEGLLDVGMLQQYAIVDGDVDLAGALQSGGGKVPSGGVVSVKSNKTKAEKQGKRKEKDQSSKKRSKDGFKSNKKKKV >KGN48806 pep chromosome:ASM407v2:6:25203928:25207302:-1 gene:Csa_6G501950 transcript:KGN48806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKCFLVIASLIFISSTFAQHNLRPKEKWLTLKGDHPLVIARGGLSGVFPEASPFANQMAVVTGLHNTALYCNLQLTKDGIGFCLTDLRLQNSTNIEDAFPRDRKSYTINGKILKGWFSVDIMSNDLFDRVNLIQSVLSRPSLYDGTLPIAAVEDVFKMNPSQFWLNAEYEAFYAEHGLSVISYLQKALRLMRINFVSSSEIGILKGISGSVNKARTKLIFRFLEANEIEPTTKKTYGALAHELPMIKTFASGILIRKEYIWPIGPDKYVQPATNIVIDAHKLGLEVYASGFANDAIVGYNYSYDPIREYLQFFDNGHFAVDGVLSDFSPAASQAIACYSSFHNDGKPQPGLEQALVISSNGASGDFPGSTDLAYQKAIDEGADVIDCSVQLSKDGVPFCMEMADLLTGTTVITAFSAKTTSIPEVQAEPGIFSFDLTWAEILTLKPQISNPFMASSGLARNPAFKNKGKFMTLPEFLEFSKAKAVSGIMINIQNAAYLASRKGLDMVGTVATALVNATFDKQSTQQVFIRSDDTSVLSVFKTKYPNFIRVLTVDSKIGDAPKEALEEIKHYAEVVAIPRGSVIEITNYFTTGLTKVVAEMKASNLSVFVYVMRNEYVSLPFDYYSEASMEVSTFVDYFHVDGIITEFPNTAKRYMTCPCRPTQLNPDTAPYIILPPDIGMMMNMVDPEAKPPTDPPMPPLDANDIVDPPLPGVNKMATTTPSDAADMPAAPAPSGSVSNVANLFVSLVSVVVLTFFISL >KGN45816 pep chromosome:ASM407v2:6:1456003:1462022:-1 gene:Csa_6G013880 transcript:KGN45816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQLAKAQDFENKAEKKLNGWGLFSSKYEDAADLFDKAANCYKLAKAWDKAGSVYTKLASCYLKVESKHEAAQASVDAANAYKKTSIKEAISSMEVAVNLFCDIGRLSMAARYLKEIAELYESEQNIEISMSYYEKAADFFQNEEVTTSANQCKQKVAQFAAQLEEYDKAIKIYEEIARHSLKNNLLKYGVKGHLLNAGLCQLCKGDIVAITKALEEYQELDPTFSGTREYRLLADIASSIDEEDVAKFTDVVKEFDSMTPLDPWKTTLLLRVKEKLKAKELEEDDLT >KGN46915 pep chromosome:ASM407v2:6:10572210:10575387:-1 gene:Csa_6G150540 transcript:KGN46915 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase 2a MEFWGVEVKAGQSLIVKPGNEKLIHLSQATLGEIKKEKANENVTIFLKIGDQKLVLGILSGEKFPQLSFDLVFEKEFELSHNGKSGSVSLQLTLVSLTCSFLLTTDGYLDSDSASEDEELPLVSAPNGKPSPKGVKPGLVESNDAKKASLKKPLKPSKDDDEDSEDDESDGDEDSDDESDEEMLDGDNSDEEDDDSESDEETPKKVESAKKRLNESATKTTPVPAKKAKLATPEKTDSKKGGHTATPHPAKKTGKTPAAKLETPKSGGQFSCKSCDRSFGSDVALQSHSKAKHGGK >KGN48651 pep chromosome:ASM407v2:6:24289103:24292708:1 gene:Csa_6G497020 transcript:KGN48651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRSSPRRSQRSKGFKVKHALQIFILLGVCVWLVYQVQHSRGKKATFNESTKLDEVVKLGRKDLHPRVDENITRDESHREDEEETRSELEKGMSGSDNEEKGGGNDEFHDQQEVQEDTENKDFVVDIEKEREENSEVRKETETEENKEIENENKENEEIKENDKENGEIQKSQSDENGEEGRGGGIEENVNEESKEHGNQEVNGNEESKGQENQDVNGNNESKGQENQDQNGNEESKIQENQDVNGNNESKGQENQDQNGNEESKIQENQDVNGNNESKGQENQDQNGNEESKIQENQDVNGNNESKGQENQDQNGNEESKIQENQDVNGNNESKGQENQDQNGNEESKIQENQDVNGNNESKGQENQDQNGNEESKIQENQDVNGNNESKGQENQDQNGNEESKIQENQDVNGNNESKGQENQDQNGNEESKIQENQDVNGNEESKEHGNQEVNGNEESKIQENQDVNGNEESKEHGNQEVNGNEESKIQENQDVNGSEESKGLENQEVNGNEGSKGLENQEGNGNEESKEKGNQEENEGKDKVNEMPTEDRKENENEERSQSNESGMGEKNEENKENENREERIIEETDKKDSNNGGEREKESGDNEKEETKEKDREDVNVETKEAISETKEGSQDTMENNGNENKEENNENETVKKDEQKEVSIKSVVPAEEQVQDGNDKSNNDAREAQYKGDNASSAVHEDQNTATGNGQDGFAKLNEVESVENKENYESQHKDAQTIREDVDSDRNEPVQNGSEAEKNGNNQSEVPEEVTNNNEEQPVSKENDQHDSVSQESNTSEPDQSDRQVSHNENENAVHSNSNDDSSGQKNDHDNPTDMSNSQENDASSNTIEGAGAGRHDNENVDQSNGNNSDHTKENFDSHNEGVRFSDTKSSEDQGNTGDSSGSSLPQEEKDTLTDLDTLPESRTEGNNKDETATE >KGN47534 pep chromosome:ASM407v2:6:15978624:15982720:-1 gene:Csa_6G357000 transcript:KGN47534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRRCLQVLTRHASAIFSTKPYIHPLKTAPSFLSQNFRTHASLSNCLSAKTDPSLCTYWPHTRYLSSDSRNGSEDDFTEDEDEDEDDEAYDSEDDGISTSSRVMKKEYTKEEMEAEAAAIGYKVLGPLDQSEGVFKPYEPAFAVVQIGSHQFKVSNGDSIFTERLKFCDVNDKLILNKVLLVGSSSQTIVGRPTVPSAVVQAVVEEHALDAKVIIFKKKRRKNYRRTKGHRQELTKLRIVDIQGVEKPENIGKPSKVADKKQEQVAVAA >KGN46219 pep chromosome:ASM407v2:6:5024874:5034110:-1 gene:Csa_6G075190 transcript:KGN46219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNYGSSSPYPYHNPYSYPRPVPPQYPPPSQYPPPSQYPPPHYTHPNSDPYAPLSYPYPYNNPSHPSPFAYPPPPSHSGPVEYFSHPPPHSSPLPYPYSYSDASSTNAAARPSIQYHNSFLPGSSPYRYQESSAYPPPETQYPPPPSRVNSFSGHYRNDSTDSVSSVASAYPPLDDLLSNVHLSDHQSTAPASPPAPAAAPSPAQPSASLLANSPQSARYDRRDRFYGFPNSSFSSFDTGHSDQMISSKQPLFSHSSSFSGSQQNLQIVPLHGKASLKVLLLHGNLEIWVNEAKNLPNMDMFHKTLGDMFAKLPGNMSNKIEGHVSHKITSDPYVSINITNAVIGRTFVISNNENPVWRQHFYVPVAHYAAEVVFVVKDSDVVGSQLIGTVAVPAEQIYSGSMVEGTFPILLGGKPCKPGAALSISIQYTPMERLSTYHHGVGAGPDYQGVPDTYFPLRKGGAVTLYQDAHVPDGHLPNLMLDNGTYYVNGKCWHDIFDAVRQARRLVYITGWSVWHKVKLVRDTGYGTECTLGDLLRSKSQEGVRVLLLVWDDPTSRSILGYKTDGFMQTHDEETRRFFKHSSVQVILCPRIAGKRHSWVKQKEVGTIYTHHQKTVIVDADAGNNRRKIIAFVGGLDLCDGRYDTPSHPIFRTLQTIHKDDYHNPTYTGSVVGCPREPWHDLHSKIEGPAAYDVLTNFEERWRRASKPHGIKKLKSYDDALLSIERIHDIVGISEAYCTNENDPESWHVQIFRSIDSTSVKDFPKEPKDAPSKNLVCGKNVLIDMSIHTAYVKAIRAAQHYIYIENQYFIGSSFNWNSNKDIGANNLIPMEIALKIADKIRANERFAAYIVIPMWPEGVPTAAATQRILFWQQKTMQMMYEVIYKALMEVGLEDAFSPQDYLNFFCLGNRETMDGNDPLCSGSPNGESTPQALSRKSRRFMIYVHSKGMIVDDEYVILGSANINQRSMEGTRDTEIAMGAYQPHYTWARKLSHPRGQIYGYRMSLWAEHMGTTEECFNHPESLECVKRVRTMGELNWKQFAADDVTEMRGHLLKYPVEVDRRGRVRSLPGHENFPDVGGKIVGSFLGIQENLTI >KGN48189 pep chromosome:ASM407v2:6:20976187:20976982:1 gene:Csa_6G446490 transcript:KGN48189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKEKKGLEGTGLCLPVNHHGNLKSASSDQDFKDVLLQIKSSKTTAVINYGASWCRVCSQILPAFCRLSNNFPKVSFIYADIDECPETTQHIRYTPTFHFYRGGERVDEMFGAGEERLHDRLWLHS >KGN46242 pep chromosome:ASM407v2:6:5178143:5178840:-1 gene:Csa_6G077390 transcript:KGN46242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGMKGKVCVTGGSGFIGSWLVKRLLEDGYSVTTTVRSDPDSSGDAMEGCIGVFHVATPVDFVDKEDAEVVIRRAVDGTLGILKACLNSRTVRRVVYTSTASAILFCNNSNKMECCGMKVIGVRLIT >KGN45897 pep chromosome:ASM407v2:6:2099046:2103942:1 gene:Csa_6G020110 transcript:KGN45897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMLNSFFNKGFKAAQCKTLLKLTIPRIKLLRNRREIQLKQMRRDIAKLLETGQEATARIRVEHIIREENMMAAQEILELFCELIVVRLPIIETQRECPLDLKEAISSVCFAAPRCADLTELIQVQMLFGAKYGKEFLSAATELMPNCGVNRQLIELLSVRAPSPEKKLKLLKEIAEEHDLDWNPAETEAEFNKSPEDLLNGSTQFVGASKLPLPQEKHNETSNTTTDLASGPQPDSDSELDMLDFPEVPKMSVCPQPTIDAGSAPSMIPPPSVSPPRETDHASFEYSGIPESPPQNLHSRHEEVTLVRSVSPSNDQMNVSVGEDKQFLPFITPPSLSPSFSRRQTELSPSSDPTTPEEKFDIKPRIREEINSPLPSVSRASEEKYGFKPRFEPEINSTPSSVSRTMSEVNADLGVDLQDVLAAAQAAAETAERAAAAARSAASLAKVRIDELTKKKNDKDPEISCENPFHGGTASPADHTETYKLNQQDSLGYHSSAMPYYSQDSFQEHHKLSRDPEVSDHSQLKPEKSSFDYSPGNSPSEHQTVAPHQPQRLPSMDDDPYFSYPNLFTSQKPNPGFDHSSAGGS >KGN46696 pep chromosome:ASM407v2:6:8553601:8553863:1 gene:Csa_6G124110 transcript:KGN46696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKPLHTQTLDLLAVTHRRSKPPLLAVMFLFMNSGKIAPLDEIIKMKEQYRFGVLLDESNSFGVHGCT >KGN46317 pep chromosome:ASM407v2:6:5595010:5596510:-1 gene:Csa_6G083030 transcript:KGN46317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLPKFAGFQNHTEGKYLQYLSDGFLFGNLQYSSDQALTPFTKFEIVPDKDVKIAKNKVALRNVANGMICRRYSADSKVDCLNARADSIIKDAELEIVEPIISREIYNIRYRTMDAKIYDEQVLTMATEEAINSSSKETVMAVSLKYLEEKSKTWESSLTVGVGIETSIKAGIPEILDTEIKVSYNFEANYTWGETISESREVTATYTVPVPPNTRMKVTLLATKAKCDIPFSYTQRDLLRNGRRVEIECDDGLYTGVYTFKFDYQNKPYPLHSK >KGN47102 pep chromosome:ASM407v2:6:12119285:12124079:1 gene:Csa_6G187950 transcript:KGN47102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWIVVSVEVTNTRTITVLFSVQLVATYIANGDIVGILFFAPKVTNKVYFDVSIGNNPVVKLAWRIVIGLFGDDVSKTAENSLLFARERRGFGFKGSAFHSVIKDFMIKGGDFDKRKWAKMVFCYFATDLQRKWITSDIHREHNTSPRSSSFAPTMATSISPSSLSFFTSSVFLSSPSHQNLNLFFNSKPNSLKPQTKTLSISSELATLPVLSFTGQKVGETYLDLKSAPPETARAVVHRAIITDQQNKRRGTASTLTRAEVSGGGKKPYKQKKTGRARRGSMRTPLRPGGGVVFGPKPRDWSIKINRKEKKLAISTAVASAAVNTIVVEEFGDKFEKPKTKEFIAALKRWGIDPKEKSLFLMTEVSDNVRLSSRNIGTLKLLTPRTLNLFDILDSDKLVLTPTAVDYLNERYGINYEEGIEEGEEEEEEEEGVEAGEDSDAA >KGN47284 pep chromosome:ASM407v2:6:13896923:13899135:-1 gene:Csa_6G284710 transcript:KGN47284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVKEQLCERDQAIRKLERKIEDIDRELQSIKLDNEANDLLREQEKEIATYRLYMRCNTEGNPLMLNYIQLQLMGIQIMIFCNRDTMILLDKERIFNRWRLNSEHKLLQD >KGN47502 pep chromosome:ASM407v2:6:15673375:15680080:-1 gene:Csa_6G344310 transcript:KGN47502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METPLTSSRIIIAYDATKDRTEHELQLTLRNLWMRGDILRGGDTLIVLGILHKVTHPMGYQLKACPDSIFGTSVRAMEEEVSKKADAYITMLQRSAETCEEGGVSIEVRITAGFPIKNVILQEIVVFSASWVILDRHLRRDESFYLKHLSSKVAAIQDNLSVRVLRNKTTTNEHNLRDSEQSILSIKSFSFQSSSQDSSSSQSNWTSSSNSISRDHTSDPESSSQQEKIGTDSREQKVYATASQTVQLPNKDIFQQISSEAPILCSVCGMRSDLHMKNTMRFSFSEIQQATSDFSAANLLGEGGYGHVFKGELKDGQLIAAKVRKEESSQGFIEFHSEIQVLSFARHKNIVMLLGYSCKENLNVLVYEYICNRSLDFHLFGKTTTVLELHQRYGIAVGIAKGLRFLHEECRGGPVIHRDVRPSNILLTHDFVPMLGDFGLAKWRTKDETSHTRILGTFGYVAPEYAENGILSVRTDVYAFGIVLLQLISGRKVFDAKDDIQGQSLREWAEPLIENLALHELIDARVAKTYDTYELYLMARTAYLCVQINPENRPSMGEVVRLLEGENDHYHYLGEKLIPRYNK >KGN45842 pep chromosome:ASM407v2:6:1630816:1638504:-1 gene:Csa_6G014620 transcript:KGN45842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEISEPSKPPQLDSGSSEAGLSQFDPKTMRNTKPGFKRLILTISVFSSFLLGLPFLWKSVEIYRAPLPFKDIDALSSHIESSPLQFPCTFRVIFFGFDSMASRAEQLKSSILDEMTKLSSKSSLCGSCSNNYAVSVVIESGSDCSQTRTDASSCSWRCGALSASDFAASLENGLQSADDFLEVALGGCYKPASGGRVYSVVVMNKGENVKATIGKYRHGWIVGRVSEAEAIAKVAETFVKLFGNGGTEDGLIPGEFMPVGADGKIFLSFNLLNADPDDWIYDWDFQKVDEVILKPLIEELTPIANVSVESQVLYHTPTSSFSYWDNKQESYIFNTKDLPFFVNSNEWHLDTSIAAGGRSKILHFVVYIPSARECPLLLQLPDGQISETNGFISPTWGGVIVWNPKGCLRDHESKLLHRHMILYPELEKIVEVFLGQFRQLFGLKSNPQHVGLSGTFNILTSQKGFTEWEMDFLSRQHSCFNLHSCASSLGSLSRLVQSLPRMIIIDEIGKQVKYSLEAANLAQKNASMGVFDAAAISSRQARSLAEDAFFHPSIMSVSYFSFEHCFAVYSPFFLPVALHVILAAVREWKRYKQEHKKYIAFLAKPKQS >KGN47387 pep chromosome:ASM407v2:6:14744670:14745497:-1 gene:Csa_6G309940 transcript:KGN47387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQASFLIDVGDKAQVSIISFSATRPSLTSHRGSYFFRITQADSFQGKAIAAIVKAFKWRKIVSIYVDNEFGDGIIPFLVDALQEVDANVSYQSVISLTATNDEIELKLSNLMNMQTRVFVVHMLPPLASRLFIVAKKKGMMGPSEFGLVNGQLQSFVFEIVNVVGNERRSVGFWTPKAGLTTSLRHSGRKRELRPII >KGN46605 pep chromosome:ASM407v2:6:7683347:7684495:1 gene:Csa_6G112440 transcript:KGN46605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKFPLSSSLTIKFPLQQNPSSSFFPPLPSPFSSPKLRPTPSSRFKLLANLGGGDAEIKKGGKKKFITKEEEPEQYWQTAGEREGENPMKTPLPYIIIFGMSTPFVILAIAFANGWVKVPVR >KGN48073 pep chromosome:ASM407v2:6:20234905:20236892:1 gene:Csa_6G428540 transcript:KGN48073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYCNNALRVTRWILFLEVSAAIILAASHMIPTEKSELDGWYSEHVKSFNKRDKMKLDSELVAAEENATVIKVRGDGSGDFKTITEAIESVPACNTKRVVIWIGGGVYKEKLKIDRNKPFVTLYGSPNNMPNLTFDGDASKYGTVYSATLTVEADYFTAANLIIENSSPRPDGKRKGEQALAARIWGNKVAIYNCKFIGFQDTLCDDRGLHLYKDCFIQGTVDFIFGGGTSLYLNTQLDVVVDGGLGVIAAHSREQESECSGFSFVHCSITGTGGRNTYLGRAWRPRSRVVFAYTTMADIIHPKGWNDMDNFGYDKTVSFGEYKCSGLGSNFSKRVQYGKQLSDEKVQQFVSLEYLKSDTWLLPPPNHEDLEN >KGN47075 pep chromosome:ASM407v2:6:11957597:11959066:-1 gene:Csa_6G185250 transcript:KGN47075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHSEIDQRLNNHTQRYAYRQIQLSVFLQVSGDTKVSCNQRYSFTSPSKSAKINCYKTHINSTCFDHNDGVMAGKHILLELGRPIPSWYYSLTAQQFYIVAIPWLLGCITINYLRSTEDFQLFFNDNLVFCK >KGN46244 pep chromosome:ASM407v2:6:5184280:5187378:1 gene:Csa_6G077410 transcript:KGN46244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSLITSVFLVSSSRFPVFAGFSFPIDLQLKLADSSMEQRRVAEGKDSLFSGDFMGGFPGFGLFGSRRGKKDGAPELVIQEICSDDEEREEDDDLRDQRHGRNENNSRSGQEPSVEHPDDSNDERQIMTQRSSENSSFRVQPKAGKSSIHSCKVTYGGVDGAYYSSTRTRRVDNEGVLLEETKEADKTTGQATHRVSRGIHDKGHSVTRKLNPDGKVDVVQTLHNLDEGELPGFEQAWNGNFQGHRQVPNAGFHHMDPNFDSSGSRNSEISSWGFPFLAERRIENDGGRDSSSSCRTKKVIRINIE >KGN48234 pep chromosome:ASM407v2:6:21378407:21394477:1 gene:Csa_6G450390 transcript:KGN48234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVENPWVPVTPVKASLRKPSHEEENQQKSDGSCEETEAESEKINACSDTPNLVTLDNGEILVVDLSEMKGVQNEANCCSSSSSLEKVESISQGELSSKTIPHFVPPTPDKRTNVVLKQVVDVQSTLAAETRDMEGQEQNCKTVSRRTDEDGLQQDVCELVLESSCASVLTPINNDNSSKDIEITVKEITKLKEHKRKHRPKVMGEGKPRTSTPATQRAANSQENVRTKRKYVRKNAANKSLENPLEPGTLNPVTPAGSMENSRGLRTYTRKRGVNTIETGPSTDMEERKQGRKKCCKSLKFDNEGKQKDENSLFESSSNSSESLAHILTTGSYQSHSVLNHWNENDTVFDHEQADMLYDPNPSMKHQPEGRKCLSESQVSIVDIPIEYSSSWIKLQSNYRENERGMGRTSSTNHLLSSSEDLFCSSTTISSEGKEAMGLKRKWYQNIKQDDASSFDLLEEFYSIYGSQMPQAEYFPKENSDKVQHFGPSSTYFNVTGETCKVSSLKENSCTSKARYQLPRPQNHSLFPRVHEGSVTPNKLQPFESSLATDQMKMTHTRFDAQDYVWTLGSWSHHCNRQSKYSHKQSLAVTDLQRVESSHRLPSSGAQVDKIKIQTTVSGKKQKNLTSYNMDGTEQHPKLALYSRRKTSQFSPGSLSEINSGSKLSTNAMIEEMKMLDINREGKISLYEKQNEIVTYKMQNQEHSALVVYRGDGSIVPFEGALDPIKKRRRFAKVDLDEETVRVWKLLMDNSNKELVEGSDEAKDKWWEEERSVFSGRTDSFIAKMHLIQGDRGFSQWKGSVLDSVIGVFLTQNVSDHLSSSAFMSLAARYPLKSKSLHESSVDEQTSLILNESQVTLCQAEDSVIWAKQISDQSICKQSCTTVCEIDQAEENFLTSSDSSGSKSAGVTSMRGYQCSVTSYSSKKIVELEDRRLTTEINTTVEACSLGNEKTADAAISSQMSVVSEHSINSLCPLSSENRMPCLKSNYGKDLSSKDICGNGCASSVEVKQVTETNKLKSDFKIASATDSSEGTCSTSEEKYVCQREHNENPDSPKNHLKESPSQSSNQLQKISNSGVTEVECCKLCREATPFPYVYKQRDVYHTNERSHTLNLVSQTSVVNTNNVEAKRCCRELCSLDQLSDHNVMIQSEGRLIEVPHGVESQTSMSHWNIHQTLPTSLIDNSFGPTSWETGEPAQNKHDHSLSSKFNDPKSDIIKPNRERVKKEKRVGVDWDSLRKQVEATGRRDRTTNTMDSLDWEAVRCADIDDIAYTIRERGMNNRLAERIKDFLDRLVKDHGSTDLEWLRDVPPDQAKEYLLSIRGLGLKSVECVRLLTLQQVAFPVDTNVGRIAVRLGWVPLQPLPESLQLHLLELYPVLESIQKYLWPRLCKLDQRTLYELHYQMITFGKVFCTKSKPNCNACPMRGECRHFASAFASARLSLPAPEEKSLINATERKADINQAVVVHQQPLALTQESEPIESIQQLISVKSGGSNKDPIIEEPQTPEPECPQISEIDIEDTLYEDPDEIPTIKLNIEAFTKHVQNYMQENMELQEGSMSKALVLLSPEAASIPMPKLKNISRLRTEHQVYELPDSHPLLEKLQLERREPDDPCFYLLAIWTPGETANSVEQLHAHCSSQESGGLCGEKECFSCNSVREPDSEVVRGTLLIPCRTAMRGSFPLNGTYFQVNEVFADHDSSLNPIDVPRSWLWKLSRRTVYFGTSIPTIFKGLSTEEIQGCFWKGYVCVRGFDQTTRAPRPLIARLHFPASKMTRIKGKTDNPDGK >KGN47998 pep chromosome:ASM407v2:6:19635317:19640650:1 gene:Csa_6G423400 transcript:KGN47998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVMTATAGNFLQDVDITWGGPRAKILDGGRHLSLSLDKDSGSGFQSKQEFLFGRFDVQMKLVPGNSAGTVTTFYLSSQGGSHDEIDFEFLGNSSGDPYTLHTNVYSQGKGNREQQFHLWFDPTKGFHTYSIDWSPESIKFLVDNIPIRVFHNWENIGVSYPKSQPMRAYSSLWNADDWATRGGLVKTDWTQAPFTASYRNFNANGCVASSGSSSCGSKFSSTLQGGAQSGLDAKSRNRLRWVQSKFMIYNYCTDHKRFPQGIPAECRRPRFL >KGN48670 pep chromosome:ASM407v2:6:24422024:24424477:-1 gene:Csa_6G497210 transcript:KGN48670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGWRRAFCTSIPKDTLSTDISNESLSPRISSKFGFFSNPSTPPSQSRRQPDHPGLGLRCRTSVATSVSTPSSTSNSPKLMTQKKTGASRLLFHFSNPSSPKSPSGFSFIKTGLRLSKSRCGICLQSVKRGQGTAIFTSECSHSFHFPCISAHIKKNRTVACPVCSSIWNEAPLLDGHNALKKPIQTDKTRGVESIKSGEVKSKPLKVYNDDEPLMSPTSGGRCNPIPESDENEDDEEQDSAVEFQGFFATSAPLASPRLPNIVKNVEVSLLPEAAVIAAGRSYETYAVVLKVKAPAQSATTSSSPMNRSLRPPIDLVTVLDVSASANSAKLQMVKRTMRLVISSLGCTDRLSIVAFSASSKRLLSLRRMTSNGRRSARRIVDLLCEVGQGACINDAIKKAAKVLEDRRERNPAASIILISDGRDDRVGASYSGNYKRCSPFVCSTRFPHLEIPVHAISFGDGLVPPEEALANCIRGLLSVVVQDLRLQLGFVSGSTPGEIAAVYSLSSRPTVLEPGSIRIGDLCSEEVREMLVELKVPVSSLGSHPLLSVRSAFKDTSSQSQALLCSKQHALPVPRPRTVRSSGSNIQRLRNLHLTIRAVAESQRLIEHNDFSAAQHLLSSARALLLKQSGSTSASEYIKGLDVESAALSRRKQQQMQTQRQNAMAGRETSRVDEKIEQLTPTSAWRAAERLAKVAIMRKSMNRVSDLHGFEDARF >KGN49296 pep chromosome:ASM407v2:6:27569254:27573890:1 gene:Csa_6G519490 transcript:KGN49296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSILLNLQFLSITDSRFRSYSLSNHLSSSPHLICSLTRPRISRLIKVTAVSSMEVEQGGESAPVDSTVPPMKLLFVEMGVGYDQHGQDVTAAAMRACRDAISSNSIPAFRRGSIPGVSFGEMKLQIKLGVPHSLQQSLDLEKVKSVFPYGKILNVEVVDGGLICSSGVHVEEMGDKNDDCYIVNAAVYVGY >KGN48957 pep chromosome:ASM407v2:6:25988936:25991319:-1 gene:Csa_6G507300 transcript:KGN48957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANFFPVSSPAVSPPPSRSNRWLEYVVGVGVVASLGALLFCVFRRRIMRKLREGLGRSRKGSVKEEKLKLRRFEFEELEKATKNFSGEYLLGAGSFASVYKGVFESEGEIVAIKRPHADSYTSLDEFRNEVKLLSSVKHKNLVALVGYCEETTGNGRERILVYEYVPNGSLLDYMIGRGGRSLTWRQRVNIAIGAAKGIGHLHKGMRASIIHRDVKPSNILIGDGMEPKVCDFGLVRSGPVGDRSHVSSQIKGTPGYLDPAYCSTFHLTPFSDVYSVGIILLQLVSARPVLASNQPLPDSHIVRWAGPSLEKGRVEEILDADLLTEPCNFEVMLKMGQLGLKCTSQQPKYRPTMGQVWQELEEALHEADNFWKRKRLMQKSMEIEYSESIVSTEGIRFGKFRVGMDSFAFESASLKCLEINSVSIDVDHSTEKQLSAAANDEQNKD >KGN46692 pep chromosome:ASM407v2:6:8538157:8539094:1 gene:Csa_6G124070 transcript:KGN46692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDIFDSSLNLEEAHLKEGYADGYKDGLVAGKEEAEQVGLKVGFEVGEELGFYRGCVDVWNSVIRIEPERFSIRVRKSVKLMEELLEKYPLQDPENEQVQELMEGLRLKFRAVSATLGVKLEYHGYPKSISDGKDIEF >KGN46126 pep chromosome:ASM407v2:6:4308478:4310718:-1 gene:Csa_6G055960 transcript:KGN46126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGNKRSSSTYQAESSPKDVKPYEVYAKLYGKRKLQDMSTGGKKLLTSLPRLRTRFFSIVESGMLTPATIRKSCPSLGVAKYGKPIGLDEKIKVDLIVIGSVAVDPKTGAQLGKGEGFAELEYGMLRYMGAIDDSTLIVTSVRIILVLLAVNIKCPVVIQTSNSLAVHDCQLVDDIPVQKLLIHDVPVILTNTKIPKPQGIYWEMLSPEKLSQIRILRELKRRIERETGKLLPCGPSEKLPPTAQRTSKPGKRALSKK >KGN48440 pep chromosome:ASM407v2:6:22873298:22875120:-1 gene:Csa_6G487610 transcript:KGN48440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVARMTTSRPSLGRPIIFASSRLCSFFRSRRFLCRETTAEAMFTALEIPPPCPAAKLNVVRALPSQFRFYRLPYNLGLPNRQLPSLSIRAQSLSDPSTSSRYTDTIGTSSPAFLQFPQCTLTQRHILVLNVVACATAISATWLFCSAIPTLLAFKRAAESLEKLMDVTREEIPGTMAAIRLSGMEISDLTMELSDLGQGITQGVRSSTRAVRVAEERLRRLTNMSPTGNDNNQSGSEGSRASSG >KGN45707 pep chromosome:ASM407v2:6:667740:669249:1 gene:Csa_6G007410 transcript:KGN45707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELRRNWYDSNIWVVFFCLLMLISCSVSFEDVDSFNGFVHKYANNSLSKPRTGIVYNISLPSNFSGIQVSYIRLRSGSFWVRGANLRWISIPPRLTSVPYVKRLAIIFENLGNWSSTFYKIPGYTLVAPVIGFTVYDSSTNSSTLSNKKLHLIILGRKPILVMFPKVENSGKKPQCVKFGANGTYELKNMTGPNFCSATDYGHFSLVIPTPSIEKEEKKKRMLWEWWVVGFGCGLLVLALMVVVLITVLRLVKKKSIKGMEREAERGVSFDNVWIGKSRMPSASMVRTQPSLENSYVP >KGN46904 pep chromosome:ASM407v2:6:10469813:10475835:1 gene:Csa_6G149940 transcript:KGN46904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGSDLDPIEAELDADLEPVKDCNGPAHHPSAPFDEVFDISTTVDPSYIISLIRKLLPLNASNTRNSCGNGHDGGDTSVNKMDEGDGYVSGDQLFSSSGTVSKCLGIEIEDDSGKLADKEGEDEGACPKSEQLISSSEEKVWEEYGCILWDLSASRSQAELMVQNLVLEVLSANLMVSQSVRVMEISLGIIGNLACHEVPMKHIVAKSGLITTIVSQLFLDDAQCLCEVCRLLNTGLQSSECVIWAEALNSEHVLSRILWVSENTLNPQLIEKSVGLLSTIIESQQEIVHVLLSCLMKLGLSSVLFNLFSFEMKILTNERSAERHSILDVILRAVEALSGNEEHSRELCSNKELFQLVRDLVKLPDAFEVSSSCISAVVLIANILSDVPDLAFEMSQDLSFLQGLLDIFSFVGDDFEARDAVWSIIARILVRVQENVMSRPKLFEYVSLLVSKTDLIEDDLLDHCMTESNKEEDGMTSACTKSNSRCISLRRIISILNHWTASKDEGTDVRDEYCLEDVDVNRLLTCCSKHSEELAGMETHTP >KGN49203 pep chromosome:ASM407v2:6:27077650:27077967:-1 gene:Csa_6G517110 transcript:KGN49203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLYDDRPFLLGVMLECSNSINYSDKDREGVGEGKGERERKRGRANSNCSGQRAYRDISISNIVAAKVTKEGDYVQRYVFGLRLFHGHKSIYVPLNSLTISMVP >KGN47489 pep chromosome:ASM407v2:6:15558270:15562209:1 gene:Csa_6G343690 transcript:KGN47489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIPHFLFGVLGNATALFLFLSPMVTFKRIIRSKSTEEFSGIPYVMTMLNCLLSAWYGLPFVSPHNILVSTINGTGAVIELIYVMVFIIYAPKKEKGKIGGLFGFAMGAFTAVALVSVFALEGKIRKLFCGLAASVFSIIMYGSPLSIMRTVIKTKSVEYMPFLLSLFVFLCGTSWFIYGLLGRDPFVAVPNGFGCGLGALQLILYFIYRAPRPAPDEKPTNNDGPNMEMGLHKPQLDKPQATAKVDRDDQV >KGN49375 pep chromosome:ASM407v2:6:27965037:27965834:1 gene:Csa_6G522690 transcript:KGN49375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASAMALSSPTLAGQAVKLSPTAPEIQGNAKFTMRKTASKSVSSGSPWYGPDRVKYLGPFSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGCVFPELLSRNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLVHAQSILAIWACQVVLMGAVEGYRIAGGPLGEVTDPIYPGGSFDPLGLADDPEAFAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAYATNFVPGK >KGN47895 pep chromosome:ASM407v2:6:18902706:18903832:-1 gene:Csa_6G410010 transcript:KGN47895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGARIPNIKPGKASIEYLTKIQASTRFWGGLLLSFLATTSSVLDHYLRSVNAGFAIGFTSVLIIVGSIIELRRSYQAYNVMPSLSNALRRYGV >KGN46704 pep chromosome:ASM407v2:6:8629179:8629602:1 gene:Csa_6G124190 transcript:KGN46704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVVRLALMSLILAGMFFIQLTAANVKEAPMVDTALFFGSKIGKEEAVQGPVVAEGPAIRRLGKHHFHTSVAGGRVLIGSLATAVFAIVFCYIRVTRKPNHVN >KGN46883 pep chromosome:ASM407v2:6:10344833:10345333:1 gene:Csa_6G148250 transcript:KGN46883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQFGRHLMTDINDQFELQDFIHGDNFDQYVNLIRGGNETPIFNNNFDLDFMNGCLIENRVVDQSLEYIVDSNMVMNSDPNSLFSTLESFNSIMKEVEDEDEDEDENESVENSSSTTSKKPKADRTRTLISERRRRGRMKEKLYALRSLVPNITKVSSINPYIHNS >KGN46987 pep chromosome:ASM407v2:6:11047192:11049108:1 gene:Csa_6G157130 transcript:KGN46987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKILLRLGSVYKLFIQSNPSPSSFIEIGLDYSGKTSTSPLPPVSSSLRPESPSASSQVSDFSFFDLLSSIRCLLLLRLKSLASPLSSIFDQIHPFCPNPRQRDRPVAFTHLRFQPLRLRLRHPFRPSPISNIDPPPLICWSIWEDRNKRTVHSTVASLEKKMEWIDESANEIENVIDSLSLRYLGSDLR >KGN46326 pep chromosome:ASM407v2:6:5636753:5639165:1 gene:Csa_6G084600 transcript:KGN46326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEESQLNTVPKYFTLKSRQNGRYLRYINDDKTMHGFLTFSGTHVVSPFAKFEVEKAKEKSNKGLVHIRCCYNNKYWVRWSEKSKYIVAKANEPNEDRTKFSSTLFEPTVFNNDQKIFRFKHVQLNHYVELRYHKAKQFQDALFANGLAFGFDKSDLFTIFDWSTLFVLPKHVAFKGNNGHYLKVHSSGTKYLEFSGSDVGDPRVGNQIFTTADGHVRIKNDYLGKFWIRDPNWILVKASESDFDDPNNLFWPVRLGDGQGIALRNRGNDMFCKSLSKDGKNNCLNAAVDSISPEAKLQIEELVISRTIYDVNFRVLDARFYNETPITMTSKEMVNRSSEPELQKLKLRYEDTKSSTWTNSVGKKLGMKMSIETGTPEISSQEIEVSAEFKEEYTWGETKETKSRMEVEHHVTVPPNSRVIAKVLSTKGFCDIPYSYTQRDVLTNGKVIIQHFDDGVYIGSNCYNYTFSAEQEDL >KGN46721 pep chromosome:ASM407v2:6:8799985:8801344:1 gene:Csa_6G127330 transcript:KGN46721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSSNYHARSNSLPTRPHPLVTECDEHLCRLKAMDSAPWTAFGMANKLAGLQDLQECVNKLLLLRRTRDAFAAHRREKWVDEVFDGSLRLLDLCSASKDGVIHTKECVRELQSLVRRRSSSWCCGNGVANQVEKYLASRKVVKRAIQKALASVKTYGARPSSISIKDTETIALITLLFDVEVASVNVFEALLSYVLGKKGKAKGSGWALVSKLMRSKRVLLTEDGAEGEANEFATIDAAVDVVASRLASDSSTIIGGGGIESMGDQLGKLEACVQDLEGGLEGLFRRLIRNRVSLLNIINN >KGN47786 pep chromosome:ASM407v2:6:18065052:18066742:-1 gene:Csa_6G402550 transcript:KGN47786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCYCSSCKFGSLLLMAFIWLLLANGSCQRKEPVVVGKVTTATGDEISGISKETTVAVGGGRGGKVVDHPEWHLNYMSKRRVPNGPDPIHNRRAGNSGRPPGKA >KGN48203 pep chromosome:ASM407v2:6:21078051:21079932:-1 gene:Csa_6G448110 transcript:KGN48203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIRNIAIGRPEEATHPDALKAGLAEFISTLIFVFAGQGSGIAFNKLTNDGAATPAGLISASIAHAFALFVAVSVGANISGGHVNPAVTFGAFVGGNITLLRGIIYWIAQLLGSVVACLLLKFVTGLPTGSFALSAGVGELNAFVFEIVMTFGLVYTVYATAVDPKKGSLGTIAPIAIGFIVGANILAGGAFTGASMNPAVAFGPSVVSWSWESHWIYWAGPLIGGGLAGLIYEFIFISNSHEQLPTTDY >KGN49478 pep chromosome:ASM407v2:6:28581033:28582416:1 gene:Csa_6G525630 transcript:KGN49478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLPWKKTKPNRISRIVADLQPPSRAASLVVETGFPTSVVDLFVKNRDRIKRHSLRKPKNKQQSTHVSESIAPPPTPSLSPDNSPRLPEHEDVVLTATCSTAGRKVDGLQHQPFPADVQSNVVSARPNVYSNANADADADADGVYLNSVSMVFVVVMKMFLVVIPVLSTQKLVLGITISAFLLFLFEIFGKFAVCNLLNRSLIRNRFVPTTAKSSGVGLNYRGGGGGEEDAVATNSGMNNAIHSEDDEGMCLEREAVGNGEKGFVGCGDLEVGKEEQENLQVGKNEISRRAKLRAKIIKKLIPKKLRGGKRVKKSKKEKQIMKQEMGITINENEQETESSCGEEDEEVWEEEEEEEEEEDVGASMTRKGKNKEDEEEEEGKKSFECKIVIITILLGLCGGRFLAFVLTVSGCFMFKFIKNLTHKWRFG >KGN49068 pep chromosome:ASM407v2:6:26452214:26452414:-1 gene:Csa_6G511840 transcript:KGN49068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNETETEELDSVEKRRTNKAVPSLKSSTFDQRDAKSRDASELSALFHCLSTAKRPNPSPYHHTEP >KGN49475 pep chromosome:ASM407v2:6:28572339:28573248:-1 gene:Csa_6G525600 transcript:KGN49475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQLLFSAFFLLISFSISFASDPSPLQDFCVADPNSPVKVNGLVCKNPSLVEAKDFFFSGLHVAKDTNTPTGSQVTPVNVVQIPGLNTLGISLARIDYAPWGINAPHTHPRATEILTVLEGKLLVGFITSNPENRLITKTLHKGDVFVFPVGLIHFQQNIGHHRAVAIAALSSQNPGVITIANAVFGSKPDIPTDILAKAFQTDPATITKIQAKF >KGN46425 pep chromosome:ASM407v2:6:6275824:6282636:-1 gene:Csa_6G091980 transcript:KGN46425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTSFRKFRGFGLHKHEPKDRVDLRPLAQLDELAQASRRMEEMRDCYDSLLSAAAATENSAYEFSVSLQEMGACLLQKTALNEDEDSGKVLIMLGKVQFELQKLVDRYRSHISQTITRPSESLLNQLRTVEEMKRQCDEKREVFEYMRQRHKEKGRSKTFKGESFTLQQLQTAREEYDDEATLFVFRLESLRQGQSRSLLTQAARHHAAQLCFFKKALQSLEAVEPHVKSLTEEQHIDYRFSGLEDDNMDDGNRDSVDDDDDAYYEVDDGELSFDYAQNDHDQAISTLQNSELDQPDLAFHHVEALKENLDRNRRNSFSFGGRTVSQSAPLFPDKKFDAAERVRQMRPSSTRKFHTYVLPTPADTKGSNSRVPGNPLPNTIQTIRQQNLMRHSSPLEPRNYDKLVGDENASGHGATKAQSVLKESNTNASSTQLPPPLSDGLPRHSLAASDAKKIKRLAFSGPLIGKPSTNKPAPVENAQLFSGPLLRNPIPQPLSSSPKVSPVASPTFISSPKINELHELPRPPISSTFKSSRPAGLIGHSAPLVSKSQGQSAATKTVVRSTASPLPMPPPQTITRSFSIPYRRAMETETLFPEPKPLETVRSAEMVLDTSSPPLSPLTLSNNQSQTSTGSENGPVVKGAD >KGN48720 pep chromosome:ASM407v2:6:24713798:24714763:1 gene:Csa_6G499150 transcript:KGN48720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSGVRFVLIFALLTRLCMAQAPAPAPGSPQPLTPPSATPAPSPVVLPPSPTPTPNRAPSPSPTPVSPSPAPDAASPAPAPIAPTPTPISTPTPSGGPVLPPIASPPSPTGMEVPSPAPQGPAADNPAGAGSHIGGAATLGIALAGTLFGVILS >KGN48028 pep chromosome:ASM407v2:6:19895880:19898484:-1 gene:Csa_6G425160 transcript:KGN48028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSQLCFLLPLLLASIFVSNHPVKCDDDDLHRGINSYRASLNLTALVENDNADCLAEEIAEKFKNQPCTNTTGSNTISGTEPQFSDFPNLLAKCNLNVSNTRDGAIMPACVPNRVADLVLANFTKSQYSGKLNDTKYTGIGIGNEHDWVVVVLTTSTAEGSFVPAVGSNNTATLVSKIGLFSQLLFLIFSFVLML >KGN47142 pep chromosome:ASM407v2:6:12381727:12384246:1 gene:Csa_6G190330 transcript:KGN47142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEAKPVKFNLHQHQDHQNGHLAPFKLAKLIDPEASWDKDQLGDVLHWIRQAVAVILGLLWGSIPLVGGIWFLIFLAISTSVVYGYYAMILKVDEEEFGGHGALLQEGLFASITLFLLAWILVYSLAHF >KGN48336 pep chromosome:ASM407v2:6:22095838:22096430:-1 gene:Csa_6G483230 transcript:KGN48336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLGIGIGGGLQIVPLDLSDNTLCDLLVQHVIKHCQSVLQIDATFEGFIDGSIEIGLNGKTYILKLKLKVRIGDAYVVKIVLVKLFFPFVGFPSVVSFEYLEEISI >KGN49088 pep chromosome:ASM407v2:6:26568414:26570264:-1 gene:Csa_6G513520 transcript:KGN49088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKMPLVSNSLSPVLLIMSQIFLTSFLCVKATCRTSCGNIPIHYPFGIDDGCGSLYYRNLLYCTNSDKLELRTTTRTYPVSAISYSDPYILISDPDMWTCQDGQNFRPTRPFVLDPETHLSVSPLNDFLFFNCSEQNVMIAPKPVFCGRFPDRCDASCDSASYLCTHLPGCETALGESSCCSYSPKAMDSLRMMVKYCGSYTSVYWRSVGDGDNKDQIAEYGIRIDFDFLVTTTCLGCQDVLKGGGSCGFDVETLQFLCICGDNNNVTTYCQDQSISSVSHKHRIIAGTVSAVSAAGALGIAATILFMKKLKKANAPVTCGVQTNDNRLF >KGN49324 pep chromosome:ASM407v2:6:27701787:27702044:1 gene:Csa_6G519990 transcript:KGN49324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRFTFITFSLILALLFAFSAPTSLAARLLPTNTMPSPNTLFLTALPKGTIPTSSPSKKVHSMLLHHNLTARHLVQSVPSPGVGH >KGN47181 pep chromosome:ASM407v2:6:12615465:12617471:1 gene:Csa_6G194160 transcript:KGN47181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNEEGKITELYIPRKCSATNRLITSKDHASVQINIGHLDENGIYTGQFSTFALCGFIRAQGDADSALDRLWQKKKAEVRQQ >KGN47979 pep chromosome:ASM407v2:6:19501555:19502282:1 gene:Csa_6G421730 transcript:KGN47979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGRTRMVCNSSTSIKPLKVKVTTIMKQPKKAKPTPTMETTPLTAITFDDKHYRVSMLKSRFASTILKAQQQLSESKRKVCNVEKKPPTPALTPMEERREATREASWRNKKPTATATATATTTPTTNSNEEPTREVVQRALWRKMKLPPTPILTSTEEIRRAARQALEDLERSVDVEDSHKSVKDLERLCGWPIPSVGGFKNRMEYYGLYLKNY >KGN48025 pep chromosome:ASM407v2:6:19875537:19876335:1 gene:Csa_6G425130 transcript:KGN48025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMVYRTLQSCLDSNLVDQRTLIFPPFDLPLKPSFPDSSKTLIHSDSDQCQIQTNSPPNSGASTGGWNFLQSLDADHTPVSKPESVYVHPLAKRFNPTGLSDKSLKLCTENLGNETGSDIIENNIFSPETDDEDLQRKWERKSHSYSNSHSHSHSHEFPPPLTTISETESFRVMPHREEGRLIIAAVRAPARLPCFQAERSEGRLRLCFVKTEESEEMDGGDEVGEETETVVMMKNEGNGENNGRVNLEQFSIASF >KGN48296 pep chromosome:ASM407v2:6:21802328:21803549:-1 gene:Csa_6G454440 transcript:KGN48296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSSLHLLSNGGFIHHSSPSPLFPSLPRSRTLHFPVLSNSRTSFIFPSKLPPRNPAIPPLSPPLPPSPPHTDHHSDFQEKMLFLDSIGIDFLSVIKDHPPVASASLPDIRSAVDFMTSMNFTTLELRRIVGMCPEILTSRASVLIPIFTFLLREARVDGSDIKRVINRRPRLLACSVKDQLRPTLYFLQSIGISELFCYSIKENLEPKLNYFVVEMGRELKELKEFPHYFSFSLENRIKPRHQSCVEKGVCFPLPELLKSSEMKFREKLELSVSIFISILVRLCLWVLTLNLIRGLA >KGN47545 pep chromosome:ASM407v2:6:16033863:16037796:-1 gene:Csa_6G358090 transcript:KGN47545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLSPLPLSTRFPATHLSSPPVFLHHHHNPHIATTHLSFSFFSAPATSSSSLVTCYTSSDNLEFDVFENDPVSLQSRRYDFTPLLDFLSRSSAYPKFDSDSDSEVEFDSTFNSGSDSDTASPTSLDPTEFQLAEAYRAVPAPLWHSLLKSLCSSSSSIGLGYAVVSWLQRHNLCFSYELLYSILIHALGRSEKLYEAFILSQKQTLTPLTYNALIGACARNNDLEKALNLMSRMRQDGFQSDFINYSLIIQSLTRTNKIDIPLLQKLYEEIESDKIELDGLLLNDIILGFAKAGDPNRALYFLSMVQASGLNPKTSTFVAVISALGNHGRTEEAEAIFEEMKEGGLKPRIKAFNALLKGYARKGSLKEAESIISEMEKSGLSPDEHTYGLLVDAYANVGRWESARHLLKQMEARNVQPNTFIFSRILASYRDRGEWQKTFEVLREMKNSNVKPDRHFYNVMIDTFGKFNCLDHAMETYDRMLSEGIEPDVVTWNTLIDCHRKHGYHDRAAELFEEMQERGYLPCPTTYNIMINSLGEQEKWDEVKILLGKMQSQGLLPNVVTYTTLVDIYGHSGRFNDAIDCLEAMKSAGLKPSATMYNALINAFAQRGLSEQAVNAYRVMISDGLRPSLLALNSLINAFGEDRRDIEAFSILQYMKENDVKPDVVTYTTLMKALIRVDKFDKVPAVYEEMILSGCTPDGKARAMLRSALRYMKRTLSL >KGN49473 pep chromosome:ASM407v2:6:28567264:28568054:-1 gene:Csa_6G525580 transcript:KGN49473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQLFFLTFLAITCSIALASDPSPLQDFCVADPNSPVKVNGVVCKDPNAVEAKDFSMSGLNVAGDTNNFVGSAVTPANVVQIPGLNTLGISMVRIDYAPWGINAPHTHPRATEILTVLEGTLLVGFVTSNPENRLITKTLNKGDVFVFPIGLIHFQQNIGYGPAVAIAALSSQNPGVITIANAVFGSKPDISTNILAKAFQTDSAIIANIQSKF >KGN47727 pep chromosome:ASM407v2:6:17454874:17458445:1 gene:Csa_6G385110 transcript:KGN47727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRTLLKEKSRAWSFFSATLLLWSVSLWFEILFDRRFRLLYVVFGALFYQSANSSIRLLLSKDPLLVNTSVSLLHSIITSASVLFVLVNRWLSYGSGSEGMFEHSQLFGGTWPWAFAALCFSCGYFAYDQWDMLRYGLYSGWIPAILVHHLVLLICFTLALYRNVTINYLNLTLICEMHSIFLHVRRLRRMAGVRDAKSIIVKVEWILNWLTFVFARFVPHILITVKLIIDASKFDKGVELPLALSGMAGMNLLNALLGIDLLNAVKKESNYKKTDDTHHE >KGN48768 pep chromosome:ASM407v2:6:25008615:25011696:-1 gene:Csa_6G500600 transcript:KGN48768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIESFKVESPNVEYTANEILSVYNYETTELVHELKNGSYQWTVKPKTVKYQFKTQTHVPKLGVMLVGWGGNNGCTLTGGVIANREGISWATKDKVQQANYFGSLTQASSIRVGSYNGEEIYAPFKSLLPMVNPDDIVFGGWDISDMNLADAMARAKVFDIDLQKQLRPYMESMVPLPGIYDPDFIAANQGSRANNVIKGTKREQVQQIISDIKEFKEKSKVDEVVVLWTANTERYSNVVVGLNDTMESLLASLDKNEAEISPSTLYAIACVLENVPFINGSPQNTFVPGLIDLAIRRNSLIGGDDFKSGQTKMKSVLVDFLVGAGIKPTSIVSYNHLGNNDGMNLSAPQTFRSKEISKSNVVDDMVSSNGILYEPGEHPDHVVVIKYVPYVGDSKRAMDEYTSEIFMGGKSTIVLHNTCEDSLLAAPIILDLVLLAELSTRIQLKAEGEGKFHSFHPVATILSYLTKAPLVPPGTPVVNALSKQRAMLENILRACVGLAPENNMILEYK >KGN49118 pep chromosome:ASM407v2:6:26700526:26702151:1 gene:Csa_6G514300 transcript:KGN49118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCCKAQSSTPVMEGSHPTTPPFKNHPQRRNQEGSSKETNLREVKIRMTKKELQELVGWLNMADSSFEQVMARLVNVINYQNGDNNNDQVVKLRQQRSWRPSLQSIPEMI >KGN49175 pep chromosome:ASM407v2:6:26923865:26929404:1 gene:Csa_6G516830 transcript:KGN49175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEDEALPDHLRCKRTDGKQWRCKRRVMDNLKLCEIHYLQGRHRQCKEKVPDSLKLQRTNRKSIDTDSNVENVVIRASPKAATLAKLMKRKKLGGASVALDGMLNRMKMKKGNMQFELIKMVLRREVEKRRKKKDVEKARKRMKNTGNEIELEENSDKEMTRQLPNGLMAISPSPSPLQSGNEGSSCGTKIGAESRPIQQRRFRSKNVNILPVGDLQVLPYGRNVGKSRKCKRKKCHGCQKSTSWSLTQCSSCQKTFFCIDCIRERYFDTPDEVKRACPVCRGICNCKDCSVYQSLHTECKDFLGDGVGKILRFHYLICVLLPILKQINTEKHAELETEAIVKGIELSEVDIKQDEFGSLEHCCNNCKTIIADLYRSCPSCSYNLCLSCCRNIFLEDSSGVCNMSIPKYLNGKKTCLADKKKLVKNKKLNPGTWLPSSKSLHKGRVHNSVRHFSCPSNECGSCSDNSLLELRCIFPLSWTKELEASAEEIVCSYDFPESVDASSHCTLCFGEDRDVDETEEFQKVAVREDSNDNYLYYPSLLDIRLDDLEHFQRHWVKGHPVIVRDVLENSDLTWDPVVMFCTYLERTISRFENSTSLPEASCNMDWCEVEIGIRQYFMGSLKGRTRTNTFNNMLKLKGWLSSHLFQEQFPAHYAEIIRILPLQEYMNPMSGLLNLAAKLPQEIAKPDMGPCVYLAYGCSEDHVLADSVSRLCYDSYDVINILVHSTDVPVSTEQLTKVINLLQRQRALGESSNTSTNHSSVEEVESCKAGNETPFCKKFAKVPSFSASTDQVFAQGIKRPSMTSDSACDSDPEPLMFECKSSQISETTGPQTKFREQIESCLVVGNKSSKSCGAQWDIFRRQDVPRLSEYLRKHSDEFIHKHVVHPILDQSFFLDEAHKLRLKEEFQIEPWTFEQNIGEAVIIPAGCPYQIRNRKSCVHVVLDFISPESVGESIQLTDEVRLLPENHIAKEKTLEVKKRALNTIDAAIKQVRELTNALQEVSH >KGN48564 pep chromosome:ASM407v2:6:23704474:23707149:-1 gene:Csa_6G492240 transcript:KGN48564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELTCCRWAVDCLNSSASIFFSLSLFILISLPFHSKFSIYRRNPTCSVPTISMEMVSSSDFHTLFSHIFLCLLFFSLHVQSYTSPDKYFVNCGSQTTVFNAGRSFIGDLNTTNTISFRFIPHNSGQVVDHSTESPSLYDSIRIFKDPSFYEFEVDQDTVHIVRLHFSPFNFSTDLSTSVFNVSASGFLLLRNFNSTNIRNNSTSIEEFFLCLNSGENFRIYFSPNSSSIAYVNAIEVFPIPPNFIPDKAKVITLAGEKGESKIFPSLVLHTIYRINVGGPEILPDTDGLWGKWEQEQDNTYLLNPSSAKNSIPHRTKLKFLNEDDHYFAPELVYQTAKELINSSLNSINITWHFPSRKHTRHLLRLHFYDLIGKTSHGFLYFNLSIGNRFSKKTGSSDLVNHPFHLDFLVNCGEDGFISVSVSPHPDTLQSNAFLNGVEIMEAMDEHTKDPVVKETKNKRVGVFVGLAFGIFGLICILGFGIYFGLKWRKPKSEKASQITHTKWYPLPVFGGGSTHSKFTERTSSNSPIPNLNLGLKFSLAEIKTATNNFNKKFLVGEGGFGKVYKGVMRNGMRVAVKRSQPGAGQGISEFEREITILSRIRHRHLVSFIGYCNEGLEMILVYEFLEKGTLREHLYNSNFPPLSWKKRLEICIDIKGTIGYLDPEYFRTRQLTQKSDVYSFGVVLLEVLCARLALNPTLPNEQINLAEWGLKCKKMELLEEIIDPKLKGQIDPNSLRKFSETIEKCLQDDGENRPAMGDVVWDLEYALQLEQNVHHRMPHEDSETNANESSSMFIQRIPSIGSSILREEKEHMSQDLDIPLTASQVFSQMNPGEGR >KGN47515 pep chromosome:ASM407v2:6:15830773:15831826:1 gene:Csa_6G354370 transcript:KGN47515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLRKTLVGLTVIILLSTLASAASQAKPDCDEWCGDLRIPYPFGVKQGCYFNQAFLITCDKAFNPPKAFLKDTNISVTNISLNGELHMLQPIVRYCYEDVQLVSGTPFIPNTTNLSAPATLPIADGKNKFIAIGCNTFGLFTGMLKGGEFLTGCVAICTNNSIIVDGSCSGTGCCELDIPNGLSDLSLAVGPVLPDTNRSLVKNNSCGYAFVVGEEGFKFKSSFIDNFEDKEVEVVVDWSIGNETIIDVCGINSKRNSSFSDDRSQYRCQCPDGYEGNPYLPQGCDQELIMMFVIIKVSERQQENRWNETKDYKN >KGN48690 pep chromosome:ASM407v2:6:24546540:24548406:-1 gene:Csa_6G497890 transcript:KGN48690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDKKLHIVMLPWFAFGHMIPFLELSKLIAQKGHRVSFVSTPKNIDRLPAQLPPHLSPFLSFIKIPMPQLHNFPPDAEATIDLPYDKIPFLKEAFDALKQPLSDFLRTSDADCILYDFFPYWIGQEIGPNLGIKTAFFSIFIPETLAFIGPMSPRDHRKKVEDFTVPPDWIPFPSTVALRHYEMKKIFDEAVAGKSTGISDLDRIKLGAHNSDFIVLKACPEFGQEWIQLVGDLHGKTVFPIGQLPTSEYDCGDDNQAWQSIKEWLDKQPVASVVYVAFGSEAKPSQDELTEIAFGLEKSELPFFWVLRTRAGLSDSNVTELPEGFEERTKGRGIVWNTWAPQLKILGHESVGGFLTHAGWSSSVEAIQSEKAMIFLPFLVDQGIIARILEEKKVGYCIPRNLLDGSFTRDSVEESLKLVMVEDEGKIYREKIKELKAIFVNKERDERLIDQFLSYLKSHRKVDKAL >KGN45828 pep chromosome:ASM407v2:6:1538811:1540808:1 gene:Csa_6G014490 transcript:KGN45828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIETTSQPGRLNRLNSAVAQTWIGKRFKLTERNSNFTTELRAGTATFLTMAYILAVNASILADSGATCSQSDCVPLCSDPSIPLSSCTGSDLRIIQPDGSCMFDPVNPGYVDCLDQVRRDLIVATIASSLIGCVIMGVFANLPLALAPGMGTNAYFAYTVVGFHGSGSISYQSALTAVFMEGLIFLLISAIGFRAKLAKLIPKPVRISSSAGIGLFLAFIGLQNSQGIGLIAFNPSTLVTIGGCPESSRVSVAPVVSYLNGTMSVMTGGTASDGILCLNGRMESPRMWLGIVGFVIIAYCLVKNVKGAMIYGIIFVTAVSWIPRTRVTAFPETASGEAAYKYFKKVVDIHTIKSTAGALSFKDLGKPYFWEAMITFLYVDILDTTGTLYSMARFAGFIDENGNFEGQYFAFMSDATAIVVGSLLGTSPVTAFIESSTGIREGGRTGLTALTVGAYFLLAFFFTPLLASIPAWAVGPPLILVGVLMMKAVVEVEWNDMRQAIPAFITLILMPLTYSIAYGLIGGIGTYVVLHVWDWTLAALQKCGLLKSKLKDCEVSGSNGQLVLHHHPSPKSLHDQV >KGN46750 pep chromosome:ASM407v2:6:9050207:9052463:-1 gene:Csa_6G128600 transcript:KGN46750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLVAFQQNGAGDLAFSGLPLRPNNKYTRMDSELDEHHHHPHQRNPTSTTTKYVLACAVFASLNSVLLGYDVGVMSGAIIFIQEDLKITEVQEEVLVGILSVLSLLGSLAGGKTSDAIGRKWTMALAALVFQIGAAIMTLAPTFQVLLLGRILAGVGIGLGVMIAPVYIAEISPTVARGSLTSFPEIFINLGILLGYVSNFAFSGLPAHTNWRIMLAVGILPSIFIGFALFIIPESPRWLVLKNRIEDARSVLLKTIDNEKEVEERLAEIQLAAGVSSAEKYEEKSAWREFLNPSPALRRMLITGFGIQCFQQITGIDATVYYSPEIFKDAGIHGNSKLLAATVAVGLAKTGFIMVAIILIDKLGRKPLLYLSTIGMTICLFCLGFTLTFLGNGKVGVGLAIFWVCGNVAFFSVGIGPVCWVLTSEIFPLKLRAQAAALGAVGNRVSSGIVAMSFLSVSRAITVGGTFFIFSFISALSVAFVYKFVPETKGKSLEQIESLFQNEIGWRENEVELGDVEQLVDKNEQQ >KGN45774 pep chromosome:ASM407v2:6:1117959:1118864:-1 gene:Csa_6G010010 transcript:KGN45774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDGVCSRIYVKGGGGCSGESGGGGGKGSGSSKCGRWNPTAEQVKVLTELFRSGLRTPSSDQIQKISHHLSFYGKIESKNVFYWFQNHKARERQKRRKLSLPQQQHFILPPSSNLQRMFVFHLLFIPQFI >KGN48192 pep chromosome:ASM407v2:6:20990775:20993543:-1 gene:Csa_6G446520 transcript:KGN48192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLTAPSSSFSYICLTKVSPPLPSTSLNLPKIPRNSSSSSSFSFASPLPLRTSVRFNPSFARNDEFGDFEETKEETSEMRLYSLSPFPLLFIAALPGAGTVRSLFGPFVELVKSWNLPEWLVHWGHPGNMAVVLFAMGGYGTYLGFRIRYSDDVEEKAYAKDLHPKLLGGMFFFFALGATGGVTSLLTSDKPILESPHAVTGFIGLTLLTVQTLLPSLFEDNPGLRNVHGILGSGIMTLFLIHAALGLQLGLSY >KGN46101 pep chromosome:ASM407v2:6:4103921:4107123:1 gene:Csa_6G052760 transcript:KGN46101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATVPAPATAATVQTAVIPPPTVAVATGGGFLSSSLYVGDLDQSVNEGQLLELFGQVAQVVSIRVCRDQTRRQSLGYAYVNFSSHQDAVNAMEHLNFTPVNGKPIRIMISNRDPSIRKSGYANVFIKNLDLSIDNKALRDTFAAFGTVLSCKVAVDSNGQSKGYGFVQFESEESAEISIEKLNGMLLNDKQVYVGHFIRHQERIRANGSQFTNVYVKNLPETTTDDDLKNLFAPHGTITSAIVMTDSNGKSKCFGFVNFQNTDSAAAAVEKLDGTVLGDDKTLYVGRAQRKAEREAELKAKFEQERKSRFEKLQGANLYIKNLDDHIDDEKLKELFSEYGTITSCKLNEMNGKMKGRKPLYVAVAQRKEERKARLQAQFAQIRAAGGISSLPSGIPGFHPGAARVSPQQMYYGQGNPGLAPPQPAGYGFQPQLMSGMRPGMGPNFLMPYQFQRQGQPGPRLGVRRGGNSQPLPQQQLPLRGYGQSFRYMNNARNGLETPVLPQGLVSPMMPLAFDGSGVSSPPNDIQRSRAVPTSTLASALASASLENQRVMLGEQLYPLVERLEPSHAAKVTGMLLEMDQPEVLHLIESPDDLKSKVAEAMEVLRKASSEPELSDQLGALTLTK >KGN45615 pep chromosome:ASM407v2:6:76810:81654:-1 gene:Csa_6G000140 transcript:KGN45615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNEGANPEFIFDQGVYYPTAANYGYYCTGFESPGEWEDHSRIFGIDGPDVQYAGAQNENSSYVYYTPSYGYAQSQYNPYNPYIHGAMIGPDGPYLGAQQFYTIPSYDSSVTSPAYVPVILQPDIVPNSSIDLIDPSINRSNGNGRMQKNESSGSFSRNHSKPALDQRNSLARLSEVPRANVGPSKQSGTIASISAGGHAGSVSSRVFQGRGAYGSIQPVDDISNGKAAIAKFQPKVQVGRVPDSGNASSDALSEQNRGPRISRSKAQLALKAYTTKAGDGNADGNIIIYTDQYNKDDFPIEYADAKFFVIKSYSEDDVHKSIKYNVWSSTPNGNKKLNIAYEDARRIVSAKSRSCPVFLFFSVNASGQFCGVAEMVGPVDFNKDMDFWQQDKWNGSFPVKWHIIKDVPNNNFRHVILENNENKPVTNSRDTQEIPLKKGLEMLKLFKSHTLKTSLLDDFIYYENRQKIMQEEKARLVIRRLERPYFVPALDHTRQLNCVIELPLREDKNLNKANDGPRVLERNVASRAEQQVYSNPGNSGAVVVKENPKSNAEEKVDVASTLKMESLEISPKVVENPLGGTTPAAASDTNSKNHTEVVTVGSMPIKVNGYNTETSGVLTVGTIPLDPKALQLDKEDAFLNNGSQHK >KGN48988 pep chromosome:ASM407v2:6:26115043:26118104:1 gene:Csa_6G509570 transcript:KGN48988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACLSDHNWPASLSNQLTDCVSRRGEQEHGADTPAFFSADSMKFGIERVFPVYAMGSSKPSASLTAVVSDLGDPIWDAVREEAKLEAEKEPILSSFLYASILSHDCLEQALSFVLANRLQNPTLLATQLMDIFCDVMMHDRSIQHSIRLDLQAFKNRDPACLSYSSALLYPKGYHSLQVHRVAHTLWNRGRIVLALALQSRISEVFGVDIHPAAKIGDGILLDHATGVVIGETAVVGNRVSLMHGVTLGGTGKEVGDRHPKVGDGALIGASTTILGNIKIGKGAVVAAGSLVLKDVPPHSMVAGIPAKVIGYVAEQDPSLTMKHDATKDFFEHVAGSTCRDAKATGQCPESKDSRL >KGN47279 pep chromosome:ASM407v2:6:13794607:13795293:1 gene:Csa_6G279700 transcript:KGN47279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEALASTKKKAGLLKARPLKMGDVLNRLRNHKEWSHVLKDPELQRHLWAKHNVVQELWYHLNRESRKLQSMAETGPPDSFVVYPFPARKAWELQLYTPPEKSFFIDWLAVNRVVGVSAIVLHFETSLYLHLRGAQYPEDTLYNHAGEGHQSLYHGGVSAIVLHFETSLYLHLRGAQYPEDTLYNHAGEGHQSLYHGGVSAILCIFRQTIQAPTTSSTFGYWTSFRTT >KGN45648 pep chromosome:ASM407v2:6:305387:309681:1 gene:Csa_6G003400 transcript:KGN45648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNLKLAVDVVGAHDLMPKDGQGSANAFVELHFDRQRVRTTTKEKDLNPVWNESFYFNISDPQNLANLILEAFIFTFNKSSISSKPCFLGKVRLTGTSFVSHSDAAVFHYPLEKRGIFSRIKGELGLKVYVTDDPSLKLSNLLPAAEPSVEKDPLPVPITSEHQSTIRKVPKFVASLFSTDKTESRQTFHHLPNEKQSQQDTPQASVPAVTYGGYGMNSNPMVVNNVQAYPGSPFHYNDYSIRETSPYLGGGMVVGGRLALRDRPTNTYDLVEKMHYLFVRVVKARDLPTKDLTGGLDPYVEVKLGNFKGTTKHYEKNSSPEWNEVFAFSRTDVQSTVLEVTLKDKDHIKDDYVGRLYFDLHEVPTRVPPDSPLAPEWYRLEDKSRSKKKGELMLAVWYGTQADEAFPDAWHSDAISPTDYTSVIPAYIRSKVYHSPRLWYVRVNVVEAHDLVVQEKSRFPDAYVKVQIGNQVLRTKPVKTQSMNAFWNEDLMFVAAEPFDDHLILSVEDHVGPNKDETLGRAVIPLSSVEKRADSRPIRSRWYDLMKSMSDAVEAGEGNKDKDKDKDKFHSRLHLRICLEGGYHVLDESTHYSSDLRPSLKQLWKPPIGILELGILAADKLHPMKNRNGKGTTDTFCVAKYGQKWVRTRTIIDNLSPKFNEQYHWEVFDPSTVLTVGLFDNGHIGESSSNRDTKIGKIRIRISTLETSRIYTHVYPLLVLHPSGVKKMGELHLALRFLCPSVMNLMSMYSRPLLPKMHYIRPLALSQQEPLRHQAVNIVAARFSRAEPSLRKEVVEYMSDVDSHLWSMRRTKANFFRIVAVFSGLLAIGNWFGEVCMWKNPITTGLVHLLFLMLVCFPEMILPTVFLYMCVIGIWNYWYRARNPPHMDTKLSHAEAVNPDELDEEFDSFPTSRSPDIIRMRYDRMRSLAGRIQTVMGDVATQGERIQALLNWRDPRATCIYIIFCFIAALVLYVTPFQMLFLLTGFYVMRHPRLRNRMPPVPMNFFRRLPARTDSML >KGN47517 pep chromosome:ASM407v2:6:15851071:15856395:1 gene:Csa_6G355120 transcript:KGN47517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTVGIWGILWMMGWDSRSRETRLCSANFRPSISSSFSLLFKLPKNHLPRCPHPSVPTTGPDDTFFLKLSLKFPFISPVAASGTLNFLVRFYVLIFRIAGLGGIDKSVFPRVLLLLLFFIRYVYEIFLFFIR >KGN47580 pep chromosome:ASM407v2:6:16283714:16288915:-1 gene:Csa_6G361535 transcript:KGN47580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKRNSALEVKLVEAQKEGSHTVEKLQDVEQKCSKLQQNVKSLEEKLSILEDENHVLRQRALTATPRSNRPNFARALSEKSSGVLVPNADRKTLFESPTPTKLVAPFSQGLSESRRTKLTVERHQENYEVLSRCIKENLGFKGGKPLAACIIYKCLLNWHAFESERTVIFDYIIEGINDALKSGDENTTLPYWLSNASALLCLLQRNLKSNGFLSAASQRSTGSTGLASRISQGLKSPFKYIGFEDGISHLEARYPAILFKQQLTACVEKIFGLIRDNLKKELSPLLSSCIQAPKAARVHAGKSSRSPGVPQPSTSSPWDNIIKFLDSLMSRLRENHVPSFFIRKLITQVFSFINISLFNSLLLRRECCTFSNGEYVKSGLAELEKWIGNATDEYSGTSWHELNYIRQAVGFLVIHQKRKKSLEEIRQDLCPVVAQMREILNKDNQNLTSNSFLLDDDLSIPFSTEDIDMALPAIEPSDIEPPTFLSEFPCVQFLVEPQK >KGN48759 pep chromosome:ASM407v2:6:24952555:24955483:1 gene:Csa_6G500510 transcript:KGN48759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTFRSIFSTSKHHSRQAPSVFQRLHPSISVLSCKCSTSSKYSKERPEVPLLSADGHTSSSWTTYIIPAAVMGFVGLAAFVHYNDERRAVLKGQGNTCENIVKGPVIGGPFSLIDTEKRNVTEKDLRGNWTLLYFGYTSSPDVVPEQLQIMSKAIDILESRHKFKVLPIFVTIDPQRDNPSHLRAYLKEFDSRIIGLTGPVAAVRQMAQEYRVYFKKVEEEGNDYLIDTSHKMYLLSPNLEVLRCFGMEYNAEEVSQAILNVLQKTPQ >KGN47137 pep chromosome:ASM407v2:6:12361253:12364462:1 gene:Csa_6G190280 transcript:KGN47137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLPLRQHSSGHSRKAAKTEPFFKLSTAILTSFGFKSVYHIIYGPVLQVWTFSDINQQGLERFFFFFFFITFGTNYRKCLKVVRAC >KGN47541 pep chromosome:ASM407v2:6:16020164:16024137:1 gene:Csa_6G358050 transcript:KGN47541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATELKAASEEVKVDLFEDDDEFEEFEINEEWEVKEGKEITQQWEDDWDDDDVNDDFSLQLKRELENNAEKK >KGN47814 pep chromosome:ASM407v2:6:18254084:18255332:1 gene:Csa_6G404290 transcript:KGN47814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGSLYAICRALTVIPDGLRAWRMRSQAYPCLYFLLYIYLTLISKAAGKREEEKPKLQTVHLHFSIYFTMYFTQEKEYHRLTDNSTPFFLEYQKECPIDRRSDEFNSDRAVMRVREEGSIIDLTSGDPK >KGN47160 pep chromosome:ASM407v2:6:12492807:12496832:-1 gene:Csa_6G191000 transcript:KGN47160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVELHDRKKPAKWPDLIGRCIVSLLLVLLTQSTQFLVPHFFSDLSFFIQLLLSAVMLLTVASAAGWCRRLIRIRSSAPAFVFFSVLFVWLVYIAVVRQDASLLMNLLFNGQIILLIFGLCRMLLSDPGLVSHSPSPSDAIPQSSVLEIDTHHQDIGLGDRLRCCPICKTYVKGFDHHCPAFGNCIGHKNYVLFMVLLFGFIWTEATYITCSSQYSAVSELLDGDGARLEVNFSRKVARSTMLFSILQLVWQVAFFSWHIYCICFNIRTDEWIHWKRYPEFQIVVQSEQGQRSSEMKFRNPYDKGILQNVKEFMVSKQ >KGN47960 pep chromosome:ASM407v2:6:19379591:19384663:1 gene:Csa_6G421050 transcript:KGN47960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDMNSGVDHLVELIVREEPPSIADDVPISEEIAPLLTQIEKPKINIFTISYPRRTPMEQVNKVHDSDVSSLSQSIIWIWSGSRYSGLLCASLSSIFYFAMEVLMGVFSAQSIPIIEMAFTRCVIITILSYLWLRRSEQPIFGQPHVRKLLVSRALTGLLSMMSFIYSIRRLHISQAIVLSFTTPILASVAARFILNEKFKFSDFGGLACSFLGVLLIFQDLFTSQGLTKAGKGSTTPSLGSHHAYAVLVGFVASIAGAVSYCLIRASAKASDQPVVTVFSFGLLAGPVTGICTVVFEDLVLPSVYSFLVMLVLGLLAFLAEVCWARGLQLEKTSKVNNLRFMEASLVQLWHIGILGVVPFGRIVGTLLIFLSLCWTFYVGPDKEME >KGN49347 pep chromosome:ASM407v2:6:27817665:27819531:1 gene:Csa_6G520450 transcript:KGN49347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVQITKYYCLISYIVVVIAMMEFQQPNSSINPRLIIPSPSLSFFPRLFSSRGALRPLFDAFILPLLHLFNLHSSAAAAALYSILVNFEMSSSYILPYLVEECHFSEGLGRF >KGN46269 pep chromosome:ASM407v2:6:5323283:5323592:-1 gene:Csa_6G078640 transcript:KGN46269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMNDQRDHRVGGKRLISPGTLSFGSGGSPSGFSSLEYIILERSNKRNFSVNKTASAAGRTRATAPST >KGN47021 pep chromosome:ASM407v2:6:11507126:11508499:-1 gene:Csa_6G169860 transcript:KGN47021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPFELETLFKIMDSMSTDQNWRLSYPNPCQPGSSWPGLECKSSFINNTNLFHVSRLDFGTYPYPSCKPTAIFPSFIFDLPHLHSLFFFNCFTQTNTTLSISPFSNSSLQQLSLRSNPALTGPIPSRLSSLKSLKILTLSQNRLFGAIPNEVFELVSLVHLDLSYNMLTGSIPIELGNLRSLEGLDLSYNSLNGPIPTTIGQLGLLQKLDLSSNSLTFNIPDSIVKLNSLVFLALSSNQFRGYFPEGLEKLQNLQYFIMDDNPMQTPLPLAFGELVKLQELRLASCGYSGIIPPSFSLLKNLTTLSLQNNHLSGQIPVGFSGLSHIYHLNLSRNSLSGAVPFNSSFLKRLGKNLDLTGNPSLCFSPSEAITAQKLGVTVCGSKENGSFIQPSNKSLPRSSFCCNPFAFAICLLLHHIFFSLWSHS >KGN47562 pep chromosome:ASM407v2:6:16131141:16139721:-1 gene:Csa_6G361240 transcript:KGN47562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKLGMNGGKPIRCRAAVCRKAGEALMMEDIMVAPPLPHEVRIRIICTSLCHTDIKYWKMKDPPGIVPRIFGHEAVGEVESVGVEVSEVKQGDFVIPTFMAECEECRDCTSSKSNLCSKQPFKLSQGMPQCGTSRFTDLKGEVLHHFMFVSSFSEYTVVDVTHLTKLDPVLISPDKACLLGCGVSTGVGAAWRTANVEKGSTVAIFGLGTVGLAVAQGARICGAARIIGIDVNPHKLTLAKEFGVTEVVNSGNIGDKSVSQVINEMTDGGADYCFECVGLSSLIKEAFACCRKGWGKTILFGVEDQASLLGVKCIDAIFQGKMLIGCIYGGLKPKSDIPTLLQWYIDKKLELDLFITHEVGFEDINKAFSLLSEGQCLRCVIWMTK >KGN49010 pep chromosome:ASM407v2:6:26210326:26213982:-1 gene:Csa_6G510280 transcript:KGN49010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPTNGNNATSKSPNLASKQRLRWTHDLHERFVNAVAQLGGPDRATPKGVLRVMGVQGLTIYHVKSHLQKYRLAKYLPDSSSDGKKTDKKDSSDILSNIDGSSGMQITEALKLQMEVQKRLHEQLEVQRQLQLRIEAQGKYLKKIIEEQQKLSGVLSGAPAASAFTAPASGDNCPEVDKNDPSTPASTSEFPRQEKVSKERAQGKSVSIDDSFSSHHEPLTPDSGCHSSPSESPRPVKKQIQSKMILAHQILESSLNSTHKE >KGN47994 pep chromosome:ASM407v2:6:19611448:19614404:-1 gene:Csa_6G423360 transcript:KGN47994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKLASLLLWTLILGLVSQNIAIPLTSAGNEDQKTYYTPDPHAGSPPTDSHGNPPYGSPPPHGSGGSHGGKPPSHGHGGKKHKPKPGNCGNPPYTPTPSKPPTHDPTPSTPSKPPSGGGGHHNPPTGGGGYNSPPSHDPTPTPSTPTPSTPSTPSGGGYYSPPTYDPTPTPSTPTPSTPSTPSGGGYYSPPTYDPTPTPSTPTPSTPSTPSGGGYYSPPTYDPTPTPSTPTPSTPSTPSGGGYYSPPTYDPTPTPSTPTPSTPSTPSGGGYYSPPTYDPTPTPSTPTPSTPSTPSGGGYYSPPTYDPTPTSPSTPSTPSGGGGYSSPPTYDPTPTPSTPSGGGGYYSPPTYDPTPSTPPSGGGGYNSPPTFDPTPSTPPSGGTPFYGTPPTTSAPPFVPDPNSPFTGTCNYWRTHPGIIWGLLGWWGTMGSAFGITNAPGFGATLSLPQALSNTRTDGLGSLYREGAAAFLNSMVNNRYPFTTNQVRESFVSALSSNKAAAAQAQVFQMANEGRFKPRT >KGN46531 pep chromosome:ASM407v2:6:7074790:7078067:-1 gene:Csa_6G107320 transcript:KGN46531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGFAPPKITGIPLPETPKKEGSYKLDSSSFDDKSIIPKYFALQNYSPRHPQPRTAPFLQNRHESGYLEFNGEHSLLSPFSKFESEISESDPKLIHIRCTDNNKYWVRKSSDSNHIVPTATKKEDNRSKSSCTLFQPIYDAKHKAYCFRHVQLGYELFRDKTNRLLARETGKPDSEREDAYGVFTKVIDWNSLCVFPKRVTLKGFNGRYLRYEGKYLQVTGVNNHPSLIHEIYPQKDGNLKIKNLDSGRFWIYDPDWIVATAGDGNRDDPKLLFRPVSLHDNVVFFHSLGNTAICAIISVDNKENCLNATESDPTEETQFKVSEDYVLQRRKIDKMQYKLENGRIYGERVWSVAKGYAINKTEKPDKIKFTFSFEDKRNKKWTSIFAKQFEATKIFNAEFPSIKDGEVIKGNTIGGPYTWRETDDKDKILMSCNSTITVPPKSKVKVNVVVKRGFCEVPFSYTQIETSLEGRNNTQSYNDGVFTGVNSYQFQITTDKVALPV >KGN48673 pep chromosome:ASM407v2:6:24448980:24449882:1 gene:Csa_6G497240 transcript:KGN48673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPNFSPPHSDPSRPSLGFPLGTALLLLVIFTLSGIFSCCYHWDKLRSLRRSSSQPLRDHRHLHLQSPPSKSNPTPDLEKNQRESLSVLMPGDSIPKFIAMPCPREPLRPETIEVKVEKPVKPLRIAVPFY >KGN47553 pep chromosome:ASM407v2:6:16076108:16081051:1 gene:Csa_6G358660 transcript:KGN47553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCCGSSLQRAHSLGLGAGKSNHIPHPQNNFQSHPSNGSSDPAALSGGVPAFSEFSFADLKAATNNFSSDYIVSESGDKAPNVVFKGRLQNQNNRRWIAVKKFAKFAWPDPKQFVEEASGVGKLRHKRLANLIGYCCEGEERFLIAEYMPNDTLAKHLFHWENQTIEWAMRLRVALYIAEALDYCSSQERPLYHDLNAYRVLFDENGDPRLSCFGLMKNSRDGKSYSTNLAYTPPEYLRNGRVTAESVIFSFGTVLLDLLSGKHIPPGHALDMIRGKNILLLMDSHLEGNFSTGEATVVFDLASRCLQYEPRDRPNTKDLVATLAPLQNKPDVASYVMLGIPKHEEAPPTPQHPLTPMGDACARMDLTAIHQILVMTHYKDDERTNELSFQEWTQQMRDMLEARKRGDLAFRDKDFKTAIDCYSQFIDVGTMVSPTVYARRSLCYLLCDQPDAALRDAMQAQCVYPDWSTSFYMQAVALAKLDMDKDAADMLNEAAALEEKRQKGGRGS >KGN45862 pep chromosome:ASM407v2:6:1796977:1797465:-1 gene:Csa_6G014820 transcript:KGN45862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEEQGHHHHHLFHHHKEGGESSEVVDYKEEKKHHKHLEHLGELGAVAAGAYALHEKHEAKKDSEHSHEHKIKEEVGAAVVAGATGFVLHEHHEKKEAKREEKEGHGKEHHHHLF >KGN47476 pep chromosome:ASM407v2:6:15427318:15427851:-1 gene:Csa_6G338080 transcript:KGN47476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEKKELQLQGPRPSALKITKDSHKIKKPPLPPPQPHRPVIIYTVSPKIIHTDPTEFKDLVQRLTGHHQPPSSSSNHALVHDHHPPPDHISIPTTDDPIDDQAGVDRIIAHGILSPTPGLLPPISSTIFNPPPQAPAAAAAGDLTSLTQFFHDLSPIAANHFSSSLDFFQQNFPDFH >KGN49337 pep chromosome:ASM407v2:6:27763223:27766198:-1 gene:Csa_6G520350 transcript:KGN49337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESHDTKDNEDDDDLFDTFHDFPSENCSLTDQPQLSTSTSTSPSSDSSPLSVISSENAPSPVNLLRRRSSVRRRIAGETPTSDSSISSLTTTIDDSVKSPEIHWDFNDDGNKVEGPESLSVQANSSAGSSSVNEKKTEVSTVTTAEINSEVELGASEVESRDSSSSILVLIAGLLIKAIGVQLNFFVYSICFPLWFLYLSYMFIFHPFQTIKLGREYVRGKLLGVWELVIALVGPLVSERFKERKSLWKVGVRCVWGLLWSSYVCIILFCLLILALIFSAFLMRFLVQEPMKMKEVLNFDYTKHSPEALMPILPNSNDLYGYNCKDNVLSGKTQYRVIPPHHQLQVIVSLTLPESEYNRNLGVFQVRVDFLSVSGDILASSSHPCMLQFKSEPIRLLLTLLKLAPLVTGYISESQTLNIKLKGFTEGNIPTACLRVTIEQRAEFDPGAGIPEIYNASLILESELPLFKRIIWYWRKTIYVWISMTSFMMQLLFTLVCCRPIILPRIRRRDESANARD >KGN46682 pep chromosome:ASM407v2:6:8478990:8482174:1 gene:Csa_6G123970 transcript:KGN46682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVADEKSGPDGKVWSLCSIPFWQNSNAPSSSSSSSSSSSVIHRVHLQSNGHKPAEHSSSHPGVVSAIAKSLCPTKRRLRLDPSNNLYFPFEPGKQVKSAIEIKNTSKNHVAFKFQTTAPKSCYMRPPGGILAPDESIIATVFKFVEPLDNNDKHVDHKRRVKFKIVSLKVKSDLDYVPELFDEQKDRVAVEQILQAVFLDPDHSNPALEKVCRQLAEAEAAAEMRHKPAEETDPKIVGGGLVLDEWKERRERYLAKQQVGGVDLV >KGN48004 pep chromosome:ASM407v2:6:19698415:19699592:-1 gene:Csa_6G423460 transcript:KGN48004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENRRSLSQPLISSTSPAPTTTPTSPHLSNHAIALRLLLVAVVGLTSLWANHEASKGFDITILNNAKGSSAGQRFDLFYVSNDEATRLLLNASNFIQNLIYPSHHLPKKIIKSVHLTLSLRDLPSNVAVEQLDGGVDFAVHLSPSIFNGTNMNHAMSTAVLRGMSRVWLWNGEGHAPPSLLDGMVEHIVATAGFVEKKYPGGAVSTLAACEAMWWKDKDPMEIAMFLDYHERQGEGFIQRLNLALRSRWHDRTVDDVLAMPDQRPCGSFNSSAIL >KGN45953 pep chromosome:ASM407v2:6:2644799:2651487:-1 gene:Csa_6G037500 transcript:KGN45953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVTPSLSLSNEICEKIAVVGFNTNMISYLTKQLHMPSTKAANTITNFSGTASLTPLIGAFIADSYVGRFWTITVASILYQIGMVSLTTSAVVPGLRPPPCKAGEICKEATGGQLGILYVSLLLTALGSGGIRPCVVSFGADQFEEGDPKRGTKTYKYFNWYYFAMGASMLVAVTVLVYVQDNIGWGWGFGIPTIAMLVSIITFVVGYPIYRHLDPSGSPFTRLLQVGVAAYKKRKVCIVSDSNLLYQNRDIDDPISRDGNLLHTKHMRFLDKAAIVTEEEKVKMGEELGKPNLWKLSTVHRVEELKSVIRMGPIWASGIILITAYSQQYTFSLLQASTMNRHLTNSFQIPAGSMTVFTLLTMLITVALYDRVFIPIARRFTGLDRGITFLTRMGIGLVISIFATLIAGFVEQKRKHVAFTHGLIDHPTSIIPISVFWLVPQYSLHGMAEAFMSIGHLEFFYDQSPESMRSTAMALFWTSISAGNYLSTLLVSLVHKYSSGANGENWIRNDNISKGKLENFYWLVTGLQVLNLAYYIVCAKFYTFKPLEVQRKVVDSSKVDELQLVNPV >KGN48489 pep chromosome:ASM407v2:6:23187026:23192096:1 gene:Csa_6G490040 transcript:KGN48489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSLFSTPQPQQPQQLFQSQPQLFQQSSPLFSQQQPQQFQLQQQQQQQQQQQQQQQQQQQQQLMQQQQQQQQQLFIFTNDKAPASYGTKWADLHPDSQKILLQIEERILEYRDESQRLDQCSRLYDSSVSNDGFEFDASRIVQELGGISASTEHQKVMLQELMAAAKEMLWNTEVAIRSFMMIRPRFLHQSAGGASNPTAPSQVPGATTPLGSSGQPTSTSIAPVFDFYSGLPRKPSPFLQQTVSRFEKYLAECRQWIEDLEQLLVLDSNRSASNSSSSLFQSLPKIMSNVHEFFVHVASKVESIHQYIESMKSAYLADQRRRGDGNNPFLEADRRETARQEAAAKRAHPTLHLPTNSQPSTQATGLLANSGNHGASTVQQSSTVATPASSGGGLSLFSTPSAPSTTTSSLFMTPTASVQTSSLFGSSSVAAPSTLFGSSSAPLFSSASTPFGSTAPSFGQSASAGSSLFSTPFASGAATGSGASFGATSKSSKPKSRTARRY >KGN49513 pep chromosome:ASM407v2:6:28798876:28804690:1 gene:Csa_6G526470 transcript:KGN49513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTLSLTQALLFTTASNLLRRNCLRTSVLYASFSSSSAISSDSLHRKKWTQPVSSLLELGGVAITKDDVVRDDPTNNVPDNIFSKLGMQLHRRDNHPLGILKNEIYNYFDTNYSNKFIKFDDLSPIVSVKENFDDVLVPEDHVSRSYNDTYYIDSQTVLRCHTSAHQAELLRRGYTQFLVTGDVYRRDSIDSTHYPVFHQMEAVRVFSLDDLEVSGTDGTSYAADDLKKCLEGLARHLFGAVEMRWVDAYFPFTDPSFELEIFFQEKWLEVLGCGVMEQKILKKCGKENHVSWAFGLGLERLAMVLFGIPDVRLFWSADERFTSQFSKGKLGVKFKPFSKFPPCYKDISFWINESFTENNLCEVVRGIAGDLVEEVQLIDSFTNEKKGTTSHCYRIAYRSMERSLTDEEINDLQTNVREQVQSKLNVVLR >KGN46874 pep chromosome:ASM407v2:6:10296544:10298838:-1 gene:Csa_6G147670 transcript:KGN46874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVESKVFPRRKGFYVRMRLFPHKNCRAQEKSFFIRYYKWLLWVSLSLYFFTSYYISHNHKSHGTSDRMPRTHLSNSKSFPSRALIETSNTTFLRQVQQNQGLLEEVKVFVYDLPPKYNVEWLSNERCSNHLFASEVAIHRALLNSDYRTFDPLEADFFFVPVYVSCNFSTVNGFPAIGHARSLISSAVSHISSHYSFWNRTNGSDHVFVASHDFASCFHTMEHVAIADGVPSFLKNSIILQTFGVKYKHPCQDVEHVVIPPYISPESIENTLERSPVTGRRDIFAFFRGKMEMNPKNVSGRFYSKKVRTMIWRKFNGDRRFYLQRHRFPGYQSEIVRSVFCLCPLGWAPWSPRLVESVALGCVPVIIADGIRLPFPSAVNWPEISITVAEKDIGKLGRILDHVAASNLTTIQKNLWDPRNRRALLFHNQVEDGDATWQVIGALSEKLDRSYRRSRVLKQ >KGN46919 pep chromosome:ASM407v2:6:10594217:10603124:-1 gene:Csa_6G150580 transcript:KGN46919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEVQGEGENKLNEYSSDSEIYSDSSESEEEHVYDSDESFNGKIKELESWTYTRPEDTPDWENTSEENFRRFSEVLDSKKVKQRQRMDDDKSVEDIFDFPNDPERWREEDLQEIWMDAPMQMMKPGWDPIWADEEDWKIVRNEVEDGNDPPIAPFYVPYRKPYPIVTDNNHDIRTPKAVIEELDRIEEFLNWVSYIFPDGSSYEGTVWDDLAHGKGVYVAELGLVRYEGEWLQNNMEGHGVVEVDIPDIEPVPGSKLEKKMRARGKIISRDFMSPEDKKWLEMDIEDSIRLAGGNYEIPFYERDEWIKYFGKKPEKGRYRYAGEWKHSRMHGCGVYEVNERTIWGRFYFGELMKDSTDCDEKTSALHAGLAEVAAAKARMFVNKPDGMVREERGPYSDPQHPYFYEEEDTWMAPGFINQFYEVPDYWKTYAHEVDQEREMWLNSFYKAPLRLPMPAELEYWWEQDHYPEFVLINKEPEPDPEDPSKLVYTEDPLILHTPTGRIINYIEDEEYGVRMFWQPPLKEGEDVDPEKVKFLPLGFDEFYGRKVIDKENSSTHSVSWLKNGLKSRLDSLQKWAEERKKDSEREKELIEKELEMIETEIFMEETIEDMEEELKWIEKEEDKKMMGLLGKDSTSSTNLETKASVEEEGEEENNYDYDDDEDADDAPPSSFGSIAAYQDPSKDQKPNKPRDSSFSTASLHFASSTPVSGVPSRLIQSIFPWTKGKSSLKASPSACASRDHYSESLHSVYFPRMPCSKGSLKAVVPFKWQNKSSILHPSWKKLQLRPRAESHSYHLVSLNSDKFTLCDDQFNQTGGFRHSILSWHTPLDDSESYADTTKR >KGN46476 pep chromosome:ASM407v2:6:6676008:6682535:-1 gene:Csa_6G095920 transcript:KGN46476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFDDLNRKDCCLIGNYILETRIGSGSFAVVWKSRHRHLGTVVAIKEIHRKKFLPQVSDNLLREISILRTINHPNIIHLFEAIQTDDRIYLILEYCAGGDLWDFINRHGKVSQEVSRNLMRQLASGLKVLQEKHVIHRDLKPQNLLLSSKEGTPLLKIGDFGFARSLANQTLADTLCGSPLYMAPEIMNNRKYDAKADLWSVGAIFYQLLTGKLPYSGNHPAQLFQNISESTELKFPKGALEVLHPDAVNLCRSLLRQNPVERLSFTEFFDHKYFQEPRSNQAVETTPVVQSLEAEKVESHLEQPIESSNRDSETTSSTVRNRTSRGKNIGSSVREQLIEPSNIAAEITSSSVHSSISKGKNICSLVREQPIEPILNLGLDELRKSLDCIQHSLNQIEVSDSMDSIEKDYVLVNAHCPSMEETSSYYLEPSLQGSLRVSHAFNIDQDMIAKTQKKDFVASTRDIGESSRSLDQFSMARAASMLREVQGLSILHPSTRLQLFNQYLHVLSDLSQEKCNAGMFLESFSVELVALALWKEAVEISGTWLSSSDKRESSKTSLGIDSTTPQKDADYAANDEGNVDFNRPSSVSKWAQLGFIAAVDRTEKLSQNIQEIDGATVIPDAMEIIFQKAIALGKSGAVDQYMENKDNAAASYSKAILLFSFILGEAESLNSPFSLTSPNKQRIQHYIHYLQTQTNLLLPQQLQKQLEGAPSTSK >KGN47364 pep chromosome:ASM407v2:6:14587777:14588106:1 gene:Csa_6G303790 transcript:KGN47364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISFLHRFSLDPQHCRSAAKWTALLTLTAAVTSFAPEFIFTNATSLHSSFSRSCGRDGYIRIPLDLPGDVLCLPANMVNWSSFDFFVPTVFAALGVGVSACFVRSLGL >KGN48406 pep chromosome:ASM407v2:6:22611683:22612960:1 gene:Csa_6G486790 transcript:KGN48406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPEDNKASEMFLNFSREMEMSAMVSALTHVVAGDTPDRDASYDSTWTSSSVSAPAETSALHGGGGYKRGRTLALENGGSVSAWSPSSVISGNSSNVVIIRPQTGSATVENSVYEYGGEITTMAEEPPARRKYRGVRQRPWGKWAAEIRDPYKAARVWLGTFDTAESAARAYDEAALRFRGSKAKLNFPENVRLRQLPTTESQTTHFTNSSPTNTLLAIPTHSEPIVSYRPTFNLQSSSDASPANFLNFSDGQLPPPIDMYSEIHFSSSSSMASSFYSSSAGLSNPQFSSSSSSSSPVVSLSSPPLSFPGRRNSAGDNEHYSTAGWSEFFNHAASSG >KGN47688 pep chromosome:ASM407v2:6:17013203:17037208:-1 gene:Csa_6G376290 transcript:KGN47688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDGESSNLGRHSKTPHLHFIFIYSISSSFSESWDSAFFFGGSSAPVKIQFEFDEKVLFLFSPVVDVVSYQNWDLIEEDLIHTFYFVSDGFMCSSQPLPEHQSHQEMASFSSNSREGQMFEPDRGLEVTTASLCTNASDPDTSGEDGTLRGFEHADSLLMDKRLDGDSGGSDPCLNLDNESCNEGNKTLSLDMKESEDVDGLVDILGCDATMEMISLTESLVNSVKPEELDNNSCIIDAPAKVERDDTAQNGPILAGTGTRTDDLKSSYVCEIVSNSASADGLPNDFIQKNELENDGAGCSFSEVADRITEASVELEADMLNEMSPLQSGQILPIHVGQSIANYDRYVCRMDGKSLSSTSGETVTVVADMNSNPEGCLQMLPSQGCDRIGECLQSDGLPLTINASENDLCEEKHDSNSSSKYVPDVGGDDSDVLTNNNSDGGQHTVPGIGNDHNLEDATVQVNHDCVELLSSPLPSQLPNSEKDEFYGMLNGADIPIKYISSVNSCSVGDQDNNDIEKVGCVSEVKCPETVITSSKRSGRRRTSSQKTVTKRASRKTKKKVPEPLIFDTARRRRSSISRPARPSPWGSLGHIIQSFEEIDDVLVNQTQKQGNEKSKGNQGGAKRNKKQLSESSHRSRKGTQGKSATSTSTNRIRLKVKLGKNVGHNFLNIVVPEIVDSSLSAKGVNCNYGNESYWEGNLEFPPSNLGVDDQKAEEEGPLRKIFCYSRNQDKEDNCPDASVVNEQCTNNDSSCIVGIDKSSEKHADDNLCVSSHLVDPVATSDARSLDPGTSPDSEVINSVLDIQVGAARQEILQDSVLASLEDFAASGNAPGSKKGRKKDKPSRVVSCSEERGISVSACSNRSKSSKKHGRRHNVDNQLSSEIELPEETLKAEDILNDKECCRADVGSVFSESENSKTFLPSQSAKKKHPKGSKSIKTSKGKSKAPGSKNKIKNASNERVYQRKSFKNSKSKEALCDQVVTETESHQIIGNCLVDKPEKSDNIIASTVAVDLSVVQGAVNEQYMPPRNAWVLCDDCHKWRRIPASLVDSLGHASCTWTCKDNVDKAFANCSIPQEKSNAEINAELEISDESGEENGSKKRLTYRELESFHPATVNAVPQQNKFASISSNQFLHRSRKTQTIDEIMVCHCKPALDGRLGCGDECLNRMLNIECVRGTCPCGELCSNQQFQKRKYAKLQWLRCGKKGYGLQLLEDISKGQFLIEYVGEVLDMHAYEARQKEYALNGHRHFYFMTLNGSEVIDACGKGNLGRFINHSCDPNCRTEKWMVNGEICIGLFALRDIKKGEEVTFDYNYVRVFGAAAKKCYCGSFHCRGYIGGDPLNSEVIIQSDSDEEFPEPVMLRGDGRSLNSNLSTAVSSMDVAKMQSSEHLKGNRDKRDQPIRIASELKISEEKVDPLKLSASKISEEKEDPLKLSATKISEEKEDPLNLSASTISPLHSSLEFEDSKVASPIPVPDITHQTEDVTSQPIFVDQTEISLLDNIPDKNTCSIEQEAKLSVDDIDARKKSKLDSVEDKQVYIKSHPRMKTSRKLGSIKKGKVSSAEKIQITNRSQISSVKPKRLIEGSPGNRFEAVEEKLNELLDAEGGISKRKDAPKGYLKLLLLTAASGASASGEAIQSNRDLSMILDALLKTKSRLVLTDIINKNGLRMLHNIMKQYRSDFKKIPILRKLLKVLEYLVTREILTSEHINGGPPCPGMESLRESLLSLTEHDDKQVHQIARSFRDRWFPRHTRKFGYSEREDGRLEVYRGSNSSRFTASHSFRHDQDCRPTDAIDCIKQSMPTSLPDAHPAEVCSLASAASHSVNGQKVRKRKSRWDQPADTSLDLRSKEQKLESTSVQELNSSQLNSVGAASMLIDKVNNDDKDISLSDSVGVPCRQDEDIRADSAVPNIPEDIPPGFSSPFNPPVASSSAFSAVLDPPRQNIGDLSCAFSTVGHLQERFISRLPVSYGIPFSIIEQCGTSHAENLECWDVAPGVPFHPFPPLPPYPRGMRGLPTSACGTAGQSSQEGQVNSHDSRTSFSEESPPSTSTNYQTDLCTPSNNQQIAKRAKESSCDLGRRYFRQQKWRNTKFGPPWLQRRSQWGCQGNFRGGVSTIGDENIPDEEISPYCSDEASGRVDKANGDFYQHLQNQNLR >KGN49409 pep chromosome:ASM407v2:6:28167637:28170151:1 gene:Csa_6G523990 transcript:KGN49409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCSYLRPDPPARIFSIQDGVFAQTYSRCNYSDDHFDRLPDSLLLLIFNRIGDVKALGRCCAVSRRFHSIVSQVDNVVVRVDCVISDDDFSSASSSSDKSRSGFSNLFRMVLGGIVKPLQALGQFLGPKRVTSSGLGSASSSLSTSSLAVGREEDGESGQSGVTHHSPTQVLKNFNEIRFLRIELPSGELGIDDGILLKWRADFGSTLDNCVILGAASVIHVGSFNKHVQENGTDGFCSGNGPAADDSGSIPESFYTNGGLKLRVVWTISSLIAASARHYLLQPIIAEHKTLDNLVLTDADGQGMLCMNKDQLEELRVKPLSASTASKRTLVPALNMRLWYAPHLELPGGIVLKGATLVAIRPSEQSMTKKEVSDGSWLSNAFEEPYGTAAKILVKRRTYCLEMNSF >KGN47446 pep chromosome:ASM407v2:6:15145136:15146022:-1 gene:Csa_6G325390 transcript:KGN47446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMWRLNFLVFMCCIVLDNSYMLYYICPLHTFFSLVVCGIIGVLHKYNEIKAVIVGKFFVSFLVVVLVWEIPGVFDVLWEPFTFLLGYKDPNRKVENLPPMYEWHFRTALDRYIWILGMIYAYYYSTIEKWIEKLDDAKLKPRIFIKTTIVVTSATAAYLWFEYIFKLDSITYNKYHPYTSWIPITYVNLFLYGI >KGN48694 pep chromosome:ASM407v2:6:24564518:24564830:-1 gene:Csa_6G498420 transcript:KGN48694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHEWVGGFLTHCGWSSSVEAIQNQGINARVLEEEKMSYSIRRNGLDGSFTRDYSMAESLKYVVVEEGKIYRKRIREMKDLFVNKEKDETC >KGN48359 pep chromosome:ASM407v2:6:22230551:22245377:1 gene:Csa_6G483400 transcript:KGN48359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSWSRALLTKRGKLKGTEWDPENSHKINFSDFLALLNSSNVQFVEYSNYGQTMSVILPYYKDETGGSAKKEIIFRRHVIDRMPIDCWNDVWKKLHQQIVNVDVINVDAVPAEIYSSVATAVVWSMRLALSVALYLWIDNLTRPIYAKLIPCDLGVPKATTNPPLKRHALGSLGKSRAKFISAEETTGVSFNDFAGQDYIKGELQEIVRILRNDEDFQDKGIYCPKGVLLHGPPGTGKTLLAKAIAGEAGLPFFAASGTDFVEMFVGVAASRVKDLFANARSFAPSIIFIDEIDAIGSKRGGPDIGGGGAEREQGLLQILTEMDGFKVSTAQVLVIGATNRLDILDPALLRKGRFDKIIRVGLPSKDGRLAILKVHARNKLFSSEENKEALLQEIAELTEDFTGAELQNILNEAGILTARKDMDYIGREELLEALKRQKGTFETGQEDSTEIPEELKLRLAYREAAVAILACYLPDTHRPFIETNIKSIRSQPNMHYAETPGRVFSRKIDYVNSIVRTCAPRVIEEEMFGIDNLCWISSKATLEASKLAELLILQTGMTAFGKAYYRKLGDLVPNLASKLDALREEYLRYAVEKCFSILREYHSAVETITDILLEKGEIQAEEIWDIFEKAPRFPQPSVRPIDEHGALLYAGRWGVYGVTLPGRVTFAPGNAGFATFGAPRPMETQVVNDETWKLIDDIWDKRVQEMRTEVSEEVEEDKEKPQLLMASHFL >KGN49229 pep chromosome:ASM407v2:6:27232441:27234513:-1 gene:Csa_6G517370 transcript:KGN49229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRTFVFRRHGIFGRWQQAECRGRRKEATTTYTNGDALDFGASTEGTGTVKTTTSSAPLDRNPTMNKIKLQTQQLAFQHPVTRNFDTQSHSPVHEALLRSGPRLRNLQQVHAHIIVSGLHRSRSLLTKLISLVCTAGSITYARRLFPTVPNPDSFLFDSLLKVTSKFGFSIDTVLFYRRMLFSGAPQSNYTFTSVIKACADLSALRLGKEIHSHVMVCGYGSDMYVQAALIALYAKASDMKVAKKVFDAMPQRTIIAWNSLISGYDQNGLPQESIGLFHLMMESGFQPDSATIVSLLSSCSQLGALDFGCWLHDYADGNGFDLNVVLGTSLINMYTRCGNVSKAREVFDSMKERNVVTWTAMISGYGMHGYVLSACAHSGLIDDGRRVFSSMKEAYGLVPGVEHNVCMVDMFGRAGLLNDAYQFIKKFIPKEPGPAVWTSMLGACRMHRNFDLGVKVAEHVLSVEPENPGHYVMLSNIYALAGRMDRVEMVRNMMTRRRLKKQVGYSTIEINRKTYLFSMGDKSHPQTNTIYRYLDELMCRCSESGYVPAPESLMHDLEEEERDYALRYHSEKLALAFGLLKTNQGETIRIVKNLRICEDCHSAIKHISIIADREIIVRDKFRFHHFKDGSCSCLDYW >KGN49026 pep chromosome:ASM407v2:6:26280387:26282737:-1 gene:Csa_6G510930 transcript:KGN49026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFTSSVGHPATLSLTTFHSAFKFYVEGKCFTPPLLLFRELLRHRVKPNDSTFSLLIKAFVVSSSTSSFAPSFCSENEKAEANQLQTHFIKWGFDQFLYVSTAFLDLYSKLGFVKAAQRLFDDFPEKDVVSWNALISGYTRCGNSHDAFKLFVEMRRREFDPCQRTLVSLMPSCGTQQLFVQGKSIHGLGVKAGLDLDSQVKNALVSMYGKCADLDGVKLLFGEITEKSVVSWNTMIGAFGQNGLFSEAMLVFKQMLEESVNANSVTMVSILSANANTGCIHCYATKIGLVENVSVVTSLVCSYVKCGYIELAELIYMSKLKKNLVALTAIISHYAEKGDMGSVVRLYSIVQHLDMKLDAVAMVGIIQGFTYPDHIGIGLAFHGYGVKSGLIIDCLVANGFISMYSKFDNIDAVFSLFQEMHKKTLSSWNSVISSCAQAGRSIDAMALFSQMTLSGYGPDSITLASLLSACCQNGNLHFGEILHCYILRNNLDLEGFVGTALVDMYVKCGRMDFAENVFKSMKEPCLASWNSLISGYGLFGFHNHALLCYTEMMEKGIKPNKITFSGILAACTHGGLVEEGRKYFKIMKKKFGIVPESQHCASMVGMLGRAGLFEEAIVFIQNMETNPDSAVWGALLSACCIHQEVKLGESVAKKLFFSNCRNGGFFVLMSNLYAASRRWNDVARIRKMMREMGEDGCSGVSLV >KGN49461 pep chromosome:ASM407v2:6:28521682:28524763:1 gene:Csa_6G525470 transcript:KGN49461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNRAMELGRPGSGKRRLKDLLLLKDNRFCADCRAADPKWASANIGVFICLKCCGVHRSLGSHISKVRKRGCWSQKLCGKIITDMQQSTTCEIIFFFHNFVFISNPCILKLLHWGEVGGNSSANAIYEAFLPEGFSKPGPDATHEQRSKFIRSKYELQEFLKPSLRILSSNSDKSNIQASFSSKIMNSFRSNSSQKSQSQQGMVEYIGLLKVKVIKGTNLAIRDMMSSDPYVVMTLGKQTVQTSVVRSNLNPVWNEELTLSVPQGFGSIKLEVYDYDTFSADDIMGEAEIDLQPLITSAMAFGDAGMFSNMQIGKWLKSHDNALINDSTVNIVDGKVKQEIALKLQNVESGELDLELEWMALEQ >KGN49332 pep chromosome:ASM407v2:6:27745950:27748296:-1 gene:Csa_6G520300 transcript:KGN49332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDESQGSSNTLPPFLTKTYEMVNDPSTDPIVSWTSGNRSFIVWNPLEFSCELLPRFFKHNNFSSFIRQLNTYGFRKVDPEKWEFANEDFEKGRPDLLRNIHRRKPVHSHSLQNVQGQGFPSPLLDLERKRFKEEIERRKQTNEKLLLESQRHEQEHRDFQLQMRLMKERFENMQQQQQILLSHVARVLQKPELTIYFVPEPNSHDRKRRLTTVTYYYNESSAEDDLEEHSHSMSKQQIDYSSTSDLNMEHLDQLDSSLTFWERTIHDVDHTIFLPNMKFNQTKRDIQSPSTPFVQLDRSHQSKSHVIDMNSEPVGSIASDSIASRKEADETTASARNGANDVFWEQFLTENPGSSDLPKVASEGKDSDNGRKNETKSRGFGKLWWTGNKINNLAEQMEHLTPTEKT >KGN46359 pep chromosome:ASM407v2:6:5849731:5850754:-1 gene:Csa_6G087870 transcript:KGN46359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEIEGLSEFCGDVSHACEYKSGEKFTGKKVVVVGCGNSGMEVSLDLCNHNASPSMVVRSSVHVLPREIFGKSTFELAITMMKWLPLWLVDKLLLVLAWLILGNIEKYGLKRPSMGPLELKNEIGKTPVLDIGALEKIKSGDIKVVPGIKRFTRNQVELVNGQKLDVDSVVLATGYRSNVPSWLQEGEFFSKNGFPKAASPHSWKGNAGLYAVGFSRRGLSGASSDAMKIAQDIGNVWKAETKQQKKRTTACHRRCISQF >KGN49426 pep chromosome:ASM407v2:6:28252985:28253296:1 gene:Csa_6G524635 transcript:KGN49426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKYIFTNFNFFAIISLSRISIRQIRPQKIRSITKIPIFLFFVVGLLSLYIITELKVPFLSMFLTGTSKETRISGILQISFLKFVICSIRPIISPINISAVSS >KGN47787 pep chromosome:ASM407v2:6:18070702:18074973:-1 gene:Csa_6G403050 transcript:KGN47787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTSRPSQASRNTAGGSSSSSQSRHSSRILTQTSIDAKLQSHFEQSGSSFDYSTSIHLTNNPTAAPPATTTTAYLQQIQISNLIQPFGCLLALHPTTLKLIAFSQNAPEMLTTVAHTVPDGDNHPLLAIGTDLRGIFTAPTATALLKALAFPDVTLLNPILVHSKSSGKPFYAILHRVTGSLIIDFEPLKPDQVPVTAAGALQSYKLAAKAITRLQALPSGSLVRLCDTMVQEVFELTGYDRVMAYKFHDDDHGEVFSEVTKPGLEPYLGLHYPATDIPQAARFLFMKNKVRMIVDCRAKHVKVIQDQNLDFDLTLCGSTLRAPHCCHLQYMENMDSIASLVMAIVVNEEEEEENFDQSNNNDASLQKHKRKRLWGLVVCHNTTPRFVPFPLRYACEFLAQVFAIHVNKELELENQILEKNILRTQTLLCDMLMRDAPLGIVSRTPNIMDLVKSDGAALLYNNKVWRLGITPTDFHLQDIASWILEYHMDSTGLSTDSLYDAGYPGALALGDVVCGMAAVRISSNDMIFWFRSHTASEIRWGGAKHEPGEKDDGRKMHPRSSFKAFLEVVKTRSLPWKDFEMDAIHSLQLILRNTFKDRDDHMSEIHRKSIQTTLSDLKILDGRQELESVTTTVPILAVDVDGLVNGWNSKIAELTGLSVDKAIGKNLLTLVKDSSVEIVKKMLVLALQGQEEKNVQFEIKTHNVDINSGSISLIVNACASKDLSENVVGVCFVAQDITCQKIVMDKFTKLQGDYKAIVQNPNPLIPPIFGLDEFGWCTEWNLAMTKLSGWSRESVVNKMLLGEVFGTQLNNSSSCCCQLKNQEAFVNLGIVLNNAMSGQDPEKNISFGFYGRNGMFVECLLCVNKILDRDGAVIGVFCFLQLASQELQQALSIQKLCERTASNRLKALGYMKRQIQNPLCGIIFSRKMLQQTQLGVEQKQLLINSVNCQRQISKVLDESHDLDHIIQGVIELEMVEFSLYEVLVVAISQVMMKSKGKGIQIENEVGEEIMCETLYGDNLRVQQIMADFLLISVHYAPTGGQLMLSTTFTNKDHFRNSLHLLHLEFRITYVGGGIPESLLNEMFGNDNMDHEDSSEEGYSLFISRKLVKMMNGDVRYVREAAKSTFIITLQLAAAAHK >KGN48752 pep chromosome:ASM407v2:6:24908340:24908933:-1 gene:Csa_6G500440 transcript:KGN48752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGATQKLSSFNCEIRIIEAKNIGFKSPKNLFVRYYLSTDPNKKIRLNTTQVSSISHFVWNQSFCLECLGSQESLQALQQATVVFELRRAKTRAVFGSSSQLLGRAEIPWSDVFESQNMEIERWVSMVCGNKNGCVYKQPKLKVGMRVRVPEEMEMVDKKIKRIRKWKDECGCCESSKVGDFCGDFELFALTAAMEFL >KGN45782 pep chromosome:ASM407v2:6:1171054:1174310:1 gene:Csa_6G011570 transcript:KGN45782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLFVVNLEGRIYSCKHCRTHLALYEDIVSKSFQSRHGKAYLFNKVVNVSVGVKETRMMMTGMHTVADIFCVGCGSIVGWTYETAFEKNQKYKEGKSVLERFKVSGPGGTSYWVSHTHSHETAHGGGSDADEA >KGN48695 pep chromosome:ASM407v2:6:24566030:24567935:-1 gene:Csa_6G498430 transcript:KGN48695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDKKLHIVIFPWLAFGHMIPFLELSKLIAQKGHKVSFVSTPKNIDRLPTKLPSHLSSFLRFVKLPFPQIHDLPPDAEATSDVPYDKVQFLKKAFDDLKQPLFDFLQSSDVDWILFDFAPYWLSQDIGPTLGIKTAFFSIYSPECLVFLGPMFGDNRIKPEDFTVSPHWVPFPTNVVFRHFEIMRIFDSVAGNITGVTDLDRMKMSAHYSDLVVVRGCPEFGQEWIQLLGDVYGKPIFPVGQLPTSEYETGDENPAWGRIKEWLDKQPKDSVVYVAFGSEAKPSQNELTEIALGLEKSELRFFWVFRTRRGPSDPDPIELPEGFEERTKGRGVVWTTWAPQLKILGHESVGGFLTHSGWSSVVEAIQSEKALVLLTFLADQGINARVLEEKKMGYSVPRNELDGSFTWDAVAESLKLVLVEEEGKIYRETIREIKDLFVNKERDDELIDRLLDHMKEEINKKEQL >KGN47643 pep chromosome:ASM407v2:6:16686411:16688406:1 gene:Csa_6G366410 transcript:KGN47643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLSSLTCSTLSYFFPFSISVPPLPFFGSCSYSILSNGSALIGILPISIYLCLLTRITKEWKICMYYHEFSSISLLSRIRKQMY >KGN46906 pep chromosome:ASM407v2:6:10479204:10483016:-1 gene:Csa_6G149960 transcript:KGN46906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVLRLRKLYFVNPVKSSSMGLQSIEAHKSDNKRNVIVAYVKNLMQKKQDKDDKKKRNKNINRGQSREWKCLDNCCWIIGYICTFWWLMFFLYHYLPLSLPGFPVIDSPGAVLKREGISGRHPVVLVPGIVTGGLELWDGKPCAEGLFRKRLWGGSFTETLKRPLCWLEHLSLDNETGLDPPGIRVRPVEGLVAADYFAQGYFVWAVLIENLAKIGYDGKNLHMAAYDWRIAFQNTEVRDRALSRLKSKIEVMYATNGGNKVVVVPHSMGVLYFLHFMKWVEAPPPMGGGGGLGWCAKHIKAIMNIGSTFLGTPKAVSKILSAEDRHVALLRAMTPGFLKSEILGFQTLEHLLRVSRTWDSTASLLPKGGETIWGDLDWSPEDVQNCDLKKVDAHSFRNENDSDDSERKKCFQEQEPVHYGRIISFSKEAATRPSSELSTQNLEELKNSGGSNDSSFSCSDVWTEYDEMSRESIRKVSENKAYTVETVFDLLRIVAPKMMQRMDSHFSHGIAEDLDDPKYAHHKYWSNPLETKLPDAPDMEIYCLYGVGIPTERSYIYDSSYDKCKSIPFRIDLSIEGKGSGCLRSGMYFVDGDDSVPVVSSGFMCAKGWRNKTRFNPSGSPTHVREYRHKAPASLLEGRGVESSAHVDIMGNVNLIEDILRVAAGEEIGGDKIYSDILTLSERINLKL >KGN47598 pep chromosome:ASM407v2:6:16426714:16427225:1 gene:Csa_6G363540 transcript:KGN47598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSREVADDSVLPIETDQTINVKDKENRKKKNEGGERDRDFLMVATTFIAAMAFQAGTNPPGGVWQERQQPELRTRQIHNGYEISFFIHQFHERRYGLFRMISDAVYSAVG >KGN47593 pep chromosome:ASM407v2:6:16397830:16402954:1 gene:Csa_6G363000 transcript:KGN47593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGAPYNPRTVEEVFRDFKGRRAGLIKALTTDVEEFYQQCDPEKENLCLYGFPSEQWEVNLPAEEVPPELPEPALGINFARDGMQEKDWLSLVAVHSDVWLLSVAFYFGARFGFDKTDRKRLFNMINDLPTIFEVVTGTAKKQVKDKSSVSNHSSNKSKSNSKRSSEPQIKTTKAVQSKDEEEEGGLEEEEEEEHGETLCGACGENYASDEFWICCDICEKWFHGKCVKITPARAEHIKQYKCPSCSNKRIRP >KGN47590 pep chromosome:ASM407v2:6:16376266:16377690:-1 gene:Csa_6G362970 transcript:KGN47590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKWDYYEHKNPSFSSTLLDEIYRSIDDGEKKPTGETKFYREISTVKKHIKTRAFEDQEMANLRRACMIEKWMEKKVGEKADVYRKQERYRKTPHDFDHDRDNLFFTSTSSSSDSSSGGFSSSDTESVFATRSLTPSSCFASSRLKAIRTGACVRPAETERKKTQFQGKNDRKVLDEFAKSKSSGTKKVKQPISPGVRLAGLINSLFTAGNTRKSKNTTTTETKVKTGEESTCSSASSFARSCLSKSSASSKGNNTKRSVRFCPVSVIFDEDCRPYGHKCLYEEDGSNLMPVSIPTAWKIGRSPIGKSAEKELKSQFTEKSRKVEAAARELIKNLNSNTQNNNYDDDDDNASCSSSDLFELEHLEMIGQRRFSEELPVYETTHVEKNRAISKGLLL >KGN46301 pep chromosome:ASM407v2:6:5502614:5505681:1 gene:Csa_6G080930 transcript:KGN46301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVMISHCFLLFLFLSSSISLIVNSQQQQHHHHHHHHVCDPKQSLALLQFKNAFFQPTPSSSCGQYLHGTFYESTPHYRLSKWNESTDCCSWDGVECDDDGQGHVVGLHLGCSLLHGTLHPNSTLFTLSHLKTLNLSFNHFSQSPISPKFGIMLTNLRVLDLSCSSFQGQVPMQISYLSNLVSLNLSSNFDLTFSNVVMNQLVHNLTNLRDLQLSHTDLSSITPTSFINFSLSLQSLDLTLSSLSGNFPNHIFSFPNLNVLNLQLNPELDGHLPMANWSKSLQTLVLSFTNFSGEIPNSISEAKVLSYLGLSFCNFNGEVPDFETHSNPLIMGDQLVPNCVFNNFTQQTRSSSSFTNLCSVHTPLPNLISVNLRGNSFTGSIPSWIFSSPNLKILNLDDNNFSGFMRDFSSNSLEYLNLSNNNLQGEISESIYRQLNLVYLALQSNNMSGVLNLDRLRIPSLRSLQISNNSRLSIFSTNVSSSNLTNIGMASLNNLGKIPYFLRDQKNLENLYLSNNQMVGKIPEWFFELGNLKFLDLSYNGLSGELPSSCLSNMNNLDTLMLKSNRFSGVIPIPPPNIKYYIASENQFDGEIPHSICLAVNLDILNLSNNRMSGGTIPSCLTNISLSVLDLKGNNFIGTIPTLFSTGCQLRSLDLNDNQIEGELPQSLLNCKNLQILDLGNNNITGYFPYWLKGVLDLRVLILRSNQFYGHINNSFNKDSFSNLRIIDLSHNDFSGPLPSNLFNNMRAIQELENMSSHSFLVNRGLDQYYEDSIVISLKGLERSLGINLFIWKTIDLSSNDFNGEIPKEIGTLRSLLGLNLSHNKLRGGIPTSLGSLSNLEWLDLSSNQLFGSIPPQLVSLTFLSCLNLSQNELSGPIPKGTQFGTFENSSYFGNIGLCGNPLPKCDADQNEHKSQLLQKEEEDDSYEKGIWVKAVFIGYGCGMVFGMFIGYVRF >KGN47949 pep chromosome:ASM407v2:6:19316535:19317783:-1 gene:Csa_6G419460 transcript:KGN47949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCCGDGDCRPLGFLLGLPFAFVSLILSIIGVIIWIVGLTLTCICPCCLCLTVIVELALELIKAPFHVMEWFTSQIPC >KGN45729 pep chromosome:ASM407v2:6:816294:821400:-1 gene:Csa_6G008600 transcript:KGN45729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKQILNRLPRKPSKSTEHREGAGTTISSSNASTSLRSNDLAANHHANTGTGSFSGPNSTLSVGLNHGSKPSQGLNSKSNGNSQVSYEALPGFKDVPNSEKQSLFIKKLNMCCVVFDFTDPSKNLKEKDIKRQTLIELVDYVASANGKLSENVIQEIVKMVSSNLFRTPTIPSRDNKALEAFDLEEEEPSMDPAWPHLQVVYEFLLRFVASPETDAKLAKRYIDHSFVLRLLDLFDSEDPRERDYLKTVLHRIYGKFMVHRPFIRKSINNIFYRFVFETEKHNGIAELLEILGSIINGFALPLKEEHKLFLVRALIPLHKPKCIPMYHQQLSYCITQFVEKDCKLADTIIRGLLKYWPITNSSKEVMFLGELEEVLEATQPAEFQRCMVPLFRQIGRCLSSSHFQVAERTLFLWNNNHIENLIKQNRKVILPIIFPALERNSRNHWNQAVQNLTQNVRKIFSDADPELFEECLLKFQEEETQEKDMKSKREAKWKRLEEIAALKAASNEAVLVTPKVALRAPSG >KGN46610 pep chromosome:ASM407v2:6:7766794:7766973:-1 gene:Csa_6G112480 transcript:KGN46610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAAGEVIVAERQKIGASVCCNLKSKGGGCTATCVKGWGFTAGGVVIGKERPPVRKVRM >KGN45749 pep chromosome:ASM407v2:6:931925:937451:-1 gene:Csa_6G008800 transcript:KGN45749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSGDPSMALLAASAGDTVKLFDVSVHSNDLGDPCTLSYTPSPGYQVNSVKWNHTNLVVASAGDDKKISLWRKNGQSMGTIPIAGTDSGDNIEESIFSISFGNKVSRYMCTGGSGHVVRIWDLQRKRCIKWLRGHTNTITGAMYNCKDEHLASISLSGDLILHNLASGARAAELKDPNEQVLRVLDYSRTSRHLLVTAGDDGTVHLWDTTGRNPKISWQKQHSAPTAGIGFSPSNDKSFASVGLDKKLYTYDSGSRRPSSFIAYEAPFSSMAFRDDGLILAAGTSNGRVVFYDVRGKPEPYIVLRAYSSSEAVTSLSWQRLKPVIVNESNCTAEVALLGGAIEDSILMPDPLPSVTTSTGPLSATSGSRNPGRSGSTFEASLTETSSSFSTAEETPLRSHLRSGGSLALARLHAPRSSYNFKDDMEVFSPLVDVQPITPSLDKLWDDHNGSKKDNPFDKKPLSMLFPSSSRRFSSIEDGASDHPIFNWKSSSSKQDDIRSSSGQLGSTPAPTVNSKNEDSSITPPEAWGGEKLSEKFAQLRQPMTLPSRFGMLASSSSSQTSSSMISGLQDPSSSISQSSITSLTNLNFSYPNLRTKDATSQEVSLSIPEHFSTTAASLSLGTRVNIGLSNTDSPRPSTMTLPRRFSTYAERLSTTSSFSDGLPAGSPKTKKLGSETREEVLNNLAKFDTLSVTESGIPPAMNGGLLQPQKPLQSDAQQGNSFTLQLFQRTLEETLDSFQRSIHDDMRNLHLEILRQFHMQEMEMSSVTSTILENQAELIKEVKSLRKENQQLRDLLGS >KGN46252 pep chromosome:ASM407v2:6:5226559:5231040:-1 gene:Csa_6G077980 transcript:KGN46252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVLGRRGIHYFQKLNSANIPSALIEKGQNRVIEASLTLIRERAKLKGELVRALGGAVASASLLGVPLGHNSSFLQGPAFAPPRIREAIWCGSTNPTTEEGKELTDPRVLTDVGDVPVQEIRDCGVDDERLMKVVSESVKLVMEEDPLRPLVLGGDHSISYPVVRAVSEKLGGQVDILHLDAHPDNYDAYEGNYFSHASSFARIMEGGYARRLLQVGIRSISQEGRDQCKRFGVELYEMRNFSRDREYLENLKLGEGVKGVYVSIDVDSLDPAFAPGVSHIEPGGLSFRDVMNIVQNLKGDIVGADVVEFNPQRDTVDGMTAMVAAKLVRELTAKIAK >KGN47576 pep chromosome:ASM407v2:6:16261150:16262380:1 gene:Csa_6G361380 transcript:KGN47576 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper transporter MMHMTFYWSREVTLLINSWRTTSWFSYSLSLLACFIVSIFYQYLENYRIRLKLLQCPKPSPSEIEAPLLRSKVAGKFQAVRFAGALFFGVNSAIGYLLMLAIMSFNGGVFIAIVFGLAIGYLVFRSDDEDVIVSAENPCACA >KGN48926 pep chromosome:ASM407v2:6:25844381:25847355:-1 gene:Csa_6G506030 transcript:KGN48926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKMDTNKPILKASSLRWKILRQALIPRSSSRSEDQSEIDTEHISRRTKNGFNLIPSSIADDIEDEGAGGFAVSHCYDHRRDRRVCYTLPIDGASKLFLKQRMDDVADLDDFKICNKYEIDNTGLVCHWPSEDVLAYFCLLHSDLFRCKRVIELGSGYGLAGLVVAASTEASEVVITDGNPQVVEYIQHNIAMNSKAFGGTRVDSLTLHWNQENVSNISDSFDLIIASDCTFFKEYHRGLARTVMSLLKKAESSEAIFFSPKRGDSLMKFLEEIKANGLHFSVTEKYDAEVWKLHEKFSNGDDSWPGYEKDHCYPLLVRITL >KGN48874 pep chromosome:ASM407v2:6:25534317:25540614:1 gene:Csa_6G504550 transcript:KGN48874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSARYYIGVCSLHLPLFTALINVLLQAIEMNAIAVPSSNCYVFDNSSHIIDFSSWVGQPFEYEGKDSDLVVRFCKDVENRSQMGYVDFGRFDKFNSFVSGSGHANFVQDYYYGDLTSCEQSHDKLGRTALVNVICGSCLNGQCKGGLGCICNITYESNCRVIVDLAIPCANQGPRVFKGFTVGFHPRSWEIVYNGLTQLGFEKPHRAFSFSTEQTSVALYMTAIASLSSSIQKPIIQVYPENGLEVKVSGSGATGSYPTTLSPSMLMIDWRCYVVRDIPYEVNVTIPVADYEPISFFLTKMCEKRQDVQGDSTKGWATFGILSCIFIVVASLFCCGGFVYKARVQGQRGIDALPGMALLSACLETVTGAGPSYPRAEGINSGLVSEASWDHPPSSSNSQRTWTPTDKNYGSI >KGN47868 pep chromosome:ASM407v2:6:18736417:18736707:-1 gene:Csa_6G408270 transcript:KGN47868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRDSHSKLQTQSSVLIEASHSSQTAEQVIGASNQLSVNMKDKLIRREKSVDNQPLRSGHSVSPKKARKDIKTCHKSILHNLKQWRTQTGFLSSLM >KGN47953 pep chromosome:ASM407v2:6:19328682:19332573:-1 gene:Csa_6G419500 transcript:KGN47953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGPTVGEGGVGDGVREKQRYVESKVYTRKAFRAQRKNNNNSNSNSIADVATATSSAVENKEDNDNNRNNETATATATAPTTATTATNDNNDANVNSDVDRDKGNNLVEPLQCTTVTEDKNTAQEQLISRFNVVSEDSSCLNRQQVAAGDAVQSTQDQPSGNGVMEVAVENQNNNNLGSKSKQEMRELRRKLESDLATIRDVLKRIEAKQGELSESGTFHVTTNEGMDKVGGDKQQIHPEVASVRVPREPSRPLNKLSVSVLENSQGVSDYVEKEKRTPKANQFYRNSEFILGKDKLPPAESNKKAKMNIKKPGGGEIAHSFGTGSKFFKSCSSLLEKLIKHKYGWVFDAPVDVQGLGLHDYYTIIKHPMDLGTVKSRLNKNWYKSPKEFAEDVRLTFRNAMTYNPKGQDVYVMADQLLSIFEDRWVIIEADYNREMRFGLDYGAALSTPTSRKARLPPPPPLDMKRILERSESTTYRLDSKNRPLSATPSSRTPAPKKPKAKDPHKRDMTYEEKQKLSSNLQNLPSEKLDAILQIIKKRNSNIFQDDEEIEVDIDSVDAETLWELDRFVTNYKKSLSKNKRKAELALRARADDEHNSTQKAPVVMEVPKKTKADENTVSSSVPVQGQGNGRSRSSSSSSSSSDSGSSSSDSDSESSSASGSDTGS >KGN47999 pep chromosome:ASM407v2:6:19653293:19653745:1 gene:Csa_6G423410 transcript:KGN47999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKPQFQTLKSTTTSRQKTLILNALHKSSLHRRRSRRRVPTSRIADKIHRNHPVFTSEEPDEKEAVERKIRALQSIVPGGESLGVDKLFEQTAEYIMNLQHQVKAMRALSSFFESLEKEKSECGG >KGN47450 pep chromosome:ASM407v2:6:15154090:15154371:1 gene:Csa_6G326910 transcript:KGN47450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFSPLCDRAGFLFLLPTLTPFSLLRLAQRYRPSAKILEFLFHRSPAGLYKFWVFAFSLPQSWIGTEETAMAPTKIPTPFVTLQLLLASRTPP >KGN48904 pep chromosome:ASM407v2:6:25714552:25717731:-1 gene:Csa_6G505820 transcript:KGN48904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRKQGEAPADEGGSGPSGNKPPRIKISKSSEGSDAKNASRKLKDMEICVPIVCGTIAFYLGRKASESQSHKWTVYVRGATNEDLGVVIKRVVFQLHPSFNNPTRVIESPPFELSESGWGEFEIAITLFFHSDVCDKQLDLFHHLKLYPEDESGPQTTKKPVVVESYNEIVFPDPSEIFFSRVQNHPAVVVPRLPAGFNLPNPASIENMAEREKGDTKDHSLSQWFLNFSEADELLKLAAARQQVQAHIVKLRRQLSVMDGLPQFSKPTAGYEFTS >KGN46957 pep chromosome:ASM407v2:6:10831574:10832874:1 gene:Csa_6G152920 transcript:KGN46957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSQLEEMISEVAARQTNKHDSILSLTLDEIQCKSGKNFGGMSMDEFLANIWNVEDIQTQFHSQSQESEPQNHHPFMVTNNSRSNLCNQGSFSIPIPLCGKTVDEIWSEIHKDQQHPHHHKFINVQQNPCQSQQALGEMTLEDFLVKAGVFQEASSASCSMKQQLCSVNNRSMVDLGFGIGEKLGLSLSYQQNNDAARIRNMSGNCFSNYQMLTQSVGEPSDNSSIQKCQGLMTDWVEPSNKKRIIDGPTEVVVQRRQRRMIKNRESAARSRARKQAYTVELEVELNQLKEENIKLKEIVAESERNRKQEIMQRKQCEKRQKPTEKLRTMRRIASMAW >KGN47044 pep chromosome:ASM407v2:6:11725954:11727219:1 gene:Csa_6G181000 transcript:KGN47044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKFGLAGGLPERRVRPIWDAIDSRQFKNALKAVTTLLSKYPNAPYALALKAMVLERMGKAEEALSVCLIAKELLYTNDSILMDDLTLSTLQIVFQRLDHMDLATSCYEYACGKFPNHLDLMTGLFNCYLREYSFVKQQQVLVPHLQPFPNPKVYLYVGLFVDVISLLMLEQPECSFLLY >KGN48878 pep chromosome:ASM407v2:6:25555921:25560998:1 gene:Csa_6G504590 transcript:KGN48878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVWVFVCVILGWFLLKKKKKIVENKDGIPKGNLGWPFFGETLQFISSGYSSRPVTFMDKRKSLYGNVFKTNILGTPIIVSTDSEVNKVILQNHGNIFTPAYPKSITELLGTYSILRMKGNVQRRLHTIIGAFLRSPQLKAQITKDIQNTVQLRLANWNNSQLHLQTEAKQITFEVLVKVLMSVGPGKELETLKREFEEFIKALICIPIKLPGTRLYKSLKAREKLLKIVGKIVEERKSMVGEKGAPRDAVDVLLQDHNENQGLPLDFISSHIIELMIPGEETVPTAMTLAVKFLSDCPRALAQLKEYTWTDYMSLQFTQNVISETLRMANIVNGVWRKAQKDVKIKGYLIPQGWCVLASFISVHMDEKNYANPHEFDPWRWEENLSATNNHNFTPFGGGQRLCPGVELTRLEISIFLHHLVTTYRWEAEKDYIINFPTVKMRRKLPITVTTLSS >KGN46773 pep chromosome:ASM407v2:6:9285407:9287806:-1 gene:Csa_6G133820 transcript:KGN46773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGTVSLVMGSSSAAVATSTHFPALKSLPTSRIGHHNHFPTLSFPFVLPSTSIFTSSFLSSPLSSLSSPFNTAIAAVNSDSADKQESNKYYFLVANAKFMLDEEEHFKELLFERLRNFSERNKEQNFWLVIEPKFLDKFPNITKRLRRPAVALVSTDSTWITFMKLRLDRVLAESYEANSIEEALASTPTNLEFEKPENWVAPYSKYEYGWWEAFLPPATKAEAKV >KGN48390 pep chromosome:ASM407v2:6:22474785:22477590:-1 gene:Csa_6G485160 transcript:KGN48390 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mtn21-like protein MGSNKPYIVVFFIQITFAGMSLMSKAAFAAGMNTYIFLFYRQAAGSIILVPLTLILKGKEKRPLSLKHLCQIFVISLIGITLALDAYGVAINYTSATIGAAAFNCVPVTTFFFAVLLRMEKVNLKKAAGIAKVVGIMICITGASILALYKGPYLKPLFTHQLFHHIHSQPHHSLNSHTKWMIGCFFLLVTSISWGIWFVLQARFLKGYPHPMEFMCMQTLMSMVQSFIVAIVMERDPFEWKLGFNIRLFAVLYCGILVIGVANNAQCWVIREKGPVFQAMTTPLNLIATIIGSQFLFPDGTYLGSIIGAFLLVTSLYCVLWGKNKELVTPSNDGQLSPPQKETKESTCPTEVNSSLV >KGN49479 pep chromosome:ASM407v2:6:28583904:28587910:1 gene:Csa_6G525640 transcript:KGN49479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKPFTVDLNKPLVFQVGHLGEAYNEWVHQPIISKDGPRFFGNDFLEMLTRTVWWVIPLVWLPVISWLVSVSLSRGLTPSDAASCLAGGIFIWTLLEYTLHRFLFHMETRSYWANTLHYVLHGCHHKHPMDGLRLVFPPAATTILSVPLWIVIRLTSTPAVAPALFGGGLLGYVMYDVTHYYLHHGKPSPGLSQNLKRYHLNHHFRDQSEGFGITSPLWDRAFGTYPTTKSTQKNK >KGN47599 pep chromosome:ASM407v2:6:16430459:16432136:1 gene:Csa_6G363550 transcript:KGN47599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLENKLQEAAMSGNLEKIIELLQQSLRLIDTVGPDNPPPHDFANFPDRILQQKPHLTRVLDSKGSCPLHLAAAEGHVEIVRLLLQVDSHTCLFRNADGWNPLQLAAINGHVDVLKELVRERPDAARARTVVDGGGNALHLCVKNNQLEALKVLVVDAVGFINEKDDFGCSILQLAVSNKQTETIKFLVNTNGMELNDLFQSNKEENASTTGEVPGAIVPSPTSHFDRKNSFSKQQKMRQREALMVVASVVATMAFQAAINPPNGLWKDAEKSTIHPHRFVAFVSSITFSFVFSIIELFLLVSDYPSTIPLFLRFLWLAKILSIGGMAVAYLIAIMCLS >KGN45623 pep chromosome:ASM407v2:6:141374:142247:1 gene:Csa_6G000710 transcript:KGN45623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISQIQFNFWIFCSNALCALVLNFSIFLAIGRTGTVTIRVGGVLQDCVLVAFSTVLFH >KGN48461 pep chromosome:ASM407v2:6:23014284:23019002:1 gene:Csa_6G488310 transcript:KGN48461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFQETENGAKMLQNREMPLHKRSKSFLDMVKDTVEEEGLDKSFEASNRMKLDTSYFKESMKTTKKSFPKTNIHSSLKQEILQLEKRLQDQFKVRTTLENALGYRSSSQDNTTDIEVPKPATELIKEIAVLELEVSHLEQYLLSLYRKAFDGQISSTSPSTTDEKLKSPVNSPKAKYTVNCVPDVTLKKEDKAVQSGYDSFGNPIREYSGICEDKLLDSSVRRCQSSLSHYSVCSKRISLPEDSLGQAVRPCLSQPMSMMEFAQNASSNLTSLAEYLGTQILDHVPESANRLSEDMVKCISAIYCKLSDPPSTHHGLSSPVSSSSPISAFSPQDQFDMLSPGFKNNPSFDIRLDNPFHVEGLKEFSGPYSTMVEVPWIYRDSQKLIEIEHLLQDFRSLISKLEEVDPRKLNHEEKLAFWTNVHNSLMMHAYLAYGIPQNNMKKVFVLLKAAYNIGGQTISVDTIQSSILGCRVPRPGQWLSLLIPSKSKLKNGDKRLAYKIHQSEPLLHFALCTGCHSDPAVRVYTPKTVLQELETAKEEYIRATFGIRKDKKVVLPKIVESFAKESRLCTAGMMEMIQKSLPESLRRSVLKCQNGKSRKNIEWISHNFTFRYLISREMVK >KGN46280 pep chromosome:ASM407v2:6:5399091:5401715:-1 gene:Csa_6G079740 transcript:KGN46280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSSSIIVCALVGVGIFLGHIHGCVGADYGKALTKALLYYEAQRSGKLPHNQRVQWRGDSGLQDGKDVGVDLTGGYYDSGDNVKFGLPMAFTLTMLSWSVVEYKTQLWAKHEISNALNAIKWGTDYLVKAHPSPDVLYCEVGDGNSDHACWQRPEDMTTPRTAYRIDDQHPGSDLAAEAAAALAAASLLFDFGRNHQGLYQNSVPVAGQFYSSSGFQDELLWASAWLYRATNDETYLNYLGGSGTTGGTRTMFSWDDKYAGVQILAAKLVLDGKVPSSGLWADFKSQGEQFLCSCLQKGNSNVQRTPAGLLWFQPWNNLQYVTSAAFLATVYSDYLSSKHASIQCPSARVHPSDLISFAKSQVDYILGSNPSGMSYMVGFGSKYPTQPHHRGASIVSIKRDHTPVTCHGGFDLWFNRNGPNPNVLHGAVVGGPDPNDVYWDSRSNFKTAEPATVTPAPLIGVLARLA >KGN47170 pep chromosome:ASM407v2:6:12551626:12552698:1 gene:Csa_6G191580 transcript:KGN47170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >KGN47796 pep chromosome:ASM407v2:6:18128535:18131637:1 gene:Csa_6G403620 transcript:KGN47796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLFSFSARILPTLQTKFFQPYFSSLPISKNFISPPGKPSPMSKPAAGNRLFSIMSTAQPPNGLQGSRVKGAESFLRNVLASMEAVYLRRNPTAKSVLELVRSVHGDTICYDHIAFRTFGIDGHGIDSLASFFLDFGYTQKEELSFPAKKLKAFWFSPPSISNAAYDGDGVNGPLPRVFISQLLVDQMSKQTQDIIRKYTECSCNGNKHAALAGALGSLTWEKPLHSEFEQLARESEYAAWTLVNGYALNHVTISTHRLKSHLKDIKSLNQFIEENGYKLNSEGGVLKVSPDGLLLQSSTLADSISFEFSDGITASVPCSYIEFAERALLPQYKHLPETEVKEYHRRDGFEVGNADKIFESTSKQQQMSNA >KGN47048 pep chromosome:ASM407v2:6:11770949:11771268:1 gene:Csa_6G181530 transcript:KGN47048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGNQINRVFIQKAKKVNETMILSFWKCLAGRGKKKKKKLVDYGVGGSFDKSLGRSFLKKAVVMDSFQATVKAHIP >KGN45914 pep chromosome:ASM407v2:6:2216851:2225510:-1 gene:Csa_6G022260 transcript:KGN45914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSSSPATPSIIPLLSLLFLIFLFRISSVFADDVSLDDDSAPKSGNCNNPFELVKVKSWVNDAEDEILVGLSARFGTLLPSQAEDDLKLPAVYMNPINGCSSSSSKLSGSIALSTRGECDFTIKAEIAQAGGAAALLVINDKEDLYKMVCSEKDTALNISIPVVMLPKSSGDALSKLITDGKSGESLYLCSFKVH >KGN48769 pep chromosome:ASM407v2:6:25014642:25015983:-1 gene:Csa_6G500610 transcript:KGN48769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMDMEASSSFKEGAAEIVFFDLETTVPKRVGQRFRVLEFGAIVVCPMKLVELESFTTLIRPTDLSAVALRSSRPDGITRAAVATAPLFEEVADKIFSILNGRIWAGHNIRRFDCVRIKEAFAEIGRPAPIPVGMVDSLGVLTNKFGKRAGNMKMASLASYFQLGQQKHRSLDDVRMNLEVLKHCATVLFLESTLPSILQEKWKTSSTKTTRSRANGKLNCREESSRKSPPSSPGYQLRSVPYSREGLGIGKGKQHLNVLLKHSRLLLR >KGN45966 pep chromosome:ASM407v2:6:2884953:2887738:-1 gene:Csa_6G040550 transcript:KGN45966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYVERGVVKSQRSFWRLKTITDFFWAIVNVIGVFFTTLFSMEKSEAYRKGSGSGKKWDGGGPGGPGSGPYGGRPRGPPRGLDNVRGIDHSSLPACGSCCG >KGN48527 pep chromosome:ASM407v2:6:23457325:23460349:-1 gene:Csa_6G490910 transcript:KGN48527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGINDGCECNKSFSSSYMLVKPQEAKILDLGRLLFSKNVKKRKFVDSSHPNEFNFWHRFFISLSIIVLKFLQLFATPLALLGFCLEFILNFLSSNDGFFGILLNVLRLKLKLPESSSAEYQSVIGHLDGRIMLDKNIKPGDVNYFGALCMMASKLAYENQARVQHIVNNVWEMEFLGFFNFWNEYEEKGSTQVFMMRDTKVDQHDTIIVSFRGTEPFSADDWCSDFDISWYEIKGIGRIHGGFMKALGLQKSTGWPKKIDRQDQERHPLAYYTLRKRLKKLMKENEGARFVVTGHSLGGALAILFPFILAFHDQQLLLERLEGVYTFGQPRVGDCKLGEFMLKTFSHYNIRYYRFVYGFDMVPRLPLDDKALMFKHFGSCIYFDRNYVPKVLEEEPFKNYFSILGEVVMRIQACFEIVRSFTIGRRRGKEYEERVLLRIVRLFGLLLPGIPAHCPQDYINSTRLGSLNNVISFSKTEYDVKIQ >KGN47714 pep chromosome:ASM407v2:6:17342928:17343164:-1 gene:Csa_6G383020 transcript:KGN47714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSISCKRRRNKFVKDSEKNFREVYPCSFVDENRKGFLSLEQGDATMAKYEKKFTKLAKHVMTFVGDEEDMSSVLKGH >KGN48141 pep chromosome:ASM407v2:6:20691215:20691535:-1 gene:Csa_6G445060 transcript:KGN48141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNWVKWGWGGYEGSEIETFLKSQIGILKWRGVDDRERKGRDEKGNSGQRFVGHQNYSFKEQHQSSSTSNVEGKHREL >KGN49243 pep chromosome:ASM407v2:6:27305555:27307038:-1 gene:Csa_6G518000 transcript:KGN49243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLETLWDDVAAGPQPTRGLGKLRKLSTKPLVVKDLEGDGSKYQRSTSMPASPATPTTPVTPVTPLTAARKDNVWRSVFNPGRNFATKTIGAQVFDKPQPNSPTVYDWLYSGDTKSQHR >KGN48218 pep chromosome:ASM407v2:6:21245836:21248275:1 gene:Csa_6G449250 transcript:KGN48218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLRVIGFLLPFILLPLIFPVAITDPLVPALCIFGDSVVDVGNNNNLLTVVKANFPPYGRDFVTHAPTGRFCNGKLATDITAELLGFSSYPPAYLSQDATGNKLLTGANFASAASGFYDGTAQLYHAVSLTQQLNYYKEYQSKVVNMVGTEKANAIFSGAIHLLSAGSSDFIQNYYVNPLLYRTYSPQQFSDILITSFSNFAQNLYGMGARRIGVTGLPPLGCLPAAITLFGSGSNQCIQRLNQDAIAFNTKLQSATTSLQKRFSDLKLVAFDIYQPLLNMVSKPAENGFFESRRACCGTGTVETSFLCNNISVGTCSNATGYVFWDGFHPTEAANQVLAEGLLTQGFSLI >KGN47177 pep chromosome:ASM407v2:6:12592976:12593519:1 gene:Csa_6G193630 transcript:KGN47177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSVLNGWAEVSKRLTSRAARPLGWADVVDDNGSKRSELWTSGGRGFRRRQRQWKQAVGVVDGRRQRVSVCVGVSNGFFRMEVSVSNEWVRVSKRLTSRAGFFGVGRCRRRQWKQADEEVDGRRHRVSVCGRVSNGVRIFK >KGN46155 pep chromosome:ASM407v2:6:4547631:4549550:-1 gene:Csa_6G058200 transcript:KGN46155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKMGSSMAPILFAAFVALLSLTLPSTTNANYIYASPPPPKKVYYPPPVYHSPPPPKKSYYPPPVYHSPPPPKKVYYPPPVYSPPPPKKVYYPPPVYKSPPPPKKVYYPPPVYKSPPPPKKVYYPPPVYKSPPPPKKVYYPPPVYSPPPPKKVYYPPPVYKSPPPPKKVYYPPPVYSPPPPKKVYYPPPVYSPPPPKKVYYPPPVYPPPPPKKVYYPPPVYSPPPPKKVYYPPPVYSPPPPKKVYYPPPVYKSPPPPKKVYYPPPVYKSPPPPKKVYYPPPVYPPPPPKKVYYPPPVYSPPPPKKVYYPPPVYSPPPPKKVYYPPPVYSPPPPKKVYYPPPVYSPPPPVYHSPPPPVYHSPPPPVYHSPPPPVYYYSSPPPPPHY >KGN48063 pep chromosome:ASM407v2:6:20185889:20194790:1 gene:Csa_6G427470 transcript:KGN48063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNDIDNWVREAHNSAASFFKDHVEQPLISIRDELFDTFRKRHKGVMEVQEVQLTAISLHRMLLAFSEHTKGQKFPDDASDQEMLAIVMTRYEKELMHPIQNLLSGELARALLIQVQKLKLDIETAMLELDQILKANEINFAVLAALPAFFLSLLLLMLLRTWYKQDTRAEGKGRAARLQRRLLVVEVEKAIMQYQSFVDQGRVKDAECRFGLLLYSLGRLYHASEKHAKATGEWLHLRQDILDLGKPSLPTRDKLRITWRMERVYDCLLPALKRS >KGN47183 pep chromosome:ASM407v2:6:12628430:12628836:-1 gene:Csa_6G194670 transcript:KGN47183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVWVFRNGVVRLVENGGGSAAEGGGQQSQLRRKALVFSQTAEVMTSYAALEKKLVPLGWERYYDDPNLLQFHKRSTVHLISLPKDFAKFKSMHMYDIVVKNRNHFEVIDT >KGN46303 pep chromosome:ASM407v2:6:5513068:5516664:1 gene:Csa_6G081440 transcript:KGN46303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLVILHQVISCSFFLFFLLNYSLVNTQRVCDPKQSLALLEFKKAFSLIKSASNSTCNDAYPKTATWNQTNKDCCSWDGVKCNEEDEGHVVVVGLDLSCSWLSGVLHPNNTLFTLSHLQTLNLSHNLLLSKFSPQFGYLKNLRHLDLSSSYLMGDVPLEISYLSNLVSLDLSSNYLSFSNVVMNQLVHNLTNLRDLALSDVFLLDITPTTFTNLSLSLASLSLSSCGLSGNFPPHIMSLPNLQVLQLNNNYELEGQLPISNWSESLELLNLFSTKFSGEIPYSIGTAKSLRSLNLRSCNFTGGIPNSIGNLTKLNNIDLSINNFNGKLPNTWNELQRLSRFVIHKNSFMGQLPNSLFNLTHLSLMTFSSNLFSGPLPTNVASDRLSNLIQLNMKNNSLIGAIPSWLYELPHLNYLDLSDNHFSSFIRDFKSNSLEFLDLSTNNLQAGIPESIYKQVNLTYLALGSNNLSGVLNLDMLLKVQSRLVSLDVSYNKQLMVQSTNVSFVNNNLVHIEMGSCKLGEVPYFLRYQKKLEHLDLSNTQIQGGIPKWFSELSALNHLNLSHNSLSSGIEILLTLPNLGNLFLDSNLFKLPFPILPSSIKQFTASNNRFSGNIHPSICKATNLTFLDLSNNSLSGVIPSCFFNLTFIMLLELKRNNFSGSIPIPPPLILVYTASENHFTGEIPSSICYAKFLAVLSLSNNHLSGTIPPCLANLSSLVVLDMKNNHFSGSVPMPFATGSQLRSLDLNGNQIKGELPPSLLNCKNLQVLDLGNNKITGVFPHWLGGASNLRVLVLRSNQFSGQINDSMNTNSFPNLRIIDVSRNYFNGTLPSNFFKNMRAMKEVEVGNQKPNSHSLESDVLPFYQDSVVVSLKGLDLELETILLIFKAIDFSSNEFNGEIPESIGMLMSLKGLNFSHNKLTGKIPITLGNLSNLEWLDLSSNELLGKIPPQLVALTFLSILNVSQNHLSGPIPQGKQFATFDSSSFVGNLGLCGFPLPNCDKENAHKSQLQHEESDSLGKGFWWKAVSMGYGCGMVIGILAGYIVFRIGKPMWIVRMVEGRRTSKKQR >KGN46221 pep chromosome:ASM407v2:6:5041138:5046178:-1 gene:Csa_6G075210 transcript:KGN46221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRGLLSATAAQRARAGGGSRIPLLLVIFFFFLSPVLFFFGRGFRAADLEIISSGSGHQDVGWRERIALHQFKSLFSKEVIDVIAASTNDMGPYSLDHFRKNNFSASWKINGQEVTVDGISERNRMVVDLGKEKPDSEEVKLMDDSSQSTDSPTKQARRQLREKKREKRAAQLLQQDDDILIKLENAAIERSKSVDTSVLGKYSIWRKENENENTDATVRLMRDQMIMARAYLGIAKMKNKLDLYRELQTRLKESQRALGEASTDADLNRSAPDKIKSMGQILSKAKEQLYDCKLVTGKLRAMLQSADEEVRGLKKQSTFLSQLAAKTIPNGIHCLSLRLTIDYHLLPLEKRKFPRSENLENPNLYHYALFSDNVLAASVVVNSTIMNAKDPSKHVFHLVTDKLNFGAMNMWFLSNPPGKATIHVENVDEFKWLNSSYCPVLRQLESAAMKEYYFKAGHPTTLSSGASNLKYRNPKYLSMLNHLRFYLPQVYPKLEKILFLDDDIVVQKDLTGLWDVDLHGKVNGAVETCGESFHRFDKYLNFSNPHIARKFDPNACGWAYGMNMFDLKEWKKRDITGIYHKWQNLNEERLLWKLGTLPPGLITFYGLTHPLDKSWHVLGLGYNPSIDKSEIDNAAVIHYNGNMKPWLELAMTKYRGYWTKYIKYNHPYLRQCKLNE >KGN45670 pep chromosome:ASM407v2:6:438415:438735:-1 gene:Csa_6G004610 transcript:KGN45670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESYSCSLWLNGELRGWRHHDLPSHCYINELNSEAQAILNLSPRNDKDLGRKALIKKANRSSCEGDAILFILKFHLCIFAVADVDM >KGN46575 pep chromosome:ASM407v2:6:7404084:7404335:1 gene:Csa_6G109700 transcript:KGN46575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKYQSPTQLTPFQFHLPDGVKVSNFLMVSMTLKKQRAAKQFLPCALEDHGAQARAKQAQGHIVEIAHQWWSSLELAAEVDRH >KGN46720 pep chromosome:ASM407v2:6:8778542:8780082:1 gene:Csa_6G127320 transcript:KGN46720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKAHHHSFHGQLPGFRFHPTEEELLEFYLKQMVFGKRRHSFDVITFLNIYQHDPWDLPDLAKNGEREWYFFVPRDRKHGGCGGGRPNRTTGIGYWKATGSDRRILSFSDPKRFIGLRKTLVFYKGRAPRGTKTDWIMNEYRLPHNSSLPVMDIVLCKIYRKATSMKVLEQRAVEEETKNLQINNNTTIILTPALMSEEEQGDDQDSFCTRSIPRLNSSEVVAMKEEISCGEEEEVDSKVADVGSSSLSLSTTSIQIPKGFGMLTDLQVPKMTMDWTQDQFWNQFNSPWLLNFTTPSNILNF >KGN45770 pep chromosome:ASM407v2:6:1070623:1074494:1 gene:Csa_6G009490 transcript:KGN45770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCIIVFITLLIVLSCGVVVESLVRHYSFIVLLKNERKACGRKDIMTVNGKFPGPTLYAREDDTVIVRVTNRANHNLTIHWHGVRQLRSGWADGPAYITQCPIQPGQNYVYNFTLSSQRGTLLWHAHFSWIRATVHGAIVIFPKHGVPYPFPHPYKQKTIILGEWWKSDVEAMVNKSTQLGQPPNVSDAQTINGHPGHVPGCATKRGFTLHVETGKTYLLRIINAALNEDFFFKIASHHFTIVEVDASYTKPFKTNTIFISPGQTTNALVRAHRPIGKYLIAASPFMDAPVAIDNLTATAFLRYKRTPKNSPIVFTHIPPPNSTLLTNQFTDSLRSLNSEEYPAKVPLFIDHNLFFTVGVGVNPCETCVNGVRLVAAVNNVTFLMPQISLLQSHYYNIPGVFTDDFPANPPFVYDYTGKPPTNNQTSNGTKVYRLRFNSTVQLVLQDTAVIAPESHPIHLHGFNVFIVGTGLGNFDPIEDWKGFNLVDPVERNTFGVPNGGWIAIRFRADNPGVWFLHCHLEVHTTWGLRMAFLVENGEGPNESLPPPPSDLPQC >KGN48941 pep chromosome:ASM407v2:6:25927956:25930251:-1 gene:Csa_6G507160 transcript:KGN48941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARISTRLLFRFTHFPLPLPFKSVDRSSSPFSSFPEPVHSPDTTNPPRHNQSHSLLQSCQSVRELFQFHGHLITSGLFNDHFWANRVLLQASEFGDIVYTVLIFRHIKVPNTFCVNRVIKAYSLSTVPLEAVFVYFEWLGNGLRPDSYTFLSLFSACASFGCGASGRKCHGQAFKNGVDSVMVLGNSLIHMYGCCKHIELGRKVFDEMSTQDLVSWNSIVTAYARVGDLYTAHDMFDVMPERNVVSWNLMISEYLRGGNPGCAMKLFRNMVNVGIRGNNTTMVNVLSACSRSARLNEGRSVHGFMYRASMKFCVFINTALVDMYSKCHRVSVARRVFDRLMIRNLVTWNAMILGHSLHGNPKDGLELFEEMVGELREINEETGNGKKFKQDEGKRKVFPDQITFIGVLCACARAGLLKDAENYFDEMINVFLVRPNFGHYWCLANVYVAVGLIEQAVEILRNMPEDNEDFSSESVVWIDLLTTCRFVGDVSLGEQIAKYLIDMEPKNDSYYRLLLNIYAVAGRWEDVSRIKLLMKEKRLGTMSGCRLVDLKEIVHSLKLGNHLQERMKETNTVIH >KGN45728 pep chromosome:ASM407v2:6:809143:811991:-1 gene:Csa_6G008590 transcript:KGN45728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVKIGINGFGRIGRLVARVALQSDDIELVAVNDPFITTDYMTYMFKYDSVHGQWKHHDIKVKDSKTLLFGEKSVTVFGTRNPEEIPWGEVGADYVVESTGVFTDKDKAAAHLKGGAKKVIISAPSKDAPMFVVGVNEKEYKSDLNIVSNASCTTNCLAPLAKVINDRFGIVEGLMTTVHSITATQKTVDGPSMKDWRGGRAASFNIIPSSTGAAKAVGKVLPALNGKLTGMSFRVPTVDVSVVDLTVRLEKKATYEDIKAAIKEESEGKLKGILGYTEDDVVSTDFVGDSRSSIFDAKAGIALNDNFVKIVSWYDNEWGYSTRVIDLIRHINSVQ >KGN47152 pep chromosome:ASM407v2:6:12433443:12437196:-1 gene:Csa_6G190430 transcript:KGN47152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKMEKHVQRQDSNLQFNKNVPGCFWSIFHTIDYHGWHNVKKMLPHRKHSRSKECPKSTLNSHHASEMPEQIDDVNKSPTCTVESCSIDRKTRVAHVNEVITKLSEEESQKFWKLSSSKRRLSRTQSIHHLEPSHYSPGYNGEKGDGQKTTSGIRSNSLDAVDSQDYLNQRKTAVRFTSLAEKSSGVKKTLETNEINRNISNRSFKEDSHIQEIFKANRKLFAELLQGAHNKNTQQTSQNKKSSASLAKSRSFPAPGLARKGYKKLSSLQHKQIEAFPKVQKSVSLQPSKLVGSASPKNFHEDMMPCDSVSTTNHNIKHQATSSLGSNRGQRHGGWNQLVVKRFNFIRQKIRHSFKERKKGNNQKTSKGISARDPSGHELSLYGEEAHESLGTATSEDGSGIRGYSGTSNSTNDYLSDEGQTKTGIHSLSASREIYPQLSVGSGSIGCSVADISENENVNSRVQTETGTASLSASLEKYSQLSVYSFDKNREAKGYHSKSLRLISEEKIPNLEMPQKRFGRNLSSPDIDLFCTLFTDLPHAVSRTEKPKRGLTHSSTDNNIQIDENPTHLLNAHISEPLDSDSQCMIERGDDNMHVDYSGSLNEITIDEGTAWADMLEEKIPHLDISDGKHHQVLGNELIVKDVSDTVNQGSELSLAMQVLKLEACFQDDETSKLSDSEGAIINPQCTNEPEPEPSDDQHNETSTEALPAFETTVKHDIIDDTEKISNYLYLHSELGRIDNANFNYMRHILQLSSFIESGRTIDRPLNSSIFEGEEAHFYKKLECYWEKVDKDSDHQLLLDLVYETLHSIYEKSFTCFLKTFSSRSQIRPLPLGQYLLEDVREKVSWYLSLGPELDQSLDDVVSRDLRKGNEWMNLQSETEIIALELEDLILDELLDEVMSL >KGN48805 pep chromosome:ASM407v2:6:25199931:25201373:1 gene:Csa_6G501940 transcript:KGN48805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGQESKTHVALLVSPGMGHLIPFLELANRLVLHHNLQATLFVVGTGSSSAESTLLQKPSLVNIVSLPHSLSSLDPNAPICDIIISMMTASFPFLRSSIAAVNPRPAALIVDLFGTPALSIAHELGMLGLVFMTTNAWYLSVSYLYPSFEKSMVDAHVYNHDPLVIPGCTPVRFEDTIEVFELNQEEVYVGFGRYARELGTADGILSNTWQDLEPTTLKALSEAGTLGYGKVNEVPIYPIGPLTRNGEPTLESEVLKWLDRQPDESVIYVSFGSGGTLCEEQITELAWGLELSQQRFVWVIRPPEGTESTGAFFTAGRGSSRDYWASKYLPEGFIKRTKEVGLVIPMWGPQAEILSHRSVRGFVTHCGWNSSLESIVNGVAMVTWPLYAEQKMNAALLTEEMGVAVRLRAEGQGVVERKEIEKKVRMIMEGKEGEGIRERVKELKISGGKAVTKGGSSYNSLARVASECDIFRRRRDGGY >KGN47288 pep chromosome:ASM407v2:6:13923015:13933590:-1 gene:Csa_6G288220 transcript:KGN47288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEFLDNIPPMDLMRSEKMTFVQLIIPVESAHRAISYLGELGILQFRDLNVDKSPFQRTFVNQVKRCAEMSRKLRFFKDQISKAGVLASTRPILQEHIELEDLEIRLADHEHELIEMNSNSEKLRQSYNELLEFKMVLQKASVFLVSSNSHSVSEERELNENVFLNDSYVEDGSLLEREMRPGPSNQSGLRFICGIICKSKVLRFERMLFRATRGNMLFNQAPADVQIMDPISTEMVEKTVFVVFFSGEQARNKVLKICEAFGANCYPVPEDITKQRQITREVSSRLTELEATLDAGIRHRNEALASIGFHLIKWMNMVRREKAVYDTLNMLNFDVTKKCLVGEGWCPIFAKTQIQEALQRATFDSSSQVGIIFHVMDTVESPPTHFRTNRLTNAFQEIVDAYGVARYQEANPAVYTVITFPFLFAVMFGDWGHGICLLLGALVLIARESKLNNQKLGSFMEMLFGGRYVLLLMSLFSIYCGLIYNEFFSVPYHIFGASAYKCRDNSCSDAHTVGLVKYRDPYPFGVDPSWRGSRSELPFLNSLKMKMSILLGIAQMNLGIILSYFNARFTGSSIDIRYQFIPQVIFLNSLFGYLSLLIVIKWCTGSQADLYHVMIYMFLSPFEDLGENELFWGQRPLQIILLMLAIVAVPWMLFPKPFILKKMHTERFQGRTYGMLGTSEIDLEVEPDSARQHQEDFNFSEIFVHQMIHSIEFVLGAVSNTASYLRLWALSLAHSELSTVFYEKVLLLAWGYDSFVIRLIGLAVFSFATAFILLMMETLSAFLHALRLHWVEFQNKFYHGDGHKFKPFSFASIDEDED >KGN45797 pep chromosome:ASM407v2:6:1313904:1314821:-1 gene:Csa_6G011730 transcript:KGN45797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPDHSPSSSSSHLLPQPKPLDHSSPSPSSPSPSSSNPSDSSPNNNNNNNGARKRKGKGGPDNNKFRYRGVRQRSWGKWVAEIREPRKRTRKWLGTFSTAEDAARAYDRAAIILYGSRAQLNLQSTSPNNNYSSSNSSSSSSSSSRSSSSTSLRPLLPRPALSSTFSFSSMASSLPLMPSPQSFTAIYPPPPSLIYPNLLHNQYPAQVTQHPTHEIITSSTTTVTNSTPPISTIATPSSPTTSYSQNPNNPTLLLSSPLPPLPSDLILGPIGPSSPAVGWPSLDVNDDDYLPSLWDYHDPFFFDF >KGN49448 pep chromosome:ASM407v2:6:28365352:28369738:1 gene:Csa_6G525350 transcript:KGN49448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFFYFIFGAMGSIVVAIELSKNNRDRINATTAFNSFKNNYLLVYSLMMAGDWLQGPYVYYLYSQYGYGKGEIGQLFIAGFGSSMLFGTIVGSLADKQGRRRACVIYCITYILSCFTKHSSEYKILMLGRILGGIATSLLFSAFESWLVAEHNKRGFEQQWLSITFSKAIFLGNGLVAILSGLFGNVLVHSLGLGPVAPFDAAACFLVLGMAIILSSWTENYGDPSENKDLLTQFRGAAVAIASDERIALLGAIQSLFEGSMYTFVFLWTPALSPNNEEIPHGFIFATFMLASMLGSSLASRLLARASLRVENYMQIVFVVSAASLVLPIVTSFLVPPSQVKGGSISFSGCIQLIGFCVFEACVGIFWPSIMKMRSQYIPEEARSTIMNFFRIPLNIFVCVVLYNVDAFPITVMFGMCSIFLFVASILQRRLMVIADKLKTETRPTFREKDAEMEPLNA >KGN47084 pep chromosome:ASM407v2:6:12024850:12030715:-1 gene:Csa_6G185330 transcript:KGN47084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPTTRSLSFPRVIVEKDTDSEHSSSEEEEEEDDEEPLDDEDEDENGKSFENEGQRIEEESSKKGKAPITLSLKKVCKVCKRTGHEAGFKGATYIDCPMKPCFLCKMPGHTTMTCPHRVATEFGVIPASHKNTRNALLYVFERQFKPHIPPIKPAYVIPDQVNCAVIRYHSRRVTCLEFHPTNNRLLLSGDKKGQVGVWDYDKVHEKVVYGNIHSCIVNNMRFSPTNDGMIYAASSDGTISCTDMETGFSSPLMNLNPDGWQGPNSWRMLYGMDINPEKHTVFVADNFGFLYLVDTRSNNRSGQAVLIHKKGSKVVGLHCNPLQPDLLLSCGNDHFARIWDIRRLVVGSSLYDLAHRRVVNSAYFSPISGCKILTTSQDNRIRVWDSIFGNLDSPSREIVHSHDFNRHLTPFRAEWDPKDPSESLAVVGRYISENYNGIALHPIDFIDVSSGQLVAEVMDPNITTISPVNKLHPRDDVLASGSSRSLFIWRPKDDHELVEQKDERKIVICTGTEKKRKHKSKNDESEDDSDVDKPTPTAKGKNLKSRKPITEIRKTSQKMKR >KGN48976 pep chromosome:ASM407v2:6:26070194:26071200:-1 gene:Csa_6G507490 transcript:KGN48976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIKSIFTGAHQPVRSVSLPTRVELKPEPLLQSLKSFQVSSCNAKTTPFGLEEIQAALVGLAELYNSVGELVQSSSTQQALVHYKEGKLVEEALNESVVLIDSCSSARDIILTMKQNIQTLQSALRRKCANSIVENHVRAYFSFRRKAKKDIGNYISVLKRMENDRTTNFFLLWDIQNHDLLPLIKLLREARSVSISIFGELLAFLSAPVVKGNARGWSLVSQLMPVIKSGSGKGQKTVNELENVDIALNSLLGQGRGTCGNDNKAEVQIAQRRIGTLASSFEGIESGLDCMFRCLVKHRVCFLNMLVH >KGN47611 pep chromosome:ASM407v2:6:16496986:16499813:-1 gene:Csa_6G365120 transcript:KGN47611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRASINRPPTPEPEDDREHQPTFQESINIKLIESGEKERLKELLRERLIECGWKDEMKALCRAYVRKKGRNNVSVDDLVQVITPKGRASVPDSVKAELLQRIRSFLGSTAI >KGN46648 pep chromosome:ASM407v2:6:8120901:8124889:1 gene:Csa_6G118280 transcript:KGN46648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSVLVAVAAAVGNFLQGWDNATIAGAVLYIKKEFNLESSPTVEGLIVATSLIGATVITTCSGAISDWLGRRLLLILSSVLYFIGGIIMLWSPNVYILLLGRLLDGFGIGLAVTLVPVYISETAPPEIRGSLNTLPQFTGSAGMFFSYCMVFGMSLMESPSWRLMLGVLFIPSLIYLALTIFFLPESPRWLVSKGRMLEAKRVLQRLRGREDVSGELALLVEGLGVGGETSLEEYIIGPADDLPDQDLLTDKDGIKLYGPEQGVSWVARPVTGQSSIGLVSRHGSIINQSGLVDPLVTLFGSVHEKLPDTGSMRSTLFPHFGSMFSVGGNQHRNEEWDEESLAREGEDYQSDGAGNDSDDNLRSPLISRQTTSMEKDMVAPAHGSLSSMRQGSLAGEPVGSMGIGGGWQLAWKWSEREGPDGNKEGGFKRVYLHQEGISGPQQGSIVSLPGGDALTDGGYIQAAALVSQPALYSKELMSQHPVGPAMVHPESVTKGPSWVDLFEPGVKHALLVGVGIQILQQFSGINGVLYYTPQILEKAGVGILLSNLGIGSSSASLLISGLTTLLMLPSIAVAMRLMDISGRRTLLLWTIPALIASLVILVIGSLVQMGSILNASISTVSVVVYFCFFVMGFGPIPNILCAEIFPTRVRGLCIAICALTFWIGDIIVTYTLPVLLNSIGLGGVFGMYAVVCIISWVFVFLKVPETKGMPLEVITEFFSVGAKQLLSAKNG >KGN48909 pep chromosome:ASM407v2:6:25742923:25746516:-1 gene:Csa_6G505870 transcript:KGN48909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSQSEREEKVSLELTEEILQSMEVGMTFRDYNGRISSMDFHKTSSYLVTASDDESIRLYDVASATCLKTINSKKYGVDLVCFTSHPTTVIYSSKNGWDESLRLLSLNDNKYLRYFRGHHDRVVSLSLCARKECFISGSLDRTVLLWDQRAEKCQGLLRVQGKPATAYDEQGVVFAIAFGGYIRMFDARKYEKGPFDIFSVGEDLSDANIVKFSNDGRLMLLTTSDGHIHVLDSFRGTLLSTYNVKPISRNLTLEASFSPEGMFVISGSGDGSVYAWSVRSGKEVASWMSTEMEPPVIKWAPGSLMFATGSSELSFWIPDLSKLGAYVDRK >KGN47462 pep chromosome:ASM407v2:6:15249186:15253602:-1 gene:Csa_6G330990 transcript:KGN47462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPAAAAAADGGGGCSGPAAVNFTNKQYLNILQSLGQPVYIFDLNYHIIYWNRAAEIVYGYSAAEALGQDAIELLVDPEDFAITNHVILRVMAGENWTGHLPVKNKMGQKFVVVATNTPFYDDGALIGIICISSDSRPFQDLKIPLSIGSKQQDADSSMVRSRVPVSVKLGLDPQQPLQVAIASKLSNLASKVSNKVKSKIRTGENSLDREGGSGDGYHSDHGHPDAVLCDNRDDANSSGASTPRGDSTAHGAFSQVEEKLSGRLVRDSSDEGKGKPTIQKILSSKAEEWIAKKGLSWPWKGTEQEGGSETRAARFVWPWVQIDQEAEPANHKSSSISGKLEMQQNDGHRAVNNEASGSWSSININSTSSVSSCGSTSSSAVNKVESDMDCLDHEILWEDLTIGEQIGQGSCGTVYHALWYGSDVAVKVFSKQEYSDDVILSFKQEVSLMKKLRHPNILLFMGVVTSPQRLCIVTEFLPRGSLFRLLQRNTGKLDWRRRVHMALDIARGMNYLHHCNPPIIHRDLKSSNLLIDKNWTVKVGDFGLSRLKHETYLTTKTGKGTPQWMAPEVLRNEPSDEKSDIYSFGVILWELATEKIPWENLNSMQVIGAVGFMNQRLEIPKDVDPQWISIIESCWHSEPSNRPSFQVLIEKLRDLQRKYTIQLQAARSGGDNSNSNNNNIPQKET >KGN49173 pep chromosome:ASM407v2:6:26915480:26916067:1 gene:Csa_6G516810 transcript:KGN49173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPLVLIAMYNVGDLVGRYVPLINCLKLESRKGLLIAILRRFLLIPAFYFTAKYGDQGWMILLTSFLGLSNGHLAICVFSAAPKGYKAPEQNALGNLLVIFLVGGIFTGVSLDWLWIIGNGSF >KGN48514 pep chromosome:ASM407v2:6:23358997:23359598:-1 gene:Csa_6G490780 transcript:KGN48514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATRVLTYFFLFIILSHHLVVIEGRRLKVKKNGLRCGTCMTPTTPKTITKSEEEAQKSTNNKLHHRIADGYVDAFRPTTPGHSPGVGHSIQNR >KGN48353 pep chromosome:ASM407v2:6:22196270:22197019:-1 gene:Csa_6G483350 transcript:KGN48353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSSRRKPSSVWEPRSRRRSWCCSFAVPPSSPDNFLVSRSRTPRTKSDNVAKPSVSVPNSPQSSKSGLGLVGRIDPRRILSPGRVSPIDSDQNVETLAQEMGTENSAVVDSIVQPRVESFRAPKEKYRDPPASLSRSGSALGCDKKDMLFDVRLNLRAKNGGCLVLELNSEALCANSEVFSELIVKHKKDLGSNGSPSRSKLCRIEVPEVENLGVFRETIELMFEDDISKRLSKIGVYRVIDILEVSA >KGN46674 pep chromosome:ASM407v2:6:8370222:8370582:-1 gene:Csa_6G120930 transcript:KGN46674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKGGANFQDLLPVMAEKLGGEGLIKELCNGFEVLMDKEKGVITLESLRRNSWLLGVRDMAEEELLSMMREGDLDGDGALNQMEFCVLMFRLSPDLMQHSLYWLQLALENEF >KGN45994 pep chromosome:ASM407v2:6:3174672:3182805:-1 gene:Csa_6G041790 transcript:KGN45994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVSAGIGYTLIALGPSLSLFISVIAHKPFLILTLLSSTLLWLISLILLSALWRGFLPLNSTVSWPYAILILTSVIFQEVLRVLFWKVYRRLEDMLDAFADRVSKPRLYLADKMQIALAGGLGHGISHAVFFCLSLLTPSFGPATYFVDRCSQLPFFLVSAIIALAFVTIHTFSMVIAFNGYSEGKKVDQYFVPVIHLAAGMVTLVNFASGGCVISIPLLYIMAILTLIHCGKMVWRRLMENRS >KGN46278 pep chromosome:ASM407v2:6:5392416:5392708:1 gene:Csa_6G079230 transcript:KGN46278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARQKAVATLLNLIRTLKNDRRKPGFSQPLPSLRRAFSLYDQINLIDNVPEDQLRFQRVPCE >KGN48255 pep chromosome:ASM407v2:6:21528085:21534082:-1 gene:Csa_6G452070 transcript:KGN48255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSSQSPSEPPPASSPHLPPTSTAPSATNPVTVPPQSSPNANPNINPSSFQNQNPNSKASTPLPNQPPQQTQSLPTSQQITRPSTALSATWQPPSHFSHFSSPSPSASSSVPSPRPVSASSPAQRSGVAIGVPAHQPTPSPQPAPFSASYGQHFGGLGRGGVSISDGASNSNPSQVRPPMQGMQGLGMLGSSGSSSQMLHRPVQSSLRPPSTPNSASQNFQGHGLLRVPSTSSPSSSLPNTSQGMQPTNQPWLPSSSQGKPPLPTPSYRPQANSPAMQQRSHIPQQQNHPLTPVSQQQQISSAPQQQPAQSHQPQEHFAQQFQQSRSSQGLPHQQQAARAQGPANPKASPLAPPQTNNAQALTPSRAITAEMEEPCSRILSKRSIGKLVNQIDPSERLDPEVEDILVDLAEEFVESITTFGCSLAKHRKSTTLEAKDILLHLEKNWNLTLPGFGSDEIKIFRKPLTNDTHRERVAAVKKSIVASEMASTRSSAGQAAGNTKSSLTKTPAV >KGN46066 pep chromosome:ASM407v2:6:3836758:3842747:-1 gene:Csa_6G046440 transcript:KGN46066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRPPRPSSQQVPNSSLVNSGNGFQNQAPFCNPNPQFNNLHGNPVPTMPPPMFQPGLMMNLQNPLMGLPNNSLGASPFAPGHMGFANSAANFPAQGQFNLMPNVNQMNMNSCLPLAQFFGQNMPNLVQQLGQNMGLNNGQFCLPFQNMNQHVIPGQMMNMPQVPSYTSYGGPNQQAVPMPFQNPGFSTAQSFGVNQGMQPVNQNPQNFIPQAMGGAGSNQFPASAQPLQGNSTMPINSSTQPQQARNLQSPAFAGTQGNSSISDGGNGSNSISNNSAHRNFMRNSKKGFQKNQTHHLKNEKKKFGFPGGQKEKGFHNERRNKFCGTNPTDQVKEQKRSLSLVYTDQEIRQWREARRKNYPSSTNIQKKLTGKQTNCTLVDKEAKLLRQELKEILAKQAELGVEVAEIPPEYLSYSEKHDNRKQRGGRSTLGEEAEEASIEKENSQNRLNKRGRCKKKNRPRKKGKFEKHLSNKPPLKKREPTLLQKLLKADVRKDKSQLLQALRFTVMNSFFKEWPNKPLKFPSVTVKENEGETNVVDETSLSTGNFNLQETNNNSLVENDGSHDIDSDNENDIKDSNKDEKLKGDGIQVLEEEGEIID >KGN47609 pep chromosome:ASM407v2:6:16490664:16490906:1 gene:Csa_6G364115 transcript:KGN47609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIEKYYGPSSKSQVSVKKGKSTSGSSSKSWRFVADPEFQRKKRVASYKMYSVEGKMKGSFRKSFRWLKDKYTQMLYGWW >KGN47958 pep chromosome:ASM407v2:6:19366609:19367256:1 gene:Csa_6G421030 transcript:KGN47958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSEECRDEEHNVNSKTNKLQYGFICKYVTILFVLAVIMASCALWLAYRPKNPEFSVVEAVIYNLTVSPPSQLLTTMACTIVTRNPNKLSSIYYDQLTGVVLYKDQQITPQVMLSPVINEKKTTVEIPLKISGAAVSAVSVTEELANEIVRSTESGLFWLRVVLMGRVKWKLGGVRIGYYALSVKCDVLVTNTKDDSVGSLPSPRSTTCNVDFA >KGN48576 pep chromosome:ASM407v2:6:23777116:23777679:-1 gene:Csa_6G493840 transcript:KGN48576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSVSVTLVLLSLILSSFFLQYATADSSTTYAPKGVCDSKCGVRCLNAGVKDRCLKYCGLCCQQCKCVPSGTYGNKSECPCYRDKLNSKGKSKCP >KGN49468 pep chromosome:ASM407v2:6:28558887:28559942:-1 gene:Csa_6G525530 transcript:KGN49468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFNVFGLRTLKEEVDSMNNEDDERDGDVEDEGGIAQIRRREVTYGSHLVQGKMNHGMEDYIVTEDRLVDDHKLGLFAIFDGHSGRDVAEYLQSHLFDNILSQSDFWEDPDGAIRRAYKETDEEILAKRVRTRGGSTAVTAILIDGQTLIVAHVGDSRAVMCRNGSAKPITVDHDPEKEKELVESRGGFVVRMPGNVPRVDGQLAMSRAFGDAKLKEHITSEPDIRIVAIENETEFVILASDGLWKVHFFCSFVLC >KGN45720 pep chromosome:ASM407v2:6:752506:758946:1 gene:Csa_6G008030 transcript:KGN45720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHSHCLLLRKGPLGAIWLAAYCFKKLKKSLVMETDIPFSVDKILQDELNAVTYRVMAYLLLGIARIYSKKVEYLYTDCNKVLTEINEFVVRTKNSTRKGTKQTPYYAITLPERFELDEFDLGIIEDLTGSHTVSHEEITLKDNIWNNDIVLSLDQNHDQEITALHSVRCSDDTIFEDVFSPHLKEIEMQASTLHHYIMPEKCQVSTLSDEGYEVEVSTTIESADVKAIEQFDEDRRSDEEETWKEKMLQHGNVVPEASTEMVLTNSFSYEETVTVKTVSIIDRESSKNKKFSHEGCHSEGMYRERIQSDDEIKFFNGGNSINTSERSMEKLRDNTITLLDSMDIDMSLGAPIEPMKLIGMGSREGDNLKFPEMQSPEMKDCDGSRNDQLSISLDGVFDSKSPDFTGTKTPEFTTISTPANKERPRTSRKRKCIVDDTIVLPNEELKRSIRDARDLVSKRRKCPCSALTAWKASQISRLSFGFSMPLMSCVSPELRSLSVTGVNISKSTEPMKSPENLDVPSSSAFHTLVKRDSPVTNFETGEHSNEADISGSIAATYRSEQITTCVDRVAVSEASVPELSTSEPQISDGLEQIAIAPGTPVRCSTSARLFRSPDSPKVPNLNAIKFCEVDELETDGWSGRTRMVAEYLLQNFTNQRIQSVKEAVNLSHLLSNKTRKESAGLFYEILVLKTKNCVDVKQDCAYGDILVWKLPSWGTAFGSGLSIMCED >KGN47664 pep chromosome:ASM407v2:6:16826935:16827387:-1 gene:Csa_6G367105 transcript:KGN47664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKLKEQTNWSFTYKSFHAEKALVSFENKYQATIMCKNRGWNPVDKFYIKFEEWNTMKHGSPKLVPSYGGWVNFRGILMHAWDMETFTQIGEACGSFIEITREIKKQVEIRQAMLKVKENFTGFIPAFINVFDKKGNSFLVQSIVQAEGK >KGN46363 pep chromosome:ASM407v2:6:5906345:5906857:-1 gene:Csa_6G087910 transcript:KGN46363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANEEEGNNNNNNSLKIIVERNPSQAKLSQLNIHRWPKWGCSAGKYQLKFEAEETCYLVKGKLGIWSLFLKDLVALGMSLLLLINSISLNLNLKILFFIFCIN >KGN49096 pep chromosome:ASM407v2:6:26612567:26613829:1 gene:Csa_6G513600 transcript:KGN49096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLQALSLFSASPSSRTSITKAAIHIPKLPNLKISAPKLPKTSTPSVKMIEQLCLNQPIINVIPTESPLKSQLRAILEAVADRVEMHNNIRQQRDNWNSLFLNSINMITLTASVLAASAPAVGSLGAPLLALKMSSALLFSAATGMLVMVNKIQPSQLAEEQRNAARLFKQLQTQIQSLILDGALTQMDVDSAMEKVLALDKAYPLPLLGAMLEKFPKTVKPASWWPNSSENYESQAKNKNTHFDGKQGRESNGWSDELEAEMREVVEIVKSNDAEDYVRLGNLVLKVNKTLAITGPVLTGIAALSSAFVGDWSSTGMVVAAAAGSLAAAVNTLEHGGQIGMVFEMYRNTAGFFGLLEESIRGTLEEKDWEKRENGEVFERKVALKLGRSLSQLRQLASKSAAAREEGISIDEFASKLF >KGN47052 pep chromosome:ASM407v2:6:11788383:11790046:-1 gene:Csa_6G181570 transcript:KGN47052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTIPKPSQLTHLAALPYPGRGHINALINFCKLLSRKNPNILISFIVSDEWLSLLAADPKPPNLHFSTFPNIIPSEHGRANDFPGFFRSVNTIMESPIHTLLTHLNPPPSIIVADSFVSWAVPLANRLNIPVASFWPMSVTVLSMYYHFNLLQENGHFPADLSERGEEIVDYIPGVSDTRLADLPTFFSGDGHEVVDLTVKAARSIDKAQFLISTSVYELEPSVIDAFKLKFPFPVYTIGPCTPYFETTNSCTDEYFQWLDSQTECSVLYISQGSFLSVSSSQMEEIVAGVKASGVRFLWVARGNDGRLKDVDREMGVVVRWCDQLKVLCHSAVGGFWTHCGWNSTMEGVFAGVPMLTWPIFCDQVPNRKKIVEEWKVGVRVEAVGGKDLVRREEIANFVKRFMKTESVEGRKMRKRASELQDICRGAVEEGGSSSSNMDAFIGRITTV >KGN48665 pep chromosome:ASM407v2:6:24379109:24388151:-1 gene:Csa_6G497160 transcript:KGN48665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGKDQASICWQLLLRIDSMVLTGMISSEEASKMRRLVMDHKVSIVDAFTDILQKKDAEVLAELRQFSYRSKKSGFHIVHICTEMAPIASFGAVASFVTGLSQALQRKGHLVEVILPKYGSMNLNEVQGLREIEVEYYSYFNGQLHGNKIWTGVVRGIGVTFIQPLYYSSFFNREKAHGYSDDFERFMYFSRASLDYIVKSGKKPDVLHIHNWQTALIGPLFWDIFVQQGLEGSRILFTCHDIYAQSLVQPEKIALCGLDPARLHRPDRLQDSSNTHLANTMKGGIVYSNKVVIMSSTHSKGRIIHSLSHGLETTLNMHKEKLLVAPYGFDSSAWDPQKDKILPENYSADDMKGKAVCKIALQKKLGLAENSSFITVGCFLSDLSDVDTENLREIVQNATKMGVQFIFMTTGEVTSRHKELESLQVKIEDENVRFINRYDETLSHLIFGGSDIILCYSLHDPILQVPMKAMRYGAAPIAITTNNNNGLRHFPDHDYETTKLAMFINSTFGYLSFSQALDEINNNPSEWNHKVLDAMTKDFSWDAECCDIHISAYTAINSL >KGN47425 pep chromosome:ASM407v2:6:15036262:15037524:1 gene:Csa_6G319710 transcript:KGN47425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTKSPVFPFPEEPQHFSDYGFDPQIDYFQVLEEARKHRRESSRSIDSLHFKLQKPISKDDSKNITKKKKKRWWKNAFLFFKWKWIHIHHQNDAANFLHDDDVHQARARAFRASISGPVYVTESRSGSSTPCRSTTRPSSGPLAGTLTPTRKGDVEIPYLSLRELNMEQQQYRISSSAPMPIYLVT >KGN49275 pep chromosome:ASM407v2:6:27472106:27473035:-1 gene:Csa_6G518300 transcript:KGN49275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIQQDQSEAILENVWANYIGEKGLDGNGATKSVSGSNKTWTELPSLCYRNGSMEVLERLPSLGRWVSMGAEAWEELLDGIVPINNTEQSVHEDLKNTPTSHSGFDVNTRRAEKVVPTKHYRGVRRRPWGKYAAEIRDSSRKGARVWLGTFNTAEEAALAYDKAALRIRGPKAHLNFPIETVTEAMGIHLSTRNDHLNNLMPSSFQGHDSSSHGDSSITGKRSTREWEDNFDFNIMEFEQPMLKRKTSINNMFYNDFDVFEFQDLGSGYLDNLLSSL >KGN48765 pep chromosome:ASM407v2:6:24993713:24997332:-1 gene:Csa_6G500570 transcript:KGN48765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNYATTSKNPGPLSPALSPDNSVKNEKKKRSIIPKIFSSKKSERVNSEEEMFKLDYGDGAIDIGKGIASRRQAFMESTPTIKKSFSERHTSSDIQSLNLSNFEPPMTLPNETKNFRIFTATWNVGGKTPNNGINLEDFLLVEDSADIYVIGFQEIVPLNAGNVLVIEDNEPAVKWLTLINQAINKPSRNNSDSMFGGGSDHGSTKGSSKDSKTLPFFQKPSLKMLSRNLRPLDSSLLKACNCPIELGSRERRLRKLNEGATSDLENMIISTNEQYGRLMMEEFVSISELASSKAENGDMMKFKLISSKQMVGLFLSVWARQELVPHIGHLRVSTVGRGIMGRLGNKGCISISMSVHETSFCFVCSHLASGEKEGDEIKRNADAAEIIKSTQFSKICKKPNKRAPERIMDHDRIIWLGDLNYRVSLSYEDTKMLLEDGDWDKLLEKDQLNVEREAGRVFSGFNEGRIQFAPTYKYTHNSDSYTGETVKSKKKRRTPAWCDRILWRGNGIEQLCYIRRESRLSDHRPVCGEFRVAVELRNRSSKFRKGYSCAAPRGQFEDCVIPKRHSFYDL >KGN46544 pep chromosome:ASM407v2:6:7158129:7161763:1 gene:Csa_6G107930 transcript:KGN46544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIFNWVHKTFHHSLLKEGFAQNATKKNESVSINEVDSHALLKQVAFDRVETIHDWRDGILTIGTFGFESLKPSNEQKEYFMLESEEDDYEDEEEEEEKSFVHDEDDDIIGYLENEELNPLMLKAFGHKSKDVSAEKGEDDLIKYNAIMEMENEEEKKKGERRITLADLFLADARDVAKVLEDDKVLQKKTADVRSKSGLSFAKKFIPRVKEDSHPIKNFQRLMRRMMKRKIHPEIEDKVIINKPSSSDLTTHQIGIIPNNGPFQSFESISLLPTQGADAIA >KGN49430 pep chromosome:ASM407v2:6:28272694:28279208:-1 gene:Csa_6G524670 transcript:KGN49430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSTAGFSPQAPEGERRVLNSELWHACAGPLVSLPAVGSRVVYFPQGHSEQVAISTNREVDAHIPSYPSLPPQLICQLHNVTMHADIETDEVYAQMTLQPLTAQEQKEPYLPAELGAPSKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFSQQPPAQELIARDLHDNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVIFIWNEKNQLLLGIRRANRPQTVMPSSVLSSDSMHLGLLAAAAHAAATNSRFTIFYNPRASPSEFIIPLAKYVKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDSTRWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSPFPLRLKRPWPTGFPSFHGLKEDDLGLNSQLMWLRGDGLDRGIQPLNFPGIGVAPWMQPRLDASMVGLQPEIYQAMAAAALQEMRTVDPAKAQAASLLQFQQTQNLPNRPANFMPPQMLQQPQPQPQPPQTFLQGDENQHLSHSQAQSQPTAVLQQEIKHQTFNNHPQQQQQQQQQQQQQQQQPQQQVFDHHQIPSPMSTMSQFSSASQSQAQSLQTIPPLCRQQSFSDSNPNHVTSPIISPLHSLLGGSFSQDESSQMLNLPRTNPMIHSSTWPSKRAAIDPLLSSGNSQFVLSQGENIGTTPANISQNAFSLPPFPGRECSLDQGNVDPQSNLLFGVNIEPSSLLMQNGMPNLRGICSDSDSTAIPFSSNYVNTAGTNFSANPTGTGTPSNCNEDSGFLQSPENTGQVNPPTRTFVKVYKSGSFGRSLDISKFSSYHQLRSELAHMFGLEGELEDPLRSGWQLVFVDRENDVLLLGDDPWPEFVNSVWCIKILSPQEVQDMGKRGLELLNSVPIQRLSNGSCDNYANRQESSRNMNSGITSVGSLEY >KGN46954 pep chromosome:ASM407v2:6:10823405:10823887:1 gene:Csa_6G152890 transcript:KGN46954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVEQDIQTQFRACFKSIDDIWSEIDHKDDQQNPHPQDYSIDVHQDSCQSPHAFGEMNSEASSSSSSSCSVKQQLCSGNANRSMVDLREIVLSLRLVKRIMMLQVSEICPGIAFQIIKCSPILLGNLVITIQMMRNVKRLKTDWVEPKNKKRIVDMVLLK >KGN49078 pep chromosome:ASM407v2:6:26515721:26516668:-1 gene:Csa_6G512930 transcript:KGN49078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQTGGTKPIKCRDDQKRQKYGSDGAGFSTGDLPIGNSDTPHSEDEGSHISTSEDSGSSGEETRLSGLAESLQILTKSLLTAEMEIFKATEVMRLEEEKRRLESEAEMTRMLLQCQLQIASFLSARESPNNRKRKRVKEEDPSSSVFLERNGALLLSLLQLNMFTF >KGN46192 pep chromosome:ASM407v2:6:4866899:4870578:1 gene:Csa_6G072980 transcript:KGN46192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKTIFQDMRSRSRCVVQDASAPPPTDALDQSCWANMPQELLREVLMRIEASETSWPFRKSVVACAGVCRSWRAITKEIVKTPELSGRLTFPISIKQPGSRDPLLQCFIKRNRSNQTYYLFLSLTSALTDDGKFLLAARKCKRPTYTDYIISLHAEDLSKGSSTYVGKLRSNFLGTKFTIFDGQPPHSGAKIMKSRSTRLVNLKQVSPKVPAGNYPVAHISYELNVLGSRGPRRMQCIMDAIPASSVELGGVAPTPTELSLSNVELFPSFPFLRSKSNADSLLSEPLGSQKDGMLVLRNKTPRWHEQLQCWCLNFHGRVTIASVKNFQLVASPENDPPTAENEKIILQFGKVGKDLFTMDYRYPISAFQAFTICLSSFDTKIACE >KGN46402 pep chromosome:ASM407v2:6:6135564:6135929:-1 gene:Csa_6G090770 transcript:KGN46402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEVEEEQTLLPMYRRNEHKDQLPMNKKDVVIQVKNNLEKQLTELVLVDEFGSSGKTIKPSIYKIPKFMKDIQPNAYKPQLVLFGPYHHGDKDLVTMEQEKLKVFRHLVKGDAATYESDFE >KGN46796 pep chromosome:ASM407v2:6:9517358:9522388:-1 gene:Csa_6G136010 transcript:KGN46796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNARFYQPNQPPKSGSPSHPKRPYKRPLYAAGAHKNNHFYPTWLSFLGSGDHHRPYAAESPPTTSIGFCVLELLLFRSFFFLFVATSSSIDHRRSYAIMAFSSSFARSTVSSSLIEASRSDFSPSDFRKASFVGNSLKLQSGLFGTTVSSGASSLQLRSSNNRTVQPIKATATEAPPAIQKTPRSGKTKIGINGFGRIGRLVLRVATSRDDIDVVAVNDPFVDAKYMAYMFKYDSSHGVFNGTIKVLDDSTLEINGKQIKVVSKRDPADIPWGDYGAEYVVESSGVFTTKEKASAHLKGGAKKVVISAPSADAPMFVVGVNEKTYKPAMDIVSNASCTTNCLAPLAKVVHEEFGIVEGLMTTVHATTATQKTVDGPSMKDWRGGRGAAQNIIPSSTGAAKAVGKVLPELNGKLTGMAFRVPTPNVSVVDLTCRLEKSASYEDVKAAVKYASEGPLKGILGYTDEDVVSTDFLGDSRSSIFDAKAGIGLSTSFMKLVTWYDNEWGYSNRVLDLIEHMAMVSALN >KGN45882 pep chromosome:ASM407v2:6:1955275:1968517:1 gene:Csa_6G016980 transcript:KGN45882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKQALKFTIFLVILLDLHTSTAAPSSDYRASAAQSYRHFCCHSLGLSPLVSLLGFPSLSLSFVYCQHLSIAAALPNCRAAVTTAIQLSATAVAEFHLVYRERPMSISGSHEEEDDHGEVFLDEADIIHEVPVDEEDLPDAVDEEGSDDEYFDEADDSVHTFTGHTGEVYTVVCSPVDATLVATGGGDDKGFMWKIGRGDFAQELSGHKDSVSSLAFSADGQLLASGSFDGIIQIWDTSSGNLKCTLEGPGGGIEWVRWHPRGHLVLAGSEDSTAWMWNADRGIYLNIFSGHGASVTCGDFTPDGKIICTGSDDATMRIWNPRSGENIHVVRGHPYHTEGLTSLALTSDSTLALTGSKDGSVHIVNIATGKVVNSLVSHSDSIECIGLAPSSPWAATGGMDQKLIIWDLQQSTPRSTCQHEDGVTCLTWIGTSRYLATGCVDGRVRIWDSLSGECVKTFSGHSDAIQSLAVCANLEYLVSVALDNTARIFEIAEYH >KGN46166 pep chromosome:ASM407v2:6:4668010:4672376:-1 gene:Csa_6G061770 transcript:KGN46166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSFFKPSRPKTPQEVAKFIKDSLMALDTKTVVEVRALEKAMEEVEKNFVTMRCMLIGDAEVEPNADQVLQLTQEICKECVIDLLIHKLPVLGWEARKDLVNCWSILLKQKVASTYCCVQYIENHLELLDFLVVCYDNKEIAVNCGNMLRECIKFPTLAKYILESASFELFFKFVELPNFDVASDAFSTFKDLLTKHPDIVSDFLSSHYDEFFDRYEALLTSSNYVTRRQSLKLLSEFLLESPNSQIMKRYILEIRNLKVMMTLLKDSSKNIQLSAFHIFKVFVANPNKPREIKLILTKNHEKLLELLHNLSPGKGAEDEQFEEEKELIIKEIERVARMHR >KGN46200 pep chromosome:ASM407v2:6:4956972:4960554:-1 gene:Csa_6G074530 transcript:KGN46200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLDATRAELALLVLYLNKAEARDKICRAIQYGSKFLSNGEPGTAQNVDKTTSLARKVFRLFKFVNDLHGLISPVPQGTPLPLILLGKSKNALLSTFLFLDQIVWLGRTGIYKNKERTDRIGRISLFCWLGASFCTVLVEIGEIGRLSAAIKKQEKDLKDKDINQHQVCYHQDEKYRANLKKTNERSLALVKASMDLLVAVGLLQLAPKKVTPRVTGALGFVSSLISCYQLLPPASKAKAS >KGN48907 pep chromosome:ASM407v2:6:25724921:25729060:1 gene:Csa_6G505850 transcript:KGN48907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSHQHLKLDDPFLHNYKPSELKIASEFLTTWLPFLSKDLCGDCTKLLSDRIRTLDRAGRSDENSGSPPAVDDMHESNGNQDDAFDANSLGSWKDEAETNSLGSWKDGMNAGNEADGGPETSSSELPSKLNSTKTSGPRMSWADMTQEDELEEEEDEYESEKRLVSVNESTRKLTISKVIERPKLSREQREHIRFMNVGRKKDFICLERFKGKLVNILEGLELHTCIFSAAEQTRIVDHVYALQEMGKRGELRERTFSAPKKWMKGKGRVTMQFGCCYNYAPDKNGNPPGILRSEIVDPLPSLFKVIIRRLVRWHVLPPTCVPDSCIVNIYDEGDCIPPHIDNHDFVRPFCTVSFLSECNIVFGTNLSIVGPGEFSGPIAIPLPVGSVLVLNGNGADVAKHCVPAVPTKRISITFRRIDESKRPIEYAPEPDLQGIQPLPYDVPTSPVSSEREIRRQPFRRGGHMRTRGSGNRGDTRYDSRNPGRGAHHNSADRKSRE >KGN49185 pep chromosome:ASM407v2:6:26976922:26979458:1 gene:Csa_6G516930 transcript:KGN49185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVGRVLSGEGITEYPGKLTPFVTITCIVAAMGGLIFGYDIGISGGVTSMDSFLEKFFRDVYKERILNSPKNQYCKYNSQTLTMFTSSLYLAALVSSLIASTVTRKLGRRPSMLLGGMLFCSGAIINGFATALWMLILGRLLLGFGIGFTNQSVPLYVSEMAPYRYRGGLNFFFQLSITIGILIANVVNYFTSKIKGGWGWRLSLGGAIIPALIITSGSIILPDTPNSMIERGQDPHEEAKIQLRRVRGVEDIEQEFQDLVAASEASKQLKHPWKNLVQRKYRPHLCMAILIPFFQQLTGINVIMFYAPLFFNSIGFESESSLMSAVITGSWNVLATVVSIYGIDRWGRRFLFFMGGIQMLICQAIVAGEIGAKFGVNGMVKDQLPTWYAFVVVLCICNYVGGFAWSWGPLGWLVPSEIFPLEIRSPAQSVNVSVNMFFTFAMAQLFMTMLCHMKFGLFIFFAFWVCVMTLFICFFLPETKGIPIEEMIKVWKNHWYWSRFMTQNDSQIGRLEMREGRRQQVISTVTPSLDVIYEIRTY >KGN46217 pep chromosome:ASM407v2:6:5021969:5023249:1 gene:Csa_6G075180 transcript:KGN46217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNQKQELDDDDDSPPTHLRINDSDSSRMDLLPLTPKQEHPDSNLPHDLLTFNPDIPKTLSSLPPKRPSTKDRHTKVEGRGRRIRIPATCAARIFQLTRELGHKSDGETIRWLLERAEPAIIAATGTGTIPAIAMSVNGTLKIPTTTSSSNQDSADPSAVKKRRKRPANSDYVDVNDALSVSISASNVAGSSAVQQAFPPGFVPVWAIPSNAIIPGAVFMVPSVACSSTRPQLFSFSTPAAPLINTTARPISAFPSKAETSHIQSSPTNQSSSTSSSSASKSQSVMAPISSSSGKTTTQMLRDFSLEIYDKEELQFMSSSSSKH >KGN47328 pep chromosome:ASM407v2:6:14285990:14286768:-1 gene:Csa_6G298990 transcript:KGN47328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNDISKKGQIFSNLHNYTMTNRMTDYAKCQNRWVGYYNLQEETASSPSSKWVSARNNKSTDTLIQFWPFHLECAAKPISWNFMRLRMKTRKERKEVKTRAHKKHIIVGNSLGVKLAAT >KGN48928 pep chromosome:ASM407v2:6:25860761:25865207:-1 gene:Csa_6G507030 transcript:KGN48928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEPSTSPLPAAFLDFLKENGLDPSIYSATDSTPRYVRLKPGYKADVEEIEDEIKCKLEKVSWLPGFYSLPPDVQIAGSHAYKTGKIYGIDAASGAAVTALDILPGNHVLDLCAAPGAKLCMIVDLLDGLGSVTGVDVSQHRLAACRTMLQKYALGDICRLFVADGATFSLTPVNVTSESKFGESTLEDSVDRLREWTSRKSWKERKKTAKAKQTVSAELVQSGQDPELIFYGLKSGVVGFTKSEIYRSPPENELLSYGYDRVLVDAECTHDGSIKHIQKFESWGWTSFQRRVLDAERTDNLTVLQLRLLANGFRLLKSGGILVYSTCSLTVAQNEDVVEQFLKDNASAELQEIEVARNWPCKSGGIPKTLRFDPLTSQTSGLFVAKFLKISGIKKRESRG >KGN48607 pep chromosome:ASM407v2:6:23995062:24001117:1 gene:Csa_6G495120 transcript:KGN48607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKRLRSSLESSAEEFVSSAVKLSLKSSKHTLKTLIHGVKTSSAHSSSVPLALEVSISRAIATFRNLTGSDCTNPNPQCNPGPSESPQPPSTKILRRSSRNCRSREFEGLESDESNLNLRKEKVLVELEILSYIVFLCISHPRKVFSLTDLLPCARHLHDNLVLFESDSVLSTEIANLCEEWWKEDLPGRESLISQSLPFLLSRSLTLKKKVDVHKVYMLREAFSLFDYEDESIEDLKLLLVRCVIAPLYLKTEDGRRFVAYTLGLSRQLLKEALAVIRSQIPFGRKSMLEAYGDIVFRAWRNSEENTRDEIENGFLQGLVEGVIHARTSAFGASIRRVLGGFMNQRTVDGVEKLLFRLTEPVIFRSLQVANSNVRQNSLHLLLDVFPLENPDATKELKDTLLDRQFFLIEKLLMDESPDVRVVAVEGCCRILYLFWEIIPSITITKIITKIFDEMSRDISNEVRLSTLNGVIYLFGNPQSHEILKVILPRLGHLMLDNALLVRVALADLLLLIRDVRDFQFNKVVSLDVLLSVLADDQPIISQKITRLLMPSYFPTKVSIEEACSRCITLIKRSPMAGARFCEFAASEGASLKSIVQLVRTLIDLVSSSAKLDENYIDGLLLSAKYLCSCISKEPCYKFDLKDLFTAEKLKCLLSVAQSRCARSSLFNIVSSFSPDDFTDLLVECMQLITNCRGLSEDIEKQVEVRSGHRFFQACDALDIMFEAMSLILQKFAYRCHIRFGTEKPKLSVSPAKRKKCKLSGKILSRLKNFGGKKCVAFEEDYFVAVGMSWQVKDLLSDEKTKNALLSCQTIERIFHSLKVISEVSIVQCVNYDYMDVSPVLAYASLALHMSFQKGSQNIPSNSGTKNKIPNSCSSEEKMEQTLDHLLECIKKMYVSDDSPDEAKQGNGKPTQHAKRKLNESRKNQSHSLQGGCVGASEKTLKQVKNLTAVLKFIADAISMGFLSQKYELCLKFVSEYMQFSMSTLHQQFYKDIQFNVEMKEIFLCLKSSLTYAAKLLNQVLRCVEDSALTQTSILSHNLIDLIALIEVHLGSGYAARLVAVAKSWFPDLILALGASCIMRPVEVQGAHINLFEQTKLYFPSWLSIVAKIELSNTSEDFAEEEEGRDGSSDKHNSSTFKKFLKMIVTFLKRDHHILDAVGAIFMVGSEVGLERKDFGLVLGLLQFVCRSLYSADDREWGDMMLASLQHCYPQIEREIEQCNGDGRHQLDKAKTLLEPIWLYHIFETGKLSTMNE >KGN45603 pep chromosome:ASM407v2:6:9209:17619:1 gene:Csa_6G000030 transcript:KGN45603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKRIMPAVHAFARWGLIGHYIHQMASCVQHYANVLPKDVTADIPGYACLLGNLLEISRTALSQPDCSSELAVDLASVTTFLLELLPSMKSPRRDIKESAALGDDDVVVSDQEIELALNKDVEKQICDAIDPRFLLQLANALFGQISRVSGPYDGPDDKEVKAVGTACAFLHVTFNTLPLEQIMTVLAYRTELVPVLWSFIKKCHENQKWSSFSEKLAYLSGDAPGWLLPLAVFCPVYKHMLIIIDNEEFYEKEKPLSLKDIRCLIVILRQALWQLLWVSPTAPSNSAKHAASGHANKRHTVDFIQYRVTIVASELLSQLFADMSARDLPGRKSFLPAHYEGDISELGLYFVIINNEYGKQTEEELLPGGRNRRVTCENVITFIHLVANHRLNFQHSLSLIRQQSSHFLRGFQQLIQKEWIDMFNEHEFQLSISGSLDSLDVDDLRTHTTYSSGYHREHYVIEMFWEVIKSFSVENQKKFLKFVTGCSRGPLLVFSFCFRAAGNANEEALDRLPTSATCMNLLKLPPYRSKEQLANKFLYAINADAGFDLS >KGN46411 pep chromosome:ASM407v2:6:6176145:6184664:-1 gene:Csa_6G091840 transcript:KGN46411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSEVLDLKGLSSSSFFSDDLRHTDEGQVGVWKSASVPNHRASNISGSSSSVEKFSIGECLPENSLENHDSFPVRDQNASLILNRHAVGAERTSNYFSRSNEVNMMNSQYESSLFSSSLSDIFTRKLRFSPSNALYGHSVDTVASHFEEEEVFESLEELEAQTIGNLLPDDDDLLAGVTDGLDCLVETTGEDDAEDLDFFSNVGGMDLGDDGLSVGQKNSESPGLFNNLPGMHNGAMAGEHPLGEHPSRTLFVRNINSNVEDSELEALFEQYGDIRTLYTACKHRGFVMISYYDIRAARNAMKALQNKPLRRRKLDIHYSIPKDNPSEKDINQGTLVVFNLESSVSNEELRQIFGVYGEIKEIREAPHRSHHKFIEFYDIRAAEAALCALNLSDIAGKQIKLEPSRPGGVRRSLVQQLHPQLEREDIGLYLQQGSPPVNCSAGFSGLVPSGTIKSSSLSNGSVLGVHSLLRAPSLDTVLHHGISSSVPSSLPSVMRSESTGNQSGFIDSGHSPSQLKLGIRASSAVHPHSLPEHPDGLNNNVHCNSLNAIGGNINLRPPERADSRQLCGVNFNGRSIELNEDVFASGGNRTCPIPGPHYGWGNSYRPQPPAPGVVWPNSPSYMNGIAAGHTPTQVHGVPRAASHLMHTVMPMNNHHVGSAPAVNPPSIWDRQHYAGELSKASGFHSGSIGNMNLSNNSPQSMDFFSHIFPQVGGNSVELPIPQRNVGLQSHHQRCMVFPGRGQILPMMNSFDSSNERGRSRRNEAASNQADKKQYELDIDRIMRGEDNRTTLMIKNIPNKYTSKMLLAAIDERHRGTYDFIYLPIDFKNKCNVGYAFINMTDPGLIIPFYEAFNGKKWEKFNSEKVASLAYARIQGKAALIAHFQNSSLMNEDKRCRPILFNTDGPNAGDQVPFPMGVNVRTRPGKTRTNTPDENADEGLLISGNGENYPSGDTSSSCLVKDLDQPVP >KGN46004 pep chromosome:ASM407v2:6:3286718:3287129:1 gene:Csa_6G042380 transcript:KGN46004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLAPPLKAIGRRMVRRSSSVHERNDGVFQKSNSEFIIIAGSKSAAHRLRISLTFVPRIPAASFTCVPRSCHSKTPQNFSFSTLRLFHFIGSAEGSHVDCYCYAYVLNDGFSLNFGLDLCESKRG >KGN48868 pep chromosome:ASM407v2:6:25506412:25508331:-1 gene:Csa_6G504490 transcript:KGN48868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGFKDMPQSASSLFTAYASFATTAMMIRSMTTNLLPPQLISLITSIFFYFFPPKSTLITTLVIDQKCDFLNNQLFEAAELYLRTKINPSMDRLKASKTPRQNKVALSMVKGQTIVDHFEDIRLQWGFVAVKKEKRNEIIEEKCHYELLFPKQSLDRVVNFYFPYILQRAKEIKALDSVAKLCSSSCSYDDESLGGKRQGKWGSVRFEHPATFDTLALDPDLKKMIIDDLDRFVKRKEFYRKVGKAWKRGYLLYGPPGTGKSSLIAAMANYLKFDIYDLDLSDVYSNQSLRNSLLSTTNRSILVIEDIDCSVNLQNRKFEEKFEPPKSRLTLSGMLNFIDGLWSSCGDERIIIFTTNHKEQLDPALLRPGRMDVHIHLGYCSSKMFKVLATNYLGAEVTGHRLYEEIKGLIDCINVTPAEIAEELMKSDEVDVVIEGLANCLKLKRKERKAGDEKRDRILEENKAER >KGN46341 pep chromosome:ASM407v2:6:5738439:5742635:1 gene:Csa_6G087700 transcript:KGN46341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLAMLRQLIGQLQEFLQFYHSHPPPPPPPPPPPQPAQQLALTLASSHQRWCFKDIDDNSTDDYYGLVLVAGRSDNCKMTETCMLPPLKKPRKERNRGKLLGSAATTEVMEEEIWKDFPEDLFEAVIARLPIATFFRFRAVCQKWNSLLNSESFSFYCAQVPQTIPWFYTITHDMVSSGAIYDPSLKKWHHPSISSQPIKSLVLPVASAGGLVCLLDFSHRNFYVCNPLTQSLKELPARSVEVWSRVAVGMTLNGSSTSWGYNILCLGCDGEYEIYDSVKNSWIHPGSMPSSIKLPLSLNFRSQAVSIDSTLYFMRSDPEGIVSFNMVTGVWKQFIVPTPLHLTDHTLAEYGGRIMLVGLLTKNAATCVCIWELQKMTLLWKEVDRMPNIWCLEFYGKHVSMNCLGNKGLLMLSLRSRQTNRLVTYDLTSKEWSKVPGVPRGRKRQWITSGTAFYPCPTAVA >KGN47461 pep chromosome:ASM407v2:6:15246544:15248588:1 gene:Csa_6G330980 transcript:KGN47461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGDDGIERLVDSKDMQQQSKAFDKLTDRVEDRQLDSTRVQEAMASIAASAEADWNAMMLREKELAAVKINAADVDIIANELELDKKVAERTLREHKGDAVAAIRYLLR >KGN47050 pep chromosome:ASM407v2:6:11780863:11781713:1 gene:Csa_6G181550 transcript:KGN47050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVGSSPCASCKLLRRRCAKDCIFAPYFPPHDPLKFAIVHKIFGASNVSKMLQEVPVDQRADAVSSMVYEASARMQDPVYGCVGKILFLQNEVSQLEIQLGAARAEILMLQMRQQQEASITFPMTTTQMDQDEQNLMILSNADSSNSFHVFQAADDHYPLWT >KGN48315 pep chromosome:ASM407v2:6:21929349:21934105:1 gene:Csa_6G476060 transcript:KGN48315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDRHRKIDYRYIADNFSSLDQVISALRHEGLESSNLILGIDFTKSNEWTGRYSFRRKSLHSVSSTPNPYEQAISIIGHTLSPFDDDGLIPCFGFGDASTCDQHVFSFYPDHRPCKGFKEALFRYREILPYLNLSGPTSFAPVIDAAIGIVEKSNWQYHVLVIIADGQVTRNPNTPPGKLSFQEQATINSIVAASHYPLSIILIGVGDGPWDAMHKFDDNLPQRAFDNFQFLLRGRYEMVLNIQSYGSIFSIFYVLHLMLRVIHKPLREKLHLMVVQIINYDNAVANQILENAKAEKHSSSSESVCPICLTNPKDMAFACGHTTCKDCGVTISTCPLCREPIKMRLRLYA >KGN46609 pep chromosome:ASM407v2:6:7745055:7745595:1 gene:Csa_6G112470 transcript:KGN46609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMLYFSISKRNSLPIRKFVQEEEEEEDQKQLTFFSDHLKSSSASAPTIEDLLSESECADDNFTTTEESEVEWPYFDHRFNKTRNPHRSDDGSISDEESLIEIALPTGHYVSRKFDDVDEDEDDISFRYQKLTDFQRKNLVEVLAEINDINEEENLIEIDISMGSIKYSRFEIEA >KGN47371 pep chromosome:ASM407v2:6:14643217:14649164:1 gene:Csa_6G306330 transcript:KGN47371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCHALLVTILHNWNGHFCHSLPPLLFIFSYKKFPFRRFILEKQNSRNTERGKMGSNAKGRTVMEVGTDGVAIITIINPPVNSLSFDVLFSLRDSYEQALRRDDVKAIVVTGAKGKFSGGFDITAFGVLQGGKGEQPNVRNISIEMITDIFEAARKPAVAAIDGLALGGGLEVAMACHARISTPTAQLGLPELQLGIIPGFGGTQRLPRLVGLSKALEMMLTSKPIKGQEAHSLGLVDAIVPPEELINTARRWALEILERRRPWVHSLHRTDKLESLAEARKIFNLARAQAKKQYPNLKHTIACIDAVETGVVSGPRAGLWKEAEEFQGLLHSDTCKSLIHIFFAQRSTTKVPGVTDLGLVPRQIKKVAIVGGGLMGSGIATALILSNYHVVLKEVNDKFLQAGIDRVRANLQSRVKKGNMTNEKFEKSISLLKGVLNYESFKDVDMVIEAVIENVSLKQQIFSDLEKYCPPHCMLATNTSTIDLELIGERIKSRDRIIGAHFFSPAHIMPLLEIVRTKHTAAQVIVDLLDVGKNIKKTPVVVGNCTGFAVNRMFFPYSQAAILLAEHGVDPYQIDRAISKFGMPMGPFRLCDLVGFGVAAATASQFVQAFPERTYKSMLIPLMQEDKNAGESTRKGFYVYDKNRKAGPNPELKKYIEKARNSSGVSVDPKLTKLPEKDIVEMIFFPVVNEACRVLAEGIAVKAADLDIAGVMGMGFPSYRGGLMFWADSLGSNYIYSRLEEWSKQYGGFFKPCGYLAERAVQGATLSAPGGHAKPRM >KGN47779 pep chromosome:ASM407v2:6:17976918:17980373:-1 gene:Csa_6G401490 transcript:KGN47779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRRSVRPPRPNAVQDGGAATCTFSRNEQNASAPEHQHLKTASPKASDALPLPLYLTNTIFFTLFFSVAYYLLHRWRDKIRNSTPLHVVTLSEIAAIVSLMASFIYLLGFFGIDFVQSFIARSSSDAWDLEDEIDRTLLIDNNRYAAPRSASAVALPSKVVDAEVLNAIPLPEEDEEVVKMVVDGSVPSYSLESKLGDPKRAASIRREALQRMTGRSIHGLPFEGFDYESILGQCCEMPVGYVQIPVGIAGPLLLDGFEYTVPMATTEGCLVASTNRGCKAIYASGGATSMLLKDGMTRAPVVRFGSAKRASELKFFLEDPDNFDTLAVVFNRSSRFARLQSIRCSIAGKNLYVRFCCTTGDAMGMNMVSKGVQNVLEFLQHDFSDMEVIGISGNFCADKKPAAVNWIEGRGKSVVCEAVIKDEVVRKVLKTSVASLVELNMLKNLTGSAMAGALGGFNAHSSNIVSAVFLATGQDPAQNVESSHCITMMEPVNNGRDLHISVTMPSIEVGTVGGGTQLASQSACLNLLGVKGASKESPGANSRLLATIVAGSVLAGELSLMSAIAAGQLVRSHMKYNRSSRDVSKLES >KGN48470 pep chromosome:ASM407v2:6:23066909:23067258:-1 gene:Csa_6G489630 transcript:KGN48470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFMISKASTSFPETENPRNSDLSRNDGVSSHVFLKSAGKMDRDVVLRRIRFYKRLNKVKRTLESLVGSGDGGSEVANAMADEGEKWWLQQHDVFMGN >KGN48064 pep chromosome:ASM407v2:6:20197816:20204774:1 gene:Csa_6G427970 transcript:KGN48064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRPDLDAMTSEDQATLQHKRRKIASDAGFSSHDHLKEHKNSFPTLQSPDYYISPSLEEMSIHVLKDPNYTSQVLDFTIGRCGYGSVKFFGKTDVRCLDLDQIVKFHKNEVIVYEDETTKPIVGQGLNKPAEVTLVLQSITTSFLGRQFDNVVKKLKYFTERQGAHFISFEPENCEWKFSVNHFSRFGLTEDEEEDVVMDDPNAVQEPAEINCNEISENNENSPMDFTESVLCHSLPAHLGLDPVKMKEMRMVIFPENEQEFEDYNESPKFQKSFTGREYMRTTPFKDSSQRTNQKLNSLVVRKTPLALLEYNQGSLDSNSPGSILMSQPKKVTPVKRSKAEGFKLDLTHETPITLDHSRNIVDAGLFMGRSFRVGWGPNGILVHTGNLVGSKNSQRVLSSIINVEKVAIDNVVRDENRKMRKELVEYAFDLPLSLHKEMNHEFEEEVGSFNLKLQKVVFNRLMLSDICRSYIDIVERQLEVPGLSSSARLVLTHQIMVWELIKVLFSERENVGNSLDSDNEEDMMQEQDIKEDSPEFDLEALPLIRRAEFSCWLQESVFPQVQYELGSLKDSSYLEHIFLLMTGRQLDAAVQLASSKGDVRLACLLSQAGGFTVGSTVKRNDVALQLDIWRRNGLDFNFIEKERTQVYELLAGNIFDALHDFDLDWKRFLGLLMWYRLPPDTTLPVIFHSYQHLLKSGRAPLPVPVYADGPQELVLKSNTNECLDLSYFLMLLHANEDPEFGFLKTMFSAFSSTDDPLDYHMIWHQRAVLEAIGAISSKDLHILDMGFVSQLLCLGQCHWAIYVVLHMPFRDDFPHLQAKVIKEILFQYCEIWSSQESQFEFIENLGVPRIWLHEAMAVFFSYLGNLPEALEHFIECRNWHKAHTIFTTSVAHKLFLSAEHSDIWKFATSMEMHKSEIENWEFGAGIYISFYSLRSSLQENTEGSELDSLESRNAACGEFLGRLNESLAVWGDRLPVQARVVYSKMAEEISRLLLSDIGEGSTRDAQLSCFDTIFSAPMREDLRSSHLQDAVSLFTCYLSEITS >KGN48641 pep chromosome:ASM407v2:6:24203219:24208592:1 gene:Csa_6G496440 transcript:KGN48641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRRQPTSTRRNGSFSFAGALNAKSKSSPLLSICLVLVGAVLLLVYAFSGQGLFGGTKIVSKIEGDFSCTLELQRAVTILKTAFGNSMRKVLHVGPDTCSVVSKLLKEGEIEAWGIEPYDIEDADGNCKSLVNKGIVRVADIKFPLPYRAKSFSHVIVSDALDYLSPKYLNKTLSEFARVSSDGLVIFTGSPGQQKAKVNELSKFGRPAKMRSSSWWIRFFVQTSLEENEAAAKKFKQAASKQSYKPGCQVFHLSSYH >KGN48104 pep chromosome:ASM407v2:6:20448965:20456518:1 gene:Csa_6G432280 transcript:KGN48104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEELGTAMHSEENKDSTEKNKKRKLKTPSQLVALEKFYNEHKYPTEEMKSQLSEELGLTEKQISGWFCHRRLKDKRFCDTYTSVRQDRSSGVIQDHGSGLAQDSCGSTKNGDYWHIDPREVESQKPYGHELATDNVLERRSQYTENVSNMENTSSESSSSLKDRLLSQSENPYDTEVSRYLTHEGAIPPSNPKALSSLRYKPSGYLKVKGEVENAAITAVKRQLGVQYREDGPPLGVEFQPLPPGAFESPAKGPSHDSYYVGNPLLPRSPDILTLKKQRAVGSRYEVHSSNMSSQDSYREEAAPTGTTCRPESQEKNSVYQLKKGSNYYNKTDTFPRQNSPLNVYEESGGLTFSSSSKRDHKMNPSYNFPRSRSDSVSNNHGSYSSKIVSEPTEMQLHNHGSVASRSFYRSGYLDYNPKKMPKEMFSGEEKAINESSDPVRGKIPPTNELAVVNRCQLDFPRSDYAAKASFSEKPGRKNLTRRPITEMPYSFTVDEAEDTSSSLD >KGN46371 pep chromosome:ASM407v2:6:5967629:5970839:-1 gene:Csa_6G087990 transcript:KGN46371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAKRFASIFKRSSTPHASSNSIKPTENEVNKSWGRKAVSFVLITVTGGVALSALDDLAIYHSCSSKAIEKVRNNQAVIDAIGEPIDKGPWYNASLAVAHKRHSLSCTFPVSGPQGTGILQLKAVRNGEDSWISFLRPRDWDILMMDALLYVPENEGKQKTLRINLSEKFAPAACVSCTDCQPPETEKR >KGN48705 pep chromosome:ASM407v2:6:24610843:24611949:-1 gene:Csa_6G499010 transcript:KGN48705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLHNYHSLGRLQYYILPSILNLLRQSLVDELEFCFSRNGDFHLLSTVASFSCRYSLVAFHLSQKTNPNRKQE >KGN48582 pep chromosome:ASM407v2:6:23822810:23823963:-1 gene:Csa_6G493900 transcript:KGN48582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMGRSYDSERCGEDKLYMEEPDENDESLSLCDLPINLVKDGNPHFLLEIKPRAGETSIAAADDEFDFGSLGGFSNLADQPPPEMCLADEVFYQGQLLPVRLSVSSDNTSAGVYRRHLRSINSSGSSSSRSQCSSSSVTNNSLTITSAARNPQLRVPNLFHSHPSPKPQIKASPMTFRQASTGGNRQKSSFGWDFFRLGVVKTPGMELEDLKHRSSTRRNSSVSRSNSEKAFVPAPLSNERSVKVMMRKPRESVLIERKSRGILGGVGCKCSVESTVAPAPKAMVGVRRKGNSKNIPAQATAKREMRMKKVGKEKEEEEKLELSRHRTFEWLKELSHANFSCEV >KGN49171 pep chromosome:ASM407v2:6:26905336:26909033:1 gene:Csa_6G516790 transcript:KGN49171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSVDKHDRVVVGNAFLAPEEDKLLDGVTLLDFDMLCSTVAMQTQGKWPNLDTTQDPATAPEFGGVFRMWEGELLDCYDDRRIAIESACCPCHRFGKNMGRAGFGSCFLQGTVYLALALGALCNFIAFLVTKHHYFLYSAIAFTISTVTYLGFFRTQMRRKFNIRGGDNSLDDCIYHLICPCCALSQESRTLEMNNVQDGTWHGRGDTICIGSYGDASRFVELNPPLPVSTKLPEACGTLKDVANQNHS >KGN46996 pep chromosome:ASM407v2:6:11163849:11165376:1 gene:Csa_6G160180 transcript:KGN46996 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACC oxidase MEFPIINLEKLNGEERSTILAKIKDACENWGFFEVLNHGIDIEFLDKVEKLTKEHYKKCMEERFKEHIASKGLNDVVTEVNDVDWESTFFLRHLPVSNIADLPELSDDYKSVMKQFASKLQVLAELLLDLLCENLNLPKSYLKNAFHGSNGPTFGTKVSNYPPCPNPDLIKGLRAHTDAGGIILLFQDDKVSGLQLLKEGEWVDVPPVRHSIVVNIGDQLEVITNGKYKSVLHRVIAQPEGEGRMSLASFYNPGSDAVIFPAPSLVAEEKNEIYPKFVFEDYMKLYAGLKFDAKEPRFEAMKGNNVKLDPIATV >KGN47211 pep chromosome:ASM407v2:6:12818861:12819241:-1 gene:Csa_6G205850 transcript:KGN47211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLKTETSAQTELPTLQKVYSIRLLEEACKERNMIAPDSSLPSINLTAQLKTQKDASNFSSESLCSYHPSFRGRGNQNNHVMDTFFIETGIITAGRNCQICGHLVTQLFGVTILIMGYQNGNNFTS >KGN48031 pep chromosome:ASM407v2:6:19910340:19911398:1 gene:Csa_6G425190 transcript:KGN48031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVCKSPQHIFENQFPENQTLLESVMSTWSQNVVNPVNQTQGSSFSEVFGDLYSKDGLSSQLHEPNLLSSSIDSSQMSTNKNQNKKEKKKEEYPFSFPLGNNHYSSCSKIDVGFSLVKSESLQLCTEGLGSESSDDVEENFQVEMIKEEKMVSASVKHVASGTSSGELRRSRTSRQDFPPPLSFIGKSAKTGVRFTSYRQDGRFVLKEIRIPIQEFLQARREDGRLKLQIVQPSDYIVEEDDEEFEEHDADEAENEKIEEEN >KGN48811 pep chromosome:ASM407v2:6:25234905:25238283:1 gene:Csa_6G502000 transcript:KGN48811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDHSDEQHSFRTITTAFIPFIGDDDSDDSGSDFVFSIEPTLLIDPHCLKIGEVIGEGSCSIVYEGLYDYQPVAVKIIQPIRASAISPEKKERFQREVTLLARLNHENIIKFIGASIEPTLMIITELMRGGTLQKYLWSIRPETPDSKFSLSLALDLSRVMTYLHSNGIIYRDLKPSNLLLTEDKQRIKLANFGLAREEISGEMTTEAGTYRWMAPELFSIDPLPVGCKKCYDHKADVYSFSIILWELLTNKTPFKGRNDIMVAYAVAKNIRPCLEEIPEDMAPLLQSCWAEDPNSRPEFTEVTDYLSNLLQSFVLKESSLPNMDDKTEEKEEEEKVKCRSNTSFSQRKREPKAGRYRNSSFCFKCCHNSCLSD >KGN46360 pep chromosome:ASM407v2:6:5850801:5853611:1 gene:Csa_6G087880 transcript:KGN46360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSTSLRAEPAVETVLTRHRPLVLNELSLGWVFREVFWEWEFWELAELLWKVKFKSVIGALLPQGGYAFSSFKDYKRCNVERRSHHDRQDETSSPSLLRSTIARMKIKISTFEKMRLPWRLCINNNLENVLEQQQLVMTHSPTSSK >KGN47837 pep chromosome:ASM407v2:6:18414726:18423279:1 gene:Csa_6G405990 transcript:KGN47837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLEIPKWLKGLPYAPEFRPTDTEFSDPIAYISKIEKEASAFGICKIIPPFPKPSKKYVVSNLNKSLLRSTELSRALNGAKEGDVRAVFTTRHQELGQSVKKTKGVVQNPQSGVHKQVWQSGEIYTLEQFESKSKVFARSVLSGIKEPSPLVVESLFWKAASDKPIYVEYANDVPGSAFGEPEGKFRYFHRRRRKRNFYHRSKELSSEPKGEEMETLTDSLCRDSGGISNRNDLNTSSEMLKPSTSTEDVSHNSRGKSSDSCINMEGTAGWRLSNSPWNLQVIARSPGSLTRYMPDDIPGVTSPMVYIGMLFSWFAWHVEDHELHSMNFLHVGSPKTWYSIPGDQAFAFEEVVRTQAYGGSVDHLAALTLLGEKTTLLSPEIVIASGIPCCRLIQNPGEFVVTFPRAYHVGFSHGFNCGEAANFGTPQWLSVAKDAAVRRAAMNYLPMLSHQQLLYLLTMSFVSRVPRSLLPGVRSSRLRDRQKEERELMVKKGFVEDILRENNMLSVLLEKESSCRAVLWNPDMLSYSSNSQVANTNSAVATSPRENVSCSHMESIDDKVKNVQNFIDEMALDLETMNDIYLESDDLSCDFQVDSGTLACVACGILGFPFMSVVQPSEKTSKELYVDHLAIHKRGGVFGPKDAHCSSVPDVNCLSENLSVASVPKFENGWNAFSKFLRPRSFCLQHAVDIVELLQKKGGANILVICHSDYHKIKANAVAIAEEIGNNFVYNDVRLDIASEEDLRLIDLAVDEDRDECREDWTSRLGINLRHCIKVRKSSPTKQVQHALALGGLFLTRDHGFNLSALNWLSKRSRSKKLNHLQHSKPFQSMPLKDEVGGEKSDCRLVKSEEKFFQYYRRNKKSGNSTGVGSVTQPASSGDSSDLCNVRSVRSNAAESVIPDSSGTSSQQDVVLQDKSEPNKKAVLPSDTDNGPLVNAIDISSDMHQEQDIIESCNKTNQECDITSEGQSHAGADVCLDEVNLAESSGLRSSIHLESSKVMRNEDVKSSCGEACDGTAGDGNVGEEIEIANRIKYKKEDSCSSIPIKLQHCSAIPIHGQFSHLDDRTGREMNSTSRSNESEPNLTNTGTPDVATSNSRDRTPEISKVVCETTNLCNAVRSNEAEVEIQSVSGVDLIAQQSSCLADEKSIEYLGSQGDRDDFSDTSLISTRVENTPTEPRTPMDEPGSNTCVLGESCPMDIEASGEACDRENLTGEKTSDDDIECANMSINRHIENPPIQLETGDATEICSSKHKSRLDVVKKRKRKREEELLIENEFSSFDFIRSPCEGLRPRVVKNLTNRSGTDVNVAVEEKPERNRVKKRSDSVTTTPKKETKKGYYKCDLEGCRMSFKTKAELTLHKRNQCPHEGCGKRFSSHKYAMFHQRVHDDDRPLKCPWKGCSMSFKWAWARTEHIRVHTGERPYKCKVEGCGLSFRFVSDYSRHRRKTGHYVDQPA >KGN49545 pep chromosome:ASM407v2:6:29008618:29009035:-1 gene:Csa_6G538740 transcript:KGN49545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVKCKNGNRMIRWRGDLRGVNVIGVQSWALPTANPVLPLLTLPPPSIFLLISNIHDLIDLRLHLPKK >KGN48122 pep chromosome:ASM407v2:6:20596100:20597176:1 gene:Csa_6G434405 transcript:KGN48122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIRSLIPREETVGRRQGVLFVVVSFLFLLIFLYAAFISKLLPPSNNAFLSAIQNDWHYCLLVPLTLPILYVAVYFHWLSMKLFKHA >KGN48311 pep chromosome:ASM407v2:6:21901114:21901971:1 gene:Csa_6G476020 transcript:KGN48311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTPLGPYGPCDDSDAPYVKEIAERAVADHNKSAGTNYTLVSIVNCESVVVSGTNYRLVLSLKDDSTTSDFLVVVYYRPWDNYLEVTQFEPVTK >KGN48615 pep chromosome:ASM407v2:6:24043928:24049283:1 gene:Csa_6G495690 transcript:KGN48615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALNSNTPIPSPKFEPDTDKIKRMLLQKGVYPTPRIVRSLRKKEIQKYNRKLKRVAERQSAQSPPLSESQKQLIAEETHFLTLRSEYKEFSKAIEAKPAGGLMVGRPWERLERVNFKELTGVRTGYNRDSLKKESLRELRKLFETRKLEESQWALDDDVELKEEWLESENDRYDVVKRRRGDGEVIRFLVDRLSSGPISMRDWKFSRMMIRSGLQFNEGQLLKILDALGAKGCWKQALSVVEWVYNLKSHSHSKSRFVYTKLLAVLGMARKPQEALQIFNLMRGDGQIYPDMAAYHSIAVTLGQAGLLKQLLKVIEFMRQQPSKKVRNKCRKSWDPAVEPDLVIYNAILNACIPTLEWKGVYWVFTQLRKSGLRPNGATYGLSMEVMLKSGKYEQLHNLFTKMKKNGQTLKANTYRVLVKAFWEEGNVNGAIEAVRDMEQRGVVGSASVYYELACCLCYNGKWQDALVEVEKMKTLSHMKPLVVTFTGMISSSFNGGHIDDCISIFEYMKQICAPNIGTINTMLKVYGRNDMYSKAKDLFEEIKRKADSSSHDSAVPSLVPDEYTYASMLEAAASSLQWEYFESVYREMALSGYQLDQSKHALLLVEASKAGKWYLLDHAFDTILEAGQIPHPLLFTEMILQLTTQDNYEQAVTLVRTMGYAPFQVSERQWTELFEGNTDRIRRNNLKQLLHALGDCDASEATVSNLSRSLQSLCKFDIPENTSQSVACDHDATDELQLPDSENMENMKLHPDEDESLDIIPVDHASLNMKVNSESKMSPWSVSISDGALGTGQFSDGSNNVHSPFDLCGESEDDEEELNTLLDEFDDAYDSNLPAVNEILETWKEERKADGLFLHSLN >KGN46653 pep chromosome:ASM407v2:6:8179969:8181391:-1 gene:Csa_6G118330 transcript:KGN46653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLFLLLLLLSISASSLSSLLAASPSFQTLQTNTHFFNPKLPPNSLSSSKKFEGSSDFVNLRYHMGPVLSSSPINIYLIWYGKWSVSQKLLIKDFLLSISPSHPRRAPPSPSVSQWWQTVSLYTDQTGANVSRNVVIAGEHSDIHHSHGTDLTRLSIQNVIATAVRSAPFPVDHRNGMFLVLTSQDVTMQDFCRAVCGFHYFTFPSMVGYTLPYAWVGHSGKQCPEQCAYPFAVPAYMAGGGPSALSPPNKDVALDGMISVIGHELAEVASNPLVNAWYAGEDPTAPTEIGDLCEGLYGTGGGGGYIGQVMRDGEGRTFNVNGRNRRKFLLQWLWSPVLKACAGPNALD >KGN48413 pep chromosome:ASM407v2:6:22660917:22666506:1 gene:Csa_6G486860 transcript:KGN48413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPGKSDHKATLDAASWMFNVVTSVGIIIVNKALMATYGFSFATTLTGLHFATTSLLTFILKQLGYIQDSHLPFLDILKFVIFANFSIVGMNVSLMWNSVGFYQIAKLSMIPVSCFLEVVLDKVQYSRDTKLSILLVLFGVGVCTVTDVSVNMKGFVAAVVAVWCTSLQQYYVHHLQRKYSLGSFNLLGHTAPVQAASLLLLGPFSDYWLTGKRVDAYGFTFMSLAFLILSCTIAVGTNLSQFICIGRFTAVTFQVLGHMKTILVLTLGFIFFGKEGLNLQVVIGMAIAILGMIWYGNASSKPGGKERRSFSSTSSKALKHTGSESSDPDEKV >KGN47987 pep chromosome:ASM407v2:6:19544089:19554812:1 gene:Csa_6G422800 transcript:KGN47987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAIAVHQFAHCITCHAWSPDHSMVAFCPNNNEVHIYKSLQDNWERVHVLQKHDQLISGIDWSVRSNRIVTASHDRNSYVWNLEGSEWVPTLVILRLNRAALCVQWSPKENKFAVGSGAKTVCICYYEQENNWWVSKLIRKRHDSSVTNVAWHPNNLLLATTSTDGKCRIFSTFIKGVDTKDSKAGTFSDSKFGELIVQLDLSFSWAFGVKWSASGNTLAYAGHNSMIYFVDEVGPSPLAQSVAFRDLPLRDILFVSERMVIGVGFDCHPMAFAADERGIWSFVRFLGEKKTASGSKYGSQFSEAFGKLYGQPRQGVGNDSVESSRLRGCVHENCINCIVPLKEPGGRGSTIKRFSTSGLDGKVVIWDLEGQDDLSQYL >KGN47417 pep chromosome:ASM407v2:6:14998697:14999583:1 gene:Csa_6G318150 transcript:KGN47417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSLVAGTLSMVANNSIGFAKLLNRLDFVSNYCISVTDSITDQIPPSSTAAALRLSAALFPVATIAPPPVKSVSYRSASRTFLRRKRRTKRRLYSDDSEGREDDGFGGGDFDGPIGGDGGGSGGGRGWNFDRFGGNDWDESSSSSSSSNPAYYFVYEVVCWIALSNCVHFAFKKVVRFAAEAIGNSERGKLAMRLISVC >KGN47243 pep chromosome:ASM407v2:6:13264856:13265370:1 gene:Csa_6G229540 transcript:KGN47243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSTSIDAATVKKRALISLQRVYDIATIDRRSTTTATTGKDDLIITKKNRFDLGGDEPAHGDQLNENQSHDRVEKEPQSASMKGIGPKKNGSVNLMNIGDGFAGPVNSDELNLVKTGESNYGPNFKTECVVEKP >KGN45991 pep chromosome:ASM407v2:6:3158266:3158806:1 gene:Csa_6G041760 transcript:KGN45991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEKKGDNDDEEEFLSGESPIRASTNSLLLHTSVHAEIVPTISVQFTNMAWMKYEYLFVRGGGELSASCWFAS >KGN46065 pep chromosome:ASM407v2:6:3828861:3829433:-1 gene:Csa_6G046430 transcript:KGN46065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTFLYSTTSFSSSSSLTRRFPNYHFRLRNSPIFLHNWSLSSFLNHSLFITGRIGFVLLIPALTLLFIFFAAASAAFHGVLNLGFSGLCCSGSIPPSGYFLNVLVCELLAEI >KGN47572 pep chromosome:ASM407v2:6:16221753:16222007:-1 gene:Csa_6G361350 transcript:KGN47572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQVRKTFILKNQAAAADDLGWMGSGAVRRAHVHTRRIILADVAAGVDVGINLHRRIPALDSCKGPRKA >KGN48834 pep chromosome:ASM407v2:6:25356164:25358134:-1 gene:Csa_6G502720 transcript:KGN48834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQPVLKWEKRHEWRTFKSYSFQDHLRVKFIHSQVTISDNTIPKGPKSILRLCYEMYFYDAEVLDVVLVQ >KGN45791 pep chromosome:ASM407v2:6:1247039:1250488:-1 gene:Csa_6G011670 transcript:KGN45791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSDVEAVLDFLKKNGFAEAESALKRDVVEKSELGSFDFEKFFFPMVPAPPPVRIPSTSRKFAALADVDDRSGSNSVSSDDEFVSLRSSTSDVCSSEFINPYGIPSDSSSDIASQFDTARDYHEFDLQNDLLWYDEKEDVNFMTPSFEGQDFFGCPSEDKFIMTTESDEQYSSLSAPAKSILCHSAPLSDESLIEVADHPYGVDRYKQLEGSFVGLSEGSVPFCKCCVANEEFYNKKSADCNYLNPSFNEMDSNDFQLNVVKDIAANCDLVPQRNSSTDIYSTSNFIEGFEDHNDSQNKILEKSYLLNAADSFKVDTHGEVEEETNESESGDGAAADDELPMYYTNDDEFEVFDLRIIHRKNRTGFEESKDLPIVLNTVLAGRYYVTEYLGSAAFSRVVRAHDLHMGVDVCLKIIKNDKEFFDQSLDEIKLLKLVNKHDPADQCHILRLYDYFYHQEHLFIVCELLRANLYEFQKFNQESGGDAYFTFRRLQVITRQCLEALEFLHHLGIIHCDLKPENILIKSYKRCEIKVIDLGSSCFQSDNLCLYVQSRSYRAPEVMLGLPYNPKIDLWSLGCILAELWSGEVLFPNNAVVMILARMIGLFGPIDLEMLLKGQETHKYFTKEYDLFYINEETDQMEFIIPEESSLEDHLQVFDLGFIDFLTSLLEINPERRPTAKEALAHPWLSQSYE >KGN46539 pep chromosome:ASM407v2:6:7127782:7128515:-1 gene:Csa_6G107890 transcript:KGN46539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWAASSTSPIPSCHRLTITTSRPPTPPLSVFCAMSQPSGTDAENIKPNNSSSIKGFGHKILSAVNSPTPKSLNQTTPKHESHPEAAQISGSDVLRALQKAAAVKEKIRTQQVKEKKKKKEGAATEDSQSEMNHRVRPLKINRDWGLRLTQLEKRLLEISDPV >KGN49148 pep chromosome:ASM407v2:6:26821539:26822324:1 gene:Csa_6G516560 transcript:KGN49148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHTTSQLPPFLQVTCSNKAGNYTKNTTFKKNLDTVLLSISSKTSYIDYGYYNGTSGQDPDRATALALCRGGVELEQCRRCVYNSTLRITQDCPNQKEAEGLYQDCQIRYSNNSIYGVKDNSVQLFLFNLVKVEDWVGFNEALRSLFDRLKMEASSGSSIQKSAWGGEKVRSPSMDTVYGLVDCFPDLSYLDCFDCLNQLQASLPSCCNASIGVRLAATSCQLAYELHPVYAPLPPPPSPLPLLMWPPPSPPSPSPAHGTF >KGN48364 pep chromosome:ASM407v2:6:22272670:22274639:-1 gene:Csa_6G483450 transcript:KGN48364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPHHLSNPPPHLDRSAMDDHHILHHHHHVHDLDSIWPSFLPFQLSDHHDQQLPTSSTHFVIGYSTPSSGTGDDEEEPEEELGAMKEMMYKIAAMQPVDIDPSTIRKPKRRNVRISDDPQSIAARLRRERISEKIRILQRLVPGGTKMDTASMLDEAIRYVKFLKRQIRLLQSSQPPQQPSTSGGATTAGGGGGWHFPFNKANGSTSSSTSMENTPAITPTGWKVCLADSSSWN >KGN46270 pep chromosome:ASM407v2:6:5325828:5327217:1 gene:Csa_6G078650 transcript:KGN46270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVFSLLFLISTASVFSAVTARDYGFTVELIHRDSPKSPMYNSSETHFDRIVNALRRSSHRNTVVLESDTAEAPIFNNGGEYLVEISVGTPPFSIVAVADTGSDVIWTQCKPCSNCYQQNAPMFDPSKSTTYKNVACSSPVCSYSGDGSSCSDDSECLYSIAYGDDSHSQGNLAVDTVTMQSTSGRPVAFPRTVIGCGHDNAGTFNANVSGIVGLGRGPASLVTQLGPATGGKFSYCLIPIGTGSTNDSTKLNFGSNANVSGSGTVSTPIYSSAQYKTFYSLKLEAVSVGDTKFNFPEGASKLGGESNIIIDSGTTLTYLPSALLNSFGSAISQSMSLPHAQDPSEFLDYCFATTTDDYEMPPVTMHFEGADVPLQRENLFVRLSDDTICLAFGSFPDDNIFIYGNIAQSNFLVGYDIKNLAVSFQPAHCGAV >KGN49381 pep chromosome:ASM407v2:6:27986099:27986971:1 gene:Csa_6G522745 transcript:KGN49381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSAPNAPVAGNKKQTKGRQKIEMKKIVNEDDRLITFSKRRSGIYKKASELATLCGAEVGVVVFSPAGKPFSFAHPCIETIANKFLNGNKNNKGNNDDNNNNGDSSSSNNNNNNSNNNNNSNAAHPLVEAHRRVRINELNQQHNQLLSQLDAEKEKGKALEKLKRVRGNGRGWWETPTEELGIEELQEVDASFGEIYSNVCHQLKQRGVIGCCSYNNYNNNMNNSSMGFPLTNDQLGEETIPFNILAGNVPPPLFPLPPPPPYLPPPPQFDFGEQQQHPHPHPPPHPHP >KGN48184 pep chromosome:ASM407v2:6:20951279:20953379:1 gene:Csa_6G446440 transcript:KGN48184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFMHLHHHLPSPSLSFSRPSPPLCRSSSRFSPPSSPLPSQKYTDFSHRLLHLPQKSLSFVLSGALALGITLSGVGFADAKVGVNKPELLPKEFTSVIDVAGFLSDGQEIRLKQEIADIEKDTGYKLRVLAQNYPVTPGLAIKDFWQVDDRTIVFVADPTFGNILNFNVGATVDLDIPRSFWSRLAGKYGNIFYWKEKGEDSSIESAVMAISSCLREPVGANNCSEIK >KGN45713 pep chromosome:ASM407v2:6:698862:702475:-1 gene:Csa_6G007470 transcript:KGN45713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGTSNSNGNACCRHGPGYATPLEAMSGPRETLIYVTAVYSGTGINKPDYLATVDVDPNSPNYSKVIHRLSFPYLGDELHHSGWNSCSSCHGDPSADRRFLILPSLLSGRIYVIDTKSNPTAPSLHKVVEPEDIVQKTGLAFPHTSHCLASGDIMVSCLGDKDGNAQGNGFLLLDSEFNVKGRWEKPGNSPLFGYDFWYQPRHKTMISSSWGAPSAFIKGFNLQHVADGLYGKHLHVYSWPDGELKQTLDLGDTGLLPLETRFLHDPSKDTGYVGCALTSNMVRFYKNQDDTWSHEVSISVKALKVQNWILPEMPGLITDFLISLDDRFLYFVNWLHGDVRQYNIEDPKSPKLVGQVWVGGLIQKGSPVLAEAEDGTTFQFDVPEIKGQRLRGGPQMIQLSLDGKRLYVTNSLFSTWDRQFYPELVEKGSHMLQIDVDTQKGGLSVNPNFFVDFATEPDGPSLAHEMRYPGGDCTSDIWI >KGN46262 pep chromosome:ASM407v2:6:5289890:5292081:1 gene:Csa_6G078570 transcript:KGN46262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGLSNGTRFFKGCRTLLDSLKSTTQAYSSTPGVSSKSTLKPSTAAVSGQKSKPAPKKVANPSGGLLKTQKVSPTLAGFLGQSEIARTEAVKQIWVYIKLNNLQNPTDKRQIICDAKLKAIFGGREKVGMLEIPKFLSIHFVKSG >KGN47375 pep chromosome:ASM407v2:6:14674324:14674872:-1 gene:Csa_6G307360 transcript:KGN47375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSNLNFGVFLFGFLLSNNVIPLNADTILSNIDTLASNICPQTSNPSFCASILENANNIDLKALIAYSLKLAHTNAGKSMTLAKALAVLTTNTLLKKQYLFCFENYDEAMCDIEKAKNDLVFGDYSGVNLAISDAMMIADDCHDSFKQPLKDMSLLPNNTKMLKDIYSIILVISSILPKNI >KGN46870 pep chromosome:ASM407v2:6:10279571:10281828:1 gene:Csa_6G147630 transcript:KGN46870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNHNIIFFTNCNFPKPFSLLNLISSFSLFFLLSLFLQPVSSSSSSSLINNPPPLPILPIPSASQIQWQLGNMALFLHFGPNTFTDSEWGTGHVHPSVFNPINLDAAQWVRVAKDAGFSRIILTAKHHDGFCLWPSEYTNYSVSSSPWRNGKGDVVGELAKAAKEAGLGLGLYLSPWDRHESCYGKTLEYNEFYLGQMTELLTRYGEIKEVWLDGAKGEGEKDMEYFFDSWFSLIHQLQPGAVIFSDAGPDCRWIGDEAGVAKPTCWSLFNSSSAKIGGTDSRYSGEGDPYGHDWIPAECDVSIRPGWFWHPSEVPKSARTLLDIYYKSAGRNCLLLLNVPPNSSGLISDEDVQVLQEFTMIRDSIFSYNLAENALVDGSSTRGDDEDVRFTPANVLKEGIYTYWAPAENQPTWALYLNLQDFISFNVLLIQEPIHMGQRIIEFHFDILNDEGVWSTVVTGTTVGYRRILRFPAVESQFLRLVIEKSRADPLVSYLGIHLDNFSHLDSHLDKTSINGSEIRRQITLNNSEISAV >KGN48236 pep chromosome:ASM407v2:6:21410056:21412467:1 gene:Csa_6G450410 transcript:KGN48236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCYRGKYADELIANAAYIGTPGKGILAADESTGTIGKRLSSISVENVETNRRALRELLFTAPNVLQYLSGVILFEETLYQKTAAGKPFVDVLKEGGVLPGIKVDKGTVELAGTNGETTTQGLDGLAQRCQKYYEAGARFAKWRAVLKIGPNEPSQLSINENANGLARYAIICQENGLVPIVEPEILVDGPHDIKKCADVTERVLAACYKALNDHHVLLEGTLLKPNMVTPGSQSAKVAPEVIAEHTVLALQRTVPAAVPAVVFLSGGQSEEEATINLNAMNKLKGKKPWSLSFSFGRALQQSTLKAWAGKDENIPKAQAALLVRCKANSEATLGTYKGDAKISEGAAESLHVDNYKY >KGN46737 pep chromosome:ASM407v2:6:8933627:8934474:1 gene:Csa_6G127980 transcript:KGN46737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPPQIIQLIIRTTPPPHKIRKESSSSSVEMAVLYKTSQKEERTKEPSWSSPKQWPLVLLLLGCTFGSILFGSVHQNSSASVVVVGGLMVLAAVGVAVAVAVIGVAGLVTWITVVVFLWLIGRSRRRLVAEGRKISKEVMVGFMVRVLLKEGNVLGAISAAVFGYLGLCMGSIIISSYSKLFWLK >KGN46085 pep chromosome:ASM407v2:6:3980992:3981324:-1 gene:Csa_6G052110 transcript:KGN46085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKNHIENGLKNIKISLAGQGQGKTARAKGAWLTARKKGIRLTARKKGRGALYSGVEGSAHGSSVGARLTARLDSRGAITARRKVRLSTKWDTAHGSGKRGVSHGWVAFE >KGN49373 pep chromosome:ASM407v2:6:27953687:27962130:1 gene:Csa_6G522670 transcript:KGN49373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMKLSTLFLSSGFGSLSGKALDKPQFPYSSRCLLNTSCKMRPRRLSSRRKRKYLKKASYEHLSVHADFHPNDDDDSGSENVVEGVPILNQESLSSAVSNTSSAAQHNTEKETGEVDTEGLNKGVQLEDLIGMIKNAEKNILLLNQARVRALEDLEKILSEKEELQREINGLEMRLAETDTRIEVAAQEKVHVEQLEDQFEELQKQLNFSSGTEQSMNGNLNDVPIDSLTKELHLLKSENIDLKNDIQTLKEELSNVKNSDQHLAFLEEERSVLESSLKDLESKLSTSQEDGSKLSVLNAECMDLRNRVEHLQVLLDKATEQADQAIRVLQQNQELQEKVEKLEESLEEANAFKLSSEKLQQDNELMQQKIGLLEDRLQSSDEELQSYIKLYQESVKDFQDTLDTIKEANKKTAIDEPVNDMPLEFWSRLLLLIDGWLLEEKISGDDAKLLKEMAWKRDARIYDAYMACKEKNELEAVALFLNLTSSPKRSGLYVIHIAAEMAPVAKVGGLGDVITGLSKALQSRGHLVEIVLPKYDCMEYSRIKDLRLLDAVLESYFDGRLFKNKIWVGTVEGLPVYFIEPLHPDKFFWRAQYYGEHDDFRRFSYFSRAALELLTRAGKRPDIIHCHDWQTAFIAPLYWDLYYPKGLNSARICFTCHNFEYQGTAPASDLGSCGLDVDQLNRQDRLQDNSSHERINAVKGAVVFSNIVTTVSPTYAQEVRRAEGGHGLHSTLNFHSKKFFGILNGIDTEVWNPATDSFIKVQYNANDLQGKAENKDALRRHLGLSSNVRKPLVGCITRLVPQKGIHLIRYAIYRTLELGGQFVLLGSSPVPHIQREFEDIANHFQSHDQIRLVLAYDESLSHWIYAGSDMFIIPSIFEPCGLTQMIAMRYGSIPIVRKTGGLNDSVFDVDDERTPTELQNGYTFLTPDEQGMNSALERAFSHYLNNPSSWKQLVQKVMDVDFSWETSAAQYEELYSKSVARAKAAAAGRT >KGN46485 pep chromosome:ASM407v2:6:6739838:6740568:-1 gene:Csa_6G101980 transcript:KGN46485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKIVAVFFMCIVVMASLQFGNATNEEVKKYEAKYEAKYVSCYENCLRICLARLSGRGYCEVKCDEDCEEKEVAEKLHIQIKH >KGN49038 pep chromosome:ASM407v2:6:26330879:26331551:1 gene:Csa_6G511050 transcript:KGN49038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCINTLHFPPLQKIKGFICCEVEDLNLALPIENIAACKNQAFTTSSAVAEAEVEHGPPPLPVRIDHSIVKPADLCKLPKTTIVVSKQQLELILRKSKNFGSKGIAVQFSDSFKVDDGCPRWHPALPTIPEVRNY >KGN46116 pep chromosome:ASM407v2:6:4229636:4231471:1 gene:Csa_6G055370 transcript:KGN46116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVANEDFQHILRVLNTNVDGKQKIMFALTSIKGIGRRFANIVCKKADVDMNKRAGELTAAELDNLMVVVANPRQFKIPDWFLNRQKDYKDGKYSQVVSNALDMKLRDDLERLKKIRNHRGLRHYWGLRVRGQHTKTTGRRGKTVGVSKKR >KGN47415 pep chromosome:ASM407v2:6:14964177:14968890:-1 gene:Csa_6G315640 transcript:KGN47415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVFPEVIEEMVRNMSIKKKNNNEDDGNKEKIGKEGRKNKSMICLSGSVKTSKFEDMASVCSKRGNKGINQDSLVLWEDLGCQGDVVLCGMFDGHGPWGHMISKQVRKSLPSQLLTNIQQNLSMQTDQTHLFPFNLWKQSCLKTYATIDEELKQHPRIDSFYSGTTALTVVKQGRHLVVANAGDSRAVLAVTSDDGCLKSIQLSVDFRPNLPEEAERIKQSKGKVLCLKDEPGVYRVWTPDSGTPGLAISRAFGDYCSKQYGLISVPDVSHRVITTKDQFVIVATDGVWDVMSNQEAVHIVSTTPNREMSAKRLVDCAARAWKSKKRGFARDDMSAICLFFHAAHTQND >KGN46421 pep chromosome:ASM407v2:6:6258230:6259647:-1 gene:Csa_6G091940 transcript:KGN46421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSPDMAAVTDSLEQSFRNFSLNHRLSSAAPSSAGVRRSPSSFSSSSSSSDDEPHLPLHQHNRFDTILELNSHISLPPFWEQCLDLKTGEVYYRNCRTGMKVKEDPRTAVAHSRDLYLEDDDGEDGDESSSDGGSEESCSSSSYGGSRQQYPAENVEDVLVVAGCKRCFMYFMVPKQVEDCPKCSSSRLVHFDRSDESNGFP >KGN47245 pep chromosome:ASM407v2:6:13288424:13288643:-1 gene:Csa_6G231040 transcript:KGN47245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSIIPLNAEAVRRAEEPFTVEAVGRVEVVRRSPFATLCCVGSRPSIRRSGRPHVLCGNRAWR >KGN49495 pep chromosome:ASM407v2:6:28669472:28672725:-1 gene:Csa_6G526290 transcript:KGN49495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPDHLFNLRNNFYLGAYQAAINNSDLPNLSPDDVIERDSIVFRSYIALGSYQLAISEIDSSAPTPLQAVKLLALYLSDPSSKESTIASLQEWLSDPAIGNNSTLRLIAGIIFMHEQDYNEALKHTNAGGTMELHALNVQIFLKMHRSDYAERQLRVMQQIDEDHTLTQLANAWLNLAVGGSKIQEAYLIFQDFSEKYPMTSLILNGRAVCCMHMGNFDEAETLLLEALNKDAKDPETLANLVVCSLHLGKPTSRFLSQLKISHPDHMLVKRISTAEENFDRAVQSVA >KGN46739 pep chromosome:ASM407v2:6:8956786:8962742:-1 gene:Csa_6G128000 transcript:KGN46739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRHRPPPPPRPGPPDENEPYNIIPIHNLLADHPSLRFPEVRAATAALRAVGDLRKPPYVQWLPHLDILDWLALFFGFQKDNVRNQREHIVLHLANAQMRLTPPPDNIDTLDATVLRRFRKKLLKNYTNWCSYLGKKSNIWISDRRQADQRRELLYVSLYLLIWGESANLRFIPECICYIFHNMAMELNKILEDYIDENTGQPILPSISGENAYLNCVVKPIYETIKAEVESSKNGTAPHRVWRNYDDINEYFWSKRCFQKLKWPIDVGSNFFVTSSRSRHVGKTGFVEQRSFWNLFRSFDRLWVMLILFLQAAIIVAWDGRQPWFSLRERDVQIKLLSVFFTWSGLRFLNSLLDAAMQYSLVSRETLGLGVRMIMKSIVAAAWTILFVVFYVRIWSQRSQDRVWSAQANKDVGNFLIAAGVFIAPEVLALALFILPWIRNFMEETNWKVFYMLSWWFQSRTFVGRGLREGLVDNIKYSLFWILVLATKFSFSYFLQIKPMMAPTRALLNLGDVPYEWHQFFRGSNRFAVVLLWLPVVLIYLMDLQIWYSIYSSFVGAAVGLLDHLGEIRNMPQLRLRFQFFASAIQFNLMPEEQLLNARGTLRSKFKDAIHRLKLRYGLGHSYKKLESNQVEATKFAIIWNEIITIFREEDIISDREVELLELPQNSWSIKVIRWPCFLLCNELLLALSQAKELIDAPDKWLWHKICKNEYRRCAVIEAYESIKHLLLQILKHNSEEKSIMTVLFQEIDHSIAIEKFTKTFNMNALPDLHAKLIILAELLNKPKKDTNQVVNTLQALYEIATRDFFKEKRTGDQLINDGLALRNSTSTTGLLFENAVQFPDVTNESFYRQVRRLHTILTSRDSMHNIPINLEARRRLAFFSNSLFMNIPHAPQVEKMMAFSVLTPYYSEEVLYSKEQLRTENEDGISILYYLQTIYVDEWKNFLERMHREGMVIDREIWTTKLRDLRLWASFRGQTLTRTVRGMMYYYRALKMLAYLDSASEMDIREGSQELDSMRREGSIDGIASDRSTPSRSLSRMGSSVSLLFKGHEYGTALMKYTYVVACQIYGTQKAKKDPHAEEILYLMKTNEALRVAYVDEVSTGREEKEYYSVLVKYDHVLEKEVEIYRIKLPGPLKLGEGKPENQNHAIIFTRGDAVQTIDMNQDNYFEEALKMRNLLEEYRRNYGIRKPTILGVREHIFTGSVSSLAWFMSAQETSFVTLGQRVLANPLKIRMHYGHPDVFDRFWFLTRGGISKASRVINISEDIFAGFNCTLRGGNVTHHEYIQVGKGRDVGLNQVSMFEAKVASGNGEQVLSRDVYRLGHRLDFFRMLSFFYTTVGFFFNTMMVTLTVYAFLWGRLYLALSGIENTIASESNNGALATILNQQFIIQLGLFTALPMIVENSLEQGFLQSIWDFLTMQLQLSSIFYTFSMGTRAHYFGRTILHGGAKYRATGRGFVVQHKSFAENYRLYARSHFIKAIELGLILTVYASHSAVSTNTFVYIAMTFTSWFLVISWLMAPFVFNPSGFDWLKTVYDFDEFMNWIWYRGSIFAKAEQSWERWWYEEQDHLKTTGFWGKVLEVILDLRFFFFQYGVVYQLGISAGSTSIAVYLLSWICVFVALATYVVVAYARDRYAAKEHIYYRLVQFLIIILAIVVIVALLEFTAFKFRDIFTSLLAFLPTGWGLLLIAQVLRPFLHSTILWDIVIAVARFYDILFGVIVMIPVAVLSWLPGFQSMQTRILFNEAFSRGLRIFQIVTGKKSKVDQD >KGN48798 pep chromosome:ASM407v2:6:25146932:25152903:-1 gene:Csa_6G501870 transcript:KGN48798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLVAYLYHAALSLNFIYLLIVLVSSASDSELNCLLEFKKGILKDPHNSVNGKWDLASVSNFDGCPSSWTGVSCDENGNVSAIVLDRLGLGGELKFQTLIGLKSLKNLSLFGNDFTGRLVPALGTLSNLQHLDLSSNRFYGPIPERINDLYNLNYLNFSANEFNGGFPVGRLNLNQLKVLDLHSNRLYGNIGLLVSQLRNVEYVDLSHNEFYGGLSIGPDNVSSLANTLKSFNLSYNRLNGGFFDVDSLMLFRNLVVLDMGHNQIIGELPSFGSLPNLRVLRLGYNLLSGSVPGELLNRSLQLEELDLSGNAFTGSNLRVDSSTLKFLDLSSNNLSGDISVLQSWEANFEVLDLSSNKFSGSFPNITSFFQGLKVLNVRNNSLEGPLPFTLVNYPSMSAVDFSLNGFSGTVPASFFTSVTLISLNLSGNRLTGPIPLQGSSVSELLVKPSDLPLEYLDLSNNSLIGGLPSEIDKLARLKLLNLAKNELSGPLPDQLTRLSNLEYLDLSNNKFTGEIPGMLPDLHVFNVSYNDLSGDVPDNLRNFPISSFRPGNDKLNLPKEIGSENSIPNNFPEHGRRRTSKANIQIAIILASVGAVVMIVFLLLAYHRAQLKEFHGRSIFSGQGTERNIKIERFRPSIFKFQPNNQPPPTSSSFSNDHLLTSTSRTLSGQAEFSSEISEHVLPGGAAASSSMIIPNLLDDPVTSGKNSSPGSPLSSSHQFVDGREQPVTLDVYSPDRLAGELFFLDNSLLFTAEELSRAPAEVLGRSSHGTLYKATLDSGHMLAVKWLRVGLVKHKKEFAKEVKRIGSMRHKSIVPLRAYYWGPREQERLLLADYILGDSLALHLYETTPRRYSRLSFSQRLKIAVEVARCLLYLHDRGLPHGNLKPTNIILAGHDSDARLTDYGLHRLMTPAGIAEQILNLGALGYCAPELACAAKPGPSFKADIYSFGVILMELLTKRSAGDIISGQSGAVDLTDWVRLCDQEGRRMDCIDRDIVVGEEPSKAMDELLGVSLKCIRPVNERPNIRQVFDDLCAICV >KGN46755 pep chromosome:ASM407v2:6:9105437:9106623:1 gene:Csa_6G128650 transcript:KGN46755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKEAKEAEALLQGQAEIWKYMLCFADSMALKCAVELHLADIINSHGSPISLSQIASSIAASNPSSAPQISYLNRIMRLLVRRNIFAAHHPSDGGDALYGLTHSSKWLLRDSPLTLAPMAFSELHQWMVNPWLCFTGAVKEGGSPFKIANGLDIWDFAFKNPQFNHFFNNAMASTSKVVMNAVLSVYLDGFNSVHSLADVGGGIGGSISEIVKALPHIKGINYDLPHVVSTAPVYEGVIHIGGDMFEDIPKADAIFMKWILHDWNDKECVKILENCKKAIPEKRGKVIIVDVVLNEEGKGAFDDTRFYFDLLMLAHTNGKERTEKEWKTILEEAGFSRYKLIPLPALVSIIEAYPS >KGN48951 pep chromosome:ASM407v2:6:25963889:25967179:-1 gene:Csa_6G507250 transcript:KGN48951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTFSSGAQMLLVLSVFILAGSLRSGDAASFKFDIHHRFSDSIKGIFHSEGLPEKHTPGYYATMVHRDRLVRGRRLAASDVDTQLTFAYGNDTAFIPDLGFLYYANVSVGTPSLDFLVALDTGSDLFWLPCECSSCFTYLNTSNGGKFMLNHYSPNDSTTSSTVPCTSSLCNRCTSNQNVCPYEMRYLSANTSSIGYLVEDVLHLATDDSLLKPVEAKITFGCGTVQTGIFATTAAPNGLIGLGMEKISVPSFLADQGLTSNSFSMCFGADGYGRIDFGDTGPADQKQTPFNTMLEYQSYNVTFNVINVGGEPNDVPFTAIFDSGTSFTYLTEPAYSTITKQMDAGMKLKRYSLFGPNFPFEYCYEIPPGAKEFQYLTLNFTMKGGDEFTPTDIFVFLPVDETTHVACLAIAKSTDIDLIGQNFMTGYRITFNRDQMVLGWSSSDCYDNGVGTPSGDTPPADSPSDSPPTDSPPSVSPPTDSPPSDSPPTDDTPPSDDSPPSEDSPPSEDSPPSEDSPPSDDSPPSGDSPPAPSTPGGRPGLPGLGGAAQLNPLGFVFGAVLAILALV >KGN46563 pep chromosome:ASM407v2:6:7308485:7308775:-1 gene:Csa_6G108610 transcript:KGN46563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEYMERVKAVDFQGAMNGSDEVNTVKFKFSYEEEESQCWSSTVGMKLAYSMTIEAGIPFIMKTNIVISGDISDSYTWGQTNKKSTPKTSSYSLEV >KGN46208 pep chromosome:ASM407v2:6:4979972:4980223:1 gene:Csa_6G074605 transcript:KGN46208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYFSVFVTVMALIIVVASAESRPCDDIYVVKEGETLHTISAKCGDPFIVDNNPHIQDSDDVFPGLLIQITPTLINSRKLLL >KGN48431 pep chromosome:ASM407v2:6:22813292:22815103:1 gene:Csa_6G487520 transcript:KGN48431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCSTDYSAIVFALTAIIIVPIFLFIFLVYHNIIMRYWNYLATVPWNWPILGMTPTVIAHIHRVHDRLTEVIQEVGSTYLFKGVWFSGMDLLFTVDTSNINHILSVNFERYPKGPDFKYIFDILGDGIFNSDSDVWRALRKTAYALVHDHKYLKFLETITLKKVKEELVPILQTVSENGSVLDFQDLFQRLSFDVTCMLVTGSDLHSLSLGFRHLFSKAIDDVEEVILLRHFYPKKLWELQKKLQIGQPVKLKQAWEIIDENISTLIASKRESLKNQMKEKEGGDDDEEGADLITSYITNMNKDDKFLRDTVLNFMIAGRDGLSATLSWIFFCLSNNPIVVEKIREELRTTIPTSEPCDQWRIFSTEEVDKLVYLHATLYETLRLYPPLPFQHKVSVHHDVLPSGHHIKPKTKILFSLYALGRMSEVWGKDCFEFKPERWISENGKIKHVPSYKFLAFNAGPRTCLGKQVALIGVKIVAAAIIYNYNVIQQSGHEVVPSSSIILHMKRGLKVRVTKRWIT >KGN46465 pep chromosome:ASM407v2:6:6590528:6591566:1 gene:Csa_6G095320 transcript:KGN46465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEEQRLELISHAIRRLLVDNKNKKSSDRSSVDDGDENGNPSLLRDLLSQIESLKEGTESEELISALDTLKTKVESSIKEEIVDDECSREDVVKELKKLKRQNLLTHCLLSVMIVLTVVWQLSEVSIILNVKDKISHPFRSLGNFISGMFRRPKTIVDNTDKISSKQDHDEVSLLPPLKISDLPQVGLQ >KGN49215 pep chromosome:ASM407v2:6:27144964:27146752:1 gene:Csa_6G517230 transcript:KGN49215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRLLDHQLSDICLGKPALTSISLSATLADALSALKKLGENYISVWNCSSHYSKSSSHYDCRCIGKISVLDVVLFLCKEENLSQPALALQSSVSVLIPPVPVLVRHLEPHASLMEAIDLLLEGAQNLVVPIQTRTSAKSREKVLEVVAPFDCPLHNGLEYCWITQEDIIRYLLNSIGLFSPTSITPVNSLNAIDTVNILALHYDDPALSALPLLSQAIIHQSSIAIVDSDGKLIGEISPLTLNSFDETITAAIVTLSAGELMAYVNCNDPPEYLVQLVKDRLEGRNLRGLLEWVEEESAMSAMSSCSSFCSSSSDDDSGSWWGRSGKLRKCSTRQVRRSSEVAVCNPRSSLVAVMIQALALRVPYMWVTEEDECLVGIITFTSMLKVFHERLKSMC >KGN47056 pep chromosome:ASM407v2:6:11826859:11828191:1 gene:Csa_6G181610 transcript:KGN47056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRSWSKSMVQIPDKSSQSSPFSCSSFKDVQELFADEPPPKPTSRKASIVFQRVRFVNSLLRAWSNLPSESQSQLRFQPESEPVLKFLDPKSTKSSQVPSRSEAGPVSDKRIVVYFTSLRVVRSTFEDCKTVRSILRGFRVSIDERDLSMDSGFVAELQQILGKKELPLPTVFIGGEYIGGAEEIRQLHEIGELKKLIEGLPTADSGVCEVCGGYRFILCEDCNGSHKLFTEKSGFKTCTTCNENGLIRCHSCSSSATL >KGN47679 pep chromosome:ASM407v2:6:16912208:16912854:-1 gene:Csa_6G374720 transcript:KGN47679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFRVFSGNNQVIPAKSETATIVYGGAGNVKSVIPKKRRLVKRMMYDCIKEWIKSLFCPTPKLGGSTNPPEKEATHQH >KGN45747 pep chromosome:ASM407v2:6:912134:918339:-1 gene:Csa_6G008780 transcript:KGN45747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSMDRSRTFLRDVKRLVIKVGTAVVTRSDGRLALGRLGALCEQIKELNSQEYEVILVSSGAVGIGRQRLRYRKLVNSSFADLQKPQVDLDGKACAAVGQNSLMALYDTLFSQLDVTSAQLLVTDNDFRDKDFRRQLSDTVKSLLTLKVVPIFNENDAVSTRKAPYEDSSGIFWDNDSLAALLALELKADLLVLLSDVDGLYSGPPSDPHSKLIHTYVKERHQGEITFGDKSRVGRGGMTAKVKAATGAAYAGIPVVITSGYAPGNILKVLKGDRIGTLFHQDAHLWTPQKDVGARDMAVAARESSRRLQAISSQERRKILLDIADALEANEKLISTENEADVAEAQQSGYEKALVSRLAMKPGKISSLANSIRTLANMEDPIGHVLKRTEVSDGLVLEKTSSPLGVLLIVFESRPDALVQIASLAIRSGNGLLLKGGKEARRSNAILHKVITEAIPESIGGTLIGLVTSREEIPDLLKLDDVIDLVIPRGSNKLVSQIKNSTKIPVLGHADGICHVYVDKSADLEMSKRIVLDAKIDYPAACNAMETLLVHKDLVQTGGLNELVVNLRIEGVTLNGGPRASSLLNIPEARTFHHEYNSLACTLEIVDDVFDAIDHIHQHGSAHTDCIVTEDHEVAEVFLRQVDSAAVFHNASTRFSDGARFGLGAEVGISTSRIHARGPVGVEGLLTTRWILRGSGQVVDGDKGVVYTHRDIKIES >KGN47709 pep chromosome:ASM407v2:6:17287859:17290250:1 gene:Csa_6G382970 transcript:KGN47709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISRDSMHKRRATGGKKKAWRKKRKYELGRQPANTKLSSDKSIRRIRVRGGNVKWRAFRLDTGNYSWGSEAVSRKTRILDVVYNASNNELVRTQTLVKSAVVQVDAAPFKQWYLQHYGVDIGRKKKTAASAKKDEEGDAAAAAAEEVKKSNHVQRKLEKRQEGRKLDPHIEEQFSSGRLMACISSRPGQCGRADGYILEGKELEFYMKKLQRKKGKGAGAA >KGN45900 pep chromosome:ASM407v2:6:2132003:2133436:1 gene:Csa_6G020140 transcript:KGN45900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQGHMPPMIDLAKLLARRGVIITIVTTPHNAARNHSILSRAIHSGLQINVVQLPFPCLQGGLPEGCENLDLLPSLDLASKFLRATFFLLDPSAELFQKLTPRPTCIISDPCLPWTIKLAHKFHIPRIVFYSLCCFSLLCQPTLVNKESLLRSLPDQALVTVPDLPGYDFQFRRSMLPKHTDQYFAAFNREMEEADLKSYSIIINTFEELEPKNLAEYRKLRDLPEKVWCIGPVSLCNHDKLDKAERGNKSAIDQHECLKWMDWQPPSSVVYVSLGSICNLTTRQLIELGLGLEASKRPFIWVIRKGNETKELQKWMEAYNFKEKTKGRGLVIRGWAPQVMILSHTAIGSFLTHCGWNSTLEGISAGVPMITWPLFSDQFNNEVLIVKMLKNGVSVGVEASLQWGEEEEIEVAVKKEDVMKAIERVMSGTKEGEEIRERCKELGKKANRAVEEGGSSHHNIKLFIDDLIDLAGGDPN >KGN48860 pep chromosome:ASM407v2:6:25476081:25481550:1 gene:Csa_6G504420 transcript:KGN48860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPFQTKTPRVFCIATADTKLDELRFISHSVRCNLNSFSTASSSFKVEVTIVDVSTSNQKGIESLDDFFFVSREEVLSCSNLTGNHLPDDRGKAISIMSKALESYLSKAKEDGIIAGVIGLGGSGGTSLISSALQSLQIGIPKLIVSTVASGQTESYIGTSDVILFPSIVDVCGINCVSRVILSNAGAAFAGMVVGRLGTLKDSCDSNEKPTVGLTMFGVTTPCVNAVKERLLKEGYETLVFHATGVGGKAMESLVREGFIQGVLDITTTEVADYLIGGVMACDSTRFDAIIEKKIPLVLSVGAVDMVNFGSKDTIPSNFHGRNIYEHNKQVSLMRTTVEENRKIAHFIADKINNSSAKVRVCLPQNGVKVYPYHINDPEFAEVLVNSFLEITSKDTDSCGPKLVLAETSRDLRKDFISESNLSANENITYSLSDFPEARPETLRRTRMILGNLKAQILKGVPIIGAGAGTGISAKFEEVGGVDLIVVYNSGRFRMAGRGSLAGLLPFADANAIVLEMANEVLPVVKTVPVLAGVCASDPFRRMDYILKQVESIGFSGVQNFPTVGLFDGNFRQNLEETGMGYGLEVKMIERAHKMGLLTTPYAFNEDEALDMAKAGADIIVAHMGLTTSGSIGAKTALSMEESVVRVQAIADAARRINSNVLVLCHGGPISGPAEAAFILKRTKGVHGFYGASSIERLPVEQAITSTVQQYKSISMT >KGN46741 pep chromosome:ASM407v2:6:8984162:8984595:1 gene:Csa_6G128020 transcript:KGN46741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMAMELDDSFSNVLLPFSLVSFTEDGRTPRFLINPLRTVVSLRNPPSPLVELKRRTALVSLPSFVEAYYGILLSSSALF >KGN48532 pep chromosome:ASM407v2:6:23484633:23487293:-1 gene:Csa_6G490960 transcript:KGN48532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVVLAKTDSEVSSLTPSSPTRSPSSRRPVYYVQSPSRDSHDGEKTTNSFHSSPVLSPMGSPPHSHSNSSLGPHSRDSSSTRFSASVKPGSRKPPNHKIPKPWKRFDAIEEERLLDDDGASDRFTRRCYFLAFVISFVLLFSLFSLILWGASRPQKPTILMKSILFDKFVIQAGADFSGVATGLVTMNATVKFIFRNTATFFGVQVTSTPLQLSYSQLTLASGTMQKFHQRRKSQRPITVTVKGSGIPLYGGGASLGSVNGKPVEPVPMNLQFTVRSRANVLGKLVKPKFYKSVDCSVVMDPINMNKPISLKNKCTYRSSA >KGN46969 pep chromosome:ASM407v2:6:10893315:10896847:-1 gene:Csa_6G154500 transcript:KGN46969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGIFSSSIMSPPDELVAAGCRTPSPKISSTALAKRFADSNSAAVSLQIGDHVHLAFTHHNESPLRPRSFAAKDEIFCLFEGALDNLGSLRNQYGLPKSTNEVLLVIEAYKALRDRAPYPADHVVAHLTGGFAFILFDNSTSTLFVASDQIGKVPLYWGITADGYVAFADDATLLKGACGKSLASFPQGCFFSTAVGELRCYENPKNKITAEPAPEEEIWGAKFKVEGPTGVLATGLGFNNFPMEIS >KGN48474 pep chromosome:ASM407v2:6:23109557:23112013:-1 gene:Csa_6G489900 transcript:KGN48474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFRAFLNSPVGPKTTHFWGPVANWGFVAAGLADMNKPPEMISGNMTAAMCVYSALFMRFAWMVQPRNYLLLACHASNETVQLYQFSRWAKAQGYLSEKKDEAGSQ >KGN47128 pep chromosome:ASM407v2:6:12295830:12296209:-1 gene:Csa_6G188700 transcript:KGN47128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVKLSNKPIQRMRERLDKGSRLGRTAREKEPARTLALAQLGDVRLDGGNDYVKVVAARV >KGN47200 pep chromosome:ASM407v2:6:12724000:12724525:-1 gene:Csa_6G198280 transcript:KGN47200 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin family protein METVNKLVSDRPVVVFSKNSCCMSHSIKTLLCDFGVNPTVYELDELPRGKEIEQALLRIGCNPAVPAVFIGGELVGGANEVMSLHLKRNLIPMLRKAGALWV >KGN48866 pep chromosome:ASM407v2:6:25501304:25502814:-1 gene:Csa_6G504470 transcript:KGN48866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPQSVSAVFSAYASFATTMMLIRSLTNELLPAKFISLLSSIYVYFFGSLSSQTKFVIDESSGLSPNEVFQAADIYLRTIISPSTDILKVHKTARQQNITLSIYKDQEITDYFQNIRLQWKLVCSADSHDKKEKRHFELLFPKKFKDRVVDFYLPYVLRKAKEIKGENKTVRICSQDISGGDEESPFAWWGSVNLDHPSTFDTLAMDPELKQWIIDDLDRFVRRRDFYRKVGKAWKRGYLLYGPPGTGKSSLIAAMANYLKFDIYDLDLSSIDSNRDLMRNLLPTKNRSILVIEDIDCSVQIQNREIDRGYGRPNGKFTLSGMLNFIDGLWSSVGDERIIIFTTNHKEKLDPALLRAGRMDVHIHMSYCSPKGLKVLASKYLGEEATEHGVYGEIEELIGADMEVSPSEIAEELMKGEQLEAVLGGLLNFLKRKREEKMKEKKREGDGKIEEVVKEEELKEGESVN >KGN48813 pep chromosome:ASM407v2:6:25241572:25244871:1 gene:Csa_6G502020 transcript:KGN48813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTGEEGTPSKTSKPPSSSQEIAPTPSYPDWSSSVQAYYGAGATPPPFFASTVASPTPHPYLWGGQHPLMSPYGTPVPYPAIYPPGGVYAHPNITVTPGSVPINAEYEGKSPDGKERVSKKSKGMSGNTASGGGRTGESGKVASSSGNDGASQSAESGTEGSSEGSDENGNQQEFAANKKGSFNQMLADGANAQNNTGGPNAKSSVTGKPIANIPGTNLNMGMDLWNTTNAGSGAGKVRGNAVSSAIVTVPMVGRDGMMPEQWVQDERELKRQKRKQSNRESARRSRLRKQAECEELQARVQTLNNENRTLRDELQRLSEECEKLTSENSSIKEELTRFCGPEALANFEKGNAAPPAQSRGGEGKD >KGN49389 pep chromosome:ASM407v2:6:28027349:28029545:-1 gene:Csa_6G522820 transcript:KGN49389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTLLAFLSPLLPFAASLVFLLLFLEQISYLKKKRSVPGPSLVLPFVGNAIPLVRNPARFWEIQASLAKSSGVGFSVNYIAGNFIVFIRDTELSHKIFANVRSDAMNLVGHPFGKKLFGEDNLIYMLGQKHKDLRRRIAPNFTPKALSTYTALQQIIILKHLKLWEQKSSESSPKPIPLRYLARDLNLETSQMVFVGPYLAEKVREKFRVDYNIFNVGLMKLPIDLPGTGFRNAKLAVDRLVEVLADCAEKSKTKMKKEEEPTCLIDFWMQDTVKELEAAAAAGEPEPVHTSNFELGLYLFDFLFAAQDASTSSLLWAVSLLDSHPEVIDKVREEVKSIWSPESDTLISSEQLLEMKYTQAVAREVVRYRAPATVVPHVAAADFPLTDSYSIPKGAIVFPSAYESSFQGFVEPERFDPERFSEERQEDRIFKRNFLAFGAGSHQCVGQRYALNQLVLFIAMFCTLLDFKRHTSDGCDEIAFNPTICPKDDCMLSISMRCPRFPNLST >KGN48748 pep chromosome:ASM407v2:6:24886206:24888957:-1 gene:Csa_6G500400 transcript:KGN48748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVSPLAKYKLVFLGDQSVGKTSIITRFMYDKFDTTYQATIGIDFLSKTMYLEDRTIRLQLWDTAGQERFRSLIPSYIRDSSVAVVVYDVSNRQSFLNTTKWIEEVRTERGSDVIIVLVGNKTDLVEKRQVSIEEGDAKSREFGVMFIETSAKAGFNIKPLFRKIAAALPGMETLSSTRQEDMVDVNLKPAVNSSQSEQQGGGCAC >KGN45887 pep chromosome:ASM407v2:6:2005358:2006964:1 gene:Csa_6G017030 transcript:KGN45887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCYILKVANQGGGDGDGDRDELEGMFSSSAGELMAMVSALTHVISGGSAAPATVRTAVGAPATGCRKREREEGGESGMIVDSTAMQSFSMPSPAIFLREEGGSSSSNTISVVTAATTAPTMAAAFSGEDRIRRRYRGVRQRPWGKWAAEIRDPQKAARVWLGTFDTAEAAARAYDGAALRFRGSKAKLNFPEFVSVFPPSSLPSATHLASSPVVVPPQDAIGNYLQYSRLLPNSRETSSQPVPAFERVLCNSPLVNPSSLVSSSGVSNFPFPSSEQQVRHYLPPENQSQGNGGSSMPFPTGFSYRPPANG >KGN46792 pep chromosome:ASM407v2:6:9500921:9503679:1 gene:Csa_6G135970 transcript:KGN46792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNTASSSNDASSNRRNSKRPKYSRFTQQELPACKPILTPRWVISAFMLVSIIFVPIGVASLFASRDVVEIIDRYETECIPERFRSDKVGFIQGTANKICRRNITVPKRMKQPIYVYYQLDNFYQNHRRYVQSRSDKQLRDPGSERDTSSCKPEDNWNGQPVVPCGLIAWSLFNDTYNFTLNKKQVAINKVGISWKSDREHKFGKNVFPKNFQKGNITGGKKLNESVPLSQQEDLIVWMRTAALPTFRKLYGKIEVDLEKNDVIDVVLENNYNTYSFNGKKKLVLSTTSWLGGKNDFLGIAYLTVGGLCFFLAMAFTVVYLVKPRRLGDPSYLSWNRNPSGH >KGN47237 pep chromosome:ASM407v2:6:13113290:13116622:1 gene:Csa_6G224010 transcript:KGN47237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYYPITYCEPTRDEFLGLKQGSISVAEYERKYTELSRYANVILASESERCQRFFSVSGDCHSCGVEYNIREISREQRRFTPGVKFSSRQDFKNRSRGQASRNMSYGSVFQSQSQSIPNQSNRSTVRPQPSKEFVASTVRRTPCTSCGKNHRGHFKKDYPQLNMIVQKDQGVGSQTVE >KGN48492 pep chromosome:ASM407v2:6:23200277:23200615:1 gene:Csa_6G490070 transcript:KGN48492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAVNSYEHSQNKTCFSRDAIDSRDANAIYLPNLTPFLAFLVACCTEYLTCGFRRQENAQVESFYIPKMEYLSDINSSWTYGHGCFTAAVRIGKLYLLIWFIYQRIDLYSMF >KGN46320 pep chromosome:ASM407v2:6:5616177:5616626:1 gene:Csa_6G083550 transcript:KGN46320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKYASNGGMSEASMAVSLKYVVETSKCWESSIIAGWGAMVSIKAGIPEIAEFGIELNYNEERSHTWGQTITEYMREVMATYTLNVPPMTRRKVTLSATKAKCDVLFLYTQRDVLVDGRQVITDCDDGLYSEVNTYNFDYQNKPLPFS >KGN45690 pep chromosome:ASM407v2:6:551238:554575:-1 gene:Csa_6G006760 transcript:KGN45690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIVSFQPHSSLHTSLNSIRPNPSLRICRIHCQGNNPTTDSPNNQESKPENAVLKVAWYGSELLGIAASYLRPPLDVQTPLRAQELTTDVSGSIPRPLIVETIKEDFRRSYFVTGNLTLQAYEEQCEFADPAGSFKGLRRFKRNCTNFGSLVDKSNMKLTKWEGFEDKGIGHWKFSCILSFPWRPILSATGYTEYYFDARSGKVCR >KGN46306 pep chromosome:ASM407v2:6:5528063:5536762:-1 gene:Csa_6G081470 transcript:KGN46306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLPPKLSRPLHRDSDVPEMPSNSLWVGNLSMEVTDGDLMNLFAQFGGIDSVTSYPSRSYAFIFFKHMEDAQAAKEALQGYFLRGNSIKIEFARPAKPCRNLWVGGISPAVSREQLEEEFSKFGKIDEFKFLRDRNTAFVEYVRLEDASQALRMMNGKRIGGEQLRVDFLRSQPMRRDQWPDTRDGHGQLQARNMGMGDFQSGYKRPLHAQSSEVRRDGPPSKVLWIGYPPSVQIDEQMLHNAMILFGEIERITSFHSRHFAFVEFRSVDEARRAKEGLQGRLFNDPRITIMFSNSDPGPVKEHPGFYPGGKEARPDMFFNEHQIRPPPMDLLGHPHPMVQNKFPGPLPSSGILGPNTGVRPPPFGPPPGISGPPEFNDLATSHSFQDANSKNMMGPNWRRQSPPAPGILSSPATGIRPPPPVRSTPNSWDVLDVNQFQRDSKRSRIDGPPSLEDVSFPPRKMDNRSMGFDQQYGIGPISDGGSSVPYANAPAKTPPIPIGTRAPISGPGQSHAENDFIWRGIIAKGGTPVCHARCVPIGEGIGSELPEVVNCSARTGLDQLTKHYAEATGFDIVFFLPDSEDDFASYTEFLRYLGAKNRAGVAKFDDGTTMFLVPPSEFLRKVLKVSGPERLYGLVLKFPQVSVSEPAPQQSYLPVSTSDYGERQHVLPSQTEYGSVPSKQEQLPPMDYSRVLHDEIKEPPKPLLPTSEPQEYSGNNNTATISQAGLALTPELIATLVSLLPGKTQSSSLESAKQPAVSPQPPVPIPPVVSNKGATSEGWMVGHQSSDLNGQPFQQMGNHFNPQGQSLSQFQPYPPLPQTPNQHAPQAIGTSQIQDAAVSLPQQQQVPIPYRPLSTYSAPPENQASGLALASSQYQHDVSQMSQRGYGPVNGVDTSGYGPPVMQQSTNTVTLSNHGQSSTTQSQPITQLASDRVNPELPYQMQHLQSANLGTGTGPSDVESGKDQRYRSTLQFAANLLLQIQQQQQQQQQQAGWGSGNQ >KGN48473 pep chromosome:ASM407v2:6:23102855:23108110:-1 gene:Csa_6G489890 transcript:KGN48473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLHNFLLRRLVSLLHPWLPEDSDLQLNLGFTRSTIDAHDLHLNVSSFNELIEETAASMSFKEVVVEHLSVVVTYWPFPAFDIKLHGVRVTLSIREVISRGKRDSDNAFSEILKKNLSAIDPEGVTLHDIMKSFLHPPPRYRLKTASTNLLLKRGCVQMSNIDFVLEFPSFNYVFYCSLSIKCLSAKIENRNHGCLHELIHAIFKPLSHGCLAITGNDLEIVLKWETQISRILNLKVIFSRCKMYDLQLVDVNLRIPELSLSITPVMVSIYSVINGMSSKEYKFSRNGRELWKLAAQRISHITSSPRMSWHRLVTVVMLWVQYVNAYELLLLLTGYPMANLMKKFTYKISSDRKLFTSFKKHLMIILDIEKKLPIGSIAQGRKIARYRAIRNIEDKKEVSSIVQLKFFYQVFSLLSCIWKMLCGIFCFIERCIVKTLTQPHKLDGCVKIVRRDSNSQFCFMLNTGKLLVSIYPPDDIQPPTFENLKSSFGIPSSFSLSFCFSFDSLVVMYMVDLCEQSLLMSCDQFNVTPLPSVEASNGGGCSVDLLGSLEGCEMERANSLKSFIRGEPAQSFFPSNGREIDTGCNQFIVKYLEGMWLRWKSVCRNLEEGMIPYSDNPWFLCEISSSMTKSVLENSSTSIWKCNLALGKLNFALQYSSVLSAALLLQLASSWTEDEQSPEVSLHPPTVAGDNREACLNNKYENCASQMMTPLLEKLSLKDIQVAMHIAGSKIKMALGKDFSDDDEISSEISHKGDSLIELDVHAVEIAVCPTSSSDFTFLMEDSSEADDKELVCLSLKGHHISPIGSEKYTSQELVSLWFYLQVKGLKAYFGSLDGIQEKNQIFIFNPMLILSSIVRKSVHSFSENFNAFSVAFDCTTTGFTSLSYMEDLYLLIKVIVSQ >KGN48635 pep chromosome:ASM407v2:6:24156268:24159569:-1 gene:Csa_6G496380 transcript:KGN48635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFGVVGLDSFVDSSDAPSPHPASDPDTNLKSLGSAFSKQDRSGFVDDDWRSSKIPKTETVSASSKTMPLHQGFPLLRSNTLLSSDGRQKEHMLSFSSVKSETSLQSKDSDLISRNTQNSIFPYNQQKSSVYSRNTGCVSGSYSSMHGPVAGIRGPFTQSQWIELEHQALIYKYLSSNVPVPSNLLIPLKKSLYPYGLTCSSAGSLPPNTRWGSFHLGFSGNADPEPGRCRRTDGKKWRCSRDAVADQKYCERHINRGRHRSRKPVEGQTGHSAPGTTNPTAMVATMSTSLSSTVMPSGGASNNLPIIQHQLKSLHPVAASDPGADAPVNRLLNKETVCSRIQESGGLSMMSSTVNLMSSNDKSGAKQEISIGESTQSEFGLVSTDSLLNPSQRGSYPNPKNYDSFLIFNDQESQDQDQHPLRQFFEDWPKDQSSRSVMTWPEEFKSDWTQLSMSIPMSDFSSSSSSPTHEKLAQSPLRLSRELEPIQMNLGVSRNDGELVQKQGNQITMSWGSSMGGPLGEALTNGSSCVKASKVPPSLNLLAEGWDGGQLGSSPTGVLQKATFCSLSNSS >KGN47073 pep chromosome:ASM407v2:6:11946438:11947196:1 gene:Csa_6G185230 transcript:KGN47073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDKENEENPNSSNSKLPDIKNKNVQQQEQDINLQIRTSTSQEQKIEIVSTNEELVIRSPNRPRITESDEAESSKKNEQESVSYLNCDELGEDPLLTTEKILLKYNDFIEYVYQILEKDEKKQDWSEIVERVQMLDKTLNEHLSYVEISMEVLEEMGGFEKQYDFRKIHIVDILDVIQSINKGIASSGSFRLVSDIKNRGKVLPICLGEFEGWREDLIQLIESMQTVKKRAMEVDRDNDTKRPMEEDDEETD >KGN48165 pep chromosome:ASM407v2:6:20853572:20854812:-1 gene:Csa_6G445780 transcript:KGN48165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYILDGSSYMKTAKKLHEMDPLQKPKQDRYALRTSPQWLGPLIEVIRFSTKSIEREINSVNDNPLIDVSRNKALHGGNFQGTPIGVSMDNTRLAIASIGKLMFAQFSELVNDFYNNGLPSNLSASRNPSLDYGFKGAEIAMASYCSELQYLANPVTSHVQSAEQHNQDVNSLGLISSRKTAEAIDILKLMSSTFLVALCQAIDLRHLEENLKSTVKTIVSQVAKKVLTTTSNGALHPSRFCEKDLLKVVDREYTFAYIDDPCSAIYPLMQKLRQVLVEHALANGDDEKNTSTSIFQKIATFEEELKVVLPKAVENTRLAYESGEAPIKNQIEECRSYPLYQFVRKELGTNLLTGEKMISPGEECEKVFTALCQGKMIDSILECLKEWNGAPIPIC >KGN49343 pep chromosome:ASM407v2:6:27781397:27798206:1 gene:Csa_6G520410 transcript:KGN49343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSPVKFKLGKQSSLAPDGDVHLEDLEELVKQHQTEEGIDSRVRLMYLANEGDLEGINEVLDSGVDVNFRDIDNRTALHIAACQGFADVVALLLERGAEVDSKDRWGSTPLRDAIHYKNHDVIKLLEKHGAKPPVAPMLVKNAREVPDYEIDPKELDFTNSVNITKGTFRRASWRGTEVAVKELGEDLFTDEEKVRAFRDELALLQKIRHPNVVQFLGAVTQSWPMMIVTEYLPKGDLGALLSRKREIKTMSVVRLALDIARGMNYLHENKPAPIIHRNLEPSNILRDDSGHLKVADFGVSKLLTVKEDKFSTCSETSRRYQAPEVFKNEEYDTKVDVFSFALILQEMLEGCSPFPDKADSEVPKLYAAGERPPFGALIKRYANGLKELIEECWNEKPNKRPTFRQIITQLEFIYNRFCHKRRWKVRPLKCFQNIEAMLKKDRLRRSSFNLSSHSSASKFASFWDEKHGNQQYQIHMGNRIFAMFVHHMAFRNSKLLILTIMDLFFPCHCLVNIVNRSVTVRPTFNVTVRPTFNIVKITHLFVKNQKNMQQSKQPSLKSTALRSGGSSFMYFSRTQGKPLGREGAQCLYGLKDNLSYERYRAQAWWKGKSMSVKQSFFLAQNCYSRALATQAIAYLQQGKLQIKGMFQNQEEKMSDSPQRKMGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSSRGRLYEYANNSVKATIDRYKKASSDSSNTGSTSEANTQFYQQEAAKLRVQIGNLQNSNRNMLGESLSSLTAKDLKGLETKLEKGISRIRSKKNELLFAEIEYMRKREIDLHNNNQMLRAKIAESERNVNMMGGEFELMQSHPYDPRDFFQVNGLQHNHQYPRQDNMALQLV >KGN49366 pep chromosome:ASM407v2:6:27903133:27910748:1 gene:Csa_6G522110 transcript:KGN49366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNKKQNESREREREREREREFVYSASKNISSSSHGGTLAGNGLNTALFEGFSDLNLSPARERGGGGKTTNGTSGIPPEWRKMVQSLKEIVNNCTDQEIYATLRECNMDPDEAVNRLLTQDPFHEVKSKREKKKENKDPIDSRSRGSSIPSSRTSKGGTDRYAGRSSSIQFGSSDTGLSSSKPVYKKENGASDHAGSSSASGQSGNHSFYQFPSHSSNNVATENKLSGLGAGDGAISSSQTSFGFQSAWLGAQGQVSMADIVKMGKPQSKSSSMQNTYLQGSSSHNSVPFQSTPTLPNFHSAPRASTVTEAHSGPGIMSQQASLNDEWPSIEPPQPVGISSSVESPAVLELHSSPANLSLDSPNQHVHQDKAQVVESSSVDTIDVNHAAHASILGSNIPEDNSGSASVSDSNLYDDMNSYLPHRHVIEHNEAEDGVSSMSANFQQLSLQKEDQDSPPEEDNTSVVIPHHLQLHTPDCFHLSFGSFGSGTNANFSGSGAFPNSNVEESSAPADVSSVAHSEARNSEYYEDDGANSDGNLIHRTSASGGYYETPTTQAEVKQESSENAQANLYAFPSSSPGFSYESNQPSEIPFIQNSSEMQNLERAMLAYTNTLSNNMLLASTSQTVREDPQYSPFPDTQSVPKYSNAASSITGPSMSMPEVLRTSSITTSQPTPQSNVAAGPAVPQHLAVHPYSQPTLPLGHFANMIGYPFLPQSYTYMPSGFQQAFAGNSTYHQALAAVLPQYKNSISVSSLPQSAAIASGYGFGSSTSIPGGNFPLNPPTAPAGSSIGYEDAISSQYKDSNHLLSLQQNDNPAMWIHGPGSRTMSAVPASAYYGLQGQNQQSSGFRQAQQPSQQYGALGYPNFYHSQAGISLDGQQQTLRDASLGGSQGQQPKQSQQIWQNSY >KGN49436 pep chromosome:ASM407v2:6:28314457:28318525:1 gene:Csa_6G525220 transcript:KGN49436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSSFLTSLGTSFVIFLVLMLVFAWLSSRPCNHVIYYPNRILKGLDPTVGSRSRSPFAWITEALSSSEKDVISMSGVDSAVYFVFLATVLGIFVLSAVVLLPVLIPIAVTDDGIKNAKMNNTQSVGTFSELDNLSMGNINLRSNRLWAFLLATYWVSFVVYYLTWKAYNHVSALRAEALMTPEVKAEQFAIIVRDIPPVPEGQTRKEQVDSFFKNIYPDTFYRSLIVTDNKKVNKLWEELEGYKKKLERSEAVFEASKTEAKPEGVRPTHKTGFLGLIGKKVDSIEFYSEKINELVPKLESEQKATLREKQKNAAVVVFNNRKTAASAAQNLHAQIVDKWTVLAAPEPRQIIWPNLYINFIQRQVRQYVVYVIVALMIFFYMIPITAVSAVTTLDNLRKFLPFLKPVVNIGAVKAILEAYLPQLALIIFLALLPKLLLFLSKTEGIPSEGHAQRAASGKYFYFTVLNVFIGVTLSGALFRTFKSIQKDPNSLVPLLASSLPGSATFFLTFVALKFFVGYGLELSRIVPLIIFHLKKKFLCKCEADVKDAWTPGDLGYGTRIPGDLLIFTIVLCYSIITPLIVPFGVIYFGLGWLILRNQVLKVYVPSYETYGRIWPHIFNRIIASLLLYQLTMFGFFGVKKFYYAPILIPLPIISLIFAFLCHKKFYRSFANTALEVARNDLKEVPSMEQVFRSFVPPSLSSEKVDDDHFEDARSQVSRTGSFV >KGN49072 pep chromosome:ASM407v2:6:26485594:26487860:1 gene:Csa_6G512870 transcript:KGN49072 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CHRC description:hypothetical protein MAFVSQFNQLPCKTLALNPPQPQLTSKPSVFPIASIGATARAAAGKSLISVRPAFKVRAVLNDDEWGEDKDEKYGDDSSVAVAEKEEEKPLEPSEIYKLKKALVDSFYGTDRGLRVSRDTRAEIVELITQLESKNPTPAPTEALTLLNGKWILAYTTFAGLFPLLSRNLPLVKVEEISQTIDSENLTVQNSVQFSGPLATTSITTNAKFEVRSPLRVHIKFEEGVIGTPQLTDSIVIPDNVDFLGQKIDFTPFNGIISSLQDTASNVAKTISSQPPIKFSISNTRVESWLLTTYLDEDLRISRGDGGSVFVLLKEGSSFLSL >KGN46454 pep chromosome:ASM407v2:6:6471739:6477930:-1 gene:Csa_6G094720 transcript:KGN46454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSLKSVLVEFRASANAFLSKYEPLALVFGPLLVLLLARVLQSFLDLVYEAGLKATCLSFFMATIKLIPGVKRRIDSEKQKVVEKLQSSGNSKRESWRTELPMAGLGTEVIEKMKSEKQNDVVWQGKCSGTVYIGGSESEGHFSIINEACSMFAHTNPLHLDVFQSVVRFEAEVVAMTAAILGSKVEASGGQICGNMTSGGTESILLAVKSTRDYMKSKRGIKKPEMIIPESAHSAYDKAAHYFNIKLWRVPVDKEFKADVKAIRKFINRNTILIVGSAPGFPHGIIDPIVELGELASSSGICLHVDFCLGGFVLPFARKLGYPIPPCDFSVKGVTSISVDVHKYGLAPKGTSVVLYRNHEIRKHQFVAVSEWSGGLYVSPTIAGSRPGGLIAGAWAAMMSLGEEGYLQNTKEIMEVSKRIHRGINEIPELFVIGKPDMTIIAFGSTALDIFEVNDIMSSKGWHLNALQKPSSIHICVTLQHVSIVDDFLQDLQESVTIVKKNPGPINGGLAPIYGAAERMPDRSMVQELLISYMDGTC >KGN48248 pep chromosome:ASM407v2:6:21491236:21496597:-1 gene:Csa_6G451510 transcript:KGN48248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLHEGLFVFVLLLWFIASFMIHGSNHHERKPYIVYMGDLPAGSPSTTVADDHHNLLLDAIGDEKIARESKIYSYGKSFNGFAARLLPDEATKLSDEESVVSVFESRKKRVLTTRSWEFLGLNHQYSKRNPLIESNLIVAVFDTDSPSFSDEGYGPPPPKWKGKCVTGPNFTACNNKVIGANYFDLDKVTSYPELSVADTDGHGSHIASTVAGSAVAGASLYGLAKGTARGGVPSARIAVYKVCWSVFCNEMDVLAAFDEAIADGVDLISVSIGSPPMDFFRDGQAIGAFHAMKKGILTTTAAGNDGPELFTVENVAPWIMTVAATGIDRGFVTAFELGNGNKFTGGSINTFSPQKQMHSLTSGAKAAFNNGTPHQGNASACDPNAMNQSKVKGKIVYCLKTYTDPSIKSLGGTGVIQLTQQQTDYSSILLLPGATIPSVSGKYIDLYINSTKNPKAVIYKSETVKIDAPFVASFSSRGPQRISSNILKPDLSAPGIDILAAYTKLATLTGDTSDSRYSFFTVMSGTSMACSHATAAAAYVKSFHPDWSPAAVKSALMTTATPMKIKSEDVVLGSGAGQINPTKAVHPGLVYNISFDSYISFLCKEGYNNTTIGLLGGSKKYNCSKIKPAQGTDGLNYPTMHKQLSDPSSAIEAVFYRTVTHVGYGASLYRANISSPDSLSVKVFPDTLNFVKLHETRTFKVVVKGKPMPKGTQILSALLEWTDSKHIVRSNILIYREKI >KGN47627 pep chromosome:ASM407v2:6:16586294:16588922:1 gene:Csa_6G366250 transcript:KGN47627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEEIMEIGSDPHIIAFPFPSQGHINPQLQFAKRLISHGIKLTLLTTLHVSQHLKLQGDYSNSFKIEVISDGSENRQETDTMKQTLDRFQHKMTTNLQNYLHKAMDSSNPPRFILYDSTMPWVLDVAKEFGIAKAPVYTQSCALNSINYHVLHGQLKLPPESSIISLPSMPPLSANDLPAYDYDPASADTIIEFLTSQYSNIEDADLLFCNTFDKLEGEIIKWMESWGRPVKAIGPTIPSAYLDKRIENDKYYGLSLFDPNQDDHLIKWLQTKPPSSVLYVSYGSIVEISEEQLKNLAFGIKQSDKFFLWVVRETEARKLPPNFIESVGEKGIVVSWCSQLDVLAHPAIGCFFTHCGWNSTLEALCLGVPVVAFPQWADQVTNAKFMEDVWKVGKRVKVDEKRMASEEEIRNCICEVMEEERGSEFKKNSLEWKQWAKEAMEEGGSSYNNIMEFVSMIKQS >KGN49155 pep chromosome:ASM407v2:6:26848929:26849891:1 gene:Csa_6G516630 transcript:KGN49155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALYLLPSLLLLMAKASAQPNFISHLCLYKTGNFTPKSTFKANLDHVLSSVTTNGILDNSFYRFSYGQNSDIANAVGLCRPDIKLDTCQSCLNDSVRLLTKRCPNQKEAIGWYENCSLHYSSSSLSNKMHISPMFRTRSTKNATNTDQFIRKLRILLNSLKSKASLGDHGSLHKYAAGNMSSSELETTYALVQCLPDLTPQQCDDCLSSIFKKLPSCCSQSAGIFTPSCLVIYKPTRFFDSVTDDGPTSSSTVHESRAAGMYVSCCLSSQSNVNSGPNSGFCREKEWEEQSSYCDHNGFVSSFLLDFDNLHLRVSAIQED >KGN47085 pep chromosome:ASM407v2:6:12039624:12042172:1 gene:Csa_6G185830 transcript:KGN47085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSLQFPIPIDNLPITPPPKFLLSGCALPKPYEFKRRRLGNLRVRAADVAAPAAEEAALPGGLQKEFLPRHVAVIMDGNVRWARAMNMADSKGHDAGVRSLREMVDLCLEWDIPVLSVFAFSYDNWLRSKREVEFLMFLFERVLKSEMEKSSRKGIRLSVIGDLSLLPKSLQNLINEAVERTKSNTKLQLIVAASYSGRYDVVQACRNLARKVKNDEIQVEDINDDLIEQELETKCTHIPHPDLLIRTSGELRISNFLLWQSAYTEFFFSETLWPDFRKDDFVEALLSYQTRQRRYGGRQS >KGN49262 pep chromosome:ASM407v2:6:27389854:27391634:1 gene:Csa_6G518170 transcript:KGN49262 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain-containing protein 21/22 MIIIIKIMEAYGSIMCPSCAYPLEIDEVNRSLVEDWGKLPAGVKFDPSDQQILEHLEAKVKEDKQKLHPLIHHFILTLDGDDGICYTHPQYLPGMRKDGGVRHFFHRSPKAYTSGTRKRRKVRTDEEEGTDTRWHKTGKTRAVSDGSGGSDSRVMGFKKILVLYSNYGNQKKPRKTNWVMHQYHLGVTEEEKDGQWVASKVFFQLQPRQTSIMPSNSIHQTRGGEASSLV >KGN47633 pep chromosome:ASM407v2:6:16610920:16616095:-1 gene:Csa_6G366310 transcript:KGN47633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEVLVPIRDNVADEALMFDQINMEIKTEENEIDIQKGDVYAPASEKGEGSSVVFSREGPLVKKESVLAHGCNSNEQSPKSMLMVTDHKQGKKGKSCHEKKLSRQDRFELGRLFQGAVSSHDWELADSLIALADPPTLNDALCITLDSIWFLSTQQELHGITGLIKNIIVSGAYDFTRAALRTSFLASCVSACQSRTMSLADTVTVMAQRLRERLQECNGDEVLKAEAGTKVQKFTEWALKCIGFHSGCHGNKDRVTQSSAAEIQLQLSAFKMFLDFAGNQLTGKDFTEAFDAACFPLTLFSSSFDPGWATGISATAIQGLLCLLVEGGADNVNQCFLEASRFGSTELVRILLQIAQRNSLDVDVDLALGFASHYCKIGTMECLVEEGNAIAFLGPLMRAAERGCLPVVEWFVKRGCQDMELCLALTAATSSSQINVAAYLLPHVPQHVLAALSIEILKAAGERSSGSLDGVEFLLHSNFLGDVSATYAVADSISKSSDESVAPELRAFLREHWSEAAYVDGLKQGQENYLNFVRILRWGGFPISLRDIPAPLRVAIAYLPLYRECIKVNGYLFSQKLRGQLVEAARRLGGGVLEEVSNGRELLAVLEHHLPPFLLHKFKFA >KGN47348 pep chromosome:ASM407v2:6:14438569:14441170:-1 gene:Csa_6G302160 transcript:KGN47348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSESNRVPPAAAETSLPRKKMTKQLTGKRDDTPLHSAARAGNLTAAMEILTDTDEMDLRELLAKQNHSGETALYVAAEYGYVDLVRELLKYYDLADAEIKARNGFDAFHIATKQGDLEILRVLMEAHPELSMTVDISNTTALHTAATQGHIEIVDFLLEAGSGLATIARSNGKTALHSAARNGHLHVIRALLAKEPIVATRTDKKGQTALQMASKGQNLEVVEELIKADPSSINMVDNKGNTVLHIAARKGRAEIVRMLLRHSETNTKAVNRSGETALDTAEKTGNPDIALTLKEHGVQSAKAIKPEVKNPARELKQTVSDIKHEVHYQLEHTRQTRRRVQGIAKRLNKMHSEGLNNAINSTTVVAVLIATVAFAAIFTVPGQYVDDPEDVPEGFSLGEANIAPKAPFIIFFIFDSIALFISLAVVVVQTSVVVIESQAKKQMMAIINKLMWLACVLISVAFLALSFVVVGEREKWLAIGVTLIGTTIMATTLGTMCYWVIKHRIEASNLRSIRKSSMGGSRSKSCSLSVMSDSEILNNEFKKMYAI >KGN46067 pep chromosome:ASM407v2:6:3854739:3856543:1 gene:Csa_6G046450 transcript:KGN46067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKRIYKISIHFSFFILCEVKKRRLKKEDEDGNVFNSPLLSLCLILLFFCHFIFLSRTPFFLYSIFFFLFLSLHPLSPFLSLSLNFFFLFFWVEIPGGLSQMCCTLYARIFFILFHKLRSAFLEDLPLLLFSLLVAQFGTSSEPLISRFIVSVWFLLSRRFKVYFFGNLFCRGGHFQDLYLIAN >KGN46232 pep chromosome:ASM407v2:6:5118538:5120038:1 gene:Csa_6G076800 transcript:KGN46232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASKSLLLFLAIAATAAIVSAHNITDMLKDFPQYSVFSNYLQQTRLADEINSRQTITVLVVANGALSPILDKHPLSVIKNLLSLHIVLDYYDPAKLHKISNGTTLSTTLYQTTGHAPGNLGFVNITDLQGGKVGFGSAVPGSKLESSYTKSVVSLPYNISVIEISAPIVAQGILTAPAPSASDVNITALLEKAGCKTFAALIVSNGVIKTYESAVEKGLTIFAPNDEAFKADGVPDLSKLTNAEVVSLLLYHALPGYTPIGTLKTTKDPINTLATGSAGKFDITTTTAGDAVTLHTGVGPSRVADTVLDSTPLAIFSVDSVLLPSELFGKSPSPAPAPEPVSSPSPTPSVAPSPSPIAKAPSPLAASPPAPPSDTPEGSPANAPSAEAETSTPGSFAVGVKASGMVAVAVIATTMISSLLLS >KGN48831 pep chromosome:ASM407v2:6:25333872:25334886:-1 gene:Csa_6G502690 transcript:KGN48831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRIRRKCLFQLESPSDAVPLSPSASSKIPFPSTKVRKISSNQEPTKPQISAPGGYNPTRIFPNLADPVKSLSSSDKISTAINHLRRSDPLLISLLDSCETPNFKSNPPFLALTKSILYQQLATKAAEAIYNRFASLCGGEAAVLPDTVLGLSPQQLRVIGVSGRKASYLHDLATKFIEGSLSNSFILEMDDETLLRALTAVKGIGVWSVHMFMIFTLHRPDVLPVGDLGVRKGVQRLYGLKELPKPAEMEKLCEKWKPYRSIGAWYMWRLIDAKEIVKNGCD >KGN45657 pep chromosome:ASM407v2:6:360737:361868:-1 gene:Csa_6G004480 transcript:KGN45657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVSKASVELPILDISQPLSPSSLSSLADACKKWGFFHIKNHGISKEIYNKLHSFSNEIFNLPSETKLKIGPLSSVNTYTPHFIASPFFETLRVSGPNFLASAQNSAEFLFNQKSSHQFSEILQEYGDKMTELSRKIIKNILMSFGEGFEKKYHEPHFKNCHGYLRINNYTPPETLEDDEEVEGLGMHTDMSCVTIVYQDQTGGLQVKSSEGKWVDINPCEESEALVVNIGDLLQAWSNDRVRSSEHRVVLRRPAINRFSIAFFWGFEDEKVVSAPEDLVEEGGERMYKPFVCSDYLKFRENDERGKFEKVGFTVTNFAGKKD >KGN47849 pep chromosome:ASM407v2:6:18578339:18579753:-1 gene:Csa_6G407100 transcript:KGN47849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILQITIIPHRFTQICAFIDAKVKWVRDPYLDFAVQREKNLKQVISLKNIIISIPTTTISKFFELYPYVFIQFQPSLGLHPHVKITSQALLLHKEESTIHNSRLHRDDVVKRLAKLLMLIGVGKFPLYVIERLQWDLGLPYRFIPTLLAGYPEYFQVCSVKDCLTVSELKKRESLEGNFGRRKINHIPFPMSFPRGFDLKKKVMNWVEEWQDLPYISPYENAFHLAPNTDQAEKWVVAVLHELLYLTISKKTEKENIFCLGDYLGFGYRFKKAIAHHPGIFYVSNKIRTQTVVLREAYKKDFLVEKHPLMGMRHQYLHLMNKVIRKPRPGIILASSRGKSSNITFANKEV >KGN49012 pep chromosome:ASM407v2:6:26215482:26220410:-1 gene:Csa_6G510300 transcript:KGN49012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPRLVNPDGDIQIHGPRGSVASDLTHTHRGDPCLVLTSDPKPRLRWTADLHERFVDAVTQLGGAGKATPKAIMRTMNVKGLTLFHLKSHLQKYRLGKQSGKDMGEASKDGAYLLESPSTNNFSPDLPISEMADGYEVKEALRAQMEVQSKLHLQVEAEKHLQIRQDAERRYLAMLERACKMLADQFIVGAVSDSDSKKSEGQDRKSPRSTSIDPLGFYTTQSQEMERVNGTEEVQANLPCQRADCSTESCLTSNESPGGLAMEKSPAASKKNMVNLGSATASLIWSGAKEGIQNANIIQVNHHGVSGCDMWG >KGN47118 pep chromosome:ASM407v2:6:12230935:12236249:-1 gene:Csa_6G188110 transcript:KGN47118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQFEIEKYSDDQQSLGTSGRVSLCATNQNLKLHEKFKKERHSFTYGDVHDCPYKTSRNHQKDEISGKITKKDEIVRYMSNLPCYLERGEHPQEKVLSVGVLNWGRLEKWQYGHKQLSSRSSWNPTVRSNGSSSSSSDSFSPHFGKDHIIPRPRLHRPSLYSHLLASPHSQFVRSYGESDEKDKDLKFVHSNTLKGQSKSIKSNQHSCKSDREVKIKQADRAGPETEILQECKTLPDVLNYEVASSQCGELIGADKSHAQKDSADEHDVLERPEAIVLLPCSLVKMNDKQVPELSDSTFLLSLRSNKASQQCSMRRSTASFSPELNCKIPNSSKAPCEVNGNQFPLKQNCSTNASSNSRSVSRSAKAGCSPCKSRVSAAETSDVTPLSSVVMEASIGLDLKASTVTVEKARSPSPFSRLSISMGRRRKSSNSVGNSCASVQGSAHISVQSGSENAMPSACLSELRNDKPINTSRASSSPLRRLLDPLLKPKAAVYHHAVEPTEKDLHDVPDKIYNRQSNSSTLQSRMLKLDMGRCRKISVNDTALDKKQGSSVVHALLQVAFKNGLPLFTFAVDNVSNILAATVKLTSSRKGTVSHVYTFFIVQEVKRKTGSWINQGSKGKGRDYVSNVIAQMNVSDSEISRVTRPYNPSTREFVLFSVDLKQGDHQTSDFLPNEELAAIIVKIPPKIKQGTATDEVKINTNKNLTKGGSRECFPHSKVSEPVQHPAGSESFISTTVLLPSGIHSLPSKGGPSSLIERWTSGGSCDCGGWDLGCKLRVFANQNQIIEKSSSSQPVPLTDQFKLFPQEGVQENHCVLSLAAFKDMIYSIEFDSSLPLLQAFSICLAMIDCKNSSELSESSILFEAKTSGESKLMHNDRLWTTNLGEREDPAEHISCPPLSPFGRV >KGN47249 pep chromosome:ASM407v2:6:13391131:13392528:-1 gene:Csa_6G235570 transcript:KGN47249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEQLSRRDNTTKETEIQVEKERVPQLTSHFEAIAGQGKATIPEKTEEKQRSGASEGRKEAHGTSIAKRELPGKEVERHRFEGEGGKPIETHELASQFESLAQKVRDNRETDTENERQRQARENEQIVSKQRDSEQAKEKEGEIGTRQNKPSLEEISNYRAISQERSNEAIRAAKERYEKANRESLNQGVRGKTEELEQRETEEGEENTVEGGGEWTGLETVKETLTSATKTAKDYTVPIAEKAKGYTVQKAIEAKDVAVSAGQKTAHYLEEKAVAAKDVAVESGKVAAEYAGKAAEDLKDKAVVAGWSTAHYSCDTAVEGTKAAARLVKEAAEYAGAIAGKPLSAAKSVAESTGESVKEYTARKKEEAEREAMYKTGEKEAMYEKTKETFEGGKEELKGRGDEKRVDDGGILGAIGETIYEIAQTTKEIVIGGGDESGKQSKLGYEIGEEEQYETDTRKEQRG >KGN49200 pep chromosome:ASM407v2:6:27050818:27059923:1 gene:Csa_6G517080 transcript:KGN49200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSALTTASSSSSPLSSKSCYNSDCKELRPDRSRKGWRLRTGDFAELCDRCASAYEEGRFCETFHLNASGWRCCESCGKRVHCGCIVSAHAFTLLDPGGIECMTCARKNVILPLNPAWPPSLLFHSALPDRLKELSVKNWSQLAGSGPVPWRQAPSMFNSSLPSGELHHRAPYEVDISAALNKLNTSERLPVSLEKRKNEDFSERFLNGSLKPCGQDLCENGTAGGIKCDDKPSSCSNMPKQSSFVKEDSSTMQYGLNIPYAPPNEPSARGRISGTHLRPTPLSSLPKQIHTNLQNGADSSNETQLRNGRPRGESRGKNYLLPRYWPRFTDQELQQISVDSNSVITPLFEKMLSASDAGRIGRLVLPKKCAEAYFPSISQPEGLPLKVQDAKGKEWIFQFRFWPNNNSRMYVLEGVTPCIQSMQLQAGDTVTFSRLEPEGKLVMGFRKASATADQENETNKTKNGAPVHGDAELADPNSWTKVDKSGYIAKEVLGAKPSISRKRKNSTLGSKSKRLRIDNEDMIELKITWEEAQGLLRPPPNQVPNILVIEGFEFEAYEEAPVLGKPSIIPPDNTGERIQWTQCEDCLKWRKLPASALLPSKWTCSDNSWEPERSFCSAPQELSTEQLEELLSPGNSVAPVKKMKAAKLEPDNVEALEGLDTLANLAILGEGEASQTPGQATTKHPRHRPGCSCIVCIQPPSGKGPKHKQTCTCNVCLTVKRRFRTLMLRREKKQFEKEAETMRQRHKFQDEMFPDRSMDEESLTCSNTSTSKLMEEGKMNDGSDEDPNRNKPSTSPFKGQIDLNMQPEREEELSPGSDSGSMMKMLQDTGDRFLEQQRSNSGGTRSSSSDPLEPGGEREHKGESSSNVIDLSSNNLDADKDHPATLSLNPSASMSTTG >KGN45957 pep chromosome:ASM407v2:6:2752336:2752605:-1 gene:Csa_6G038770 transcript:KGN45957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPLHLRLLKSPPLRHLSYLISLFVGRSSSQIFFFYVICLLRSLPLSNVRPSSTVFDIRLLSTIYIVRLFVRPSSSIFTVCFLSFLFI >KGN47630 pep chromosome:ASM407v2:6:16593996:16596379:1 gene:Csa_6G366280 transcript:KGN47630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKAMANGGGGRIKQNHVIVFPFPRHGHMSPMLQFSKRLISKGLLLTFLVTSSASQSLTINIPPSPSFHIKIISDLPESDDVATFDAYIRSFQAAVTKSLSNFIDEALISSSYEEVSPTLIVYDSIMPWVHSVAAERGLDSAPFFTESAAVNHLLHLVYGGSLSIPAPENVVVSLPSEIVLQPGDLPSFPDDPEVVLDFMINQFSHLENVKWIFINTFDRLESKVVNWMAKTLPIKTVGPTIPSAYLDGRLENDKAYGLNVSKSNNGKSPIKWLDSKETASVIYISFGSLVMLSEEQVKELTNLLRDTDFSFLWVLRESELVKLPNNFVQDTSDHGLIVNWCCQLQVLSHKAVSCFVTHCGWNSTLEALSLGVPMVAIPQWVDQTTNAKFVADVWRVGVRVKKNEKGVAIKEELEASIRKIVVQGNRPNEFKQNSIKWKNLAKEAVDERGSSDKNIEEFVQALAASN >KGN47945 pep chromosome:ASM407v2:6:19308092:19308811:1 gene:Csa_6G418930 transcript:KGN47945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSFEEIRKENGNEVHVVMIPYPSQGHINPLLQFAKYLHHEGLKVTMLTILTNSSSLHDLPNLTIQNVSLFPYQGTDPETHHASSERRQASIRLHLTQLLTRHRDHGNPIACLVYDSIMPWVLDIAKQFGVLCAAFFTQSSAVNVIYYNFHKGWLSNDALKESLICLNGLPGLCSSDLPSFVSEQHKYPALLSFLADQFVAVNGAHWIFANTFDSLEPKVTSQVSTYIVTYSLFCSYI >KGN46706 pep chromosome:ASM407v2:6:8636916:8637206:-1 gene:Csa_6G124210 transcript:KGN46706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQARCPNVHMQGFIGIEQARDSRTMPCNSTSYTGKGFLHIDHSDKVDQVELQKDVTKASKNLMSYGDFLR >KGN46753 pep chromosome:ASM407v2:6:9088896:9089172:-1 gene:Csa_6G128630 transcript:KGN46753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSEGRSAVAVAVAVAVVALAVLKSGSGRWAPQEKGLGRGNKEKQRRRRRGRGRGRMELGVYGKGKGMTFP >KGN48052 pep chromosome:ASM407v2:6:20054212:20055866:1 gene:Csa_6G426380 transcript:KGN48052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTLHNYNSLQKQGILTILPSSDHHYPSLPPPSLRRTLSADMSSTKWCSPIKKIPSSQAFHDNSNNNKIDSSKHGVHDSVPWSSILLHNSVSDDPPKSPVVAAPYVHPLLKKTSHSLSDISLQICTESLGSETGSDGFSSYPSSEDGDFDRLITETDYSEIDTFEWKPVKFSRKKSPPRSFPPPISSLDSPDGVSICIQSRREDGRLMLDAVSVPSRKNFRAERRDGRLILSLFRTPENLLVNEEEELEEMIAGEFEEVKESEIVEVGNDENVLEVEELEIPIEKTPRLSSSVMNFHRLTNMMKKTNGLINRNPAWPKEKDASETPTTPLSQSLPPRPPSLTAATAGTFLNAYEYYWRSKPTGKSAGIQNPNGQQQTQITRKLISSNNQMADEKQQILVLKGNRGDYLVPLSNGCKVPRRSVLLREPCCIATT >KGN45735 pep chromosome:ASM407v2:6:848528:849357:-1 gene:Csa_6G008660 transcript:KGN45735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSSFFFFFFTLFLFPFADGVQLIIVNNCKHSIWPGILGNAGHPIPRDGGFHLCTGEQTVIEMPERWSGRVWPRQGCHFDQKTGLGSCQTGDCAGLLQCRGTGGVPPATVVEMTLGTSKSSMHYYDVSLVDGFNVPVEMAPMGGGVGCGVAACAADLNRCCPSALEVKREGKIVGCKSGCLAAKSAKYCCRGEYAEAKKCKPSIFGHLFKAICPMAYSYAYDEITGLKMCRAPSYHITFCPPTSI >KGN48599 pep chromosome:ASM407v2:6:23932430:23935341:-1 gene:Csa_6G495040 transcript:KGN48599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDVRATSAWVASRSSHVLVDSSGIEKVVETIESIPKVVWDFEGIHYFDNGPLTVQYLFVLDALNFCFWPDKELSYDHLASGLKAALQNDKSAFDADRLQKYTGPELRELLKWPRPLPLEDERVRLLREVGCELERSFEGKAANIVESCGKSAVKLVAVITQHFPGFRDHSLYKGHQVFLYKRAQIFAADLWGAFNGQGYGEFNDIGSITIMADYIVPAVLRQLGVLKYSSTLASIIDANSEIGPGSEEEVELRACSIYAVEKMRELISMKSGKQVLSVELDLWLWSFGIQCPSLTHHRTLSIYY >KGN45619 pep chromosome:ASM407v2:6:127101:130345:1 gene:Csa_6G000670 transcript:KGN45619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLIGDDIDEISRYVSDVPPAHYTVKIESFSLLTKNSVDQFESGEFEAGGYKWKLVLHPHGDKSKNGNDHISLYLEIAGTDSLQPSWEVFVVYRLFLLDQNKDNYLTVEDGKWKPRRFRGMKKQWGFDKYISLKEFKESSNGYLVDDVCVFGAEVFVCKENFKGGKGECLSMIKSPVTYKHVWKIDNFSKLDAESYESKIFNAGDKKWKIRVYPKGHGSGEGSHLSPYLELADPAALHPATKIYAEVTLRLQDQIYSKHHSGKVSYWFSASNPEVGGPRFILLDNFKQPNIGFLVKDAFIVEAEVSIIGVANAFS >KGN46484 pep chromosome:ASM407v2:6:6735254:6738608:-1 gene:Csa_6G101970 transcript:KGN46484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMPVLSPLFLILLFSLTFPPTKSTAVQRNQPMRLRFGKNGEFKILQVADMHYANGKSTPCEDVLPDQISSCSDLNTTAFLRRMILAEKPDFIVFTGDNIFGYDATDAAKSLDAAFAPAIASNIPWAAVLGNHDQESTLSREGVMKHIVGLKSTLSKVNPSGMKTINGFGNYNLEVSGVKGSDFENKSVLNLYFLDSGDYSTVPGIYGYGWIKPSQQFWFQLTSAMLKRAYMDKPFPQKTAAPGLTFFHIPLPEYSSFDASNYTGVLQDVGISSPSVNSGFFPAMVEAGDVKAVFTGHDHLNDFCGLLTGINLCYGGGFGYHAYGKAGWSRRARVVVANLERTAKGNWGSVKSIRTWKRLDDKHLTRIDSQVLWSKNSLGFSI >KGN48578 pep chromosome:ASM407v2:6:23791633:23793578:1 gene:Csa_6G493860 transcript:KGN48578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKALRHKKMAEKHKKYHHTKALNCALPFCFFCTMNEPDPFLRTFKITECFKEIPLRDDPESVLALSGLWNIAMTRPDNPEFPELGIFECMAKLISRGISDRKWLFRDQNVYIPYYAAHIIGSYAMNRAEFAEIAVESGVIPPLMELLRGKISWVEQRVAIRALGHLASHERTFESVAQIGGETVELAMEIASNFVENVYTQFFCLKKRLKYQRNLLTRGLGGVEIENRKAEEWAIQTQCWSLYLINSFARKEKHLNFICKTNFLKNLCGIWGGLINPEAPGGIGLLRTLCKTETGRKAVADVEEVLKSLCVLARTSDEWQIMAIECLLNLIKDPETRYRVLETSVFSLVDLVELETGIDRKKQKLGDILTRALLQDYHKIKYGNQKLYSERATRALGELWDLKVEKKRKEKLMSEKEMKKRKLLVGILKKQGNHKFRIGEIEKAAMKYTEALNISLPKMRKQRLVLHSNRAQCFLLVRDPEAAISDTTRALCLSKQGSPHMRSLWRRSQAYDMMRLSKESLMDCLVFVSCRIKLKHKIPFYAARMINKQMNATWVFGSVKLRTLNSDEDLVRESVMGECGDGRMLMMKMKQRKNG >KGN47347 pep chromosome:ASM407v2:6:14429481:14436930:1 gene:Csa_6G302150 transcript:KGN47347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLLNSSLNGSTSNLPDGTGRSFATSFSGQSGAASPVFHHSGGGLHNIHGSFNIQNMSGALNSRNSTINSVPSGGVQQPTGTLSSGRFASNNLPVALSQLSHGSSHGHSGVTSRGGLSVVGNPGFSSSTNAVGGSIPGILSTSAAIGNRNAVPGLGVSPILGNAGPRITSSMGNMVSGGNIGRSVTAGGGLSLPGLASRLNLNANSGSGSLTVQGQNRLMSGVLPQGSQQVLSMLGNSYPTAGGPLSQNHMQSVNSLNSLGMLNDVNANDNSPFDINDFPQLTSRPSSAGGPQGQLSSLRKQGLSPIVQQNQEFSIQNEDFPALPRFKGGNADYGMDIHQKDQHENSVPMMQSQQFSIGRSAGFNLGGTYSHRPQQQQQHSSAVSNSTVSFPPANNQDLLHLHGSDIFPSSHAASYHQQSSGPPGIGLRPLSSPNSASGMGYDQLQQHQQHHGQSQFRLQHMSGVSQSFRDQGMKSLQAAQSSPDPFGLLGLLSVIRLSDPDLASLALGIDLTTLGLNLNSADNLHKTFGSPWSDEPAKGDPDFNVPQCYVIKPPASLHQGYFSKFTLETLFYIFFSMPKDEAQLYAANELYNRGWFYHKEHRFWFIRVSNMEPLVKTSTYERGSYLCFDPHTFETVRKDNFVLHYEMVEKRPVLSQH >KGN48588 pep chromosome:ASM407v2:6:23849257:23853855:1 gene:Csa_6G494930 transcript:KGN48588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGKSGRSNWSLRSKLGLPALIFVLCLFCFLAGFFGSTLLSQDVDDDRPRARLLQSASDVTEFDLMSSGENGDDSISSIPFQVLSWRPRALYFPKFATAEQCQSIVNLAKPKLRPSTLALRKGETAESTKGVRTSSGVFFSASEDESGTLGVIEEKIARATMIPRTHGEAYNILRYEIGQKYNSHYDAFKPSEYGPQKSQRVASFLLYLTDVEEGGETMFPFENGLNMDGTYNFQTCIGLKVKPRQGDGLLFYSVFPNGTIDPTSLHGSCPVIKGQKWVATKWIRDQMQEDFLY >KGN45803 pep chromosome:ASM407v2:6:1353045:1353879:-1 gene:Csa_6G012280 transcript:KGN45803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQANPVLHHYHHFPFSLTSKTLHLSLSIPTPPLSLTSSSFSFSSSSSYASSRSLHIPRVSTAPVDYAPPAPDYDFQQEILRLRALCTKLSKKKTINDKLKLLDRDSRVKRFFNSRRNWFSRVSPHLNLDSYHCFLLKCLVAAGQEHVLSFRIESVESEFETARGVVKHALYSLVEVIEKFDVNGNGGGSRGFGEGEVLLDKEELRDLKKLLVNLGEIEKFYDCIGGIIG >KGN47268 pep chromosome:ASM407v2:6:13600491:13601161:1 gene:Csa_6G239670 transcript:KGN47268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGVGANKEEQTRQKKAKIRGCSSSSTKRRERSCRTGRLDRGSNNQPSAGSGYYPGIKEGPSGIGIPDRIGELDRFSQSQGNEKDKKKYSKKGSGAGNMLSTLTEWVGAVGYAGSRSRTIVQVRSLSYTGVRQRSLILTASSGKKQDQTLEGIEVAGKRL >KGN49235 pep chromosome:ASM407v2:6:27259430:27259819:-1 gene:Csa_6G517430 transcript:KGN49235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDYKQKQQQQFSLSSNIEDQVKDEEEDASLALLIEELKHKAEDHHSHPTTFFTANPPINTMINSTNHINYKEQLILDDFQGINNINNDINNGNVGFEDCFGGLDTLPEVSGFKFPLFDYFTSNNGTA >KGN45754 pep chromosome:ASM407v2:6:957303:958150:-1 gene:Csa_6G008850 transcript:KGN45754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTGTSIHISALDGIVNVNSMFTLAVFLGLAWNPNDPMNNLIQSPNCLAGPSVAANLVSFHVYSFSSFLFSSLIALGLKQAIRIAKSPFYHPTEFFIRVNKTALRIGMLVSGIGSVCGCGFLMMALINVVQIKLGTLSCGSSQTFAAVVPLVILVPIALVVYICLVSYAFIN >KGN48264 pep chromosome:ASM407v2:6:21593952:21594705:1 gene:Csa_6G452650 transcript:KGN48264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCNCLFIDNKPIRIMKTDGKILEYKSPTRVFQVLSDFSGHEISDAVPVSHHLHRTAKLLSGHLYFLIPKEPEEKKPKKAVRFAEPEKETATGGGVVRIKVVMTKKELQEMVERGGISAEEMICKIKNGCGEISSRSEMEEEEDDDDDDEESELQRWKPVLESIPESEVAC >KGN48477 pep chromosome:ASM407v2:6:23123662:23128904:1 gene:Csa_6G489930 transcript:KGN48477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLVEAMVADPVDGIQYSFAKEYKGPPVPYDLPQALPINVERIPVAAVVAEVPFTHKMSLPVVQPILAQDVMSKKFSKELEPAVGKSVVSPTSVIAFDQSTEDSRRCLSKESDSGSERTVSPTSVIAFEDRVVGNHGCQLSGDLSSSGALEFSNGQIVSGELSDVGNCSRAFACSSISHGNSCELLGDAGSPCTMEFSGSFNKSQRSSCSLRASNCRKESIDFNDVHQVDWVSTESVLSSDYPSSRVSSMKVVNEGGGDGRRSAVTFLDPESDYIYNEEYSQDGPETLRMRQESVRKGKKGSCYRCCKGNRFTEKEVCIVCDAKYCSNCVLRAMGSMPEGRKCVTCIGFPIDESKRGNLGKCPRMLKRLLNDLEIRQVMTAEKCCEANQLPPEYVCVNGEPLSFEELSMLQTCPNPPKKLKPGNYWYDKVSGLWGKEGQKPLKIITPHLNIGGPIKADASNGNTKIFINGREITKVELRMLQLAGVQCAGNPHFWVNEDGSYQEEGQKNTKGYIWGKAGTKLVCALLSLPVPSKSSNYSGEPDSSLVYRTFPEYLGLQKLLLVGYDGSGTSTIFKQAKILYKDAPFSKEEREVIKLKIQSNVYGYLGIILEGRERFEEDSLAEIRKKLSDEVDPAGSSSVDSDKSMYSIGPRLKAFSDWLLKTMVSGTLETIFPAATREYAPLVEELWNDAAIQATYKRGSELEMLPNVAHYFLERVVDILTTDYEPSDSDILYAEGLISSNGLACVDFSFPQPAPDDDIDTADQHSSLLRYQLIRAHARGIGENCKWLEMFEDIGIVIFCVSLSDYDQFSIDGNGDTVNKMLLSRKFFESLVTHPTFYQMDFLVLLNKYDQFEEKVERAPLTRCEWFNDFHPMISRNRSNSQNNINSSPSLGQLGFHYIAVKFKRLFTSLTGRKLYVSPVKGLEPHSVDAALKYAREIMKWDEERTNFSLSEYSVYSTEESSFSH >KGN49484 pep chromosome:ASM407v2:6:28605187:28605939:-1 gene:Csa_6G525690 transcript:KGN49484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKLDENLFIRLMLHSSKGENGQKTGKATLVALFLGPVEKVMDIMNQNIPSLKLQKQECFEMSWIQSVLFWANFPSGTAPEALLSRQMASTPYLKRKSDYVREPISREGVEAIWKALMDVEEVGLTWNPYGGRMSEISETATPFPHRAGVKFKIQYSSNWKEAGDTEAEEEIALSRKLYEAMTPFVSKNPREAFLNYRDIDIGSSRTWSLEEGRVYGERYFKGNFERLVNVKTKVDPQNFFRNEQSIPTR >KGN48712 pep chromosome:ASM407v2:6:24651811:24652382:1 gene:Csa_6G499070 transcript:KGN48712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVFNSCLKFLLIVSLSLASINMNFASSRRLLDTPAAPPPFLSIPPIPPLPPLPKATVPPLPSAALPPLPPMPTLPRATLPPLPSAPALPKATLPTLPPLPTMPLPTFPFSSPPPSK >KGN46420 pep chromosome:ASM407v2:6:6249153:6251831:1 gene:Csa_6G091930 transcript:KGN46420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARATVELDFFGLEKEASSKSQFHSLLHRKRSFRGIHNAISKIDPQLLKSVIGSASISLPPTPEAAANHNVLSPLPLYTPLYRPTASESLQHTSQMTIFYNGTVAVFDVPRDKAENILKLVAEGKAEGNPTVAIPSTDHQQHLLASLDGDLPIARKKSLQRFLEKRKERLTTASPYAFPCPHLT >KGN47784 pep chromosome:ASM407v2:6:18038737:18039226:-1 gene:Csa_6G401540 transcript:KGN47784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKTGSGIIIIMEKDLCESMHDHHARSLALTAFKNGAFASVDNGVMHSKWAQNRLYNVYGDQELKIKQSQGI >KGN47861 pep chromosome:ASM407v2:6:18705666:18706404:1 gene:Csa_6G408200 transcript:KGN47861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSLPIPFVGWLLPYHVVADYEAEEDDRILDSDPTGQMLSRSQQWDHNISAKISEFIATFEKQVLAFNIITRKRALGEFRSEERLMFEQALMQEEKRNLQELKAEIELRGKASKEAHDAKTRMAAMMQTDQTRSEPQANEMMIRASMRTGAHVGSQSNDVPVGDQEQPHPSEMINGWGNNTQGDEKEASEDLLNDEEAENGDTGMHDSWREVGEFDLNSR >KGN48239 pep chromosome:ASM407v2:6:21434469:21436158:-1 gene:Csa_6G450440 transcript:KGN48239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSDQNPPQQLQAMLENSANLSFSSKEDDEMSKSALAAFREKEEEIDRMRTELNNKLQLRLGRVQEESRRLSSLREELEAIGGDPMRKEIGQIRKKIDALNKELKPLGVTCQKKEKEYKDALDSFNEKNNEKVQLISKLMEMVGESEKLRLKRLEELSKHVDNTS >KGN48603 pep chromosome:ASM407v2:6:23967055:23968238:-1 gene:Csa_6G495080 transcript:KGN48603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLFFFFSSSSQKPHFPFQFPLPNSGGCRERDKENILRLAFCVCVAVVAVKHEAQLISLMGSPLSLPLPLLFAVFLSFLSLWPVGDFPNRFSFFSSHLFVSGVELSNPSGETEEKLEEDSGLGILSRETLQIGEDFWCLTHWKGKKKVLASGGVSSFANYIRFKSVFIVMSHPFDPLSPGSLGSVTP >KGN47975 pep chromosome:ASM407v2:6:19479020:19479474:1 gene:Csa_6G421690 transcript:KGN47975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKMGISKGLSFVCVIAILICKVADADADHFGIHAVGHAVEPFCPGGYSDRGDHCQHLGRISSVNYNENDDDDEFDDTYKVVGKLSASLSPEAAEDRADGLELGIFSPHDRLSVKEIVLGH >KGN47635 pep chromosome:ASM407v2:6:16637141:16640545:1 gene:Csa_6G366330 transcript:KGN47635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQDLSIHAMTALGDPNVYGSALSWRRNFKYFKQTSLTQLTSRQCFWHQCMRSFSLTSQPRRSKRGSFKIRAGWLFKGGGQESGGRIERSENANNDILIFFFQLDLATRVQYALNIEQYEIAQELRMKLTEVEAEVIKQQESKKGLTSKSEVQDKGLNIIRLRADLQKAVESENYALAAQLRDEISKLETDSLAASAKVLAYESAEYSFRLGQKVRHKIFGYRGVICGMDPVCCESSSWMEIAQVEKLSRGSNQPFYQVLVDVRTDPDLLVAYVAEENLLAPEEPDTERFDHPYSSFLFYGVDSAGDFIPIKQLREKYNRPRYEVPFEDDEQQGDD >KGN48409 pep chromosome:ASM407v2:6:22634764:22640422:1 gene:Csa_6G486820 transcript:KGN48409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQPIILSDEEDRYSTPIPIPSKKRRTESDLLPNIKSTVLVLDDDPTPQKSNHNSAASFVPETPLSPQPSSDVAIVKCTKAGLNLHARDLNSDQKFAGISGLICLESDNESESDSQKKKCEQSEWIGSDCYEMKNIGASLPFDSAGSGSSLGNDDLQEISGDMKHSTFGRDDDIYQVHDYPEEDNVGMEQNDNSMKTTGRKANAEKVTRKKATKDDKISLMEEKKKKKELEKLQKAAQKAEAAEMKKMQKEKQKWEKGKLALKSIVAEIDAKVVELGSIGGHLLTRFAEKGITFRIKSNPIERSILWTMNVPEHISASSKGLEISYVLLVYEAENFCELLSKESFMDHVARVQSRYPSHTICYLTNRLMAFINKREQEKYKNLTCSNDWIRPPVEEELAKLTTHFVKVRSRQCVDEAEVADHIVGLTCGLASCQFRKKLTHLSVNANGSIIPKDCVDRNMIKKSLWLKALVAIPKVQPRFAIAVWKKYPTMKSLLSVYMDPRKSVHEKEFLLKDLTIEGLLGDDRRLGEICSKRVYRILMAQNGNMRTDDVEEGADLFRSQLS >KGN48743 pep chromosome:ASM407v2:6:24859152:24860692:-1 gene:Csa_6G499870 transcript:KGN48743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPPTFPIEFSGDPIPTTTIIGSGDPRIALQNLSTIRSRMDALQIFLSHNIDTEVRISNQQMDAVSSEIFTAVQQIIVNGAALLTCAQSKTPTPPDLTASATRTHPILVTDKSMAELKVEGADEIAITESEEFDPDWEIVELDAMELLAEHIHFCEICGKGFKRDANLRMHMRAHGNQFKTPEALAKPLDVVVGADHRAKRTRFSCPYDGCVRNKMHKKFRALKSLICVKNHFKRSHCPKMFSCNRCNKKSFSVMADLKSHLKHCGESKWRCSCGTTFSRKDKLFGHMALFEGHMPAVPDDACPTTATTSGMDEDGESNQISKDGNLQNGSNGSSDDGSFESFLDGFGLIENQCLEEVLGFPNDFDSESEWKFCGF >KGN49388 pep chromosome:ASM407v2:6:28019823:28021634:-1 gene:Csa_6G522810 transcript:KGN49388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTFRFHQYQVVGRALPSEADEHPKIYRMKLWATNEVRAKSKFWYFLRKLKKVKKSNGQVLAINEIFEKNPTKIKNYGIWLRYQSRTGYHNMYKEYRDTTLNGAVEAMYTEMASRHRVRHPCIQIIKTATVPAKLCKRESTKQFHDSKIKFPLVFKTVRPPTRKLKTTYKASRPNLFM >KGN48258 pep chromosome:ASM407v2:6:21554312:21555486:-1 gene:Csa_6G452100 transcript:KGN48258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRSKVRSGELQNPLVLGRVIGDVVDPFSPTIKMSVTFTNNKQVLNGHEFFPSSLSFKPRVHIQGEDMRPLFTLVMVDPDVPGPSDPYLREHLHWLVTDIPGTTDATFGKEEMSYEIPKPTIGIHRFVFILFKQKQRRSVVNPPSSRDRFNTRRFSCENDLGLPVAAVYFNAQRETAARRR >KGN47839 pep chromosome:ASM407v2:6:18443926:18452435:-1 gene:Csa_6G406020 transcript:KGN47839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNSVSDRSFYIESSDDEDLEKEIDNEDGNESDSSGLSADNQNHNQPSSYNTAAWPQSYRQSIDMLGSVHSPSIGLLGTPSLARFGSSFLSSSLTRRFTPEAFSSSISKPLLPTVIDDPHKHAPPHSPLGPSLPSRRSSISVRRDDKDKPVVIDSHGLPISRHSTFGQAVVNGINVLCGVGILSTPYAMKEGGWMGLSILMIFAVLSFYTGILLRACLDSRPGLETYPDIGQAAFGAMGRVAISIVLYVELYASCIEYVILESDNLSSLFPRAHISFGGLEINAHLLFAIATALAVLPTVYLRDLSILSYISAGGVVASIVVVLCLFWVGLVDDVGFHGKVTPLNLSSLPVALGLYGFCYSGHAVFPNIYSSMGNQSQFPAVLLTCFGICTLMYAGVAVMGYLMFGESTLSQYTLNLPQDLVASKIAVWTTVVNPFTKYPFKVPTYALTISPVAMSLEEFIPPNHPKSHIYSILIRTGLVFSTLLVGLSVPFFGLMMSLIGSLLTMLVTLILPCVCYLSILRGKVTILQRALCCIVIAVGVVASAFGSFSALKKIVEELSS >KGN47051 pep chromosome:ASM407v2:6:11784701:11786643:1 gene:Csa_6G181560 transcript:KGN47051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPISKRIHLAALPYPGRGHINALINFCKILSLKSPNISISFIVTDEWLTFLAADPKPPNIHFVTFPNVIPSELHRANDFPGFVRSIQTHMEAPVETLLRRLHPPPTAIIADTFVYWAVQLGKRLDVPVASLWPMSATVFSILYHFDLLKENGHFPADLSERGEEIVDYFPGVSKIRLADLPSFFSGNGLQTLGFSVKSARSVDKAQFLISTSVYELESSVIDSLKANFPFPVYTIGPSTPYFELESSASNDYLQWLDSQAEGSVLYISQGSFLSVSNTQMDEIVAGVKASGVRFLWVARGDDDRWKDVDRETGMVVGWCDQLRVLCHGAVGGFWTHGGWNSTVEGVFAGVPMLVWPIFWDQFPNSKKIAEDWQVGVRFKGVGGKDLVRREEIAEFVKRFMNSESVEGKEMRKRVSEFQEICRGAVAKGGSSDSNIDAFLKHISGDL >KGN47980 pep chromosome:ASM407v2:6:19502957:19508944:-1 gene:Csa_6G421740 transcript:KGN47980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSNDGETDAHFPIHVDGIGPEEYEPLSIENKLGRNFNGDDCESFHSDNYNSLQLDAKNPLSTANVLKTLFFVLVWYTFSLLLTLYNKSLLGDHLGRFPAPLLMNTVHFTMQAVLSNLITWIWSSRFQSCVTMTWRDYFTKVVPTALGTALDVNLSNASLVSISVTFATMCKSGSPIFLLLFAFAFRLEAPSYRLAGIILIISVGILLTVAKETEFEFWGFIFVMLASVMSGFRWSMTQILLQREAYGLKNPFTLMSYVTPVMAVVTLMLSLGLDPWKEFTSNGYFNSIWHVTRSSLLMLFGGALAFCMVLTEYILVSVTSAVTVTVAGVVKEAVTILVAVFYFHDKFTWLKGFGLIIIMIGVSLFNWYKYKKLQKKSSEDKLVDSQSTNVARKYVILEETDEEDAQR >KGN48124 pep chromosome:ASM407v2:6:20600838:20604747:-1 gene:Csa_6G439410 transcript:KGN48124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGAAKTVCVTGGSGYIASWIVKFLLQRGYTVKASVRNPADPIKTAHLLSLDGAAERLHLFKANLLEEGSFDSAIEGCQGVFHTASPFFHNVTDPQAELIDPALKGTLNVLKSVAKSSSVKRVVLTSSMAAVAYSGQPRNPDTVIDETWFSNPEICKEMKLWYVLSKTLAEEAAWNFVKEKGIDMVTINPAMVIGPLLQPTLNTSAEAILNLISGAQTFPNSTFGWVNVKDVANAHILAYEVPSANGRYCLVESVIHYSGIVKLLHDLYPSLQLPDK >KGN47156 pep chromosome:ASM407v2:6:12468968:12469345:1 gene:Csa_6G190470 transcript:KGN47156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEKRSEDGKKLEKFEILLSTLELRLPLPLPSFCCLGDKEKEVDRGDDLMKTPRSLEPTSVVLQCPPPPRKPRRFPSAAKRKAGGGGGRSILMSHDYFFRDVELDWLFPSNVVGGKIVKKIKGAE >KGN45624 pep chromosome:ASM407v2:6:143213:149596:-1 gene:Csa_6G000720 transcript:KGN45624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASALQMTPQLEQIHGEIRDNFRALSNGFQRLDKIKDSSRQSKQLEELTGKMRECKRLIKDFDREIKDEESKNPPDVNKQLNDEKQSMIKELNSFVALRKTYMNSLGNKRVELFDEGGVSEPTADDNVRMASSMTNQELIDAGKKTMDETDQAIQRTQKVVEQTIEVGTQTAAQLKGQTDQMGRIVNELDTINFSIKKASQLVKEIGRQVATDKCIMLFLFLIVCGVIAIIVVKIVNPNNKNIRDIPGLAPPVPARRLLYLRTTDYFE >KGN49512 pep chromosome:ASM407v2:6:28794206:28798084:1 gene:Csa_6G526460 transcript:KGN49512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKNLVPHWRLLRRVLDDNRLRAPFCRNYSTLRCSGTLLGSSSSSLSSFSKLLFTSSGSSLCHAPDFHFPSVHCHHCRSLCSASDPSNIILVKSENLLKESLSKAREEALPAIFYFTAAWCGPCRLLAPVIKDLSKTYPEVTTYKIDIDQEGLERTLNDLNITSVPTLHFFQDGKEAGKIVGADVAGIKHMMEKVYKK >KGN45824 pep chromosome:ASM407v2:6:1507330:1508195:1 gene:Csa_6G013960 transcript:KGN45824 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H4 MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >KGN47604 pep chromosome:ASM407v2:6:16456710:16460871:1 gene:Csa_6G363600 transcript:KGN47604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSSYPPEDGIPLNTTAVAIDKDKNSHHAVRWAIDHLVISNPLIILIHVRHKANQGASDSENGETDAQQLFVPYRGYCARKGVQLKEVVLDDPDISKALVDYVHKNCINSFVVGASTRSALARKFKAPDVPTSIIKTAPEFCSVYVISKAKIISARAALRPVANTAMPPRQPSPLGVQPNGQSDSSSEPENGAKCQVAKEGWKSAGTERMLAERNGGGKQAKALTRERPKTSPTNISLENIEVPNRGSRSSFSRDSISDDNMMTAQMAFGSMDVTAQSLDFSANSNLSMDSAAGQSTRELEAEMKRLKLELKQTMDMYSSACKEAISAKNKARELSQWKQDEARKFEEVRLAEEAALAIAEMEKAKCKAAIEAAEAAQKLAEREAQRRKQAEMKARREAEEKKRALNALAQNDVRYRKYTIEEIEESTEKFSEKLKIGEGGYGPVYGGKLDHTAVAIKVLRPDAAQGRKQFQQEVEVLCCIRHPNMVLLLGACPEYGCLVYEYMHNGSLEDRLFRRGNSPPLSWRRRFKIAAEIATALLFLHQAKPEPLVHRDLKPANILLDRNFVSKISDVGLARLVPPSVADQVTQYHLTSAAGTFCYIDPEYQQTGKLTTKSDIYSFGIMLLQIITAKPPMGLAHHVQRAIEKDRFDEMLDPTISDCPLEEATNFAKLALKCAELRKRDRPDLGTLIVPELNRLRDIGRSSDKREHRNHYSRSSASSSSSKPQSSNEDSRIIYTDEGS >KGN46533 pep chromosome:ASM407v2:6:7090768:7095161:1 gene:Csa_6G107830 transcript:KGN46533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPSLPPPVSTPATETPKKEGRYKLDFSSSDDKSIFPKYFALQNYSPRHPQPRTAPFLQYIHESYLEFNGEHGLLHPFSKFESEISDSNPKLIHIRCTGINKYWVRKSSDSNHIVPIATKKEDNVSKSSCTLFEPIYDAKYKAYRFRHVQLGYELFRDKTDRLLARENGSPDSEREDAYGVFTKVIDWNSLCVFPKHVTFKGYNGKYLRFEGKYLQVSGEQNHSSLIHEIYPQKDGNLMIKNIKSERFWIHDPNWIVATARDGNRDDPNLLFQPVSLHNNVVALRSLGNTAFCAIISVDDQKNCLNATESDPTEETQFEVSEDYIIYRRKIDINIHYRLGNGRIYGERVWSMAKGYAINKTEEPEQIEFTFSFEDERNMKWTNIFAKQFESTKYFNAEFPLIKDGEITIGNGTAQSIIWGETYRKKKILMSCDTTITVPPMSKVKVNVVVKRGFCEVPFSYMHATTSAKHSVIIPYRDGVFTDGDFTGVNSYQFQITTDEEALPI >KGN48395 pep chromosome:ASM407v2:6:22535912:22542877:1 gene:Csa_6G486680 transcript:KGN48395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSELPTKESNVLKGHEGGVLAARFNSDGNYCLSCGKDRTIRLWNPHRGIHIKTYKSHGREVRDVHVTPDNSKLCSCGGDRQVFYWDVSTGRVIRKFRGHDGEVNAVKFNEYASVVVSAGYDQSLRAWDCRSHSTEPIQIIDTFSDSVMSVCLTKSEIIGGSVDGTVRTFDMRIGREISDNLGQTVNCISMSNDANCILASCLDSTLRLLDRSSGELLQEYKGHTCKSYKLDCCLTNTDAHVTGGSEDGFVYFWDLVDASVVSKFRAHSSVVTSVSYHPKDKCMITASVDGTIRVWKT >KGN46429 pep chromosome:ASM407v2:6:6333485:6336175:1 gene:Csa_6G092510 transcript:KGN46429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIFHSPHLLVFTFGLLGNIISFFVYLAPLPTFYRIWQKKSTEGFHALPYLVALFSSALWLCYAFLKTNTFLLITINSFGCVIEFLYFIVFIVFAANSVRMLTIRIFAMMNMGLFGLILVAIHFIPNPSNRTDVMGWICVAVSVSVFAAPLSILRQVMTTKSVEFMPFTLSFFLTLSAIMWFAYGLLLNDICIAIPNVVGFILGLLQMVVYAIYRKRKIVIMEEKKQPEQVVLKSIAVSEVFAMKKPNGNDAQLKEVIIIKQEAQEDDKLSCDKINT >KGN47321 pep chromosome:ASM407v2:6:14177992:14178463:1 gene:Csa_6G295960 transcript:KGN47321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMLHSWVRLTKKGAVHARPPLTLKPNIAFPSHPPYSSKLELAQYREVAAFAQFGSDLDAATQALLNRDARLTEVSKQAQYAPLNIEK >KGN46685 pep chromosome:ASM407v2:6:8489916:8492357:-1 gene:Csa_6G124000 transcript:KGN46685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIICLTRKIEHGFLDCIYSVYGPNSTYNGKYGYNIELFCSGGGLVATTNGRSLYHSVYADAVQQDGYWKKKKVVVLGTGWAGTSFLKNLKSSSYDVHVISPHNYFAFTPLLPSITCGTVEARSIVEPIRSITKKKGLDIEFREAECYKIDAEKKMVFCRSSQDTNLGGREEFSVDYDYLIIAMGAKSNTFNTPGVEENAHFLKGVEDAQRIRQTVIDCFERASLPNLSEEEKKRTLHFVIVGGGPTGVEFAAELHDFAVEDLAALYPSLKDYVKITLLEAGDHILNMFDKRITAFAEEKFQRDGIELKTGSMVVKVTDKEISTKESATGEFVSIPYGMVVWSTGIGPRPQVIDFMNQIGQTNRRALATDEWLRVEGCDGVYALGDCATVNQRRVMEDISVIFSKADKDKSGALALQEFQEVVGDICERYPQVGIYLKKKQMKNFAALLKKTQSDTQKQSTELDIESFKSLLSEVDSQMKNLPATAQVAAQQGEYLASCFSRMDQCEKYPEGPLRFRGTGRHRFHPFRYKHFGQFAPLGGEQTAAQLPGDWISIGHSTQWLWYSVYTSKLVSWRTRILVISDWGRRFVFGRDSSRI >KGN48156 pep chromosome:ASM407v2:6:20777385:20777576:1 gene:Csa_6G445200 transcript:KGN48156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDKKRERSIDVTSQQIRGRNKWKRKAEVGPSYTWPLNAKVVRSSGTTQRQVNEEPLIWLTVR >KGN47138 pep chromosome:ASM407v2:6:12364538:12366501:1 gene:Csa_6G190290 transcript:KGN47138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKREISSTLRNLKFMQRATQREEQPKKEEEVKPDENFFPSGTKIRKCVVLVEGDPHPGALKGRMSFQSFNPSIDKLNEEAASLGQHEIPGTSSGNHSGNLSSRDNGSSSNEAVCSNIDEKNCEANGDLKRKQSEVISEGQHPTKFSRSGNGKAFKKPKGEKLDWNVLRPSKAPKKSG >KGN48312 pep chromosome:ASM407v2:6:21904980:21909469:-1 gene:Csa_6G476030 transcript:KGN48312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFRNNFPVRGHHLFLVVVALTFTVLVLWAWENPFLTASQSVQAWYRNSYAGFVVGSTKSSVLPNTVRENVEKTYSNSSIKEEIIQDDANSEITPTDSAFQIVLERSKSNQNSSTNSSVLPNTIKENSGKTYSNSSTKEKTVKDDANSEVKLTDSASTIIFNRSKSNQNTCSYGNGGWVLDNSRPLYSGFGCKRWLSAMWSCRLTQRTDFSYEKYRWVPKDCELPAFERSAFLKRMQDKTIAFIGDSLGRQQFQSLMCMVTGGEERPEVQDVGKEYGLVKAKGAIRPDGWAYRFSNTNTTILYYWSSSLSDLLPLNTSDPATDVAMHLDRPPAFLRKFLHLFDVLVLNTGHHWNRGKMRQNRWVMYTDGVRSELGNLKEIGIAKNFTVHSIVKWLNSQLPSHPRLKVFFRTLSPRHFRNGEWNSGGSCDNTRPLSGGSKVEQNGSSDTVVENAVRGTQVKILDITALSYLRDEAHKSNYSIKGTSSGSDCLHWCLPGIPDTWNEILIAQI >KGN45699 pep chromosome:ASM407v2:6:619525:622218:-1 gene:Csa_6G006850 transcript:KGN45699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEKKYITSEELLKHNKPGDLWISIQGKVYNVTEWAKEHPGGDTPFINLAGHDVTDAFIAYHPGTAWAYLDRLFTGFHLKDFQVSEISKDYRKLASEFSKQGLFEKKGHVTMYSLISVAVMMFLVVYGVLKSESVLVHLGCAMILGMLWIQSAYVGHDSGHSNVMSSRGFNKLAQILSGNCLTGISIAWWKWTHNAHHISCNSLDHDPDLQHIPVFAVSTSFFRTLTSHFYGRKLEFDSLARFFVSYQHFTFYPVMCVARVNLFVQTLLLLFSTRKVPDRALNIMGILVFWTWFPLLVSYLPNWPERVMFVLASFAVCSLQHIQFCLNHFSANVYVGKPTGNDWFEKQASGTLDISCSTWMDWFFGGLQFQLEHHLFPRMPRCQLRKISPIVVELCKKHNLPYRSLSFWDANVRTIRTLRTAALQARDLTNPVPKNLLWEAVNTHG >KGN48238 pep chromosome:ASM407v2:6:21420604:21423329:-1 gene:Csa_6G450430 transcript:KGN48238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAQKHLHELLEQDQEPFHLNTYIAEKRVNLKRVSPKTHLQVKKRKPISTNSIFPGNFCRNACFTSFHPSPDFRKSPLFEFRSPARNSPCKSPNAIFLHIPARTAGLLLEAALKIHKQKSSSKTKKSQIKNQGFARFGSVLKRLTLRNRNNNRETEACGSGTDLASFGQRKSSIRRQTVQGETSSNNGRSSYGFWSETNEEGGSMDLGTSCSSQSEDSEETSVAYFGEDYCESPFRFVLQRSPSFGCRTPDFLSPAASPCGRNKEDIVVAESLNKFQVEEDEEDKEQCSPVSVLDAPFDDSYDEGHGDRERDGDGDAEDYDMECSYATVQRTKQQLLNKLRRFERLADLDPIELEKIMLEEEQDENNYNYFDNGECEYYNESVQWDNENDIEWFVEEVASDANFCKSKQFLPQDMRKLVADLVAEEEADRSSDNTREEVIQRVCNRLELWKEVEFNTIDMMVEEDLRKEVGEWKENQEQRVEAATDLELAIFSLLVEELAVELAC >KGN48462 pep chromosome:ASM407v2:6:23027107:23027719:-1 gene:Csa_6G488320 transcript:KGN48462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGICSSSESTAVATAKLILHDGSLQEFSYPVKVSYVLQKNPSCFICNSDEMDFDDALSAINDDEELQLGQLYFALPLNRLKQPLQAEEMAALAVKANSALMKCSGGDKCGHRRRSVSPVVFTVEELKTRKRVAAGRGGAGGRKKFAANLMAIPE >KGN47247 pep chromosome:ASM407v2:6:13378452:13380736:1 gene:Csa_6G235550 transcript:KGN47247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAPIAGGEDEGRIKQRCSEYKVYRRKTFRGVKNQNTPSVTPSITVSTTTTDKDPNIRNENATIAKFNNVKDFNNNSDQAVPRSSEASEEANLYQQQPLQDAASEDDDLTRLDGQVSVGPAVEEANQDLPSVNGGVIKSGFDDHNRVDSASKPKQEMQELRRKFESELEVVRNLVKRIEAIQGQLNSGHTHSHVSTMEISDNCRGAYPVHSEVGSVGVPTENSRALRQLSLSVMENGKGVHDFMEREKRTPKANQFYRDSEFLLAKDRIPPAESNKKSKLNGKKRSRQKFNYGFGMGTKIFNACVSLLEKLMKHKHGWVFNTPVDVEGLCLHDYFSIIRHPMDLGTVKTRLNKNWYKSPKEFAEDVRLTFQNAMTYNPKGQDVHIMAEQLLKIFEDRWVVIESNYYQEMRLGMEYGAPLPSSNSVRGHPRPVPLDMRKILRRSDSLINPADSRTQPMSVTPSARTPSLKKPKAKDVFKRDMTYNEKKKLSTNLQNLPSEKLDAILQIIKKRNFELLQQDDEIEVDIDSVDTETLWELDRLVMNYRKSLSKNKRKAELAILKARAEAERNDQANLLRETRADENTISSSSPTRGDHSSKSSSSGSSSSDSGSSSSDSDSDSSSASGSDA >KGN48129 pep chromosome:ASM407v2:6:20630746:20631585:-1 gene:Csa_6G439950 transcript:KGN48129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLQSLFNQNLRPPFVTTKKCSDGVLKIRKFHPLHYSASHFSILCAKESDSQQFEVDPDKARQALQELDQQLQSFSKKQVSSPKKKVVQDMNVPRSQMRGEMTEISETLLANSAVVLFIFSIFYNVLFYTVIKPSIDVPLPSSISSDFEKESTQPSVLQQLPLSSMSISPSLLS >KGN48178 pep chromosome:ASM407v2:6:20920746:20921734:1 gene:Csa_6G446390 transcript:KGN48178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDFWKQALKLRAKVYYTNKEFEGRFQNIHTDFNDWTSIFSICNHRNSICTLGIQLTNPSSFIALSRIVNFVYAASVLIDSTILILNVPDSVPNSIPH >KGN46142 pep chromosome:ASM407v2:6:4411707:4412013:1 gene:Csa_6G056590 transcript:KGN46142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDDEEMFEIEINMKRYRELRESKCKEVENQGPIRTGEPKGSIVVLGPFFEVVALCFTVALCFKAVALHLIVSR >KGN47733 pep chromosome:ASM407v2:6:17549461:17551182:1 gene:Csa_6G396640 transcript:KGN47733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETQVIVVGAGPAGLATSACLNRLSIQNIVLERDDCSASLWRKRAYDRLKLHLAKNYCNLPYMPFPDNAPTYISRVDFIKYLDEYMSSFGIQPRCCRTVEEAWYEKEEERWKVVVENTSSGEQERYVCKFLVAATGENCEGFLPNIPGLESFNGEVLHSSGYDNGQRFRGKDVLVVGCGNSGMEIAYDLSNHAANTSIVVRSPVHVLTKDIVRLGMFLLKYFPCNVVDSISINLAKLKYGDYSKYGIQRPRAGGPFLIKSKTGRSPTIDVGCMKRIRTGEVKVFPSITCIKRDQVRFAYGIVNCFDAIIFATGYKSTVINWLQDEKNHFNENGMPRERFPNHWKGENGLYCAGFGQQGLFGISNDAKKIATDISLALGLNNTTVE >KGN47557 pep chromosome:ASM407v2:6:16106980:16107218:-1 gene:Csa_6G358700 transcript:KGN47557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHSLTGCEFTRRGGECERVGEIWEDDRSGAGGVGIDWMKTLKVGKSGAQ >KGN47746 pep chromosome:ASM407v2:6:17713586:17713939:1 gene:Csa_6G399220 transcript:KGN47746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMVIKLFSLLSLPIEVVQLVFSHFLVQSTIVGIVGKLVLIIIWMLLLSFFLLVSLVAQTVLYFVCKSYHQELAEKLSISDRLQRYLLTHYDPLKVEDDVPTEKLQIV >KGN46372 pep chromosome:ASM407v2:6:5971557:5975591:-1 gene:Csa_6G088000 transcript:KGN46372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPIKLVSIFKRFSMPHTSRWHPDATGSTGAMLTTFCPQMSPTISRCLPQLLNGLKVSRRVEVGGGFASGIASLGEIEVLKITQFVSIWGCNLSRRGNNGVTFYRPLRMPEGYHCLGHYCQPNDRPLHGYLLVAREVDGYFQESDHISNIVKLPALVEPIDFTLIWSPDDGSEEKYGECAYIWLPQPPDGYKSMGYFVTNKLEKPVVGEVRCVRADLTDRCETYRLMFNISSKCKNFLVQIWSTRACHRGMLGRGVPVGTFHCGSYKGTEKELPIACLKNLNSTLPTMPNIDQIHSLINHYGPTVFFHPKEIYLPSSVSWFFENGVLLHRDGMSSGEAILVCGTNLPTDGRNDTVCWMDLPTDGCRDKIINGNLESAKLYAHVKPALGGTFTDIAMWVFCPFNGPSTLKLGIVNISLGKIGQHVGDWEHITLRICNFTGELFSIYFSQHSGGEWVDAYNLEFIEGNKAIVYSSKSGHASYPRPGLYIQGSSKLGIGIRNDCARSHLFIDSSTHYEIVAAEHLRRNDIVEPGWLQFMREWGPTIVYSSRTKLDNFIDRLPLKIRFPVANIFRKLPAELFGEVGPTGPKEKNNWEGDERG >KGN46174 pep chromosome:ASM407v2:6:4719506:4720031:1 gene:Csa_6G062330 transcript:KGN46174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKGVSVFSTLFFLFSIFFPPRIPSLYFCSARLCLVFRSREERYIAKEIRNDDRSDDVVSRKTREDRKRLAALFEREERVSVRYVAEYDSVLGGGPNSHVPCFNGNRQVEVTEFRFHVVAGLNCFLDDAVGGGGLRRMLVVVL >KGN47103 pep chromosome:ASM407v2:6:12130148:12132113:-1 gene:Csa_6G187960 transcript:KGN47103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWEMEILSSPSSLVSTMSWSSGDGKASRWTVAENKMFENALAIFDTDTPDRWQKVASMIPGKTVGDVIRQYKELEADVSSIEAGLIPIPGYDTSQFTLDWVNSHSYDGFKQSYGLIGKRSSGRSADQERKKGVPWTEEEHKLFLMGLKKYGKGDWRNISRNFVVTRTPTQVASHAQKYFIRQLSGGKDKRRASIHDITTVNLNDTRSPSPENKKSVSPEHATVLPRHSNPSSTVPRAAFNWNQQQQHNNNGAALVFNHSNGNVFMSPGAFGVNSYAAGIRLPEHHLQKGAVNEPFIGPQNMVFQMQAGHCFPHG >KGN48972 pep chromosome:ASM407v2:6:26056922:26059046:-1 gene:Csa_6G507450 transcript:KGN48972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAATEAAARSLKIVKQFITILRCGFNSSKCKTAAKMAVARIKLLRNKREAVVKQMRRDIALLLQSGQDATARIRVEHVIREQNVLAANEIIELFCELVVARLSIIAKQRQCPADLKEGVASLIFAAPRCSEIPELSALRNVFEKKYGKDFVSAAVDLRPNCGVNRLLIDKLSVRTPTGEVKLKIMKEIAKEHKIEWDTTESEKELLKPSEELIEGPRTFVSAASLPVKPIVSHSDNAQIERTTNSRENESMHFQDSASAAEAAAKAAKQAIAAAEAAAYLANKDLNRDAGDSGFNLGFGGGPPANSTPTRSYNMNHQFKAGEDGTAPTQSFGRCSSLKNEETRNVNTDYEMAYRRHSYNPTDIKFDESDCEEETEMEDEADRGVSRPPDRNPPPAPSSRVHPKLPDYDTLAARFEALKYRKA >KGN46527 pep chromosome:ASM407v2:6:7042667:7052235:-1 gene:Csa_6G106790 transcript:KGN46527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQCYGKTIPTTDNDVTPTTITTTVSPPSTTGYGVDPSHFPSGSGAGNGVVNLKTTTATTPARTSYPSPWPSPYPHGVGASPLPVGVSPSPARASTPGRFFKRRFAPPSPAKHIKASLAKRFGYTKPKEGPIPEERGAEPEQLLDKSFGYGKNFGAKYELGKEVGRGHFGHTCSAKGKKGELRDQPVAVKIISKAKMTTAISIEDVRREVKILKSLSGHKHLVKFHDACEDANNVYIVMELCEGGELLDRILSRGGRYTEEDAKNIVVQILSVVAFCHLQGVVHRDLKPENFLFTSRSEDADMKLIDFGLSDFVRPDERLNDIVGSAYYVAPEVLHRSYTLEADIWSIGVITYILLCGSRPFWARTESGIFRAVLRADPNFDDLPWPSVSPEAKDFVKRLLNKDYRKRMTAVQALTHPWLQDDSRRIPLDILIYKLVKSYLQATPFKRAAMKALSKALSETELFYLRAQFALLEPNHDGRVGLDNFKMALMRNATDAMRESRVHEIVNSLEPLAYRRMDFEEFCAASISTHQLEALDRWEQIACVAFEHFEREGNRVISVEELAKELNLGSSAHSILKEWIRGDGKLSFLGYTKFLHGVTLRSSNTRHH >KGN48302 pep chromosome:ASM407v2:6:21823613:21824368:-1 gene:Csa_6G454980 transcript:KGN48302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLYILHFPLECQLEPAHKYDILQCLTVQASGSGGGSGDASSGLSRAMVKDRFKTFNIQFEELHQRQSQWTVPDSELRESLRLAVAEVLLPAYRSFIKRFGPMIENGKNPQKYIRYSPEDLERMLNEFFEGKTFSEQKR >KGN48801 pep chromosome:ASM407v2:6:25174760:25178110:1 gene:Csa_6G501900 transcript:KGN48801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFAAFVAQVLERQDMSGERMPVDHLSVICTSGIRESLVNVFGDKLDWFLKKFESSFSSTLRTLRSISEASARTGVYSGSKRKEEKIAVDSTLDRKRDVTSSSSLEKCILEEFSRSDTPVDQLSLIEDVKESVSDSFVHQLALSILRNDEVSVSPRAVGFYRKELAVGTFERSVAEQARANDLKTVELSLEMKKIKLKEREIAINCDSNNLERSKLAMGISKASFKAKKFKNQVEDTRHSELLKKCIDCLVAGLLIMSVALSYGAYVYSYHRISEATAVCTPSTQGSKSWWMLNPMASLNSGWHVLRCQVQVVSRMAFGVIMILAIAYLLLQRSATSNQAMPITFIVLLLGGACGLVGKLCIDTLGGSGYHWLMIWETLCLLHLFANIFTSALFLILHGPIEVSQAKNRHMILPYWFRRVVFYTLLLVFMPLLCGLIPFAGISEWKDHFCLLVSGMIAADSY >KGN46666 pep chromosome:ASM407v2:6:8261634:8262236:1 gene:Csa_6G119952 transcript:KGN46666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFFVSMLMRGEADLSVPVRSGSTFGERHAAEYCAMYDICGTRSDGKVLNCPYGSPSVKPDELFSAKIQSLCPTISGNVCCTEAQFETLRSQVQQVRLISHF >KGN45956 pep chromosome:ASM407v2:6:2700059:2700487:-1 gene:Csa_6G038520 transcript:KGN45956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEERRRQCQRRDKEDEGGERELRRRRRRRCCGAKGKADGELRDGVRIEEKIFA >KGN45937 pep chromosome:ASM407v2:6:2409675:2411472:1 gene:Csa_6G024940 transcript:KGN45937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMRSKRSKPLHNFMLPCLKWGSQKHLRCMKVNSNGVQVSGVYRQSHSSRSEESTESRSRESGLEMRMFLKDLEMRPKVSKSRIKKTDDDGIEAVREKLMFDLKTAADKMKEAILSKEAAVAGEEADGKKNDSAGMEETAAAVALSMAEARPWNLRTRRAACKAPPNVDGGGSKNLKIDEKKSNYESTLRSDGGAKSPRLKIGPEKKKKVKLVVPLSKREIDEDFMEMVGLRPPRRPKKRTRIVQKQLDTLFPGLWLSEITADLYKVSELPENGKVTKS >KGN48502 pep chromosome:ASM407v2:6:23263885:23267136:1 gene:Csa_6G490170 transcript:KGN48502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALELVGGAVLGAVVGELFKAILNLGERAISFNPVLKDIRSKLNAIMPLVKQIDELNDYLDYPKEETEKLRGLMDEGKQLLLQCGDVKLGDLNYLKRPSYTQKLRELDTALRSFMDVLMLQMARDQKKNMKMMNQMMEIICRLDNRGGSSKPMDLFVPPCLVPQLREETVGLEKPVKELKVKLLKNGVQMLVVTAPGGCGKTTLALKFCHDKEVKDIFQEKIFVPVSRKPDLKLILKDIIESLRGIQLPDLQSDERAFCYLELWLKQTSVNRPVLIVLDDVWSGQESEVLLDKLFQLPCCKILVTSRFYFPRFSESYYLEPLNHENAVQLFRRAASLDKGISKLPDDETVEKIIGGCKRLPLALKVIGRSLSHKPTSVWKVTGRNLARSGSIFDSDNELLECLQSSLDVLDDNMVTKKSFMDLGSFHEDQRISASTFIDMCTVLYTLDESEAMVTLDELSSRSLVNFVTARKYGYDDDFYEEYSFTQHDILRDLAIHLMNMEPIEQRKRLILDINGNDLPKWWVDQEKHTSYARLISITTDKRFSASWPDMEAPEVEVLILNLQSRTYNLPGFIKRMNKLKVLIITYFGSFLTEVTSEDNQLLDSLTSLERIRFERISVPIFSNPNPKPLINLQKISFFMCKFGQTFMDPSTPISDLLPNLLEISIDFCNNLSEVPNRLCEIVSLQKLSITNCHGLSSLPEDVGKLINLKNLRLRSCIHLEEFPESTTKLRELVLLDISNCIGLAKLPEKIGEFHNLEKLDMRHCWSLSKLPLSIGKLKNVKFLCDREVGEWLRKVAPRLAKQVKVQEEEANLEWLGF >KGN49034 pep chromosome:ASM407v2:6:26307385:26313881:1 gene:Csa_6G511010 transcript:KGN49034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRVSKPIPPLNSDVNKAIDSVKFLGDVGDTDLRSLESILSHCTADQLLHIENCSKGRDLTPITNKLWKNFYERKFGKDDVDIVVKNETFKWMDLYVAKMKELENRAKKIEDRIIQSYQKEKARKQSRQIVFCGSEDSLLSNKNPKSNRTVGFKSNTTKSVTLKKAKRELHVPKVSTSSNKEWNSEPFCVLPAFWDFISSLSLSLLASHPSLFLHCPGIGVGNSALFRSKSFSVSAKSTFFMEQSPTANDVQHVELLDANEDDYGGVIVELDKPMNSEVFVPILRASIANWKQQGKKGVWFKLPIEHSNLVEAIVKEGFEYHHAEPKYLMLVYWIPEGAHTLPVNATHQVGIGALVLNENEEVLVVQEKNGMFRGTGVWKFPTGVVDEGEDICKAAVREVKEETGVDTEFVEVLAFSQTHQALFGKSDLFFVCVLKPLTFEISKQELEIEDAQWMKLKDYTAQPLIQKHGLFNYINNIFIKSIQNQYSGFFPVLTSDVFSSKINLLYLNKNDLNRR >KGN45649 pep chromosome:ASM407v2:6:314090:318167:-1 gene:Csa_6G003410 transcript:KGN45649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRDPMALSGSQSFYMQRGISNSGSGAQGLRSSTNPNVAFQTNTGGNNVGSGLPMDPNSGISPYGGNVGAQSGGVVASEPVKRKRGRPRKYGTEGTVSLALSPSPSAVNPATVASSPKRGRGRPPGSGKKQQLASLCETLSGSAGMGFTPHVITIGIGEDVAAKIMSFSQQGPRVVCILSANGAVSTVTLRQPSTSGGTVTYEGRFEIICLSGSYALGEIAGSRNRTGGLSVSLASPDGRVIGGGVGGALVAATPVQVIVGSFMWGSSKSKYKKREAIEGVIDSDHQSVDHAVAIASVQQNQNLTPTSSVSMWPSSQSLDMRNAHIDIDLMRG >KGN45776 pep chromosome:ASM407v2:6:1133563:1135253:-1 gene:Csa_6G010030 transcript:KGN45776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDLGWKANMVSSDKTTTATTTKSSKVIPILNNKLNLSLPVSFRSSELSTASPSSCSSYEHYLRLNHLRKLWSSKEFPEWRNESVLKPGLQALEITFRFISTVLSDPRPYANRREWKRKLQSLTTTQIQLIAMICEDDEEDGESRGRVPIVDLSSSDGMITRDGSSAEVWKIHGEATVVNRTSESSLLPRLASWQSSEDIAQLIQYSVECEMRRCPYTLGLGEPNLAGKPNLDYDLICKPNELHSLRKSPYEQIENYENQTVYTTHQILESWIYSAHELLKRIEERIEKKDFAGAARDCYMMERIWKLLQEIEDLHLLMDPDDFLKLKNQLAIKSLQESEAFCFRSTTLVEITKQCKDLKHKVPLILDVEVDPMGGPRIQEAAMKLYSEKQESEKIHLLQALQAIESGIKKFFFAYKQVLVMVMGSLEGKGNRVVVSSNSDDSLSQIFLEPTYFPSLDAAKTFLGDLHGVFGSDRRTRMKI >KGN45701 pep chromosome:ASM407v2:6:631788:632392:1 gene:Csa_6G006860 transcript:KGN45701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTENSPPPLALLLPFSDPHSSHSNSLQSSTTVGGAPLSDDKITLFHWNFPWLTAADLYVIANAVLFSLVSG >KGN48193 pep chromosome:ASM407v2:6:20994782:21003491:-1 gene:Csa_6G446530 transcript:KGN48193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLSHFHSLLSLSSRNFSFLDQLHTQNHHPKFHCLGHLHPRRQSYVCTCSISNSRASRRKKSNEELCNDIREFIRSVGLPEDHVPSTKELSQHGRTDLANIVRRRGHKHMRELLLANSTTTVEGDCDLGNITLTGQDGEAEDVVEDLVLENPSHSSNTNHVFSFEDCISDPTTTANSSEEEELSTDLICHDEYNASYRENDENIETVEADKSRKTEVAASEDCFTSSDIGLGDTCSDRTGELIEFSKNLSVENDENSLECQSEVTNNKDDESSWSLEVISEENYLINSTADEYLDMHDHDEGPLLLTPSSSSKEEALYYSNEQVEKEDNCVDGVSLSAEMTIIDDRSSGLNIDRALAHEDSSDKLVKYSEELSLAEKVARFIQNGDLDIVDDNFDATLSESGAGKGNGSVAATNAEESEINFHVEAFSEDTTASRGSLMASNGSASEFDDKVSTTTVGQLIRDNQPSTEALNGQIEKVSGAEIKVSENQVEIDRLKFMLHQKELELSQLKEQIERDKLALSASQSKAEAEISLAQKLILERDSELVAAEECLYGLEEVQIHYSGEGEIVEVAGSFNGWHHKIKMDPQPSSNHLDSVNSKKHRHWSTVLWLYPGVYEIKFVVDGHWKIDPHRESLTKGAISNNILRVGR >KGN48835 pep chromosome:ASM407v2:6:25359777:25363871:-1 gene:Csa_6G502730 transcript:KGN48835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSRLSACVAMAAAAASLPTIANHAYADSPFRFSPFSSSQAPQEDKSSDDKSDAKPAVEEPSKSGFDAEALERGAKALREINSSPNAKQVFELMKKQEQARLAELAAEKAHYEVIQSQADIERQRKLAEEQRNLIQQQAQAKAQMLRYEDELARKRMQTDHEAQRRHNVELVGMQEQSSLRKEQARQVTEEQIQAQQRQTEKERAEIERETIRVKAMAEAEGRAHEAKLTEDHNRRMLMERISGEKEKWLAAINTTFSHIEGGVRILLTDRNKLVLAVGGATALAAGVYTTREGARVIWGYVNRLLGQPSLIRESSVARFPGSKIIPWVKNKAAAFSTGAGDAGLVENKNHLGNIVLHPSLKRRIEQLARATANTKAHEAPFRNMLFYGPPGTGKTMVAKEIARKSGLDYAMMTGGDVAPLGPQAVTKIHQIFDWAKNSRKGLLLFIDEADAFLCERNSTRMSEAQRSALNALLFRTGDQSRDIVLVLATNRPGDLDSAVTDRMDEVIEFPLPGEEERFKLLKLYLNKYLSNQNEATSKHVFSLKKSPQQIIIKDITDDVLQEAARKTEGFSGREIAKLMASVQAAVYGRPDCVLDSTLLREIVDYKVTEHHQRLKLAAEGGHPA >KGN46321 pep chromosome:ASM407v2:6:5619246:5621563:1 gene:Csa_6G083560 transcript:KGN46321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPKYGAFRNKIAGKFLQYIHEGSLKGYLQYSSDNAMSMFSKFTLEQSKTTNYFHIRCCYNNKYWASAKDGDHMVSPFVSKPSENEFSWPCTLFRFVQAPTEGTYYLFDVWRQSYVCRCTTSKSHEDCLTTRYGNQDHYYDRTHILIDFETLIVLPKHIVIKGDLKQYLCTYWYEENEHLKFNSDDIGSSRAGHQVFSMGDGSIRIKCDHWNKFWVRQSNNWILAKSTDTTANNKDTVFWPIKISQNTVALRCLGNNKICKRLTAENKEHCLSANADTITREARLEITEPVISREMYNCNYRTMDSRVYDEQVLIMATEHATNGGTKEASMALSLKYVVEASKCWESSVSTAWGVVASVKAGVPEIFEVGVEVNHNEEKSRSWGETITDMREVMATYTVNVPPMTKMKVTLIATKAKCDVPFSYTQRDVLRDGTHVIKDCDDGLYTGVHTYNFDYKNKPFPFS >KGN46624 pep chromosome:ASM407v2:6:7902113:7907711:1 gene:Csa_6G115100 transcript:KGN46624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGFRSNDNKQGVERHSRTEMGSLGEKTILEFEDESLVSPVSLTRKNFSIEGSIHSSSLGGGSQKLSCSNMKNRVFGSIKIVVFSAKINLLMPFGPLAIIVSTLSGHHGWVFLLSLLGIIPLAERLGYATEQLACYTGATVGGLLNATFGNATELIISIYALRRGMIRVVQQSLLGSILSNMLLVLGCAFFAGGIVVSKREQVFSKAAATVNSGLLLMAVMGLLFPAVLRSTHTELHSGKSELALSRFSSGIMLVAYAAYLVFQLKSDKNLYLPVDEVDNEGSSDDEEAPEISMWESIVWLSILTIWISVLSEYLVNAIEGASVAMNIPVAFISVILLPIVGNAAEHAGAIMFAMKDKLDISLGVAIGSSTQISMFGIPFCVVIGWIMGCPMDLNFQLFETATLFITVIVVAFMLQDGTSNYLKGLMLILCYLIVAASFFVHIDPASVGDKTRKPGV >KGN49046 pep chromosome:ASM407v2:6:26361489:26362688:1 gene:Csa_6G511610 transcript:KGN49046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRHIKAYATRRGFFICHRLHQILLYISFTVTCATSVSVFNPRFHRRFWGFFDIPVFTGKEGNCLNYCRAYKNFES >KGN49318 pep chromosome:ASM407v2:6:27671697:27672164:1 gene:Csa_6G519695 transcript:KGN49318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVEIEVFRNKACEIGMRNSKRREGFHITKNDIRARGSNRDIRDSELSEVPKAAEPNTRKLTETSNASEGTEGSGKIGGEVNGGNGAVEELERLESREGLEGSERQSDVVGAVGEAKGKKVAEGGRGVAESDIVKGGRETWVSRSRNVKRLKGS >KGN45810 pep chromosome:ASM407v2:6:1399926:1408514:1 gene:Csa_6G013330 transcript:KGN45810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSASLRSFHYFAGGSRLQLIEKPSRIYVSSTNKTSIQRLSIFGKPIHNPTSQKKIAVSCTKTPEVTETDSAKGSLQKKPASNVTFPNGFEELLLEVCDDTEIAELKLKVGEFEMHVKRNIGVVSAPLSAISPTVPPPIPSKPMVESALAAPAPPIPSPEKANAFTDVPFKKSSKLAALEASGAKGYVLVTSPTVGSFRSGRTVKGRRMPPICKENDLIREGQVVAYVDQFGSQLPVKSDVAGEVLRILFKEDEPVGFGDPLIAVLPIFHGIR >KGN46289 pep chromosome:ASM407v2:6:5449269:5452475:1 gene:Csa_6G080320 transcript:KGN46289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYFFLLFLFLSNISLTVSSQHHHHHLLLCHPQQSLALLQFKNAFSLGAPSSYCSKSYPRTTTWNESTDCCLWDGVECDDQGQGHVVALHLGCSLLQGTLHPNSTLFTLSHLQTLNLSSNNFSGSPFSPQFGILSNLRVLDLSRSFFKGHVPLQISHLSKLVSLHLFYNFDLTFSNMVMNQLVLNLTNLRDLGLAHTNLSHIIPTSNFMNFSLSLESLDLSYSYLSGNFPDHIFNLPNLHVLALQYNLELNGHLPTSNWSRSLQLLDLSFTNFSGGIPSSIGEARALRYLDLGSCNFNGEISNFEIHSNPLIMGDQLVPNCVFNITKRAPSSSNSFLSTLLPGNVCSTGQLSNLTHLNLASNNFTGVIPSWLFSLPTLKFLNLYHNNFSGFMRDFRSNTLEYVDASFNQFQGEIPLSVYRQVNLRELRLCHNNLSGVFNLDIERIPSLTSLCVSNNPQLSIFSSKPISSNLEFISMSSVKLNNNVPYFLRYQKNLSILELSHNALSSGMEHLLSLPKLKRLFLDFNLFNKLPTPILLPSIMEYFSVSNNEVSGNIHPSICEATNLIFLDLSNNSFSGTIPPCLSNMSNLNTLILKSNNFSGVIPTPQNIQYYLASENHFTGEIPFSICFANNLAILGLSNNHLSGTLPPCLTNIASLLALNLQANDISGTIPSTFSTSCKLRSLDLSNNKLEGELPTSLLNCEDLQILDVENNNITGHFPHWLSTLPLRALIFRSNRFYGHLNNSFNTYSFFNLRILDLSFNHFSGPLPSNLFLNLRAIKKFDLIPQFDDYLYPEWFFFGSSDNYQDSLLLTLKGSNQRVERILKAFKAMDLSSNDFSGEIPSEIGILRFLGGLNISHNKLTGEIPTSLGNLTNLEWLDLSSNELRGQIPPQLGALTYLSILNLSQNQLSGPIPQGKQFATFESSSYVGNIGLCNFPLPNCGGDETGNSHESQLVDDDDEDDSLSKGFWWKVVFLGYGCGMGFGIFVGYLVFRIGKPVWIVARVEGKPRRNNYRAAGRNN >KGN46838 pep chromosome:ASM407v2:6:10005930:10006562:1 gene:Csa_6G141350 transcript:KGN46838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGKSCYGRRSSYRFLPGDISGHHSFTSDFSFELNESDIYNSGSTARSPTRIAKKKVSSKRSVEVGGGGGGGGASSLPVNIPDWSKILKEEYREKRSLEYADDMEEDEEEEEEMRVPPHEFLARQMARTRIASFSVHEGIGRTLKGRDLSRVRNAIWEKTGFED >KGN48549 pep chromosome:ASM407v2:6:23604508:23606191:1 gene:Csa_6G491610 transcript:KGN48549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAVFNKELLSWYLITLKLRETVESGLPRNSISANSVDSHGKSELQLQESKQIQSESHHVIVENEDQKLEEEDPELESPVSEWVITIKEKLNQAHQDEVESSWAKLCIYKVPHYLKDGEDKAVVPQIVSLGPYHHGKRRLRQMERHKWRSLYHILDRSKQDIKLYLDAMKELEERARNCYEGPFSFSSNEFVEMMVLDGCFVLELFRGAAEGFKQLGYPRNDPIFAMRGSMHSIQRDMIMLENQLPLFVLDRLLELQLGDNYQKGLVAELALRFFDPLTPNDEPLTKSSLNKLESSLGNTTAFDPLGYQDGLHCLDVFRRSLLRSGPKLAPKVWMKRRSHANRVADKRRQQLIHCVKELKDAGIRFKKKKTDRFWDINFNNGVMEIPRLLIHDGTRSLFLNLIAFEQCHLDCSNDITSYVVFMDNLIDSHEDVSYLHYCGIIEHWLGSDEEVAELFNRLCQEVVYDINDSYLSQLSEDVNRYYNHRWNAWRATLKHNYFSNPWAIISLIAAVVLLLLTFAQAFYGVFAYYKPPN >KGN48963 pep chromosome:ASM407v2:6:26025603:26027260:-1 gene:Csa_6G507360 transcript:KGN48963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSCPIQDFSLLQPGFVDPEQTSPFWNNKADNAWQLTAATMVGLQTVPGLVILYGSMVKKKWAVNSAFMALYGFAAVLVCWVLWAHRMAFGKRLFGIVGKPGLALSESYLLSKSTQGCFPMADYVFFQFAFAAITVVLLAGSLLGRMNFGAWMLFVPMWLTLCYTVGAFCIWGDGFIQKYLIDYAGGYVIHLSSGVAGFTAAYWVGPRHSHDRQNFPPNNILHMVGGAGFLWLGWTGFNGGAPFAAGRITSLAILNTHVCTATSLLIWVSMDIIVYKKSSVIGAVQGIITGLVCITPGAGLVDTIAAVVMGVLAGLVPWYTMMVLHRRLAFLQCVDDTLGVFHTHAVAGSMGGLLTGFFAKPSLLRMMYPDSLYGPGFLYAISDKKLKDGLKQIGIQFAGAVFITLWNAIFTSIICYLISHIVNLRMNEEDLEIGDDAAHGEEPYALWGDGEPDFAVILAAAAVTPA >KGN46989 pep chromosome:ASM407v2:6:11053044:11062694:1 gene:Csa_6G157630 transcript:KGN46989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYYIQRLYNTCKAALSPNGPVSEEALEKVRAMLEKIKPSDVGLEQEAQVVRNWSGPVQERNGRRQSFPPIKYLHLHECDSFSIGIFCMPPTSIIPFHNHPGMTVLSKLIYGSLHVKSYDWVDLPGLDDISEARPAMLVKDTEMTAPTPTTVLYPTSGGNIHSFRAITPCAIFDILSPPYSSEHGRHCTYFRKSPRKDLPGDFQLDGDGDGDSVSEVTWLEEFQPPDNFVIRRGQYKGPVIRT >KGN47621 pep chromosome:ASM407v2:6:16545016:16546792:1 gene:Csa_6G365210 transcript:KGN47621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGFISGKKRLTEPKMCIINTVKQNSICLSKSDTGFHSQFDHVSLLPGLPDDVAKLCLALVPRSSHPSMAGVSKNWRSFIKGKEFITERKLAGAVEEWLYFLTMDTVRKECHWEVFDGVERKFRVLPPMPGAVKAGFEVVVLNGKLLVIAGYSIADGTDSVSSDVYQYDSCLNRWSKLANLNVARYDFACATVDGIVYVVGGYGVEGDNLSSAEVYDPETDKWTLIESLRRPRSGCFACGFDGKLYVMGGRSSFTIGNSKFVDVYNPKRHSWCEMKNGCVMVTAHAVVGKKLFCMEWKNQRKLSMFNPEDNSWKMVPVPLTGSSSIGFRFGILDGKLLLFSLKNEPEYRTLLYDPNATPGSEWKTSDIKPSGLCLCSVTIKV >KGN47825 pep chromosome:ASM407v2:6:18316884:18325918:-1 gene:Csa_6G405870 transcript:KGN47825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAISGKVFQNINVSQCYQQSRQFSNQYRRDCFAPFNLPAFDGGKVAWTSISSMQLRTFSTPQINFIIRGPVPCNAAPSTISSLDNIDFQKLQNGSDIRGVAVAGVEGEPVNLTELVAEAIGAGFSAWLLEKKKADGSQRLRVSIGHDSRISAKKLQDAISQGIAGAGLDVIQYGLASTPAMFNSTLTEDEALFCPVDGSIMITASHLPFNRNGFKFFTNVGGLGKADIKEILGRAAEFYRNLNSTDGLKNSRGKGSATIKQVDYMSVYASDLVKAVRKAAGNKEKPLEGFHIVVDAGNGAGGFFAAKVLEPLGAITSGSQFLEPDGLFPNHIPNPEDKTAMRAITEAGLHNKADLGIIFDTDVDRSAAVDSTGREFNRNRLIALMSAIVLEEHPGTTIVTDRGKHHRFKRGYKNVIDEAIRLNSIGEESHLAIETSGHGALKENHWLDDGAYLMVKILNKLASARASGLGGGSQVLTNLVEGLQEPAVAVELRLKINQNHPDLQGGPFRDYGEAVLKHVENLVASDPKLMKAPVNYEGVRVSGFGGWFLLRLSLHDPVLPLNIEAPSNDDAIKLGLAVLSAAKEFPALDTSALNKFVQV >KGN46441 pep chromosome:ASM407v2:6:6408133:6409849:-1 gene:Csa_6G093610 transcript:KGN46441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDFQGRPTELQLQCFSARIVSPEIVEIGEESKTIISSRDSAATDVYVAVGKDDIDVLKWALNHVVSPGCCVFLVHVFPLLTYIPTPVGRLARSQLSREQLRVYINEENNRRRNLLQKYIRLCTDAKVTVDTLLLESNTTTKAILQLIPVLNITNLVIGTKRPLSTRLLGKRMRTGEIVKKKAPEFCEVSIVYEGKKLDEHQQQMGLLTSSQNSDCRPRGYRKNLFDWVCLTSSSGCHC >KGN47898 pep chromosome:ASM407v2:6:18935393:18936705:-1 gene:Csa_6G410040 transcript:KGN47898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSVCQGLQSHVESHVLSLQLFPQEASYPTTNRVSQRKYEKEVEANSKNDENIKMWSFLQPLSSGHQEEDEMYITHSFTRLSKKSLEMCTESLGSESGSNMGENDISLSTLISDEDFRSNVRRNSTLSSHRKAIRKYTTYPPPLTSISGSTNVRVESYRKDGRLVLRAMVCSTSPISYFQAERSHGRLKLQLVKQIEKRGEEDGDDQEVEEELNLVDDDVEEMGMESFGRPLSCSNSRCKQDRHQSKELLNWEPLWVAT >KGN46890 pep chromosome:ASM407v2:6:10379592:10380653:1 gene:Csa_6G148320 transcript:KGN46890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLHRSPPSSASPETLTQSNNQIDQLHFNDEDEINLLNSYLQISRSENPTKNSLPTLDSQAFDRLQTAVGPKFSHSVIADKLHRLKLLYHKFARTKSFIKTPHQRQILDLGRSIWGKSPTPVTRKPQVISPSRLLSRRIKQRSITRKEGVGVDVDLKNFPVLVAEFSRQFPGNGVWRDGLRRMNEKNLKDMNEKWVLLHIEGAELKARRAALLKEQLRTTETNEDVN >KGN47435 pep chromosome:ASM407v2:6:15098734:15099374:1 gene:Csa_6G322300 transcript:KGN47435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLAKRVGARFLLTSTSEVYGDPLQHPQAETYWGNVNPIGVRSCYDEGKRTAETLTMDYHRGLGIENLESAIFLQVRIARIFNTYGPRMCIDDGRVVSNFVAQVRFSLFMVLIWADTKEYMACGPNWIFLLEKYHL >KGN46399 pep chromosome:ASM407v2:6:6121428:6121767:-1 gene:Csa_6G089260 transcript:KGN46399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSLVVGLCLWFSLTLVIADANDSSLEGLIWSSAKQEGYLLIDHRPQENSAAAAAAPVVHDADGFDGGFSSLDSMLQ >KGN46955 pep chromosome:ASM407v2:6:10825827:10826205:1 gene:Csa_6G152900 transcript:KGN46955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLEEDIQTQFRACFKSTDDIWSEIDHKDQQNPHPQDVHQDPCQSRHAFGEMTWEDSLVKLAGSCSRSFFLLSLNETNSLCSANNNISMRRQRRMIENRECPLLLYISRPRKPG >KGN46894 pep chromosome:ASM407v2:6:10408573:10414404:1 gene:Csa_6G149350 transcript:KGN46894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAGLHNVSVLDSSFIRESQSQASRQLGNESSVSTRASSLRRIWRGLEDEQVVRGTQESISERSTDLSRTEAAEGQSTVQGDDSENMGMNISENDIDTWSDVQTASQNDDEESGEFGVVERERVRQIFREWMNSGMGEQTPNVSQMNNGSRAEWLGETEQERVRMIREWVQKNSQQRGTHGVNGEVQTAEIGTQVAQRSDGSVGSQNEGRIQHARRGIRRLCGRQALLDMVKKAERERQREIQVLSEQQAVSGFAHRNRIQSLLKSRFLRNSRLTVNARSVSVAESELGLLRRRHTVSGLREGFFSRLDSSVQSQASSRHSDTTSNSDDGDSLTDLNRTGSFEVLDDLREHSGIVNVESHEGSHSTGLTEVRPDPEGSTSEAREEPVPVVESSEKQVAESGLASQTPDTNSTEMRDDSGQGMRSILQETASNLLYREIPQIDAEDHTSVLDTEPSIQQDNTHDENVHDGSVFDHSERFQDNDLESVDPQESNTHDELNEDLGTVVEPNDRQASGFQQDEWENSIEEDINETHMESIGTNWSEEFLSTTYRDIHLQNAPEASHENAIFVEDVPNWFEGLPNREATSSRRLETFYFPEDDNAHNGEIRELLSRRSVSTLLSSGFRESLDQLIQSYVERQGHGSGNRDMDEMMPPYTSAEQEQEHDRQSEGQAGSVESHSLALPLPPTLPSRPLWDNELSNGSWSRRDFRQQFGADWEIINDLRIDMSRLQQRMSNLQRMLETCMDMQLELQRSIKQEVSSALNRAAGSEEMFEDSLPDDEPKWDRVRKGICCICCDNHIDALLYRCGHMCTCSKCANELVDARGKCPMCHAPILEVIRAYSL >KGN48771 pep chromosome:ASM407v2:6:25020692:25021453:1 gene:Csa_6G500630 transcript:KGN48771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIFPKLLAALLVLSLISTGNCQCFLNNIKISQSMTGFQIHGMTEWKVTITNNCICSQSDLKLDCKGFATTLSIDPSILAISDSECLVNNGQPIFNSRPITFKYAQSPKFNFKPLSSQISCS >KGN48760 pep chromosome:ASM407v2:6:24956777:24958314:-1 gene:Csa_6G500520 transcript:KGN48760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLAIRFSIYNNIYKNKTYSSFHNTTMATKSALFFSILLSFSAIAFSDNPDCVYTVYIRTGSILKAGTDSVITATLYTAAGDGIRIMDLEKWGGLMGPGYNYFERGNLDIFSGRGPCLSGPVCSLNLTSDGSGPHHGWYCNYLEVTTTGVHSPCDQQLFTVEQWLALDRSPYNLTNIQNNCPSGSGSESGRDLIRSGDPNLKLKQVV >KGN47227 pep chromosome:ASM407v2:6:12996189:13000625:1 gene:Csa_6G216950 transcript:KGN47227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSNSHSTPSLNKKLHSFSSPFDHLTEEIIFAILDHLHDDPFSRKSFSLLSKSFYAAESLHRRSLRPLHSHPIRTVSPRYPSISKLDLTLCPHVEDSFLISVSTAWKTTLRSIDLSRSRSFSNVGLSNLVTSCTGLVEINLSNGVALTDSVIKVLAEAKNLEKLWLSRCKSITDMGIGCVAVGCKKLKLLCLNWCLHITDLGVGLIATKCKELRSLDLSFLPITEKCLPTILQLQHLEELILEECHGIDDEGLEALKRNCKRNSLKFLNLSRCPSISHSGLSSLIIGSENLQKLNLSYGSSITTDMAKCLHNFSGLQSIKLDCCSLTTSGVKTIANWRASLKELSLSKCAGVTDECLSILVQKHKQLRKLDITCCRKITYGSINSITSSCSFLVSLKMESCSLVPREAYVLIGQRCPYLEELDLTDNEIDNEGLKSISKCSRLSVLKLGICLNINDDGLCHIASGCPKIKELDLYRSTGITDRGIAATAGGCPALEMINIAYNDKITDSSLISLSKCLNLKALEIRGCCCISSIGLSAIAMGCKQLTVLDIKKCVNVNDDGMLPLAQFSHNLKQINLSYCSVTDVGLLSLASINCLRNMTILHLAGLTPDGLTAALLVGSGLRKVKLHLSFKSSLPPSFRKYMETRGCILYWRDKAFQVDRDDKDWNFHSGKSMGDST >KGN47015 pep chromosome:ASM407v2:6:11451734:11452728:-1 gene:Csa_6G169310 transcript:KGN47015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSEGSSTGAPPPFLTKTYEMVDDPMTNSIVSWNQSGFSFVVWNPPEFAQELLPIYFKHNNFSSFVRQLNTYGFRKIDREQWEFANEGFIRGKTHLLKSIHRRKPIYSHSQSSQGNGGAPLSEQERHELEQKIKTLYQEKTNLQSQLQKHENEKEQIGHQIQRICEKLWRMGNQQKQLIGILGAELEKNEHRKKRKIGKVNEFLVEELTEFEKDNLKKKKVNVPPLELLGKLELSLGLCEDLLSNVGQVLKEGKEMEVKKEGEMRSGVNDVFWEHFLTEIPGSSNVTQVHLDRRNNVVR >KGN47315 pep chromosome:ASM407v2:6:14139767:14140354:1 gene:Csa_6G293180 transcript:KGN47315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAETALAAILGRLGRHCYAVLCLGVLLLTVAVVHYVGDGEPTDPRERTKRRLRPLHGSSILGEDAARQLPAVVN >KGN47956 pep chromosome:ASM407v2:6:19362143:19363106:1 gene:Csa_6G420520 transcript:KGN47956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPTNPSFDGDDIYFGPAHPHRNPYDLNNKIMLTAIVSLSLVVILVIALHIYARYALRRHARRQAVLRRLGILAFIDSGDHHPPPSRSGLDPLVMASMPVVVFKHQSESPDPSGGGVECAVCLSAIVDGETARILPNCKHVFHVECIDKWFGSHSTCPICRTEAAPMMLPEPREGPAAEVGEGGGGGGGSTSRLSSFRRILSRERSSLRIQPCVLQHRNDAVPDLESQ >KGN47394 pep chromosome:ASM407v2:6:14810785:14814891:1 gene:Csa_6G310500 transcript:KGN47394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADHQRDGAENYFPFHQNKEVTGGGSGNRYGGLTPRKKPLISKDHERAFFDSADWALCKQGAGLYNNIALEKLQPKLQRTPRPQLPPRRPVCTSDKGNIVEVIEN >KGN47948 pep chromosome:ASM407v2:6:19315524:19316795:1 gene:Csa_6G419450 transcript:KGN47948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGNIATRWRLLSGEDNWKNLLDPLDIDLRQYILHYGDMAQATYDSFNSNRLSKFAGDSHFSRKNLFSRVGLAIANPYKYNITKFLYATSGIEVSEAFLLRSLSREAWNKESNWIGYIAVATDEGKAALGRRDIVIAWRGTIQALEWVNDFEFPLVPADKLFGASNDSKVHKGWLSIYTSQDARSPFNTNSARQQVLSEIEKLLEEFQDEDISITITGHSLGAALGTLNATDIIANQINRGKKQPQKPCPVTVFLFGSPHVGDRNFRKTFNSMNELHLLRTRNKADIVPDYPLTGYAKVGEELIIDTRKSEYLKSPGGFKSWHSLEAYLHGVAGTQGNEGGFTLEVKRDIARVNKALNALKEEYLVPESWWCAQNKGMVQDADGFWKLDDHETDEEEP >KGN48408 pep chromosome:ASM407v2:6:22617600:22624314:-1 gene:Csa_6G486810 transcript:KGN48408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFGPAFSGLGIRTEGISRNSTLICVPIMSESIDKMIVDVKKAGENGADIVEIRLDALKIFNPHEDLKLLIKESPLPTLFTYRPNWEGGQYDGDENQRLDVLRLAMKLGADYIDVELQVAREFIDSIQQEKPNNFKVIVSNHNYKKTPSLEDLGSLVAEIQATGADIVKIATTALDITDVARMFQVLVYSQVPIIGLVMKDRGFICRLLCPKYGGYLTFGTLEEGIVSAPGQPTIKDLLNLYNFRHIGPDTKVFGIIGNPVGDSKLPALYNELFKLVGLDAIYVPLLVDDPTKFLDTYSSSDIGGFSVTIPHKEAVFKYCDEVDSVAKATGAVNFVLRRQSDGKLCGYNTDCYGAISAIEDGLLGLHNDSFAVSSRLAGKLVVVIGAGGVGKAVAYGAKQKGARIVIANRSFDRARELADRVGGQSVSLADLENFHPEDGMILVNATPIGMQPKVDETPIPKHALKRYSLVFDAVYTPRMTRLLREAEESGAAIVPGLEMFTRQAYEQYEGFTGLPAPKERIRKFLADN >KGN47041 pep chromosome:ASM407v2:6:11690390:11694812:-1 gene:Csa_6G179480 transcript:KGN47041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQDQSKKNSTEVEFFSDYGDASRYKIQEVIGKGSYGVVCSAIDTRTGDKVAIKKIHNIFEHISDAVRILREIKLLRLLRHPDIVEIKHIMLPPSRRDFKDIYVVFELMESDLHQVIKANDDLTREHYQFFLYQLLRALKYIHTANVYHRDLKPKNILANANCKLKICDFGLARVAFSDTPTTIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEVLMGKPLFPGKNVVHQLDLMTDLLGTPSLDTISRVRNDKARRYLTTMRKKQPVPFSQKFPNADPLALRLLERLLAFDPKDRPTAEEALADPYFKGLAKIEREPSCQPITKMEFEFERRRVTKEDIQELIFREILEYHPQLLKDYMNGTERTNFLYPSAVDQFRKQFAHLEENGGKSAPVIPLDRKHVSLPRSTVVHSNPVYSKDQVNNIPLQDGKISEDAYSKNSRDSEGRLTNISRTMQAPQKIPFAAKPGRVVGPVIADENGRLVKEPYDPRTLIRGAILPPAYHYHQKPIVGNQERSAAETKLDISLRAAKQASQCGMASKLGSDIAISIDSNPFYMTRAGVNKVELKDQISINANFLQAKAAQYGGLSAATATTTSVAHRKVVAGQFNMTKMY >KGN48654 pep chromosome:ASM407v2:6:24301815:24304671:-1 gene:Csa_6G497050 transcript:KGN48654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSDKTVGGGDDAFNTFFSETGAGKHVPRAVFVDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIDRPTYQNLNRLISQVISSLTASLRFDGALNVDVTEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNSTSVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEAGDDDEEEGEDY >KGN46760 pep chromosome:ASM407v2:6:9160342:9166568:-1 gene:Csa_6G133690 transcript:KGN46760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNHSVKEIHTKQDICIISYLISNFSTSLKTFLLSRQKQYFEQRKRRQQLSSGSENWHDAADTGREQKEHRSLDIISLLNLSTIPQKDKDAIEANTSTVRSHFMKDPVPTLYNIETLEKSGDFENKQQIDETGAPFGYPEDTLSPMNRNVSNDPNNGNTAKNKVDSQSEQGKISVEQSLSVFDLLGDDGMAVKYEGSPLKEAHVAFSVDGLGRVGTETPACSPQHASRSFSYGFSSHLERVRPWNPSKNTKVLDDFELEGDIKMHCDDGSLNYSFDMMDTCDNPKKKTPTKTHFRSVEDCKRNEHSSRTIFDGTDGERDGYGDPVESSLKHHTYGYDYDLMADVKRNPKATRISDLQDKTHQRDWFCSMEDDVTDNFSLLSEESCSTSAVRGEPFDSTPLNSNPKQSMRRAMDDDAGPGNSYSVNSIYSRDPHYKIKDEEPKKYVRESNSSKSNPVHHTNSPFMEKPQTFKTWSFEKEFNFSSPCQRPVADCPFRGSMPWNEYPCTESSLPESSFTNKHVETVPRPSSTPISKRPSFHPSNIATAVLECNPCSNSKFVRTYTSMTETTSSHGEDQISPVLSAQGSVGTCEKSGSKAPSLGSEKVDFHEDKCNRIRSKVCVEDTNEDWLDDSNLERKNCDSIRNETENESPAVENLEASHDSDLVINGGKTNKFNPDDKVSVPYSKEEKEVEDVKVEGRKRRSKSCNMVDSSSQVMMLESYVLQLLFVQKVLLKQASSQDFIKRA >KGN46827 pep chromosome:ASM407v2:6:9912777:9917922:1 gene:Csa_6G139760 transcript:KGN46827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGSSLNDHLSKKTSFWGLSLWVLICICIGAFIILILGLLSMWILFRKKSKRSMDKFPNFIKDIRIDQVGIQTPNDHPEHRLLSVDVKRNDENSEVRAVTKSSEPDNNSQCSSTYQHEKAGNSQSGEEGSSGNARKQASRSYGGFGGVVPPSPLVGLPEISHLGWGHWFTLRDLELATNRFSTENILGEGGYGVVYKGRLINGTEVAVKKLLNNLGQAEKEFRVEVEAIGHVRHKNLVRLLGYCIEGVHRMLVYEYVNNGNLEQWLHGAMCQHGTLTWEARMKVLLGTAKALAYLHEAIEPKVVHRDIKSSNILIDDEFNAKVSDFGLAKLLGSGESHITTRVMGTFGYVAPEYANTGLLNEKSDIYSFGVLLLEAITGRDPVDYARPSNEVNLVEWLKMMVATRRAEEVVDMNLEIKPTTRALKRALLVALRCIDPESIKRPKMSHVVRMLEADEFPLHEDRRSRKSRSISLEIESMKEISGSDQTGGPSESHKSETSHE >KGN45750 pep chromosome:ASM407v2:6:938660:942346:-1 gene:Csa_6G008810 transcript:KGN45750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQPPQMMPMMPSFPPTNITTEQIQKYLDENKKLILAILDNQNLGKLAECAQYQAQLQKNLMYLAAIADAQPQAPAMPPQMAPHPAMQQGGYYMQHPQAAIMAQQSGLFPPKVPLQFGNPHQLQDPQQQLHQQHQQAMQGQMGLRPIGGANNGMHHPHHTESTLGGASAGGPPRSSGQTDGRGSGKQDSADVGGAGTDGQGSAAGGRGGGGDGEEAK >KGN49443 pep chromosome:ASM407v2:6:28340818:28343060:1 gene:Csa_6G525300 transcript:KGN49443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNLSPNSVEGESRNWLELPVDVTSMILQKLGPVEILTYAQYVCSSWRKICEDPLMWRVIDMRYPCDWWDMDYNLEELCRQAVKRSCGQLIHFNIEHFGTDDLLLYITQSCNQLSRLRLVYCNRISDEGLVEAVSKLPLLEDLELSFCSFDVETLETLGQNCPGLKSLKLNRQFYRRVECDKGALAIAENMPNLRHLHIFGNNLTNKGLEAILDGCSALESLDLRHCFNLNLAGQLGAKCSEKIKDLRLPHDPTDDCEFTTEIVDDDDTYDDDYDYPFGLSDTDLLTDDDDYYEFSGGSDFSDYTDLYFY >KGN46106 pep chromosome:ASM407v2:6:4122571:4123532:1 gene:Csa_6G052810 transcript:KGN46106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPRSRLPLHRFLLRISQPSISSSSSTFRPILDFSVKPWRADDLHFPYLMSLNSRFVSLHCFRSYSGASGSSEPDFDQVREVDRINLKFAEAREEIESAMESKETVYFDEEAECARDAVKEVLELYEGLLAKLSDSERKALQRSMGLKIEQLKAELNQLDE >KGN46284 pep chromosome:ASM407v2:6:5421573:5423119:1 gene:Csa_6G079780 transcript:KGN46284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSLHHPTVIPLPFAPHPQSHTLHFFNKQHISSSIFSTRSYLTRTRCSPPSPATTVVSTSSLWEKGPIEGVLFDIDGTLCDSDPLHFYAFRQMLQQLPNVLAEEQLEAIEGLDKVCKWIEERGIKRAAVTNAPRPNAELILSMLKLTDFFEEVIIGNECERAKPFPDPYLKALQALQLSPQRSFVFEDSVSGIKAGVGAGMRVVGVGRRNPKELLQEAGATFVIQDFNDPILWTQLLF >KGN47560 pep chromosome:ASM407v2:6:16127260:16127646:1 gene:Csa_6G361220 transcript:KGN47560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRHSSTWLKLMAMATVVVAVSWCVNGDWLVGVGSFDMTGPAAEVNMMGYANMDQNTAGIHFRLRARTFVVASSVDGPRIAFVNLDAGMASQLVTIKVLERLKSRYKYIYIYAYVNIGSWVKYLYLMN >KGN45674 pep chromosome:ASM407v2:6:455521:462304:-1 gene:Csa_6G005140 transcript:KGN45674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNSILPTLVTSILCLFMLFSSFIGSSHSFLDFPPHNTFTVSSLTYPDTQLQPFQLRYFRVELPPWFSSLSISLNSDVDLDTTKARKLPKRVLPIICFREGSPPLPDASNTSIIDSGLAPLTNVSIEGIQGHQNLELCYPMQQYIEVKLTNEQIPPGVWYFGLFNGIGSSRTQSKMIVRGSSYTFTANVTVEGCSPSTMFGQYCNQTVEPLLCSLSDCRNLAENVLEAILYNQTVESLVACSASKTSCLGDGETKMYYLDVESVAEELIISATDVRLNLTQSDNSSNVGGISLMGFARLGSIPSAALHDYSSNLNMGPLVIHFPKVGRWYISIAPLNLSKELGSVLINNTRVCYSMESYVLQCPNGKTGPNCTWNRYVLQAIVRRGSSPFESYFMPIKEQHFEEPNFAVEPLLSNTSNHGQQNYAWTYFVLDVPRGAAGGNIHFQLSASKTMDYEVYARFGGLPSLDNWDYCYKNQTSNSGGSTFLSLYNSSNVNIDFHILYASEGTWAFGLRHTVNRSVAEDQTIMSVVLERCPNRCSSHGKCEYAFDASGATTYSFCSCDRNHGGFDCSVEIVNHRGHVQQSIALIASNAAAIFPAFWALRQRALAEWVLFTSSGISSGLYHACDVGTWCPLSFNVLQFLDFWLSFMAVVSTFVYLATIDEVHKRAIHTVVAILTALMAITKATRSSNIAIVLAIGTLGLLVGWLIELSTKYRSFSLPVGISLNMLRRWESIKAWGHNLLKTLYRRYRWGFMMAGFTALAMAAISWNLETTETYWIWHSIWHLTIYMSSFFFLCSKARISDGENSSVVLNGEIQRGSNVNYELARQDSSPRNVQ >KGN45860 pep chromosome:ASM407v2:6:1754843:1760377:-1 gene:Csa_6G014800 transcript:KGN45860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYPHLAVGRGSHANGSGNMASDLDSSSVPTDIPLLTYGQEDAGISSDKHALIIPPFISRGKKVHPVPFTDSSMSANPRPMDPKKDLAVYGYGTVAWKERMEDWRKKQNERLQVIKHEGGGGKGDDELDDTDLPMMDEGRQPLSRKLPIPSSKINPYRMIIVLRIVILCLFFHYRILHPVHEAYGLWLTSVICEIWFAASWILDQFPKWCPIVRETYLDRLSLRYEKDGKPSELASIDVYVSTVDPLKEPPLITANTVLSILAVDYPVDKVSCYVSDDGAAMLTFEALSETSEFARKWVPFCKKFNIEPRAPEWYFAQKVDYLKDKVDPTFVRERRAMKRDYEEFKVRINGLVAMAQKVPEDGWTMQDGTPWPGNNVRDHPGMIQVFLGQNGVRDLEGNELPRLVYVSREKRPGFDHHKKAGAMNALVRVSAIISNAPYILNVDCDHYINNSKALREAMCFMMDPISGKRICYVQFPQRFDGIDRHDRYSNRNVVFFDINMKGLDGIQGPIYVGTGCVFRRQALYGYDAPAKKKAPRRTCNCLPKWCCCCCGTRKKTKTKTSDKKKLKTKDTSKQIHALENIEEGIEGIDNEKSSLMPQVKFEKKFGQSPAFIASTLMEDGGVPGGGTSASLLKEAIHVISCGYEDKSEWGKEVGWIYGSVTEDILTGFKMHCHGWRSVYCIPKRAAFKGSAPINLSDRLHQVLRWALGSVEILLSRHCPIWYGYGCGLKWLERFSYINSVVYPLTSVPLIAYCTLPAVCLLTGQFIVPELSNYASIIFMALFISIAATGILEMQWGGVGIHDWWRNEQFWVIGGASSHLFALFQGLLKVLAGVNTNFTVTSKGGDDGDFAELYLFKWTSLLVPPLTLLIINIIGVVVGISDAINNGYDSWGPLIGKLFFAFWVIVHLYPFLKGLMGKQDKVPTIIIVWSILLSSILSLLWVRINPFLDKGGIVLEVCGLNCDD >KGN48382 pep chromosome:ASM407v2:6:22423316:22426583:1 gene:Csa_6G484600 transcript:KGN48382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYMFTTTAEREIVRDMKEKLAYVALDYEQELETAKSSSSIEKNYELPDGQVITIGAERFRCPEVLFQPSLIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKGEYDESGPSIVHRKCF >KGN48799 pep chromosome:ASM407v2:6:25159056:25169084:-1 gene:Csa_6G501880 transcript:KGN48799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSLPLPELVFVSPKRLFSSSSPGCFLYRVPFSRTCRFRVLRRTKLRAVREDGVVAEERENELIKEVNGYGLGSNGAAYNGNGDYRYNGWVNGGVTTVESETGGTNGNLVKYVNGNGVAAAVVGEIQASESVEEDRKKRIEEIGKEEAWFKRSDQQQVEVSVAPGGRWNRFKTYSTIQRTLEIWGFVFSFVLKAWLNNQKFTYRGGMTEEKKVARRKIVAKWLKESILRLGPTFIKIGQQFSTRVDILPQEYVDQLSELQDQVPPFPSETAVSIVEEELGGPVAGIFDRFDREPIAAASLGQVHRARLKGQEVVVKVQRPSLKELFDIDLKNLRVIAEYLQKLDPKSDGAKRDWVAIYDECANVLYQEIDYTKEAANAELFATNFKNLDYVKVPSIFWDYTTPQVLTMEYVPGIKINKIKALDQLGLDRKRLGRYAVESYLEQILSHGFFHADPHPGNIAVDDVNGGRLIFYDFGMMGSISSNIREGLLETFYGVYEKDPDKVLQAMIQMGVLVPTGDMTAVRRTAQFFLNSFEERLAAQRREREMATAELGFKKPLTKEEKLMKKKERLAAIGEDLLAIAADQPFRFPATFTFVVRAFSVLDGIGKGLDPRFDITEIAKPYALELLKFREAGVEVALKDFRKRWDRQSRAFYNLFRQAERVEKLAEIIQRLEQGDLKLRVRALESERSFQRVATVQKTLGNAIAAGSLINLATILHINSIRMPATIAYIFCAFFGFQVLIGLIKVKRLDERERLITGTA >KGN47847 pep chromosome:ASM407v2:6:18558073:18563038:1 gene:Csa_6G407080 transcript:KGN47847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSDQSSELFASFPPIPFTLFLPEENFSLNINPSSDTTINTSITPDQDSTNGSSQFFPFTVPKKRRRGRPQRSVTSFNFPPFPNGSFSGNNGIVSSSSSASVPVSRNSVGSSSANVPDVADEIIVINKESTSEALLALTAGFPADHLTEDEIDARVVSVIGGIEQVNYIIIRNHIIAKWRENVSNWVTKEMFIDSIPTHCHTLIDTAYNFLVSHGYINFGVAPAIKEKIPAEPSKPSVIVIGAGLAGLAAARQLMRFGFKVTVLEGRKRAGGRVYTKKMEGGNRVCAAADLGGSVLTGTLGNPLGIMARQLGYSLHKVRDKCPLYSLNGKPVDPDMDLKVETAFNHLLDKASMLRQSMGEVSVDVSLGAALETFWQAHGDAINSEEMNLFNWHLANLEYANAGLLSKLSLAFWDQDDPYDMGGDHCFLAGGNGRLVQALAENVPILFEKTVHTIRYSGHGVQVITGNQVFEGDMALCTVPLGVLKSGSIKFIPELPQRKLDGIKRLGFGLLNKVAMLFPRVFWEMDLDTFGHLSDDPSRRGEFFLFYNYATVAGGPLLIALVAGEAAHKFESMPPTDAVTRVIEILKGIYEPQGIEVPEPIQTVCTRWASDPFSLGSYSNVAVGASGDDYDILAENVGDGRLFFAGEATTRRYPATMHGAFLSGLREAANMANYANARALKLKIDRGPSKNAHSCACLLADLFREPDLEFGSFSIIFGRKNADPKSTVILRVTFNDPQKKNHEGSNSDQRHTNKLLFQQLQSHFSQQQQLHVYTLLSRQQALELREVRGGDEMRLNYLCEKLGVRLVGRKGLGPNADSVIASIRAERGNKKPSSTYLALKSGTSKMKTSSTRNAVRRAKIVRNSTRVAAAAPVSNTSNDRVLENIKQMDQDSTAALRSDQTNMTS >KGN46322 pep chromosome:ASM407v2:6:5623862:5624104:-1 gene:Csa_6G083570 transcript:KGN46322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALQPCAQYQRTWCEVLRFSAPFDISPKNSGPVAKLSSKTTGMYDLMLVFSSSFIETVELQVLLLVSKVSLSSKQVAAT >KGN46878 pep chromosome:ASM407v2:6:10330155:10333820:1 gene:Csa_6G148200 transcript:KGN46878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMRMKKYNQLSPERAKVWTEKSPKYQQVRKVPVIYYLCRNRQLEHPHFMEVPLSSSEGLYLRDVINRLNVLRGRGMATLYSWSCKRSYKNGFVWHDLCEDDLILPAHGNEYVLKGSELFEESTTSKDHFSSIGNVNIQPLKQLPDPASSQSQDDSSSSSSMTGKEMKNSQEDDLSLSVLRPGSSSMSPDSGGGKSSWGGCLSLTEYKVYKTDGLSDASTQTEENISRPKTRETCTRGVSTDDGSLEPDCNQTLNNAASNHKKNYDAPQDSVSPPTLSSSASSSGGKTETLESLIRADASKINSFRILEEEEIRMPANARLKATNVLMQLISCGSISVKDHSFGLIPSYKPRFSHTKFPSPLFSTTVMLGELNCLSENPRMMGLRLEDKEYFSGSLIETKMLQADGLTTLKRSSSYNADRTFKQLNSTEDKDQSTSSRSKCIPRAIKASLSKQTRNEPMKSPSSERPRTSSDGVTSQNVSPTTSNDSSKRITEPFSGRKQSKKLDSFREEEEDVIKIEESLLQELGL >KGN47081 pep chromosome:ASM407v2:6:11994395:11997135:1 gene:Csa_6G185300 transcript:KGN47081 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase MANTASFILCTLLLLATLTLIQAKKSKENLKEVTHKVYFDVEIDGKAAGRIEMGLFGKAVPKTAENFRALCTGEKGVGQSGKPLHYKGSAFHRIIPSFMIQGGDFTLGDGRGGESIYGEKFADENFKLKHTGPGLLSMANAGSDTNGSQFFITTVTTSWLDGRHVVFGKVLSGMDVVYKIEAEGRQNGTPKSKVVIADSGELPL >KGN49016 pep chromosome:ASM407v2:6:26239974:26242063:-1 gene:Csa_6G510340 transcript:KGN49016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYAYLFKYIVIGDTGVGKSCLLLQFTDNRFQPVHDLTIGVEFGARMITIENKPIKLQIWDTAGQESFRSITRSYYRGAAGALLVYDITRRETFNHLISWLEDARQHANANMTIMLIGNKSDLAHRRAVSTEEGELFAKEHGLIFMEASAKTAQNVEEAFVKTAATIFKKIQDGVFDVSNESYGIKVGYGGIPGPSGSREGSSAQAGGCCS >KGN45662 pep chromosome:ASM407v2:6:386079:393984:1 gene:Csa_6G004530 transcript:KGN45662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSRGDVITTVLLGLMLSLSLVSAGDIVHQDSVAPTRPGCENNFVLVKVPTWVNGVEATEYVGVGARFGPSLESKEKHATRTRVALADPPDCCSMPRNKLAGEVILVLRGNCSFTSKANIAEGANASAILIINNSKELFKMVCEENETDVTIGIPAVMLPQDAGESLQKDLKSNISVSVQLYSPLRPVVDVAEVFLWLMAVGTVLLASYWSAWTAREVAIEQDKLLKDGSDELLQMEATGSSGYIDINTTAAILFVVIASCFLVMLYKLMSAWFLDVLVVLFCIGGAEGLQTCLVALLSCFRWFEHAAESYIKVPFFGAVSHLTLAVSPFCISFAVLWACYRKRSFAWIGQDILGIALIVTVLQIVRVPNLKVGTVLLSCAFLYDIFWVFVSKWWFHESVMIVVARGDKSGEDGIPMLLKIPRMFDPWGGYSIIGFGDIILPGLLVAFSLRYDWLAKKKLRAGYFVWAMTAYGTGLLITYVALNLMDGHGQPALLYIVPFTLGTFLTLGKQRRDLKILWTRGEPERPCPHIQLQPSSQH >KGN48005 pep chromosome:ASM407v2:6:19706232:19710572:1 gene:Csa_6G423470 transcript:KGN48005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLLFSLSLLLPLLSTTTAAVGRHPHDSLSPDEFELTRSLITNSNPSTNVTFQYVALADPPKQSVLAWLSNPKTPPPPRRATAIVRLNKATHEILIDLVKKSILSDQVYSGPGYAPFTFEEQFAAAALPLSHPPFEAAVKKRGLKIEKVVCICFSVGWFGEKRKMEKRIVKVQCFDLDGSLNYYMRPVEGVIVIVDLDEMKIVGFRDRYRVPMPKASGTEYRASKLKPPLLPPLNGIKMVQPDGPSFKIDGHSISWANWNFHLSLDERAGPIISLASIYDIEKQKRRQVMYRGFISELFVPYMDLNEEWYYRTFFDAGEYALGQCAVSLQPLQDCPENAVFMDTYTAAGDGRPVKMSNTFCIFERHAGDIMWRHTEGNKNVPNTPITETRTETSLVVRMVATVANYDYIVDWEFKQSGSIAVDIGLTGLLAVKASKYTHNDQIKEEVYGPLLAENTIGVHHDHFVTFHLDLDMDGVANSAVKSNLRTVRSRDPNSPRLSYWTVIAETAKTEDDAMIKLGHQEVEVSIVNPNQKTKVGNPVGYRLIPRSTAGPLLSPDDYPQIRGAFTNYDVWVTPYNSSEKWASGLFTDQSHGDDTLATWTLRNRKIENEDIVMWYTMGFHHVPCQEDYPLMPTLKRGFELRPTNFFESNPVLKVTPPRIVNLTNCS >KGN48381 pep chromosome:ASM407v2:6:22423024:22423425:-1 gene:Csa_6G484590 transcript:KGN48381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEGRNEEEANPPKKVGLGERRVSVVDLGELKDENKRKRKEEKWGFINREREGGGKEGKCKCKCKCEGNEKFGEGIISTTRKDRVTWLTAFPSPILPFFFLSFSSFFISFISTVRSFIFGFGSASASASASDS >KGN47116 pep chromosome:ASM407v2:6:12216269:12218460:1 gene:Csa_6G188090 transcript:KGN47116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVANRTAISRALDFSRLSSSFVRTFSSSSPSTSSSNPNASPTSSQTKRRKKKKNLFEVVQFLPNWGVGYHVAKSHWDEISYQITKINLYKSGTHGKAWGIAHKNGTPIVEAPKKISGVHKRCWKYIASLPHSGESKTSAEVQTS >KGN45852 pep chromosome:ASM407v2:6:1718267:1728113:-1 gene:Csa_6G014720 transcript:KGN45852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGQSSRLQSMDPPDDWVNGSWTVDCICGVNFDDGEEMVNCDECGVWVHTRCSRYVKGDDIFVCDKCKGKNERNDCEETEVAQLLVELPTKTMSMESTYVCTGPSQRQFRLWTDIPIEERVHVHGVPGGDPALFSGLSSLFTPQLWNCTGYVPKKFNFQYREFPCWDEDQRDNTDIEKNENPADKGAGVLFSLSKENVLATPVAALIGMRGKVGDVLCDRNGFLSEKQGVSEDLDRCAGNGVRERSFLRPLILHSGKCKKEDYSVSKDQPGKTKSTPSDKVTNMKKRVDHAKIVLTSTDGEKQSAGRDLKHVRGDGENPRNKIAVRESSSDAYDIANRNVDRPKYSFELSSDTVSSEVFRNHSLSTVVTKEDKGMQVASAVENSIKIESETPPLYAKKDVGNVVMKQGGTALDYSDDGIEGFSKSFLKPSLEGLATIALEIKDDQIHLDVNCGNSTDSLKSDAKLKIDKQHDVSGESLNAQASSHADAAELQKCNDRMHESFKVSSGGAVCGSQFDGHKAEEFNRSSEAGSSYCIEKADEQCTNPREFKQEWDWPEGSTTVDISSLKSQNGSEVGVEKPSKSGGMVSNQRVLPPQHKTTLCVGISSPASSDVIISKPSISNELTPADPESIEGTAAKHEAASGSCSSRKECSSNDVDRDEEREKMPRRRVKEQPSAGTTSLYSVRDLLQDPISKRTSLHIKDSVVLSTVKTSVVHNASDSGYSESVESHLNHKGLIGQNKILGSCLAQRGDKPNQTNFHPPSKVNQRHATAMCPPATTNPSAVLSDEELAFLLHQELNSSPRVPRVPRLRQPGSSPQLGSPNATSMLIKRSSSSRGRDHASASRMKNKDALRDTFRSACDPDDDAKRTDEVLSSPDQRRQETSISAEASKREENGSQARLNALKKGFISAYGRNTTSSGPSSSIEANDHNNTSVRNSPRNTSDDDTGTVGEGPVHHTLPGLINEIMSKGRRMTYEELCNAVLPHWHNLRKHNGERYAYSSHSQAVLDCLRNRHEWARLVDRGPKTNSSRKRRKFDVEESEDSEYGKGRTVKATEGKSLESQKEEFPKRKRNTRKRRLALQGKGIKDIRKRRKMEVFTDDDDVGLLSDSSDGSMFSEDELQDVDESSERREASGSDE >KGN45647 pep chromosome:ASM407v2:6:299508:303097:1 gene:Csa_6G003390 transcript:KGN45647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRFSAKNLHQLFCYHSSPSSSSSSSFVQASRWRSSKNNPSFKTLASFLFLIFLFVLLAFFVRHGWITTAEGEDGVKNKRSKAAGSTNNTCPAHFRWIQEDLRPWRERGITRGMVERGRRTAHFRVVIVEGRVYVEKYKGSIQTRDVFTMWGILQLARWYPKKLPDLELMFDCDDRPVVRSNGFMNAISGPPPLFRYCSDESSLDIVFPDWSFWGWGEINIKPWKMVLEDIKEGNKRTRWKDRVPLAYWKGNPQVDPSRRDLLKCNLTQQQNWDTLLYVQDWDKEAKEGYKQSNLEDQCTHRYKIYIEGWAWSVSEKYIMACDSMTLYMKPRFYDFFIRGMVPLQHFWPINDQSKCSSLKFAVQWGNNNTIQAEAIGEEGSKYLQENLKMELVYDYMYHLLNEYSKLLKFRPTVPPGAVELKPETMTGAALGLHKKFLEDSLEKSPSQTEPCDLPPHDPTVLHEFREKKLNALNKVQTWEKEYWEKQSKANNN >KGN46316 pep chromosome:ASM407v2:6:5589035:5590645:-1 gene:Csa_6G082530 transcript:KGN46316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLPKYAGFQNHVEGKYLQYLSDGFLRGNLQYSSDQALTPFTKFEIVPDKDGKYWHIKCCYNDKYLASSNDHNRFVTPFVSKPSENESSWPCTLFNFIPGPTTGTYYLFDVLLQTYARRCTSSRIHPDVLTTRYRRDEKRHDDKLVVLVDFENIIRLPKYLSFKNNDKFLATYTYRNSPYLQFNANDIGNPQVSHEIFNVGDGTVKIKNESTKKFWRRDPNWILADSNDQTKNDKNTLFWPVKIAKNKVALRNVANGRFVTRYTGDGKVDFLNASSETITKEAELEIVEPIISREIYNIRYRTMDAKIYDEQVLTMATEEAVNSSSKETVMAVSLRYLEEKSKTWESSLTVGVGIETSIKAGIPEILDTEIKVSYNFEASYTWGETISESREVTATYTVPVPPNTRMKVTLLATKAKCDIPFSYTQRDLLRNGRRVETEYDDGLYTGVYTFKFDYQNKPLPL >KGN48756 pep chromosome:ASM407v2:6:24928394:24931105:-1 gene:Csa_6G500480 transcript:KGN48756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSETVTLILVNLAAIMERTDESLLPGVYKEVGAALHIDPTGLGSLTLFRSVVQSSCYPLAAYLAVHHNRAHVIAVGAFLWAAATFLVALSSTFFQVAISRGLNGIGLAIVIPAIQSLVADSTDDSNRGLAFGWLQLTGNLGSIIGGLCSILMASTSFMGIPGWRIAFHLVGLISVIVGLLVWVFANDPHFSEINGRDKDHPRKPFWSEMMDLVKESKSVIGIQSFQIIVSQGVAGSFPWSALSFAPMWLELVGFSHEKTGFLWTLFIIASSLGGIFGGRLGDILSKRFPNSGRIVLSQISSASAVPLAAILLLVLPDNPSTTFLHGLVLFIMGFSMSWNPSPIFAEIVPKKSRTSIYALDRSFESILSSFAPPVVGILAQHVYGYKPAAKGSTDSSQIETDRENAKSLARALYAAIGFPMSLCCFIYSFLYCSYPRDRERARMHALIESEMLHLESSTSPLYEQDSQFHISEAKDFDDKDQTEVDLTYEIEDSLDFIDSDEKQLLNHQLIDSDSK >KGN48663 pep chromosome:ASM407v2:6:24363655:24365671:-1 gene:Csa_6G497140 transcript:KGN48663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSEPSQTDSYKPYTLSQTLTSHKRCISSVKFSADGRLLGSSSADKTLRTYSCSNSTVTPVQEFQGHEQGVSDLAFSSDSRFLVSASDDKTLRLWDVSTGSLVKTLNGHTNYVFCVNFNPQSNMIVSGSFDETVRIWDVKSGKCLKVLPAHSDPVTGVDFNRDGSLIVSSSYDGLCRIWDASTGHCVKTLIDDENPPVSFVKFSPNGKFILVGTLDNTLRLWNFSTGKFLKTYTGHTNSKFCISSTFSVTNGRYIASGSEDNCVYLWELQTRQIVQKLEGHSDTVISVSCHPSENMIASGAVGNDKTVKIWTQKGSEE >KGN47403 pep chromosome:ASM407v2:6:14876920:14880724:-1 gene:Csa_6G312550 transcript:KGN47403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRPTARTEVRRNRYKVSVDAEEGRRRREDNMVEIRKSKREESLQKKRREGLQAQQFPSAVHTSTVEKKLESLPSMVAGVWSDNSSLQLEATTQFRKLLSIERSPPIEEVIQSGVVPRFVEFLVREDFPQLQFEAAWALTNIASGTSEHTKVVIDHGAVPIFVKLLASPSDDVREQAVWALGNVAGDSPTCRDLVLRHGALIPLLSQLNEHAKLSMLRNATWTLSNFCRGKPQPPFDQVKPALPALERLVHSNDEEVLTDACWALSYLSDGTNDKIQAVIEAGVCGRLVQLLLHPSPSVLIPALRTVGNIVTGDDIQTQWIVNDGALSCLLGIFTQNHKKSIKKEACWTISNITAGNKEQIQAVIEARLIPPLVNLLQNAEFDIKKEAAWAISNATSGGTHEQIKYLVSQGCIKPLCDLLVCPDPRIVTVCLEGLENILKVGEAEKNLGTNGDVNLYAQMIDDAEGLEKIENLQSHDNHEIYEKAVKILETYWLEEEDEALPATDGGAQPGFRFGGNELPVPSGGFNFS >KGN47494 pep chromosome:ASM407v2:6:15622030:15622212:-1 gene:Csa_6G344230 transcript:KGN47494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVRDDGCSADQSVGILREKKSKRSTSPMAVHEKKIGVRRTLGKGVRVGMRRSKSSIFGI >KGN47817 pep chromosome:ASM407v2:6:18286927:18291791:1 gene:Csa_6G405310 transcript:KGN47817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLHSTFISSTLLCPKIQIQCLQLLPRSPLPSPCLRTNLQNFQRSKRSNRYHRRLLCFEQKEEGLQSTEELQPVSSSEKKGTVAGAMAFIIGTSIGSGILAIPEKASPAGFFPSSISIIICWGFLLVEALVLVEISVVLWRRKKKEKKAEEGETGMEVISVRTMAQETLGDFGGTLATVTYVFLGYTSMVAYISKSGEILLQSFNLPSPLSGFLFTLFFSLLISVGRTRAVDQVNQWLTACMIGLLLGIEVLAVQFGGWSIIDGGGDWRKVPTTIPVIIFALVYHDVIPVLCAYLEGDLPRLRVSVLLGSIIPLLALLVWDAIALGLLGQADQVIDPVELLLSVKWSGISYMVEWFSLLAVGTSMLGTLLSFSSFFKEQLSNIFSDLSTREALKEPPKFCLMKHWWEMHKLGLTALAIAVGPSLLVSTTNPDSFSAATDIAGGYCMTMLYGVLPPAMAWAMHSRESEETESKVLSRERSALLGLGLFACGIVVEQVIQDILKLQW >KGN47294 pep chromosome:ASM407v2:6:13992662:13993529:-1 gene:Csa_6G290260 transcript:KGN47294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVVKTPTVVFFIQLTLPAAAHFFFLLSGVAAVDFTVSPPASMAPRWKGKASEAKALADPISNTLLHLQSSFIHSNAQGLLSGSIILYLADEEQTDLLNRTCFGRPILTAEKDKQWFQFGMEEAFYLSYHLNCLKIVDGQDCEKNFQELWRYMKTQKATFPEFYIAYRHLRLKNWVVRPGSQYGVDFVAYRHHPSLVHSEFAVLVLCEGRDSNGNGRLRVWSDFLCTVRLCGSVAKTLLVLTVNDNGSGFVSPSCLDSYSIEERTVTRWSPEQCRENPAKV >KGN45998 pep chromosome:ASM407v2:6:3226416:3226634:1 gene:Csa_6G042320 transcript:KGN45998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELVVEMMLRKPRRSLTMQVRFVDEGRPLSTTKPWVRLECGHRSSLPLAIEESPEKKNGGRR >KGN48309 pep chromosome:ASM407v2:6:21878537:21878746:1 gene:Csa_6G471020 transcript:KGN48309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLWAVKRRKAAGDEEVVANLPRGLEERSVAAASEEMEWREKPGTGSCLDTGDINLGIFSWLQSTANVS >KGN47566 pep chromosome:ASM407v2:6:16160443:16161766:-1 gene:Csa_6G361280 transcript:KGN47566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDALSSLRLPWKVKIILTAIALGTDICCRSDVSVNRFLANLLDFKSPLLKKPKNGVKSFDTTVDSSRNLWFRLYTPTIESTSESLPLIVYFHGGGFVYMAPDSKLLDELCQRLAREIPAVVISVNYRLAPEHRYPCQYEDAFDLLKFIDYNASAIEGFPPNVDFKRCFLAGDSAGGNIAHHMILKSADHEYRELEIIGLISIQPFFGGEERLESEIKLIKAPLSTYDRTDWYWKAFLPEGCDRDHPSVNVFGPNATDISNVRYPATKVLVGGLDPLIDWQKRYYEGLKKSGKEAYLSEYPNAFHSFYGFPELAESNLFIKDVRDFVGEQCLKRSS >KGN49050 pep chromosome:ASM407v2:6:26369329:26369940:1 gene:Csa_6G511650 transcript:KGN49050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDFLTTAFTNHIKVRSMESECQQSPMMSQEVKAATLLHKAMEYDTNSRWRFQTGAIDIDSNLFVTLTPAIYEHNVFAPYLVKDWIYNPPPHKNNQLHNFYKREIKMFVSWPVQGGNCSDAAPQGDGI >KGN47577 pep chromosome:ASM407v2:6:16268735:16271585:1 gene:Csa_6G361390 transcript:KGN47577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWPSFLSLVSLYGRYLRRCFSAAGLSQQVIHIDDETTIAFWGPKPKPHKSTAKPSLLLLHGFGPSAIWQWRQQVQFLTHDFDVYVPDLVFFGGSNTKSAERTEVFQAMSVGKLIEMIGVKKYSVLGTSYGGFVAYHMARIWPERIEKVIIASSGLNMRRKDNEAMLKRANVEKIDEFLLPVTAEQLRTLMKLAVFKGGGRQMPDFFFNDFIHKLYMENREQKIELLKSLTLGREDSINLSPLSQEVLIIWGDHDQLFPLEMAKELKGMIGEKTRLEVLKETSHVPQIEAPVQFNQLVKSFLCGS >KGN46555 pep chromosome:ASM407v2:6:7242423:7250986:1 gene:Csa_6G108530 transcript:KGN46555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGFGFGSSTSQSSSPFSSTTGFSFGSTNFSFGSSPSPLSLSSSSSSSSNPTSSSSPFPNPTSNPSSSSFGFGSSSFSTSTSSPFSFSLASASTGASSSSAPSSAATSASSLFGVSSSSTGFNSFGFGASSSSANSSAPLFGAASSSGTSSTSLFGAGSLPAPSFGAAPSSGSSVAPSFGALSSSAGTSSAPLFGAAPSAGASSAPSLFGGASSATTSSAPLFGTSASAASSGSGLFGASAAASGSGVSLFGTPATSSGSTGSGLFGSSPFGASSSGTLSSASTSNLFASSLSTSPFQSTLSSSSSQNLTSSSPFVASPSGFSFPTGSLSKTTSITNVSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSLTLASTSSSSFSFGTPASSSSQSSFGFSINNAASTGGSSAPSTTATKPTSLSASTPAANSTTQPSFSVPAFSSSSSAATTLSIAASSAPSAASSGAVSSFTGFGVTSSASSSSAIGSLSLPTKTSTAASSSQAPASFGFPSLASASTAATTTSGFSASSQSQTSSALVVASSSSGTTSTVSATVSSTPKLPSEITGKTVEEIINEWNAELQERTGKFRKQANAIAEWDRRIMQNRDILLRLEIEVAKVVETQAELEKKLELIETHQQEVDKALVSVEEDAERIYKDERGLLLDDEAASTRDAMYEQAEYIERELEQMTEQIKSIIQTLNANQGGELDVIDGMTPLDAVVRILNNQLSSLMWIDEKAEEFSSRIQKLAATEGPAADRELLGQKLWMS >KGN49115 pep chromosome:ASM407v2:6:26682669:26684119:-1 gene:Csa_6G513790 transcript:KGN49115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGRKLTTSRSERFLGSYTYNHNQGSTGSDSSELGEEDVWPMVDSVETEREEFSEENSLAGYDGGGINISHGGIPVRRGSGVPGDTRERHVGGLSLAFEDPSRKTSARIVHQFRGNDTVASPRGRQMATSAPVNVPDWSKILRVDSVDSLQEFDEPLDDPESEIVPPHEYLARSRKKNATSVFVGVGRTLKGRDLRRVRDAVWMQTGFDG >KGN49126 pep chromosome:ASM407v2:6:26734859:26740617:-1 gene:Csa_6G514870 transcript:KGN49126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDPDMFDTTHHHMLEELENMRDDDFDNKSGAEILESACGTDQQQQRSKKKRYNRHTQHQIQEMEAFFKECPHPDDKQRMELSRELGLEPLQVKFWFQNKRTQMKAQHERHENAILKAENEKLRAENIRYREAFAHSTCPNCGSSSTALGEMSFDDQHLRIENSRLRDEIERMSGYGSKCTKPYYQLPTNAPTRSLDLGITNFGPQSSGFVGEMYGAADFFRSISRPSEGEKPVIVELAVSGMEELRRMAQGGEPLWVAGDGKSSGEVVLNEAEYLRSFGGGIVGKPMGFRTEASRVSAVVFMNHMKLVDIFMDATQWSTVFCGIVSRASTVEILSPGLPGNFNGALHVMSAEFQVPSPLVPTRENYFVRYCKQQTDGSWAVADVSLDTLRPSPIPNTRRKPSGCLIQELPNGYSKITWVEHVEVDETGVPTMYRTLVNSGLAFGAKRWVATLDRQSERFATSIATTIPTGDLRVISSIEGRKSMLKLAERMVTSFCAGVGASSVHAWTALPAAAGDEVRVVTRKSTDEPGRPPGVVLSAATSFWIPVSPKVVFDFLRKEKSRSEWDILSNGGLVQEMAHIANGRHSGNCVSLLRVNSANSSQSNMLILQESCTDSTGSYVIYAPVDTVAMNVVLSGCDPDYVALLPSGFAILPDGPGGGGNNGGGILELGSGGSLITVAFQILVDSVPTARLSIGSVATVNSLIKCTVERIRAAVMRENP >KGN46529 pep chromosome:ASM407v2:6:7062787:7066619:-1 gene:Csa_6G106810 transcript:KGN46529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSNGNLEWRVNMGDGTTEILRPEASFMKNIMMRIKNFIWGGLLLKAWKFLEKAWGIANSEPKKAVHGLKVGLALTIVSIFYYMRPLYEGVGGNAMWAIMTVVVTFESTVGATFYKCVNRVIGTSLAGCLGIGVHWIAAESGDKFEPIILGISLFLLASVTTFSRFIPSVKSRFDYGAMIFVLTFCLVSISGYRVEKLFELARTRISTIAIGTSLCIFVSMLFCPIWAGSQLQSLTARNLDKLAHSLDGCVSEYFENDDDTEEMKNNEDEKNNNSKVEGYKCVLNSKASEESMANFARWEPAHGRFGFRHPWKKYLEVGGVMRKSAYCIEALHGCLNSEIQAPNSLKLHLAEPCKALSSSSSEVLKELSIVIKKMKKSTKIDFLVSNMNVAVQELQNAIKSFPSTQMEVSLSEQEEEANNEDHKAATTTIPPLMKLLPLATLVSLLIETTSRIEHVVNAVETLANVANYDSEDEKKKPSSSDNHDHNVAMRVFPEA >KGN46893 pep chromosome:ASM407v2:6:10383423:10392072:-1 gene:Csa_6G148350 transcript:KGN46893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANGTAPDEFVVLSRVRTGLKREFAFALKVQSTICGSLGRTRSTKLHNAIPESPTPKRLKGLGTMEAKEGEEEDEESDEAAQLRSCEVGEVEKVKIMEDMADSMSEEEAKSDIVDLISDEEPKSQVDESTGDTGTKDEKLDAIRIEESKEELLDSEDPSSHRTVDLAIHSELVDVKVDPSYEEESKETLRNESEELSTCADLGKAGKNVSSEEAANGSKSIIDVNGQLGKKMFQQPRKRFTRSALKQNVEPTSLEHLSKCNTGVAMQVITNDTETKPEDIPGPLATPPVKIGKTKLKKVSAKKFPAKLKDLLDTGILEGLRVRYIRGSKIKALGETGLGGVISGSGIICFCNNCKGKEVVSPTLFELHAGSSNKRPPEYIYLETGNTLRDIMNACQNFSFDQTEEFIQSAIGRSLVKRTAICLNCKGRIPESDTGIAMLLCCSCMDSKKPQVSSSPSPSPSPSPTPIVFSKDRTPKPNVLSKSSDTITKSVSTRGKIHGRITRKDLRLHKLVFEEDILPDGTEVAYYARGQKLLVGYKKGSGIFCSCCNSEVSPSQFEAHAGWASRRKPYLHIYTSNGVSLHELSISLSKGRKFSLTDNDDLCSICADGGDLLCCDGCPRSFHRDCVPLQCIPTGIWYCKYCQNLFQKEKFVEHNANAVAAGRVAGVDPIEQITTRCIRIVKTMEVEVGGCALCRCHDFSKSGFGPRTVILCDQCEKEFHVGCLKENNMEDLKELPQGKWFCCPECNRIHSALEKLVVLGGEKLPESILVSVQKKIEDQGSASINDVEIRWRVLNWKMLSSDETRSLLSKAVSIFHDCFDPIVDSASGRDFIPSMLYGRNIRGQEFGGIYCAVLTVNESVVSVGIFRIFGAEVAELPLVATDTNFQGQGYFQSLYACIERFLGFLNVKNLVLPAADEAESLWINKFGFSKLPPEEVMEFKRHYQMMIFQGTSMLQKEVPKYRVINSAANPGS >KGN49231 pep chromosome:ASM407v2:6:27240422:27244022:-1 gene:Csa_6G517390 transcript:KGN49231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEANSWLRRTKFSHTVYHRWDSLKLNPVPFIVEPPRNSGLKSRPPSASSAQKPNPDISKIQRSFISNKQRSLSPLPESNLSEVFKEAKSESKRFSTPTPRLRERTKEFKNKLFNKDPQDSKSSNSKSSLNTSPLKQLSSGKGGDKSKLKKDSSWTKYFDSGKVTAVETADDWTVDLSKLFVGLRFAHGAHSRLYHGKYNDEPVAVKIIRVPDDDENGTLAARLEKQFTREVTLLSRLYHPNVIKFVAACRNPPVYCVITEYLSQGSLRAYLHKLEHQSLPLQKLIKFALDVARGMEYLHSQGVIHRDLKPENVLIDEDMHLKIADFGIACPEAFFDPLADDPGTYRWMAPEMIKHKPCSRKVDVYSFGLMLWEMVSGAIPYEDMTPIQAAFAVVNKNLRPVISSDCPLAMRALIEQCWSLQPDKRPDFWQIVKVLEQFESSLARDGTLNLLGNPLSSFHDHKKGLLHWIQKLGPLHPEVSSSPVPKPKFS >KGN46805 pep chromosome:ASM407v2:6:9632219:9633226:1 gene:Csa_6G137580 transcript:KGN46805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEVSSLVRVLTTYNKEDRHRTGGDESTAEKLTPLITRDLLNGGYSKFTESQELDLDLHVPSGWERRLDLKSGKMFIQRCNVQDFNNNNQTVPKLQDLNFPPSPNCSKFQLTNHLVDETSLDLKLVSSLSSSPSSSSPRSNYQSVCTLDKVKSALERAERNPIRKRSSLWKSSPSPSYSSSSSSAAAEKEFREEENLKCLSSPIAAGCPGCLSYVLVMKNNPTCPRCSSIVPLPAVKKPRIDLNISI >KGN48681 pep chromosome:ASM407v2:6:24483716:24488654:-1 gene:Csa_6G497310 transcript:KGN48681 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine hydroxymethyltransferase MALAMAMALRRLSSSIHSPLRHLHGASFRYLSSLPNEAVYDKERPRVPWPKQLNDPLEVIDPEIADIIELEKARQWKGLELIPSENFTSVSVMQAVGSVMTNKYSEGYPGARYYGGNEYIDMAESLCQKRALEAFRLDPEKWGVNVQSLSGSPSNFQVYTALLKPHERIMALDLPHGGHLSHGYQTDTKKISAVSIFFETMPYRLDESTGYIDYDQLERSATLFRPKLIVAGASAYARLYDYARIRKVCDKQKAIMLADMAHISGLVAADVIPSPFEYADIVTTTTHKSLRGPRGAMIFFRKGVKEINKQGREVLYDYEDKINQAVFPGLQGGPHNHTITGLAVALKQATTPEYKAYQEQVLRNCSNFAQSLAEKGYELVSGGTDNHLVLVNLKNKGIDGSRVEKVLESVHIAANKNTVPGDVSAMVPGGIRMGTPALTSRGFVEEDFAKVAEFFDAAVNIAVKIKAETKGTKLKDFLTTMESTPYFQSEIKNLKQDVEEYAKKFPTIGFEKETMKYKS >KGN48989 pep chromosome:ASM407v2:6:26118854:26121498:-1 gene:Csa_6G509580 transcript:KGN48989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSDENNSNFIKPTSFLPGGGLEKSGRAFGQEISRVNSNRRALNAINQNSVVNQAYPCVVNKRGFSGKQEICEKKQVDPFHRPITRKFAAQIASSQQLHHHPQENNKPNSILTNSNAFGHSIFVDEDCKTLENDHPVPMFLEKSEPSLSQEASQMEEVEMEDIAEEEDPVIDIDIIDSNNPLAVVEYVDDLYAHYRKIENSSCVPPNYMTKQVDINEKMRAILIDWLIEVHDKFDLMGETLFLTVNLIDRFLAQKSVVRKKLQLVGLVSMLLACKYEEVSVPVVGDLILISDKAYSRKEVLEMETVMLNCLQFNMSVPTPFVFLQRFLKAAQSDKKLQLMAFFLIELSLVEYEMLRFPPSLLAAAAIYTAQCTLTRIDGGWSRTCEWHSSYSEDQLLACSRLMVGFHQNAATGKLTGVHRKYCTSKFNYTAKCEPAHFLLQTQQ >KGN48145 pep chromosome:ASM407v2:6:20704805:20705144:-1 gene:Csa_6G445100 transcript:KGN48145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKDLDPAFPTGDYFDHPPAPFFDPEELLRWSFYRAVIAEFIATLLFLYVGVLTVIGSQSQDSAAVCGGVGVQGIAWAFGGTIFVLVYCTAGISGKLFYSFFLPRV >KGN48763 pep chromosome:ASM407v2:6:24982039:24983346:-1 gene:Csa_6G500550 transcript:KGN48763 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing transcription factor MAAVIDVYGGTSTPVYYSDPFSEELMKALQPFMKSAISTSSSFSPSSSPSPPHPSVSSQPRLIPDFCSPSSTRLFSQGFSGIEQMGFEQSGPIGLNNPTPSQILQIQAQIQLPSPTMSSFSSSSSFQSQYHNFLTPKSFPMKHMGSPPKPNKLYRGVRQRHWGKWVAEIRLPKNRTRLWLGTFDTAEEAALAYDQAAYKLRGDFARLNFPHLKHQFGDFKPLHPSVDAKLQSICQSLKQGKTEVCSVEDEKPTTIPLPSESNSAILNGVKE >KGN46090 pep chromosome:ASM407v2:6:4021300:4026757:-1 gene:Csa_6G052650 transcript:KGN46090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSMVRFGIIGVAKIAKKISRAIALAPNATLFAIGSRSIEKASNFAFDNGLSSEVKIYGSYEVVLDDPDVDVVYIPLPTSLHLRWAVLAAEKKKHVLIEKPVALSVVELDMILEACKVNGVQFMDGTMWMHSPRTAKMKEFLCDAKMFGQLKSVHSIYTFEVDSSFLANDIRTKPDLDGFGALGDIGWYCIRAILFAADFKLPKKVIAFPNPVLNESGVILSCGCSLFWDDGKLATFYCSFLEYMTQNMTVIGTNGTLHLTDFGIPYTEKELRFYTNSKYGFIEHVASSKPLPNIHIIPTDLPQEAHMVMEFSHLVKQIKENGSKPEEKWPTMSKKTQLVLDAVKASLDRGSEVVEVGQM >KGN48467 pep chromosome:ASM407v2:6:23049790:23049984:-1 gene:Csa_6G488370 transcript:KGN48467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVLLVYNVELWIASKGSKEYGESFLGAMWSVNPASSNPQKALKMYVGNTLPNIYRWGCTAPSL >KGN47441 pep chromosome:ASM407v2:6:15124876:15125223:1 gene:Csa_6G324850 transcript:KGN47441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKKLSKVSLGEADFQLFYAFVVDNGDGEIALTGIRDSHPVFSTHRLKFRLPQIITSTPPTPPSSSTLDSSPPSPPSPPTLSLSPYSSSPVLVAQPNSSSPATTSFLSTPLSLKI >KGN46649 pep chromosome:ASM407v2:6:8132696:8142941:1 gene:Csa_6G118290 transcript:KGN46649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGAAIKDAISKDHWSRDHTEGMRARPLHFGEPIAARLGVDYPFPPHLEYAYPPPDGNILTNIVNALIAVPRFYTQVLHLMNKMNIPAPFRLPLPTPPLPPSIPAPPSPSLTLPPLPPPVTTRSQVTNMPSDESEMESSEEDVEGKYNPSGVSKTAKSGYKRPRCETIVGPGIDKDVAHEAVGVKPSTLVPKEIPMIKKKNPVIQIRIAPKVIHDGKVGNINNDGEEAEKEADDLKPYATLEDLERGKLPPEEILSLPMFKNYTAGTPTSVLYIKNLAKDVLNDDLYYIFGSLFEGIDEAKSALTVKLMQEGRMRGQAFVTFPSIELAQRALLSRNDKNFTTLRGIVEHTGDTCMKR >KGN48711 pep chromosome:ASM407v2:6:24651934:24652254:-1 gene:Csa_6G499075 transcript:KGN48711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGNGGKVGKVAFGSAGAEGNGGNVALGSVGIGGSGGRAALGSGGTVALGRGGSGGIGGIDRNGGGAAGVSRRRRELAKFMLMLANDKDTINKNFKQLLKTAMVLN >KGN46104 pep chromosome:ASM407v2:6:4114580:4121161:1 gene:Csa_6G052790 transcript:KGN46104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRRKAILEFSHCANILLSPTKRSIFPNSTLSTNAFLASQFCSSTFSRSSTDGTPVENEQPRQSNRDSTLLEKFRLRKLKGLSKISQDSPSSNGGEKAMTGFRDLGLCNELGEAVEKMGVLAPSELDCAGIPAVLEGKNVVFGYLDEPERALAYLLPLIQNLKRDEKRYGTRSKHPRAFVMCPTAQLSEELFCMAKYFSNYRQLKTPRDNGCGELELQKSASDVSIGLLIGTPDEISELIEDGSVVLDEINYLVFDELDSMFDLGFGPNIKKILTSVRNCNKKCQSIVVTSTLIKMMHEQRSSLVKSLRCGDAGEIAAMVLEIEEEEAFHLMESPDALKSKLADVVESLRPSTQET >KGN46688 pep chromosome:ASM407v2:6:8511602:8514588:1 gene:Csa_6G124030 transcript:KGN46688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNDYESQVSDHFQRPRRSKSREVSSRFLSSASATETTTATSSSSSPTQPLSPTHGKSRYDARKHRSQQGSLLVHGLWPSSTTNRFDTLADHLRNERLKDETSSGNPSLNKLRGSRDLSSFESKEECAKENDRPIIGGSARYSEKLQGKNVSSSLSKLPVQSFDSARLSVDENALLGRSSRKRSDNFKNSFDLESDYNDIRSPMVVGKTPTIVCQRSGLVVPSKNMNDVISRRLQRGSSDSSLPTTVSFEGSPTAKKNSVKDPIQRVNSTSGRGNSRSQWALSPGRSGSPTMSVESKEKPMSFSSLKPIRTSSKGATGMEKLLNLGLDLFMSRKSSISTTLSPIGPAVSSNVHQLRMLHNRLVQWRFANAKAQSATENMANLVEKNLASTWYDIAKLQQSVQQKKLQLQKEKLQFKLNFFLHSQLRPLERWGTMERQHLTALSITKDCLHSVICRVPLIEGAKIDAQTISMAFNQASDVAISMKSMVTIYAPVAMKTASLLSELARVVIQERLLLEEVFELHKTVSALEMEEMSLKGAIIQMKTRQHHHRKL >KGN48575 pep chromosome:ASM407v2:6:23774284:23778057:1 gene:Csa_6G493830 transcript:KGN48575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIAKSQIPCQQPYERMVHEIVSSPVLEAISDHTGIKAKVQKLVENLTSDSPETLRTSTAELRLLTKIDANNRTLIADYGAISLLVNLLNSTDTKIQENAVTALVNLSIDNNCKSIIVQANAIEPLIHVLQTGSPEAKENSAATLGSLSVVDDNQVNIGRSRAIGPLVDLLKDGTPRGKRDAATALFNLSLLSENKPKIVEAGSIKHLVKLMDPATGMVEKAVTVLANLASTDEGRIEIVREGGIPLLVDTIELGSARAKEYAAAALLWLCGITSRYCIMAIQEGAIPPLVALSQSGTARAKEKARALLSCFSRNKLTSHC >KGN46565 pep chromosome:ASM407v2:6:7313479:7317016:-1 gene:Csa_6G109120 transcript:KGN46565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDYEWGSTNPPPIILSGEESEPVFNSVPSHSHNFPTFVDLHHHNTFLNPPPPPPPSTAAFSSHLGGFYNHPQSYTMGASSSHHYNSVTGGGGEFMLVPKSENVCGSIGAAAPAEFAARIGLNLGGRTYFSSEEEEFVNRLYRRTRTGSEMGGSGNWAVRCQAEGCNADLSQAKHYHRRHKVCEFHSKASTVITAGLTQRFCQQCSRFHVVGEFDNGKRSCRKRLADHNRRRRKTQQPHHQPTPNSSQLIQSTTSSPTHSAATRSTMESTGQSTWSVTVAVSSPTRMSLDCLNQQPY >KGN48555 pep chromosome:ASM407v2:6:23633101:23634793:-1 gene:Csa_6G491670 transcript:KGN48555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNIGMMDSAYFVGRSEILAWINSTLHLNLSKVEEACSGAVHCQLMDAAHPGMVPMHKVNFDAKSEYEMIQNYKVLQDVFNKLKITKLLDCQSTLQYVDSSIFGFLVSYNALERREASKGGKDASKKSTTSHSSAKGSTGATSRAQVSQNARRNEASVNSGNQAANASKPSSNGGLTAYDEQITTLKLSIDSLEKERDFYFAKLRDIEILCQSPEIDNLPVVGAIRKILYAIDDDASVVAEAQAMVSGQRNEPINLLSPIAEVSDEKLGLETQKRKSIINFDVDVAGITSLSPRQRTSDASDVHCSGSPLMTY >KGN47087 pep chromosome:ASM407v2:6:12046433:12046783:1 gene:Csa_6G185850 transcript:KGN47087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIASLETKTSSELGSETETRFDSLPVNPSISGRTDFSQPKTWSKERFSMTKTTTLLIGPWISCRLFLKSNSKAWDENKRYIRKKEVKTASRSTISDGKNRQPPTAAEIMIADFED >KGN46767 pep chromosome:ASM407v2:6:9240558:9243839:1 gene:Csa_6G133760 transcript:KGN46767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPADSPYSGGVFLVSIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRTKYEATARSWTQKYAMG >KGN49481 pep chromosome:ASM407v2:6:28593246:28595069:-1 gene:Csa_6G525660 transcript:KGN49481 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticuline oxidase MMVSTNPKYKSFVAPLFLVFLLSSSSWVDSSSLEESFLQCLNENSQFSVPYSSFCAPNNATFNALLQSTAQNLRLLKVMGESFPELSLTRKDCIETSWIKSVLYIAGYPSGTPPEVLLQGKSTFKNYFKAKSDFVKNPIPETGLEGLWKRLFEDEGPLMIWNPYGGMMGKISETEIPFPHRRGVLFKIQYLTTWQKVEENQDKHLQWIKKLYNYMTPYVSQLPREAYVNYRDLDLGINKNSNTSYIESIGWGTRYFKENFGRLLRVKTKVDPDNFFRHEQSIPPIPTSEALRSFGSSKRGFD >KGN48039 pep chromosome:ASM407v2:6:19959102:19960984:1 gene:Csa_6G425760 transcript:KGN48039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVSREAEMSMAALSMFPGFRFSPKDEELILFYLKKKLEGSDDSVDVISEIEICKFEPWDLPGKSRIPSENEWFFFSPRGRKYPNGTQNKRATELGYWKATGKERNVKTGSEIIGTKRTLVFHLGRAPTGERTEWIMHEYCLNDKSQDSNSMVVCRLRKNNDFRRNNADKATSSKVQAGSGEEGDGGIGEKVAGESDRFSKNCSSSLGSHSLDQIDSAIESNQKQTSDPAIHEPLRQEKGNGGDEELYADLLKDDIITLDDSLLCATHNQTPVIMNFSEAEKYTQSPTQTEMKALSTVGIKFKKQELNKFHINIAIPIFLLIIFVACVHVVIWW >KGN47644 pep chromosome:ASM407v2:6:16688463:16691427:1 gene:Csa_6G366420 transcript:KGN47644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEDPPLAVEIGETITAVQQHEDDGVSVGVTVITGYLGAGKSTLVNYVLNSQHGKRIAVILNEFGEEIGIERAMINEGDGGALVEEWVELANGCICCTVKHSLVQALEQLVQRKERLDHILLETTGLANPAPLASVLWLDDQLESSIKLDSIITVVDAKNLHFQLNEHRSSSSFPEAFHQIVFADTIILNKVDLVSSDRGDGALEDLEYEIRNINSLAKIIHSVRCQVDLSLILDCNSYNAANTAHLEALLKESRSLSTQDLHDTGVRTLCISEDDKVDLDKVHSWLEEILWEKKGGMDVYRCKGVLSIKNSDQLHTLQAVRELYEIVPTRQWNNGESQTNKIVFIGRNLNEDVLSKTFRECASIS >KGN46917 pep chromosome:ASM407v2:6:10584165:10585562:-1 gene:Csa_6G150560 transcript:KGN46917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNPRSHRKGKLAEKSSSFHGESSTKTATMLRRPKTDPELLSFKNLGLSASAPSLDGRPKMTKLLLNVTIQGSLGPVQVLMSPEMTVADLVSATVRQYLKEGRRPILPTADPSAFDLHYSQFSLESLNKEEKLIALGSRNFFLCPKKSDDNNDLIASSSSSSCSKEAKESAKSSSSFSWFKFIDFRI >KGN47770 pep chromosome:ASM407v2:6:17906129:17910313:-1 gene:Csa_6G401400 transcript:KGN47770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGRKNTGQASPLFLVLLALTFCFVTYNLVTAIIQYGSVGREVGHDSYNHLSTDPIIEMPEKVKRKKTKSPFHVALTATDAPYSKWQCRIMYYWYKKKKNLPQSEMGGFTRILHSGKPDNLMDEIPTMVVDPLPAGMDRGYIVLNRPWAFVQWLEKATIEGEYILMAEPDHIFVNPLPNLSDGGYPAAFPFFYIKPDQNHKILRKFFPEEYGPVNNIDPIGNSPVIIRKDLIEKIAPTWMNISLKMKEDPEADKIFGWVLEMYAYAVASALHGVQHVLRKDFMLQPPWDLAIGRKFIIHYTYGCDYNLKGELTYGKIGEWRFDKRSHLRGPPPKNIPLPPRGVPESVITLVKMVNEATANLPNWEAT >KGN47884 pep chromosome:ASM407v2:6:18839764:18841265:1 gene:Csa_6G409900 transcript:KGN47884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSHRVSLLCTFMILFCYFHLHVSAMLDPLDFLALQSIRKALKDMPGSNFFASWDFTSDPCNFAGVSCQSNKVVTLNLGDPRAGSPGLIGRLDLSVGKLSALAEFSVVPGRIYGSLPETLSQLHNLRFLAVSRNLISGQIPAGLGGLRKLRTLDLSYNQLTGPIPRTIGMLPALSNLILCHNRLTGPLPLFLSRTLTRLDLKHNALSGWLSPNSLPPSLQYLSLSWNRLGGSVDRLLTRLDQLNYLDLSLNQFTGPIPGRLFTFPITSLQLQRNQFSGHVEPVNHVSIATVDLSYNKLSGQISPFFSTVQNLYLNNNGFSGRVPGCFVQRLLSANIQILYLQHNYLTGIEINPTAEIPLSSSLCLQYNCMVPPVQTPCPLKAGKQKTRPRQQCNQWRG >KGN45644 pep chromosome:ASM407v2:6:291983:292443:-1 gene:Csa_6G002380 transcript:KGN45644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPQNRGVSKFDLKLFVAIDAVKFREIVARLSDYNGPIFATASTSQVKFYGRTAEGEIIGATLLTAEIQNLKLGNLSAKYILKLRDLCDTILNA >KGN48254 pep chromosome:ASM407v2:6:21521333:21524487:-1 gene:Csa_6G452060 transcript:KGN48254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLNGEADILNSSPQLEKLIIDTDPGIDDAMTIFMAFQSPGLEILGLTTVFGNVFIEDATNNALLLCEMAGRSDVPVAEGSAEPLKGGTPHIADFVHGSDGLGNLNLPSPSSKKIEKSASEFLVKTVSQHPGEVSILALGPLTNLAMAIKMDSTFASKVKRIVILGGAFFALGNVSPAAEANIYGDPEAADVVFTSGANIDVIGINITTQVKLTDDDLLEIRESKGKHAQVLCDMCKFYRDWHVMSDGVCGIFLHDPVSFVALVRPDLFTFKSGVVRVETQGICVGHTLMDQGIKKWNGSNPWTGYSPVSVAWTVKVDEVTKYVKDLLKKP >KGN47689 pep chromosome:ASM407v2:6:17058361:17077991:1 gene:Csa_6G381790 transcript:KGN47689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDNFSGGAGVGTRTGNGGAGDSSRASSSFLKSGDRQMFTVELRPGETTIVSWKKLVKDANKVNGLNTVPEPPANPNPAVECRIDPGQPIEDEVKDPTAPNRFNAVIEKIERLYMGKDSSDEEDLIPDDDQYDTEDSFIDDTELDEYFEVDDSAIKHDGFFVNRGKLERIEPSGQPNQQLKKRRRKDLEKGHPENHDGRSSNKHSKVGKTTTGKSALMVAKSFSNLSQNMVITHEHLEDGKLQNPLMPGHSSKKKSGDTKMILDPSPSLKVYNGDTSTSVAEVKDADPSKPGVFPPKNPGSKSKESCGPSDSLQQNILEKVAHAPSKPQPGRPCTDEIDSSIQMKEKHGVRELPDINLPVAKYSMQTAKTPYVHKKDGSSVRPKSSLLEKAIRELEKMVAESRPPLTENPEADNSSQAIKRRLPREIKLKLAKVARLAASNGKLSKGLINRLMSSLGHFIQLRTLKRNLKIMVNMGISVKQEKDDRFQQIKKEVIEMIKIRPLSLELKVIEQQGGAPQDVRELVSEEKGVPRKKFAMDPSLEDKICDLYDLFVDGLDEDAGPQIRKLYAELAELWPNGFMDNHGIKRAICRAKERRRALHGRHKDQEKIKRKKILPPRVDETVRNEVGTVAQPQYARERLASESGLQPTPATKPASVSMVAAAQLQSASSVGNIDRLKSEKMKVSSSSSHEDARIVDGALTKKKTKRKAEVELEETHNRPEKASIQHGDEKHKSTNKPTASLPPKPNIQSAAPSSLEQSS >KGN47910 pep chromosome:ASM407v2:6:18997353:19003400:-1 gene:Csa_6G410650 transcript:KGN47910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSMDDGDISISAYDTAWVALIRSKEGGGEEDQEEVKKNGPLFPCTLEWIAKNQLQDGSWGDSQIFSAHDRILNTLACVVALKYWNLHPQKSLKGIAFLNQNISKLQHENAEHMPIGFEIAFPSLLQFAQKLNLQILPTHSPILQEINHRRNIKLTRIPKDIMHKVPTTLLHSLEGMEGLDWEKLLKLQCQDGSFLSSPASTAFALMQTNDPNCFKYLDSVVNRFNGGVPNVYPVDLFEHIWAVDRLQRLGISRFFRSQIVECVNYVRRFWSDEGICWARNSQFHDIDDTAMGFRLLRLYGHDVSADVFKHFEKDGEFVCIAGQSTQAVTGMFNLYRASDQVMFPGEKILEDARQFSSKFLRQKQANNDLLDKWIITKDLPGEVGYALEVPWFASLPRVETRLFIEQYGGKNDVWIGKTLYRMFKVNNDTYLELAKLDYNNCQLLHQIEWVDIQKWYIENKLRDYGMRRTNLLFSYFGAVCSIFEPERAKERLAWTKTAALVDAIESHFKDANADQRRAFVQQFTNLDAAQAYDNNAWRSSNVQQKGGQGLVGILLRTLTNISLDILVSHGLDITHHLHQAWKKWLFKWQEDGDVHKEEAELLVQTIILNSGCSTLEDLLSNPQYQKLSYLTNKVCHQLGHFKKHKVTNGGIYKEKTDNKMPQEIEDDMRKLMQLVIQNPSDSNDIINSQIKHTFLTVAKSLYYAAYFDPWTINYHIAKVLFEKVF >KGN47069 pep chromosome:ASM407v2:6:11925675:11926352:1 gene:Csa_6G184200 transcript:KGN47069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVRKREEKDNHSSNNEESKKEEDHINLQTAQSNSQNQNGKLPINEESERSTKRSRITESNVAGSTSTNTTISLGQDPLLVAMQILWKYYKFIDYLYQILKNDEEKQDLKVQWQKWIEILVGGRRLVMGLYQDLRTLILEMESVKHPEGLEKKEHELKKTQILSILNLFRHINSRIASSSNLRLVSDIKNRGKVMAMCLRELERSKQELIQLIGLMKVLREKGLR >KGN46309 pep chromosome:ASM407v2:6:5551992:5553940:-1 gene:Csa_6G081500 transcript:KGN46309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKQIHEIKDFLLTARRKDARSVKIKRSKDVVKFKVRCSKYLYTLCVFDSEKADKLKQSLPPGLSVQDL >KGN46583 pep chromosome:ASM407v2:6:7459622:7460686:1 gene:Csa_6G109780 transcript:KGN46583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAEVVTPETALPEEATEVVEVEVPPPKVEEEEAPPPVEEDPPAEEVAKEEDVTDPPAVEEAETPVEVETKEVVVEEEAKEEKNEEEEVVEEEEKEENKTEEAAEVVVEEETKAPVEEAEEKAVEVKPAEEAEEKAEEEVGAEKVE >KGN48300 pep chromosome:ASM407v2:6:21814159:21815206:-1 gene:Csa_6G454475 transcript:KGN48300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKECAHHSKKRPKLIRRIYAGILIFLFLVLLTILLIWAILQPTKPKFVIQDATVYLFNLTAANFISSSIQVTVYSRNPNDKIGVYYDRLDVYAVYHNQQITLRTGIQPTYQGHNDVNIWSPFLIGNNVPISPYNGATLNQDQAVGTVQLSIKLDGRVRFKVGTFISGRYHLNVDCPAAIMFGNPTAGVIVGNNAVKYQLVRPCSVSV >KGN46758 pep chromosome:ASM407v2:6:9149194:9152535:1 gene:Csa_6G133670 transcript:KGN46758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGDICRWIIEFILRTPMDRHLQKKVLAIVPISDKDFRLTKTVLLGSIESEIFEAVATEKLLQTFECIEQLDKAEGLAIMESMKAAYCAVAVECTVKFLLVEGIQKDGRYCDAVSRIWSGRVTNLERSGKSKLVSRELKAWKDEFELSLCDKNVRLKLVHMNTRYDALKLTRDYLTEAWAVIGPSFIQLSASLMDKRVVNEMQSIQLEKGKNEIATESEVLGGSDEIELPSQSENCARLERQGGGEVLSQPETRTDLLNRRQDLSTNEGSKQPAIVARTTERVQELAAETAEGEELVEKEVAVFHYSSPRRENVRTSAVPRCKSLAFHRRVRGGAKISQLEDLENENDVSFGRYTCLATPEVNRVREALKASSLELQAVVSDPLPNALRIAESVANTLAENKKTCEHSSEGRNDAGSSNPTINKYAVPLQSVSANLKNLGNGRKTIFPRPSLMEHNSTACTYEWNDSIDDLPEGSNANRLHLPSPKRKDISPLKKYEETKVVRRRQCKKWSLLEEDTLRTAVQRFGKGNWKLILSSYRDIFDERTEVDLKDKWRNMTRY >KGN47367 pep chromosome:ASM407v2:6:14610438:14611723:-1 gene:Csa_6G305300 transcript:KGN47367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLATYFYSVENELPEKIERLVRCEASAYQKLLMRRVEDNLGSIGSTKVRSVHNSVMELRNICNHPYLSQLHAEEACLSFLFIMQFIYGLVDNLIPKHYLPPIVRLCGKLEMLDRILPKLKATDHRVLFFSTMTRLLDVMEEYLQWKQYRYLRLDGHTSGGDRGALIELFNRQNSPYFIFLLR >KGN46994 pep chromosome:ASM407v2:6:11101370:11103649:-1 gene:Csa_6G157680 transcript:KGN46994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVTNTQQEEDKKPNDQSAHINLKVKGQDGNEVFFRIKRSTQLKKLMNAYCDRQSVDLNSIAFLFDGRRLRAEQTPDELEMEDGDEIDAMLHQTGGSTR >KGN46702 pep chromosome:ASM407v2:6:8614781:8619086:1 gene:Csa_6G124170 transcript:KGN46702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSLKSFKSHPSYKYVRKLSGADATQDHEHLPILSDHQHSIMAVSDSSDRKEVIVKVDEADASTLRATDLVNGSGTIWRESSYDFWNDSDNRRNYGEGGAKTTDDFEFRQHRKDVEDPPSKLIGQFLHKQKASGEMSLDMDMEMLELPQDKTPLSTVAESPMRRSSRELKVSFESISEISENDSMRRRHRDSPLDEEHRGQQPRQCDRRAHGSNGEDDGAAEVLRCSSNSFFQRDVSFQRKSSLLRAKTKSRLLDPPEHQDRRSGRVPKSGQVRSGLISKALDEEDDDPFLEEDLPDEYKKANLGVLTLLQWASLILIIAALVCTLTIRYWRRKKLWKLEVWKWEVMILVLICGRLVSGWGIRVIVFFIERNFLLRKRVLYFVYGVRKAVQNCLWLGLVLIAWNFLFDDKVQREVKSNALEYVTKVLVCLLVSTLVWLVKTLMVKVLASSFHVSTYFDRIQDALFNQYVIETLSGPPLIEIQKNEEEEERLAEEVIKLQNAGATIPPDLKATAFSTAQKGGRVIGSGGLQKSPRGRSGKLSRTLSKKGGDEGITIDHLHKLSPKNVSAWNMKRLMNIVRHGTLSTLDEQIKDTAHEDESTTEIKSEYEAKVAAKKIFLNVARNGSKYIYLEDLMRFMEKDEASKTMGLFEGACESRKISKSSLKNWVVNAFRERRALALTLNDTKTAVNKLHRMVNILVSVIILVIWLLILGIATSKFLLFVTSQLVLVAFVFGNTCKTVFESIIFLFVMHPFDVGDRCEIDGVQMIVEEMNILTTIFLRYDNQKIIFPNSVLATKAIHNFYRSPDMGDGIEFCLHISTPPEKIAIMRQRIISYIEGKKEHWCPAPMIVLKDVEELNRMRIAIWLTHRMNHQDMGERWTRRALLVEELVKIFQELDLQYRLLPLDINVRSLPPVNSTNLPQR >KGN47313 pep chromosome:ASM407v2:6:14105865:14108169:-1 gene:Csa_6G292420 transcript:KGN47313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPKLTHIPAKPISSKPKFTRKPSEAPQITAHQPQISRQNRVFGTVRSSNIPVKPVVNEKPLTNPVIGGVKKQPKSRLAQISVNATVDRKINEKLNPRLKKKSPGIQQQVTETAVKGLRDGNDVVEPQTPVARPRLLKLKNGGTPYHTAEKCSNCRFDKMETSSYWVAQIKLAESVGKHWVSADFFRLAYVCNAEPIRNLKVELKRYLTRHEHLSMNTEWKDVSLSYGLLQNDINGASPHNPSTETCGNEINKDLQTVTPEISSSKLVKDEIVGTDVGKVGTETTQKWVNYLSIEQLGHIHQC >KGN48067 pep chromosome:ASM407v2:6:20213799:20216344:1 gene:Csa_6G428000 transcript:KGN48067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARAVVPQRQLRIRDEGKPNVVAAEGRTRRILKDIGNLVPDQAVEGKHGPQPPDKNKRAITKKINGGVGKGVNVTKAAITEKQKPKPKTLLSLADEGHIINIKDTKSKDKNKKSLTSTLSARSKAACGITNKPLDSSVTNIDEADANNELAVVEYIDDMYKFYKLAEGESIVSDYMGTQPDLNAKMRSILIDWLIEVHRKFELMPETLYLAVNIVDRFLSLKTVPRKELQLVGISSMLIACKYEEIWAPEVNDFVSISANTYQREQILVMEKVILGRLEWLLTVPTPYVFLVRYVKASEPSDDEMENMVFFLAELGLMNYQISISYSPSTIASAAVYVARCTLEKNPIWTATLHHHTGYVEEELKECAELLVNLHRGVVDSKLKAVYRKYTSPDRRAVSLLPPAKSSTPDCSPEV >KGN49042 pep chromosome:ASM407v2:6:26344973:26346028:1 gene:Csa_6G511090 transcript:KGN49042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGGGAFHRFCLWFLLTIFLGNEFKLVHLRVLQAAARENRNGISSMSLQSSNSGKEMNRIGSRCAKDDIIIFQGPATPLPGGIPTYIVQILNSCASDCSISNIHVKCGWFSSARLVNPRIFKRVSYDDCLVNDGRALGPGRTLSFQYANTFPYPLSVSSATCSS >KGN46618 pep chromosome:ASM407v2:6:7834460:7835790:-1 gene:Csa_6G113550 transcript:KGN46618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSSLPAPSEGVLGVILVNTALSISIFKGIVRSILHVVGIHLSSSPTLPSSPDSMENAPESIEFHLNPNGSYIEEFRSRIPAILFDKVRSCKWLEHDCSVCLTQFEPESEINHLSCGHLFHRVCLEKWLDYWNLTCPLCRTPLMPEEDTASCFW >KGN49000 pep chromosome:ASM407v2:6:26165903:26171238:1 gene:Csa_6G509690 transcript:KGN49000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLVVYYLCLSLLWGKSWGAPASDGTTRELDQTPTWAVAGVCAIIILISIALEKLLHKAGTWLTEKHKRALFEALEKVKAELMILGFISLLLTFGQNYIIKICIPTKVANTMLPCAAKEDKLEKGDEGEHHRRLLMYERRFLAAAGGAVSCKEGHVPLISISGLHQLHLFIFFLAVFHVVYSAITMMLGRLKIRGWKAWEEETSTHNYEFSNAHTSFWTKLPVFFYIGCFFRQFFKSVGKADYLALRNGFIAVHLAPGSKFDFQKYIKRSLEDDFKIIVGVSPVLWTSFVVFLLINVYGWQALFWSSLVPVIIILAVGTKLQGVMTKMALEITERHAVVQGIPLVQASDKYFWFGKPQLVLYLIHFALFSNAFQITYFFWIWYSFGLKSCFHTDFKLAIIKVGLGVGVLCLCSYITLPLYALVTQMGTRMKKSIFDEQTSKALKKWHMAVKKRHGKSPTRKLGSPSSSPIHPSSGYALHRFKTTGHSNRSSMYDENDASDYEVDTPNFTVRIDHGDEHQAEIIEPQHTEKRNEDDFSFVKPGPTK >KGN46800 pep chromosome:ASM407v2:6:9552691:9560004:-1 gene:Csa_6G136540 transcript:KGN46800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQSRNDQFQCTPMHYGFGELQPASKSSIENRVSIVNMQSDGRTMDLRMSEVKPVLNYSIQTGEEFSFEFMRDRANPRKPLVSDSVSDPSCASRYMDLKGILGLSRTGSECGSDNSMIISMEKGSKDFERTNSSLHGGDRNNLGSAHQKSPELSRYDSGRAIGHGYASSGTSDGSSAKMKVLCSFGGKILPRPSDSKLRYVGGETRIIQIKMDISWQELMRKTSSIYNETYAIKYQLPGEELDALVSVSCDEDLQNMMEECNEFKNDKGSKKLRIFLFSMSDLDEGHFSMGNVDNDSEIQYVVAVNGMDRKNSNLHGLSSFSANNLDEVDGQSIERGTVLKDLVGVNASALTANVASSSLQSSQPVRASASNAYETFLQAYHEPQGQNSEIPSTQLKGKFKDSFEKETHDASGCSSNPSHFFDGNLMTSDKKSTPVSIAQGEFPFLTHKNETELQSSEGLSSMLASGNPIVSRSNDMDNIIHNMPSNAYPHGHTDSESKIVDLSLLEPPAVAQRVYYSERIPREQEELLNRLSKSDDSYGSQFLISHSQSDQDQIPDSAVKLQDSSNYESENSIPMEKSSHNATKVRNDELSHIQDGRNVNEAVSGRNWNISHDGDTELKLQNNFDVTLDSKVDGVVKAGKDLNCPVNNNEKLAGPKLSRPESELPALGQVSSLKNHEDSALDLLQLNLGEVVGMRCTDDNSLKQTQLAYKEESLINHVNERPSTGNVSKPVQGDIVIDIDDRFSRDFLSDIFSKAIPFENSLDSRSQLHNDGTGLSPDVDNHEHKGWSYVHDLAQEKYVQNDVSLIDQDHIVFPSAPKTAGDDFTPLTTILREDSQLNFGDDQKVHRISGNDATNFLSRCDHSRMNGIDSSQFDAMMENLKTLEYRHENVKVASKDSGLPPNDPSLGNFDPNSLQIIMNDDLEELKELGSGTFGTVYHGKWRGTDVAIKRIKKTCFMGRSSELERLTVEFWREADILSKLHHPNVVAFYGVVQDGPGGTLATVTEYMVDGSLRHVLLSKDRHLDRRKRLIIAMDAAFGMEYLHSKNIVHFDLKCDNLLVNLKDSQRPICKVADFGLSKIKRNTLVSGGVRGTLPWMAPELLNGSSNKVSEKVDVFSFGIVLWEILTGEEPYANMHYGAIIGGIVNNTLRPTIPSYCDSEWRRLMEHCWAPNPTDRPSFTEVAGRLRVMSTSASSQTKAQGPKIARS >KGN46804 pep chromosome:ASM407v2:6:9599638:9602964:-1 gene:Csa_6G136580 transcript:KGN46804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGRAPCCDKNQVKRGPWSPAEDLRLITFIQTHGHDNWRALPKQAGLLRCGKSCRLRWINYLRPDVKRGNFTEDEEETIIKLHKTWGNNLVSSNQTQNIETEQLNKTNSINNNNNLFCLKPKATSSLSTNTSNSNSSWPQNHSDFGEEVEKNKENQQLELELESESQSNTMEIEIPLEFDKDFWNMLDLDIDLFESNEVDQNYCQGSNFGAQKNQDFENHMWFKYLENELGLNRPPEPDGRAGVDDTTTEDHRLEDDVDPCMAYFADGFTQQL >KGN48586 pep chromosome:ASM407v2:6:23842818:23843195:1 gene:Csa_6G494925 transcript:KGN48586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLELFTSVDGMCRRRALSLVSVLQNRGKSSSYRLVLCYSSSGIRLFTCGSDSDSARSEFSGENAYEILEVSQTSSSDEIKASFRKLAKETHPDLAESRKDSSASLRFVQILAAYEVLMFNTLLL >KGN48098 pep chromosome:ASM407v2:6:20401571:20405248:-1 gene:Csa_6G430740 transcript:KGN48098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGRKTSPGFLVLLALGFLLASYNLITMSVHYKAPKGSWLAERAGKTNSKYHVAVTATDAPYSQWQCRIMYYWYKKVKDLPGSDMGSFTRVLHSGTPDNLMKEIPTFIVDPLPEGLDRGYVVLNRPWAFVQWLEKANIEEEYILMAEPDHIFVKPLPNLAHGKNPAGFPFFYIKPADHEKIIRKFYPEENGPVTNIDPIGNSPVIIEKTLLEEIAPTWVNISLRMKDDPTTDKTFGWVLEMYAYAVASALHGVRHTLRKDFMLQPPWDLEVGRNFIIHYTYGCDYTMKGELTYGKIGEWRFDKRTYLNGPPPRNLSLPPPGVPETVVRLVKMVNEATANIPDWGES >KGN49169 pep chromosome:ASM407v2:6:26894261:26897704:-1 gene:Csa_6G516770 transcript:KGN49169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDCRKVVGFLQFFVISFFLCSSPLFCDAADSITKGRGLRDGSNETLVSLDDSYELGFFSPINSSLRYVGIWYHKIEEQSVIWVANRDRPLRNRNGVLIIGDDGNLVVLDGNNSVWTSNITANSFEPRNLTLLNHGALVLSSGDDLSKVHWSSFEHPTDTFLPNMVVKVNPQMGEKRMFMSWKSETDPAVGNYCLGVDPRGAVQIIVWNGNNRWWRSGHWDKQIFSGIPTMRSTSLYGFKITSDDGNNISVTFEALNDLDKLKFQIQWDGKEAQQRLNETTRKWDTIRLLPSNDCDFYNFCGDFGVCSENSRLKCSCPQGFIPKNKERWDKGIWSDGCRRKTPLLEQRMKSSPNGTIEDSEQDGFVDVLFVKLPDFITGIFVVESCRDRCSSNSSCVAYSDAPGIGCATWDGPLKDIQRFEGAGNTLHLRIAHSDLTPVDSESKLSTGVIVAICFGGAAAIAIIALLLWKFRGKTKAATTSEPQNKTEVPMFDLSKSKELSAELSGPYELGIEGENLSGPDLPMFNFNCIAAATDNFSEENKLGQGGFGPVYKGKLPCGQEIAVKRLSVRSGQGLEEFKNEIILIGKLQHRNLVRLLGYCIQGEDKLLLYEYMPNKSLDWFLFDPNKQALLDWKKRLSIVEGIARGLLYLHRDSRLLIIHRDLKASNILLDEDMNPKISDFGMARIFGGNQNEATNTIRVVGTYYVSLETLE >KGN48601 pep chromosome:ASM407v2:6:23955024:23956501:1 gene:Csa_6G495060 transcript:KGN48601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVIREFDPSKDCIAVEDVERRCEVGPSGKLCLFTDLLGDPICRVRNSPAFLMLVAATADQNEIVGMIRGCIKTVTCGQKLSRSAIPNSDHQPPKHLPVYTKLAYILGLRVSPAHRRMGIGIKLVKKMEEWFRESGAEYSYIATEKDNVASVNLFTEKCEYSKFRTPAILVNPVFAHPVPLSKRVTILPLSRSDAEILYRRRFSTTEFFPRDIDAVLNNPLTLGTFLAIPRGTYTPHTWPGSDRFLVDPPQSWAVLSVWNCNDVFRLQVRGVSRLKRSFARTTRVLDKAFPWLRLPSVPELFSPFGLHFMYGLGGEGPDAERMLKALCGYAHNLAKEKGCGVVATEVSAGERLRTAIPHWKMLSCEEDLWCIKRLGEDFSDGSVGDWTKSPPGMSIFVDPREF >KGN46059 pep chromosome:ASM407v2:6:3794102:3795028:1 gene:Csa_6G046370 transcript:KGN46059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQIVNQDWRNVVTQQNQQFFPSITFEESEEKNKWSFSMKLPGFSKDRLRINLNTRTRIIVVTGQKSDGLFNITRLNERVTIKEDCLLEGVQAKLSNDTLIVTFEKEKK >KGN46364 pep chromosome:ASM407v2:6:5911965:5919759:1 gene:Csa_6G087920 transcript:KGN46364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQGQVITCKAAVAWEPNKPLVIEDVQVAPPQAGEVRVKILYTALCHTDAYTWSGKDPEGLFPCILGHEAAGIVESVGEGVTDVQPGDHVIPCYQAECRECKFCKSGKTNLCGKVRGATGVGVMMSDRKSRFSINGKPIYHFMGTSTFSQYTVVHDVSVAKIDPTAPLDKVCLLGCGVPTGLGAVWNTAKVEPGSNVAIFGLGTVGLAVAEGAKAAGASRIIGIDIDNKKFEIAKKFGANEFVNPKEHDKPIQQVIVDLTDGGVDYSFECIGNVNVMRSALECCHKGWGQSVIVGVAASGQEISTRPFQLVTGRVWKGTAFGGFKSRSQVPWLVEKYLKKEIKVDEYITHNLTLEEINKAFDLMHGGDCLRCVLSAHP >KGN47076 pep chromosome:ASM407v2:6:11961827:11964598:-1 gene:Csa_6G185260 transcript:KGN47076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGFKRGGLGFCISVLLEFSATDDLIGFRSAVEEDGHDIDEASLWYGRIFGSKKMGYEERTPLMVAAMFGSLNVLSYILHSGRVDVNRACGSDGVTTLHCAVAGGSAVVDQVVKLLLDASADVSAVDANGNRPGDLIAPDFTSAFYSRKKTLQQLLNGHEGLSSSEAIFYERETLEPLELSTLRASRDGTEKKEYPVDLSLPDIKNGIYSTDEFRMYTFKIKPCTRAYSHDWTECPFVHPGENARRRDPRKYHYSCVPCPEFRKGTCRQGDACEYAHGIFECWLHPAQYRTRLCKDETGCTRKVCFFAHKPEELRPLYASTGSAVLSPRSICGSSLDIASISSLTLGSPSALIPPSSTPPLTPSGVSSPMGGTMWQTQCNIAPPTLHLPGSRLKASLSARDVDLDVELLGLESQRRRQQQLMDEMSCLSSPSRWNNGLPTPASFPSPRSRNGELNGLGGMKQTNLEDFFGSVDPAILPQLQGLSLDSVGSQVQSPSGIQMRQSLNQSFLSSYGNSIGSPPPRLSQPSVSTAASVLSSRAAAFAKRSQSFIERSMVSRHTGLSPPGTSTTAMPLNLSDWGSPDGKLDWGIRGEELNKLKKSASFGIRNNCTSSPVTSTMHTTAPEPDVSWVQSLVKDAPSENAVQLSMDEQQQLLLCHLNNGDSKQMYMEQEQLVA >KGN47772 pep chromosome:ASM407v2:6:17919737:17920134:1 gene:Csa_6G401420 transcript:KGN47772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLRNNNIGGRRLGAAKEFMEMENSKSFGKNGSKEKISNMVFSSASNEENGKKKNKKKEVSSLETKKMGISGSTVVYNNADYLTYMHHPPKHN >KGN45863 pep chromosome:ASM407v2:6:1800165:1802902:-1 gene:Csa_6G014830 transcript:KGN45863 gene_biotype:protein_coding transcript_biotype:protein_coding description:Abscisic stress ripening-like protein MSEENRHHGHHHSLFHRHKEDEENVPSKTSTYSEDLSEDKLDAYGSAYGGSENKVAAEYGGGYGESHNKVAEYGSRYGELEDKAGEYGGGYGLSENKVATEYGGQLEDKVDEYGDGYRKSESKVTAEYGGGYGESGDKGGEYGGGYGESERKEDEYDGGYSKGRRKSGEYGGGMEEEGGEYGGVYKKEYEGGNEDENSDKEDYKKEGKHHKHLEHLGELGAAGAGAFALHEKHEAKEDSEHGHRHKLEEEIAAVAAVGAGGFAFHEHREKEEAEEEDEKANGKKHHHIF >KGN49149 pep chromosome:ASM407v2:6:26825168:26826118:1 gene:Csa_6G516570 transcript:KGN49149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLCCETPFFMVFFILVLVVQHSMFPTTNSQPDFTYHSCSNNANYTNNSPFKKNLHNVLLSISSKTTQLDYGLFYNATSGEYPDRATALALCRGGVSLKQCRSCVYNSTLRITKDCPKQREAEGWYSDCQIRYSNNSIYGVLDTTIKYVRWNTQKALNPRGFNKVLRSLFDGLGREAASGSSVQKSAWGDKKVPSSNVDIVYGLVDCFPDLSYLDCLDCLNRLQNFLRTCCNDSMGVRIFGISCQLNYDNTPIYPSLLSSPSLPPSPLPSSSPTPGIICSEKFCDCLQFKTYLKMVNITLITLIKSIFVGVKKNV >KGN48241 pep chromosome:ASM407v2:6:21454053:21454430:1 gene:Csa_6G450950 transcript:KGN48241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFKLTSLVVRRACMAYGVGACDFNSVECGDDVDLELIRAKRCQPKQMSNEMKPIRLLSRSAVIVLRRYAWCCKIEEIIIHENDVAPSPSVTVL >KGN45815 pep chromosome:ASM407v2:6:1451061:1453606:-1 gene:Csa_6G013870 transcript:KGN45815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTNDRNGNGEIEISNSKEGEAQNAFFSTSQKTLLHDEVTQRRSPVSITVVAPIKKRFFNFGSASARFQQIAKEKDDISRSVHSSSGHHIRERISEVFSKKIEWDSLLNMSKTWIRDPMNIALFIWIIGVAVSGAILFLVMTGMLNGPLPKKSERDVWFEVNNQILNALFTLMCLYQHPKRIYHLILLSRWKPEDVSRLRKLYCKDGTYKPHEWAHMLVVIILLNVNCFAQYALCGLNLGYRRSQRPAIGVGICISVAIAAPAVAGVYSIISPLGKDYDSDIDEEAQLPSQTVIGDQRQRLRSKSLERKYSLATRDEHKIIETNPQWSGGILDFWDDISLAYLSLFCSFCVFGWNMERLGFGNMYVHIATFILFCMAPFWIFLLAAVNIDNETVRTLMAVTGIVLCVFGLLYGGFWRIQMRKRYNLPAYNFCFGKSAVADCTLWLFCCWCTLAQEVRTGNSYDIKQEKFCRKHTETDESNCVYIEDNKSGLGSPLANISSPSKIIAGGSPIPNSRGCFSPERPLASVKEELPEGAGNTMMPPSPSLIHRETT >KGN47585 pep chromosome:ASM407v2:6:16334287:16339001:1 gene:Csa_6G362920 transcript:KGN47585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGILAVLGCSVDSQAKRVRVLELSRRLKHRGPDWSGLYQHADCYLSHQRLAIVDPASGDQPLYNENKSIVVTVNGEIYNHEELRKKLRNHKIRTCSDCDVISHLYEEYGENFVDMLDGMFSFVLLDTRDNSFIAARDAIGVTSLYIGWGRDGSVWISSELKGLNDDCEHFETFPPGHLYSSKEAGFKRWYNPIWFSEDIPSTPYDPLVLRRALENAVIKRLMTDVPFGVLLSGGLDSSLVASITARYLTGTRAAKHWGTQLHSFCVGLEGSPDLKAAREVADFLGTVHHEFHFTVQDGIDAIEDVIYHIETYDVTTIRASTPMFLMARKIKSLGVKMVVSGEGADEIFGGYLYFHKAPNKEEFHRESCRKIKALHMYDCLRANKATSAWGLEVRVPFLDKKFIDVAMAIDPEWKMIKHNQGRIEKWVLRRAFDDEQQPYLPKNVLYRQKEQFSDGVGYSWIDGLKAHADQHVTDKMILNAEHIFPQNTPTSKEAYYYRTIFERFFPQNSACLTVPGGPSIACSTAKAVEWDAAWS >KGN48912 pep chromosome:ASM407v2:6:25757772:25781433:1 gene:Csa_6G505900 transcript:KGN48912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPIQNFEQHSRHLVEPELNIQTRLQMATEVRDSLEIAHTPEYLNFLKCYFRAFSVILLKITKPQFTDSHEHKLRNIVVEILNRLPHSEVLRPFVQDLLKVAMQVLTTDNEENGLICIRIIFDLLRNFRPTLENEVQPFLDFVCKIYQNFKLTVSHFFENPSASVEDVKPMEVSTSSDQSMNSGCTGTVQLNPSTRSFKIVTESPLVVMFLFQLYSRLVHTNIPHLLPLMVSAISVPGPEKVPPSLKTHFIELKGAQVKTVSFLTYLLRSSADYIRPHEESICKSIVNLLVTCSDSVSIRKELLVALKHVLGTEYKRGLFPLIDTLLEEKVVVGTGRACYETLRPLAYSLLAEIVHHVRVDLSLPQLSRIIYLFSSNMHDASLSLSIHTTCARLMLNLVEPIFEKGVDQTSMDESRILLGRILDSFVGKFSTFKHTIPQLLEEGEEGKDRANLRSKLELPVQAVLNLQVPVEHSKEVNDCKHLIKTLIMGMKTIIWSITHAHLPRSQVSPSPNGTHPQMLVNPSSNLATPQALKGMREDEVCKASGVLKSGVHCLTLFKEKDEEVEMLHLFSQILTIMEPRDLMDMFSLCMPELFDCMISNTQLVHLFSTFLQTPKVYRPFAEVLVNFLVSSKLDLLKHPDSPGAKLVLHLFRFVFGAVSKAPSDFERILQPHVTVIMEVCVKSATEVERPLGYMQLLRIMFRALAGCKFELLLRDLISLLQPCLNMLLTMLDGPTGEDMRDLLLELCLTLPARLSSLLPHLPRLMKPLVLCLKGSDELVGLGLRTLEFWVDSLNPDFLEPSMATVMSEVILALWSHLRPMPYSWGAKALQVLGKLGGRNRRFLKEPLALECKENPEHGLRLILTFEPSTPFLVPLDRCINLAVSAVMNKTGGVDSFYRKQALKFLRVCLSSQLNLPGIVADDGYTPRQLSTLLVSSVDSSWRRSETPEAKADLGVKTKTQLMAEKSVFKLLLMTIIAAGSEEDLNEPKDDFVLNVCRHFAILFHIDSSLNNPPVASASHGSTLLPSNVNANSRLKSSACCNLKELDPLIFLDALVEVLADENRIHAKAALNALNLFSEMLLFLGRGKQTDVMMTRGPGTPMSVSSPMSPVYSPPPSVRIPVFEQLLPRLLHCCYGCSWQAQMGGVIGLGALVGKVTVETLCHFQVKIVRGLVYVLKRLPIYASKEQEETSQVLNHVLRVVNNVDEANSEPRRQSFQGVVDVLASELFNPNSSTIVRKNVQSCLALLASRTGSEVSELLEPLYQPLLQPLLLRPLRLKTIDQQVGTVTALNFCLALRPPLLKLTQELVNFLQEALQIAEADETVWVVKFMNPKVATSLNKLRTACIELLCTTMAWADFKTPNHSELRAKIISMFFKSLTCRTPEVVAVAKEGLRQVINQQRMPKDLLQGSLRPILVNLAHTKNLSMPLLQGLARLLELLASWFNVTLGGKLLEHLKKWLEPEKLAQIQKAWKAGEEPKIAAAIIELFHLLPMAASKFLDELVTLTIDLEGALPPGQVYSEVNSPYRVPLIKFLNRYAPLAVDYFLARLSEPKYFRRFMYIIRSDAGQPLREELAKSPQKILASAFPEFVPKSEPALTPGSSTPPAPLSGDEGLVTPSDVSDPPSASSSVVPDAYFCGLALVKTLVKLMPGWLQSNRVVFDTLVAVWKSPARIARLHNEQELNLVQVKESKWLVKCFLNYLRHEKAEVNVLFDILSIFLFHTRIDYTFLKEFYIIEVAEGYPPNMKKALLLHFLNLFQSKQLGHDHLVVVMQMLILPMLAHAFQNGQSWEVVDQAIIKTIVDKLLDPPEEVTAEYDEPLRIELLQLATLLLKYLQSDLVHHRKELIKFGWNHLKREDSASKQWAFVNVCHFLEAYQAPEKIILQVFVALLRTCQPENKMLVKQALDILMPALPRRLPLGDSRMPIWIRYTKKILVEEGHSIPNLIHIFQLIVRHSDLFYSCRAQFVPQMVNSLSRLGLPYNTTAENRRLAIDLAGLVVGWERQRQNEMKPVTESDAPSHNNDGLTSCPPGADSKRLVDGSTFSEDSTKRVKVEPGLQSLCVMSPGGASSMPNIETPGSTTQPDEEFKPNAAMEEMIINFLIRVALVIEPKDKEATAMYKQALELLSQALEVWPNANVKFNYLEKLLSSIQPSQSKDPSTALAQGLDVMNKVLEKQPHLFVRNNINQISQILEPCFKHKMLDAGKSLCSLLRMVFVAYPLEGVTTPPDVKLLYQKVDELIKNHINNLTAPQTSSEDNTASSISFVLLVIKTLTEVQKNLIDPYNLGRILQRLARDMGSSAGSHLRQGQRMDPDSAVTSSRQSADVGTVISNLKSVLKLINERVMLVPECKRSVTQIMNSLLSEKGTDASVLLCILDVIKGWIEDDFSKMGTSVSSSSFLAPKEIVSFLQKLSQVDKQNFSSSAAEEWDEKYLQLLYEICADSNKYPVSLRQEVFQKVERQFMLGLRARDPEVRKKFFTLYHESLGKTLFIRLQYIIQIQDWEALSDVFWLKQGLDLLLAVLVEDKPITLAPNSARLPPLLVSGHVGDSSVVPHPVIDGQEGIEDAPLTFDSLVLKHAQFLNRMSKLQVADLIIPLRELAHNDANVAYHLWVLVFPIVWVTLHKEEQVALAKPMIGLLSKDYHKKQQAHRPNVVQALLEGLQLSHPQPRMPSELIKYIGKTYNAWHIALALLESHVMLFMNETKCAESLAELYRLLNEEDMRCGLWKRKANTAETKAGLSLVQHGYWQRAQSLFYQSMVKATQGTYNNTVPKAEMCLWEEQWLCCASQLSQWEALADFGKSIENYEILLDSLWKVPDWAYMKEHVIPKAQVEETPKLRLIQAYFSLHDKGANGVADAENIVGKGVDLALEQWWQLPEMSVHARIPLLQQFQQLVEVQESSRILVDIANGNKHSGSSVVGVHSNLYADLKDILETWRLRIPNEWDGMTVWCDLLQWRNEMYNAVIDAFKDFGNTNSQLHHLGFRDKAWNVNKLAHVARKQGLYDVCVAILDKMYGHSTMEVQEAFVKIREQAKAYLEMKGELTSGLNLINSTNLEYFPVKHKAEIYRLKGDFQLKLSDSEGANQSYSNAITLFKNLPKGWISWGNYCDMAYKESHDEAWLEYAVSCFLQGIKFGISNSRNHLARVLYLLSFDAPNEPVGRAFDKFLDQIPHWVWLSWIPQLLLSLQRTEAPHCKLVLLKIANVYPQALYYWLRTYLLERRDVANKSELGRMAMAQQRMQQNAASAGSLGLADGGARAGHGGSSTPADNQVHQGTQSGSGIGSHDGGNAHSQEPERTTGADSSTHAGNDQSLPQPSSNVNEGTQNALRRSAALGLVGSAASAFDAAKDIMEALRSKHTNLASELEILLTEIGSRFVTLPEERLLAVVNALLHRCYKYPTATTAEVPQSLKKELSGVCKACFSADAVNKHVDFVREYKQDFERDLDPESTSTFPATLSELTERLKHWKNVLQGNVEDRFPAVLKLEEESRVLRDFHVVDVEVPGQYFTDQEIAPDHTVKLDRVGADIPIVRRHGSSFRRLTLIGSDGSQRHFIVQTSLTPNARSDERILQLFRVMNQMFDKHKESRRRHLCIHTPIIIPVWSQVRMVEDDLMYSTFLEVYENHCARNDQEADLPITYFKEQLNQAISGQILPEAVVDLRLQAFGDITRNLVNDGIFSQYMYKTLLSGNHMWAFKKQFAIQLALSSFMSYMLQIGGRSPNKIYFAKNTGKIFQTDFHPAYDANGMIEFNEPVPFRLTRNMQAFFSNFGVEGLIVSAMCSAAQAVVSPKQNQHLWHQLAMFFRDELLSWSWRRPLGMPLASIAAGGMNPADFKQKVTTNVDLVIGRINGIAPQYFSEEEENAMDPPQSVQRGVSELVDAALQPKNLCMMDPTWHPWF >KGN49207 pep chromosome:ASM407v2:6:27101623:27103008:-1 gene:Csa_6G517150 transcript:KGN49207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSSKQVSIDGFDWSKALMQAQKLELPKLAPSSGVKRSQHQNQIQIQPQIEQLKCPRCDSTNTKFCYYNNYNKSQPRHFCRACKRHWTKGGTLRNVPVGGGRKNKRLKKKPTTNSKKSSSSTAATTAADLINPQMDVHHFQNLPLYQGLIFSPPSSSNWAECENFTTNYGILNSQNPDFSAVSTTTSTHSPISPKFNNYSDKELKPTETEQPTHHPWQLPSTGCGIGDMSNSYWTWDDINTFTATDLNIPWDDEHDIKP >KGN49066 pep chromosome:ASM407v2:6:26444690:26445531:1 gene:Csa_6G511820 transcript:KGN49066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLCRKSTVHPSPPIISDFLSFLPAAIFALTLALSADDKEVLAYLISCSNSTASLSNLSGGRKNGRKIAALKVGVDHAPLFDCDCFMCYRRYWARWDSSPNRQLIHEIIDAYEDGLTKSKATTSTQRNCKKERRKKNNESGSGESSSGKGKTNEVLLDSVQETGRQRNEKEEEEEEEGEGEERGSVRRFVSFVGEKIWGAWG >KGN46829 pep chromosome:ASM407v2:6:9939614:9942375:1 gene:Csa_6G139780 transcript:KGN46829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGRFLCSTLILFLFIHWFCPGSSRADPTQKQGKQFRAASRKSSTTQKDITTPITTVPTINIPTIPIINPASSNPDTVSPAMTTPSFTPSTTISGGSSWCIASQSASQAALQLALDYACGIGGADCSSIQGGGNCYNPNSVRDHASYAFNSYYQKNPLPNSCNFGGTAVITSTNPSTGTCEYPSTR >KGN46187 pep chromosome:ASM407v2:6:4809185:4816503:-1 gene:Csa_6G067940 transcript:KGN46187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPFGSDRVDIDRTDTEVFDGYLSPPTYSGEETDKTSYSSGTVDFYDDEFETQVVNLDGETQVVNHGETQVVNLDGETQVVEPVNDDFETQLVNPLEETQVFDVAYETQILSFCDETQLLDDPIPDCVKKMDFDTQILNDFDDEMAGDDFYDDEGTETTETNVDDNLPDDESAQRFHQSVEEKGQLTSSLEYDARKDLEVLPNTLPEKNCNSGPTRLSSLRTASLRASGLAAHCSAMKTRDAWPSVIIDKDKEKSSLKDSHVDRHNGLGQSSVNDGDSGNVKCRVGSSAVRKLFTDDYTPVGDFGDLPTKLDASDVDLHQLTACDGDGDQLAGLSYVDSQEPGDLTQDNALDFVEKFLKDNSMEFGLGVGMHKRNAMVQPKSVPNPRGQYNLASIVNCVRVVGESRVFDWDDNREDEGGGDIFRRRKEEFLTEPRKSKGRKLDLSGDKEASMSNQNMKSRLFCSDSRLELRKGKGNNGPSRESNIECKRNLSYKLDKENDGDPCRGELQNNGIQPDQLEEANVGFDTQMAAEAMEALFNDANIHELVHNETNQHLENGSTDSFRGSPSRKSYSSSKLRRSSRGHASSSEVAPMQSKIRNQKFSGVITKACGDEIVKLSNRSKKRDADAINGNENIGYDLKNACNKVQKRLLRGKVVEVSPVACRTRHSIIVNQSKKAKIASSGCERSAAKVGSFIKKSSGDRGTRDFEAKRTKSLEAASKTLKMKSKGAKNDAKRSIGERGLCDMLAGEASLPGDLLGQTMNRRKRSCNVKKTRASLCLLSPPSNKNLKRPTVSRTGAEKAHGGTITADTNDQLSIEYSNRPNSVQQLNKKNDGCSVSSVVKTTPDESPSKRHKPSVTVCTSPSDNSMTPINSVSPVCMGSEYYKQSCKKNLSKSSLLKELRDLTSSGFVSRSCPTESRKRKDMTDVRVLYSQHLDEGIIKQQKKTLTRLGVTVVSSMAEATHFIADKFVRTRNMLEAIALGKLVVTHLWIDSCGQASCFIDEKNHILRDTKKEKEVGFSMPGSLACARQRPLLEGRRVLITPNTKPGIAIISSLVKVVKGQAVERIGRSMLKDDQIPDDLLVLSCEEDYNTCLPFLEKGAAVYSSELLLNGIVTQKLEFERHRIFVDHVKRTRSTIWLKKDGNKFQPVTKHQ >KGN48167 pep chromosome:ASM407v2:6:20857563:20859732:-1 gene:Csa_6G446290 transcript:KGN48167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVETISKSSIHDPLNWGVAADSLKGSHFDEVKRMVEEYQRTLVKLDGETLTVSQVAAIATRNSDVIVELSESTRVGVKASSDWIMESLNKGTDSYGITTGFGATSHRRTKQGGALQKELIRFLNAGISESGTESSHTLSHSATRAAMLVRTNTLLQGYSGIRFEILEAIIKLLNHNITPCLPLRGTVTASGDLVPLSYIAGLLIGRPNSKAIGPNGENLDAKEAFIHAGITSGFFEFQPKEGFALVNSTAVGSGLASIVLFEANILAVLSEILSAIFAEVMQGKPEFTDHLTHKLKHHPGQIEAAAIMEHILDGSSYMKTAKKLHEMDPLQKPKQDRYALRTAPQWLGPLIEVIRFSTKSIEREINSVNDNPLIDVSRNKALHGGNFQGTPIGVSMDNTRLAIASIGKLMFAQFSELVNDFYNNGLPSNLSASRNPSLDYGFKGAEIAMASYCSELQYLANPVTTHVQSAEQHNQDINSLGLISSRKTAEAIDILKLMSSTFLVALCQAIDLRHLEENLKSAVKSTIILVAQKVLITSTNGALDPSRLFEKNLLKVVDREYTFAYIDDPCSATYPLMQGLRQVFVEHTLANSDDENNADTPIFQKIAIFEAELKAILSNKVESTRLAYESGNALIKNQIEECRSYPLYRFVREELGIKLLTGEKVISPGEECEKVFAALCKGKMINSILECLKEWNGAPIPIC >KGN49091 pep chromosome:ASM407v2:6:26593673:26597555:1 gene:Csa_6G513550 transcript:KGN49091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKISFSHLLVSLLLLILHYSSEATSASNEGFLRIGLKKIKYDQNSRFKALLESKKGEFLGSSVGKHNQWGNNLEESKNADIVPLKNYLDAQYYGEIGIGTPPQKFTVIFDTGSSNLWVPSAKCIFSLACFFHAKYQSGRSSTYKRNGTSAAIQYGSGAISGFFSYDNVQVGDVIVRNQELIEATSMSTMTFMAAKFDGILGLGFQEIATGGAVPVWYNMVKQKLVKEQVFSFWLNRNAEEKEGGELVFGGVDPKHFKGQHTYVPVTDKGYWQFDIGDILIGGETTKYCAGGCSAIADSGTSLLAGPSNIVVSINRAIGAAAVAHPECKAIVSQYGRAIMDLLLAKAQPEKICSKIGVCTFDETHDVSLKIENVVSDKDGRSSGGFSEAMCSACEMAVLWIQDELKQNKTQEDIIENVNELCDRGLNQDETLVDCGRISQMPNVSFTIGDRLFELTSKDYILKVGEGSAAQCISGFIPFDIPPPRGPLWILGDVFMGPYHTVFDFGKARVGFAEAA >KGN49220 pep chromosome:ASM407v2:6:27170624:27174192:-1 gene:Csa_6G517280 transcript:KGN49220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMGRPGTNAWKNALRYAIRILYLRTTSGVLTLTDLLGLPVTQRNVSMHVYLVAALSLRLNQRKLTKSNQTGLQNQHLLFRNPPHDLQSLNQLPKMKTCQALLHSCIASYNANKKGNLTHQNYSLHLPLLCFPPRGLPYSVGL >KGN46243 pep chromosome:ASM407v2:6:5179250:5183291:-1 gene:Csa_6G077400 transcript:KGN46243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVAKGKVCVTGGTGFVASWLIKRLLENGYSVTTTVRADPEKRKDYSFLTNLPGASEKLQIYQADLHDPNSFAPAIAGCIGVFHLATPIDVDDKEPLESVTRRTIEGTLGILKLSVDSKTVRRVVYTSSAATMQFNHHKVDFLDESCWSDIDYINSIAPLGRSYPISKTLTEKAVLEFSQQYGLEVVTVLPTYVVGPFICPKIPGSVHVILSLILGNETEYGLILKSNMVHVDDVARAHIYLFENPNASGRYVCSSHIITLEELANFFSAKYPEFQIPSPESLKDVKGYIFTDVSSKKLLDAGFQYKYGVEEMLDGAIQSCKEKGYL >KGN47176 pep chromosome:ASM407v2:6:12586497:12587416:-1 gene:Csa_6G193620 transcript:KGN47176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKADKKPAEKKPASEKPAEEKKTVAEKAPAEKKPKAGKKLPKEGGAAAGDKKKKRTKKSVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQESSKLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >KGN47499 pep chromosome:ASM407v2:6:15650190:15654705:-1 gene:Csa_6G344280 transcript:KGN47499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGKENLRTEDLNLCFEKPMMLVAGDGMDVGAKGVQMDGGVLAGWKDIPMELLLQILSLVDDRTVIVASGVCRGWRDAICFGLAHLSLSWCQKNMNNLVLSLAPKFARLQNLILRQDKPQLGDDAVETIASYCHDLQVLDLSKSFKLSDLSLYALAHGCRDLKRLNISGCTAFSDTALAYLASYCRKLKVLNLCGCVKAASDTALQAIGQYCNHLQSVNLGWCENVTDVGVMSLAYGCPDLRILDLCGCVLITDDSVIALANMCPHLRSLGLYYCQNITDRAMYSLAHSCIKNKPTKWGTGKGKNDDDGLRTLNISQCTALTPPAVQAVCDSFPALHTCSGRHSLIMSGCLNLISVHCACAVQAHRAATAFLHSAH >KGN47139 pep chromosome:ASM407v2:6:12368139:12370881:-1 gene:Csa_6G190300 transcript:KGN47139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPNLNYFPQTPSTQFNDQTSYLYPELQNSFVFIDPSPNLVPFVENSFFPYKPDPSPAMELKMAGGFPVVAPGRSPGGDSSSDESDFKESVLKYISQMLMEENLEEMPCMFYDPLGLEVTEKSFYDALGNTNNYPSSPNQPPLLDCDSDPASTNVSVGNSNSPDSQWVVDPGDYKSSILPTPFLSNSHELVNELLAQNIFSDSKSILQYQKGLEEASKFLPVGNQLNIDLGSGMGTGVVSKVMDTTEKDQREKSPNGSKRRKSRERENVELDSEEGRRNKQATIYTDEEELSEMFDKVLLHDCGNETTANAGCENLQYNRQVHGSVTAKAREKKQEKRKDSVDLRNLLILCAQAVSSDDRRIAYELLKQIRQHSATNGDGSQRMSHFFANALEARMVGNGSGSRLYYESLAQSNITAADMLKAYQVYLSSCPFKKLSLFFMIKMILKVAENAKSLHVIDFGICYGFLWPMLIQFLAQLPDGPPKLRITGIDRPLPGFRPAEKIEESGRRLAKYCERFKVPFQYHAIASNNWETIRIEDLKLDSSDVLVVNSFYRFSDLLDETVEESSPRDAVLRLIRKMNPKIFVQSVVNGSYHAPFFITRFREALFHFSALYDALDVNLPRDSDERMMLEREFLGRQIMNVVACEGVQRVERPETYKQWQVRCMRAGFRQLPLDKDIMSKFRSKLTSYYHKDFVLDEDEGWMLQGWKGRIVYASCCWVSS >KGN47591 pep chromosome:ASM407v2:6:16394136:16395296:1 gene:Csa_6G362980 transcript:KGN47591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALFDEVEDQDYPYESSINANILNFGNDRNPTLDDLQSTAEQPAGCGFGNMGMVELLRQFSYGNGQYDSEAGPSNIGGEEEDPHQDDNYTNIPSDVESKLLPIWPLTPLPFLCSCCQVLREFLHTNGVHSRKLEIHGRLGMISHAILEHKPIVNVDNISPQYQMFE >KGN49236 pep chromosome:ASM407v2:6:27261311:27262888:-1 gene:Csa_6G517930 transcript:KGN49236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDHHNPHQNHHHHHHELPPFPSTAVTAPTTNLHSPPQNPCYLPPTLSQTCLASFSSSAPQSFFSSSHEPQPLAPRFPHSPTLLSYGLDEPNIQSVFPKDDGKKALDAWSTKVARTNRRLARQRTLTSFTTRSSSSSSCGYHDDYSKGLTITHFPNTDSSHNNRHLFTFCTPDNKILRMLLKKELKNSDVGSLGRIVLPKREAEENLPFLTDKEGLQIVVRDVNSNRRWTMKYKYWANNRSRMYVLENTGFTSTN >KGN46034 pep chromosome:ASM407v2:6:3630165:3645790:1 gene:Csa_6G045130 transcript:KGN46034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNVRFESSNSAIQDELAFGGSYGNGQRMSQTSSSLDRSGNYRDGGESRMFGLGSSSSRGIASSTGDLPTLSQFLLLDPIKLGEQKYPRSEELKKVLEMSFGTNVEDSSFGSARVKHPVAVEELKRFRACVLEASNKARVRGRRMDDSLNKLNKYCESQVQKKQIRNEILTERPVGPNMLKKGSQVHRNSSDVVNQRLEDRAKNNVLNKRVRTSVAELRAEGRTNNVMRQPPSLGRERDLIRDGGEASDLVEEKIRKLPTAESWDRRMKRKRSVGTVLNRPLDGEGELKRAMLHKLNNEPGLQSSESQSVRSGSSSGISGINKCDGSSLPTSSSVRIIPKAEPEKKPTHFRDSAGGQGKDRLLVKGNNKLNVREDNHVAGPYSLAKGKGSRAPRSGSSNAGSSSPNLSRMSGGLDGWEQPANKFQSVNGANNRKRPIPSGSSSPPMAQWVGQRPQKMSRTRRSNLLTPVSNHDDVQGSEGSPSDLGGRMASPVAGGSFLARNLSIGSQQVRVKQEVVSSPARLSESEESGAGENHEIQLKERGSVNGEPEERMLVPSAQNNASNIFHSVKNKGLDKEEIGDCARRQGRSGRGSSFSRVSVSPAREKLETPTLTKPLKSARLGSEKNGSKSGRPPLKKLSDRKAFTRVSQTSAGGSPDCTGESDDDREELLDAANYACNPSYVCCSSTFWWKMEFLFASLSQEDESFLKQQISLDKNDESFSEVLDHENTISGAFGVEEDLSPQALGSGRKSQFSINQSKPQILPRNVDRIDEAEDFVTISGKLESEKRKAVTPLYQRVLSALIIEEEIEDFQDSRGTNMFSQYGGDDFSGVLYPSVDFEPGKSVGMGIKSELDLKTSQIAARRFSCNGRSRRDGQSFNADVHQEDHGYQQLNNGYIPELHENGLDGPLGMPLKESNVSVFNCQYEQMSVEDRLMLELQSIGLYPETVPDLADGEEETMNQEILELEKKLNQQVAKTKNHGNKIIKAIEEGRKTEERSREQFAMDRLVQLACLKQLATRGSSAAKLGIPKVSKQVASAFMKRTLARCRRFDDTQKSCFSEPALRDILTRPSNRIDTDVMNGSSSGEAYPNGVQNHKSGRGLLHSSDQDFIRTGPIVNRGKKKEVLLDDVGSACMRPVSTVGNNSLGGAKGKRSERERDKDMSARLCVTKAGRSSAGDFRAERKAKTKPKQKTAQLSPAGNRLVGNLTDGTYSDNPGSRVSNEIGNGNIKKEFTVLLPLTNATEDSSKEIGECTDFTNLQLHDLDSIELGVGNELGGPQDLDSWLNIDEDGLQDHDAVGLDIPMDDLSELNMLL >KGN48125 pep chromosome:ASM407v2:6:20605513:20613125:-1 gene:Csa_6G439420 transcript:KGN48125 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cinnamoyl-CoA reductase MSEVAAKTVCVTGGSGYIASWIVKFLLQRGYTVRASVRDPDDSIKTAHLVALDGADERLHLFKANLLEEGSFDSAIEGCQGVFHTASPFFHSVSDPQAELIEPALKGTLNVLNSVAKASSVKRVVLTSSMAAVSYNTKPQTPQTIVDESWFSDPDMCRDQEIWYCLSKTLAEEAAWNFVKEKGIDLVTINPAMVIGPLLQPTLNTSAQAILNLISGGETFPNSAFGWVNVKDVAKAHIEAYEIPTANGRYCLVERALHYSEIVKILHQLYPSIQLPQKAADEKLFVLAYQVSTEKAKSLGIDFIPLEDSLKETFNFAFPLSLSSAESHPLVALRLPTDDPIKTAHLVALAGADERLHLFKANLLEEGSFDSAIEGCHGVFHTASPVFHSVSDPQAELIDPALKGTLNVLNSVAKASSVKRVVLTSSMAAVSYNTKPQTPQTIVDESWFSDPDMCRDQEIWYCLSKTLAEEAAWNFVKEKGIDLVTINPAMVIGPLLQPTLNTRGETFPNSVFGWVNVKDVAKVHIEAYEIPTANGRYCLVERALHYSEIVKILHQLYPSIQLPQEAADEKLFVLAYQVSTEKAKSLGIDFIPLEDSLKETVESLKEKNFTNF >KGN49310 pep chromosome:ASM407v2:6:27627785:27630322:-1 gene:Csa_6G519620 transcript:KGN49310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEPHHFQLMYCSNLLLNFRDRRKRSRSDESGAVEEKLNALMPSRKWSLFDLNEEASVEDGDEMTIEEIEQNEERKYENSSTNNNENNSDNNNNNNNNGRRTAVRQYVRSKVPRLRWTPELHLNFVHAVQRLGGQERATPKLVLQLMNVKGLSIAHVKSHLQMYRSKKLDQSGQVIREACDGIRMGLMHGGGRYYNSNSNGGSNMSMLLQQTTHQYSRCYPFTRHLHTQFGTLTTLLHSHSPYHPTPPFRQVLEQKNRWSTNLNMRRMNNNNNSNNNNNNGSCELMRRRGNYNWEDQEGSDVEMKKKEKRLLMLDEKREEWNEAELELGLRHTTTTQQITTELSLS >KGN48027 pep chromosome:ASM407v2:6:19891369:19894914:1 gene:Csa_6G425150 transcript:KGN48027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFDLESLSEATSGAIGSLVSTTVLYPLDTCKTKYQAENQSQHQRKYRNISDVLWEAISTGRVGSLYQGLGTKNFQSFISSFIYFYGYSFLKRIYLKRSGNKKIGTKANLIVAAAAGACTVVLTQPLDTASSRMQTSEFGKSKGLWKTLAEGTWSEAFDGLGISLLLTSNPSIQYTAFDQLKQRLLQTKLTHESGTESSPEALSAFSAFVLGALSKCVATILTYPAIRCKIVIQAAESDQENNEDKQQHGDKKSISGALNTIWKREGLLGFFKGLNAQILKTVLSSALLLMIKEKVAKTSWIILLALRKFLFPTNTRLKAA >KGN46433 pep chromosome:ASM407v2:6:6359338:6361308:1 gene:Csa_6G092550 transcript:KGN46433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLMDPTISLLLLLVLTSFFTSLFGRTAEALTSVTRITIVGAVYCDTCLSNSVSKHSYFLPGVDVHLQCKFRAIAPKMAEQMAFSVNRTTDKYGVYRLEIPSVDGINCADGMTMQSFCQASLIGSSSEVCNVPGLRTTSEEISVKSKQDNLCIFSLNALSYRPMKKNESLCGSKKEKIPDPFTSSKFFLPFFPPYSLPFPFPPLPPFPSFPLPPLPPLPPLPPLPPLPPLPPVPFFPFPTCPNPPSLPFPFPPLPPLFPSPPSPSQPSAPPPPPPFSLSDPRTWIPYVSPFSPPPPPPSSPPPFSLSDPRTWIPHLPPFSPPPPPAFDPRDPRTWIPNIPPFSPSPPPAFDPRDPRTWIPRIPPFFPPPPPSFDLRDPRTWIPHLPPSPPQGPQNQKP >KGN47146 pep chromosome:ASM407v2:6:12409735:12412981:-1 gene:Csa_6G190370 transcript:KGN47146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVCLSTRIKAENSCNTGVNSNGVSTDGNDIGSTNSKINLLSVPPTPRTEGEILQSSNLKSFSFTELKAATRNFRPDSVVGEGGFGSVFKGWIDEHSFAAAKPGTGMVIAVKRLNQDGFQGHREWLAEVNFLGQLSHCHLVRLVGYCLEDEHRMLVYEFMPRGSLENHLFRRGSYFQPLSWSLRLKVALGAAKGLAFLHSDERKVIYRDFKTSNILLDSKYNAKLSDFGLAKDGPTGDKSHVSTRVMGTYGYAAPEYLATGHLTTWSDVYSFGVVLLEILCGRRAIDKNRPAREHNLVEWAKPYLANKRKIFRIIDSRLEGQYSLDGAYKASMLALRCISINPKLRPIMNDVVKELEQLQDSTLPTSNRNSTNNRRARRHSADDARNPNSAQAYPRPPVSALYT >KGN47379 pep chromosome:ASM407v2:6:14692676:14693778:1 gene:Csa_6G307400 transcript:KGN47379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPKARAQLAGAMTATESWLRKHRLIYTGATRHPFILTIRDGTIDLSAFKTWLEQDFGFLRSFAAFVGSVLVKAWKESDDRADEEVILACLAALNDEFAWFKKESLKRDINLSEVVPQNATAGYSRFLESLMRPEVEYTVAITALWLIEAVYHESFAHCLEEGTKTPLELREACERWGNEGFGSYCNTLKKIADRRLEMGSEEVSKKAEVGFLRVLEYEVEFWNMVCPPHRTAAVTEGSTSER >KGN46820 pep chromosome:ASM407v2:6:9851268:9855688:1 gene:Csa_6G139200 transcript:KGN46820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRECYRFALLVVFAWFVKGLNASAGDIDPHYRTCVKHCEEIGCIDQQCFPQCKFSSDGVSVGQPWYMQEPLYLRWKQWDCQSDCRYHCMVKREGEREALGYDPVKYHGKWPFKRIYGIQEPVSVAFSALNLSMHFHGWLSFFILLYYKLPLRQDKKAYYEFASLWHIYALFSMNSWFWSAVFHSRDVDLTEKLDYSSAVAVLGFSLILAILRSFNVRHEATRVMVAAPLLAFALTHILYINFYELDYGWNMIVCVTMGVSQLLIWAIWAGVTHHPSRWKLWTVVVGGGLALLLEIYDFPPYKGFVDAHALWHATTIPLTYIWWSFIRDDAEFQTSNLLKKSK >KGN45637 pep chromosome:ASM407v2:6:256667:257302:-1 gene:Csa_6G002310 transcript:KGN45637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSIKIDKLDPLLDAISLFTDIVNDKICLKFSLSTFSIIARYQHPFFFAMLFIPEPLFAEYFVGRDHILRVSLLSLHTALARGQTYSSLRIHLQEEQNIICLAFEPSRHSPVPMRRKMRFEVPMEDWSAGEIDFDAKSFSIESDLFRDIITTFYDYNEVDTSN >KGN47175 pep chromosome:ASM407v2:6:12583806:12585383:-1 gene:Csa_6G193610 transcript:KGN47175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPLDMSLDDIIKNNKKSRSGNSRGRGRGSGPGPVRRFPNRAANRTPYSAPKAPETTWQHDMFADPSSGFLVQGGRASAIQTGTKLYISNLDYGVSNEDIKELFSEVGDMKRHGIHYDKSGRSKGTAEVVFSRRLDAVAAVKKYNNVQLDGKPMKIEIVGTNISTPAVGPTAAVNPFENSNGAPRRQQGRGGPPSRQRGHGFGRGRGRGRGPSEKVSAEDLDADLEKYHAESMQIN >KGN48418 pep chromosome:ASM407v2:6:22740492:22746995:1 gene:Csa_6G486910 transcript:KGN48418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSKYEYVKLFEVDDEVMLPNLIVVRVDGLDFRRFSEVHGFVKPNDEKALELMNCCAMATMEMFSDVIFSYGFNDEYSFVFKKTSKFYQRRASKLYSLVVSFFTSVYITKWQDVFPQKNLRYTPSFRARVVCCASIEVLQAYLAWRQQFCHISNLDNTCFWKLVECGKTDREAHDFLKVTEKKEKHELLFQKFNINYANLNAIFRQGSCILTTKVEDIVKYHEDGTPVKRLRRKATVIHSPNIAGRRFWNEHSILLKELGAFTTDIDKINPDYVRSFQVENKLMPSTWIVIRIDGCHFHRFSEVHVFEKPNDEHALNLMNSCAVAVMEKLSDFVFSYGVSDEYSFVLKKDSQIYGRAASEIVSVIVSLFSSLYVMKWREFFPHKELKYPPSFDGRAVCYPTREILRDYLAWRQVDCHINNQYNTCFWELVKSGKSKSEAQACLKGTQTQEKERLLNLRFNISYNELPLMFRQGSSAFWDKKDATTVDKNPDACCKRKIVVVHSNIIEPSFWEDHPWILD >KGN48854 pep chromosome:ASM407v2:6:25444707:25446436:-1 gene:Csa_6G503880 transcript:KGN48854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVCKILHMNSGVGDKSYAKNSLLQRKAMSIAWPIIKEAIEDYLRTENIPITSLSIADLGCSSGPNTLTILSNLIKQFHEIIQLHGNKPIQYQIFFNDLPSNDFNSIFRSLSNFLEDLKNQIGTDFGTCFFNGVAGSFYGRLFPNKSLHFVHSSYALHWLSQVPKGMEMINKGNIFINSTSPKNVIEGYYKQFQKDFSLFLKCRGEEIVTGGRMVVTLLARTDESPPNKDFCQTLTLLNLAINNMVKEGMIREEKVDRFNVPNFMPSLEEVKTEVLKEGSFIMNRVIAVIVLQKA >KGN49452 pep chromosome:ASM407v2:6:28427608:28428127:1 gene:Csa_6G525390 transcript:KGN49452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVIHFRQIDTKLISDLMSNCLCFLAFFLTEWSNSIIALFIVPVIVRISTYPMGLGPAELVLNGAESWGGRGRIFSPFGERAWGNVAPDSYHYLSYKGVGCYDSKRDVHLLKPFRRFKASCLSLVIVLAQGALGGNTAANH >KGN46342 pep chromosome:ASM407v2:6:5743193:5745693:-1 gene:Csa_6G087710 transcript:KGN46342 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stress associated endoplasmic reticulum protein MTTSRRLADRKVGKFERNITKRGSVPETSVKKGKEYPVGPLLLGFFVFVVIGSSLFQIIRTATGGGMA >KGN46191 pep chromosome:ASM407v2:6:4847131:4854917:-1 gene:Csa_6G067980 transcript:KGN46191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPEMVVESSLSMVDFGKELVADHTSVVSLNLFVALLCGCIVIGHLLEENRWINESITALVIGLCTGIIILVTTRGKSSHLFLFNEELFFIYLLPPIIFNAGFQVKKKQFFRNIMTIVLFGAFGTLISFCIISLGALHFFQKMNIGSLDLGDYLAIGAIFSATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVLFNAIQNFDVSHMNSSIVLQFIGNFLYLFLASTVLGILVGLLSAYIIKKLYFGRHSTDREVALMILMAYFSYMLAELFYLSAILTVFFCGIVMSHYTWHNVTESSRVTTKHAFATLSFVAEIFIFLYVGMDALDIEKWRFVSHSPGKSIGVSSILLGLVLVGRAAFVFPLSSLSNLTKKFSHEKLNLEQQVTIWWAGLMRGAVSMALAYNQFTRSGHTHLPGNAIMITSTITVVLSSTVVFGMMTKPLIGILLPQPKYTMSMLSSEPSSPKSFTIPLLDNDQNTPSPLDLFLRIPSHTVHHYWRRFDDAFMRPVFGGRGFVPFVPGSPTEDPTQQWQTEGAEAIDENKSILIQTRR >KGN48394 pep chromosome:ASM407v2:6:22529085:22532034:-1 gene:Csa_6G486670 transcript:KGN48394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRLDLDDFRTILDTAGVDVWTFIDTAMEVASLDYGNQLKNRRDGIVERLYALTSPPSRCRNCDTDRNHDGRSNGCEIKQGSGEVKEASPSTPQFVVVEGDDDGADPYAGLFDDEQKKVLEIKEQLEIPQQPEDALVELLQNLADMDITFQALKETDIGRHVNRLRKHPSNDVRRLVKHLVRKWKEIVDEWVRLNQPGEQTATLLADGDSPQQKAPQNGYHQVPDFAYSPNPHNGGSGSDRNNSEPEPKGKSVPRRDALPKPTQQAPTSSLTPQNRQKEQQKEANFDSQKLASARKRLQENYKEAENAKRQRTIQVMDIHEIPKPKNAFFSKNKGSGGGSQGRHW >KGN49368 pep chromosome:ASM407v2:6:27916354:27919124:-1 gene:Csa_6G522130 transcript:KGN49368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLFPCSQSPLRLIFKAKVPFPSLFHTISRSHGRPMSRITGICWEHVGLPSTRKPLSGFRMLCTGEDLTTKKCVPCNSNDLRPMTEDAAKRLIRELVDWNLVNEDSKLKLRRSLKVKSFLKGMDLFQLIAEVAEAEGHHPDLHLVGWNNVTIEIWTHAVGGLTENDFILASKISKLDVHHLLSRKVSISSQHDC >KGN45785 pep chromosome:ASM407v2:6:1198208:1201625:1 gene:Csa_6G011600 transcript:KGN45785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECGNSPHCMKCCKFELILMCFLLFILPLPSAALEGNETDRLALLSFKSEITVDPFGLFISWNESVHFCKWVGVKCSPQQRVTELNLPSYQFIGELSPSIGNLSFLTTLNLQNNSFGGEIPQEIGSLSKLQILAFEYNYFVGEIPITISNCSELHYIGFFRNNLTGLLPKEIGLLTKLEELELSSNKLFGEIPESLGNLSSLRGFWATLNNFHGSIPTSFGQLKNLTVLSIGANNLIGSIPSSIYNLSSIRTFSLPVNQLEGSLPADLGLLFPDLQILRIHTNEFSGSIPFTLSNATKLVVYSISKNRFTGKVPSLANMRDLEELGLFVNNLGFRDVDDLNFLSSLVNCSNLSSVVISDNNFGGMLPEYISNFSTKLKIIGFGRNYIHGTIPTDVGNLIRLEALGLERNQLTGLIPSSLGKLKKLGDLFLNMNKLSGSIPQSFGNLSALGRCNLRLNNLTGAIPSNVGENQNLLMLALSQNHLTGTIPKELMSISSLSIGLDLSENFLTGSIPFEVGKLINLGYLHISDNMLTGVIPSTLSGCTSLVDLNLGGNFLQGPIPQSLSSLKGIEQLDLSRNNLSGQIPSYFQDFNFLNYLNLSFNNLEGEVPTQGVLKNATAFSIIGNKKLCGGIHELNLSRCSFQSPTKQKPTMTVKIIVSVVGGLVGSVLVFFVVLFFWSRKRKNKLDLDPLPSVSCLVVSYNDLLKATNEFSPNNLIGVGGYGSVYKGTLSQDESVVAIKVFNIHHRMASKSFLAECEALRNLRHRNLVKILSACSGFNFQGNDFLALVYDFMVNGNLENWLHPDGSLNQEEGPRRLNIKQRLNIAIDVVSALDYLHNGSHIPIVHCDLKPSNVLLDANMTAHLGDFGLAKIMAETSLQNRSTETESIGIRGTIGYAPPEYAMGNKVSTYGDVYSYGILLLEMFTGKRPTDDMFNDGLTLNSYVLSALPDQVEQIADPTMSLQELEETSNNDAMMEANQSLRIRECLFCIFSIGVACSVAAPTQRMNISDAAAELRLARGNFSRGLNVGT >KGN48458 pep chromosome:ASM407v2:6:22990907:22991434:-1 gene:Csa_6G487790 transcript:KGN48458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRKGVPVGLLRKLCRETHMALFVGSLDARHWSSLFNHITLSWFRIRDGDDVLGLGELSDSLLCKLANEIQCVCVRVFRFFSYEVAHLLVMA >KGN49341 pep chromosome:ASM407v2:6:27777182:27778299:1 gene:Csa_6G520390 transcript:KGN49341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHETQISNCTTHGYQSITNITHVDANVVHFLLLVFIHNNTIVLQSNPSISSPSFIIPSTQPETQKVKDKNQNMASSDKPAGPLKQGGLESQPPGGRVSVAQEMLGKGASILQSHNPLKQISHHACSFALYAHDLSRQIETHHYVSRLNQDFLQCAVYDSDTSHAHLIGIEYIVSDRIFETLSPDEQKLWHSHAYEVKSGLMVHPRVPEMVVKPELENLAKTYGKFWCTWQVDRGDKLPMGAPALMMSPQATGVGMVREELLQKRDEYYNISTHAIKGSRVEIEEPEWINPQADYWKQHDGKGFAIDIVPTDMKLRAPFP >KGN48879 pep chromosome:ASM407v2:6:25562277:25563411:-1 gene:Csa_6G504600 transcript:KGN48879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRPHKNLCSFIYGLNHHQFLHLMRSASTYQHNHINHGANGNLRKKDENEEAHLYFYKSRGQHILTNQRILDSIVRKSAILPTDTVLEIGPGTGNLTLKLLEASQKVIAVEIDKRMVEVLHKRVVEHQLEDRICVICQDALKCEFPHFDLVVANIPYGISSPLVAKLVYGTIPFRSATLLLQKEFARRLLADPGDSEYNRLAVNVKLVADVEHVMDVSKRDFVPCPKVDSSVVLIRPKKEVPEVNLEEWRAFTRTCFGKKNKTLGATFKQKRKLMDLMHSNSMEGFNSFRETVIQVLKSGDFEDKRPVKLCNEELLHLLALFNQSGIYFHNRSDLQDAERSVLADAYSSEQE >KGN47439 pep chromosome:ASM407v2:6:15109648:15110480:1 gene:Csa_6G324830 transcript:KGN47439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIEQSRVVVGLHYSNYLAYSPREYLLFLLSLSLSLSLSSTLTTTKTTRKTKTQTNYFISCFLHPSQIHQFSFTFLFHIFLLSQHNHFYFTNNSFIYLFIIIITEKKCI >KGN49070 pep chromosome:ASM407v2:6:26464214:26465798:-1 gene:Csa_6G511860 transcript:KGN49070 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACC oxidase 2 MEMDFPVINMNNLNGESRVSVLNQINDACENWGFFELVNHGIPHELMDKVEKMTKEHYRKCMEQRFKEMVASKGLDSVETEINDTDWESTFFLRHLPVSNMSEIGDLDEEYKKVMKEFAAELEKLAEEILNLLCENLGLEKGYLKKVFYGSKGPNFGTKVSNYPPCPKPELIKGLRAHTDAGGLILLFQDDKVSGLQLLKDGKWVDVPPMHHSIVINLGDQLEVITNGKYKSVMHRVIAQEDGNRMSIASFYNPGNDAVIYPAPALVEGEQEKTKLYPKFVFDDYMKLYMGLKFQAKEPRFEAMKAMESTNINMGPIATV >KGN47062 pep chromosome:ASM407v2:6:11869611:11869890:-1 gene:Csa_6G182160 transcript:KGN47062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKRLHSDNDKRYNLYRNPCVSNPLNELERTNNVVGAYSKACVVVAKECGVSVIDIWTKMHQVPD >KGN47736 pep chromosome:ASM407v2:6:17605473:17605784:1 gene:Csa_6G397160 transcript:KGN47736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRYGQCMVGQQKVSCVHTDPIRVPSHILTSRWYFRVSTMGAWLSCVLAFVPETLSHSLGAHLILPNYVLGYVLRFLNATTHPLASTIFLSNGQCSKRLPLC >KGN49474 pep chromosome:ASM407v2:6:28570081:28570968:-1 gene:Csa_6G525590 transcript:KGN49474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASAFFLTFFALTCSIALASDPSPLQDFCVADKNSPVKVNGFVCKDPNVVEAKDFFMSGLNVAGDTNNPVGSVVTPANVVQIPGLNTLGISMVRIDYAPWGINAPHTHPRATEILTVLEGTLLVGFVTSNTENRLITKTLNKGDVFVFPVGLVHFQQNIGYGPAVAIAALSSQNPGVITIANAVFGSKPDIPTNILAKAFQTDSAIIANIQSKF >KGN47655 pep chromosome:ASM407v2:6:16761146:16763830:-1 gene:Csa_6G366530 transcript:KGN47655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGASVKPTPLLKDELDIVIPTIRNLDFLEMWRPFFEQYHLIIVQDGDPSKTIKVPEGFDYELYNRNDINRILGPKASCISFKDSACRCFGYMVSKKKYIFTIDDDCFVAKDPSDKDINALEQHIKNLLSPATPNFFNTLYDPYREGADFVRGYPFSLREGVPTAVSHGLWLNIPDYDAPTQLVKPRERNSRYVDAVLTIPKGTLFPMCGMNLAFNRELIGPAMYFGLMGDGQPIGRYDDMWAGWCVKVICDHLGWGVKTGLPYIWHSKASNPFVNLKKEYKGIFWQEDIIPFFQSVVLPKECTTVQKCYIELSKLVKEKLGPIDPYFLKLSEAMVTWIEAWDELNSAGGDSNGPAKK >KGN48244 pep chromosome:ASM407v2:6:21473360:21476660:1 gene:Csa_6G451470 transcript:KGN48244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADETFKYVILGGGVAAGYAAREFVKQGLNPGELAIISKEAVAPYERPALSKAYLFPESPARLPGFHVCVGSGGERLLPDWYKEKGIELILSTEIVEADLPAKRLRSAHGKIYNYQTLIIATGSTVIKLSDFGVQGADAKNIFYLREIDDADQLVEAIKAKENGKVVVVGGGYIGLELGAALRINNFDVSMVYPEPWCMPRLFTPEIAAFYEGYYAQKGITIIKGTVAVGFTVDTNGEVKEVKLKDGRVLEADIVVVGVGARPLTNLFKGQIVEEKGGIKTDEFFKTSVPDVYAVGDVATFPLKLYNELRRVEHVDHSRKSAEQAVKAIKASEEGKAIEEYDYLPYFYSRSFDLSWQFYGDNVGDAVLFGDNSPDSATHKFGSYWIKDGKVVGAFLESGSPEENKAIAKVARIQPSVENSDLLLKEGISFASKV >KGN49494 pep chromosome:ASM407v2:6:28665322:28668589:1 gene:Csa_6G526280 transcript:KGN49494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNFGRSRTQPRSNRSLSLGGMDYSDPKKKNNVVGKFILAATLTALCIIMLKQSPAFSAPSQFGVREPGVTHVLVTGGAGYIGSHATLRLLKDNYRVTIVDNLSRGNLGAVRVLQELFPEPGRLQFIYADLGDPKAVNKIFSENAFDAVMHFAAVAYVGESTVDPLKYYHNITSNTLTLIEAMAAHRVKKLIYSSTCATYGEPDKMPITEETPQVPINPYGKAKKMAEDIIKDFSKNSKMAVMILRYFNVIGSDPEGRLGEAPRPELREQGRISGACFDAARGIIPGLKVRGTDYATDDGTCVRDYIDVTDLVDAHVKALAKAKAGKVGIYNVGTGKGRSVKEFVEACKKATGVTIKVDYLDRRPGDYAKVFSDPTKINKELNWTAQYTDLEKSLKVAWRWQKSHLNGYENS >KGN47357 pep chromosome:ASM407v2:6:14531421:14534662:-1 gene:Csa_6G303230 transcript:KGN47357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLSNLYLLTYNSLQGFGWMVSLYRLVGDFAATKSTSGAYSSTGELVCFLQTAAFLEVIHGAVGLVPTGVLLPLMQWGGRVHFVVAVVRQLDEIQRLPSVFITFLAWSLSEVIRYFHHALNCLGVCPFWLTYLRYTAFIILYPIGVTIGEMWLMYQAIPFMIKKNLYADVFDYLPLSYYNFIRVLLLVYPLLFLKLYLYLFKQRRSKLGKQHKKKRN >KGN48130 pep chromosome:ASM407v2:6:20632195:20637169:-1 gene:Csa_6G439960 transcript:KGN48130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSKSKSVNQLESEPPNMQQTSSFSEPWWRSIGYNHISPPAAGGNVSNSTSLECTNGASESNDGQSMSNDDLNEEDDDETTKDSHASFGQVQHNCQHAVSAAPIVHSGCITQPPQLELVGHSIACASNPYQDPYYAGVMAAYGHQPGYPPFLGMPHARMALPLEVTQEPVFVNAKQYQGILRRRQARAKAEVENKLIKVRKPYLHESRHQHAMRRARGSGGRFAKKNETNSLGSTMKDKDSGSGQAISSHSAGSSGSDAAPCALAETWNSSNGQQESRTQLHEAYEARSYMNGSSQFHNYSSFQASSYGLRSGERGDDGDCSGQQRSISENQAAQRRLAIK >KGN48363 pep chromosome:ASM407v2:6:22264573:22269712:-1 gene:Csa_6G483440 transcript:KGN48363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGGTLQISWHDSKPVLTLDFHPISGLLATGGADFDIKLWLLNSGEEQKKVPGATYQTSLSYHGSAVNSLRFSPSGEQLASGADGGELIIWKLHHVESGQSWKVLKTLSFHRKDVLDLQWSHDGAYLISGSVDNSCIIWDVSKGSVQQILDAHLHYVQGVALDPLGKYAASLSSDRSCRIYAYKPPTKVKNSEKMTYVCQHVITKAENVAVDDSKSARNHLFHDETLPSFFRRLAWSPDGSFLLVPAGISKMSPASEPVNTAYIFSRKDLSRPAIQLPGASKPVVAVCFCPKLFKLRGLNSAGFFKLPHRVIFAVATLNSLYIYDTESAVPLAIMAGLHYAAITDVAWSADAHYLALSSQDGYCTLVEFENDELGLPFALSVDVEDQIGTTTDQNMSLTDVTINDDENRRIEAEGKHEENKSVEKPENMVIEKASSGDNLVESDNRGHEIEKASKQVSISSSSNSVKPAKRRITPMAIDP >KGN47668 pep chromosome:ASM407v2:6:16846278:16846676:-1 gene:Csa_6G367140 transcript:KGN47668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKGSKGIKAWELMEDFGADAAEFPGFQTNVAHRDDIVYRRSLTRLQRCAPHPLSLPRPTSFPSCGGASKQPPPLVENNNNCSSFSSTSNNASFHCIDPIPLLSPLVCPSLFESHCVIEKNVTTKSSRTNL >KGN45665 pep chromosome:ASM407v2:6:405581:405766:1 gene:Csa_6G004560 transcript:KGN45665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEERRHKKRV >KGN47745 pep chromosome:ASM407v2:6:17703416:17704084:1 gene:Csa_6G398220 transcript:KGN47745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMVSSSDFHTLFSHIFLCLLFFSLHVQPYTSPNKCMDLFFMFPIELLNACVNAIEVFPIPPNFIPDKAKLIPGQVGKYLVEHKERIEEEKTRKCERKGYGNQSSKPFPWKWFRALISIPFSLTFSCLLFFSLHVQPYTSPNKCMDLFFMFPIELLNACVNAIEVFPIPPNFIPDKAKLIPGQVGKYLVEHKERIEEEKTRKCERKGYGNQSSKPFPWKWWV >KGN49435 pep chromosome:ASM407v2:6:28311557:28311844:1 gene:Csa_6G524720 transcript:KGN49435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNMHSHVSGRGPSDVAVINLVLVWSSLPTRHSSALLALALSGRVIKDNETRSPPGSHSHPGPHISVGNAFARVLHALHLLAKNGFYAAVTRAPL >KGN47303 pep chromosome:ASM407v2:6:14039128:14040853:-1 gene:Csa_6G290840 transcript:KGN47303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSKGLFSTYISSSLKCFSSSTSSVLKVGDILSYNRIFTSEDVLEYSKVSHDSNPLHFDSKLAQRAGFNGCLVHGLLVASMFPHIISSHYPGAIYVSQSLNFKLPVYVGEKIVGQVEAIELRENKKRYLAKFKTKCLRNGDELVLEGEARAILPVDFHSTNE >KGN46629 pep chromosome:ASM407v2:6:7957851:7960769:1 gene:Csa_6G115640 transcript:KGN46629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLGAVSLNKDKSPKKNKFFDLDLKKKKKPKSMEDRKSSSSVSDVGAWSMNIFSSVGIIMANKQLMSQTGFAFSFATTLTGFHFSVTALIGWISNAAGYSESKSVPFWELLWFSIIANTSIAAMNFSLMLNSVGFYQISKLSMIPVVCVLEWILHGKQYSREVKMAVAVVVVGVGVCTVTDVKVNAKGFLCALVAILCTSLQQISIGSLQKKYSIGSFELLSKTAPIQALSLLTVGPFVDYCLTSKSLLKYNYTLGAFCFILLSCSLAVFCNISQYLCIGRFSAVSFQVLGHMKTVCVLMLGWLLFDSEMTLKNISGMVLAIVGMVVYSWAVENEKKGNAKATPQIKSQLSDEELMLMKEGMDDSSLRDEELGQVSK >KGN48693 pep chromosome:ASM407v2:6:24560541:24562306:-1 gene:Csa_6G498410 transcript:KGN48693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDKKLHIVIFPWIAFGHMIPFLELSKLIAQKGHRVSFVSTPKNIDRLPTKLPPHLSSFLRFVKLPFPQINDLPPDAEATSDVPYDKVHIFTPEFLVFVGPMFGDNRIKPEDFTVSPHWVPFPTNVVFRHFEIMRIFDSVAGNITGVSDLYRMKMSAHYSDLVVVRGCPEFGQEWIQLLGDVYGKPIFPVGQLPTSEYETGDENPAWERIKEWLDKQPKDSVVYVAFGSEAKPSQNELTEIALGLEKSELRFFWVFRTRRGPSDPDPIELPEGFEERTKGRGVVWTTWAPRLKILRHESMGGFLTHSGWSSVVEAIQSEKALVLLTFLADQGMNARVLEEKKMGYPVPRNELDGSFTRDAVAESLKLVVVEEEGKIYRETIREAKDLFVNKERDDKLIDRLLDHMKEKISKKEQL >KGN47781 pep chromosome:ASM407v2:6:18003135:18003311:1 gene:Csa_6G401510 transcript:KGN47781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESRAGARSRFFPVCSRLSSSRPMDPGPALVFFRFLAAILFAIRFGYGSILPRFGNGW >KGN48800 pep chromosome:ASM407v2:6:25170085:25173489:1 gene:Csa_6G501890 transcript:KGN48800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNESSSSCTNSTVVDETFDNDFKEITGVDSFPENSSRNHDDTPPGSKSSKKKKTKKKKKRLVIDIVQVLPSSPVRWRQGGVNCKRRRPKVAMAQSRRINGDIENAGFLLGTSLAAFVGQVLEKQDVSDGKMFVDHLSTICTSAIRESLVNVFGVKLDCFLKNFESSFVSTLRTPLSTTKASAKTEVHSSSKCKEEKNTVDLTLDKKEPFKTDTHVDDQLSLIEEIQESVSDSYTQELPLCKLRNDMVSVVPWLVGSRGNELAVETFERFVVENVRSNNLKEKEIDLKMKNLKLKETQMQLNCESNNLKAEKLKNKLENRRHSELLETCIDCLVAGFKTMVDAKSFGIFELKAAYVEVSSSSCESDGVWCDYDSCNYLLASPTLRNFNQGNASYFHRLAARSCLRFSWKVMRRHTGRKRVALAHDLGDLVLDALIFKRFYVYTVSDPKWPDGRFSGKKSPHNLAVLVSPCCILHCFACVYAIVMWANSICKY >KGN45659 pep chromosome:ASM407v2:6:372084:374258:1 gene:Csa_6G004500 transcript:KGN45659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERPQKSRPPELIKLNDAAKLAAQLMSKMTNSEWKETGVDSESTAIEPEMRPPRLGLGAKVTRHFRNGPSNDPLDRKLYAKLDAGKKQAARKMSEDTPILNTKDDNDDDDDEEEESRTAIFSKKRAPKPVTMPPLQGKKKRR >KGN46603 pep chromosome:ASM407v2:6:7670399:7671493:-1 gene:Csa_6G111930 transcript:KGN46603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKNHIPEPNEKRRKFLINDNLDILIEILKRLDGPSLGVATCVCRLWCTIARSESVWEQVCFRHVKSPLPSSVKTVVAALGGYKRLYMVSIRPVLSRLGYSDLVRRVWTQDEVQLSLSLFCVDYYERLTGSGRIGGEASALSLMFLCNTVNV >KGN46644 pep chromosome:ASM407v2:6:8088818:8090692:-1 gene:Csa_6G117760 transcript:KGN46644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRATSILNHAPTFCSVSAHSLVDEFTKFCYQRDLPRAMKAMEAMHRNRLSADAITYSELIKCCLVRGAVQQARLVHEHVFSNGYEPKTFLINTLINMYVKFGLLDEARNLFDEMPDRNVVSWTTMISAYSNSNLNHKALDFLILMLREGVRPNMYTYSSVLRACDGLLNLRQLHGSILKVGLESDVFVRSALIDTYSKLGEQHDALNVFNEMITGDLVVWNSIIGGFAQNSDGDETLHLYKRMKRADFVADQSTLTSVLRACTGLALLELGRQVHVHVLKYDQDLILNNALLDMYCKCGSLEDANLLFTRMMTEKDVISWSTMIAGLAQNGFSADALKLFEAMKSKGPKPNYITILGVLFACSHAGLVNDGWYYFQSMKEHFGIDPGREHYGCIIDLLGRAGKLDEAVKLIHEMNHEPDAVTWRILLGACRVHKNVDLAIYAAKEILKLDPADAGTYILLSNIYANSQKWEDVAEVRRKMRTRGVKKDPGCSWIEVSKQVHAFILGDNSHPRIEEIKRELSQLIQRLMRLGYVPDTNFVLQDLEGEQMEDSLQYHSEKLAIVFGLMSLPNQKTIHIRKNLRICGDCHIFAKLVSQLENRVIVIRDPIRYHHFRGGVCSCGDYW >KGN47086 pep chromosome:ASM407v2:6:12042947:12046350:-1 gene:Csa_6G185840 transcript:KGN47086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGFAQQAAAMDEIDMPKTVMSGFKPERVPVYTELANQFAVFDRWFASVPASTQPNRFYVHSATSHGAMSNVRKDLIHGFPQKTIFDSLDENGLTFGIYYQNIPATLFFKSLRKLKHIVKFHSYALKFKLHAKLGRLPNYAVIEQRYFDVDLYPANDDHPSHDVARGQKFVKEVYEILRASPQWKEMALLITYDEHGGFYDHVPTPVTGVPNPDGIIGPDPYYFRFDRLGVRVPTILVSPWVEKGTVIHEPVGPTSTSQFEHSSVPATVKKLFNLKSNFLTKRDAWAGTFDHYLKLRDHPRDDCPETLPKVTAPLRPWGPKEHAKLSEFQVELIQLASQLNGDHVLNSYPNIGKYMTVGEANKYAEDAVKRFLEAGRVALMAGANESAIVTMRPSLTSRTTAVDSGPFVETI >KGN48744 pep chromosome:ASM407v2:6:24859562:24859921:1 gene:Csa_6G499875 transcript:KGN48744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKQLILTGEGGSTRTPPLGLTAVLQMAFQIRHYRETLLVATITREHLRAVATLEVILHANQGFQCPKFLVHFVPYTTVVRAGESRAFRSVVRSNNNIQRLSQSLWGLELVPMGPHMHP >KGN46369 pep chromosome:ASM407v2:6:5964517:5964928:1 gene:Csa_6G087970 transcript:KGN46369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARDENEALEGVRLEGGNMGASMSVSHGGECKLPNCCCINIYVNSNIQGVNNSTLNGGEVKMGSPGVFFLFGDMEPKLHQNKTSSLHQNPKLWQWGLMILLLLFLLLPLLFMLLLEIKMLHS >KGN46514 pep chromosome:ASM407v2:6:6937263:6942522:-1 gene:Csa_6G105680 transcript:KGN46514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTNPFDLLGDDDAEDPSQLMAVKQAAAAPKKGSDHPQAKQQAAAAAKLSKPANLPSKPLPPAQAVREAKNEGGRGGRSSGRGGRGYGRGRGSGGFNRESPNNEYSFSSNPEDGETGRTTEKRGGYGGPRGRGGRRGGFYNGDTADGERPRGAFERHSGTGRGNEFKREGSGRGNWGRSAEEFPEVAEEANESQKLGDEKPVHEDDTAGVNTENPAKESEEKEPEDKEMTLEEYEKLLDEKRKSLLALKTEERKVDPKEFASLQQLSSKKENQDIFIKLGSDKDKRKETADKEERTKKSVSINEFLKPAEGEKHYTPGGRGRGRGRGSRGGGYVGSSMSSNVAAPSIEDPGQFPTLGAK >KGN47558 pep chromosome:ASM407v2:6:16107424:16109149:1 gene:Csa_6G358710 transcript:KGN47558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADYDNKKVEEGVQEGGVEATDRGLFDFLGKKKEEEQAEKPSVHEEEVVVVTEQMEKVEVSEPSHKVEQEEEEKKPSLLEKLTRSDSSSSSSSDEEEGEDGEKKKKKKKGLKEKLKEKLGGGEEEKKEEEAKKHEHEHEHEAVPIPVEKVEEAAHPEEKKGFLDKIKEKLPGHSKKPEEAPEAPAPCATEAAAPPHHHEEDQGKEKKGFLEKIKEKLPGYHAKEDQEKHKEEAASH >KGN45935 pep chromosome:ASM407v2:6:2394319:2395981:1 gene:Csa_6G024430 transcript:KGN45935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCLRLHYTFSANTLVKNDELKAEVKAKGATIYTKRQPEGPKPGFMVEGALMETVTPIPYDVVNDLKGGY >KGN45669 pep chromosome:ASM407v2:6:433324:437550:1 gene:Csa_6G004600 transcript:KGN45669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAALRTLVKQKAGISSDGCSTVRKFFSTQTVFESSSSFAQRLRDLPKDLPGTSVRRDAAHLIGKTPLVYLNKVTEGCGAYIAVKQEMMQPTSSIKDRPAFAMINDAEKKGLITPGKTTLIEPTSGNMGISMAFMATLKGYKMVLTMPSYTSLERRVTMRAFGAELILTDPTKGMGGTVKKAYDLLESTPDGFMLQQFANPANTQVHFETTGPEIWEDTNGQVDIFVMGIGSGGTVSGVGQYLKSRNPNVKIYGVEPAESNVLNGGKPGPHHITGNGVGFKPDILDMDVMDKVLEVSSDDAVNMARELAVKEGLMVGISSGANTVAALRLAKLPENKGKLIVTVHPSFGERYLSSVLFQELRNEAENMQPVSVD >KGN47634 pep chromosome:ASM407v2:6:16618857:16628441:-1 gene:Csa_6G366320 transcript:KGN47634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELAKGKMGSRNRRSGRSIEYVFSGSRISRSLSHAEEDEEALRWAAIEKLPTYNRLRTSIFKSFAESGEELGGSGQTQPILHKQVDVRNLEMEDRKTFIERLFKVAEEDNEKFLRKLRDRIDRVGITLPTVEVRYENLRVEADCVIGNRALPSLVNAIRDLVDWGLSLFGINLAKTTKLTILKDVSGIVKPSRMTLLLGPPSSGKTTLLLALAGRLDPNLKVKGEITYNGNKLNEFVPQKTSAYISQNDVHVGEMTVKETLDFSARCQGVGTRYDLLNELARREKQAGILPEAEIDLFMKATAIEGVESSLITDYTLKILGIDICKDIIVGDEMRRGISGGQKKRVTTGEIIVSPTKTLFMDEISTGLDSSTTYQIVKCLQQIVHLTDATVVMSLLQPAPETFDLFDDIILLSDGQIVYEGPREHVLEFFGSCGFQCPDRKGTADFLQEVTSRKDQRQFWANRSEEYRYTTVSEFASRFKQFHVGKKLRNELSVPYDKSSGHKAALVYHKYSIPKLELLKACTHKEWLLIKRNSFVHIFKMVQLIVVGFVSATVFFRAKMHHRNEEDGAIYIGALIFTMMVNMFNGYADIALTIARLPVFFKQRDLLFHPPWTFTLPTVLLRLPLSVLESTVWMVMTYYTIGFAPEASRFFKQFLLVFLIQQMASGLFRFIAGCCRTMIIANTGGSLTLLIVFMLGGFTLPKGDIPKWWTWGYWISPMTYSYNAISVNEMFAPRWMKRLASDNKTPLGLAVLKNFDIFQDRNWFWIGAGALLGLAILFNVLFTLALMYLNPFGRPQAIVSRESTEELDFEQDVKELTPRQAESKTDSMIRSLSSSDGNNTREMTILRMSSRSTNSGRCGDSPLRSGVNTKRGMVLPFNPLAMSFDSVNYYVDMPSEMKNHGVKDNRLQLLREVTGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDIKISGFPKQQETFARISGYCEQNDIHSPQVTVQESLIYSAFLRLPKEVSIIEKMDFVDEVMELVELKNLSDAIVGIPGITGLSTEQRKRLTIAVELVSNPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQVIYAGPLGRNSHKLIEYFEAIPGVPKIKEKYNPATWMLEVSSVAAEVQLKMDFADHYRASSLYQRNKTLVKELSTPTPGSRDLYFSTQYSQSMWGQFKSCLWKQSWTYWRSPDYNLVRFLFALTAALMLGTIFWKVGSKMDDVTDLNTIIGAMYSSVLFIGVNNCSTVQPLVATERSVFYRERAAGMYSSFPYALAQVIIEIPYVFCQTAYYTLIVYAMVDFQWTAEKFFWFFFVNFFTFLCFTYYGLMTVSITPNHQVASIFAGAFYILFCLFSGFFIPKPKIPKWWLWYYWICPVAWTVYGLIVSQYRDIETLIKVPGAEDTTVKSYIEHHYGYRPDFMGPVAAVLVGFTVFFALVYARCIKSLNFQTK >KGN49004 pep chromosome:ASM407v2:6:26182439:26190444:-1 gene:Csa_6G510220 transcript:KGN49004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDYPEELQTPPVRLISLVGCPDLHPTISTHLLSDQPPIHTLAFPDLSKISFLLPPPSPNDSSEPPPSSPPPGIFKRDWLLKHRTKVPAVVAALFPSHHVSGDPAQWLQLCSDLDHLKAVTRSRNIKLVVIIVHSDSKDDINEDRMIALRKRAEVDSKYVVFVNPNDASELMQSLHRLRSFFSELANTYYKDEGRKVKTRIEKRTYNSTELNIRYCFKAAVYAEFLSDWIEALRFYEDAYNKLWEISGIPSRASSIQRLLEIKTIAEQLHFKISTLLLHSGKVTEAVTWFRQHITLYSRLVGEPDTEFLHWEWMSRQFSVFAELLETSSATSLTIPSLGLGTGNKPLTEWEFYPAYYYQLAANYLKQKRSSFEFMLSMYINADELEKTTESLVPSVYVGQYSRLREQVDVMVMQTVTDEEFLNNTIAEEKKHQDPLKMITLLKKAYESYSHAKAQRTSSFCAFQIAKEHYAMDDLEDAKKHFDSVASLYRREGWATLLWEVLGYLRELSRKHGTVKDYLEYSLEMAALPISSDFHMLSLRSQDCCPVGPATLEQREKIHNEVFNLVHEKSVLTSVEHGKELKVTGDNPVHLEIDLVSPLRLVLLASVAFHEQVIKPGMTTLITVSLLSHLPLTIELDQLEVQFNQPECNFIIMNAERLPSAMMEGDQHDNRVEQAPSLALSSNKWLRMTYQIKSDQSGKLECTSVIAKIRPNFTICCRAESPVSMDDLPLWKFEDHVETLPTKDPALAFSGLRSIQVEELDPEVDLTLSASTPALVGETFIVPVTVVSKGPDIHAGELKINLVDVRGGGLFSPRETEHIADSHHVELLGISCVEDGAESHLISDEEMKIKQSFGLISVPFLKSGESWSCKLQIKWHRPKPIMLYVSLGYSPLSNEPNAQKINVHRSLQIDGKPAVTIGHHFLLPFRWDPLLLSRTKANPPSDQSLSLPLNEPCVLVISARNCTEVPLQLVSMSIEADNDEIEEKSCSIQTASSNLVDRALLVPGEEFKKVFTVTSEINSSKIRLGNVLLRWKRYSRTKDQHDSNIASVLTTQRLPDVDIEFSPLIVCMESPPYAILGEPFTYFIKIKNQSKLLQEIKFSLADVQSFVISGSHDDTISILPKSEHILSYKLVPLASGMLQLPRFTLTSARYSASFQPSMAESTVFVFPSKPPCELAKNGDAGPETCGPVSTSLS >KGN47305 pep chromosome:ASM407v2:6:14045234:14049834:-1 gene:Csa_6G290860 transcript:KGN47305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSHSGLPPFKDDDNTHEGFPNIPVEIVSDEEMALIEAALAAAVTTSRSSSSVIHSTSSSSHSLFNTRSIHSITLLSKRGIHSTQPDIEDLGKIPITQKKIKVNESLLQRFKRNKPLAVTDITRMEWCEKQMEFSLLSGVRKKTKAMEAGIARHAMLEAEVVKKVKVQVQSIEDIWALKLLNFIVGVHQLVLEGLTRELPVMGLVEGVWIVGIIDEIQMLEIDTTKIPMLIDTKTRVRDTIPAVPQRRNGKLQLMFYKFLLDNLISDGLSIRQFFDFFSLNPHSTLSEEISDTTTSIGFTAKTLDDVVRYYINCCSMLPPTHNQLLIRYESQKDQSIIVEDKFAYDHGWLKTQLETQLQVWHGQREPECTPQEERWKCRHCQFAFDCPTNA >KGN48544 pep chromosome:ASM407v2:6:23579608:23581040:1 gene:Csa_6G491070 transcript:KGN48544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPNSNNTRLILLHPYIQKQGTSSKIWLLAFLSFFTLAFLLLLLLVYTRASTTPITAASSLVSSSPSSPSSSATPLPTTVINTLLYYASKSNDSFHMTHSELKPISDVLRKCSTPCNFLIFGLTQETLLWKSLNHNGRTVFIDENRYYAAFIEEKHPEIDAYDVQYTTKISELNELITTVREQIRNECRPVQNLLFSECRLGLNDLPNHVYDVDWDVILVDGPRGDWPDAPGRMSAIYTAGVLARSKKGGNPKTHIFVHDYYGEVEKVCSDEFLCSENLVEASHTLGHYVVEKMDENCFQFCHNTTAFRS >KGN49437 pep chromosome:ASM407v2:6:28319374:28323862:1 gene:Csa_6G525230 transcript:KGN49437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSPFFGPPEMVVKNRFLGFLIWQFIPSTVVFFLFKIFVSAISSVSVTNSSAGTRDPSAPFASLLTGFLTFLTFHLSQLLFSSSLSLLASPQLERPAAPLELVFGLVRFLVVSGGDNASSASALKDFRRRAMVSFYLVLFVVATAVSGSLAAVSICWGKSDGLRSAWHMGLLMGLIYGCFYVYKKRWVLMFPIIQRPPFFSFKMGFPSATTLASKLSAATFLFSAVLMVLLPDQHKKNVTVRKFIGNQTILFIGSFAVFLSWELTHHLHRVLHTKRFAFAPPKGSAAAETNPSEHLFAALEDSNSGSLLQYLAFLDLCMVCETNVDIWRRAAFFEETGDTYKRVISISLRPLEQFALNLGQGLEGAMDMTSQLSRQLLPPNDSHFDVKQLKALKNFQLYAWCARTVSTLTARSHVEDRFGVAQLSGSNATVMSTLLSCLLAVEVLMGKKTNLQSSHNLFGPAGIKWATSSIRRVDASVGKKKNGPLHSKAYAIADVLRVSIYLIVTAFHNEMVNSAKSGVLEKDWITDEKPPFGTRELLLQKLHIFLDFQA >KGN45848 pep chromosome:ASM407v2:6:1684921:1691912:1 gene:Csa_6G014680 transcript:KGN45848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAVILGLPGPWADDNLELSDHYTTKVGGIPDLPFQNVNHSLLDCSQCGSKLCLVLQIYAPVSIDRTNIDERFLLVFGCLTPECGSSSLGWRVLRVQKSCDKEFSKVSQEIGPLTTSTSAAKTNWWEQLDEESDEEMDLEELQKAFSDVATKVSHAKETPSKSHSKTVTKSLTSRPTRVVDVKTPVVPCFYIYTEDEPSSKDISMCSNYASLSLKENQSDEEDSIQEEKWSEEGYEHDKALTADRTYLKFKKKLDAYPEQCLRYSFGGKPILARSEDGEAGKCKACGGSRQFEMQLMPPLLYFLQEAADESQKQLLETWNWMTLLVHTCSESCSQSSEKSDDGNWIITEESTIVQLEKPFNSSPELVGFFSLTP >KGN47495 pep chromosome:ASM407v2:6:15631000:15633064:1 gene:Csa_6G344240 transcript:KGN47495 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic blue protein MGIKVGEGRGSAIERAVAVAAVIGLVMMSQLESVEAAVYDVGGSGGWTFNTESWPKGKRFRAGDILRFNYNPLVHNVVVVNQGGFSTCNTPAGAKVYKSGSDQIKLPKGQSYFICNFPGHCQSGMKIAVNAL >KGN46949 pep chromosome:ASM407v2:6:10792805:10799924:1 gene:Csa_6G152350 transcript:KGN46949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKWLSNNLHFSSYRRREEEDHQKLRRRRRRRNQWFEEQEIFGMESQRVLEETCSVYGINNNINLSSTLINNHDGQSFDFGELEQAIVLQGLGAMNKLDHYEPKQSFLSGKPAATLEMFPSWPIKYQQTSRGVSLRKREEMLRGSGNYNNSNEEKEMEILGKDEEDEEESEMSNCSAPQQQQQQHEHNYNTSCFNIINNIQPHQVLMVSTDVSTTALSSQHQYSLQQKRKGCGSISTSQKQLDAKTLRRLAQNREAARKSRLRKKAYVQQLESSRIKLTQLEQDFQRARSQGIGGGNGNGNVNHGSGALWFDMEYVRWLEEEHRHTMELRGGLEAHLSDTELKVRVDACIYHYDQFFRLKSEAAKFDIFHLITGMWMSPAERCFLWIGGFRPSDLIKMLMSQLDPITEQQVMEIYKLQNSSQQAEDALSQGLDQLHQSLIDTVAGSPIVDGGINHMVLAMDKLSSLHGFLHQADILRQQTLHQLRRILTIRQAAKCFLVIGEYYSRLRALSSLWSSRPKEGIEDNSCQTSTHQQVHMVQPSHHYFSTFN >KGN45821 pep chromosome:ASM407v2:6:1491651:1493904:1 gene:Csa_6G013930 transcript:KGN45821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESHKRNLRARKPLADCTNTILSSQSPASNFSASIKPRKRVSKSAVKDVANNEKKREPILASESTSVNLRASNPSSDFLPTEPTSDVPTAEPNPSFDSLPSEPSSNRFPTELATPPRPSDLPSSSGTDGVSEPHTVYSRRHPSNKRKSVEKALEPFIFYTASKIQNGGRIRDDNNSPSKARTVPCNKRLRANVHEEDDSKIELPREFVEQQKTYFSEVDAFELPVEEAKSSDSE >KGN46560 pep chromosome:ASM407v2:6:7280004:7283960:1 gene:Csa_6G108580 transcript:KGN46560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSVRKNENGDELMDGDLFGNFILKDSFWEAKSELAAYENLVFGKIRDGILVAASHPLISCGVATGMGFLVFKKPRNFLYYKTIRLFVNEESLLSKADAKVKELRQSIDRIKVESERLEKRTLQAEDELIRGRTKLRQAGKQIEGVIQSAHKIERKARGLKDILADLPTREASRFRTQVSNLASEAKKERIGLSKEVSKISNYGISV >KGN46639 pep chromosome:ASM407v2:6:8036730:8040145:1 gene:Csa_6G117700 transcript:KGN46639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLKIKNKENKREKKDGNGKDRNPRTTGKEIRQPLLRLDREGDGSPLGSISRLLCTNPLEMSGGRQRTLVVGVQHVLDYLKRMQAENPAFYYAVQGDGDHHAGANIFWADATSRMNYTYFGDTVVLDTTFRTNQYRVPLAAFTGFNHHGQPVLFGCGLVLYESESSFIWLFQTWLQAMSGRQPISITTDPDRLIQVAVAQVLPGTRHRFCKWAIFRETQEKLSHLCQSHPTFETEFRKCVNEAETIEEFESFWEALLNRYYIMDNEWLQLMYSARQQWVPVYMRDTFFGEMSINESYKSLNLFFDGYVTASTSIQMLVRQYEKAMASWHEKELKADYDTINSMPVLKTPSPMEKQAADLYSRRIFRKFQEELVETLANPATKIDDTGTIATYRVAKFGEDHKAHAVSFNSLEMKANCSCQLFEYSGIICRHILAVFRAKNVLTLPSQYVLKRWTRNARNGAVTDDHNSELPNEAGDSSTVRYNNLRQEAIKYVEEGAKSIHIYNVAVDALKEASRKVSAVKNRGPGATNGDVMANGVVGPLVATEENQTPTYQSVEQKEKKIRELSAELEKTNQRCEVYRANLLAVLRDMEEQKLKLSVKVQNARLSLKE >KGN46832 pep chromosome:ASM407v2:6:9966567:9967224:1 gene:Csa_6G140800 transcript:KGN46832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVGNSFRQPRTSNTQSIDPSYFPQFQIVLFGGWNDIQIVRGGNKCLLIAAFKDRNLFPGYWEDNQRPPGYCILRLVVFIGTAC >KGN47195 pep chromosome:ASM407v2:6:12685123:12692490:-1 gene:Csa_6G197740 transcript:KGN47195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIGTRVLGSHLMVSSVEPMMMGDCIEMEEGEASCYHKDNGGDIDPDINLSYIDEKIERFLGHFQKDFEGGVSAEKLGAKYGGYGSFLPTYERASSILPHNVNQQRDCNAAPNPVNLPLEGSSQNPKAPPTKRPEAFVCNTISSRNTKEMTGSISGRIDSCLAATKVTNSFSSKGEASGRLGSPMNSGSLKFRIKVGSDCVGLKNAAIYSGLGLDDSPQSSSLNSSDLSEGMLPLSQGPPDESPSKIIQAMTSFPVPHGLLISPLHDSLLGLSRKEKPLPLLKPVPSLENKKDGLAKLANETTLKLNDKTLVKKKKKEAVHRERQVNLKNEVNASSREEKTTLTLVKRKLDNEAFESKEFLSNELQCKPGSEGTKSSAYLDSQKKLSHKATPHEAVKHKASIKKEKPEIVGEKKFKVVQTAGGKIAGSSEGGFKIRSEASRCRKNTDSDTPESENRRHRLKLHSKEKVGANNVGSFNSSGLDVNRISKDATERASVDFQKVKGLDDSGIKMSKCSKVVEPAGVAPMDEWVCCDICQKWRLLPFGTKPEQLPDKWLCSMLYWLPGMNRCDISEEETTEKLYALYHLPLPQSVNAFQNHANGLISADTSNQGKKKEALKEIQNPVSRNDQTHGKSSLKDQQLESRKNKSLNGLSNPPNKLRNSMDQSSSDLYNLAEGKNRGKLKEKSTDRVNCDQLKRKNRRPEEMRPVDNHQNFNMDVGKLGYSSNSGLTNMEGGEGLLKQGDIGSKKHCKLNTENKMQISLKKRGGTAEISSVVRSSVNQPSGKSACTKKRKLNDWQDDQNSPNSGVHESVLLKEENCEKLKKRKKLDVCNNFTDGMEEISKDKEAKMQIKKIVAKQISVRKELPKRNLVVRQVSAAANSSSSNVSQSHLMKAVVKVSPAESVSSSPMRSSGLDQLGGEKEEITSNIHPNSHALNMNSKKIKASPVVQHHFVTAGANAVKQPRLCNNRIDDGIEPSHKKHKKEKINVGAEGLGTPQNLKGNDAENQRSNALLQSNKAARLTSKQNKDFEAGNKGDPRTLEKKDGKVEPSRSAADPLRVSIRVGDSHQLNADAPSDDVVVSKKTPDRSRDVNASNINRTKSSNQTASDTLKDAKKLRDEADHLKNSGFTFESNELYFQSALKYLHGAFLLETLDNTSGKPGDISPIRLYSTTAELCGSCALEYERRQEMAAASLAFKCIEVAYLRIVYHKHSSINGDRLEMNSFRSIVQGESPSSSASDVDNLSNHGAMDRFTFDRGSSHGARSQIWNAGTCTSFARILNFARDMNSAMEASKNSRNAFLAVSSCASEGKHVDYVASVKKVIDFNFQDVGELVQLVRVATQTITHSGFCSGRD >KGN48447 pep chromosome:ASM407v2:6:22922615:22929925:1 gene:Csa_6G487680 transcript:KGN48447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKIIYIPDKLPTPPDQQPTVNVFAAVVEPKIINNLVRQLNQIVPLENLRHVKRVQKKHLEDGKIQIALILCLASENNCDLDVLPPCVQELVASHKLSAFITKVCKEAATTKEEWEEQCKLWPTSYHPPAYNIDGITGFNEVDTQSIFGFMRLAIELAQSSSKSVVNAAVIVDPSVRQVIASACDHHISFENASTSNINGETSFEKCPKSLGSHFDSYESIIHGTFPSSSSLAKLKQSCADVSCLYPLRWFDQPLPHSSNSCCWHPLRHAAIAAIESSAARDCRLFPTLETVGDKSVEMEHMDLLRKLAKRQKIDLDNANNNENKVGTDGEGTYSKLGRPYLCTGYDIYLVWEPCIMCAMALVHQRVRRVFYAFSNPSHGALGSAHRLQGEKSLNHHYAVFRVLLHEDVL >KGN46855 pep chromosome:ASM407v2:6:10174504:10175163:-1 gene:Csa_6G147490 transcript:KGN46855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLGWLVVSLSVSVLVTNLCEAISSCNDPCKTLNDCDGQLICIKGKCNNDPDVHVGSHVCSNEGSGEGSSPPLGDSCQPFGHKVCKGISHPQFKCSPRVTSSTRAILTNIEFNGDGENGAPSECDNKFHLNSELVVALSTGWYNRGSRCGKKIKVTARNGKSVLAKVVDECDSISGCDALHAGQPPCRNNIVDAAKAVWDALGLDTDVGEEPVNWSDV >KGN46168 pep chromosome:ASM407v2:6:4682703:4687569:1 gene:Csa_6G062280 transcript:KGN46168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDGCGIRAIWIFSNFDAVIFSRRFPVVERRWRTACKIENDRCNSDDIASDVSPVLPNDSELAAAFVERKKREGSACGFGIRVIQSYEGSDSWVDDPITRHIIGLHVKKEEESSIFLWPLILNIKSHYSILVLPLVEPQHIKHYASLCKRSDCGSAIGAESSLSSLLLDLPSITGAFMVALAIGDVITGDAVEPDVLVSASPSVGGLLDSLTGSMGISGISARAKPVASPSSSVNPSTNTVAGALNSDAPRPLDKDALRSFISSSMPFGTPLDLSYTNISSIKVNGFSSSDPPPADVKQPAWKPYLYKGKQRVILTIHEIINAAMYDRDEIPDKISVSGQINCRAELEGLPDVSFPLAGSNKARIEGLSFHPCAQVPEHGIDKQAVMFSPPLGNFVLMRYQAVCAAGPPVKGFYQLSMVSEDKGAFLFKLCLMEGYKAPLCMEFCTVTMPFPRRRIVSFDGTPSIGTVSTTEHSVEWKILASGRGLLGKSIEATFPGTIRFAPWQIQRLHSSSPVTPSVEEVDSDVEAETASNVVNIEEFLMEKMNKDLPPVELEEPFCWQAYNYAKVSFKILGASLSGISVDPKSVSIYPAVKAPVEFSTQVTSGDYILWNTLDKCPSVASETI >KGN47527 pep chromosome:ASM407v2:6:15917322:15918813:-1 gene:Csa_6G355460 transcript:KGN47527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESWDGSYEDSGSISDESSYYARLHIEPIYDSFLCPLTKQVMRDPVTIESGQTFERAAIEMWFNECKESRRRPICPMTLKELRSTELNPSIALRNTIEEWTARNEAVQLDMARKSLNLSSPENETLGSLKYVQHVCQKGLSRHIARNAGLIPMIVSLLKSTSRKVQFRALETLRIVAQEDSECKEMLAEGDTLHTVVKFLRHERSKEKEEAVALLYELSKSEALCEEIGSVNGAILILVGMSSSKSENISTVENADRTLENLEVCENNIRQMAEYGRLRPLLTQILEGMYVISSYRVLCSY >KGN46570 pep chromosome:ASM407v2:6:7370340:7370618:-1 gene:Csa_6G109655 transcript:KGN46570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKMVISREEAAIECMKLSSPKPAEEEESFGSKRQKYKRIRREQLKRLIRDQLEKVPWKVPHDDDDHKKVKGNDGVFSSDYEHARTHPPSHN >KGN46480 pep chromosome:ASM407v2:6:6723428:6724500:1 gene:Csa_6G101440 transcript:KGN46480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYPITLSNTRLIFFKCTRWQLEETLDKFSCPFHYYCDTIYPGDYPPAIDLLVLIFTATTYLSTLLFMLLDMSSNRGKFCFDQPKKFLLPSGPFSLPVFLFVLAKGHRINTLFPLFLMGPPILQLIYISALTFDNGADKDIKYVFFEASTMSGILHASLNLDFVILPYYTGLDALIGSNFSGECTSCVCRNAPLVVGGRFVSYRGWSSTTFVIVCVLCMRIVSRVAGNEVVRKVVALKWLLEGLGWVLITWDCVYLSANLGAERRELQGVVYGCVFGLVFIHVIKLLRRWQLMYCIRNYDQLDKV >KGN46625 pep chromosome:ASM407v2:6:7919074:7927664:1 gene:Csa_6G115600 transcript:KGN46625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRVDGGRDRYRKDYTSRFDEKPQSGHSNSSNPPSRHLWVGNLAHVVVERDLSRYFSQFGELDSIAFQPSRSYAFVNFKRDEDAMAAMRELQGFSLGGNPIKIEFTKADKPSASSRDEDYSQHREEKYYGARGSFSQGRHVSPDQFYPEKSKMSDKNTEPSEVLWIGFPALLKVDEMILRKAFSPFGEIDKITTFPGRTYAFVRFRGVTSAWRAKETLQGKLFGNPRVHICFAKNDSGSSNGGRSSINAPLSPRSPHLFSNMDSGDFDSRGLNRKSNLWTSGNNVFEMKRSGEISSKLGPSLDRYEHGSPTKERGPPLNNFPQRFPQPSPFYDDPWDLPEDMNLYHGSKKLKTGPFPQDKELPEYPLSDLEQDKRIIPKLYPDFPPSETFDKKMKSGLPLGYKQTPDRPITMPVSYGEKSEHWREPYDNFQDPDFLPPNDVARKRFSPDSEQSSVKEWKWEGTIAKGGTPVCRARCFPVGKVLDLLLPEFLDCTARTGLDMLSKHYYEAASAWVVFFVPQSDSDIVFYNEFMHYLGEKQRAAVSKLDDRTTLFLVPPSEFSEKVLKVPGKLSISGVVLRLERPGAIARPPPYQNETKDANLLPLHSETLYTKLPTPPARFGPVSPLSDFSKSGINSTPLPRNVATSASPVLFHGSAQSAGSLSDQYVDNRHEYPIQQQQNAMGPNATSHHLQNSMLDIRNIHPQPSNNSMDPAIQERHLVDLREIRETGSSNYALSTQQEMKPAASLATTLSSLPPDQLAQLASSLLGQQRQPANMSIATMTEELRQRNSVNESVVPLSRYPNVHFQNNLMNSEPQTSQIVQVPQIQHVQQHQMLNATGGQLMAQREVQSEALGNNHQQVQNSDVRGEAEADPQKRLQATLQLAAALLQQIQQGKGN >KGN47601 pep chromosome:ASM407v2:6:16438253:16442254:-1 gene:Csa_6G363570 transcript:KGN47601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSGNGDGLGESDGGRLLEEIEAISKALYLHKGHTNSIVYPPDVRPGSHLAESKSRFNQGYHNDGESLADETERRSSSSTWNWKKSLKALTHIRHRKFNCVFYLKVHSIEGLPPSFDSHSLSVHWKRKDEVLQTHPSKVFQGMAEFDETLIHKCVIYGGKSLAHNSAKYEHKLYLIYVSLLGAPGLDFGKHWVDLTRILPLTLEELEGDKCSGNWSTSFRLAGNARGASLHVSFSFLVTKDDPMKLSGPENVVQLLKLLHHKSRLANYDANHNSTNLNGLPNPDGNISHSRSVTSTQFYEAGLFDELNPKLELSESISLLYSKMDEADQHKSGHSDSELAEQLESQSNEEQRSDEAIGGSNDPGEFSIIECGIELAGMEDSLDKITVHIPEGSRVETISLDDIIEDDKVGIEIKSNVMLKDAVCDIHVDDSTQDEFDNEENNLKLKVEEVASDELSSDSDHELTSQLVETDSPLAVGELVEHENDTEAKENCARKSLSLDDSYESVANDFLKMLGLEHGSARFSDPDISSPRERLLREFEEESLIFGNPLLDFTATEEWQDFGGVDMEFASENQDEDFDFSPIYITEEVQEEGHQSLKNRRNAKILADLESEHLMREWGLNESDFEHSPHYSSSGFGSPIELPLEKEPPKLSSLGEGFGAILKMNGGGFLRSMGPWLSQNTSIGQSLVIQCSEPVVLPAEMGHDIMEVAQNLALAGTVNLSTLAKKLMPLDDITGKTLHQMVLECPLGTTLLEREPMIEHNVLCSSVPCCERKDIEGLPSHHKDSSLRSLLNSEMHQDLVSPDDIAFRAMEKIETLLIEGLRIQSGLTNDETPARISARPFHCLPACRLRRSNLGSSCSLEGLKELQFMDRPDTTGDVVGLMDLSITLEHWLRLDAGNINDDDQNGQHIMKTLVAHGANYADIIERLSKDINSGISSKELGLFGNKLVVALMVQLRDHLRDYEPVGGPMMCVMEVERFFINTSRDTASETSSVNNGKEPLQTQEDSPQTNPTQEKADQGHFVRAFKISAIHLLGVNSVPNKMQFWATTMQQQLGSRWLLSSGMGRNFKLPLSKSKAIVQYSSLGTKAPTGDILWSISSEIHEGMISGSSGLSLHKRNPDVVIPNQSINLHIRCS >KGN49064 pep chromosome:ASM407v2:6:26437794:26438262:1 gene:Csa_6G511800 transcript:KGN49064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNTNKTLVILMIAMIMACSLIENPTNATSLGYGVIGKGDARCKDGKCEILGDPANNYTRGCEPEEHCRSGSSGSPDQ >KGN49130 pep chromosome:ASM407v2:6:26763608:26765174:1 gene:Csa_6G514910 transcript:KGN49130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCEKCEKKLSKVIVPDKWKEGASNTQESGGRKINENKLLSKKKRWTPYGNTKCIICKQQVHQDGKYCHTCAYSKGVCAMCGKQVLDTKFYKQSNV >KGN46123 pep chromosome:ASM407v2:6:4277228:4286629:1 gene:Csa_6G055930 transcript:KGN46123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRVYQVWKGSNKFILGGRLIFGPDARSLIVTISLITVPVIIFCAFVARNLVHEFKPYNAGYAVLVVAIVFTIHVLVLLFLTSSRDPGIIPRNPHPPEDEIRYESSMPNEHGGRQTPSLQFPRTKEVIVNGVAVRVKYCDTCMLYRPPRCSHCSICNNCVQKFDHHCPWVGQCIGLRNYRYFFMFVSSSTLLCIYVFAMSAFYIKVLMEENKGTVWKAMKESPASVILMAYCFISLWFVGGLTGFHLYLIGTNQTTYENFRYRADSRLNVYNRGCLNNFLEVFCSKVKPSMNNFRAFVQEEVPPPPAPPPLREMGAAEQDDLGGDPRSKVEDDLDIGEDLLKISQRRNIEDINEDIRSRGSTGPQLNVSEVDSSLGSDHRAPTIRSDARHSSWGRRSGSWDIASDVLANANVTESRGYAPSKETRQ >KGN46127 pep chromosome:ASM407v2:6:4311384:4312028:-1 gene:Csa_6G055965 transcript:KGN46127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIFFIGGEKARRVNSLLKLSLMDSILMQLSQPLSLRSTLLNAVRTPPSISSISLGENLHFQRRHFNLDSTKNGGTDTQRDPPFDEPAFEAERSRLDAQARKSMAEASIRDTEGASDDDPKAWKWVIRKRIWDFMESQNVATDTCPVHHCIPDFIGATEAANRVSSPYPGLFFLERNFLVRLFSTGKFDFFCVWLMKWSCISCAIWKYLGIYSA >KGN46612 pep chromosome:ASM407v2:6:7784449:7785915:-1 gene:Csa_6G112500 transcript:KGN46612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTKGNWIKIDQKTTGPGARSSHAIAIVDQKAYSFGGEFSPRLPVDNKLHIFDLHDHIWTVGAASGDIPPPRVGVTMASVGQIIYVFGGRDTEHKELNELYSFDTSINKWTLLSGGDYGPPQRSYHSTTADDRRIYIFGGCGNSGRLNDLWAYDTIDGKWIEYPAAGEGLKGRGGPGLAVAQDKIWVVYGFAGMEMDDVHYFSPEQNKWTQVETKGERPTARSVFCTCAIGKYVYIYGGEVDPSDLGHLGAGKFVGEVYVLDTEALEWSRVEDGLGLEDHPGPRGWCAFAVGRKGGELGLLVYGGNSPSNDRLGDIHFFAPCE >KGN47366 pep chromosome:ASM407v2:6:14594868:14595287:-1 gene:Csa_6G304300 transcript:KGN47366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASGRRGGRPATEGFGWVDVIDDNGSKRSELRTAGNKGVSVCGGVSNEDVVDDNGSKWTERWTAGDRGFRCVRGFQLG >KGN47687 pep chromosome:ASM407v2:6:17000284:17000493:1 gene:Csa_6G376280 transcript:KGN47687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHPRERPEVRKEVVGDPKEEEDSTQNESDSNSVMDRPRRVKLHGQEKGEIFTKEDHKEAKKTTMEIKV >KGN46103 pep chromosome:ASM407v2:6:4109869:4112272:1 gene:Csa_6G052780 transcript:KGN46103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALHSHIERVLWTHDQISARVSDLASQISIAFDGASSPPVFVGVATGAFIFLADLVRRINIPIAVDFVRVESYGFGTQSNGAPTISSDLKVDVKNKHVILVEDIVDTGNTLSCLIAHMEVKGASSVSVCTFLDKPTRRQVNFPLVGEGKFYSGFQCPDYFVVGYGMDFAELYRNLPYVGILKPECYK >KGN48572 pep chromosome:ASM407v2:6:23754397:23756592:1 gene:Csa_6G493310 transcript:KGN48572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVDNSEFSTPLGPPACEKDAKALRFIEETTTNTDSVQQRVLAEILTQNAHTEYVNRFRLNGATDRDTFKSKFPVVTYEDLQPDIQRIANGDRSPIFSSHPISEFLTSSGTSAGERKLMPTIKEEMERRQLLYSLLMPIMNLYVPGLDKGKGLYFLFVKAETKTPGGLVARPVLTSYYKSDIFKTRHYDPFNDYTSPNEAVLCADSFQSMYTQMLCGLLMRDQVLRVGAVFASGLLRAIRFLQLNWKQLAHDISTGTLNPKITDTCLRECITSKYLTNPKPELAEFISSECCTEEWEGIITRIWPNTKYLDVIVTGAMAQYIPTLEFYSGGLPMACTMYASSECYFGVNLNPMCKPSDVTYTIMPNMGYFEFLPHDSSSRAPALSRDSPPRLVDLADVEVGKEYELVITTYAGLVRYRVGDVLEVTGFHNAAPQFRFVRRKNVLLSIDSDKTDEAELQKAVENASSLLREFNTTVVEYTSYADTKTIPGHYVIYWELLVKDNETMNSHPTDEILNQCCLAIEESLNSVYRQGRVADNSIGPLEIRVVKNGTFEELMDYAISRGASINQYKAPRCVNFTPIVELLDSRVTSFHFSPSKPHWTPERRR >KGN47300 pep chromosome:ASM407v2:6:14030322:14033260:1 gene:Csa_6G290810 transcript:KGN47300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGATNIDSDLNDDELAYDLQMEEVIAASLNLLPSTSHSPPPSTSSRPSPFPDDDSENHDAVMLSDDDASVGDDGLDHRLNDLVAGDFINVSDDEWGGYDWMSYRTSVSALKLCNPLFDPEGSRLYFKGLVNEDSVRDETVTVVAVFDPRENLLMEVKSPLETVRERMAINPVVAELMALIEGLEAALVFPLRRVSFFCDDRALYRYITGRLRPKLKEVERLVDQVGLLRGYFSYCEPFLVERNDVKYAFRLANCYPAIETKDSRKFVENCKICYEDRELDQMFTIDDCLHRYCFSCTRKHVEVKFLGGSVAKCPHEGCESVVKVESCDKLLPPNVIEIIQQRLKESSIPFSDKVYCPQPRCSALMSKTEVLEYTKDIHENAEHSGTRKCVKCHQLFCIKCKSSWHVNMTCEVYKKSIHNTQTEDAKLKILAREKLWRPCARCSHLVELSEGCYHIICRCGHEFCYSCGAEWKNKQRTCSCPIWDEENIINDEL >KGN47625 pep chromosome:ASM407v2:6:16563079:16566760:1 gene:Csa_6G365740 transcript:KGN47625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGWSVMSPESHLFQSCNGLQQLAQTCRFKAWFLDQFGVLHDGKQPYPGAVLALEKLAECGTKMVIISNSSRRSSTTMEKLKSLGFDPSLFVGAITSGELTHRYLQRRDDAWFAALGRSCIHMTWSSRGAISLEGLGLRVVDNVEEAEFILAHGTEALGHPSGDSLPMTLEELEKILEQCAAKKIPMVVANPDYVTVEARDLRVMPGTLASKYEKLGGEVKWMGKPDKIIYQSAMSIVGVNASDSIAVGDSLHHDIKGANAAGIQSVFITGGIHATELGLGNFDETADMNSVKALASNYGAYPSYVLPSFTW >KGN47822 pep chromosome:ASM407v2:6:18309464:18312997:-1 gene:Csa_6G405350 transcript:KGN47822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIHRIESNEHIGFYRFAAATLVGVCSGEGRRKRAENLHPPFFPISNSPNSMAALHHSSSTTPVKNGLPPQELIDDLCSRFVLNVPKEDLQSFERILFLIEYAHWFYEDNSVERNPSLKSLNLKEFTSLMFKSCDVLRPYVAHIDDIFKDFTSYKVRVPVTGAIILDETFERCLLVKGWKGSSWSFPRGKKSKDEEDHACAIREVLEETGFDISNLLKKSEYIEVIFGPQRVRLYIIAGVKDDNLFAPQTKKEISEIAWHRLDDLEPASDNVISRGMSGLKLYMIAPFLASLRSWILTHHPPVRPNPDIPIRGLTVWKAKNSSIGSSSTIIMESQISKAEVEVDTGPGKSFRNFKFDTASILQSMERAFS >KGN46830 pep chromosome:ASM407v2:6:9950391:9953314:-1 gene:Csa_6G139790 transcript:KGN46830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIVGVLALQGSFNEHIAALRRLGVKGVEIRKPEQLLNVASLIIPGGESTTMAKLAELHNLFPALREFVRMGKPVWGTCAGLIFLANKATGQKTGGQGLVGGLDCTVHRNFFGSQLQSFESELSIPELVSSEGGPETFRGVFIRAPAILDVGPEVQVLARCPVSSNSNLHSSSSGEGKKEKDSESKVIVAVRQGNLLATAFHPELTSDNRWHSYFLKMAGDVEESSSSIESIGVNNSRFERSKSDLPIFI >KGN49407 pep chromosome:ASM407v2:6:28143023:28153814:-1 gene:Csa_6G523480 transcript:KGN49407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDKEKAVEESVTSRLFKIILSWDYFRLLKNSKKRKENDGDGASLGLKEVKSTYKDVDDYTSTFEPLLLEEIKAQIIQRNEDEEASDWKFRAIMECSEVNGFHFPEMVYLRDEDLKDEDSEKGDFLSPNDLLLLSKEKFQENTKLPTTYAFALVESRQQSKLRLRMYLAGEVTHKDVEAIVSSPRLLKVRSHITSSSKDGIYIYSLKICSLSTIIREYIALWSISSLPFKEMILAATDKNTGKDQAWKISKPLQDYMQENLNESQQAAVQAGLSRKPFVLIQGPPGTGKTQTILGLLSAILHATPARMHSTIGLIETRHGSELPVREKYDHWNQASPWLNGINPRDDLMPVNGDDGFFPTSGNELKPEVVKSNRKYRVRVLVCAPSNSALDEIVLRVQNTGVRDENDHPYTPKIVRIGLKPHPSIKAVSMKELVEQKKNNMSMGKEKSGASGTDLDSIRSAILDESVIVFSTLSFSGSSLFSKWNRGFDVVIIDEAAQAVELATLVPLANGCKQVFLVGDPEQLPATVISTTAKKFGYDKSLFKRFQTAGYPVTMLKIQYRMHPEIRSFPSREFYAESLEDAQDVKLRTTRAWHAYRCYGPFCFFDLHEGKESQPPGSGSWVNIDEADFVLHLYHKLVISYPELKSNSQVAIISPYSQQVKLLQEKFVDLFGMDPSGIVDITSVDGCQGREKDIAIFSCVRASENRSIGFLSDCRRMNVGITRARASILVVGSASTLKRDEHWNNLVESAQKRDCLFKVSKPYTTFLNDESVESMRVKNEPPVGPMGEKDETEANAQQEPNAGDADQAQADDNDFGDGDEEMYEGGFEED >KGN46659 pep chromosome:ASM407v2:6:8222642:8222860:-1 gene:Csa_6G118860 transcript:KGN46659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQMRLTRIELALRKELESYRVEVDSLRHENIKILAHLKDKGNESGAITVKLDNEMSTHVYHSSKSRTGITK >KGN47298 pep chromosome:ASM407v2:6:14015609:14017154:1 gene:Csa_6G290790 transcript:KGN47298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSDTITVTTVSSTPLSQSPSSSSSPAPPPPVILSPCAACKILRRRCAERCVLAPYFPPSEPAKFAIAHRVFGASNIIKFLQELPESQRADAVSSLVYEASARVRDPVYGSAGAICQLQKQVNELQAQLAKAQAEVVNMQCQQANLVAFVCRELAQSHQSFDEFNGSPQSHNGLLQSIHPPSYFDDSNNVNSLWEPLWT >KGN45851 pep chromosome:ASM407v2:6:1714020:1714500:-1 gene:Csa_6G014710 transcript:KGN45851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIVANPRTCKEWRVHISNNISLHDVADVQQHILDISNNNERYMEEIHYIVPPSVRRGHVRVEEVELDEIYHNLKELHPMIQTQS >KGN45907 pep chromosome:ASM407v2:6:2164116:2166426:-1 gene:Csa_6G020210 transcript:KGN45907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISNLLFGNFFYQVSFLHKNNQDHDARKYNELSDLITPKLTFFWLFNISYIVFLFVFSLLSTSAVVYTVACIHTGREISFKQIISIVPKVWKRLVVTFFCVFASFFVYNLLAVFAFILLLFILLVQYGPFGDVNGSIFVVFFILYFIGLLYLSVIVQLSSVVTVLEESYGFKAMVKSKALLKGNMLVATLMLLLINISLVIIQQAFVKLVVHGVWFGILGRGILGIVCLFLLLNFFLWQLVLETVLYFVCKEQHQENIDKSALSNHLQVYLLNGYIPLTPKSVELENREEV >KGN46392 pep chromosome:ASM407v2:6:6094239:6095614:-1 gene:Csa_6G088700 transcript:KGN46392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKVDIINEEIIKPSISTPKTHNHLNFSFLDQLALPVYVPLLLFYSPKPNQIPSISHLKSSLSQTLTQFYPFAGRVNDDNLSISCNDEGAIFVEAEANYSLNDILTHLNVDSLNQFLPFHLANKGCIVLIQTTTFQCGGITVGLLMSHKISDASSISSFVKTWTSINVSGSDNPLFSKPEFVSDSVLPPPNFPVASPTTPDSGIHAKGITKRIVFPHSKIASLKAKAASSTVKNPTRVEAIAALLWKSAVSASRSTSGVSRASVLGQAVNLRKILKPNLPENSVGNAIGFVTPETAVGAELELQSMVGLMREAIEEFKKNGYKKYQDTDAYLSYFKTLMDPDGPYNGEKNFYLCSSWSRFEFYEADFGWGCPVWFIGGISMFSNFFLLLDTKDGKGIETLVSLSEEDMSVFERDEDLLEFGSFNPNVLQVED >KGN47892 pep chromosome:ASM407v2:6:18884442:18894778:1 gene:Csa_6G409980 transcript:KGN47892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDNNRNIIDYNITVAVCPHSLRPPLLFSFILLHSPCLAIVIRKMEGQNGCNNSVRMLSLDFGANVNIVSQVPRDFTNHHHVLPADRNVNQTNIPHLTEWTQAAKTSPAFVEFIAETSSFSRKTSTKDVIAVVPSTVQTINGVSELRGKISYNHLAGSYNSKSTDSRNNARGILSKSRPSSSSKRGYSADRQRKMRIAERLEALLELLPPSKENQASALDDAIDHIKYLQLQIKDLSQSKLGGELSSEPFIFVEGYGHYMNHQEMQSEPLEEMVGKLMEVDPLAAAQLLENKGLFVMPMDFGEGLC >KGN45761 pep chromosome:ASM407v2:6:1010246:1010704:1 gene:Csa_6G009400 transcript:KGN45761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLIPSFFSGRRSNVFDPFSLEIWDPIEGMQFPQTSGETAAIANTRIDWRETPEAHIFKADLPGLKKEEVKVEVEEGRVLQISGERSKEEDERNEKWHRVERSMGKFMRRFRLPENAKMDEIKANMENGVLTVMVPKQEARRPQVKAIDIAG >KGN46161 pep chromosome:ASM407v2:6:4625969:4631542:1 gene:Csa_6G061230 transcript:KGN46161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPDQRRKSSIDVDFFTEYGEGSRYRIEEVIGKGSYGVVCSAYDTHTGDKVAIKKINDIFEHVSDATRILREIKLLRLLRHPDIVEIKHILLPPSRREFKDIYVVFELMESDLHQVIKANDDLTPEHYQFFLYQLLRGLKYIHTANVFHRDLKPKNILANADCKLKICDFGLARVAFNDTPTAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAELLTGKPLFPGKNVVHQLDLMTDFLGTPNAEAIARVRNEKARRYLSSMRKKKPVPFSQKFPHADPLALRLLERMLAFEPKDRPTAEEALADPYFKGLAKVEREPSAQPVTKMEFEFERRRITKEDVRELIYREILEYHPKMLKEFLDGSEPTGFMYPSAVDHFKKQFAFLEEHYGNGAPVAPPERQHASLPRPCVLYSDNMVQNPAQVANDLSKCSIKEVERPPVDRTCNIPLARVPIQVPQSIQAGNGARPGKVVGSVLRYNCGAAAAAVAPEVLEQRRMTRNPSIPPQYAGNNCSYTRRNSSCKNERADEEAIEGPNGLQPKPQYIARKVAAAQGGPGNNWY >KGN47194 pep chromosome:ASM407v2:6:12682514:12684357:1 gene:Csa_6G197240 transcript:KGN47194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKRNLYFALTRPYLSRENERPFLQTIENLSRHLRNCNDLISSISTHSIALKLGFLNNTVNVNHLINCYVRFRSIATAHQLFDEMPNPNVVSWTSLMAGYVDNGQPSTALFLFGEMLRSPVVPNDFTFATAIKACSILSNLRHGEMFHAHVEIFGYGGNIVVCSSLIDMYGKCNDVVKARGVFNSMSCKNIVSWTSMIAAYAQNAHGDEALKVFREFTSLSSEHPNPYMLASVISACASLGRLVSGKVMHGAAISLGCDSSEVVASVLVDMYAKCGSLDYSDKVFNRISNPSVIPYTSMIVSTAKYGFGRKSLQLFEEMVRKGLKPNHITLVGVLHACSHSGLPNEGLYYLTSMYEKYGIMPETKHYTCVVDMLARVGELDKAFDLAKSMDVAPDDKALLWGALLSASRCHGRVDIAAEACQQLVNSNRQVAGAYVTLSNVYASAGDMEKAHKLRVEMKRTGVHKEPGCSWIEIKDSSYIFYAGEITSCPRGDEVLCLLRELDQKMKDRGYVRGRKGLVFVDIEEEAEEEKVWLHSERLALGFGLISIPKGLTIRIMKNLRMCSDCHEAFKLISEIMEREFVVRDINRFHHFKNGCCTCNGFW >KGN47885 pep chromosome:ASM407v2:6:18845834:18858268:1 gene:Csa_6G409910 transcript:KGN47885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLAGVTNSVHSYDVLTRKWTRIRPAGEPPSPRAAHAAAAVGTMVVFQGGIGPAGHSTDDLFVLDLTNDKFKWHRVVVQGQGPGPRYGHVMDLVAQRYLVSVSGNDGKRVLSDAWALDTAQKPYAWQRLNPEGDRPSARMYATASARSDGMFLLCGGRDSTGAPLADAYGLLMHRNGQWEWTLAPGVSPSPRYQHAAVFVGARLHVTGGTLRGGRAIEGEASIAVLDTAAGVWLDRNGLVTSTRGNKGHADYDSSLELMRRCRHAAASVGVRIYIYGGLRGDVLLDDFLVAENSSFQSEISSPVITSERAPIITSSKVNQTNITPSTILPSSDGLENTSAGGTSMDKSSMEKLREASAAEAEAASAVWHAVQAASVEDTSVSDDNSRAAETTSDGSDYEADVRLHPRAVCSHLSRL >KGN47449 pep chromosome:ASM407v2:6:15148633:15148860:-1 gene:Csa_6G326160 transcript:KGN47449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQALTTPPTSPPPCRRPWRCQILAPGRNSGLQLPLNHKPNSSRIHAEDKLQLQVFCSGDLRRLQRFLSNRFHSQ >KGN46052 pep chromosome:ASM407v2:6:3736954:3737822:1 gene:Csa_6G046300 transcript:KGN46052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKMKLLPSLFKNRASPDRPWQWPLCGPSKTPSFRAGPDDHQIFSTLNSIFFDNFFSDPIHTPDSWFATSSLFESARVSLSTEFEDDLELVIRGAKSERLIFEPGETNSILEKSRGVEEGGKCEESIRFEGSVVVLMAMESEDPYLDFRRSMEEMVECHGIRNWEWLEELLNWYLRMNGMKNHGYILGAFVDLLVDLGGGDGSTDSTSIFSDDLIIQRHDRERCDV >KGN49306 pep chromosome:ASM407v2:6:27611311:27612141:1 gene:Csa_6G519580 transcript:KGN49306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGERAICEGSSSGLAITADQQQQQQQAGQAQAGTGAGVPLSRYESQKRRDWNTFGQYLKNQTPPVSLSHCNCNHVLEFLRYLDQFGKTRVHSHGCVFFGQPDPPAPCPCPLKQAWGSLDALIGRLRAAYEEHGGSPEGNPFGNGAIRTYLREVKESQAKARGIPYKKKKKKRNNQMMMMNNTNKQQQLLQQLPNDNNDTNAPLTHIP >KGN47122 pep chromosome:ASM407v2:6:12263135:12263971:1 gene:Csa_6G188150 transcript:KGN47122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSSKICFCIPRHKNGHKADGGSEKSSKSKPSRRKSHRDSKEGKHSSSSDSSGNGNAGEECAASATAVAAVAVTAVTMDALDDDGGSTHVHGGEGGSGAGGD >KGN49111 pep chromosome:ASM407v2:6:26662647:26663251:-1 gene:Csa_6G513750 transcript:KGN49111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSNTSWSNGFHGKSIKDEDFDEEDTWGEFFSERKEKEALIPMSTKLNHFKSSSSSSSSSSSSSSASAWRMQINSVPKMIPKAKTSANQEPKTVKRSSAPLDIPDWSKIYGRNGNMGSWINDEYGLVSDAAARNGFVNDDDEEEDVMVPPHEWIARKLARTQISSFSVCEGIGRTLKGRDLSKVRNAILTKTGFLE >KGN48594 pep chromosome:ASM407v2:6:23879640:23882692:-1 gene:Csa_6G494990 transcript:KGN48594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLPKAYEKEYMRMAMLKHEETFKQQVQELHRLYRTQKTLMKNVEKSRETESWDKRNEICFRQIYEQDAKNYYRSTRTTKLDMEQPAEDEPETNNNGARQIINETELELTLGPSSYNTSDSGTTTYSSSSTGSSHDRRCTDTKQVKGQEMAALGVTENSSGCQNGNNRGEKKMLDYPPWLFQVVSLNMT >KGN46448 pep chromosome:ASM407v2:6:6438343:6439839:1 gene:Csa_6G094170 transcript:KGN46448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVTLAMGPGTDSNDAAMPELKRAQQLKPPLRQQHQQEQNTVVGGVMGSLRVIELQLVAFIMVFSASGLVPFLDLVFPAFTSAYLLLLARFAFPSHGHTSTRSPEIFQASTLFRMYVVVGTTIGLFLPLAYVLGGFARGDEHAVRSATPHLFLLSFQILTENVISGLSLFSPPVRALVPLLYTVRRIFVIMDWMKDVWLNKSLPANAPIKDIGWFWFGRTLAVANFAYFCINLFGFLIPRFLPRAFEKYFKERDDESHAKFHEDKLSSAAAAKSQPSDKKSD >KGN48454 pep chromosome:ASM407v2:6:22958326:22960670:1 gene:Csa_6G487750 transcript:KGN48454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSFQFHFFTASLFCFFSFIVSQQPYAGSTTADCAVTHRSTGNLGYFCNTPNRNCHSFLTFRSRSPFNSVSSIATLLGSDPSELSRVNSVNASATFPPDKLVLVPTTCSCSGQFFQSNVSFTTRTGDSYFVIANETLQGLSTCQSLISQNPNVSVTSIKGGERILVPLRCACPTKNQTDMGFNYLLSYLVVFGDTVFDIAQIFESFGADMGIILDANELQGSSFVNPFTTLLIPLKTEPSSTGMKERNSSPPPPPSLPTSPSPASKRTWVYILVAVVGGVVLAAVIGAVVFFACVRKRKKKTEHTPIEIDSFESTEKTSEKKLDGDSSSITLDSISSVVQSVKAYTFKELQDATDNFSSTHLIKGSVYHGTINGDSAAIKKMNGDVSKQINLLNKTNHTNLIRLSGVCFEEGHWYLVFEYAAKGVLSDWIDSNGSNNDRFLTWTQRIQIAVDVATGLNYLHSFTNPPHVHKDLKMDNILLDDDFRGKISNFSLARSAGWEEGEFTLTMHIVGTRGYMAPEYLENGLVSTKLDVYSFGILIIEMLTGKEVSELHRKENLQLTDLLEKVLDQKDGKEYLNHLMDPSLEGNFPTELAVLVMNIAKLCMNKDPSQRPSMDDIVQSLCRILSSSLSWELPNTSV >KGN48227 pep chromosome:ASM407v2:6:21302079:21309428:-1 gene:Csa_6G449830 transcript:KGN48227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKKANLSRDWKKRREVSERAENKKYRKSGCSFCLWFFSHSPLGVRHRKFVSKPNPQEPYSIFHSPFIPFFFLRLHHLLSIAADYSSTMHSNHLLLEEPIRMASILEPSKSSFFPAMTKIVGTLGPRSRSVEIISGCLKAGMSVARFDFSWGNSEYHQETLENLKAAVKSTKKLCAVMLDTVGPELQVINKTEKSISLQEDAIVVLTPNQELEATSELLPINFTGLSKAVKKGDTIFVGQYLFTGSESTSAWLEVSEVKGDDVVCVIKNSATLAGSLFTLHGSQIHINLPTLSDEDKEVISSWGVQNKIDFLSLSYTRHAEDVRQAREFLSKLGDLSQTQIFAKIETVEGLTHFDEILQAADGIILSRGNLGIDLPPEKVFLFQKAALYKCNMAGKPAVVTRVVDSMTNNLRPTRAEATDVANAVLDGSDAILLGAETLRGLYPVETISTVGRICAESEKVFNQDLYFKKTVKFVGEPMTHLESIASSAVRAAIKVKASVIICFTSSGRAARLIAKYRPTMPVISVVIPRLKTNQLRWSFSGAFEARQSLIVRGLFPMLADPRHPAESTSATNESVLKVALDHGKASGVIKSHDRVVVCQKVGDASVVKIIELED >KGN46160 pep chromosome:ASM407v2:6:4612050:4612313:-1 gene:Csa_6G059230 transcript:KGN46160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSMSSLLFAILVTLLLLSSSFLSLVDAHSSPFKNRHRHRHHRKYLPPPPLCGTVARPPPPPPPKFQWPPNHVKKSPPPPF >KGN49251 pep chromosome:ASM407v2:6:27342998:27343883:-1 gene:Csa_6G518080 transcript:KGN49251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNQSDHQDLLNGWPLGLQVMNSTLRLMADSGTHTLPSAQPSPNLLIPSFSFSSLSSSELDTLSTASFFQDPSVSLGRLIGLKPADKTWLYFPTEEKKSVSANSAGRRVDDSKTKNAGEHEIISGRICIPIVIGVILKMIRSRRNSRKCSVG >KGN48428 pep chromosome:ASM407v2:6:22792092:22793544:-1 gene:Csa_6G487000 transcript:KGN48428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEICNARTRSSLLLVLLILMLHVASCFADSNNAPERPSFWNFIQETVAILKKSHSTPLEKIKSLIHQMQLQFFPPNLDFRSSDETKGGVVDEMKEAVEKSFGASKDAVEESAKSAAKVMEEAVDKVKENLADNKDRVKNKHDEL >KGN49456 pep chromosome:ASM407v2:6:28483851:28487401:1 gene:Csa_6G525420 transcript:KGN49456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGGLYGDLPPPSSAEEDKPTNSTVWSSSTKMAPPTLRKPSSVFAPQTVLRSQSKIKAATSTHPKVSVSTQVEASQPILAEAITQPALVAVTSTVVEEYDPARPNDYEEYRVEKKRKAMEAEMRKELERRRQEEEEREKKEREEREREHSDSRINISGEEAWRRRAAKSGAIPRSPSPPSSVDGFSIGKSETGGLGVGAGGQMTAAQRMMAKMGWKEGQGLGKQEQGITTPLMAKKTDLRAGVIVNANDTKSEKKVKSVNFNGLPTRVLLLRNMVGPGEVDDELEEEVGSECAKYGTVTRVLIFEITEPNFPVDEAVRIFVQFERSEETTKALVDLDGRYFGGRVVRATFYDEERFSKNELAPMPGEVPGFT >KGN48460 pep chromosome:ASM407v2:6:22996995:23006705:-1 gene:Csa_6G487810 transcript:KGN48460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVPLSDVQHVDEEQQHHSDSATKISLFYDGQSSNNCIDLQPPMPNVELNHLPLNLGDPQINTQCDFQPPPQFLPASTHCSSDSYSNYLMDAQKPSCASPDSEFDDANTDNYSTESCLASENSRIVVDTIEDDLPTNSKPEELSVSGPQPMWLEGDESVALWVKWRGKWQAGIRCARADWPLSTLKAKPTHDRKKYFVVFFPHTRNYSWADALLVRSIEEFPQPIAYKSHKAGLKLVEDVKVARRFIMKKLSVGMLNIIDQFHLEALIESARDVVTWKEFAMEASRCNGYSDLGRMLIKLQNMIVQCFINSDWLQNSLHSWIHRCQNAQTAEIIEMLKEELADAILWDKVKSHGDAPVQPTFSSVWKTWKHEVTKWFSISPTLPITKDKEQQTVEAFLATALQVSRKRPKLEVRRAEAHPSLMESKCSDQAMALDIDSGFFNNQNSLNAKLSSESHKGEAREIATSAGSLNTISGRMTGIVAQTGNLDLASCKDVELMPRAEVAAEKSLTYGNKNRQCIAFIESKGRQCVRWANEGDVYCCVHLSSRFTGNSDKKEQTRSVESPMCQGTTVLGSRCKHRSLFGSSFCKKHRPRGETKTESTSVGNKLIEKQQDIYSVEDASNKENPLGVDEGDVTNNGNSSSDKLEHHGKDSIASELRHCIGSCEHIDSNPCLESPKRHSLYCEKHLPSWLKRARNGKSRVISKEVFMDLLRDCDSQEPKIHLHQACELFYRLFKSILSLRNPVPMEVQFQWALSEASKNLGVGEQFLKLVCREKERLKRIWGFDAEDAQLSSPSMGAATSGALLTSGNCGDDMSIRCKICSEEFLDDQALSTHFMDGHKKEAQWLFRGYACAICLDSFTNKKVLETHVQERHHAPFVEQCMLLQCIPCGSHFGNSEQLWLHVVAVHPNDFRLSNSSRRQNSSSGEDSPVKPKQRNIVSKENDNKNVGGLRKFNCRFCGLKFDLLPDLGRHHQAAHMGPGLVNSRPAKRGFNYYAYKSKSGKLGHPRFKKTKAGVSNRIRNRTKASMKKHIQASKLLSTGSVDLQPHVSQLASSRKLTQGSIVAKAFVSEIQKRKLSPTNIDILSIAHSACCKVKFKVLLEQKFGVLPEYFYLKAVELCREKGEVNWNMKGFVCPKGCETYPLLMPHPNGFGDNKNACTPDPVNSKWKDHLSSQQFREKTVVLCEDISFGQELVPVVCVADDGQNVGHSVPWEDFIYIKKPLLDKSLAIDTESLQFGCACPHLLCSSETCDHVYLFNSDYEDPKDIYGNPMRRRFPYDENGQIILEEGYLVYECNERCSCSRACPNRVLQNGVHVKLEVFMTETKGWAVRAGEAIMRGTFVCEYVGEVLDEQEANRRRDKYNSEGNCYFLDVDAHINDISRLVDGSARYIIDATHYGNVSRFINHSCSPNLVTYQVLVESMEYQRSHIGLYANRNIATGEELTFNYRRELLPVGSGCESSSC >KGN48146 pep chromosome:ASM407v2:6:20706035:20712013:1 gene:Csa_6G445110 transcript:KGN48146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERNTIDDFEPGPLPSPRQLDRFGFLKKEHNSSSDALTKNRSTVNEREERRVRKWRKMIGVGGSDWKHYVRRKPNVVKRRIRKGIPDCLRGLVWQLISGSRDLLLMNPGVYEQLVIYETSASELDIIRDISRTFPSHVFFQQRHGPGQRSLYNVLKAYSVFDRNVGYVQGMGFLAGLLLLYMSEEDAFWLLVALLKGAVHAPMEGLYLAGLPLVQQYLFQFDNLVREQLPKLGEHFTREMINPSMYASQWFITVFSYSFPFHLALRIWDVFLYEGVTIVFKVGLALLKYCHDDLVKLPFEKLIHALRNFPEDAMDPDTLLPMAYSIKVSKQLEESKQLYESKHGKEIQDEGDANGKQEQLH >KGN48015 pep chromosome:ASM407v2:6:19804550:19806614:1 gene:Csa_6G425040 transcript:KGN48015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFAATVTATVLVVVTMMTMMPEMALGAVYKVGDAAGWTIIGGVDYKQWAATKTFQLGDVIVFEYNSKFHNVMRVSHEMYKSCNVSRPIETHTSGNDSITIQTRGHHFFLCGVPGHCQAGQKVDINVQRLTSTAAAPEPSALASPSVPIAHTPTAPAPKAAASRVSAGFELLFLALSVLVIGAVA >KGN49349 pep chromosome:ASM407v2:6:27823660:27824045:-1 gene:Csa_6G520470 transcript:KGN49349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVRNEIEELSEIPFEEKVEHCGIKVWEVEGYGINFPSFSNIFTFSNGVQSSYAPDEIEGIGKNGLVTAGMSCYPPSPRPDMAMGLTPHS >KGN48090 pep chromosome:ASM407v2:6:20349947:20357744:1 gene:Csa_6G430660 transcript:KGN48090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERPQILFLIILISSLCFIAESDQFCDAGIGIDKSTCGITSSSKLLIKGGTVVNAHHQEVADVYVEDGIIVAVKRNIKVGDDVAVVDATGKYVMPGGIDPHTHLAFEFMGTETVDDFFTGQAAALAGGTTMHIDFVIPVNGSLSAGFESYVKKAKKSCMDYGFHMAITKWDEVVAQEMEIMVKEKGINSFKFFLAYKGALMISDELLLQGLKKCKSLGALAMVHAENGDAVFEGQERMIELGITGPEGHALSRPAVLEGEATSRAIRLASFVNTPLYVVHVMSIDAMEEIAKARKAGQKVIGEPVVSGLVLDESGLWDPDFVTAAKYVMSPPIRSSGHDKALQAALSTGVLQLVGTDHCVFNSTQKSIGIDDFRKIPNGVNGIEERMHLVWNTMVESGQISVRDYVRITSTECARIFNIYPKKGAILAGSDADIIILNPNASFEISSKHHHSRTDTNVYEGKKGKGKVEVTISGGKIVWENDELRVIPGAGKYIEMPAFNYLFDGIYKADAQYLSSTRAPVKRFHSST >KGN46863 pep chromosome:ASM407v2:6:10232142:10232584:1 gene:Csa_6G147560 transcript:KGN46863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNLYSEDSDQTTVVGFEVPKSPDSTYNNIYVGSEDEARDPPLVPPHLQHTLLSQPASRDAGETLPLPQNVILNHLFIENRETPRSVVALGFTHRFHSKYVTVVLYKPVHRRGSSRA >KGN46150 pep chromosome:ASM407v2:6:4489621:4494348:-1 gene:Csa_6G057160 transcript:KGN46150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMGENNGGAEVLIGKFDTNLVFSDPLPPLAVSNNGLDLNGQQHVDSNQKGKREIVLGRNVHTTCLSITEPDANDESTGDKEAYMASVLARYRKNLLERTKYHLGYPYNLDFDYGALSQLQHFSINNLGDPFIESNYGVHSRQFEVGVLDWFARLWEIEKNEYWGYITNCGTEGNLHGILVGREVLPDGILYASRETHYSVFKAARMYRMDCVKVGTLISGEIDCADLRVKLLANKDKPAILNVNIGTTVKGAVDDLDLVIQTLNECGFSKDRFYIHCDGALFGLMMPFVKRAPKVSFKKPIGSVSVSGHKFVGCPMPCGVQLTRLEHINALSSNVEYLASRDATIMGSRNGHAPIFLWYTLNRKGYKGFQKEVQKCLRNAHYLKDRLLDVGISAMLNELSSTVVFERPRDEEFIRRWQLACEGNIAHVVVMPSVSQEKLDDFLSDLVEKRSTWYDGENVQPPCVAAELGNESCACKLHRS >KGN47649 pep chromosome:ASM407v2:6:16720752:16729398:1 gene:Csa_6G366470 transcript:KGN47649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKMAILSRTHSLIRTTSLNNVCFFKPISTFTYLSQEPQLVNEPVDISLTPLPPNPASGSPLYNENWRNPLNNYSMAQSMIPDGFLSQSPNYRIQALSQTLDVQGLLSVFADWMASQRWEDMKQLFEFWIRSLDKDGKPNKPDVNLYNNYLRANLMSDATPGVLLDLLTRMEDYAISPNTASFNLVLKAMYQARETEAAEKLLERMLQTGEESMPDDESYDLVIRMLLSTYQIDAALKYIDLTSKPGHMLSLKAFSECVRSCVRKGRLDTLVSVIDKCKATVENKALSPTWNSCYDIAIAATQQDNSKLAYYALEFMAQWIARGENARPPVHLSVDEGLVVSTLGTAGRTYSSSLLDAAWSVLKRSLRQKKVPNPESFLGKIYTLASLGNLQRAFSTLREFEEAYRNSDDGSCEDMFSPFTSLHPLVVACSKKGFETLDLVYFQLENLSRADPPYKSVAALNCVILGCANIWDLDRAYQTFEAIGSSFGLTPNIHSYNALMYAFGRLKKTFEAARVFEHLVGLGIKPNATSYSLLADAHLINRDPKSALAAIDNMVTAGFAPSKELLKKVRRRCIREQDYDSNDKVGNLAQNFKIRMGSESRRDILFNLNYGSNYDA >KGN48340 pep chromosome:ASM407v2:6:22132109:22132411:-1 gene:Csa_6G483245 transcript:KGN48340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSEPGGYTPVKDPQSPRMKELAEWAVAEHNKKEGTHLRFISILKCESQIVNGVNYRFTLTAKDESDNCLPGNYMAIVYEQLSEHLKELVFFKQLLLAE >KGN48385 pep chromosome:ASM407v2:6:22446629:22449090:1 gene:Csa_6G485120 transcript:KGN48385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKMNSKKPYFAALLCQTIFAGMSLLSKASYSSGMNTFIFFFYRQAVGTIFLLPLTIYFSRNEMASLSKGDLLKIFMQAFLGFTFGFNAYGLGVKYTSATLGAAAFNCIPVTTFFFAFILRVEKVNTKKASGMAKVGGIMLCITGVAVITFYKGPYLKPLNNVHLFQTQQAHVSSKKEWILGCSLLLLSSLAVGLWFVLQVWVLRTCPSPLVVTFGQTFSSSIQSFVVAIAIERNPSQWKLAWNISLAAILYCGVFVVSIGNYLSSWVVKKKGPVFQAVTTPFNLIVTLIGSEFLFKYGICLGSGIGAILLVLSLYSVLWGKKKEACCHDASNNNSITNSVQVEREILDNI >KGN47256 pep chromosome:ASM407v2:6:13470345:13471778:-1 gene:Csa_6G237600 transcript:KGN47256 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic 7S globulin 2 small subunit MAVPHFPFLLLLLSSLTLFHGQTFSLVIPLTKDSLTNQYVATVFHGSPIKPVHLAVDLGGQSLWMACGGSSSSRSIPSRSIQCIAATGGGRSGSVGGACDVIAGNPFGDLEGKAILVEDTVAVRSLDRSTAAVIVALHSCAPRFLLQGLAKSVKGVLGLGRNQISLPAQIATELGSHRRFSLCLSSTNGVVFPDSGSQDSVYGSEISSSLTYTPILTKKIDALQSPEYFINVKAIKVDGNRLDLNKSLLDLEGVGDGEGGGGTRLSTVVPYTVLESSIFNSLTAAFRAAAAAMNMKEVAPVAPFEVCFESENMEMTAAGPKVPEIELILQSEMVGWKIYGRNSMVKVNDEAYCLGFVDGGLKPRNAIVLGGYQMEDIVLDFDMGTSMLGFSSSLLQRKRSCSEFSPVNLLESTG >KGN47840 pep chromosome:ASM407v2:6:18455349:18458939:-1 gene:Csa_6G406030 transcript:KGN47840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFLLCSKTQMNMKKFISIPIFSVLSLMGFVYYITVFIFIQDWTGLLTSPGLINSFIFTYLASLCLFSFAVCVLTDPGSVPSSYLPDFEESAGSDHDAKNSALQMKQCEKCSTYKPPRAHHCRVCRRCVLRMDHHCLWINNCVGYWNYKSFFVLVSYGTLASLYSTFIIVSCAIRKNWDFDGTLPLKIFYIICAVMMISLSSTLGTLLGWHVYLIIRNMTTIEYYEGIRAAWLARKSGQSYQHPFDISAYKNMTLVLGPNILKWAWPTSVGHLKDGLSFPTLRDTS >KGN45971 pep chromosome:ASM407v2:6:2929072:2930650:-1 gene:Csa_6G040600 transcript:KGN45971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAINNPPKDIALSNYSTSTNNSDDHCHLAGNWNYGSPIVDWETFSGTHNDFLDVFDSTICIENPPFLTEDRDEEDEFKGLRLYHLLTAAADAVLGDHKSCDLAHVILVRLNELVSPSHGTNLERLTAYYAQAFQDLLDSAPVANKTHHHNHHIHQRDDHTPTDVLAAFQLLQEMSPYVKFGHFTANQAILEAVAEDRRVHIVDYDIMEGIQWASLMQAFVSSPSAPHLRITAISRGANGRRSIGTVQETGRRLVAFAASIGQPFSFHQCKLDSDESFRPSGLKLVKGEALVVNCMLHLPHFSYRSPESIASFLSGAKSLSPRIVTLVEEEIGHGPTIDGDYKVQFLDSLERYSAIYDSLEAVIPMKNRARALVERVFLGPRISATLRRIGQRRWSTAEMEDNCLWGEKLEKMGLKTVKISFANHCQARLLLGLFNDGYRVEELGNNKLVLGWKSKRLLSVSIWGSSSSSSSLSDSE >KGN46660 pep chromosome:ASM407v2:6:8223317:8226305:-1 gene:Csa_6G118870 transcript:KGN46660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQKSIDSKFSEYGHGNFGKDVPSQEKQLQISAKKTASRDLQNDNMAIASNCTGSSPLLKEIGTGSDIIKVSGNKRALPVYPASPSHLHSSTSNSANGHLVYVRRKSDADIGKNSSCDNTSIKANYPNLNKLGSLAVTVHLKSQAKELQNHCVQAFAPFPMVSSVNAPRKPSVPHHMGKCGINLAVAESNFHSAPSTFPSVGIPVGWKNLQWEDRYHQLQLLLNKLDQSDQRDYLQVLGSLSSVELSRHAVELEKRSIQLSLEEEVLDLDISNIQRLDNLVINSLKITRERFTLAPEVSNLLHSGLLLGHVSEKSSNRQMQNWSQQHRNWRRRKLSCR >KGN47029 pep chromosome:ASM407v2:6:11567289:11573733:1 gene:Csa_6G176420 transcript:KGN47029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDANVRARFTLGKQSSLNPEREDSHVVTDELDDSIAIDPGIKLMYLANDGDLDGIKELLDSAADVNFHDTDGRTSLHVAACQGRPDVVELLLERGAEVDVQDQWGSTPLADAIYYKNHDVINLLEKHGAKLPMAPMLVQNAREVPEYEINPNELDFSNSVNITKGTFRSASWRGIQVAVKTLGEELFTDEDKVKAFRDELGLLQKIRHPNVVQFLGAVTQSSPMMIVTEYLPQGDLHAFLKRKGFLKLATVVKFALDIARGMNYLHEHKPEAIIHRDLEPSNILRDDSGHLKVADFGVSKLLKFSNRVKEDRPVAVTCLETSWRYAAPEVYKNEEYDTKVDVFSFSLILQEVKFLK >KGN45683 pep chromosome:ASM407v2:6:502980:503468:-1 gene:Csa_6G006705 transcript:KGN45683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFPVGCTEVFFPTIFLHLLSLLDVLKFLILSLFRLLGLPEFLPSDNLPPELQFPLNPPPSALLLRELLPVVKFSDLPNPPERCAVCLYEFEGAEEIRWLTNCKHIFHRRCVDSWMDHDHDTCPLCRTPFVPDEMMDEFNQRLWAASGIAETFETDFNSVLG >KGN47767 pep chromosome:ASM407v2:6:17898281:17901702:-1 gene:Csa_6G401380 transcript:KGN47767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLQPQKTLQVTSNLFPICNFTSIRPSISIPFSSSKTHHQSPLLSSSSRPFSLSPTPRRILCEPPKGKYIHDDYLVKKMTAAEVQELVKGERNVPLIIDFYATWCGPCILMAQELEMLAVEYENNAMIIKVDTDDEYEFSRDMQVRGLPTLFFISPDPNKEAIRTEGLIPIQMMRDIIDREM >KGN47172 pep chromosome:ASM407v2:6:12574368:12575056:1 gene:Csa_6G193580 transcript:KGN47172 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H4 MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >KGN47093 pep chromosome:ASM407v2:6:12081210:12081440:1 gene:Csa_6G186390 transcript:KGN47093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGRRVEVDKDEREGEEVQCLKAEGDGGGGRERRRRVRSEGFEGKKRLKKNKEREEAGNYSGGRIS >KGN48368 pep chromosome:ASM407v2:6:22301834:22306057:-1 gene:Csa_6G483480 transcript:KGN48368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKFRKLGRPAAHRMSMLRTMVSQLVKHERIETTVAKAKEVRRLADNMVQLGKEGTLSAARRAAGFVRGDAVLHKLFTELAYRYKDRTGGYTRFLRTRIRVGDAAPMAYIEFIDRENELRQSKPPNPPPPQRPPLDPWTRSRLSKQFAPPKEIKSDSEI >KGN49467 pep chromosome:ASM407v2:6:28555041:28558196:1 gene:Csa_6G525520 transcript:KGN49467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKFQIRKFLIPFLLLMISEYLQTGDAKINSLFINCGSSSNETADGRKWIGDLISEGNFSVGNLGANINASTATLNGDSVFDPLYKTARIFTNSLNYTFNGVWGNHVVRLHFCPFPFENLNVNDSSFSISANGLRLVSEFSVPNEIAYKNMEFQRSGVNSSSFSLIKEFIISVNSEAFVLEFSPSEGSFGFINAIEIVSLVDELFGGSIDKVGGSAVSLNVSERGTETMYRLNVGGPVIKPTQDSNLWRMWEVDSSYMITANAGSEVHNSSNVTYASTNDSIVAPLSVYETARTMSETEVLEKRFNMSWKFEIHPGFEYLIRLHFCELVYEKARERVFKIYINNRTAVESFDVFVHAGGMNRAYHVDFLEPISSKINTLWIQLGPDTAAGAAGTDALLNGLEIFKLSQNGNLAYIDRFNALEESKGNSKSQILWIGIGAGLASVVFLAVITILIIYFCRIRRRKFTKKNSSGWRGGSSHGATVTNTYARGSVGGGQSVFGTLPSIRVGKWFTLADILAATDNFDEALMIGVGGFGKVYRGEIDDGTLVAIKRANPQSQQGLAEFETEIEMLSKLRHRHLVSMIGFCDEQKEMILVYEYMANGTLRSHLFGSNLPPLTWKQRLEVCIGSARGLHYLHTGAERGIIHRDVKTTNILLDENFVAKMSDFGLSKTGPALDHTHVSTAVKGSFGYLDPEYFRRQQLSEKSDVYSFGVVLLEVVCARAVTNPTLPKDQINLAEWAMKWQRKKLLHTIIDPHLKDKYCPESLKTFGEIAEKCLADEGKIRPTMGEVLWHLEYSLQLHDAWIRTNDAQSSFAVNSEGAQAEEQRLNLDGEEESSNTKASTPTDHSS >KGN45617 pep chromosome:ASM407v2:6:102858:117042:1 gene:Csa_6G000650 transcript:KGN45617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKHLPVSKNSQPESNENELGVSPSGFHFPPPRTPFNIIADPAQFQKEFYDSGFDSNLKFQSTEADFFSDRKSEVSLKINGNACTNSGTPRLSAQGRRVSSEPSSTHSTPAKSSSRVSLGGAIVSTGSKAPQLADGRAGSSYRFSRRISMPNTEFPVDVSHIDLEEDPSFWKDHNVQVMIRIRPLSTIERDSQGYGRCLRQESAKTLVWLGHPETRFTFDHIACEKISQENLFKVAGQPMVENCLSGYNSCMFAYGQTGSGKTYTMMGGIYEVEGKLNEDCGLTLRIFEHLFTRIGMEEKSKQDVKLKYSCKCSFLEIYNEQITDLLEPSSTNLQLREDLKKGVYVENLTEHSVSTINDVVKLLLQGAANRKMAATYMNSESSRSHSVFTCIIESHWEKDSRTHFRFARLNLVDLAGSERQKSSGAEGDRLKEAANINKSLSTLGLVIMSLVDLAHGKHRHIPYRDSRLTFLLQDSLGGNSKTTVIANVSPSFCSANETLSTLKFAQRAKQIQNNAKVNECASGDETALQRQILHLKGQLSFLLKHSNFPRSILSSVPRLEESGVSAPFEDYGALEDRMQTENHKMKLMEASLIGASRREEVANSTIKKLEFEIEHMKRLAFQQEEDGQRTKMLLKFREEKIRQLELFLGGMVSADQYLLEENKALAVEIKMLQAKIDRNPELTRVALENSKLTEQLQVFHNFYELGEREALLAEVAELRNELLVALGKNSTISERDKYQNETMSIKSYTQDDTLSYIAGSEENFENRLGQGSDNELGAKPICSRKDLTDAKMLAESMDLDNHMQAENHECKQFKRSMVENFIKQSDVTKCQNDGNVMNQREDVDNKTLQVKLENLTRELEEVKLSNIHYQENQNQQNQIEDVRQQVEMETASTILQLQEEVETLQLELNDRLHGLAQENTLLKDLLSAKNEEMKMLCIDWETAMVELTSFLLDSSRSIRDAHGQIEGIANLFPEVNVGISEQVQQTIKVCIEKEETILFLHKSLEDARHMVKEMELKLDSLKEATLAFNELEQMHDNITSAGAKPMSTQMTDENIMGEFLDKRLGVKDCPLIEAEISADAAVTAAEWLSQPQELGCCNSIERQMPISKLDVSSQRSSHIFDNLMANANELLLEESDTVSNMIWLGLMELKNITTGHYADMEKHISALHIYIQDLYSEYQELVQDMAREIHELRLKAETSNENYKSLQFFKDKDQSAQKYWNIENQNSILDQIRAKIYEAKNRLNILEDSIDRNIAGCGERYLDQYPMKEDGWSSDCSTSSSEISTENDTSRGKLLDYMNGGEGTTTCLREELYMTYDAIRKLCMQIDAVLMHDIGGNSLSEEMDQGKTPFKLRMEKAEAGCSNNSKVISVEEIKEDGGFLTRFEEAQEAIKEADIMLNALLRANANAKQLTDIFKQAGEQLQIERDNLVDEVGQLKSSMHLKDVENKLLHDQVCFSLEEVANSVSSLEGCISQTQKDVDEKFGIISCDIISFRDEMLKSVSNWKSLLEDIFLEIMGREFASFVIHQCYVKEISWQFAAQFKADPNFLPLKWKRCFESTNASGSTCLTDKEDIMLINKIDKGRTELITDLEEVDGGFSYDDILYEKLALKKELKRKEVLLEGLLFDFRLLQESTAKTKDMEDETDYSLSQLQHELEIKANQLDSVLIQQRKLEGLLTDTEKALFLSNSKLDKAKETMTSISEHNAQLKKQLEDLYLKKIEAEKQLVEQQDVINKLENEILHLTSLEKRSVLSVEDIENDLSRVISERDQLHEQVCFLTDKLDIAYAMADEKEAVATEARQESEASKLYAEQKEEEVKILEHSVEELESTINMLETKVHEMDGEVEKNRTLRESLELEKQILRQRLLAVQNLSEDMDCGAEIVEHAQEQPRQPGNILLELLETKSRIKLLEGERVEQDKEVKRLKEYISELVLHADAQAMKYQQKYTNLEVMVRDASKDHSNPMTAPALDKVEKNSARTRGSSSPFRCISNLVHQMNLEKEHELSTARLRIEELEGLANSRQKEICILNARLAAAESMTHDVIRDLLGVKLDLTKYANFIDQYQVQKMVMEAHQQSQQFREKEREVQDLRTQINDLHEERECYKSVLSKKEAEALHMQIACEKLRERDHLLSSQNGILKTENKNLKKKIVELDERANTLHQTQSSQREHRHAFLTKVQNDELTMRLANSKKLLSRVNDEMARYRIPSGSSSHHRSGGSGKEISHE >KGN45885 pep chromosome:ASM407v2:6:1985308:1986223:1 gene:Csa_6G017010 transcript:KGN45885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMMAHTVLILVTVNLCLDFFRGIVLLLCCCLNSSLLISSHLFSSLLISSHLFSSLLISSHLFSSLLISSHLFSSLLISSHLFSSLLISSHLFSSLLISSHLFSSLLISSHLFSSLLISSHLFSSLLISSHLFSSLLISSHLFSSLLISSHLFSSLLISSHLFSSLLISSHLFSSLLISSHLFSSLLLLRLWKSFLKLLDGVRFSFYVVSFEE >KGN47961 pep chromosome:ASM407v2:6:19386416:19387105:-1 gene:Csa_6G421060 transcript:KGN47961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLQKAARSQGVRLMVSIRQLIRLPIRFYPGTSWFMGDFSGRESSSSSMISPRVVDLKRVSEDFIIFFFLFVFYFRFDATSAIAKGGDRRCSD >KGN48436 pep chromosome:ASM407v2:6:22849320:22854972:-1 gene:Csa_6G487570 transcript:KGN48436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLTIKLHHLHLRSSFLTGSPLLDSRKTLFGSSQHHLSNRTVSQSIGGNFKSIRASSLPNPRRVNSRIEAVAVEASVAETSTKEDIESLLSSGSVGEFDGKRVFKQSNAGDSRISSGVKLENVSKSYKGATVLKNVSWEVKKGEKVGLVGVNGAGKTTQMRIIAGLEEPDSGNVMKAKANMKIAFLSQEFEVSLSRTVREEFLSAFKEEMEIATRLEKVQKALESAVEDLQLMGRLLDEFDLLQRRAQAVDLDEVDVKVSKLLPELGFSEEDSDRLVASFSGGWQMRMSLGKILLQEPDLLLLDEPTNHLDLDTIEWLEGYLNKQDVPMVIISHDRAFLDQLCTKIVETDMGVSRTYEGNYSQYVMSKAEWIEAQNAAWEKQQKEIEQTKDLISRLGAGANSGRASSAEKKLERLQEADLVEKPFQRKQMKIRFPERGQSGRTVVAVKNLEFGFEDKLFNKANLIIERGEKIAILGPNGCGKSTLLKLIMGLEKPKGGEVLLGEHNVLPNYFEQNQAEALDLEKTVLETVEEVAEDWRIDDIKGLLGRCNFKTEMLDRKVSLLSGGEKARLAFCKFMVKPSTLLVLDEPTNHLDIPSKEMLEEAITEYSGTVITVSHDRYFIKQIVNRVIEVKEGNLQDYAGDYNYYLEKNLDARERELEREAELEEKAPKLKAKSKMSKAEKEARKKQKVQAFQQAKAKAKSKGLKNAKRWN >KGN46426 pep chromosome:ASM407v2:6:6287634:6293622:-1 gene:Csa_6G091990 transcript:KGN46426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGIVRQKVGAGASSIPALQSLRPAASASRYYSSAAKEMTVRDALNSALDEEMSADPKVFLMGEEVGEYQGAYKITKGLLEKYGPERVLDTPITEAGFTGIGVGAAYHGLKPVVEFMTFNFSMQAIDHIINSAAKTNYMSAGQISVPIVFRGPNGAAAGVGAQHSQCYAAWYGSCPGLKVLAPYSSEDARGLLKAAIRDPDPVVFLENELLYGESFPVSAEVLDSSFTAPIGKAKIEREGKDVTITAFSKMVGYALKAAEVLSKEGISAEVINLRSIRPLDRATINASVRKTSRLVTVEEGFPQHGVGAEICTSVVEESFGYLDAPVERIAGADIPMPYAANLERMAVPQVEDIVRAAKRACYRAVPLAATG >KGN48304 pep chromosome:ASM407v2:6:21826767:21827078:1 gene:Csa_6G459990 transcript:KGN48304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSHVTLGAYGPCENSDGEHAKEVAQWAVTEYNIKHRHERPYLYLLSVLKCESQVVAGTNWRLGLKCKDENNIEVNCEAVVWEKRWENFRELTSFIVFYPSSG >KGN46772 pep chromosome:ASM407v2:6:9279731:9282107:1 gene:Csa_6G133810 transcript:KGN46772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLASMFNGAPLAPPLHFHSSRHHPLTSPAMLPINNRRSSLRPGNGRAATVRCEGIGIGDFIGGDLLKFDLGQWLSDVEEHKALAIYSPHEGGYEGRYLNRLRYQGYYFLDLSARGLGDPETTLTKIHPVCPAHLGKQPIARWYFPPEVDYRLAALPPNAKGLVVWIIEAKVLSKAELQFLALLPTLRPKVRVIAECGNWRKFMWKPLKEIAGA >KGN49334 pep chromosome:ASM407v2:6:27751300:27753328:-1 gene:Csa_6G520320 transcript:KGN49334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQASDRFNINSQLEHLQAKYVGTGHADLNRFEWAVNIQRDSYASYVGHYPILAYFAVAENESIGRERYNFMQKMLLPCGLPPEREDD >KGN47697 pep chromosome:ASM407v2:6:17162715:17165037:1 gene:Csa_6G382360 transcript:KGN47697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLLLFFLLHFSSLLPAFSAKVSGGHSIVFTTLGRSFYAFDIYTLPADANKNPSLPDEILITDGQYVNFNGYFPSSTSSSSLISLLTNQSHSFTPDFELVYVTERNGISTIFYDAVFGGIGVSARRRSELEIPHRLQIPLLDEEQKNEARVSFKDRPSLSGDYLVYVSTHEDPRESRTSWAAVYSRNLKSGLTRRLTPYGIADFSPSVSPSGIWTAVASYGEKGWAGDVEELSTDLYIFLTRDGSRRVKVVEHGGWPCWADDSTLYFHRRGDDQWLSIYRAILPSHGEISLDSVIIERLTPPGLHVFTPATSSANKNLIAVATRRPDSSFRHIELFNIVTGEFKELTKTVSPNSHHFNPFVSADGTRIGYHKCRGDGNRRKSNLLLFETVRSPVSNLSLFRIAVSFPSFSPAGDRIAYANFPGLYVIERDGSNQREVFSGAAFSTAWDPVRKGVVYTSAGPDFAPVSSQVDIISVNVDEEERNIKKLTTNGENNAFPSPSPDGKWIVFRSGQTGYKNLYIMDAVEGESKGLRRLTEGQWTDTMCSWSPEGDWIAFSSDRENPGGGSFDLFLIHPNGTGLRKLFQSGLGGRANHPNWRPDGKALVFTTDNAGISGEPVSNPHHYQPYGEIYTIKLDGSDLQRLTHNSYEDGTPTWSPRYINPVNVESLYDVEPCGFEDCHWLNQNNKAHNHVSPVLTGPRCSP >KGN48826 pep chromosome:ASM407v2:6:25313759:25318959:1 gene:Csa_6G502640 transcript:KGN48826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLLKRRGSHNNGETDDPSLQDGKIIELKGAMGNLSGRSIKYCNDACLRRYLAARNWDLNKAKKMLEDSLKWRATYKPEEIRWNEVAHEGETGKSFRANFYDRFGRTVLISRPGMQNTNSPEDNVRHVVYLLENTILNLRNGQEQIAWLIDFTGFTLNTNISVKAARGIINILQSHYPERLAVSFLYNPPRIFQAFWKAIRYFIDPNTGQKVNFIYPNNKDSVELMKSFFDMENLPSVFGGKATLTYDHEEFSKMMAMDDIKTAKFWEVNDKPSHNMNGHSGLEVAQEEAIPISVSSA >KGN47133 pep chromosome:ASM407v2:6:12310713:12316751:-1 gene:Csa_6G190240 transcript:KGN47133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGGNEGSFGDELETEIGLLLREQRRQEADDRERELNLYRSGSAPPTVEGSLSAVGGLVGGIAGSANAFAEITGSKNGNGFVSEEQLRSDPAYLSYYYSNVNLNPRLPPPLISKEDWKSAQRLKGANLGLGGIGDTRRSNVGPDNINRSLFSMPPGFNARKQATEVELDKGRGAAEWGGDGLIGLPGLGLGTKQKSLAEIFQDDMGRTTPVTGLPSRPASRNAFDDNVETMGATDELANLRHDLMISEVMRTGANGQSSSAGQSIGAPSSYTYAAAVGASLSRSNTPDPQLVARAPSPCLTPIGGGRVGASEKRNIASPNSFNGVSSGINESSDLVSALSGMNLSPDDTINEEGHLLSQIKQDSNNQQGYAYGLQGGQNHIKQQSFAKKTESGGQPRSSFSDLNDNNGGGPNSSRDRHAELKQSSVPSANSYLKGGSHASSHNNGAQYQHVDGTNLTYQNFGLSGYSISPPLASMMPGQLGSGNLPTLFENVASASALGASGLESRVLGGSLASATNLTSSAPDSHILGKLGGQMSGNALQASFVDPIYLQYLRTSEYAAQLGALNDPSLDRNYLGNSYMNQLELQKAYVGALLSPPKSQYNVPFSGKSGVSNHHGYFGNPAFGVHMSYPGSPMASPVLSNSPVGPGSPIRHNDLHLRYPSAARNLGGVMSPWHLDVGNINESFSSSLLEEFKSNKTKCFELSEIAGHVFEFSGDQYGSRFIQQKLETATADEKNMIYQEIMPQALALMTDVFGNYVIQKFFEHGLAAQRRELANKLFGHVLTLSLQMYGCRVIQKAIEVVDLDQKIKMVGELDGHVMRCVRDQNGNHVIQKCIECVPESAIHFIVSTFFDQVVTLSTHPYGCRVIQRVLEHCKDETTQSRVMEEILGSVSMLAQDQYGNYVVQHVLEHGKSHERSAIIKELAGRIVQMSQQKFASNVVEKCLTFGGPTERQLLVSEMLGTTDENEPLQAMMKDQFANYVVQKVLETCDDQQRELILSRIKVHLNALKKYTYGKHIVARVEKLVAAGERRIAAQSSPHPSLVT >KGN48020 pep chromosome:ASM407v2:6:19831504:19833009:1 gene:Csa_6G425080 transcript:KGN48020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRTTKSSRSQTLPSSPSHSFSSSSSSSDFEFTISVSPRQASTALCPADELFYKGQLLPLHLSPRLSMVRTLILASSSTSSSSDTNSTTASRDSTGSSTESHSSFSSDIVLLGDCDSSRPSSVTEDDEFRARFGINNTKNHKKNHSNPNLQSPMKKSKYFSLSRFSSVFRKESTTKNNNSESETVSGSHVKKISSSAKEVFRKYLNKVKPLYGKISQKHHHQQQHEWKTKSDRSGKEDKLSDMEFSSNNSGKESGSRALSHSFSGNLRYPRRRNVASSCPSSIRSSPSHSGILSSRTGLSSMYPANRVGTTMNHSNNTSSMEELQSAIQGAIAHCKSSMVESKRRTTTTTTRTTMSNEI >KGN49161 pep chromosome:ASM407v2:6:26862690:26864053:-1 gene:Csa_6G516690 transcript:KGN49161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSSSLSRRLHGIFNYSRSPTPRVNKPNPSPPSATKKCNKSTAATPLTSEQGLQKLVKRFIKNSESEKFRRKNDTYFSTVRLLAIHKKFSMIEDIIEAQKKYEEIKEEGFAIRLIKLYGKAGMFSHARKLFDELPELNCERTVKSFNALLTSCANSKEFEQLETMFREVPCANSKEFDQLETIFREVPQEVSIEADVVSYNIVINAYCKMGALDKAILFFNDMEKNGTEPNLVTFNTLLTALYSKGQFLDGESMWARMENKNIAPDLISYNARLQRMVLEKRIQDGIQLLAEMEEKAIKPNVDSYYILIEGFCEDGDLEQAKQWYYKLKDNEVNPNASIYRTLLPLYCEKGDFDSGLRLCKESFDNGLVFLAEEVQRVVDGLIEVSKIEEAKDLVALYNSHSIFKLKIPQST >KGN46089 pep chromosome:ASM407v2:6:4015138:4018693:-1 gene:Csa_6G052640 transcript:KGN46089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTIIRFGIIGAADIARKVSRAIALAPNATLSAIGSRSLEKASKFASDNGFSPHVKIYGSYEAVLDDPEIDAVYVPLPTSLHLRWAVLAAEKKKHLLLEKPVALNVAEFDKILEACEANGVQFMDGTMWMHNPRTAKMKEFLSDADKFGQLKAVNSVFSFAADSDFLANDIRVKPDLDGLGALGDAGWYCIRSILFAANYELPKRVIALPNPVLNELGVILSCGCSLLWEDGKVATFHCSFLSNLTMDVTAIGTNGTLHLNDFIIPYVEKEAYFSTCSKSGFNDLVTGWQPLPSKHIVPTDLPQEAHMVREFSHLVKEIKLNGSKPEKKWPTITRKTQLVLDAVKASLDRGEPVELGQ >KGN49029 pep chromosome:ASM407v2:6:26292625:26294376:-1 gene:Csa_6G510960 transcript:KGN49029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRIDKGELKRKMRPYKTTSQTKDINQIRCGRANHYRLSPSPSVQKHHSKKLENCNEHCSIKSIPYPRSSSTMSAEASHFCSDEGFQTEFSNYRYSSSISEIEEENSTETLDIQGKNVSLLATTALESIREDYSGGCSFSSDALKWEDCVYVGVGKNDSSVDALQWTLKNAVITSTTVVYLLHVFPEIRYIPSPLGKIPINQVSKEQVAIHVAQEESKRKDFLQNFIDSCSAAKVKADTVLIESDMVARAILDVIPILNIRKLVLGVNKSRKLRSRGGSGIANEILQKAAEYCEVKVVCEGKEMNQLGRSPSALSSPRNQDDSFDPNSSITEVEQQRNNSISCMCFKTRFV >KGN45867 pep chromosome:ASM407v2:6:1828939:1829235:-1 gene:Csa_6G014870 transcript:KGN45867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGNRQKKSHSSFSIFSFFKSRRGRKGDHYDHGGAWLDEMPRSNKVWPSDEDKAHHWVAEPGIDRKAKDYIDRIYRNRVFESERQTVTISPNGTKSNV >KGN46604 pep chromosome:ASM407v2:6:7677148:7677591:1 gene:Csa_6G111940 transcript:KGN46604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSENGSRRGCGGGDDKTLHDNVEVTQANQLDAPEEDMTLSVIKETKGDELELVKDDRTLSVIEETQDYDSDVVEDAKTVKGDKTLSVVEETCNDDEQKQGGSVDAISLEELNRKCEEFIRRMKNDIQIEILTI >KGN47797 pep chromosome:ASM407v2:6:18140987:18143169:-1 gene:Csa_6G404120 transcript:KGN47797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTVQAKVSSSCEEGSSKGCKEVGGKEMAVLFIGLYLVALGVGGIKGSLPAHGAEQFDESTPQGRKRRSSFFNYFVFSLSCGALIAVTFVVWIEDNLGWQWGFGISTISIFLSIPLFFAGSPFYRNKIPTGSPLTTILKVLVAATLNRRSNKKPATNAVASLARSPSTTSTPKQLINKDLTENNVTTPTQSLKFLNKAVQNPPFHPSLKCTTQQLEDVKIVIKVLPIFACTIILNSCLAQLSTFSIEQAATMNTKIYSLKIPPASLPVFPVLFIIILAPLYDHLIIPFARSLTRTEAGITHLQRIGVGLLLSVLAMAVAALVETKRKAVADANPDVHGPLPITFLWIAFQYLFLGSADLFTLAGLLEFFFTEAPTTMRSLATALSWASLAVGYYLSSVIVSVVNHVSAKAWHRPWLSGHNINHYRLSNFYWVMCVLSGLNFLHYLFWALKYKYRSTQGNK >KGN49156 pep chromosome:ASM407v2:6:26850639:26852512:1 gene:Csa_6G516640 transcript:KGN49156 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription regulator MAPKRPSPLDEPPAASSSEEEETSSGEEEEGVSRDGSSSEEEEEDEEETQTQTQKPKKPSALPSTSVVEKKPAPKKSEPSTNSQAQFSSSESGSGSDTDSDTESGRNVKPIASKPMDETPKVKKPRSKPSASVTPARPSLKKPGETTRDAKRPKKSSEADADDGVVAEDDSKKSVDESKKLFQRLWSEDDEIAILNGMIDYSAKKGSDPSLDMNAFHDFIKKSLHVDVTKAQLMDKIRRLKKKYRNNADRGKKGADPTFSKAHDQKGFELSKRIWGGEGFLRTPAVDQLKPNANGTPKKNQRGSTSKALASLKAELLPSPDAPKEDDKMKVDDCEVPSGCMDGFVAFERSLGAVGLPESFLKPGLELIGQSKRTELEEEWKKLHLAELELFLKRTELIRDQTKLILDAYKSSSR >KGN46029 pep chromosome:ASM407v2:6:3593289:3597305:-1 gene:Csa_6G045080 transcript:KGN46029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLSPTPTTNFSFHSLKPHFQSSAINISFPLPLSKTKKFLLSSSYLYSTVPLRCSVAVNLASTSTPSTSSLWSPTFGENRHWRVLMERPPSGLNSKPQVIDYYVKALERVLGSEKDAQMCIYDASWDTRFGFCCDIDEQASIELARVPGVISVEPDPNFSSIEKDNGSSTPPLNLKSYSQNGSRVLFPLGNTKHWLVRIDKPGIGVVTKAQMVDYYVEILTKVLGNDKDAQMCIYHVSWQSSFGFCCELDEECARELAGVPGVLSVQLDANFEAENKDYGGNIAKNPSDLPDSSGTHQTTPVKTKKLFITGLSFYTSEKTLRAAFEGFGKLVEVKIIMDKISKRSKGYAFVEYTTEEAASAALKEMNGKIINGWMIVVDIAKPSPRRYGGNRS >KGN47018 pep chromosome:ASM407v2:6:11471797:11476464:-1 gene:Csa_6G169340 transcript:KGN47018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSPSNVARGRLAVLAAHLSAATLESPVMASLLEANCVSARTMLPPPEALKGTLTIVDERTGKRYQVQISEEGTIKATDLKKITTGPNDKGLKLYDPGYLNTAPVRSSISYIDGDEGILRYRGYPIEELAESSTYVEVAYLLMYGNLPSQSQLADWEFALSQHSAVPQGLLDIIQAMPHDAHPMGVLVSAMSALSVFHPDANPALRGQDLYKSKQVRDKQIARIIGKAPTIAAAAYLRLAGRPPVLPSSNLSYSENFLYMLDSLGNRSYKPNPRLARVIDILFILHAEHEMNCSTSAARHLASSGVDVFTALSGAVGALYGPLHGGANEAVLKMLSEIGTVDNIPGFIEGVKNRKRKMSGFGHRVYKNYDPRAKVIRKLAEEVFSIVGRDPLIEVAVALEKAALSDEYFVKRKLYPNVDFYSGLIYRAMGFPPEFFTVLFAIPRMAGYLAHWRESLDDPDTKIIRPQQVYTGEWLRHYIPPKERLVPAKADRLGQVSVSNASKRRLSGSGI >KGN48357 pep chromosome:ASM407v2:6:22205322:22220047:-1 gene:Csa_6G483370 transcript:KGN48357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRFLGLARGDSYESPREIASRATTTSESGESGWLIRFFDSAFFCEWIAVSYLYKHEHSGVRDYLCNRMYTLPLSGLESYLFQICYMMVHKPSPSLDKFVIDMCSKSLHIAMKVHWLLAAELDDSDDTDGISRIQEKCQIAATLMGEWPPLVRPQGESTSLGSKNQVLNKLFSSKQQLFSLVSSPPDRRSMSFSPSSGNNWHEDAGQLSPDENNIFKKFIPSPKVRDAFLFRKSVDKDGDETEKDGFFKRFLRDSRNDDDSGSKIRDTLLFRKSSEKDDDDSERESFFKRLLRDSRGEDEDVTSSSEGFFKRLFRDSKNESLDKIASKPGSREDDEKEGFFRKLFKDKSEDKRDANDRNEDDTNSEEKCSKSREDDEKEGFFRKLFKDKFDDKNDIIEKVEEANGNGEEEEHSDFSLFRRLFRVHPEEAKSMELSENNNIDSLPESSRGTENFFRKLFRDRERSIEDSELFGMKKHNEKHPGSPRQRNEKSNVKPPLPNSTASQFRKGAYHESLDFVHSLCETSYGLVDVFPIEDRKSALRESLAEINLKVAEAQNNGGVSFPMGRGMYRVVHIPEDEAVLLNSREKAPYLICVEVLKSEVPNNMKDPSSAQKLSRGGIPLANGDALLPKPPPWAYPLWTTQEAYRNSTDRMSSSTAQAIDQAMSHKSDAKVKFVSLKLSVEKQLQNESKNTEITDSDPGEIVSSQHGTTDVVHGSGAARGSDLEWVRVVLTADPGIRMQDIEVQGAPRRREHRRVPSTVAIEEVKAAAAKGEAPPGLPLKGAGQDSSDAQPRANGSTPKASDALSGELWSVKKERIRKASEFGKLSGWDLRSVIVKSGDDCRQEHLAVQLISHFYDIFQEAGLPLWLRPYEVLVTSSYTALIETIPDTASLHSIKSRYPGITSLREFFVAKYEENSPSFKLAQRNFVESMAGYSLVCYLLQVKDRHNGNLLLDEEGHIIHIDFGFMLSNSPGGVNFESAPFKLTRELLEVMDSDAEGVPSEFFDYFKVLCIQGFLTCRKHAERVILLVEMLQDSGFPCFKGGPRTIQNLRKRFHLSLTEEQCVSLVLSLISSSLDAWRTRQYDYYQRVLNGIL >KGN47800 pep chromosome:ASM407v2:6:18166850:18170068:1 gene:Csa_6G404150 transcript:KGN47800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLTNLINGKLQKFHNSEIENGNAGFRTTNMRARCSDSQGHGHPTPRFPLFSLTAEVHQSPLPGKAMGKGPGLYSDIGKKARDLLYKDYQSDHKFTVTTYTSGGVAISSTGIKKGEIFLADVSTQLKNNNITTDVKVDTHSNVHTTVTIDEPAPGLKTIFSFRVPDQRSGKVELQYQHEYAGISTGIGLTASPIVNFAGVIGNDKLSLGTDLSFDTASGNITKLNAGLSYTHSDLIAALTLNDKGDALTASYYHLVSPLSNTAVGAELSHSFSSNENTLTIGTQHALDPLTSVKARVNNYGRASALIQHEWRPKSLFTISGEVDTRAIDKSAKIGLALVLKP >KGN45808 pep chromosome:ASM407v2:6:1380910:1384114:-1 gene:Csa_6G012820 transcript:KGN45808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSSLYLFAILVLFASAAALSLAHPQTHRHQFVVFIIFCRHGIRQLRTGWADGPEFVTQCPIKPGRSYTYRFTVQGQEGTLWWHAHSSWLRATVYGALIIRPREGESYPFPKPHREATLLMGEWWDANPIDVVRQATRTGAAPNISDAYTFNGQPGDLYKCSSKDTIIVPIDSGETNLLRVVNSALNQALFFTVANHKLTVVGADASYTKPFTTSVLMLGPGQTTDVLISGNQVPARYYIAARAYQSAQNAPFGNTTTTAILNYKSAPCPAKKGSPTIKPIMPFLPAFNDTATVTAFSRKFRSPRPVPVPTKIDENLFFTVGLGLNNCPRNFKPSQCQGPNGTRFTASMNNVSFVLPSNISILQAFQQGIPGVLTSDFPANPPVKFDYTGNVSQSLFQPVPGTKGYRLKYGSTVQVVLQDTSIVTPENHPIHLHGYDFYIIAEGFGNFDPKKDTKKFNLVDPPLRNTVAVPVNGWAVIRFVADNPGAWIMHCHLDVHITWGLAMVFLVDNGVGQLQSIEAPPPDLPLC >KGN46241 pep chromosome:ASM407v2:6:5169182:5176726:-1 gene:Csa_6G077380 transcript:KGN46241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSDSDSSSIGGHNEYKNFRQTSRDRLLYEMLGAANTENSKPWKVLIMDKVTVKVMSHSCKMADITDQGVSLVEDLFRRRQPLPSMDAIYFIQPSKENVVMFLSDMSGREPLYKKAFVFFSSPVPKEFVNHIKCDTSVLPRIGALREMNLEYFPIDSQAFFTDQERALEDLFGDIENSRKFDNCLNTMATRIATVFASLKEFPFVKYRASKALDDPTEASLRELVPTKLAAAIWNCISKYKTTIPNYPQSETCELLILDRSIDQIAPVIHEWTYDAMCRDLLEMDGNKYTYEVSSKTGGAPDRREALLEDTDPVWLELRHSHIADASERLHEKMTNFVSKNKAAQIQQNARDGGEVSTRDLQKMVQALPQYTEQVEKITLHVEIAGKINKLIRELGLRDLGQLEQDLVFGDAGAKDVINYLRTNQNASPENKLRLLMIYASVYPEKFEDDKALKIMQLAKLSTEDMKVVKNMRLLGGSDSKKASSGHSFSLKFNAQKTKQATRKDRTGEEETWQLFRFYPMIEELIENLCKGDLSKSEYSCINEPPPVTEKAPPKGSQSATSQTGQSTGGPKSMRSRRTANWARSSISDDGYGSDSILKAATLDFKKMGQRVFVFIVGGATRSELRVCHKLTAKLRREVVLGCSSLDDPPQYITKLKLLTEKGISVEAPRF >KGN46997 pep chromosome:ASM407v2:6:11184767:11188973:-1 gene:Csa_6G160680 transcript:KGN46997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASEFCDKDGDDGLYTPPLNFSMVDNGIFRSGFPDSPNFSFLQTLALRSIICLCPEPYPEHNMDFLKSNGIRLFQFGIESCKEPFVNIPDDIIREALKVVLDDRNHPLLIHCKRGKHRTGCLVGCLRKLQRWCLTSVFDEYQRFAAAKARISDQRFMELFDISDLKHLPMSFSCSKR >KGN48157 pep chromosome:ASM407v2:6:20785976:20789697:1 gene:Csa_6G445210 transcript:KGN48157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITFMDSKEKLKEVEKCLDPQLWHACAGGMVQMPPVNARVFYFPQGHAEHSCAPVDFRNCPKVPSYTLCRVSAIKFLADPDTDEVFAKLRLIPINGSELDFEDDGIGRLNGSEQDKPTSFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYSADPPVQTILAKDVHGETWKFRHIYRGTPRRHLLTTGWSTFVNHKKLVAGDSIVFLRAENGDLCVGIRRAKRGIGDGPESSCGWNPAGGNCAVSYGAFSAFLREDDNRLTRSANGMNGNGSLMGKGKVKPESVTEAAKLASNGQPFEIIFYPRASTPEFCVKAALVKAALQIRWCSGMRFKMAFETEDSSRISWFMGTINSVQVSDPLRWPESPWRLLQVTWDEPDLLQNVKRVSPWLVELVSSMSPIHLAPFSPPRKKFRYPQHPDFPLDNQPPVPSFSSYLHGTGSPFGCPPDNNPAGMQGARHAHFGLSLSDFHVSKLQSGLFSIGYRSLDPAAGSTRLSGNVMTEKPSMSENVSCLLTMAHSTQASKKFDGVKTPQLILFGRPILTELQMSQSFSGDTVSPVGTGNSSSDGNGDKMTNLSDGSGSALHQQGLPEGSAGENFQWYKDNCQEIDPNLDIGHCKVFMESEDVGRTLDLSSLGSYEELYRKLGNMFGIDNSETLNHVLYRDVSGAVKHVGDEQFSDFIKTARRLTILTDSGSNNVGA >KGN47856 pep chromosome:ASM407v2:6:18637758:18643990:1 gene:Csa_6G408150 transcript:KGN47856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRLSWFFSCERRLGSVRGYSASTKELQIHGIKHAIAIASGKGGVGKSTTAVNLAVALANKCQLKVGLLDADVYGPNVPIMMNIHQKPDLTEDRKMVPVENYGVKCMSMGLLVENNAALVWRGPMISSALDKMTRGVSWGDLDILVVDMPPGTGDVQITMSQRLSLSGAVIVSTPQDVALMDARRGIKMFSNVHVPILGIVENMSYFTCPHCGEHSYIFGNGGSRKAADEMCITFLGEVPLEEKNRKCCDEGIPIVISEPNSLVSIAYVEIAQKVMTQIEEVDRQKLLHPKVQL >KGN46558 pep chromosome:ASM407v2:6:7262565:7263784:-1 gene:Csa_6G108560 transcript:KGN46558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANSNNMIIPISPRKLRSDLYSYSHSEDYSKIPLVISVVASLIERNMARNHRIARNYTSSKGAVVFDCRDEAPDMSIQCYLERIFRYTKAAPCVYVVAYVYIDRFCQQNPSFRITLRNVHRLLITTVMVASKYVEDMNYRNSYYARVGGLTTKEMNQLEMDFLFLMRFKCHVNLSVFESYCCHLEREVSIGGGYHIERTLRCAEELKSKQNQQTTYINQIARIML >KGN46761 pep chromosome:ASM407v2:6:9170739:9182981:1 gene:Csa_6G133700 transcript:KGN46761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNKTLYNARIASSWRRHELGFLILYALLFYFFIIRHSLQLSREHYVKLYGLRSGWIFPPRLNDLSDAQWRNFRGNLPILTTVFLIYALGANFLRSRFLLRAKGMSIIWLIISFTYLLYLHEACVIFIISIASLNFLIVKIFARTKFFLYLLWTFNLYFLLSNRVYEGYSFSTIGLRWSYLDNFRGTFRWQICFNFVVLRMISFGYDYHWAYDHSCFDQKKHSQRCEVCRSGGTCYQLLQEKGVQDDKFTFTIYLCYLVYAPLYLAGPIVSFNAFASQLDVPQNNYRLRHLAWYGLRWTFSFLLMELMTHLFHYNALAISGLWKQLSPLDVFIIGYGVLNFMWLKFFLIWRYFRFWSLICGIDVPENMPRCINNCYNLEGFWKSWHASYNKWLVRYMYIPLGGSKRKAFNVWIVFTFVAIWHDLEWKLLWWAWLTCLFFVPEMIVKSAVSTFKAESAITEFVVRELSAIAGAITITCLMVANLVGYVIGPSGINSLGSRFLNKQGFPVLGGMFVTFYVGTKLMFHIRDAERKR >KGN47549 pep chromosome:ASM407v2:6:16065201:16066141:1 gene:Csa_6G358130 transcript:KGN47549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGVFRRSLSFPNKPLSSAAAPRPPKPRISRHLRSISLPCRSHPLISSLKDEIANLKSWSSTDNRTAAWLCRGLAAVKLVHDYLDDILQLPQSREAMRRLSATWVESVLEGFLRFIDAYGIFQNLILGFLEEHVAAQVGMRRKEETKVELYKKAKKRMAKETGELGSVVRAGVTVVAEVEVEEEELTAAVKDVMEVTGMVSVAVFEGIGMSLGWRRRNRNWMRKGKKVKLESGIMEFMEMGVKKSEEDEVRMKDLEEWIRSIQDGTQNFFRTLMNTRVSILNALSH >KGN48700 pep chromosome:ASM407v2:6:24583441:24585159:1 gene:Csa_6G498960 transcript:KGN48700 gene_biotype:protein_coding transcript_biotype:protein_coding description:Catalytic MVLEVMELLKEHLPLTQEPFVLSGDLNIGLVLVDVVNGFCTVGAGNLAPKQHNEQISQMVEESARLARVFCEKKWPIFAFRDSHHPDIPEPPYPPHCIAGTDESKLVPALQWLENEANVTLRCKDCIDGFLGCLEKDGSNIFIDWVKKNQIKGILVLGICTDICVLDFVCSTLSARNRGFLSPLEDVIVYSGGCATYDLPVAVAKTLGDAIAHPQELMHHVGLYIARGRGAKVVSEVSTKSF >KGN48961 pep chromosome:ASM407v2:6:26018280:26021246:1 gene:Csa_6G507340 transcript:KGN48961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVHRKRYSRSPSPWKAPSRSRSRSRSRSRPRSRSRSWSRPRSPSRGRSRSRSRGREHANNPGNTLYVTGLSTRVTERDLEEHFSKEGKVASCFLVVEPRTRISRGFAFVTMDNVDDANRCVKHLNQSILEGRYITVEKSRRKRPRTPTPGHYLGLKSTRDSGYRGGDRGSSRYRGGGGSFREDYGYRRSPRRSPYRGAREYSPRHSPPYGGGRSRRDHSRSPPPYSPPYGGSPDRRYPRGSR >KGN48847 pep chromosome:ASM407v2:6:25408976:25409332:1 gene:Csa_6G502830 transcript:KGN48847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRALSTRRCPHRYEFFAEDPTLSLLEGRLKRATSLPTIVLGSGSRKPAFDITFPEFAQAKQKQSKKANKGGHPIFSFFDFRRKRKSTARPEFARYLEYLKEGGLWDLKANAPVIYFK >KGN48522 pep chromosome:ASM407v2:6:23408264:23408870:-1 gene:Csa_6G490860 transcript:KGN48522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPSRDLVTAPFGSRLGVEEENSIIVSALTYVLTDTRIGLDHNDDYSNNHSGTAVLWFPSANDECKKCQFANCLGCNYFEDGNNQNQNVVKDEDNNDNGNKKKGRKKMKGRFRGVRERRRGKWAAEIRDPRRRIRVWLGTFQTAEEAARAYDRAAIEFRGGDRAKLNFPASDYQQNEIPQGNREPNLEEIKR >KGN48501 pep chromosome:ASM407v2:6:23257423:23263061:1 gene:Csa_6G490160 transcript:KGN48501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLMEKTVHFTPELQVLKSQIEFLQILMDQVNELGEDFKLLYSDQALKILIHKGKQLIKECNDAVVRSTLSRYRKIPCYTKKFRRLDAELKRAESNLRFELCVQQIKKLPALNDGRNGRIRDPAKLIVTKILDKILSGESGRNNNKYNIKLPLLFYHDQRLKWKAGAKFVAAFVERAVEHMKDDQKEGIAGRFEEIIKKLGEGRFEKIFHPTFETSIVAGDEKLQISFICNLSTTRERGENETVAAYGVLFVSSAKVAFCSFKPTLRLLSSDVNIQSLIKVVIPLEVLKDVEYDGDQKCIRVIAVDDQKFEFMNFRNYKVAKEGIQRFHSHPFALASSTNSLCLNSWVDTSRKIMTIFSTSEFQEIDFKS >KGN49267 pep chromosome:ASM407v2:6:27428750:27433382:1 gene:Csa_6G518220 transcript:KGN49267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLPLLKLGTLALKTLSKPLGNRLKKQAALHPRFRQFIINVAQMNHRMSTQMQRRIYSHATDVEIRPLDEEKAVQAAVDLIGEVFVFTVAGAVVIFEVQRSARSEARKEEVRRQEIEALRQRDESLVAEMELLKHKLVELEQAAKGRGLGGILNFKHANSESGNSAKPA >KGN47376 pep chromosome:ASM407v2:6:14675873:14676454:1 gene:Csa_6G307370 transcript:KGN47376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNLNPNSSFNSNLGVVSSILIFLFGLFFFSVVLLHADTGSPNVLTTTICPKTRNSTFCKTVLKPVGKSDAALLKVANYTLTFAHTTTVEGLHHAQRLATEATDPLLKQRYSECSRRFDFVTKGLEEAIEALAKGGYIPLSHATGAAVVEADRCVNMFKKPPPEPSKLPEKAKNIGDICDIAVSVSNILTEDY >KGN47660 pep chromosome:ASM407v2:6:16793944:16795240:-1 gene:Csa_6G366580 transcript:KGN47660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSSSEIINKKMFHHRRASKELDVFEAARYFSDYNETSASTSSFGAKFTPKMKKKDKGWIKGRISLDMQVKNILNLPQHFPQHDSYSVEKQVTKEKKYKQPSSPGGRLASFLNSIFSHSSSKKKKSKHFAQSMDEDMEDDESRTSKRRISISHFRTSNATATDAKFIYSSSPRNNNSGFRTPPPHVQTPTKSYKELLSFSKFNRLVKSAEALEKPSMDDKRIRKDKGVVEKQKMKKNNLSNNNCCSEKDRVWVEKNLVGGEMKKKLRKFDHEINKNNTVGGHNNNDDEEDDGGETDSSSDLFELQIYDLDYYSNGLPVYESTDIDSIKRRNSVSNAV >KGN45771 pep chromosome:ASM407v2:6:1078716:1083994:-1 gene:Csa_6G009500 transcript:KGN45771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNPRKEESIARNVNDAADRDNVEEFADSSRVGGASSNVVEVSGGSHASTREINLTERLTDIIVDEGDGDLLLQHSDREDRVIRWLQALDMQVMGACRADERLKPLLKMTTSSGIAEDRLLAQLSQHFEPVEVGILARCFCIPLVSIRVGKIDKQGSLLCPTSSRGNLNLMVVPSSDFRLSFIGDNGQVERLFTLSSRSSSASVTIEEIGSDNSGRSFVIKANDQNIYFWCSEKSKLLGTELLVKMKDLLQRRPSISELTGISESRLGCFATRLRAYLVESTVANHHPASSADSHSSADNIREPSHSLSHFGQPSASSKSMRSRYSSSPAIKANSTHQGSLSPRLNSFKEGLPKTLLSLRDAAREKFRRRGENLALDNHIVASSISTDAFCVNSETQTVDSNCPSSPTSFLESLGKLATPIPGSSSHAPCVVSPLFTPYYCWCPSASSLLQRREEPSQLPIPSVTASSLPPFPSLLPASTPSNLSVPISPLNLVDSPSVDFPALFPEPLVRLPLNTSQQIPTFTPLFCDPIVHVPVIDVCSSGPGYLVSAGPTISTSIPPLHPKLVNPMIPTTDVEKDARETLRLLISSSSQGNSQLMNVLPVVLTDSEANQSLFLTGSRGLYSSARDIDAIASSIASLGIVSLSGQSTSEHVGKRFNVDGLNDHSDDSSDSESSSCSDGDDVLSPSHSNERKSG >KGN48445 pep chromosome:ASM407v2:6:22916419:22916693:-1 gene:Csa_6G487660 transcript:KGN48445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIEIPLLVLDFPKELVGKSDECMVRKKERKRFGAVVVTHGLPVWKLRKANCEILGCSMAEEKCDV >KGN45712 pep chromosome:ASM407v2:6:694518:696735:1 gene:Csa_6G007460 transcript:KGN45712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKRPFRTTCSTDICFAIFISSAIVWIFLCFDYSTFHPSNNGVRGISSSLNPNKLLLKPSPLSARTLDPCSGRYLFIQNIPSRFNSDLITNCQSLTRGTDKSDMCPYFVNSGLGPEIEDSRGVFLNNSWFKTNQFLLEVIFHNKMKQYECLTNDSAMASAVYVPFYAGLDISHYLWNPSITIRDSSARDFLSSISEKPEWKRMFGRDHFFVAGRISWDFRRQTDEVSDWGSKLRFLSESHNMTMLSVEASSWKNDFAIPYPTYFHPSKLSEIVEWQSLMRARQRQHLFTFTGAPRPDLTDSIRGMVIEQCRGSSLCKFIDCSSDGVNCDDPTTTMEAFQSSIFCLQPPGDSYTRRSIFDSILAGCIPVFFHPGTAYSQYLWHFPKNQTAYSVFIPVRNVKKWDESIEGILSGISKDRESSMREEVIRVIPSIVYGDPRSKIGNLEDAFDLAVKGILERVENVRKNIREGRDPSEGFDGPDHFKYTFSNND >KGN46619 pep chromosome:ASM407v2:6:7847141:7854429:-1 gene:Csa_6G113560 transcript:KGN46619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEAFLSHFSVHSSYAHIHISSSLSVTLNSIHLNTKQNSTNLHLLGFLSFFLSFFLSFLNYYPKPLLQNSPLFSSVLERNSPYLAVPEEATLWSENLNSERSEKSIAKMGFMCDFCGDQRSMVYCRSDAACLCLSCDRNVHSANALSRRHTRTLLCERCHLQPSTVRCIEERVSLCQNCDWTGHGSSTLASSSHKRQTINCYSGCPSAAELSCIWSFVLDVPSVNDACEKELGLMSIAETDLTGAWSPSENNAGQRMPGSTEASDVCSREKSNVLVGSSSLIGSRPHTSDQPVELDNVALPKFCCPGTKVAEFCGEDDDLYKEFDMDEMDLNLENYEDLFSMSLNHSEEFFENGGIDSFFEAKGLSFEDSVSHSAVVAEGSSMGVVQQMQPAYSNGASADSVMSTKTEPILCFNSRQAQSGMSFSGLTGESSAGDHQDCGASSMLLMGEPPWCAPGTESSFPSTDRNSAVQRYKEKKKTRKFEKTVRYATRKARADVRRRVKGRFVKAGEAYDYDPLNQEGSISPEDERWIRKGGVHRRFND >KGN47308 pep chromosome:ASM407v2:6:14061398:14062384:1 gene:Csa_6G290890 transcript:KGN47308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDLIQEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVLKPGMVVTFGPSGLTTEVKSVEMHHESLPEAVPGDNVGFNVKNVAVKDLKRGFVASNSKDDPAREAANFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAEILTKIDRRSGKELEKEPKFLKNGDAGMIKMIPTKPMVVETFSQYPPLGRFAVRDMRQTVAVGVIKSVEKKDPSGAKVTKSAAKKGGK >KGN49342 pep chromosome:ASM407v2:6:27779057:27781015:1 gene:Csa_6G520400 transcript:KGN49342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNPESASIQSDEEETHVYSVWALPPEDVSARIENLMKSLRSEFGGPLFEPHITVVGAIRLTAEDALTKFRTACQGIKAYQATVDHVTTGTFFYQCVFLLIHPTTEVVETSSHFCKHFDFKSSTPYMPHMSLLYSHISDEKRKQAKEIADKLDEAVNGLRFPITRLALCKTDTADETLKSWDKIVEHDLSSS >KGN45908 pep chromosome:ASM407v2:6:2174095:2174829:1 gene:Csa_6G020220 transcript:KGN45908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLVKLTNFDPLLDATSFFAQISFDDADVKFTPSKFFIISSHRSPRFIATLQLSPQWFTSFSVDHDHSSKVSLESFHDAILDGGSFASMTIHLLDKTNQIILRFDTPSSEIQPLHHELALSPPQAEDNQIGQHELDEGKYFIVKSKALRRIIKELPIFQNDSSN >KGN48439 pep chromosome:ASM407v2:6:22870567:22871596:-1 gene:Csa_6G487600 transcript:KGN48439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQSPLTCTQFFLISSISLFIFFQRNSLQSSSMAASPRSLRYQFRSSLPDVPPRSPSLSVSFPKLKPADSETTSKIVLQPRLCTLRSFGSDPLVPIKSKRVSTRDDATNDDVSPFFATLSEYIESSKDSHEFEIISGRLAMIVFAATVAMEVVTGNSVFRKMDLEGIEEGLGVCLGAVTLATIFAFSSNARNRVGRIFSISCTTFIDSLIDQIVDGLFYENDTGDWSDDI >KGN48484 pep chromosome:ASM407v2:6:23160984:23164370:-1 gene:Csa_6G490000 transcript:KGN48484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLSLWKNLSHCAAALLMDKKGRRRDGPATGHDSKKNSSILRKLQENKLREALEEASENGSLFKSQDIDESESLGDQDDGGGLGRSRSLARLHAQREFLRATALAAERTFESEDAIPELHESFSKFLTMYPNYQSSEKIDQLRSNEYSHLSSKVCLDYCGFGLFSYVQTLHYWESSTFSLSEITANLSNHALYGGAEKGTVEHDIKLKIMDYLNIPEHEYGLVFTVSRGSAFKLLADSYPFHTNKKLLTMFDYESQSVSWMGQCAREKGAKVYSAWFKWPSLKLCSTDLRKQISNKRRKKKDCATGLFVFPVQSRVTGAKYSYQWMALAQQNNWHVLLDAGSLGPKDMDSLGLSLFRPDFIVTSFYRVFGFDPTGFGCLLIKKSVMASLQNNSGSTGSGMVKITPEYPLYLSDSVDGLDGFTGIEEDGVSENVDKASETRQKSQLPAFSGAFTSSQVREVFETEMDSSERDATSTIFEESESISVGEVMKSPVFSEDESSDNSLWIDLGHSPLGSDTAGFSKHEIASPLPPYWFAYRKNSRQSPKPTSKIYSSPLYDDKEVNSRPGDERNMLSFDAAVMSVSQELDRYKEVGGGKDSVMSPASLSGKKSLEHTDMLEVDKTSKRLSNGLSSCDVKKSHLGNSTSGSQHHNLENGSTSEICSEIKESAIRRETEGEFRLLGRRGNRFAGGRFFGVDESEVQNKGRRVSFGIEDNGKEHQSCNLEPGETSVTSFDDDEGTSDGEYGDGQDWDRREPEIICRHIDHIDLLGLNKTTLRLRFLINWLVTSLLQLRLPDSDGSSRANLVQIYGPKIKYERGAAVAFNVRDKVRGLINPEIVQKLAEREGISLGIGFLSHIRIVDNPRHHKGLNLDDTTLCRPMENGKLSGKSGFMRVEVVTASLGFLTNFEDVYRLWAFVAKFLNPTFIKEGALPTVEEGSEV >KGN45944 pep chromosome:ASM407v2:6:2541807:2544144:1 gene:Csa_6G031440 transcript:KGN45944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYSDKMQQIAAKMGFTLSDFADTLEQERRKVLMFQRELPLCLHLVSHAIDCCRQQLSGTTTENRQSECSEQTSSDMGPVLEEFIPINRNGVSDFEKTEKNNKNHDSDLNNLNLAPSDWLRSAQLWNQTSDPPPLNQDLPENTPVVEVNRNGGAFRPFQKEKTGGGGGGGGASSSSPPAPAAETSSTTETGSGGSSRREEKEAQNQRKQRRCWSPELHRRFLHALQQLGGSHVATPKQIRELMKVDGLTNDEVKSHLQKYRLHTRRPTPTIHNNEGGHAPQFLVVGGIWVPAAEYAAVSTTTSSGEVVSAATTNGIYAPVVAAAAPQPLVSTVQKPKPKPKPKIIPSSAVECNSPTTSSSTHTSSVSPASS >KGN46617 pep chromosome:ASM407v2:6:7831928:7834406:1 gene:Csa_6G113540 transcript:KGN46617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGKMLGRSSLYRNGSFRPENLGQNALALIGNLCFTLFVVGVLIFTIIAATYEPEDPLFHPSTKITTFLTSNSNATFKTDSTVMKTGEDFMAANQTAFATFLNETDIVKIIDAENSALGTATEGNSAECNNNVNDPIDCRDPEVFHLMMETTIERFKDIHFYRFGKPVRGSNDSTCDMAWRFRPKEGKIAAFYKDYRRFVITRSANCSLSIISIGDYHTGVNARKRKKNPKHNFEKKMEQLEQAVSSLPVVGEVVNDSLPVVESEGSFSQGKYLLYEMGGDKCKSMNHYLWSFLCALGEAQYLNRTLVMDLKICLSSIYTSSNQDEEGKDFRFYFDFEHLKESASILDQGQFWSDWEKWQKKDRLGLHLVEDVRVTPMKLADVKDALILRKFGSAEPDNYWYRVCEGETESVVKRPWHLIWKSRRLMDIVSSIASRLNWDYDSVHIVRGEKARNKELWPNLAADTSPDTLLSTLQDKIEDGRNLYIATNEPNTDYFDPLKDKYSTHFLNEYKDLWDKGSEWYTETMNLNNGVPVEFDGYMRVSVDTEVFLRGKKQLETFNDLTNDCKDGINTCNVATN >KGN46163 pep chromosome:ASM407v2:6:4643014:4654614:-1 gene:Csa_6G061740 transcript:KGN46163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQLINFIIRPPRAEYDPNNDLLEDEFTLRGKLYQRKDLEVKNSRGDVLQCSHYLPIVSPEGKPLPCVIYCHGNSGCRADASEAAIILLPSNITVFALDFSGSGLSGGEHVTLGWNEKDDLKAVVEYLRADGNVSLIGLWGRSMGAVTSLMYGAEDPSIAGMVLDSPFSDLVELMMELVETYKFRLPKFTVKFAIQYMRRAIQKKAKFDIMDLNTIKVAKSCFVPVLIGHAIDDDFIRPHHSDQIFDAYVGDKNVIKFDGDHNSPRPQFYFDSINIFFHNVLQPPEDEIGDIYINTMPSYFGNKDYWRAVQEVGPNHGSSTTPRDLPTNSTEGAIKELRSKRSMSRTEVPPDIPSAEHQSQSQSTSNDTDLSSSDMISFELSNGHPYGSNVPNLMDDDQYVEYPLDDLSGFPCSVEEEERMLMEAVMESLKDLKMKNAQDDNQASSVCTNNKDILQKDECGVSRIDHCGVLHPQAPTTPNDHFSQFKAESASTSEEYSISIKPESTSVARDLNSVSDRSCFDKSESFAVPSTAGTESAGASSCTNTPANSQSSTEADLSANTKATVTVVRNPAGHIMDGLIRRWDLNFFRNNQNR >KGN49061 pep chromosome:ASM407v2:6:26428190:26430012:-1 gene:Csa_6G511770 transcript:KGN49061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGISSFWGPVTSTTECCERNYAYSSYIAEFYNTVSNIPTILLALIGLANALRQRFEKRFSVLHISNMILAIGSMFYHATLQKVQQQGDETPMIWEMLLYMYILYSPDWHYRSTMPTFLFLYGAMFAVAHSILRYDIGFKALSVGLAIGVCARRYHIGSSILKDMPCGMFSWV >KGN49307 pep chromosome:ASM407v2:6:27615497:27615832:1 gene:Csa_6G519590 transcript:KGN49307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIDVDRMTVRETDWIGPGGLDGIWFDRMWVTEGGLPTHRHPRYPTFSNLQSQFELGLGPEPEPGSPPTSSKDPTQITL >KGN47399 pep chromosome:ASM407v2:6:14841968:14846870:-1 gene:Csa_6G311530 transcript:KGN47399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVEKEGLKSGGSYVGGFFQLFDWTAKSRKKLFSSKSDVQERSRQGNRSAGNSPLTQVHLIDLDECGGRQSIKGSSDYSCSSSVTEDEGCGVKVPGVVARLMGLDSLPSSHFSDSYFTPAFDTQSLQEPHSHGGSFNYRHDCQIMFSGNLHDQVDDRPPAPAKKPSEPKPQKIMSRPIEKFQTEILPPKSAKSIPITHHKLLSPIKSPAFIPSKNAAHIMEAAAKIIDPGPSATTKSRISLIGSSSAPLKFQAPKEKIDIPQKLPPVRSSSVSLKVKELKEKAEVSHTSTRFLETSRKPIESNASRLLKGQSMNKSWDGSQDSSSFKVLPDVEYGSKNKGKSISLAIQAKVNVQKRENVNTDSHRNFTGQKQHTETKSSQPFKTPASTRKNLHVQSSVSNSSYNQPLKQNNQKQNSNIDRAKLASKNSISSSEGKKPLTGDSSFGHRRNTGRVVVGSKAGARKSSLEISDREKEVLHSNTKNLRRKKRSIDREQRFDKKQATDNMLTDKIQMSVHSNNIADRSSSTLAQECRKKGTDVVSFTFTTPLTRKVPGSDSSGLDSLRSSSIECNAIGENALSALLEQKLRELIDKVESPSLGSIVGESESSCLSTYDHLSPSLDTFDTMSSEPNENNQHSSVCSKLVGQDSFDFSSTDSSSQGLKHESPLVRGIEECSSNSHDPDAGQSLKVRHPSPVSILEHSFSSESCDSSDSNSREGNGLCSSVQGQDVIDIGFSKFNRVEVDTELLDSATSITDETPTSKITCSSISRGTKVRIEWELEYIKDILCDVELMFKDYILGRSHEVINPYLFNILENQNKGSDRSPGESRLRRKALFDCVCECLDLRCRQYVGGGYKMWEKGVGVLRRKELLAKEIWKEVSDWRGMGDCMVDELVDKDMSCWYGRWMYFEVDAFTIGIEIETQILDSLVEEVLADIVTP >KGN48785 pep chromosome:ASM407v2:6:25092874:25095774:-1 gene:Csa_6G501260 transcript:KGN48785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWPSKVNECAPDRNHAMLIRWLVIVLVSIGLLTPTSIARPEVVVASHGAVAADDRRCSKIGMDVLREGGHAVDASVAAALCLGVVSPASSGIGGGAFMLIRSASGKAQAFDMRETAPLLASENMYAGNVASKASGALSIAVPGELAGLHEAWKQHGKLPWARLVQPAERLARLGFKISPYLHMQMVRTESGILGDEGLRNLLTSGGKLLKQGDIVRNQKLAETLRTISRFGVSAFYNGSIGINLIRDIRKLGGLLSMKDLQSYQVKTRVPILSRTMDLEITTMPPPSGGSGVILMLNILAQYKLPSGLLGPLGIHREIEALKHVVAVRTNLGDPDFYNVTEVLSDMLSPKFAEQLKKTINDNKTFHPSHYGGRWNPINDHGTSHLSVVDGERNAVSMTTTVNYYFGAHILSPSTGIVLNNEMDDFAIPANVSANQLPPAPANFIRPGKRPLSSMSPTIVLKNGQLKAVVGASGGGFIIAGTAEVLLNHFAKGMSPFSSVMAPRVYHQLVPNILNYENWTTVLGDHFEVPAETRAVLAKKGHVLEGLAGGTICQFIVQGSSSLRQGDGFGKLVAVSDPRKGGAPAGF >KGN48639 pep chromosome:ASM407v2:6:24192452:24198787:1 gene:Csa_6G496420 transcript:KGN48639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKVSKVVEHEEEKEGEEEELSFEELGLDPRLVRALIKKEIQKPTPIQHVAIPLILEGKDVVARAKTGSGKTFAYLLPLLQKLFTGSSTKKKSGPSAVVLVPTRELSQQVYKEISSLIETCRVQVKVAQLTSSMSHSDLRTALAGPPDIIVATPACIPKCLSAGVLQPTSINESLEILVLDEADLLLSYGYEDDIKAFAAHVPRSCQCLLMSATSSEDVEKLKKLILHNPFILTLPEVGDVKDDLIPKNVQQFSISCDARDKLLHILSLLKLDLVQKKVLIFTNSIDMGFRLKLFLEKFGIKSAILNAELPQNSRLHILEEFNAGLFDYLIATDDSQTKEKEANEEGNVDKRKSRKRAKQKIDSEFGVVRGIDFKNVYTVINFELPPSASGYIHRIGRTGRAYNTGASISLVSPDEMDNFEEIQSFLRADGDTDIIVPFPLLTKNAVESLRYRAEDVSKSVTKLAIRESRALDLRNEILNSEKLKAHFESNPKDLDLLKHDKILSKNPPAPHLRDVPDYLVDPVTQEASKIIKLARAAMGNVQSGRRRGFKRKSRNDKDPLKTFSAEGPKRSRRGGGNREDKNDDQNNRRKKKNSV >KGN45889 pep chromosome:ASM407v2:6:2022449:2022691:1 gene:Csa_6G017050 transcript:KGN45889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSYRIELLIDLGDSEEYNDSSYEDRISSSQIETGLREAIQTSYARRPRTSC >KGN47533 pep chromosome:ASM407v2:6:15966989:15971137:-1 gene:Csa_6G356990 transcript:KGN47533 gene_biotype:protein_coding transcript_biotype:protein_coding description:Catalase isozyme 3 MDPYKYRPSSAYNTPFCTTNSGAPVWNNTAVMSVGERGPILLEDYQLIEKIATFTRERIPERVVHARGASAKGFFEVTHDVSDLTCADFLRAPGVQTPVIVRFSTVIHERGSPETLRDPRGFAVKFYTREGNFDIVGNNFPVFFVRDAMQFPDVIRAFKPNPKSHIQEPWRILDFCSYHPESLLSFAWFYDDVGIPINYRHMEGFGVQAYSLINKSGKARLVKFHWKPTCGVKSMLEEEAIRIGGTNHSHATQDLYESIAAGNFPEWRLYIQTIDYDDQNNFDFEPLDTTIEWPEDVIPLQPVGRLVLNKNIDNFFAENEMLAFSMSLVPGIHYSDDKMLQARSFAYADTQRHRLGPNYLQLPVNAPKCPHHNNHHEGFMNFMHRDEEVNYFPSRYDPCRHAEKFPMPPNVLSGKRERCVIPKENHNFKQAGDRYRSWAPDRQERFVRRFVEALSDPRVTHEVRNIWISYWSQADRSLGQKIASRMNVRPNI >KGN46271 pep chromosome:ASM407v2:6:5342595:5344766:1 gene:Csa_6G078670 transcript:KGN46271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEQESTSCSMPKLITFLSSVLQRVAESNDDLDNNDSATETQKNSAFHGLTRPSISLQSYLERIFKYANCSNSCFIVAYVYLDRFAQKQPLLPINSYNVHRLLITSVLVAAKFMDDLCYNNAFYARVGGISTREINFLEVDFLFGLGFELNVTPTTFHTYSSCLQNEIFLLNPPFTNISILAPPLPPPPPPPQTSLNNKTLFITFQPDHQPSHHQKNQQQQQQLAT >KGN47629 pep chromosome:ASM407v2:6:16591799:16592799:1 gene:Csa_6G366270 transcript:KGN47629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRWPIKTVGPTIPSAYLEGELEDDKSYGLKHLKMENNGKILEWLDTKENGSVIYISFGSLVILPHKQVDELTNFLKNITAAAATATNLSFLWVLRESEMEKLPNNFIQTTSHKGLVVNWCCQLQVLSHSAVGCFVTHCGWNSTIEALSLGVPMVAVPQWIDQTTNAKFVADVWEVGARVKIGSDKGIATKEELEASIQSVFGGDGKNRIKINSMKLMKLAKEAMKEGGSSNKNIQQFVDSII >KGN47036 pep chromosome:ASM407v2:6:11679668:11681191:1 gene:Csa_6G178940 transcript:KGN47036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKHGNKSKAWYKQQKISILIVSAFLILFVLMMLFRKESQEHDILVEATPKQKWDSFNSLVQFNPNREFRNGTDIISQIPDSPKSVLFFAHGCNGKAVNFWDRSPECPSCVGLPEERLIVLHALSKHFAVLTISSSQKCWTLGKELAVVKDIIRWWVEKNKLEKLPLVGLGASSGGYFLSVLATKFKFDSITLMIAEGLYEQMEVTERYPPTLFVHMPKDHFRQQKINENMEFLKGKGIDVAEIECLEFALSPHFLADRIPGLDKSVSAKLFELFQAKGFVDGNGKMLKDGRATRWKEALKASGISLPDNSLTNHIQEELNLAFAYHEMTSLEADKIFTWFESHFT >KGN47780 pep chromosome:ASM407v2:6:17990746:18003215:-1 gene:Csa_6G401500 transcript:KGN47780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGTVLLHEYDNSLFSRKRCKVTEIQHQDPDILSCECKYDCFPLSSQLSTDGRSFSRCRDGASVSSCCIDIDEKNGSYSSVDMSCQLNGTSPDLPECCSSEGSSFRDKGFSGYSFPTCVSGWMYVNEQGQMCGPYIQEQLHEGLSTGFLPDELLVYPVFNGALTNPVPLKYFKQFPDHIATGFAYLSVDISNMGLNGNHSDACKIDLAMHRQEGLVECGNPPTPCHDSQSSPLSFGYENGGSKQASNSELFCLTTSNLPSSVEGSCWLIMDHTGRKHGPYSLLQLYSWHQHGYLKDSVMIYHIESKFKPFTLFSAVNAWKAAIPLPLFSSDLKTNESGSLLKFISETSEGVSSQLHAGIMKAARKVVLDEIVGSIIGEFVTVKKSERQIKVEQTNQIMKVCSLDSRMSEVTRGGDFPADSMPETQGFFSVPEKVSTDVVPVQSLKLVGSIDNFREVHAVICQMLFDYSLQVVWNAVSYDTVAEYSSAWRRKRFWSYRPHYSLASSGYRDRVKKIEKTPAEASLPRKESSLHGVSSLSVSKFKGAQTENCARSAVISLSVPVGHKSSRPTSHSCCERPKEDLKWMVEYLEKELHSSAKVSMAEYIQDILEEEVISSCNASTDVKLDKVALDVSIQCSSTDNYSNSFGELQCDSNDTHGDRNSGELKLALLPEVNLSNDTALNSVANSLYEVFKEICTNEGCAFNEDCAFNEDCNELLAPGLEEHPTFQIPSPACKFRPSSSNKCYSKIEGYIMLAICRQKLHDAVLKEWTSSYKDDLLRQFVSSWIASKKHCNSNRIVEGACDGGEASKVPDKLREGSERFLESSLVTGNYTYYRKKSSKRKLGSSDCATEGSPVVRNQPSEKSRKENISVGVCETTDSEIASLTLKSIAKNKRKKDLSIKATCKRTCAEVTLPSSHSSGKTICGTKKLKFSPPVKDDNAKKDSVKHGKGRMIGSPLMIKNVDQVMNKCDRGVGAQEKLSVNVSKIKRKQKVDEASLLGNKVLTVADDFSKQAASKRVVAQKKKSDKSRKLNISIISDGCARSSINGWEWRRWTLKASPAERARNRGFQYFYSDPIGPDVSTSHLLNGKGLSARTNRVKLRNLLAAADGADLLKASQLKARKKRLRFQRSKIHDWGLVALEPIEAEDFVIEYVGELIRPRISDIRERQYEKMGIGSSYLFRLDDGYVVDATKRGGVARFINHSCEPNCYTKVITVEGQKKIFIYAKRHISAGEEITYNYKFPLEEKKIPCNCRSRRCRGSLN >KGN49045 pep chromosome:ASM407v2:6:26356876:26357070:-1 gene:Csa_6G511360 transcript:KGN49045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQKWGCEASLDHHSGTTITSLKVKDDANKVPPHESSIHVIYGETESTCDDQVRNFNSRIHQLL >KGN49146 pep chromosome:ASM407v2:6:26816870:26817730:1 gene:Csa_6G516540 transcript:KGN49146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLRLIKPLFFILAAAHFIFPTTSQPDFLFRTCSNNSNYTKNSTFSKNLSNVLLSISSNTRFNNYSFYNATSGHNPDRATALALCRGGVPLEQCRSCVYNSTLRITQDCPYQKEAAGWYQDCQIRYSNNSIYGMEDSSPQVFFWNLRTADDPVGFNDALWNLLDGLRKEAALGSSVLKSAWGGEKIRSPSMDTVYGLVDCYPDLSYLGCFDCLRNLQTIIPSCCNASIGVRLIATSCQLNYEFHPIYPLPPTPPPPPYSPPPPPSPLALLSPTSSPKPGTLMGKN >KGN46092 pep chromosome:ASM407v2:6:4030333:4038815:-1 gene:Csa_6G052670 transcript:KGN46092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTQHRCVFVGNIPYDATEEQLIEICQEVGPVVSFRLVIDRETGKPKGYGFCEYKDEETALSARRNLQGYEINGRQLRVDFAENDKGADRNREQGRGGPGLVANAGGPTPHGEASQHQPIGLHIAITAAAVMAGALGGAQAASNQNNLQSATMPNDPLTLHLAKLSRSQLTEVMSGLKAMATQNKDLARQLLLARPQLSKALFQSQIMLGMVTPQVLQKPNLQQSATHPQLPLHESQQGQPSSLQIQPGLPPLAPNRMQTGFVPKKETQSSLMPQNPLAPHQFSASQRPSLQSQIQPSHTLQGSLTGIPGGSSLPSISLQGNISIRQQVQAPSSSSLKQHMRPPPQQYLGHGGALIPGHNTHITNPEAKPSLLPHPSLSDADFQPGPSTAYSTPQIVGSDVDKSSPVPLGVDGKRTMLHGFSGTTNRPVKQIKLEDGKGSSFLAGGLSTSIGTNGSGQLGIASDPNVTGTQLSEKPTSLLPQNVESVLLQQVLNLTPEQLNSLPLEQRLQVIELQHALRRDQMRPS >KGN46835 pep chromosome:ASM407v2:6:9976467:9979360:1 gene:Csa_6G140830 transcript:KGN46835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFVGGNVMSSSDGAVSEHLHKAEDSAGTNHWKKRNLFLEIPSRTTDFVAIKMPPTSSPTPRKVNFVLTPTSSDAIASGSSGPSSSRGKSSIKTLFPKLSFIYRSSSDVEAVSSLVSEASSSSGTHEKSQGLKPLSVATMFTPRSKQASSLPVTPIAHCNSESTHDENKESEQESVRRGSQKRISRSLSLPVNNKSRSIRRTDSFFRVIPSTPRPKEGDMRSSTSTTVERETKEANGEDISEEDAICRICMVELCEGGETLKMECSCKGALALAHQECAVKWFSIKGNKTCEVCKKEVQNLPVTLLKIQSIRTQVFGVARTQQDNVNGYRVWQEFPVLVIVSILAYFCFLEQLLMQVAKMGTSAIAISLPFSCVLGLLSSMTSSTMVKRRFIWVYATVQFGMVVLFSHIFYTVVGVQAVLAIILATLTGFGIIMSGSSIIVEFLRWRSRWGLPMGQQHLDSQRLAQPVQPPIAISSLHNAIPRQHHPVVESLSRS >KGN46010 pep chromosome:ASM407v2:6:3350608:3357652:1 gene:Csa_6G042440 transcript:KGN46010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISGKLYSSYSSSSSCIFPPTPTSTPRGNLHLSFLKISSTLRLISFQSPSLSFPSSFVSKSSAKSTRFSSSLVQVYSYEGQNSITLSDLDDLSENGVVYKKTLAMVECSMFAALNGLVYFLSNSLALENYFGCFFCLPIVISSMRWGISAGRKTMVATFLLLLVLSGPVKALTYLLRHGLVGFTMGSLWRLGANWSTSIFLCTIVRAFGAVGYVLVSSFLIRENILALITINIHASLTLIFTAWGVNLIPSMNAIYAIFGTLVFLNCGCFMFLLHLLYSIFLTRLGLKTSLTLPRWLEKAM >KGN46110 pep chromosome:ASM407v2:6:4167805:4174221:1 gene:Csa_6G054330 transcript:KGN46110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHNSKNQPQLFWPRVVLRKWLNISAKESDYSADTEDDEDLNSSDSDTEDCRVRGRESRFKVDNRDVPLVDANDVLPRLRRRNSETFRTQYINTKEIRICVGTWNAGGKLPPEDLDIDGWIDTNEPADIYVLGLQEIVPLNAGNIFGAEDSRPVARWEDIIRETLNRVRPATTKIKCFSDPPSPSKFKPSDDIPDLEEEILQESDSDIGEEVHPYDEEFFGKENVNGLVGDTNLSVKFPISELSANTKSGIPVEQNLIRQYSSPKRLDRLNCLRTEDSTENDEAVLLQNKRLTKMLSGSERIGLCWPEPPLHLLSHNVLERPNSFKSIRSFKTSKSFVAFNSFKSTMNDMPSGVALLGEIDLESLLKRKRRSSYVRIVSKQMVGIFLTIWVRRSLRRHIQNVNVSTVGVGVMGYIGNKGSISVSMSIYQTLFCFICTHLTSGEKEGDEIKRNADVNEIHRRTQFHPINGVGFPKIIHDHERIIWLGDLNYRINLSYEKTRELISRKEWSKLAESDQLLRELRKGRAFDGWTEGNLSFAPTYKYENNSDKYYGEDPKVGRRTPAWCDRILSYGKGLKLCSYRRTEIKFSDHRPVTATYVAEVEVFCPRKLQRALTFTDAEIENEEIALDVY >KGN47337 pep chromosome:ASM407v2:6:14356758:14363604:-1 gene:Csa_6G301070 transcript:KGN47337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANENSTQQPSSPFDPNKNNLLSFLDFSVVKSWDDVKTKFHQQMVNGKLFKKNFGVCIGFDERSKHFAYELFDVLSKRKKIKPDDGITLQQLKEFWDELKRDDQETRLAIFFDLCDLNDDDKISKEEIETILKWTASANNLKSIENQIENYASLIIKEFDPDGNGSIEKKHLKLLVKELSKSKEANVLKGEVDDEVSLWPDVCTLVRETLEVIKQNRKQIWFSTLWLAINVSLFIWKFNEYKEKKPFDYELINYCTGIAKGAAETLKFNMGLILFLACRRSLTTLKSTFLSSIFPFDDHIFFHMMVGLAISIATFIHMAMHLGCGFPLLSTLSLSYKLKGIIEPSFDHSKKPSNFDLISVPGVTGILMFSIMSCAFILGIHCLRKSSRGTRESCYHIIGFNAFWYAHRLLFLVYPLLILHGYFDSLASDWLNRTTWKYVAIPMLVYTSEGIYTIIKKQIYEVKVLKATVYSKNDLVALRLEKPKRFKYESGSYVYVNCEDIAACEWHPFSITSAPDDEYLSLHIRNAGDWTEKLVERFGKAVEGGKITKIGGIHRQENINDSKWESGEKYPKILIKGPYGAVTQDYKKYKVLLLIGFGTGATPMISILKDILNQIKTHEKEKSTDENGESKFKKAYFYWITRTEESFEWFKGVMNDVAEHDNGRVIEMNNHLSSIKREGDPRSVFVTILQNIQTKIEEIDFISRSRIRARHGKPDWETVFLKLKKEHSGKIGVFFCGDSSFNEVAIKCRKHSDDSTQFEYHHEGT >KGN48286 pep chromosome:ASM407v2:6:21725509:21727556:1 gene:Csa_6G454340 transcript:KGN48286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKLANAGMPLFLCCFSRFHTTTSFAKTTNPKSNVSLYSISALLKLCKTHIDLHQVHAHLIQKGLEQDSFLVTQFISASNSVAHISYSTSVFDRVLSPSTFLWNSLVSGYCAKLQFVDIISLYVRMKREDGAPDRYTFPSLLKVCASEGKMMEGMALHGSILRCGVDEDIYVTTSLVNLYGKGGLIDCARKVFDGMSERNVVSWTAMIVGYSSIGNLVEAKRLFDLMPERNVASWNAIIGGYMKMGDVKSAEKAFDEMPEKNVVSFTTMIDGYAKAGDMLSARNLFQKAPERDIIAWSALISGYTQNGQPNEAVKTFLEMSSRNVKPDKFVLTSLMLACSQLGNLDLAKWVDSYATRCSVDLRGAHVTAALIDMNAKCGNMERAMYLFEKMPKRDLISYCSVMQGLSIHGHGDQAVSLFERMLGEDLTPDDVAFTVILTACSRAGLVDEGWHYFEMMRCKYSMVPSVDHYACIVDLLSRSGRLKEAYELIKSVPVQSHAGAWGALLGACKLYCDSELAEVVASRLIEIEPENAGNYVLLSNIYAAADRWLDVSATVNIIAMLLLVFNNEKG >KGN46251 pep chromosome:ASM407v2:6:5223148:5226438:1 gene:Csa_6G077970 transcript:KGN46251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQKVADLHLLENGQERFQSSSLEDGALTDQNDKTTANRRKVGGWNCGMLLLVNQGLITLAFAGVEVNLVLFSKSVLRQTNAEAANMFSRWMGTTYCFSLLGAFLSDSYLGRYLTCVIFQLVFIVGLIALSLLTHISLLKPNGCGKIGQLCDPHSLTELSIFYISIYLIALGNGAPEPALATFGVEQFDDKDPKENRAKTSFYSYFYVSINMGCLIAETILVYIENLGHWMVGFWICAVCGLLAYLLFLSGTPRYRQSKPCGNPISRFSQVLMASVKKMNLPMPSNGEGLYEGLGKKGTRRMLHTEGFKFLDRAAILTAEEANLICNHGQTPNPWKICPVTQVEEVKCILRLLPVWLCTIFSSVVFIQMISLFVEQGAAMDTLVVSNFHIPPASMTAFDIVSTTMFIMLYDRLLVPLYVRIAKRKPKAPNELQRIGIGLAIAIVALVIAGFVEQKRLKHAGVSGKEISSFSIFWQTPQYVLVGVAEAFVYVAQMDFFTSQIPEGLKSLGMGLSMSSTAMGSYVASLILTTVMAITKTNGNPGWVPPNLNDGHLDRFFFLSAALTAFNLALYIVCAKQYKGSIIEKQDGDQEEEPDREFK >KGN47469 pep chromosome:ASM407v2:6:15352980:15353273:-1 gene:Csa_6G337520 transcript:KGN47469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAKLPSFIFSLLIALIFLNLLSKFAAQNLHSSLPRPPANNKQFTEKPWESLLRPPSRPPSRPPGSDHQWPNQPIRISPPPPF >KGN47985 pep chromosome:ASM407v2:6:19534637:19535612:1 gene:Csa_6G421790 transcript:KGN47985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKEDFVYLLDWASVLQEKGSLLELVDPTLGSDYSSEEAMVMLNVALLCTNASPTLRPLMSQVVSMLEGRTPVQALLSDPGFSAINSKLKALRNHFWQQLSPTHSLSLDDFPSDSLSSNVDLEAEENYNLVRSNSINKTGNKN >KGN46879 pep chromosome:ASM407v2:6:10333887:10334241:1 gene:Csa_6G148210 transcript:KGN46879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASSFSQVEVARSLQDLTFDQIYIEIQLMLIICWWGLGDSVYLSSVKRVKTNSLQLEEGRAVWPWTRQNL >KGN47234 pep chromosome:ASM407v2:6:13096054:13098827:1 gene:Csa_6G223490 transcript:KGN47234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTEIAVDTILIKEYGNEDANQHPFLHHLTAQAATAAANFKRQKRHRVSRECVLPSRRRSLGHIVSHAVALTFLPLRLGFKFLLCLWIGYKWIARFVSLLCYVAFISPGLLQVAYYYIYCGQIRKNIKYGDQPRNRLDIYLPKTRHGQKPKPVVVFVTGGAWILGNKALGYLLGSQLSERDIIVACLDYR >KGN45933 pep chromosome:ASM407v2:6:2382218:2391659:1 gene:Csa_6G024410 transcript:KGN45933 gene_biotype:protein_coding transcript_biotype:protein_coding description:Epsin-2 MKKVFGQTVRDIKREVNKTVLKVPRLEQKVLDATSNEPWGPHGSLLAEIAQASKNYHEYQMIMAVIWKRVNDSGKNWRHVYKGLTVLEYLVAHGSERVIDEIKDHAYQLSGLSSFQYIDSSGRDQGTNVRKKSHSLVVLVNDPERISEIRQKASVNRDKFRSPSLASGVHRPGYGDRYDDDFHEGRYGSRDEDRNSNGNGREREYDYRDDDRGRNSNSNRRDGDHYSRDGEERHGRDSPRDGDNWGRRSVDDHQYGAKRDQDRDRDDSARDGSGRGDVRSPDVRHHDHKFSEQDTGAPPSYEEAVSESRSPPAHSERDQESSTSTPATSSAPPTSAPSQSSPVHGAPTAAGQLIGNFDEFDPRSSVTAAPTIAPNNVEMDLLGSLTDFPSNPMAIMPTASANVTGAFEPDSHIHSGVGTPQTTTPIASINNQSFEDPFGDTPFKAMPSDDGAPQFHSSAMTDPLQSSLHQNVGQSHPSTVIDPLQSSLHQSHGQSHPSTMTGSFQSSMNEHLGQSQGMIQETNQVSNSEYGNVFSASTGASGLSCLQAPSEPQFLQGPSTIPDPEIDILADILPPSGPPSNTSSLATYSFPSDQRALPTPFSDPGQPTQQNFTALSNQPSEPNGSSFLNVQSQPGATASLNSNMAFQPQNAPTVQFDYGAPQGGSTKPTGEVGYGASQGGTTVPTGQVGYGPPQGGFAAPSGQCGYGPPHGGSAAPTGQFSYGGGPQGVPAAPTEQFGYGEGPQGGSSAPFYTQMASASSPNSQANSGSYFVQNGGCAAPVTSQTSQQNPSGPIVPLGSGNVQHHGFPAPPLASQGAYQVPNGHAAQQKSDDFLGSILPQAAPPQVPSQQGFPTSMGSLSIVSQPSKGKFETKSTVWADTLNRGLVDLNISGPKTNPLADIGVDFDAINRKEKRMEKPTTTAVTSTITMGKAMGSGSGVGRAGASALRGPPNPMMGSGMGMNHAGMGGPYGGTNQPMGMGMGVGMGMGMGMNNTGVNPGMGMNPGMGMGMGMNPGMGMNPGMGMNPGMGMGMGMGMNPGNMGMGQTMQFQPPGAFQPGSNASGSYNPMMGGYAPQQSYGGGYQ >KGN49428 pep chromosome:ASM407v2:6:28258820:28259218:1 gene:Csa_6G524650 transcript:KGN49428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYFSCCSHQFQKVKRWSFGSSRLGCTAKGMHMRRIMLNSHEHLEFILKPTSSHAVLFEGFPRVLLGFPTSSPHVFYLYTFSDYLLPLLQFEPFYFVGTS >KGN45895 pep chromosome:ASM407v2:6:2074948:2078665:-1 gene:Csa_6G018600 transcript:KGN45895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCAQTKHSVNSPAGGVEKLKLDNGYAGIDGFVAHRRSVGQRRFVGRESSEAERPHGGGNDQFGSDNSELVDGWPKWLTSNIPKHVLAGLVPKSVEAYDKLDKVGQGSYSNVYKARDRETGKIVALKKVRFDTTEPESVKFMAREIMILLKLDHPNIVKLEGLATSRMQFSLYLVFDFMQTDLARVISHPDVRLTEPQVKSYMHQLLSGLRHCHDKGILHRDIKGSNLLIDKNGMLKIADFGLAIFFSPKRHLTNRVVTLWYRAPELLLGATEYGVGIDLWSAGCLFAEMFTGRPILPGRTEVEQLHKIFKLCGTPSEEYWRKLKLPPTFRPPQSYRPSLRESFKHFPSSSLGLLNTLLALEPSYRGSASSALDNEFFYTSPLACSLSDLPIIHSEPDVPDKTNQQKSRTAKVTRRSHTHRERRRKDLTAGIPKEESNLPKQLSQQRGDDDEQNEERGSTTTSTSSSVNNGVRKGSTMSSTSSSVNTGGRKTTSTSSSVNTGGGTGSSHFLLSPISYSNRKSSRIQGHLQVTNNLNLPPLPKTREYSSFNIKDHHGAHITGQVRRSVSTRDFRNLERKEHLKLYAVDD >KGN47091 pep chromosome:ASM407v2:6:12069206:12072009:-1 gene:Csa_6G186370 transcript:KGN47091 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavoprotein wrbA MSTTKIYIVYYSLHGHVGTMARRIQQGANSVQGVEATLWQVPETLSDVILKKIKAPPKADDVQEIHPEQLVEADGFLFGFPSRFGVMAAQFKAFFDATSEIWQSQALAGKPAGIFWSTGFHGGGQELTALTAITQLAHHGMIFVPLGYTFGSKMMEMNEVKGGSPYGAGTFAADGTRQPTELELEQAFYQGKYVAELTKKLKN >KGN48062 pep chromosome:ASM407v2:6:20154306:20158203:1 gene:Csa_6G426970 transcript:KGN48062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKLDTRFPAARIKKIMQADEDVGKIAMAVPLLVSKALELFLQNLCNRTYEITLKRGARTLNSLHLKQCIQTFNVFDFLRDVVGKVPDLGGSDAVEDRHISKRRKVADEDGGNDSDEESKRSKMTEAGHCISGRGRGRGRGRGRGRSSRHLEKDTAIHYDNFEDDLEIPDHLDDDLHKTEPDNGVAEARVENDNTLVDKALEPSVRNFDLNVNLDEDGDSTSIPVAASTGSPVKPPTDSKHDEYPGWSVAEMEKMAIDPIQLANINETIDDEEDYDEEG >KGN47090 pep chromosome:ASM407v2:6:12067476:12067925:-1 gene:Csa_6G186365 transcript:KGN47090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGRMESLWRAATGQDPSPEDYKGVEFWTSPERAGWLNKQGEYLRTWRRRWFVLKRGKLFWFKDSIVTRASIPRGVIPVNTCLTVKGAEDILHKPCAFELSTTGQDTMYFIAESEREKEEWINSIGRSIVQNSRSVTESEVVDYDNRR >KGN48137 pep chromosome:ASM407v2:6:20673970:20677368:1 gene:Csa_6G445020 transcript:KGN48137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMSGIDFSVSSNCIGEFGDHHRHQQQTSQLSHNHHHHLLRLNPNPIPNPIIQNLDSSSASSLPPSLPKKKRNLPGKPDPDAEVIALSPNTLMATNRFICEICNKGFQRDQNLQLHRRGHNLPWKLRQRSSKEAVIVKKKVYICPEKCCVHHDPSRALGDLTGIKKHYSRKHGEKKWKCEKCCKKYAVQSDWKAHSKTCGTRDYKCDCGTLFSRKDSFITHRAFCDALAEESAKLISSVTSPNSRLNFIASNNNSIIKSQFQDHNFESSPYIPQILPPTNNLFQISNQVLSLNNKNNNHNPSKTAIMNLTLSSFTHKQQQQQQSVAAYDNSPSFCSATGGGGGGMSATALLLKAAQLGSTKSNDNSFCSRSNSVGVVMSSSSSSNNISVENGNYFGGKGNGLKDFFGRKESGDELIIPLMESEMTKFASSMSSEMGFISQFIGNNSLR >KGN46572 pep chromosome:ASM407v2:6:7380744:7385913:-1 gene:Csa_6G109670 transcript:KGN46572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTGDYINIQPSELKFPFELRKQSSCSLQLTNKTDKYVAFKVKTTNPKKYCVRPNAGIILPSSASNITVTMQAPKEAPPDMQCKDKFLIQSVVAPDGTTSKDISAELFNKGDGKVVDEFKMRVVYIFANPPSPVPEGSEEGSPPRTTGVDDGSQNFALFDAVSRSLEEPKEKSSQAWSNVSKLTEEKDAALLKNHKLRQELELLRKEASGRQGGGFSVLFVVLVGLIGVLIGYLVKKT >KGN49021 pep chromosome:ASM407v2:6:26258649:26263224:-1 gene:Csa_6G510880 transcript:KGN49021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQCLEGIKHFCASIANCCDADTSRQPRGLQDPEALARETVFSVSEIEALYELFKKISSAVIDDGLINKDEFQLALFKTNKKESLFADRVFDLFDTKHNGILDFEEFARALSVFHPNAPIDDKIEFSFQLYDLKQQGFIERQEVKQMVVATLAESGMNLSDDVIESIIDKTFEEADTKHDGKIDKEEWRNLVLRHPSLLKNMTLQYLKDITTTFPSFVFHSQVDDT >KGN46007 pep chromosome:ASM407v2:6:3323752:3333032:1 gene:Csa_6G042410 transcript:KGN46007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSEDSSIYDRVVNAIFDEESLVMKDDRHDCGTATIQYTDLGTEVRDHVIDATREMFRLHCAKHLEMSSMYLLDSSTQINRNTVKLLSHGGDILELCHELRLPFLNWLVFSQKSSFKRYDISYVYRRAIGHSPPNRYLQGDFDIIGGTSALTEAEVIKIKRFKCGSPSVASKKRGSIFSIVSNSPSRDCGHYFIFF >KGN48897 pep chromosome:ASM407v2:6:25683502:25683898:1 gene:Csa_6G505260 transcript:KGN48897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKTLTLHTTLNLSFYILFISPFPPLHYSSFKLHNPPPDLLISSSPDTTPTTTSTTVSPPSPSVASASVFVFLPRFLITVSAFKILDN >KGN48930 pep chromosome:ASM407v2:6:25870631:25875675:1 gene:Csa_6G507050 transcript:KGN48930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLEATMICIDNSEWMRNGDYAPSRFQAQADAINLICGAKTQSNPENTVGVLTMAGKGVRVLVTPTTDLGKILACMHGLEIGGEINLAAGIQVAQLALKHRQNKKQQQRIIVFVGSPVKHEKKLLEMIGRKLKKNNVALDIVDFGEEDDGKPEKLESLLAAVNSNDTSHIVHVPAGPNVLSDVLISTPIFTGDGEGGSGFAAAAAAAAAGGVSGFDFGVDPNLDPELALALRVSMEEERARQEAAAKKAAEENTKQEKGAEQPSGSQDATMTERSGLATSDAENKAADLMDDENALLQQALAMSMDNPSGTSDIRDIDMSEAASDDPELALALQLSVQEGSSDSSSQTDMSKLLADQSFVSSILASLPGVDPNDPSVKDLLASMQSQAEDKKDEEKSSKEDEK >KGN46880 pep chromosome:ASM407v2:6:10334346:10334608:1 gene:Csa_6G148220 transcript:KGN46880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGNGLKEMSCESFKKHGFALFKARRDMSLLKSLIWIILRDTLLNVLSRMEKSVPSWNKRNLASRVRSLGNREGFRSKFKPE >KGN47721 pep chromosome:ASM407v2:6:17425080:17425325:-1 gene:Csa_6G384560 transcript:KGN47721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESWIRSMTLHYKKLGFFQHRHTLAYILESVGKGSVEVHIVIGKKFGSGASEEQFLTRKRKGVENDLLPTLLMSMDEAQRQ >KGN47203 pep chromosome:ASM407v2:6:12754615:12755274:1 gene:Csa_6G199790 transcript:KGN47203 gene_biotype:protein_coding transcript_biotype:protein_coding description:F3H9.8 protein MKLGLCLLLLVAAIAITLPVALCDWTRAYGNPDYAFWGTAEPATINDVDDSRRLLFQYGFAYKYPKNKYLGYDALRKNNSPCRHRGHSYYDCTKRRKANPYRRGCIAITGCARFTD >KGN45799 pep chromosome:ASM407v2:6:1331948:1332401:-1 gene:Csa_6G012240 transcript:KGN45799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSHLSDEPKKFAKVHKVFGASNVSKILTEVPEEQREDTVNSLAYEAEARLRDPVYGCIGAIALLQTKMVELQHDLALAKARLARYANNNTSSSSSSSSCVENCVVNMSYGGLSFSAEQSSSDDITQYGYLCDFTHFPYPFKA >KGN48494 pep chromosome:ASM407v2:6:23204486:23207018:-1 gene:Csa_6G490090 transcript:KGN48494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQQKHFVLVHGACHGAWCWFKIKPLLEAAGHRVTLLDMAASGIDKRVIQNVHSMEEYSEPLLKYLDGLPPNEKVILVGHSLGGFNLAVAMEKYSNKIAVSVFLAAFVPDTQHKPSYVLTQYNEKTPKEAWLDTKFAPYGTEAQPSTSMFFGPNFLAKKLYQLSSPQEIVLALTLLRPSSLFIEDLSNTSNFSDQKYGSVKKVYVICTEDKAIPMKFQQWMACNAGIEHVMQINGSDHMPMFSMPSQLLHCLLHIALNYAHLT >KGN47392 pep chromosome:ASM407v2:6:14801592:14806748:1 gene:Csa_6G310480 transcript:KGN47392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSILRATSAASKHHICNRNPAPILSLTSNYSAKTTKPPVKKGKKGKSEADAKAGDDPSASAAASDDLDAALSDDKIRARRLAAEENDTSLDVGPNGRPLFTSASSLSQLTRKDAGTYFKYNMEGLNEVLPEGLPVGMVKEFEESIRSAVLVRQSFLDLRDNFRRVVDPSLLSPAGSKIRKQIVLDGPVNCGKSIALAMLVQWAREEGWLVLYVPSGRRWTHGGFFFKNHQTGLWDTPVQAEDVLRDFLKYNEIQLRQLPCQIYEPIPLGEGAGVGMAKGADSMRMPEGSTLYDLIDTGIKHTHVAVGVVVRLRKELSLVKDIPVLIAIDQYNNWFTFSEYEEPVTVRSTRPIHARELAMVKAFRSMMHDDMMVGAFSHSTAVGKLRQDLPDVPLGARVNFPRYSLDEAASVFHYYLRQRLIRREAFSEDAWKKIYYLSNGNGAEMRWLAPLMR >KGN48339 pep chromosome:ASM407v2:6:22125093:22125545:-1 gene:Csa_6G483242 transcript:KGN48339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLFVLTHAQNSPQDYISLHNKARAAVGVGPMTWDNTVAAYAQSYANKRKNDCALVHSTGPYGENIAIGFYPEFTGADGVKLWVGEKHLYDYASNSCKGGDCGHYTQVVWRTSVHLGCARVPCKGKSQFVVCNYDPPGNYIGLRPYNSSM >KGN48295 pep chromosome:ASM407v2:6:21793443:21800102:-1 gene:Csa_6G454430 transcript:KGN48295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGASRAPKPSEPLPLPHSHTAQILPPLNRHLAFDSHNPPFVPPGHYYHFAGDASSNAIDKPDTIVVKPLLSSAKSKQKGVMYNNMVKSNDYIHDEGSSKDALSCVQTPVSAKGGRVNNRSKTSRKTTSGPQTPISDTSTYSPLTPAGNCRYDSSLGLLTKKFINLIKQARDGILDLNKAAETLQVQKRRIYDITNVLEGIGLIEKKLKNIIYWKGFNQQIPGNVDSDASMLQVDVENLSFEERRLDDKIRAMQERLRNLSEDDNIQKWLFVTEDDIKNLPCFQNETLIAIKAPHGTTLEVPDPDEAVDYPQRRYRIVLRSTMGPIDVYLVSQFEEKFEEMNVVQPPSSFLHASSSGSNEHLATEAIIGESSRNEMEPQAHLSQHSSSCDVNGSNEFPGGMMKILPSEVDNDADYWLLSDAEVSITDMWRTDSDIAWDQSDMVPHDFLLSDVNTQRPRLGSPHSETAEAPSDVNLRQR >KGN45898 pep chromosome:ASM407v2:6:2106115:2114419:-1 gene:Csa_6G020120 transcript:KGN45898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEQYKVLALGFVSLILLVRPLWLVSANMEGDALHSLRTSLQDPNNVLQSWDPTLVNPCTWFHVTCNNDNSVIRVDLGNAALSGTLVPQLGLLKNLQYLELYSNNISGVIPSDLGNLTSLVSLDLYLNRFSGPIPDTLGKLSKLRFLRLNNNSLAGPIPMSLTNISSLQVLDLSNNHLSGVVPDNGSFSLFTPISFANNLDLCGPVTGRPCPGSPPFSPPPPFVPPPPISSPGGNSATGAIAGGVAAGAALLFAAPAIAFAWWRRRKPQEVFFDVPAEEDPEVHLGQLKRFSLRELQVATDSFSNKNILGRGGFGKVYKGRLADGSLVAVKRLKEERTPGGELQFQTEVEMISMAVHRNLLRLRGFCMTPTERLLVYPYMANGSVASCLRERPPSQPPLDWRTRKRIALGSARGLSYLHDHCDPKIIHRDVKAANILLDEEFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGIMLLELITGQRAFDLARLANDDDVMLLDWVKGLLKEKKLEMLVDPDLQNNYIESEVEQLIQVALLCTQGSPMDRPKMSEVVRMLEGDGLAERWDEWQKVEILRQEIDLSPHPNSDWIVDSTENLHAVELSGPR >KGN49054 pep chromosome:ASM407v2:6:26388577:26391259:-1 gene:Csa_6G511690 transcript:KGN49054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGNDSLQGFLAAAVDAAKKAGQLIQEKFYQTKHVEHKGEVDLVTETDKACEDLVFSHLKQCFPSHKFIGEETSAAYGTMELTDEPTWIVDPIDGTTNFVHGYPFVCVSIGLTIGKVPTVGVVFNPIIDELFTGIRGQGAYLNGKAIKVSSQDQLIKCLLASETGTSREKSTIDDITGRINRLLFKVRSLRINGSCALSLCDVACGRIDLFYINCYGGPWDAAAGAVILTEAGGLVYDPSGRDFDITATRLAASNPLLKETFVEALAHP >KGN48138 pep chromosome:ASM407v2:6:20678413:20683581:1 gene:Csa_6G445030 transcript:KGN48138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRFSISLSAMEASAWRGLAFTVFLLNFVLVCQLLLLQPLVSASDGSLGNSAELFEKVSQNVKVKRYSEALDDLNAAIEADPKLSEAYFHRGSILRKLCRYNESEENYKKYLELKPGNPAGEKELSQLLQAQSALETALKHFDTGDHTKALEFLDKVVLVFSPACSEAKLLKVKLLLATRDYSAAILHTGYILKEDENNLDALLLRGRAYYYLADHDVASRHFQKGLRLDPEHVELKKAYFGLKNLLKKTKSAEDNVNKGKLRLAVEEYNAALALDPKHLAHNVHLHLGLCKVLVKLGRGKDAVTSCNEALNIDGDLIEALVQRGEAKLLTEDWEGAVEDLKSAAQSSPQDMNIREALMRAEKALKMSKRKDWYKILGVSKTASVAEIKRAYKKLALQWHPDKNVENREEAEAKFQDIAAAYEVLGNEEKRTRFDRGEDIEDMGMGGGGGGGFNPFGGGGQQFTFTFDGGFPGGGGFGGGGFPGGGGFPGGGGFEFHF >KGN48725 pep chromosome:ASM407v2:6:24740082:24740858:1 gene:Csa_6G499200 transcript:KGN48725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYPVVNVAAVDCQKQVRSWQLFRSLIEFLIPTCNCAFTEDQEQQQPMYYSSKPISPPPSTANSVTGTIFGYRRGKVNFCIQTNSSSTNPILLLELAVPTAILAREMRGGVLRIALESATTANSGGRSVLSSPAWSMYFNGRKVGYGLRRGASAAEVETLRRLGRVAEGAGVIEGEDDYLMYLRGNFDRVCGASGDSESFHLRDPNGSIGQELSIFFFRSK >KGN47820 pep chromosome:ASM407v2:6:18299663:18299946:-1 gene:Csa_6G405330 transcript:KGN47820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRVKMQMLSLLSYVHLLNCEGTVLSRSEGTSDKGTEATKGNGKWIGSGAQLRRSR >KGN46401 pep chromosome:ASM407v2:6:6128972:6129536:-1 gene:Csa_6G089770 transcript:KGN46401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKKNVVIQVKNNMEERLTELVPVNEFGSSRKTIKPSIYKIPKFMKDIQPNADKPQLVVVWAIPSWGRGFGYNGTGKTESVLASDHLEEYWRENAVKFMEMMIIDACFVLVFFSKDKIYKSLITFKSDIKRDILLLENQLPFQLLQLMYEIFPLKVHILNL >KGN49315 pep chromosome:ASM407v2:6:27663525:27666372:1 gene:Csa_6G519670 transcript:KGN49315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDREERNRQDGGDVSSRVETAVPKPTNDLVNGDRIEATIVIIPESLASAGGDKEAHGFGGEAIPNVNIETREGSNLNPSETVLVIDSDGAASRGEDGESLVKNNGMGLGKDLEKLNVKSKMSEAKDNPMLINVKPKGGKGFIDDWNGERVCRICHLASNQTSEAKTGTSTSELIQLGCDCKDELGIAHGHCAEAWFKLKGNRMCEICGETAKNVEGVGDNRFMEEWNEGRSVDSSGNTSNGGGGCWRGQPFCNFLMACLVIAFVLPWFFRINIF >KGN48640 pep chromosome:ASM407v2:6:24198521:24201582:-1 gene:Csa_6G496430 transcript:KGN48640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEYSCCTTGFFSRIGIVIFLVLFAGLMSGLTLGLMSMSLVEIEVLAKSGKPSDRKYAARILPVCRKQHLLLCTLLICNAVAMEALPIFLDSLVTAWGAILISVTLILLFGEIIPQAVCSRYGLAVGATVAPFVRVLVWICFPVAYPISKLLDISLGKEHKALFRRAELKTLVDFHGNEAGKGGELTRDETTIIAGALELTEKVARDVMTPISETFAIDINANLDSNLVKLILEKGHSRVPVFYERPTNIIGLVLVKNLITRLSPDGIPIKSFPIRKIPRVSETMPLYNILNDFQKGHSHMAVIVREKENPERSVKGNQLEAKDVKVEIDGENHQQEKGLNTKRSLKRLNTLVDRSNSYRKFSGSKKWSKDFNSEVLHIADDLLPKLSEEGEAIGIITLEDVIEELLQEEIYDETDYRT >KGN46240 pep chromosome:ASM407v2:6:5162917:5168198:-1 gene:Csa_6G077370 transcript:KGN46240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFWGTEVKPGKPFTQKFDDFKGRLHVSLATLGFGTATKKSVLQCNVGNKSPVYLCSLFPEKTECLQLNLEYEEADEVIFSVIGPRSIHLSGYFLGSCRHNNVIDDNTESYGEDIANTETQSSEYADEDKYEDSFINDEDPEVYSPSPISNEKDGTFGKHKNRNKVRNGRRLRKSYQLSESEDEENSQPENIAKSGIPFSELESLDEDSLPISFLCNNKTKGGNTTAAEEKEAIEHKVLHEGSDLKTEFVTRVNGNTDGQLNGDLGLPTNSSEISTKIGSKRKKKRKEERFKRKSAEADGNSCSCATSEVEIQQDELKTDNSVTTVCKGKQETATGAELDNLSYPSADLGHEDGERPKKKKKKGSEQGKIIENDDTCDHKPGKMNQDVQPTFDQSENHPMAKKISKKKRTKAIENGDSLKSDTLSSGGAEKPTTETEDKESNGVSKSSHARTLPSGLVIEELEAEKPNGKVATSKKKISVRYVGKLKQSGEIVDSTDDKPPYKFRLGTGQVIEGWDAGLEGMRVGEKRRLTIPPSMGYGNEGDGGNIPPDSWVVYDIELVKVH >KGN48600 pep chromosome:ASM407v2:6:23937218:23942146:1 gene:Csa_6G495050 transcript:KGN48600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRPLNFKVSSNFHTLSSILSSPSLFLSAQSPPPSIFFFLRRIASSTASLSPSHHHHHPPPPPPPRLRSPSPQETLAQKIGKSIRRPGAPSKARVYADVNVIRPKDYWDYESLTVQWGDQDNYEVVRKVGRGKYSEVFEGVHCTDNEKCVIKILKPVKKKKIKREIKILQNLCGGINIVKLLDIVRDQQSKTPSLIFEHVNNIDFKVLYPTLSDFDIRYYIYELLKALDYCHSQGIMHRDVKPHNVMIDHEQRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDLWSLGCMFAGMIFRKEPFFYGHDNYDQLVKIAKVLGTDELNTYLSKYRIELDPHLSALVGRHSRKPWTKFINVDNQHLAVPEAVDFVDKLLRYDHQERLTAKEAMAHPYFFPIRNAESSRTRNQ >KGN48099 pep chromosome:ASM407v2:6:20410605:20412622:-1 gene:Csa_6G431740 transcript:KGN48099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVCFQKRCIADDTTTLFSTSNSPEETTSLSSSSSHHHSPPLVTTKKLSVRNVSFSVLPNRSIPTSFSELIRRPKPINVLKSVSFVARSSQVLAIVGPSGTGKSSLLRILSGRVKAKEFDPKAISINGQGMKSPEQLRKLCGFVTQEDNLLPLLTVRETLMFMAKLRLRELGSEEKEERVERLMQELGLFHVADSFVGDEEKRGISGGERKRVSIGVEMIHDPSILLLDEPTSGLDSTSALQVTELISSMTRSKQRTVILSIHQPGYRILQYISKFLILSHGLTVHFGSLKSLEKRIGEMGIQIPIQLNALEFAMEIIDKLKEDSNPPTSQVEEGEENQLFSAPIWPEEAIEIAQQQNNNSKQIGIFSTSHFLEIIYLCSRFWKLLYRTKQLFLGRTLQAIVGGVGLGSVYLRVKRDEEGVTERLGLFAFSLSFLLSSTVESLPIFLQERRVLMKEASRGVYKISSYLIANTIIYLPFLLAVAILFAAPVYWIVGLNPSIQAFAFFTFVVWLIVMMASSLVLFLSAISPDFITGNSLICTVLGGFFLFSGYFIPKQNIPKFWMFMYYISLYRYPLEAMLVNEYWNAKSECFSWMDQGQRRVCVLTGGDVLKNRELDGDLRWMNIGIMIGFFVLYRLLCWIVLARRASTTTI >KGN48482 pep chromosome:ASM407v2:6:23150364:23152574:-1 gene:Csa_6G489980 transcript:KGN48482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSPKFFRMVLQRNLDDPKLMIPKTFVEDYGKALSNWVNLKLSDGLEWKVGLRKATNGALWLEKGWDKFSEHYCLEFGSLLIFTLLNGRRSSNFEVTIFDPTGVETKYVSSSPQLKEDSDTNADSGYSYSDSDESSESFREHSKKRKNALVPCWLNRKKMRKDGSSTIKIEPADAEEEEECNNVSRETPSCKKRVVPKHEVKASRKKQQSPRKVETTQRFSSKSDHKPSFKVVMRRNNVQGRFNMVIPHDFAVKYLSEESGTINLQITNGRNWQLLYKWCRTDRATFAYISSGWKHFVEKNRLKEGDIGLFQLINKHNMLFTELQNNSLSPKKKTATTGNPFFEVDIVSKSYMNSYLNIPRRFAGAYFSPKMQSASLQVGNKKWDVSIKKYACSHVRFAAGWGTFHSENGLEDGDTCLFEMVNTKLCVLKVSIFRKVSTSVSMD >KGN49214 pep chromosome:ASM407v2:6:27137342:27138800:-1 gene:Csa_6G517220 transcript:KGN49214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLALFDSQSVPSPPELQSPASFRLPTAKPKLPREILDHFLSSGSCGTLSMYFGNAASIAFDPSASHSTHHQMLFCGLDEMYCMFKGSLNNLSRLNKQYGLSKATNEAMFVIEAYRTLRDRGPYPADQVLKELEGNFAFVVFDRKAGSVFAALDANEGMDLYWGTAADGSLVISDKLEVIKAGCAKSFAPFPPGCMFHSERGLMSFEHPTKKMKAMPRIDSEGVMCGANFKVDVQSRVHTMPRVGSEANWATWGSHA >KGN46939 pep chromosome:ASM407v2:6:10716778:10732254:1 gene:Csa_6G151760 transcript:KGN46939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALVGDEEAWPRAELFDKFLHGSTLEECYSVVASVANRWLDLLDNQGKDIADSELLDYISESSTMSKSLVDYGEQKSCAVTTARRLADFLGDTMVRDKGLRCQYIVACEPKGTPVSERALPVAIFETDAEIMKFYVRKWCKISSEVGIRSIIDWSYYKQRLSSAIQKIITIPAAMQKVANPVPRVVHPDWLHKKVREKDDKFRQQKVADMFASSSRVKYSKKNSDVSCSKHAVDEDNSEDLEDFQKKTSSTNGPKPVVRCYDVKGKKHSLTSDIETNSEMQENFNDGGFEPSSPVDVENIDKNVDYSGWLELKKRKWKDTLDRRKKQRLVNSRTPLAANNVTRELNGATNNKDAPRTGVGSYFKRHEGSVTRCHWQILQLVHSPQSGQYFAWAVVEGVMLKIPINVPRVFYLNSKAPITDEFVGRRVNKTLPHGRHSYNLYEVVTDEGQFRTQRNKLAALLADPEVEGIYESKVPLEFKSIFQIGCVCKVDKTAKKRNIQDGWSLDELQMKTTTECSYLEKSISFFYVYHSISEGRAIFVTFFPSTRSIFVVVVNPYQNKDLSSTFLERQFREASQMLSGESQPPKSGITYKVDYVVNIKEAEVILQRSITEHRSLNHGPTLAVIQCPSVQRLKSGVRVLDDFPCVTIPSNARDNQYQVLGWQQTAAKIGMQRCAASSQWLNERISLARYAHVPLGNFELDWLIFTADIFFSRALHDQQQVLWISDDGVPDIGGINIEDVCFADEVQQPILTYPGAYRKVSVEFKIHHLAVNALLKSNQVNEMEGGSLFGFERDIQCGAQFSNEEGGFDEAASCAPAFRVLKQLIQRCLTDAVTSGNVYADAILQHLYRWICSPQSKLHDPALHRLFHKVMQKVFALLLAELRKLGATIIFSNFSRIIIDTGRFDLSSAKAYCDSLLKTVKTRDLFEWIELEPLQFWQSLLFMDKYNYGGIRAKDDESTDVESQVDIVANWNIAEYLPKKIQDHFDFIISQFLYIPWDYATKQAAARASLQRDDTCTPSITVLAAESFESHVTEHLKEQLSSYFSDKMLGIVRDIVLHMKGKEKNTQDGLPEQPPGNIYKGDAALEFIKLVCSVLAIDQNVQHDVLVMRKNLLKFVRVREFAPEAEFSNSSPSIILPNVICSYCDDCRDLDLCRDSALLAQEWRCAVPQCGQPYDQEEMENKLLQIVRQRERLYHVQDLVCIRCKQVKAAHLSEQCSCAGSFKCKEDAAEYFSKMLVLLNVATRQKFQLLQEFTTWILENS >KGN46146 pep chromosome:ASM407v2:6:4451109:4452608:-1 gene:Csa_6G057120 transcript:KGN46146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYKVLQKSRYWDPGLSSPCPLKYILRNFSLWSMKKDPDLESALSRNRRWIANNQIKNIILRCPDQAAPVKFLQKKFKTLDLQGKALNWLKKYPCCFEVYLDNDEHYFRLTKRMMALVEEEEVVKDMQEPAIVKRLTKLLMMASNQRLNVVKLSELRRNFGLPDDFLIRIIPKHSDIFRIVNYTGKKNSMEIELISWKPELAISSIESSACKHGVEPAFSCSLPTTWVNSWEKFNEFNASPYVSPYVNPAGLVQGTREMEKRTVGLIHEILSLTLWKKASIIKLGHFTKEFGLPLKLNALLLKHPGIFYVSNKYQIYTVVLREGYNGSELIEKDPLVVVKEKFGELMQEGLHEYNKRHHLMNLEKKRMKGMLLGRSEKNKRKDFETDDSNGQGNNLGGLLEPEERKRFYQSLFDDDPT >KGN48397 pep chromosome:ASM407v2:6:22552261:22558749:1 gene:Csa_6G486700 transcript:KGN48397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTAGRLIAGSHNRNEFVLINADETARIKSVKELSGQTCQICGDEVELTAEGELFVACNECAFPVCRPCYEYERREGNQACPQCKTRYKRIKGSPRVEGDEDEDDIDDLDNEFDYGNLDDFGPRHAAEGSYGSHLNSGRGSHPNASHIPGQSEHEPSPLGSEIPLLTYGEEDYEISADQHALVPHFMGNGNRVHPMPSPDRSSPSQCRPMVPHKDFALYGYGSVAWKDRMEDWKKKQNDKLQVVKHPGVDDGNDIDDPDLPMMDEARQPLSRKLPISSSRINPYRLIILLRLVILGLFFHYRILHPVEDAYGLWLTSVICEIWFAVSWILDQFPKWYPIERETYLDRLSLRYEKEGKPSELASVDIFVSTVDPTKEPPLITANTVLSILAVDYPVDKVACYVSDDGAAMLTFEALSETSEFARKWVPFCKKFNIEPRAPEFYFSQKIDYLKNKVHPAFVRERRAMKREYEEFKVRVNALVSMAQKVPEDGWTMQDGTPWPGNNVRDHPGMIQVFLGQNGVCDVEGNELPRLVYVSREKRPGFEHHKKAGAMNSLVRVSAVLSNAPYLLNVDCDHYINNSKALREAMCFMMDPTSGKKVCYVQFPQRFDGIDRHDRYSNRNVVFFDINMKGLDGLQGPIYVGTGCVFRRVALYGYDAPSKKKPPSKTCNCLPKWCCLCCGSRSKKGKANNSKKKKTKHREASKQIHALENIEEGIEDLSIEKLNASEIKLAKKFGQSPVFVASTLLENGGVPHDVSAASLLREAIQVISCGYEDKTEWGKEVGWIYGSVTEDILTGFKMHCHGWRSVYCIPKRPAFKGSAPINLSDRLHQVLRWALGSVEIFLSRHCPIWYGYGGGLKCLERFSYINSVVYPWTSIPLLVYCSLPAICLLTGKFIVPEISNYASLIFMALFISIAATGILEMQWGGVGIDDWWRNEQFWVIGGVSSHLFALFQGLLKVLAGVSTNFTVTSKAADDGEFSELYIFKWTSLLIPPTTLLIINIVGVIVGISDAINNGYDSWGPLFGRLFFALWVIIHLYPFLKGLLGKQDRLPTIIVVWSILLASILTLLWVRINPFVSKDGPVLEVCGLNCD >KGN46545 pep chromosome:ASM407v2:6:7163679:7164825:1 gene:Csa_6G107940 transcript:KGN46545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNSKVYSFDEVAKHNQQKDCWLIISGYVYDVTSFLPDHPGGDELLLLAVEKDATFDFKSVGHSELAHEKMKMYQIGKIDMSTLPEKQKYVESAPYQRTPSLFSFSPIHLLLPILLLALAFAFPYFKLKA >KGN47728 pep chromosome:ASM407v2:6:17460022:17465216:-1 gene:Csa_6G385120 transcript:KGN47728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRKWPWKRKSSDKSPGETESSGSMSSYSERFSDEQDAAKSSPNHETQSPEVSSKAICKEEDIDDDLPKQEEINDSVKSLSERLSAALVNVKAKEDLVKQHAKVAEEAIAGWEKAENEVTHLKQQLGTTVQQKSALEDRVSHLDGALKECVRQLRQAREEQEQKIHDAVEEKTRDWQSTKVDLERQLLALQSIADTAKCESPKVDPSLGKMLELLKRENAALRHELHAQYRELETRTIERDLSTQTAETASKQHLESIKKMAKLEAECRRLKFMSCKPSFVDHKSIAASTISIESLTDTQSDNGEQLSAVDIDIRTERNKGEPSCSHPRASTLLAELNQLGNEKAVSSNLPSSLELDLMDDFLEMERLASLPETDTGKSRQESEAFPRSTAEENALRTELEALRHERSLMEKKLGEMEEAKIELEEKLKQMEVEKDELEERLEMMEIERDEANQMLAKMETKQYELGQKLVKMEEEKVEMGEKLMKLETQKDELETALSRSQNSVEISQFQLKETQMKLEKLQNELTIADESKLRIESQLISMEAESLTMSAKVEMLETDIQKERASAMALTVKCQVLEEELSRLKQDEKISQSEISKNELKIKQEDLAVAAGKLAECQKTIASLGNQLKSLAALEDFLIDTTHLPEFTASESLNITIDGEEQCKHSYGTLSPKRDSDFTKVVDDSSEPLMSKNGDDSPPSSSSSTSSSMITSHIVNSEKNRNGFAKFFSRTKSGIKLEI >KGN48953 pep chromosome:ASM407v2:6:25976565:25977178:-1 gene:Csa_6G507270 transcript:KGN48953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHRATKSNLVDSDLETNQHGFKDNNGLPLCPKPRRLEPAIPSFLAPLICAHHIHSQSSGDSRSEILNIIEGKNVEGRDCLSSGCYPSCYSGSPPGRTENPLVHDMYFLHQMEIHSPFSRTNLSDKFNLTSASPT >KGN46158 pep chromosome:ASM407v2:6:4590532:4590819:-1 gene:Csa_6G058720 transcript:KGN46158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCSTANIVILTIFVASIALRLPTETTARAIADPYPLSWPRLLIYNTVMKVRLNDEAGSGEGSGDDESDPPLKEEKGSRKPWPKNPVSKSPPPPF >KGN49031 pep chromosome:ASM407v2:6:26298492:26302228:1 gene:Csa_6G510980 transcript:KGN49031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNNPQEAIKKLKALMDQVDQAMKKSFQNVHQGFITETIDRFLKAREYDVAKAHKMLVDCLKWRVENEIDNVLRKPILPADVYRAVRDSQLVGLSGYSKEGLPVFAIGVGLSALDKATVNDYVQSHIQINEYRDRVILPSASKKYGRPITTCVKILDMTGLKLSALGHTKLLTILSTIDDLNYPERTTAYYIVNAPYVFSSCWKVIKPLLHERTRKKVQVLPGCGKDELLKIMDYTSLPHFCKRESSLSSRSSARQGGNNCYSLDHFFHQQLYNYIKQQSLINEPVEPIRKGSFQVNLQVPASKSKGAARTIETELRKYGNRLSDTLIELEIM >KGN48753 pep chromosome:ASM407v2:6:24913309:24914467:1 gene:Csa_6G500450 transcript:KGN48753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPHVANRGLGRQVSTISTAANSWFATHQFIAEMIFHARMESHPCRTRNPNIADLFYIPFYGGLHASSKFREPNITERDALAVRLVDYIQSQPTWWKNNGRDHFLALGRTAWDFMRNNANGPDFGANSLLTLNAVQNMSVLTVERNPWTGSNQFGIPYASYFHPYTSGEIKTWQNKMRQSNRSHLFTFIGAPRKGLEKAAIRNDIIQQCDMSSKCKLVNCRGEQGKECYDPGQVLRIMSESEFCLQAPGDSFTRRSTFDSILAGCIPVFFSPHTAYTQYFWYLPEKARDYSVYIDEKGEERKRIEEVLLKIPREKVKKMREKIVKLIPKVTYKHPNSTDFQFKDAVDVALAALYKRVSSSVGVGGGDDMSL >KGN46840 pep chromosome:ASM407v2:6:10036312:10042139:-1 gene:Csa_6G141370 transcript:KGN46840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGSHGSVHPVEEIPTTDVGDAAPVIRMKDIEGMPGTRGGLALRCIQLGFAIAAFSVMAASRDFSSVSAFSYLVAAASLQGVWSFALAIIDTYALLVKRTLQNRSIVSFFAVGDGITTTLTFAAACACAGITVLIDNDLDLCSVNKCVQLQSATAMAFLCWFTTLPSFFFNYWSLASR >KGN47725 pep chromosome:ASM407v2:6:17449497:17449832:1 gene:Csa_6G385100 transcript:KGN47725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFFFVGGLEQQVRRLLKSGAARCINCNSPADLVDYEKVLKLFFVPVWRWPGKEPLMHCNNCKLFFPQSLSPPSFSDQSTSATLLRALRCRSCNKVVEPDFSFCPYCGSSL >KGN47605 pep chromosome:ASM407v2:6:16462832:16463518:1 gene:Csa_6G363610 transcript:KGN47605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHFSKTLHFSSILILLILRLARAVPEDGRVVEVVPPVSLLCISECSTCPTICAPPPPPPPPIVLKSPPPVPHVPPLAYFPLNSPPPRPTSSPPPPWPSPPPPPATATAVSSHSPPPPAFRYYFNLPPPPPVLIPVPVPPSATAGPHGNTNSNAYNYYFYAPASSSAPPSLPTALFWGLFLFHLMLCSW >KGN48221 pep chromosome:ASM407v2:6:21257750:21260645:1 gene:Csa_6G449280 transcript:KGN48221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFPVFVIPLIAVSCFLAVLGPRFNTDWTIFFDKYASTQPSLSSSFKREGFHSNTSVPVATEEAAAANVSVLSFSSPPPPVDDGKQSLQLHPNRTRVNEDLGETATTINEVIRKVSNESSYESAVKVRARRQREYTKLERIEAGLRRARAAIREAKFLNQTQDPDFVPSGPMYWNSKAFHRSYLEMEKEMKIFVYEEGEPPLFHNGPCKSIYSTEGNFIHAIEMDSQFRTKDPNKAHVFFLPLSVAMLVRFVYVHDSHDFTPIRHTVVDYINVIGTKYPFWNRSLGADHFMLSCHDWGPEASKSVPNLYKNSIRVLCNANTSEGFNPSKDVSFPEINLQTGHLTGFLGGPSPSHRPIMAFFAGGLHGPIRPILIQRWENQDQDIQVHQYLPKGVSYIDMMRKSKFCLCPSGYEVASPRIVEAIYTGCVPVLISDHYVPPFSDVINWKSFSVEVSVDDIPNLKTILTGISTRQYLRMYRRVVKVRRHFEVNSPPKRYDVYHMILHSVWLRRLNLRLR >KGN48666 pep chromosome:ASM407v2:6:24390548:24394879:-1 gene:Csa_6G497170 transcript:KGN48666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHSMEDYEGYEDYDEYEDDDQMEEEEEEYEEVEVPKPTKEEKEYLELRQRLKEKIRRQSKRDGSSHLKSNDRKKLPYDNFGSFFGPSQPVISQRVIQESKSLLENQHLASRVSDHDHGNKKSQGSNSVASKPRVLPKVVSEKQTKVQKLKDTRDYSFLFSEDANVPAPSKESSRSVYAPSTEARSAQVPMKSKHPPSNPRQNIHVDHKEKKSVPMNGLMQSKNKSASSGNSNLSMMKAKKQLVNSCSGNGPGRPMGNNNESGPGRPMSNSNSGNRSGRPLGNSNNGNGPGRPLGNSNNGNGPGRPLGNSNNGNGPGRPLGNSNNGNGPGRPLGNSNNGNGPGRPMVAPKASSAVLQKRPSLPGTRNSVPAGVHKPLPSKKLEDKRNDMRPPAKAKVAPSRPVSSSRPQMSKAPAPRQVSSRPTVNDQRPKKRPARRYSDEEDDAEGEEAISLIRKMFRYNPRKFSRDDDDSDMEANFDDIMMEEQRSARIARKEDEEQLRLIQEEEEQERRARLKRLKRAKGQ >KGN46946 pep chromosome:ASM407v2:6:10773883:10781387:-1 gene:Csa_6G152320 transcript:KGN46946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAEKPSWVRHEGMQIFSIDVQPGGLRFATGGGDHKVRIWNVKSVGRSLEDDDSNQRLLATLRDHFGSVNCVRWAKHGRYVASGSDDQTILVHEKKPGSGTTEFGSGEPPDVENWKVAMTLRGHTADVVDLNWSPDDSTLASGSLDNTVHIWNMSNGICTAVLRGHSSLVKGVAWDPIGSFIASQSDDKTVIIWRTSDWSLAHRTDGHWTKSLGSTFFRRLGWSPCGHFITTTHGFQKPRHSAPVLERGEWSATFDFLGHNAPVIVVKFNHSMFRRNLTNTNEMKAVPVGWTNGASKIGGKESPSYNVIAIGSQDRTITVWTTASPRPLFVAKHFFTQSVVDLSWSPDGYSLFACSLDGSVATFHFEVKEIGQRLPDAELDEIKRSRYGDVRGRQVNLAETPAQLMLEAASLKQVSSKKVVSETQQNQTPAKPSIDARDAAKTLEPQVDDSKKTCGAGGDSLNKVSSAPPKISSPVKQREYRRPDGRKRIIPEAVGVPVQQENKSGGIQSSNAIDFPSLSLDQKKDNNGVSAPEFVRESFVRGAPRPSKHTDSKERIGVTARTTITDSLVIDKVPLSAGKDENIIMDHPGNLKTSSSLATCSSVLSIRVFDKKEGEYNEPICLEARPKEHAANDIIGAGNTSMLKETVISCTKGSRILWSDRVSGKVTVLAGNANFWAVGCEDGCLQVYTKCGRRSMPTMMMGSAATFIDCDDCWKLLLVTRKGSLYVWDLFNRGCLLHDSLASLIPLNPNSSTKDSGTIKVISAKLSKSGSPLVVLATRHAFLFDMSLMCWLRVADDCFPASNFSSSWNLGSIQSGELAALQVDIRKYLARKPGWSRVTDDGMQTRAHLETQMASALALKSPNEYRQWLLSYIRFLAREADESRLREVCESLLGPPTGMAGDALADSKNQAWDPCVLGMRKHKLLREDILPAMASNRKVQRLLNEFMDLLSEYENNENNIDPKASLPASSSLLEPDHEHSAPQQADKMETDPTLKDSSELVIDQTSLAPPVAPVDLGQPVKNLINLASEAKN >KGN46261 pep chromosome:ASM407v2:6:5288445:5288609:1 gene:Csa_6G078560 transcript:KGN46261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIDERFQRWGIELIGEEEEAMEKWVERGRGVEKKTCVGKLAFGGFVDKTMDKT >KGN49449 pep chromosome:ASM407v2:6:28376134:28403897:1 gene:Csa_6G525360 transcript:KGN49449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFEPFVWYCRPVADGVWTKAVENALGAYTPCAIDSVVIVISHLIILGLCLYRTWLIKNDFKTQRFCLKSKIYNYMLCLLAAYCVFEPLFRLIRGISVLNLDGQDALPPFEVVALIIQALAWCSMLIMLVAETKVYVYEFRWIVRFGVVYILVADGVMLNLILSVKDFYKRYVLYWYISEVFVQALFGVLLVAYVPSLDPYPGHTPLNSESVDVEYEELPEGEQICPERGANFFSKTTFAWMNSLLKLGYERPLTEKDVWKLDSWDRTEALYNNFQKVWLKESQKSKPWLLRGLNNSIGGRFWVGGLWKIGNDVGQFVGPVILNKLLESMQRGEPSRIGYIYAFSILVGVIFGVLCEAQYFQNVMRVGFRLRSTLVAAVFRKSLRLTHEARKTFTTGKITNLMTTDAETLQLTTQSLHSLWSAPFRITVAMVLLYQQLGVAALFGSLLLVLLFPIQTLVISRLQKQSKEGLQRTDKRIGLMNEILAAMDTVKCYAWESSFQSKVQSIRDDELSWFRKAALLGALNGFILNSIPVLVTVAAFGLFTVLGGDLTPSRAFTSLSLFAVLRFPLFLLPNIITQVVNAKVSLKRMEELLLAEEKILHPNPPLNPQLPAISIENGYFSWDSKAEKPTLSNINLDVPVGSLVAVVGSTGEGKTSLVSAMLGEIPAMAADTSVIIRGTVAYVPQVAWIFNATVRDNILFGSSFGPARYEKAIDITALRHDLELLPGGDLTEIGERGVNISGGQKQRVSLARAVYSNSDVYIFDDPLSALDAHVAREVFENCIRGELRGKTRVLVTNQLHFLSQVDRIILVHEGVVKEEGTYEELCENGKLFQRLMESAGKLEENTEEKEDGETSDAKKSTELPANGMENDHAKDASSSKKRKENKSVLIKQEERETGVVSWKVLSRYKNALGGLWVVLILLLSYVLSETLRVSSSLWLSNWTDQSNLVASETLSYNTIYASLSLAQVFVTLVNSYWLIVSSIYAAKRLHDQMLSSILRAPMLFFNTNPLGRIINRFAKDLGDIDRNVAPFVNMFIAQISQLLSTFVLIGVVSMLSLWAILPLLLLFQAAYLYYQSMAREIKRLDSISRSPVYAQFGEALNGLSTIRAYKAYDRMADINGKAMDNNIRFTLVNMSGNRWLSIRLEAVGGLMIWFTATFAVMQNGRAENQKAFASTMGLLLSYALNITTLLTGVLRIASMAENSLNSVERVGTYIDLPSEAPPIIESNRPPPGWPSSGLLKFEDVVLRYRPELPPVLHGLSFTVFPSDKVGIVGRTGAGKSSMLNALFRIVELEAGKILIDGFDVAKFGLLDLRRVLGIIPQAPVLFSGTVRFNLDPFNEHNDADLWEALERAHLKDAIRRNSFGLDAEVSEAGENFSVGQRQLLSLARALLRRSKILVLDEATAAVDVRTDALIQKTIREEFKSCTMLIIAHRLNTIIDCDQILVLDSGRVSEYNTPEELLSNEKSAFSKMVQSTGAANAKYLRGLVLGGEGEKRSGTDENFKLNGQRKWLASSRWAAAAQFALAVSLGSSHNDLQSLEVQDENSILKKTQDAVIMLRGVLGGKHNTEIEESLMGHQISTDGWWSSLFRMIEGLALLSRLGRNRLQNSEYGFEDTKFDWDQSTI >KGN45871 pep chromosome:ASM407v2:6:1874238:1874420:1 gene:Csa_6G016900 transcript:KGN45871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVILNGGLSSIEGRSRGSLAFDEGGYDRFNGRSRRASLALFSSWVTGRSRRGSSTIKSG >KGN47360 pep chromosome:ASM407v2:6:14561110:14564444:1 gene:Csa_6G303750 transcript:KGN47360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKGFWMTKVPGHENDANSTFDSPSLLDMKRAHHWFIDGCETQAFPNKKQAIQASNSKMTGVVSNINVFPWEQHTPSYQSVSHQLIGHLFGPESVRSTDFDRAKTSSVISDNLDLRRKGIEVEDHYGEGASVGLSISHGMEDPETSPSYGRIRKVKLNLVKDNIHDLQPCHRSNSNREDGGQLTMDSYYKEIETGLVSVGSAYIKEDDSFSLMNQTSNNGDHHIRFLGHSGKIDDNVVSIGDDYGKRDANIISFDGFPDDQDIVSLGRTLGSHDSTFYEPSFQASEADGVPELESSSFDVPASSSQITKQKPDITLKNRPEYKMRKEAPNSFPSNVRSLISTGMLDGVPVKYVSVTREELRGIIKGSGYLCGCQSCNFSKMLNAYEFERHAGCKTKHPNNHIYFENGKTIYQIVQELRSTPESLLFDTIQTIFGAPINQKSFRIWKESFQAATRELQRIYGKDELNL >KGN46844 pep chromosome:ASM407v2:6:10085714:10090104:-1 gene:Csa_6G141410 transcript:KGN46844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNAHRGGTRKANDGARIILTTLVGVVFGFFLGASFPTVSLTKINLPSSIISSLDVAMDVQKSPRTRITETHNQGSPKIPKIYVPTNPRGAESLPPGIVASGSDFYLRRLWGEPSEDLNKKPKYLVTFTVGFDQRKNIDAAVKKFSDDFTILLFHYDGRITEWDQYEWSKNAIHISVKKQTKWWYAKRFLHPDVVAAYEYIFIWDEDLGVEHFNAEKYIELVKKHGLEISQPGLEPNNGLTWQMTKRRGDREVHKDTEEKAGWCSDPRLPPCAAFVEIMAPVFSREAWRCVWHLIQNDLVHGWGLDFALRRCVEPAHEKIGVVDSQWIVHQVIPSLGSQGKSENGKAPWEGVRERCRTEWAEFQSRLANADKAYLAQISKAKAKRLLYKRAGL >KGN47842 pep chromosome:ASM407v2:6:18497164:18502310:1 gene:Csa_6G406540 transcript:KGN47842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATRLSKLAVAAVATAVGGSILLQPSLSSNDRGTSGHALDSYRNKINDPTAVVPPRSVQESALIGASPVNPLDILVVGGGATGCGVALDAVTRGLRVGLVEREDFSSGTSSRSTKLIHGGVRYLEKAVFNLDYKQLKLVFHALEERKQFIENAPHLCHALPCMTPCFDWFEVIYYWIGLKMYDLVAGRRLLHLSRYYSAQESVELFPTLARNGGGRSLKGTVVYYDGQMNDSRVNVGLACTAALAGAAVLNHAEVVGFLKDDASDRIIGARIRDKLSGREFDTYAKVIVNAAGPFCDSVRKMADKEAVSMICPSSGVHIVLPDYYSPEGMGLIVPKTKDGRVVFMLPWLGRTVAGTTDSNTGITMLPEPHEDEIQFILDAISDYLNVKVRRTDVLSAWSGIRPLAIDPSAQNTESISRDHVVCEDYPGLVTITGGKWTTYRSMAEDAVSAAIKSGKLKPTNECVTDKLQLAGGDGWEPAYFTVLAQQYLRMKKTHNGKVVPGVMDTAAARHLSQAYGVLAERVAAIAQNESLGKRLAHGYPFLEAEVAYCARHEYCESAVDFIARRSRLAFLDTDAANHALPRVIELLAAEHKWDRSRQKQELEKAKKFLETFKSSKNAQFHDGKHN >KGN46055 pep chromosome:ASM407v2:6:3759791:3761028:-1 gene:Csa_6G046330 transcript:KGN46055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSPFLLPFALLLSFSLLFILPFNQSQFSFFADLQDLALFHTATLQLQNSHAAATAGAVSSISRLGISSNPKPKIAFLFLTNSDLSFAPLWERFFLGHELRYNIYIHADPTVQLTPPGGVFDGRFVPARKTLRASPTLISAARRLLARAVIDDPLNLYFALVSQHCIPIHSFDFMYSFLFKNSITSLRSFSSKSSYKSYIEILSDEPNLYERYAARGPTAMLPEVSFEQFRVGSQFFILTRNHAVLVVKERTLWKKFELPCLGEEPCYPEEHYFPTLLSMEDPEGCSHYTLTRVNWTGCWDGHPHLYSPEEVSPDLIHMLRMSNSSYSYFFARKFSPESLTPLMQIADDVIFRD >KGN48706 pep chromosome:ASM407v2:6:24615058:24615759:-1 gene:Csa_6G499020 transcript:KGN48706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIHISARFDLCFYVPFFFKRVIYSGCALVYKGYPLFVRENDDWKPNSSLYLFQEFSNSFVVEGKGKEDEGGRAPEGKAKAESNSRASRACRSVEHVSDDWASEVRMEKEEMGGVKKGGRGYPSLNSSTDSQLRTFQ >KGN46896 pep chromosome:ASM407v2:6:10417669:10421953:1 gene:Csa_6G149370 transcript:KGN46896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQKLFLLLLLIVVSSSSSLFQFGISSSISHKSGDGNEEWGYVQVRPKAHMFWWLYRSPFRVKDASKPWPTILWLQGGPGGSGTGFGNFLEIGPLDSNLKPRNSTWLRKADLLFVDNPVGTGYSFVDNLGQFAKGDWDAANDMTTLLTKVSNNTIGLHNTPFYIFAESYGGKFAVTLALSLLRSIQAGHLKLNLRGVALGDSWISPEDFTSSWGPLLHDLSRIGSVAHQISNEIALEISDQIKKGMYDNATVSWNNLEDFIVTRSNGVDFYNFMLDDDMDPVVSTTINIESNSIDSMKLKGRKPSFFSYKNYKPGGEGNLDALMNGPIKQKLKIIPPDVTWGGQSDKVFEFFTADFMKPRINEVDELLAKGVNVTIYNGQVDLICCTKGVEAWINKLKWNELKSFLNTERIPLFCGKEKGTKGFIRSHKNLNFYWILGAGHFVPVDQPCVTLNMVSAITESPAS >KGN49052 pep chromosome:ASM407v2:6:26376727:26379440:-1 gene:Csa_6G511670 transcript:KGN49052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLEDSHSTSNRFPLTRNCYSPSSTTSSKISRNIGRSMRTIRSNFFQDDNSCTFNGSVAAKSGCVSENLTDSVIDLRLGELASRSPKWSKQSSEQEQDFLELSHAFSDFSACSSDISGELQRLASLPSMAVVPQREGEDGDPEPEPCLGFLQRENFSTEIIESISPEDLQPTVKICIDGLQSSSIAVKRSAAAKLRLLAKNRSDNRVLIGESGAVPALIPLLRSTDPWTQEHAVTALLNLSLHESNKVIITNAGAVKSLVYALKTGTETSKQNAACALMSLALLEENKTSIGVCGAIPPLVSLLLNGSNRGKKDALTTLYKLCSIKPNKERAVTAGAVKPLVALVAEQGTGLAEKAMVVLSSLAGIQEGKDAIVEEGGIAALVEAIEDGSLKGKEFAVLTLLQLCVESVRNRGLLVSEGGIPPLVALSQTGSVRAKHKAETLLGYLREPRQVASSSSP >KGN45715 pep chromosome:ASM407v2:6:724876:734025:-1 gene:Csa_6G007980 transcript:KGN45715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSQSSRKKVAVVGAGVSGLAAAYKLKSHGFDVTVLEADERVGGKLRSVSYKGLIWDEGANTMTESEPEVQCLLDDLGLREKQQFPISQNKRYIVRNGVPVLVPTNPIALIKSNFLSAKSKFQIILEPFLWKKYDSSKVSDDGTDESVGGFFQRHFGQEVVDYLIDPIVAGTSAGDPDSLSMSHSFPELWNIEKRFGSIFAGLVLSKLSTKKESGGVRNGTTGKSKPRRGSFSFQNGMQTLTDTLSKELGEGVLKLRSEVLSLSYNAGKYASQNWSLIYSKDKNSKDLIADAVIMTAPVCSVREMKFMKGGIPFSLNFLPEVAYMPLSVMITTFRKESVKRPLEGFGVLVPSSEQQNGLRTLGTLFSSMMFPNRASNDEYLYTTFIGGSRNRELAKSSTDELKQIVTTDLRQLLGVEGEPTFINHFYWSKAFPLYGRNYDSVVKAIETMEKNLPGFFYAGNHRDGLSVGKSIASGCKAADLVISYLESSTDQSCAE >KGN47876 pep chromosome:ASM407v2:6:18792764:18794360:-1 gene:Csa_6G409330 transcript:KGN47876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRSQGIIITDKYQMRTWARSMRHNSIALVPTMGFLHDGHLSLIQEAHNHAQLVVVSIYVNPSQFAPSEDLSTYPSDFDADIRKLMTVPGGVDVIFHPHNLYDYGVESAMDCAGMANVSCLEESGSGHETWVRVERLEKGMCGRSRPVFFRGVATVVAKLFNIVDPDVALFGKKDYQQWRIIDRMVRDLDFPVKIVGFEIVRDADGLAMSSRNVRLSPIERQKALSINRSL >KGN48864 pep chromosome:ASM407v2:6:25492902:25494598:1 gene:Csa_6G504450 transcript:KGN48864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSDRYLSTLLIAIYFNDSSSFYYFTTSLIHYSSFSTMPSNPSSSHPNFANVKPLLTAAASFAATLVLARSVANDLLPPHLRSYLYHGCRDIFNRFSSQLTMIIDERDGLGPNQIYDAADTYLATKVSPSTHRLKVTKPEKEDNITTTMESNQQITDIFDGVQFHWVLVCSQIEEQNLYNPRLPFRSTVRSFKLCFHRKHRDMVLKSYLPHILHQAKELKQQTKTLKIYTFDFRHMYGNNSNLWIPTNLDHPATFEKLAMDSEIKDFILRDLERFVKRKEYYRKVGKAWKRGYLLYGPPGTGKSSLIAAMANYLRFAVYDLELTEIQCNSDLRKLLIGMGNRSILVVEDIDCSIQFQDRESESAEEENIPFRRRTTQVTLSGLLNFIDGLWSSCGDERIIIFTTNRKEKLDGALLRPGRMDVHVHMSYCSPCGFRLLASNYLGIENHQLFGEIEELILKAKVTPAEVAEQLLKGEDGDTALRELMEFLEDKKMRNEEERKGKIDDESEMEAGEKEERKEENEGIAA >KGN48636 pep chromosome:ASM407v2:6:24175632:24179025:1 gene:Csa_6G496390 transcript:KGN48636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNVNGNNCNWLGFSVSPNVNMELSSSAATSVSPSIPANLFHSPSQFNYGICYGVDGEHGAFYSPLSAMPLKSDGSICSMEALSRQHPQVVSSSTPKLEDFFGGATMGSHHYESNDREAMALSLDSIFCHQNPTHEPNNQSFAHFSSLRSRELMLQDSKVILPDGCNLQQQQQHPGVAQSDISGMKNWTVPRNYAATNNGSFEQKMVSCMSENGGESGSINAMAYGDLQSLSLSMTMSPSSQSSCVTATQHVSPAMTDCSAMDTKKRGHEKVDQKQIVHRKSLDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCKKEGQSRKGRQVYLGGYDMEEKAARAYDLAALKYWGPSTHINFPLENYQKELEEMKNMSRQEYVAHLRRRSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGMNAVTNFDITRYDVERIIASNTLLSGDLAKRKQQPEFDNESLRQSPPTHNSNSEAMTLPSQSSSQSESDWKMALYHSSQQLIPKPRMLSAINDDGSQLGVEDSARMGAHFSNASSMVTSCSLSSSREESPDKTSLSMVFGMPQSTSKPFATSANNMNTSWIASAQQIRAANCMSQLPVFAAWTDT >KGN48938 pep chromosome:ASM407v2:6:25912428:25913045:-1 gene:Csa_6G507130 transcript:KGN48938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLDHCADVCNFSHGHSHDSKKLKKNQQLQRVEIKVKMDCEGCQKKVKKSVEGMKGVTEVEVDPKRSKLTVVGYVDSNKVLNRVRHRTGKAAELWPYVPYDVVEHPYAPGAYDKKAPPGYVRNVAANPEVAPLARAGSFEVKYTTAFSDENPNACVLM >KGN46877 pep chromosome:ASM407v2:6:10325387:10325737:1 gene:Csa_6G148190 transcript:KGN46877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRVLGFGFSFAFLFLQLNGVGIESMLAYSDTARSNNLEEKRTEIPYRRRWMVEEGATAPEERVAAMDDGRRGCLQFARPEN >KGN45783 pep chromosome:ASM407v2:6:1174819:1179459:-1 gene:Csa_6G011580 transcript:KGN45783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKTMSDASSSTIGGAERGESVVYDCRRRKSSCGYCKSGSRGSITHGLWAPDNLTVDDYQDLLDRGWRRSGCYLYKPEMEKTCCPSYTIRLKASDFAPSKDQLRVSRRFQRFLDGALEHDKSVEQVEISSTSEGGSHALNGESHSVGKNSMSFKNEENEEDRFMLHLSDMVDDSIRLYTKSSVLPGSIQIPKASVKRVSHAKKKLLVQGSKDIIYTCNVAFQLSASIRRAQVGEMKNDNLASSESNTAETGFIPKIIAEKLVNHLSQVDGLSSFSIKACNGHINFYSDEGEASFPKSAEKANISQETAKARSTDSSMEKNPKCSLPKRRKFELRLKRSSFDPVEYALYRRYQLAVHNDTPDRISEASYRNFLVDTPLNFVPSTGDGTVPPCGFGSFHQQYLIDGKLVAVGVVDILPRCLSSKYLFWDPAYAFLSLGKYSALQEIEWVKQNQMHCASLQYYYLGYYIHNCGKMRYKATYRPSELLCPLRYTWVPFDAVKPLLDQKSYVVLSDSSLQQNGESSPLTKVPNDMELEHNADFPEEPDDVNMDEDGEMAEAYSDSSDEDLDSDSNGLASSDTEDRDVTNILIGIGDSRMRYKDIQQAISSSGRSYLENQLIRYKRVVGKELSERIVYILR >KGN46302 pep chromosome:ASM407v2:6:5506135:5510475:-1 gene:Csa_6G080940 transcript:KGN46302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTTQLQMEVQDHGHIIEVCGDVQAVGPSIVGSKICGDAPSCGFSDAKNSSKDAKERSASMRKLCIAVVLCIVFMSVEVVGGIKANSLAILTDAAHLLSDVAAFAISLFSLWASGWEATPRQSYGFFRIEILGALVSIQMIWLLAGILVYEAIVRLINGPGEVKGFLMFAVSTFGLVVNIAMALLLGHEHGHAHSHGHNHGHGEHDHGHGSHEHGEEDHRHRHGISVTMHHHHHEEKRAASDGVEHHHHHHHHKHKESTTVPLLDSSQKVTKAQKKQRNINVQGAYLHVLGDSIQSIGVMIGGAIIWYKPEYMILDLICTLIFSAIVLCTTIQMLRNILEVLMESTPREVDATKLEKGLCEMEEVVAIHELHIWAITVGKILLACHVIIKPEANADMVLDKVIEYIRREYNISHVTIQIERQ >KGN48960 pep chromosome:ASM407v2:6:26009530:26016552:1 gene:Csa_6G507330 transcript:KGN48960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESLEEVYLHGDLDLKIIAAVHLPNMDIVSEHLRRCFTACGTVNYSAIKSVATKSRSADGEEKAHHSRGKIITSDPYVTVRVPQATVARTRVIKNSQNPHWNEHFIIPLAQKMAELEFQVKDDDVFGAEVMGTVKIPAQDIASGKIISGWYTVIGSNGKPPKPDTALRIEMKFTSVEENPIYRHGIAGDPEHRGVQHTYFPVRKGSSMRLYQDAHVPDGLLPQIELDEGNVYRQEKCWEDICYAISEAHHMIYIVGWSVFHKVKLVREPTRPLPRGGDLTLGELLKYKSEEGVRVLMMIWDDKTSHDKFFINTEGLMQTHDEETRKFFKHSSVICVLSPRYPSGKLSYVKQKVVGTVFTHHQKCVLVDTQGYGNNRKITAFLGGLDLCDGRYDTPEHRLFHDLDTVFKDDFHNPTIPPGAKAPRQPWHDLHCRLDGPAAYDLLINFEQRWNRATRWTEFGLRCRRITHWHDALIKIERISWILSPQVILLEDGSIKVPEDDPKVYVSKEEDPENWHVQIFRSIDSGSVKGFPKDVHLAESLNLVCAKNLAIEKSIEMAYIQAIRSAQHFIYIENQYFIGSSYGWPGYKNAGADNLIPMELALKIASKIRAKERFVVYIVIPMWPEGDPNSGPMQEILYWQGQTMQMMYDIVASELKSSGQPDLHPQDFLNFYCLGKREEIPENGPTTDDPTACNSLKNRRFMIYVHAKGMIVDDEYVIIGSANINQRSMAGTKDTEIATGAYQPHHTWVKKKKHPHGQIYGYRMSLWSEHLGMLNPCFEEPENLDCVRKINGIAEDNWNRFADNEFRTLQGHLLRYPVLVDNDGKINPLPGHENFPDVGGKIIGSHSAAIPDVLTT >KGN46822 pep chromosome:ASM407v2:6:9861740:9864684:1 gene:Csa_6G139220 transcript:KGN46822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRDNKVPPQGEIIQILGDFLEVAITSIVFLKGIYPSGAFERRRYMNAVVQKARHPELQDYIHSTVSGLLPFIQKGLVERVAVIFSNSDSNVQLERFVFKLTVNQSYESKVENSDLEFALRAFLIKLSVSEPLTKVLPPDCKWEITAYFQTLPSSSTSKDAESWIPTDTKQWQQPPVITPIKSMTSRPLSLQLYLEHPSLSEPNHYE >KGN46502 pep chromosome:ASM407v2:6:6819500:6822933:-1 gene:Csa_6G104100 transcript:KGN46502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFLSIPNQKTLFLNLNPSTTSILNLKRAIEDVSHIPISFQRLFLSQSFQLSHFNDSTLLSHLRILPNSTLTLHVPLFGGMQAPTIPKPRLDFLNSKPPPNYVAGLGRGATGFTTRSDIGPARAAPDLPDRSATTIGGAAAAPPGRGRGKGGEEEEEDEGEDKGYDENQKFDEFEGNDVGLFASAEYDEDDKEADAVWEAIDKRMDSRRKDRREARLKEEIEKYRASNPKITEQFADLKRKLYTLSAQEWESIPEIGDYSLRNKKKRFESFVPVPDTLLEKARQEQEHVTALDPKSRAAGGTETPWAQTPVTDLTAVGEGRGTVLSLKLDRLSDSVSGLTVVDPKGYLTDLKSMKITSDAEISDIKKARLLLKSVTQTNPKHPPGWIAAARLEEVAGKIQAARQLIQKGCEECPKNEDVWLEACRLASPDEAKAVIAKGAKSIPNSVKLWLQAAKLEHDTANKSRVLRKGLEHIPDSVRLWKAVVELANEEDARLLLHRAVECCPLHVELWLALARLETYDRAKKVLNSAREKLPKEPAIWITAAKLEEANGNTAMVGKIIEKGIRALQRVGVVIDREAWMKEAEAAERAGSVATCQAIIHNTIGVGVEEEDRKRTWVADAEECKKRGSIETARAIYAHALTVFLTKKSIWLKAAQLEKSHGSRESLDALLRKAVTYRPQAEVLWLMGAKEKWLAGDVPAARSILQEAYAAIPNSEEIWLAAFKLEFENHEPERARMLLAKARERGGTERVWMKSAIVERELGNAEEESKLLIEGLKRFPSFFKLWLMLGQLEERLKHLEKAKEAYESGLKHCPSCIPLWLSLAHLEEKMNGLSKARAVLTMARKKNPQNPELWLSAVRAELRHGHKKEADILMAKALQECPNSGILWAASIEMVPRPQRKTKSMDAIKKCDHDPHVIAAVAKLFWYDRKVDKARNWLNRAVTLAPDVGDFWALYYKFELQHGGDENQKDVLKRCIAAEPKHGEKWQTISKAVENSHQPTESILKKVVVALGKEEGAVESSKN >KGN49108 pep chromosome:ASM407v2:6:26653546:26654933:1 gene:Csa_6G513720 transcript:KGN49108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEAPSWADQWGAGGIGAMEEDDDSKAKGGRSTDKKGGSKPGFAKVKAATVAGAKKIGSGISNGIKWIMNPRKKSSK >KGN46589 pep chromosome:ASM407v2:6:7511957:7515007:-1 gene:Csa_6G110320 transcript:KGN46589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYAPRIKSESSCRLDLTQEKNQLNGTQIEIQVFVSRSVSSEIFPLRHQPQGILSSSSSSSSSSSSNYTASHVNGCLMFDMPAENSIPYDRDAEPFVEVDPTGRFGRYDDLLGSGAVKKVYRAFDQEEGIEVAWNQVRLRNFSGDPVFINRLRSEVQLLSTLNNKYIIVCYSVWNDDEHNTLNFITEVCTSGNLRDYRKKHRHVSIKALKKWSKQVLEGLDYLHTHEPCIIHRDLNCSNIFVNGNIGQVKIGDLGFAAIVGRSHAAHSIIGTPEYMAPELYEEDYTEMVDIYSFAMCLLEMVTMEIPYSECDSVAKIYKKVTTGIKPQAITKVTDAEVRAFIEKCIAQPRARPSASELLKDPFFDEVRDEDSEQTS >KGN47819 pep chromosome:ASM407v2:6:18296322:18299521:-1 gene:Csa_6G405320 transcript:KGN47819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKDYQDPPPVPLIDGVEIRKWSFYRALIAEFMATLLFLYVTVLTVIGYKAETHGMGKKNVDSCGGVGILGIAWAFGGMIFVLVYCTAGISGGHINPAVTFGLLLGRKVSLVRAVMYMVAQCLGAISGVGIVKAFQKAHFEKHGGGANGVSDEYSIGTGLAAEIVGSFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSLGSAVILNDQKTWNNHWVFWVGPFLGAAIAAFYHQFILRAGAVKALGSFRSSSHV >KGN46397 pep chromosome:ASM407v2:6:6114219:6114434:1 gene:Csa_6G089240 transcript:KGN46397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGERMMPPRLKLVRESRCRPLGWGIKLVKYTGVGKRDRRGIEDGWQDERRREVAWCEMVEARPRDIGMD >KGN48528 pep chromosome:ASM407v2:6:23461153:23462140:-1 gene:Csa_6G490920 transcript:KGN48528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDTKVGHHDTIVVSFRGTEPFNADDWCSDFDISWYEMKGIGKVHGGFMKALGLQKSIGWPKKIDRQDQERSRPLAYYTLRKRLKNLMKEDEMAKFVVTGHSLGGALAILFPFILAFHDEKLLLERLEGVYTFGQPRVGDHKFGEFMSKTFSHYKIRYYRFVYGFDMVPRLPLDDKALMFKHFGPCIYFDRNYVAKILEEEPFKNYFSFLGEVAMRIQACLEIVRSFTIGWRRGKEYEERVLLRIMRLFGLLLPGIPAHCPQDYVNSTRLGSTHVFFSLPKTEYDVKIK >KGN46979 pep chromosome:ASM407v2:6:10999101:11001029:1 gene:Csa_6G157050 transcript:KGN46979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPALSPSQSHSEDQEEEDPISPVQNPNSMDHQQPGQAAEAPVDDPQNHYHPPQSSETLTLDLPDPQQNSPQPDPQDSELQLNEDFINDHDPSDQGEPTALSPRLADINALVSPSSVSRRGPKRKKSWMKQRFFQEKSQKKLEILVSTFKPIPFVPAKTLDFSSHEKLLNRLGLWDFVHTKFDTPLRQDLLMQLVANFNNNKRYSYVNGNRIMVNRADLARALRLPVRRATLVDNGKKEPIASEESIAFIEDFVSNWLLLHEDTWMMPNEIMHWTKVIKDGKFERVDWAGLIWFMVEKELMQSPQLVNCYYASHLQCLIRSQREDLLKEEAPKVEDVEHEEKVEQEQEQEQEQEQEQELEQEREPDDEDGVCNESPKLVGNNDSMVKELEEHNIELCLGQDNVEKVDHKEKDSLGDMMDLMENKVEEEDEHEQEEQGQWLLDAKGRAPELLFRRCNTNEFKEFDFGDEKKAELEEGDGQGKEEDEEEEEEEEEVEEEEEEEEEEEEEFRLLPRSNAIDGFPPSQFIQEMTEPINFNSDFDLPGHSSVEFLPPPRDDNRMSSGGCIPFVSNNKRVIDPDIDNPTQSLNGGNKRLRSEGPLDYDKCMDNVQQ >KGN47740 pep chromosome:ASM407v2:6:17648729:17651172:-1 gene:Csa_6G397440 transcript:KGN47740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSSVSGLDSEDDGQSNVGKTQEKPSFFDIYGADAKAEILFKTPESNSTLSLQDVQELVNWVLAEGFMPRWVFIKNKPLIPKVVMVYLPGLDAALYMSQSKILPTFKKFCGSPRAVLALSCISDRMQTIDALLTCKVKRKRDANKVDLKNKLTSEPEISGLEKEAPISIKLPKELPFPVTYYTLTAKALEDNGYPFNQPGFIQTLPAPEGCSPNEMLALDCEMLKRNKQYLRENISLLP >KGN49269 pep chromosome:ASM407v2:6:27440013:27446046:-1 gene:Csa_6G518240 transcript:KGN49269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIVILGGYVEKWREKDVGTRHRFSGDSQLLALYNENPSTRLEVYGHFSNGFEFTIPARRLFAIPSIYTPKIVLKNSRHCFSTFSCSAGRPIPTTEEEVLQAVLESDEKILPCVRTYENDLSRLSLVGGVDFRQSVTAAAADGGEAATEHLDSGMSAMVVETVFPGTSDEHSTVSTRLFLPARKVREKATKLRKSLAQDFNSSTESKNILAMTFRQVVLQQLWDFELVVFTPGSDRNMEDLENPREVPISFTLSSSEERAISVLAETVCMCALQNTEGKFVDGTSRGTSTRLFGWFRKSTIVASKDSSVVIHKLFDNEIADPKSLLQKFNSNKESWKHRNSKSMNYWWMPTELTRLEKIGGSEFCAWVSEYVPAYRLQIDAHQFNGLKFGGWREFVENRWEVLLTHSQMVGLANILDMFYEDVYSLPDKQLQCGANVHSANLLSKKRNYSSWGLLSKTLAGGVFLVAIGAVGQRFMSRVRLPGRYSAEQPITSLDGLSSVKDQAIEAAKLEEYCISVVKIIKDAFGWHGDVRTGKRVGAWIGDAPDYLTVVESDIRSEDAPSGTIGEENIDEVKASAQDIASYQVVLTTEGKIVGFQPTSRVAVNYWAANPLAKQLYGGKNLSPGFIETGLRIERPNEVVVIELLMSVKTDAFFALARPVYY >KGN48341 pep chromosome:ASM407v2:6:22136787:22137086:-1 gene:Csa_6G483247 transcript:KGN48341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDPVSNVYSPVEDPQSQRMKELAEWIVAEHNKNEGTHLKFIRIWKCEVQIVNGVNHRFTLTAKDENDYEAAYMAVVLEQQWKHLKELVYFKKLFLAE >KGN48877 pep chromosome:ASM407v2:6:25548021:25552722:1 gene:Csa_6G504580 transcript:KGN48877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWQWENATAGALAGFATVAAMHPLDVVRTRFQVYDGRGSNLPTYKNTVNAIYTITRMEGLRGLYAGFYPAVLGSTVSWGLYFFFYGRAKQRYSDSGKKDLSPGLHLASAAEAGALVCFCTNPVWLVKTRMQLQSPLHQAQPYSGLYDAFRTILREEGFAALYKGIVPSLMLVSHGAIQFTVYEELRKVIANSRSKGTRVDAQNSRELLNSGDYAVLGGTSKIAAMLLTYPFQVVRARLQQRPGHDGIPRYMDSFHVLKETVRFEGIRGFYRGITPNLLKNVPAASITFIVYENVLKLLKLTRQKE >KGN46062 pep chromosome:ASM407v2:6:3811960:3816578:1 gene:Csa_6G046400 transcript:KGN46062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLKAVCHFPLLLFLFLFSSFHLSTQLPLSQSQSLLQIQQLLNYPQALSSFNTVTDFCNIESTPFLTIVCYEDNITQLHIVGDVLQHPSSFPLNTSIDSLFSTFSHFPNLKVLSLVSLGLEGPLPPSVANLLSLEILNLSSNSLYGSIPHQLSSSKTLQFINLDGNCFSGNIPGWIGSLPFLTTLSLRNNSFNGSLPDSISHMWSLRILSLSRNSLSGNVPDLSNLTNLQVLELGNNLLGPHFPKLPKRLSVLELKNNRFRSSIPPELGSLYRLEKLDLSSNKLVGPFQASLLGLPSIKYLNIGGNRLTGLLLQNISCNSDLTFANLSSNLLTGDLPACLQELKYKNGDIIYGGNCLSNQDQKQHPLNFCHNEALAVSIRPRNLEHRKLRPEVKTFLRIFGGSVAGVVVLALVFLTMRRTYRIGVVKEPSTRFITENPSVADTAKQLYDAKYISQTMKLGTSIPPYRTFTLDELKEATNNFDVSTLITESLDGQIFKGVFTDGNVVAIRSLTLKRRQTPQTYTHQLELISKLRHIHLISALGHCYEFLPDGLTISKVFLIFEYYPYGTLRSHVSGLQGRKLSWTKRISAAIEMVKGIQFLHTGIVPGVWSNNLKITDILLDQDLHVKISCYNLPIVVEHGGMMISGVSSTGTKGKRHAIGVNDKDKNDVYDIGAILLEVILGRQITSQNEVHVSRDLLQVSLKTDEIARKSIVDPAIHKGCSDDSLKTMMEICVRCLHEKAKDRPSVEDILWNLHFAGQVQDSSREAPASPSPSPSPSPFLQMP >KGN47694 pep chromosome:ASM407v2:6:17120487:17120756:-1 gene:Csa_6G381840 transcript:KGN47694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLNTAASKILQKGRQKSPFAFIQKFGYVDVYMKWKKDSYYDSIEHITKSIELKSIISLKNCIAQDPNGCIPISAVSKRGLEMGVSMKV >KGN45677 pep chromosome:ASM407v2:6:474107:474457:1 gene:Csa_6G005165 transcript:KGN45677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPLLLTSKTVRLTRFPISGGKQPLSLLPMKRIWLRLDIWPMVLGMQPWNSLLAKETTETVEFPMVSGIKELNLLLFKKMASNSLVKSVEGISPSKSLYLRSRYFALDHSRTTSGK >KGN48272 pep chromosome:ASM407v2:6:21633851:21639750:-1 gene:Csa_6G452730 transcript:KGN48272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERKTADLMCGNEVENGFDAIVIGSGYGGSVAACRMSMAGIKVCLLEKGRKWESRDFVTDSMNLTSAVRMENRNLGISFGPKDALFQVFEQNDSLATVACGLGGGSLVNAGVMVPTPVLVRRDPNWPKEWERDWNFCESAATAMLKVQSIPIKFPSAKVLEEIVDEEIEGCFESSLNLSINFDIEESLSNSSQQWGNCLACGNCLAGCPYNAKSSTDKNYLLTAIQAGCVVHTTCQVQYVVKNSPNQEGGTSQKRRWSVYLNEIDFIACDFVILSAGVFGTTEILFRSQMRGLKVSEALGCGFSCNGNTVAYLAGSPAPLNGYGLDRERLWKKAFHERPGPSISSSYTSSLGFTIQSAVLPSAYPNLLFKGVTTYGWPNGYWFFHGILDKLKQVLSCKASQAIVLNAMGYDKGDGKIMLQRDTDKVSFFPPLDSLLPQKVNVFQRITKKLGGVLFISRYRSTSVHHLGGCNVASDSSCGVCNASGQVFDLQNPASVHPGLYVCDASLIPRSVGVNPSFTITIVSEHVSKHLVSDILKFKCQRGIELSAINDDRHSLPKTKTNRSQRSIVMVKETMKGYVGGMPCAIFLIMKMNPEGWKDFSQSKEGLGGCHPLLRGKVGGYVEFKGIEKDNLYIINGEVNLCDTGCRTPFTQYMTYDLLLAASSGARYILKGKKTLNPYLFGLYAWRETTTLQVRIEKVSGNHSMNDVSILEGELSISILELLKSFLSLKGEKRGQFISLLLKTFVRTYILQIPRLKYKNSTPIGFLENLYGYTSRFEITTEDGITISCIKFSCTQHQSRVQEGKQLNPVILINGYSTESYYLPTEPIDLARTLLGEGHDVWLLQSRLHPLNPSNDFTIADVGRFDIPAAINKILEMDGSCRKVHIVAHCVGGLASHISLMGGHVSSSCVASLSCTNSSMFFKLTLSSMVKMWLPLVPISMAILGKNKILPLLGTSSISRRHQLLKLIARLLPRYERCTCNECEVFSGIFGCTFWHENVSPSVHHWLNKESSSVLPMAAFPHLRKICKAGFVVDDKGNNNYLIHPERMEFPTLYISGGRSLLVSPLTSFLANKYMKLHQPKFRHERVVVNGFGHSDLLIGEKSCKEVFPHIVSHIKLAENGGAITGEAKKRGIRWETLSWSEDPHDEYGGFATWFSPWVITWMFLCLFVLLLFPFFS >KGN48456 pep chromosome:ASM407v2:6:22977392:22982614:1 gene:Csa_6G487770 transcript:KGN48456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIAKGPLILSNKATSCANSSMSHDYHHQGIFTFSNATSFDKSNPSSLPAQHIRPDKLRLESFSDSIPPPPSHLVGIDEHHHQQQLHHVYASPSSGGTMLSDMFNFPPTTPSAAATTVEFSDNFRTLRPPNSASAMQLFLMNPPPPPQPQPRSPSPPSTSSTLHMLLPNPPANPLQGFEGGVGVGDQTTAFGQFAVVESQGLSLSLHSSSLQHLEDAAVAKAGELRIRDGGILYNYNSNNNQVHGDGGSGSTSSILQYSFRNNENSPHSFQANSQIINNPNNHQVQIGFGSSLGVVNVLRNSKYVKPAQELLEEFCSVGKVQLKKNKFNTKPNPNTESATNATATTSAAATGGSTSKDQPPLSAADRIEHQRRKVKLLSMLDEVERRYNLYREQMQMVVNSFDLVMGFGAAAPYTTLTQKAMSRHFRCLKDAIAEQLKQSYEALGEKGGNGGSGITKGETPRLKLLEQSLRQQRAFHQMGMMEQEAWRPQRGLPERSVNILRAWLFEHFLHPYPSDADKLLLARQTGLSRNQVSNWFINARVRLWKPMVEEMYQLEGKVDTDQQSQEDTPQNNNNNNSNKTQNNAINQQNPTSGAVEEVGAEYTAGHDYSDLHDVWRHGSSGSDHQQHYGTMPEDVTAAADMSPGPTLIRFGTTNTATGDVSLTLGLRHAGNTSAAAAAAAAAAVDNFSLRDFGQS >KGN48118 pep chromosome:ASM407v2:6:20570003:20574520:1 gene:Csa_6G434380 transcript:KGN48118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFAAFTNLSSFSLSNFNQNRSFQSSPSHLLQLRISASPVFTSSSTGIKANGLSLTCRNDRRDLSNRRQLFLVSSFGGGGDDGGDSSSRGGGGGGGDDHGDEGDSGNAGDKNKAEALLVLAEAGQSLESLPKDLAVAIEAGRIPGSVVTKFLELQKSAIMRWLMQFGGFKERLLADDLFLAKVGMECGVGVFTKTAAEYERRREKFFDELEIVFADVVMAIIADFMLVYLPAPTVSLRPPLSVNAGAITKFFHGCPDNAFQIALSGTSYSLLQRFGAIARNGAKLFAVGTTSSLVGTAATNALINARKAIDKNGGAEVENVPILSTSVGYGVYMAVSSNLRYQVVAGVIEQRILEPMLHQHKLLLSAICFAVRTGNTFLGSLLWVDYARLIGVQ >KGN47739 pep chromosome:ASM407v2:6:17635190:17635819:-1 gene:Csa_6G397190 transcript:KGN47739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVHELVVRVKFLAYLSVNASCPVCQYSGITCEMLSGVTTSLEDVQRIFLNLVHKETVLVGHSLENDLMALRISHDLVVDTAVLYKHPRGGSHKSALRILAKRFLSREIQQSGNGHDSIEDARATMELALLKIKHGK >KGN48823 pep chromosome:ASM407v2:6:25287867:25293097:1 gene:Csa_6G502610 transcript:KGN48823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDYNDAFMRNQNAAVQARTKAQNRANVLQLKLIGQSHPTGLTANLLKLFEPRPPLEFKPPPEKRKCPPLTGMAQFVSNFAEPDDPEYAPPVQKGETPTERRARIHLLRIEKGAEKAAEELPKYLERDDPNVTGDPYKTLFVARLNYETTESRIKREFESYGPIKRVRLITDKVTGKPKGYAFIEYMHKRDMKAAYKQADGRKIDGRRVLVDVERGRTVPNWRPRRLGGGLGTTRVGGEENSQRHSGREQQQSGAPSRSEEPRVREDRHGERDREKSYDKGRDRERDREKSREHSHERSKERDVREDRHHRDRDRTRDRDRDRDRDRERDRDRGYDRDRTRDRGRDRGRDYERDRDRDRHRDRDRERERDHEGGYPDPDRGRSHDRDDYDRVESKYEKDRHGDRDRDVDRAETEDGRGWYDQPEHGHRDADHDPQYYDHFEHNRGRGQYDQPEGHRDQDRYDQYDDRMDDDYHYERGNSESHDRERSRDLNHEYKRSERSHSREY >KGN45773 pep chromosome:ASM407v2:6:1116281:1117040:1 gene:Csa_6G010005 transcript:KGN45773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSFILKNPIAFVFMILSLCCVLIVLITTLRLPELTVGVKAGARDKVSLIRKVLKDDELGKFGEMMIEMLPEDLAFTVFIPSEKAFERELSLRVNESFTSTEKMDDTYAVISRILGFSAVPRTIYSTLVDYGSEIIYDAVSGFTLNISKDRNGRLVVNGVRSEMVDLKKKDVLVHIMDGVIMDASFEQSVKPDDNED >KGN45958 pep chromosome:ASM407v2:6:2753123:2758535:1 gene:Csa_6G039020 transcript:KGN45958 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-glucosidase MLPSRFLLLSLLLILFFNAVHSKPKFFPSSSSVSSKIGLGYRVVSVEETPDGSLLARLQVKKPNKIYGPDIPYLQLFVKHETNDRLRVHITDAEKQRWEVPYNLLPREQPPVMKQTIGKSTKNTITGSEYVGSNLIFSYTSDPFSFLVKRKSNGDILFDSSSSDSDPYSNLVFKDQYLEISTKLPEDAALYGLGENTQPHGIRIYPNDPYTLYTTDVSAINLNTDLYGSHPVYMDLRNEGGKASAHAVLLLNSNGMDVFYRGKSLTYKVIGGVLDFYFFSGPSPLDVVQQYTSLIGKPAPMPYWAFGFHQCRWGYHNLSVIEDVVENYQKAQIPLDVIWTDDDHMDGKKDFTLNPVNYPRPKFLAFLDKIHSIGMKYIVIIDPGIAVNSSYGVHQRGLENDVFIKYQGEPFLAQVWPGAVNFPDFLNPKTVLWWGDEVRRFHELVPVDGLWLDMNEVSNFCSGLCKIPKGKQCPTGTGPGWICCLDCKNITKTRWDDPPYKINASGLQVPIGFKTIATSAVHYNGVLEYDAHSLYGFSQSVATHKALLGLEGKRPFILSRSTFVGSGKYAAHWTGDNKGTWDDLKYSISTMLNFGIFGMPMVGSDICGFYPAPTEELCNRWIELGAFYPFSRDHANYYSPRQELYQWESVAISGRNALGMRYKLLPYLYTLNYEAHTTGAPIARPLFFSFPDLKECYNVSTQFLLGSSVLVSPVLEKGKTKVSAMFPPGTWYSLFDMKQTIVSDEVQYLSLPAPLHVINVHLYQNSILPMQQGGLISKEARKTPFTFIVAFPADDSKGEAKGKLFLDEDEHPEISLGDGLSTYVELYATVSQGSVKVWSEVQEGKFALEKGWIVEKLIVLGLDASKRAQALEINGNAVEEGSNIEFYTSEQSYQQAELEDGGDKRKTAMVEVRGLSLSVGKNFEVFWKMG >KGN47506 pep chromosome:ASM407v2:6:15716954:15720832:1 gene:Csa_6G349830 transcript:KGN47506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMSTNAAACAERATNDVLIAPDWAINIELCDIINMDPRQAKDALKILKKRLTSKNPKIQLLALYALEALSKNCGDTVFKLIVDRNILHEMVKIVKKKQPDSTVREKILALVDAWQAAFGGGSEGKYPQYYVAYNDLKNAGFRFPPREENVEQFFSPPQIQPVIEDPVSAYNDLAVQASLQSDSSGLSLPEIQNAQGLGDVLLEMLGALDPKTPEALKQEVIGDLVDQCRSYHSRVVILVNETTDEELLCQGLVLNDSLQRVLSYHDDIAKGTFTMEARRTEPPVPSVPYINPEDDGSEDDSTPLSRRPTRDHIYERDRKLANGQSSRVSPLPSPSSKTTAVVEMIDHLSGDVYKPEGSPRIVEPPSTSSPFYTRQPLFDEPPPRSMPTNPLLTTPRDAQSPSGLPPPPSRYNQRQQYFEQQKAGTGGSQPHLSNDYSSYDNMVGNTKNLSLSPTPTRSAEHEEALFKDLVDFAKAKSSSSKSNRPF >KGN48945 pep chromosome:ASM407v2:6:25939400:25940687:-1 gene:Csa_6G507190 transcript:KGN48945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASNLPDADSVTASIADHSPRNSGQNNNSSSGSSPKSSSRRSGGRTVSSSPWTRIVRGEIDVAAVVSSSASPTAVVEPVSFFSSSSSEEPVGESYDGGNGSTANAGKKPAWNKLANGTEAGPVMGAVSWPALSESAKGTPKSSSDSLKSLGDGSAGAQNSCGRHCFGQLTVFFKRKKQGKRMNFSVKKTQRGQSLHDVLVK >KGN45709 pep chromosome:ASM407v2:6:675058:676315:1 gene:Csa_6G007430 transcript:KGN45709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALKSFALLILVLAFASKVDQSYCGRDSKYQLPLTDWTVTIINYQINASLQVHCKSKDDDLGVHVIQNEGEHYSWGFKENWLQTTKYWCDFQSKLGHASFEVFWPERGTWFSDRCGSNSNCVWVAAHDGFSLLNAPAKTLEFQHPWLH >KGN47320 pep chromosome:ASM407v2:6:14172987:14176086:1 gene:Csa_6G295950 transcript:KGN47320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSCYRGMNSDFAEICNRQIGIVPSTNFSRRFIASEIIVKQLNLEHKLNGHDGCVNAVEFNSTGDLLVSGSDDCKVILWDWARNSKRFSYPSGHLDNIFQTKIMPFTDDQKIVTSAADGKVRLGQVLGDGRVITQMLGEHQGSVHELAVEPGSPHIFYSCGEDGLVQHFDLRNTSARKLFYCTAFAERSRHPPNSIELNAIAIDPRNPNFFALGGSDEYARLYDLRNCRGDVTSSNRVVDTFCPHHLTQTNNFHITGLVFSNSSELLITYSDELIYLFQKNMGLGPSPLTVSSENLLRELKRPHVFSGHRNSATVKGVNFFGPNAEYIVSGSDCGHIYIWKKKGALLVKLMLGDHDVVNHIEPHPHLPILATCGIENNVKIWTPMASDVPPLPDDMEQIMESNKQGREEHSRVTLTPDVIVHVLRLQRRQTSAFTERRYNPADNNSDGENEWEAYNQEALDGSVSFEDDSTEHASECNIS >KGN46265 pep chromosome:ASM407v2:6:5308483:5312438:1 gene:Csa_6G078600 transcript:KGN46265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPQAFIRLSIGSLGLRIPGTSLNSTKPGVNAFSSPCSCEIRLRGFPMQTSSIPLVPSPEAIPDSHGIASSFYLEESDLKALLAPGCFYNTHACLEISVFSGRKGSHCGVGIKRQLIGTFKLDVSPEWGDGKPVILFNGWIGIGKSKNENGRHGAELHLRVKLDPDPRYVFQFQDVTRSSPQVVQLRGSIKQPIFSCKFSRDRVSQADSLSNYWSGLGDGSDLEVERRERKGWKVKIHDLSGSAVAAAFITTPFVPSTGCDWVARSNPGSWLIVRPDVCIPESWQPWGKLEAWRERGIRDTVCCRFHLLSEAQEGGELLMSEIHINAEKGGEFFIDTDKQLRAATSPIPSPQSSGDFAALGQVVGGFVMSCRVQGEGRSSKPTVQLAMRHVTCIEDAAIFMALAAAVDLSIEACRPFRRKIRRTPRHS >KGN47191 pep chromosome:ASM407v2:6:12662031:12662897:1 gene:Csa_6G197217 transcript:KGN47191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLHPDELEDFSCNGSAIGRMFLLLSEPSLEQQASSSFGLQQESSSFGLQQESSQASSFGLQQASSSFGLEGTEILLVHFKPKVFNFLRFLVAFVGFLLLSNLDFWLNGCIIPF >KGN48181 pep chromosome:ASM407v2:6:20938190:20944629:-1 gene:Csa_6G446420 transcript:KGN48181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQAESSDSKGPKRDFSTAILERKKAANRLVVDEAINDDNSVVALHPDTMEKLQLFRGDTILIKGKKRKDTICIALADETCDEPKIRMNKVVRSNLRVRLGDVVSVHQCADVKYGKRVHILPVDDTIEGVTGNLFDAYLKPYFLEAYRPVRKGDLFLVRGGMRSVEFKVIETDPPEYCVVAPDTEIFCDGEPVKREDEDRLDEVGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFCINGPEIMSKLAGESESNLRKAFEEAEKNAPSIIFIDEIDSIAPKREKTHGEVERRIVSQLLTLMDGLKSRAHVIVIGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLRIHTKNMKLAEDVDLERIAKDTHGYVGADLAALCTEAALQCIREKMDVIDLEDETIDAEILNSMAVTNEHFQTALGTSNPSALRETVVEVPNVSWEDIGGLENVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISVKGPELLTMWFGESEANVREIFDKARQSAPCVLFFDELDSIATQRGSSVGDAGGAADRVLNQLLTEMDGMSAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDEDSRHQIFKACLRKSPVSKDVDLRALAKYTQGFSGADITEICQRACKYAIRENIEKDIERERRRRDNPEAMEEDVEDEVAEIKAAHFEESMKFARRSVSDADIRKYQAFAQTLQQSRGFGSEFRFSDNPSSGTAAADPFATSAGGGADDDDLYN >KGN45931 pep chromosome:ASM407v2:6:2376469:2376807:-1 gene:Csa_6G024390 transcript:KGN45931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEGICRPMGRGFEDRIKCQNVLQETQLRSQKQVSDLKFRMDNANTSTKAQLNQQDEKIMELITQNGELQGELLGVSEQNEALIERVERLTDLVEILAKRCDTVYCIIEIST >KGN46673 pep chromosome:ASM407v2:6:8348659:8352203:-1 gene:Csa_6G120430 transcript:KGN46673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAKKRRNEADTTLAGLHQLLRDVFFRRRRFYYHIFPLISALAGCVFLCFVALFLLLSSPVVHRDQLHLTLFHGGTSRDQGIEGVSNSGLELKSSFDVSESEERFVRDVWVTDQSSFFYGCSNASKKFTPAAEKTDPDRYLLITTSGGLNQQRTGITDAVVAAYILNATLVVPKLDQNSFWKDSSNFAEVFDVDWFIKYLSKDVQIVKKLPIKVGKPLTPHSMRVPRKCDPKCYETHVLPVLKKKHAVRLGKFDYRLSNKLTTDLQKLRCRVNYHALKFTDEINEMGKILVERMRKKSKHFIALHLRFEPDMLAFSGCYYGGGEIERQELGQIRKRWKSLHASNPDKERRQGRCPLTPEEVALMLQGLGFQSDVHLYVASGEVYGGEKTLAPLKVMFPNFHTKETLASQEELAPFSSFSSRMAALDFIVCDESNVFVTNNNGNMAKILAGRRRYFGHKPTIRPNTKKLYRLFTDRHNMTWQQFSSKVQAYQVGFMGEPNEVKPGRGEFHENPSACICEDSNSNERRNPSVVNKINDNHRENVKESNNNLINEQAGTEEEQDWTGLEFLEVVGDLGEKRLGSITDSDVGVQAKTEGTELEELFSD >KGN47823 pep chromosome:ASM407v2:6:18313295:18313568:1 gene:Csa_6G405360 transcript:KGN47823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSERLIRTSHHKIHIGTNHPQLVSRSPPFLQTMITRVPPSSPANPLYYHALPQKESPISEEH >KGN47127 pep chromosome:ASM407v2:6:12291287:12293397:-1 gene:Csa_6G188690 transcript:KGN47127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYCFIRPFHSAVHLLKPSSILNSNHRPLISCHYTHSEDVSIKPLLQTHNVVDIQFLVQLLRHGSPPTPPILTKTISICTKSTLLDFGIQVHSTIIKLGFSLNPYIFTALVDMYGKCWSISDAHKVFDEMSCPSVVTWNSLVTGYLQAGYPLMAVSLFLEMLKKGIEPTPFSLSGGLVGCSQLQKGDLGSQLHAMSLKLRFSSNVVVGTGLIDMYSKCCNLQDSRRVFDIMLNKNVFTWTSMISGYARNQLPHEAMILMREMLHLNLKPNGMTYNSLLSSFSCPRHFDKCKQIHCRIITEGYESNNYIAVTLVTAYSECCGSLEDYRKVCSNIRMSDQISWNAVIAGFTNLGIGEEALECFIQMRREKFDVDFFTFTSIFKAIGMTSALEEGKQIHGLVYKTGYTLNLSVQNGLVSMYARSGAIRDSKMVFSMMNEHDLISWNSLLSGCAYHGCGEEAIDLFEKMRRTCIKPDNTSFLAVLTACSHVGLLDKGLEYFKLMRNSELVEPPKLEHYATLVDLFGRAGKLYEAEAFIESIPIEPGISIYKALLSACLIHGNKDIAIRTAKKLLELYPYDPATYIMLSNALGRDGYWDDAASIRRLMSNRGVKKEPGFSWM >KGN49198 pep chromosome:ASM407v2:6:27038049:27040282:1 gene:Csa_6G517060 transcript:KGN49198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVKLWNDKREREMYDNFAELYAIIKATEKLEKAYIRDIISSSDYEAECFKLIAHFKTLASTLKDTVPSIERFADTYKMDCPAAINRLVITGVPATVEHRAAAAASATTSAAIVAECVQNFITAMDSLKLNMVAVDQVHPLLSDLSASLNKLTILPPDFEGKTKMKEWLGRLSKMGAADELTEQQARQLHFDLESSYNSFMAALPTAGT >KGN45912 pep chromosome:ASM407v2:6:2188677:2189379:1 gene:Csa_6G020750 transcript:KGN45912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKWNESQMHFDAVNMTWNGGAGQNGSHTKTNYNHTLLTDDPCHASQCPYSASVQHISVASGRSIKTKVSKIVLGPLRVGKPQGFIGKPNIWKDGKRMRNVSEVTHICRNRSTKRIRERMRTVLEVASKCNHRKTKMSFNSRDIKLERVGQKSKTKRI >KGN49222 pep chromosome:ASM407v2:6:27187195:27190043:1 gene:Csa_6G517300 transcript:KGN49222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSVKDVKSKAELDALLRSDALVILHFWASWCDASNHMDQVFSHLATDFPHAHFLRVEAEEQPEISEAYSVAAVPYFVFIKDGKTVDTLEGADPSSLANKVAKASGAINTGEPAAPASLGMAAGPAILETVRELARDNGSVTESKVQPGLSSALQTKIQQLIDSNSVMLFMKGSPEEPRCGFSRKVVDILKEENVKFGSFDILSDNEIREGLKKFSNWPTFPQLYCKGDLLGGSDIAIAMHESGELKEVFRDHGIENIVSDEVKTAKPDRKGGISENSGLSEALASRLKTLINSSPVMLFMKGKPDEPKCGFSHKVVEILREENVNFETFDILSDDEVRQGIKDYSNWSSFPQLYIKGELVGGSDIVLQMQRSGELRKVLENKGIIKKDTIEDRLKKLTTSSPVMLFMKGIPDAPKCGFSSKVVNALKEEGIDFGSFDILSDEEVRQGLKVYSNWPTFPQLYYKGDLIGGCDIVLELKSNGELKATLSE >KGN47878 pep chromosome:ASM407v2:6:18799432:18804671:1 gene:Csa_6G409350 transcript:KGN47878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLELCLMASHGYPSALVLHHQQHPSREIKDCQPLLSICGARPEIAVGSVLRSIHPEQSDQLWKSIIGMPESNQLVEFDPKVRSLRMVDARDNQGNSLIFGSRSDEEFKKYEKFLEFLVSCPSEDNKHALNLPDLMGLQELNTQLSRGPLSTSHILSSCEFDTHEPIMDFVGELIRSSKITILPDGQIFSTETGAKIKDLLSVVAEFYLPKNSLSWSKQSILVPNYDRLNGAVGSHIYDSSLKLHPTTIAPIKSPDIIKVKPSRKNRNSKKVGRERDLYKKNYFHACESMLSYMFNKQRHGRQAIQSLKNSGRELPQLLTQFSAGIAGTGLVVLFSVMSKVAYGRAPMCSSNLLNTGFGLGLVWVSFAVNKLRDTIICISKKANRVGLKEDEMTRRVDKSLNEIFFRAAALMTVAILRIG >KGN48853 pep chromosome:ASM407v2:6:25437254:25438970:-1 gene:Csa_6G503870 transcript:KGN48853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVCKILHMNSGDGDKGYAKNSLLQQKVISMAWPIIKETVEDFCSTQNIPITTLSMADLGCSSGSNTLMIISNLIKQVELHTNKPTQYQIFFNDLPSNDFNAIFRSLPNCLQELKNQVGDDFGNNCFFNGVSGSFYGRLFPNKSLHFVHSSYSVHWLSQVPQGMEIINKGNIFIDSTSPKNVIEGYYKQFQKDFSLFLKCRGEEIVTGGRMVFTILGRTDEYPPNTDYYCYDIKFMNLVLNGMVREGLIKEEKADRFNIPKYRPSPKEVKTEILKEGSFMINRVQVSRIDWNFYNNGEFDELLSNNNVHDVVDSSYYFAKCIRSVYEPLFISHFGEAIVDELFQRYSKMVKYKMSNKKYEYVNLTMSLTKI >KGN48361 pep chromosome:ASM407v2:6:22249198:22249551:-1 gene:Csa_6G483420 transcript:KGN48361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKVENPMWVKPQSFAGEAYSSDRVYAEAAESATPESYGVCERSRSFRTVEMDGIPFSDRVEERMGWLRSQIIGGEAEIDSPFGERRLCYADHTASGRSLRYIEDFILRKVLPFYG >KGN48715 pep chromosome:ASM407v2:6:24664866:24670866:-1 gene:Csa_6G499100 transcript:KGN48715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNFSCLVSGDRGFGLPFGAANLPSIAVNGFQAQTVNDYGEDCDSGSDMDLSSDSGSENHSRHYSVAISPQDDKVHYHSTAINGVQLGNQLNNRCSEMGYYGIGLVPEAVRLKREYSHGGVKTSDSATTSSTEVSFGKSNDVSSGDTDGYSAAFDQMKYGGGIGNKMHKNFDIPTAPPLNVRDQEIRGVEDQASTCNAYKAYVSATRNEQIAPESCLGQNGQATNIEISNASARNAAGLKVASPSFSVPARLPNYRAIGQGSWGAVISYEACVRLCLHSWAQGHCTEAPYFLNDECKLLRDGFGLRKTLLQPEEDLLAKPPSGLASEETAPKSIRNLGKIKVQVRRVKMGLEPPTSCGLSCIMPSTIKMESLNAHLSTVKRTLHSEWKAKQKVRVAHHLPADSTGSFSHQSLAYMKAGSQYAKQMLAIIKTGAVSLCHISPTYEVVQETYSCLLRLKSSSDDEVVKMQPASGETYLFFPDSPGDDLIIEVKNSKGQHYGHAIVQVAAIADDSDEKTQWWPMYREPEHELVGRIQLHTSYSTSPDDNNSLKCGFVAETVAYDILLEVAMKVSHFQQRHLLLEGPWRWLLHKFATYYGISDSYSKLRYLSYVMDVATPTEDCLSLVEELLQPVIMKQTRRGSLSRQENRMLLEIKEQIEKILALVFENYKSLDESSPSGMMAVFGSASGFVASALTRSVKLYALLHDVLSSEAQLKLCRYLQAATKKRSKFMLAEVDEIISSSKEGTLIDSVILSTAYQKMKTVVWNIRNEVMTDIEIHQQNVLPSFIDLPNLSSSIYSVELCNRLRDFLMACSPPGPSPPVTDLVIATADFQRDLAQWNISPVKGGVDAKELFHSYITLWIQSKRLALLDLCKQDKVQPCGARPESSTLPFVNYIYDRLKETLNEYEVIVCRWPEYANSLEQATADIEKTIFESLERQYSEVLSPLKDNSVPIMVSKYFQKFSRQSVDTFFIPDELGILLNTMKRMLDEFMPQIERKLNSLASSYMTDEGHANGEYLSEVTLVLRAKFRSYLHAVVEKLAENTRVQSATKLRKIIQDTKEAMIDSEIRSRMQPLKDLLMNTIHHLHPVLNNGVFIAICRRLWDRMGQDLLHLLENRKESTSSYKGLRIAVSALDDVFASEMQRLLGNALQRRDLEPPSSIMEVRSILCKDATNF >KGN46956 pep chromosome:ASM407v2:6:10829806:10830003:1 gene:Csa_6G152910 transcript:KGN46956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQHPRSVNNNRSMVDLREIGLSLSYQQNNIMTMLQESEINAHPIQLKNVIGLSLTTTRRPSSFY >KGN47206 pep chromosome:ASM407v2:6:12767755:12768351:1 gene:Csa_6G200310 transcript:KGN47206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWEEMANAIACSYAAFSLVLSLLNKDKSRGFMRSAIIVMDILTVALLFSGFGAAAAVGAIGRQGNSHLNWNKICNVFGRFCGQGAASLLLSLLGAISFLSLSLLSALRLQRGFK >KGN46770 pep chromosome:ASM407v2:6:9262053:9273322:-1 gene:Csa_6G133790 transcript:KGN46770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSLISMVSGILAYKFGAPFAFITSLSVIAYVIFTLTVTQWRTKFRKAMNKADNDANTKAIDSLINYETVKYFNNEAYEANKYDEYLKKYEDAALKTQRSLASLNFGQNVIFSTALSTAMVLCSHGVMNGNMTVGDLVMVNGLLFQLSLPLNFLGSVYRETIQSLVDMKSMFQLLEERAEVRDADTSKPLKLDGGSIEFDNVHFSYLAERKILDGVSFVVPAGKSVAIVGTSGSGKSTILRLLFRFFDIHSGSIKIDGQDVRDVTLDSLRKFVGVVPQDLVLFNDTIFHNIHYGRLSATEEEVYDAAQRAAIHDTIMNFPEKYSTVVGERGLKLSGGEKQRVALARAFLKSPSILLCDEATSALDSSTEAEILSALKSLANNRTSIFIAHRLTTAMQCDEIIVLENGKVVEQGPHEVLLSKAGRYAQLWGQQNNTIDVLDSAVKLEAQ >KGN47504 pep chromosome:ASM407v2:6:15690059:15692152:-1 gene:Csa_6G344820 transcript:KGN47504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSAASILNRLLSRKNLTARSEFTDANAATSTILRYLEEGRLGKAVLILFNSPFPFPHTLYTRLFQLCSSTRALVEARKVESHLATFCPTPPIFLLNRAIEAYGKCGCLKDARELFDEMPQRDGGSWNAMITAYTQNGYALEALNLYLDLNKSGVYATEVTLASILRSCGSVLALHFSRQIHGHIVKCGFVGNVILESSLVDVYGKCRLMNDARSMFDEIQNRNDVSWNVIVRRYLEVGNGKEAVSMFFQMFRESLMPSSFTFSNALIACSRMAALIEGGQIHGIVVKVGLEENEVISSSLIDMYVKCGTLANAHQVFTQPSSRNLISWTSMVYAYATSGDVLKARELFNEMPERNVISWNAMLAGYIHSSQWEEALEFVHLMRSSIKDIDRTTLCLILNVCTGSSDVERGKQVHGFVYRTGFYANLYIGNALLDMYGKCGNLKSAKVWFYQMSQWRDKVSWNALLTAHARHGMSEQAMTIFSEMQLETDPNNFTFATLLGACANMFALEHGKQIHGFMVRNNYAIDIVLTGALVDMYCKCRELKYALKVFEHVASRDVVLWNSIILGCCHNRRDMLAIKLFQLMTMEEGIKPDHVTFQGILLACLHENLVELGRKYFDSMSEKFCVIPRLEHYECMVELYGQHGNMDELEKFINNMPFDPTVPMLERIFNACREHGHSRLAEWVAIRLNEQNFPK >KGN46054 pep chromosome:ASM407v2:6:3756523:3757045:-1 gene:Csa_6G046320 transcript:KGN46054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMEIAANSSSLLSRWSESLARLSLVIVHSQQFQPSSIGCKMPLGSTPFSAMIDSSFTAVCCYWMVFQRFLYN >KGN49352 pep chromosome:ASM407v2:6:27839510:27841808:1 gene:Csa_6G520990 transcript:KGN49352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNTQMVDFGTSIVVPSVIELAKRPIPKISLRYERLDQDPPIVPGGESGPSVPVVDIHRLAIGGSASPEIDTLHSACKEWGFFQIINHGVSTTLLEEFRMEVESFFNLPYDEKKLLWQNSENQEGFGQLFVVSEEQKLDWSDMFYITTLPLNLRKPHLFQRLPPKLRETLEAYSTEVKKLAMVILGHLAEALKMDVEEMRELFGDGVQSVRMNYYPPCPVPDKAIGFSAHSDADALTILYQLNEVEGLQIRKDGRWVSVKPLPNAFVVNIGDIMEIVSNGVYKSIEHRVSSNFSKERLSVATFYSSNINSELGPAKSLIGPHNPAVFRRVLLEKYFRDFFARKLERKSYLEHMRIETEGDHSC >KGN47020 pep chromosome:ASM407v2:6:11479868:11486873:-1 gene:Csa_6G169360 transcript:KGN47020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKLRIDQLRALSSTVFHGIGDSLSIRASQNHHIRHFSDVSSPTLSPAGSSSPPPQNVELKTAPQPEKMNINASTTSSVNQIELAKFSAISDTWWDAEGPFKPLHALNPTRVSFIRSTLCRHFRRDPCLVRPFEGLNFIDVGCGGGILSEPLARMGATVTGIDMVDKNIKIARVHADQDPVTSTIEYYCTTAENLVEQQKEFDAVIASEVIEHVADPAGFCQSLAALTVANGATIVSTINRSMRAFAAAIVAAEYILRWLPIGTHQWSSLLTPEELVLILQRASISVEEMAGFVYNPLTGQWSLSDDTSVNYIAFGKKISQQTD >KGN46391 pep chromosome:ASM407v2:6:6076708:6077481:-1 gene:Csa_6G088680 transcript:KGN46391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASISKRLLLFVAALLATAEMATSITRCPDCGGAAVPYPLSTSPTCGDQLYKIRCDVGSLKFDTVNNTYPIISINPLTQRLVIRPSNFIPNMCVTVDIAHEGIKLNNTLPFNVTSGNTILYFNCTNLLLGSPLNCSSTSLCHSYIKGSRGEATKCEMAQLCCTFRTGGSSNSYMIRVRESWCSAYTSFVNLDPSLGVGQWPEPGLELQWLLPREPVCNTETDCDGNAVCGVDPNGTGLRRCVCNSGFVWDPVVGICS >KGN49137 pep chromosome:ASM407v2:6:26788979:26792896:-1 gene:Csa_6G515460 transcript:KGN49137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEILVRRLASSFSTSLARSTSNFPTRFPSHIGSLCSFSHSSVTTEGDAAVNCLGFRTWHNGGGTFHHSASIDPTAVVEIGAVVHSNSVVGPSVHIGSGSVIGHAVTIGQSTKIGFNVALSNCNVGDFCVIHNGVCVGQDGFGFFVDEQGTMKKKPQMLNVKIGMNVEIGSNTCIDRGSWRDTIIGNNTKIDNLVQIGHNVIIGDCCLLCGQVGIAGSVTIGDYVTLGGRVAIRDHVSVGSNVRLAALSCVTKDIKEPGDYGGFPAVRIIYGYRFLHGEDKSLSSLRHQGRNEIRKFQAPLICYGPTSYEFGHSGHARVEQLVDFGFLISFMGCWWIFYLIHGQLFKDGQKKKQKQKVIK >KGN45844 pep chromosome:ASM407v2:6:1660019:1664875:-1 gene:Csa_6G014640 transcript:KGN45844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGLTAKIRLVKCPRCRRLLPELPDIPVYKCGGCDAILVAKSQRDSSHNTELGSQNRDSAQRHEVHPPSEGNQASISNHEAVIPRHGESNLVLSNERDTNESREWSSENLVHSALSVQQRNARSDDETNHENGELSDGDLLKADEASISSSSHEAIIPSSGECVIDPDAEEDQDEFGGSSSEQLVRRKLGECQTHARNDSHAVNDGPLGFPSRDCSGEEATHHDNRKLPCRDAPEQEDYCMSSPEGTIPSSEECFTDPNDVKGQKECGDISQEQLAHIKSTECLKDSGSYNESPACHGEQPSCSNQSYSRIEFSSHGKANLSGQDPTKVTETSTSSHEVMTIPFSGESVVDPNDERDQKEEEGNHSEQLVQIPLTDSLACHGEQSGYSDQVSSANEIASRENDKPSSVDHSEDEIVDQILLSGEESPKLDDSKEIRSDLESSSISNAHVGTSISPKILAPLAERGEAPLKITSHDSDHQIPVESFACMEVNQCSKLSGDISGMAKILTTKHSFACDGSVSSYDGMDDQFLDHHKRSLQNNHHAATFLTAVERRRREQSLMNSNAVARDSEVPIEGRNSRETSSHEKHYGIEYHERNQNDVLEHRRQDIPMQSRSRLRREKYQSKLSLHGTNHLGGYESGSASSSVFDEPHDSRMHLSENFVDQDEDKARLLRMVYELQDQLEKSCNLNGNASERVSMGSVQRDGWAPMYYDHQIPQEESWHDSEYPSFHRRNGPQINYSGQHPLSRMTSAVKVVSGPQVNYPGQHSFGMEHFPENFPHSRQLLPSEHWHNQGARMPHIDNDYYSQYSSCASSPQHFLSTQLSARGIHMQSDHLNHRNHGRNHLREKNHFAKHHLRPMAGGAPFVTCYYCLKLLQIPAEFLLVKRRCKRLKCGHCSKVLEFSLQSRTHIVPYVQSVAEPPPYEAHEHDNYALAMGKSGSREIGDTIVLPHSSHQDMEKEHSSRNKFEHIKRSYQSGDPSSPAYKADKLSSEVGKFSTKSNSPLHRLMGYSSPSQVFKGLDAPRRSMQRKY >KGN47385 pep chromosome:ASM407v2:6:14736114:14736583:-1 gene:Csa_6G308940 transcript:KGN47385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREGMGFEMLKIGALFLNILVLSVVAAEADKTAAAVNLKVGVVLDLNVVGKMGLSCTNMTLSDFYANRSYYKTKLILNPMDSNGTNYCWCNYNRFIPELIWIGIKSRIDQN >KGN45768 pep chromosome:ASM407v2:6:1057211:1061663:1 gene:Csa_6G009470 transcript:KGN45768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQLFSSFNSKNLSSFQQMTQILIFSPSPILSPFNGREQRWRKKIICCSRQHVWDKSLLQSNSGFRKIRASNSTAGSYGGSAEISKGQPLAWISTWPNKQTQPCHQYPPPKMKGTSRFFTIGLVAAWYSSNIGVLLLNKYLLSNYGFKYPIFLTMCHMTACSLLSYIAIAWLKLVPLQTIRSRVQFFKISALSFIFCISVVFGNISLRYLPVSFNQAIGATTPFFTAVFAYLMTLKREAWLTYVTLIPVVTGVIIASGGEPSFHLFGFLICVAATAARALKSVLQGILLSADGEKLNSMNLLLYMAPMAVVFLLPATLIMEHNVVGITLALARDDIKIIWYLLFNSSLAYFVNLTNFLVTKHTSALTLQVLGNAKGAVAVVVSILIFRNPVSVTGMFGYTLTVMGVILYSEAKKRANKS >KGN46184 pep chromosome:ASM407v2:6:4789132:4789717:-1 gene:Csa_6G067420 transcript:KGN46184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQIKDASSFFLWEDSADSEVDKLLSHPPHQLESAVDDADDQEDACSCSCNNNDFSIDDFQDAYDGDNGDGDQDIGLIGDGGRRRSGAGYEEEEEEEEEESSNNDVGAIRNMNEMEDKVFWDTCMAGGYP >KGN49250 pep chromosome:ASM407v2:6:27338528:27340867:-1 gene:Csa_6G518070 transcript:KGN49250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAAAMAARSAFRSTLARAPISGRFTSSAKTKSAPSPFAIPKQNPLSQSHRILRSPVELSCCLESLLPYHSATASALLTSMLSVSRRSYGWTPEDCKELNLDHFIGDVELIINHKNESASNTTSMILISSTTQIAMMMYDEYRMVRALALKRQDAEIKFLNDECILLSRTYLRIVSDCVLLNLAKRLAV >KGN47512 pep chromosome:ASM407v2:6:15776533:15779194:1 gene:Csa_6G351370 transcript:KGN47512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNISQLESQEQAPNEALPFLHASKLTHKTLFVQSKFIWPKGDLVEAYEKLSEPHVDLEGFLKGDKKATLEASKLVRKACLKHGFFQVTNHGVDQNLLATALHEMGPIFNLPFNVKTRASQSHPAKMWGFSTAHSNRFSSKLPWKETFSFGFDHCNSFNNEPSVVDFFSSTLGKEFKEIGVIYEKYCEAMRDLSLALTELLGISLGLERSHFRKFFEDGSSIMRLNSYPICEQGGVALGTGPHCDPTALTILHQDQVGGLEVFANNQWHSVPPTPNALVVNIGDLFMAQCNGEYKSCVHRAVVNNYKKRRSLAFFLCPRKNKVVRPPEKLVADDESRKYPDFSWSELLEFTQKHYRADAATLQNFTKWVVSSRPCGH >KGN47039 pep chromosome:ASM407v2:6:11686724:11687921:-1 gene:Csa_6G179460 transcript:KGN47039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEINIVNDGASGDDQNPEDFYDVDQRENDAKVAELNQRIEVLEREKKKLVDENEQIKDRIEILSAEIEGLKSEEGTLKERLKEMEKQVKSAEEGNKVLESVAARALELETEVARLQHDLISTMNGADDANAEVERLRKSLGEKAVNVTAVEEELEALKKAKAEGERKVRELERKVGVLEVKEIEEKSKKVRVEEEMRDRIEEKEMEITSFKKTIMDLESVITKNGLELDRWIKEKLKVEELLKESEEKTKMVESKMVQLQKEVEEAHKVICGLKEKAVNALNGTAEELKSAFKGAEKELNLNWPIIAGSTGVVAAVAALAFVLYGRQR >KGN48764 pep chromosome:ASM407v2:6:24989866:24992463:-1 gene:Csa_6G500560 transcript:KGN48764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREDNIDGVLGHNRLALGNLTNRPLKRKLSSILSHSGAKPRDVCGKTVDGEDEYVKENCKRSSGEQCYSMALSRFSKEQQESDCSLASSEADTAFSEMPKQSVQCNLPIDEPHLVHEGEHRITDSEALGVPCLPTSVVPTCSEDNKKECPGIMLNNDNNEEAVDPVLSNDEKDIDVGIIGSSNHDSSEWSRMPISEGSKSLGLDRCSGLKTSNCANADMYDDLLKTCSCSFCLKASYIWSDLHYQDIKGRISALKKSQKDASILAQKSSKEKETYHGQGNSSSSKLELDLCGQWMSLFRHMEDTFAHEGNQLQSSFVSLKDLREDCKMNLEMFNAMPMEKH >KGN46423 pep chromosome:ASM407v2:6:6267125:6268898:1 gene:Csa_6G091960 transcript:KGN46423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITFKYLVFFLSFTSLIASTLSNSEGDALAAWKAQLVDPNSVLQSWDPTLVNPCTWFHITCDSNNFVVRVDLGNANLSGLLINMLRFGTCRIVYDNNLSGSIPKELGKLTNLKSLFLYNNYFSGPLPSSLGNLSSLLFFEAQGNSFSGMIPTSFGALTSLKILRLDDNNLSGNIPFTVLQLVEFADLQLLNVSLNSFFGTTRPTNSSELAVTTIIQDPLAQI >KGN45769 pep chromosome:ASM407v2:6:1063393:1066110:-1 gene:Csa_6G009480 transcript:KGN45769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFIGTQQKCKACEKTVYPVEQLSADGVSYHKSCFKCSHCKGTLKLSNYSSMEGVLYCKPHFEQLFKETGNFNKNFQSPAKSAEKLTPELTRSPSKAAGMFSGTQDKCATCGKTVYPLEKVTVESQSYHKSCFKCSHGGCALSPSNYAALEGILYCKHHFSQLFKEKGSYNHLIKSASMKRSAAPVPEA >KGN46928 pep chromosome:ASM407v2:6:10659352:10661055:-1 gene:Csa_6G151650 transcript:KGN46928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEAIEQPFRPREKLIERQKLFQSIHKHTYLKGPLDKVTSVAIPIALAASSLYLIGRGIYNMSHGIGKKE >KGN48849 pep chromosome:ASM407v2:6:25418157:25421066:1 gene:Csa_6G502850 transcript:KGN48849 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endo-1,4-beta-mannosidase protein 2 MKLWVLALLLAIFIHGVAGGDGFVRTGRTRFLLNGSPYYANGFNAYWLMYVASDPSQRSKVSAVFREASSHGLTVARTWAFSDGGYRPLQYSPGNYNEQMFQGMDFVVAEARRFGIKLILSLVNNYENFGGKKQYVNWARSKGQYLNSDDDFFRNPVVKGFYKNHIRTVLNRYNRFTTIHYKDDPTIMAWELMNEPRCTSDPSGRTIQAWIMEMASFVKSIDRNHLLEAGLEGFYGHSSPQRMRLNPGFNIGTDFIANNRIPGIDFATLHSYPDQWLSSSNDQYQLSFLNNWISTHIQDAESILRKPILLTEFGKSWKVPGYSTNERDLLFNTVYSKIYASARRGGAAAGGLFWQLLTEGMDSFRDGYEIILSQNPSTANVITQQSRRLYQIRRIYARMRNIERWKRARAARGRRIGN >KGN49325 pep chromosome:ASM407v2:6:27709884:27715414:1 gene:Csa_6G520240 transcript:KGN49325 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trehalose-6-phosphate synthase MMSKSYTNLLDLASGNFPIMGREKKRLPRVMTVAGVISELDDDQANSVTSEGPSSVVQDRIIIVANQLPIKAKRRPDNKGWSFSWDEDSLLWQLKDGLPEDMEVLYVGSLRVDVDFCEQEDVSQLLLERFKCVPAFLPHDILSKFYHGFCKQQLWPLFHYMLPFSATHGGRFDRSLWEAYVAANKIFSQRVIEVINPDDDFVWIHDYHLMVLPSFLRRRFTRLRMGFFLHSPFPSSEIYRTLPVREEILKALLNADLIGFHTFDYARHFLSCCSRMLGLEYQSKRGYIGLEYFGRTVGIKIMPVGIHMGQMESVLRLADKDWRVQELKRQFEGKVVLLGVDDMDIFKGVNLKLLAMEQMLRQHPKWQGRAVFVQIANPARGRGKDLEEIQDEIQECCQRINESFGQPNYEPIVFIDRPVSLVERAAYYTIAECVVVTAVRDGMNLTPYEYVVCRQGSPGSDYSSETNGPQKSMLVISEFMGCSPSLSGAIRVNPWNIESTAEALNEAISMAEAEKQLRHEKHYKYVSTHDVAYWSRSFFQDMERTCKDHFRRRCWGIGLGFGFRVVALDPNFRKLSIDAIVAAYSRSKRRAILLDYDGTVMPQTSIDKTPSRQVISIIDSLCDDVRNTVFVVSGRGRESLGNWFSPCDKLGIAAEHGYFMRWSADKDWENCGQGSDFGWIQIAEPVMKLYTEATDGSSIETKESGLVWHHQDADPDFGCSQAKELLDHLESVLANEPVAVKSGQFIVEVKPQGVSKGLVAEKIFTSMAEAGRRADFVLCIGDDRSDEDMFEIIGNAVSSGSLSSNTLVFACTVGQKPSKAKYYLDDTTEVINMLEALAEVSDISSPPESP >KGN46715 pep chromosome:ASM407v2:6:8732492:8733727:1 gene:Csa_6G127270 transcript:KGN46715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFNLKLPNHLPSTFPHISLTSLQPSTLFGHKHASIAPPRRKLMFSSGYITRNPASFPSTRHCHRYFLLKEARGTQLKTQTSVKPVNEELVEDKSKDLPVSGDSIRQRFLQFYASRGHKVLPSASLVPEDPTVLLTIAGMLQFKSVFLGKERLQYSVTDWSFFQQQNLGSRCSVLKITL >KGN48216 pep chromosome:ASM407v2:6:21226610:21230922:1 gene:Csa_6G448740 transcript:KGN48216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESFLLTTNPLLGRNSSLSSLSSSSSSISTQLNSKVEIIRRRIEKVNTRTTHVPASIVASSASKRKTGKGLSANVAVDCSVVSEEAVKDVSWTEVQYESGSIGHRAPPPAEVDKDKQMEYITKILGSKVYDVAVETPLELAPLLSTQLGVNLYLKREDGQQVFSFKIRGAYNMISQLSKDDLKNGVICASAGNHAQGVALSAQRLKIKAQIVMPTTTPTIKVDAVKRLGGTVIQKGDTFDESQKIAKEISCRCGITFIPPYDNEDVIAGQGTVGMEIGRQMRGKIHAIFVPIGGGGLAAGIVSFYKLVYPEVKVFGVEPNDENSMAQALYRDEIVNVTDIGHFADGVAVQQVGNENFRICRELLDDVILVTKEEISAAIKDMFSDERSILEPSGALAIAAARAYCKYNNVTGVNLVAVCSGANMNFDQLREISDIANVDQSIICTMLPETPGSLKELTELLGENITEMQYRFSSGSEDAVVVYKVSAVGKELEAMVELLNSAGFTTYTLNDNPTVKNHLRYMTGGRADIENETLFRFTFPERKGALKHFLKDFKPTWNVSLFHHRSQGILTSDVLIGVQLEKSEHKHFHEYINKVGYKYEVVPQDDAAHVLAKLN >KGN47616 pep chromosome:ASM407v2:6:16527920:16529998:1 gene:Csa_6G365170 transcript:KGN47616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSPCTDTQLFFLSACKARGSDLRVHFKNTRETAHAIRKLPLAKAKRYLEDVLAHKQAIPFRRFCGGVGRTAQAKNRHSNGQGRWPVKSAKFILDLLKNAESNAEVKGLDVDLLHVSHVQVNQAQKQRRRTYRAHGRINPYMSSPCHIELILSEKEEPVKKEPETQLATGKPKKGQAIRSGASS >KGN48542 pep chromosome:ASM407v2:6:23567011:23567247:1 gene:Csa_6G491050 transcript:KGN48542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPWGWLLGKGLRLLQLIVGCTWSYGHTSWACKDEFGFVVRDAHGAMVM >KGN45839 pep chromosome:ASM407v2:6:1607233:1611175:-1 gene:Csa_6G014590 transcript:KGN45839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRLLGLFVLFVVVPVLVRSLNPPLNEDVLGLIVFKADIEDPEGKLASWNEDDDNPCNWVGLKCNPRSNRVVELNLDGFSLNGRLGRGLLQLQFLRKLSLANNNLTGNLSPNNARFENLRVVDLSGNGFHGMIPDDFFRQCGSLRVISLANNKISGKIPESLSSCSSLAAVNLSSNQFSGSLPSGIWSLTGLRSLDLSDNILEGEIPPEVKGMNNLRAVNLGKNRFSGQIPDGIGSCMLLRSVDLSENSFSGNVPATMKKLSLCSTLNLRRNLFQGEVPEWIGGMEGLEILDLSGNRFSGPIPSSFGNLQKLKVLNVSGNGLTGSLAESIVPSQNLSAMDLGHGSLTGVLPAWILKLGSQNVLPSDIKRSSLSTTVGKALVNLQVLDLSHNAFSGEISPDIGILSSLQVLNLCKNSFVGAIPESIGGLKALVFLDLSENQLNGSIPETLGRDVSLKELRLGKNLLEGGVPNSVGNCSSLVTLDVSENRLTGSIPAELSQLINLQIVDLSTNNLSGALPKQLANLPNLLLFNISHNNLQGELPAGGFFNTISPSSVAGNPSLCGSIVKRSCPGVLPKPIVLNPNSSSDAGSTSLPTTLGHKRIILSISALIAIGAAAVILVGVVAITVINLHVRSSANRPEAAITFSGGDDFSHSPTTDANSGKLVMFSGEPDFSTGAHALLNKDCELGRGGFGAVYQTVLRDGHPVAIKKLTVSSLVKSQEEFEREVKKLGKVRHQNLVALEGYYWTPSLQLLIYEFVSGGSLYKQLHEGLGGNILSWNERFNIILGTAKSLAHLHQMNIIHYNIKSSNVLIDSSGEPKVGDFGLARLLPMLDRYVLSSKIQSALGYMAPEFACKTVKITEKCDVYGFGVLVLEVVTGKRPVEYMEDDVVVLCDMVRRELEEGRVEECIDGRLQRNFPLEEAIPVVKLGLICTSQVPSNRPDMAEVVNILELIRCPSEGQEELG >KGN48177 pep chromosome:ASM407v2:6:20916701:20920712:1 gene:Csa_6G446380 transcript:KGN48177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVILTTPQPRGEISEVSGGGGETTFNLCSCYEKMVMNDYDSDAIRWGLRFFNGDSNGDSNGDMPYSTEYLPDTAQHDVNFYEHYYGNNYNNEVNNVENDEIIAHTLQEEFSQLEIAEASGYSNVEDPSQASKFALGWNNPSSRNYSSENDSVEDEVETVEPSSSCSSPGQGDNYYPYGHDNQVDWRMDQMIPAPHVPRINGEIPSIDEVTSDHQRLLDRLKVYDFVERKVKGDGNCQFRALSDQLFGTSDRHKLVRENVVSQLKSHREIYEGYVPMPYDDYLEKMSMSGEWGDHVTLQAAADWYGVKIFVMTSFKETCCIEILPNFQKKKQGFMHCFPVLFGLIYRILHFPELLGRGALQFNLSSRRCFLSCR >KGN47811 pep chromosome:ASM407v2:6:18231282:18235263:1 gene:Csa_6G404260 transcript:KGN47811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGVVNSELCSLKSDSMAAVKGVKRRRRNASSSSPTVGGGGDGQPHKLMPNQSTATKRSSKFRGVSRHRWTGRFEAHLWDKGSWNPTQRKKGKQGAYDEEESAARAYDLAALKYWGTSTFTNFSISDYENEIKIMKTVTKEEYLASLRRRSSGFSRGVSRYRGVARHHHNGRWEARIGRVFGNKYLYLGTYSTQEEAARAYDIAAIEYRGINAVTNFDLSTYIRWLKPQTNPNPKQQLSPPISSSLFPIDYNTNNTLKSSNNDLHFPIFQSINNDDNNVIIPTNPQTSPRTALGLLLKSSLFKHLVEKNIVNVNEEEDPKDNEVRGLLDFVDDSNINPYFNLHYPCQGTTNLSLSTTPFHFSTLV >KGN48207 pep chromosome:ASM407v2:6:21134159:21135317:1 gene:Csa_6G448160 transcript:KGN48207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRVWGFLVIMLWLSIIGDATNLGATLKRAKRHRQLGEGATIIKQHRRPPFKPGPWNHAHATFYEGGSGTFGAACGYEDVEKEGYGMQTAALSTALFNNGQSCGACYEIKCVDDPQWCKPGQPSLVVTGTNHCPPNHNLPNDNGGWCNPPLEHFDIAKPVFLNIAEFKAGIVPITYRRVPCKKGGGIRFTITGNPYYNQVLVWNVGGAGDLKSVQVKGHRKLKWTSMSRSWGQKWITNAMLVGESLTFKVRASDGRFSTSWHVAPPTWQFGQTFEGKNFK >KGN46249 pep chromosome:ASM407v2:6:5212293:5216046:-1 gene:Csa_6G077460 transcript:KGN46249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSSAALSQAVLPRTISHHASNPSADRVSLSTRSLPTFSGLKSTNSAAVVASSRRNRSSRSRCGVVRASVAETVDKTTDTSLIEKSVNTIRFLSIDAVEKANSGHPGLPMGCAPMGHVLYDEVMRYNPKNPYWFNRDRFVLSAGHGCMLQYALLHLAGYDSVKEEDLKNFRQWESRTPGHPENFETPGIEVTTGPLGQGVANAVGLALAEKHLAARFNKPDNEIVDHYTYVILGDGCQMEGIANEACSLAGHWGLGKLIAFYDDNHISIDGHTEIAFTENVDKRFEALGWHVIWVKNGNNGYDEIRAAIREAKAVKDKPTLIKVTTTIGYGSPNKANSYSVHGSALGAKEVDATRQNLGWPYEPFHVPEDVKKHWSRHTPQGAALEAEWNAKFAEYEKKYAEEAAELKGIISGELPAGWEKALPTYTPESPADATRNLSQQNLNALAKVLPGFLGGSADLASSNMTLLKSFGNFQKDTPEERNLRFGVREHGMGAICNGIALHSPGLIPYCATFFVFTDYMRAAIRISALSQAGVIYVMTHDSIGLGEDGPTHQPIEHLASFRAMPNILMLRPADGNETAGAYKVAVLNQKRPSILALSRQKLPNLPGTSIEGVEKGGYILSDNSSGNKPDVILIGTGSELEIAAKAAEELRKEGKAVRLVSFVSWELFNEQSDAYKESVLPAAVSARVSIEAGTTFGWEKIVGSKGKAIGIDRFGASAPAGKIYKEFGITVEAVIAAAKQVI >KGN46557 pep chromosome:ASM407v2:6:7257539:7259723:1 gene:Csa_6G108550 transcript:KGN46557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGSAQSRYVRLTKDQGPLEDITPGELNQPIQVPQLIVHRCEECGQPLPESYQPPADEDWTTGICGCFQDISTCWRGMLCPCVLFGENVETLREEIPWQNACVCHAMCVEGGMAVAAATALFHGIDPQTSFLISETLLFAWWMCGIYTGLFRQSLQKKYHLKNSPCDPCLVHCCMHWCALCQENREMRNHLSDNITMQMTVIDPPALQAMNTNDDNEFPPSSSVVPSQELAITPI >KGN47570 pep chromosome:ASM407v2:6:16192794:16194065:-1 gene:Csa_6G361320 transcript:KGN47570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAVTTTDQSRHRSVSKVGIIGAGISGIAAAKHLSSHHPVVFEATDSIGGVWKHCAYRTTRLQTPRRDFEFSDYPWPSQTTESDEFPTYLEILDYLHGYATHFDVLKFVKFNSKVVQLRYIGGPEAEDSTAAAGVYGSLLNGRPAWEVAVQDTHLNTIQWYEFELVVICVGRYGDIPNIPTFPHNKGQEVFKGKVLHSLDYAKLDHDDARALLQNKRVVIVGYRKSAIDLAVECAEANQGTLSQISDF >KGN47419 pep chromosome:ASM407v2:6:15011985:15013723:-1 gene:Csa_6G318660 transcript:KGN47419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSATRRTLFTVLSRSLSSSSSSSSSFLLPSPISSRLRFAFPLLNRQVQITPNSFNLPIRCKASESESSLLNDPSPNSSKRPPKDSIPYDGCDYEHWLIVLEFPNDPKPSEEEMVNSYVKTLAAVVGSEEEAKEKIYSVCTTTYTGFGALISEELSRKMKELPGVRWVFPDSYQDVPNKDYGGDLFIDGKVIPRPQFRHNVTQQNNRSPSRYGRH >KGN47500 pep chromosome:ASM407v2:6:15660815:15661445:-1 gene:Csa_6G344290 transcript:KGN47500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSETKSWNGLQEQIGIRQDGCFLCQESCQNMVDLNTMKAFCKSVRHTTKLDVIEKKTEEWQQWLSSSFFADSDPKDGLSLNRAITNQPADRNLRNVQRLQVN >KGN48946 pep chromosome:ASM407v2:6:25945847:25948644:-1 gene:Csa_6G507200 transcript:KGN48946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRSSFASQSHNDHNYQHQPNSFRSRGSGYPRGDSSHHHNHGSRRDQDRGNHDWNPHRNYGQPQRVVQRYIRPPPPPSNATFIPSSMRPLGGPMPFHEFVPPVVYVAAPPQEALRSVPFVAPMPPNAVFFPASDPQLYARIVHQIEYYFSDENLVKDTYLRRNMDEDGWVPIHLVAGFKMVRSLTDNIPVILDALRSTSTVVEVQGDKVRRRNDYSKWIMLPSTPTSNEVAPLSPANSSQDLLAAGVQSITLETYNSTEVRGDFKVEALQSRSPRNFNSQSQLPGTGKPNIGTGLDHSFSARK >KGN48152 pep chromosome:ASM407v2:6:20744919:20745350:1 gene:Csa_6G445175 transcript:KGN48152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKTKRKKKSLSILRNFNIPISNFLLQILRVLTIDRTPNRNASTENLLNGSTEILSHRPGAHNPSNLNNIVKRDITIVLDVLGLLAVAFGLFEGLDDQSSSRGNHRDLGLTVLDSKLDGNSETLPFLGSLLGNVFSDLLWGET >KGN49298 pep chromosome:ASM407v2:6:27579720:27580942:1 gene:Csa_6G519510 transcript:KGN49298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAISVLSTPWVSTGAIAVRVPSASLVFSTGSRGYCSVSLNTVNNNSARSGLLHCSFLPSSSLSCSSSFSGLSLGLDWSSKVGVGQGKGRGLVVRAGKAALCQTKRNRSRKSLARTHGFRRRMRTTNGRAVLKRRRAKGRKVLCTKSNPSSGKRA >KGN46451 pep chromosome:ASM407v2:6:6451623:6457780:-1 gene:Csa_6G094690 transcript:KGN46451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKIPLNFFSNRAKMQYNKCLFSYILHRFFELVLERNAIIIYSNYCLIPFPPRIWNTHCFDFEPFLHWAKNSPAMHGGEFDYGVKGVVEEEQKPAGDELIVDYYDYLHQIFDEMPVPVRQFSFVKVLPLENPEVYAMIKKAEETIGKMNQDQVLLAQKIRKRVMDGDDVRAKLCMINYYDNCGISPNWEKERLDTLHLSLDKLTFANSAYKEKSINSCLSGGEVDKQKLSFSIVHGRKNMADERNLLREIKASQGKDDGMTVEELYAPIQRLKEQMCYNYRDCAKAADDAARNKTILKDIKQHQIAREKAIADAVVNGKLWNSLGSQKAIRAELQEEHSYEYNSGQVEHNHKKINIKIIKLKKKLEKIEKDISSLQKRLKDTNRKKGAAYSIILKLKKQYEEENAGSSPKES >KGN47838 pep chromosome:ASM407v2:6:18434861:18438187:1 gene:Csa_6G406010 transcript:KGN47838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLVGELNFSCPVLTSPRSWPPRRVLQCSQNPSLDPLFLFFNLLNFHISADLQVWTYVKADLNSQNVSEISVASSAVSFAALSQRQSNLREFTFSELKAATKNFSRSLMVGEGGFGSVYRGAIRNSEDPHKRIDIAGHKEWVTEVNFLGVVEHPNLVKLLGYCAEDDERGIQRLLVYEYMPNRSVQDHLSSRFNSSLPWAIRMKIAQDTARGLAYLHEGMDFQIIFRDFKSSNILLDDQWNAKLSDFGLARLGPSDGLSHVSTAIVGTIGYAAPEYLQTGRLTAKIDVWSYGVFLYELITGRRPLDRNRPKGEQKLLEWVRPHLSDLKKFELILDPRLGGKYSIKAAYKLAAVASRCLVRKAAARPKMSEVLEMVNRIMDGFNLESPLIPEKSSTSNSDSEGSKSESLRKRILNPFIGENGCLICLSWRPKVVRTC >KGN48820 pep chromosome:ASM407v2:6:25278350:25281412:1 gene:Csa_6G502580 transcript:KGN48820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDDDVLPTTIASAGKKESSVSSLFGKGRYKFWALAAILLLAFWSMFTGTVSLRWSAGNLNGLSDDIDFSIHDDLDVLEMEEREKIVKHMWDVYTNNRRIRLPRFWQEAFEAAYEDLTSEVPGDREAAISEIARMSVHSVVLDTPSDQSTAAREFTKRQKIADKSRQAATKTWSKL >KGN46920 pep chromosome:ASM407v2:6:10605418:10613585:1 gene:Csa_6G150590 transcript:KGN46920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETPLASKHVKEVEMEPSISTPLQQEVKRKRPYNGIEATKRKKPRRKMYRPKVIGEGRKRKSKGSNTTPAKQQSVTPNPKTPNFVVPKLRTRKPRPLPKPRTRKLVPCQENSILLEDGCIDLAEFAEINAIESCRDLVLVENQREIEKFIEEVAAIEAKENEADNPVDAIDSCRNLVLVENELEIEKVFEEVVAIEAKKAGNENLNITVVRTPVGLSESFCLRKECKRKRSSRRISRKIIEWKPYGLRAAREKGWGSRKKLLPFFFSKQKRTPMVRRCNLASLFALPVCNQLPRNIHKHAVKSEKTEILNGNDIVPIVGWQLKRPRNKLESRANIALQILNCSSRDDDGGTKIGELACQSAFDLNANGRETNVGTAITDVNKEEILTKGSAQTSISQANGRETSIGTALTNDSFCLTLTLFDNSKYYICSDCPGGLECNKVMALVGYNVALLIFFTVNKEETLTKGSTQISLSQVNGLQGNRRDTSIGTALIDVNKDETLTKGCAQTSLLQADGRDTSTGTTVTDALGIFTVNKEETPIKGVAQTSLPQTSSMFSDMRSEGGLQKMGNLFHNKTISKHNVQVTMKWLDISHFLTNSRLLMGRNGNNPPGNGLSIPRIIRGFHNVGSGGNLTRHQDFTLSAKPSGNANKERPTLSMVLWNNREGIRNNHEHNNRLIGETRGVLEEEMDSSCKRFLVPYAADGCYNEALFKNVSPYVGTNNNNGLSHHLQKEGTASVHGKQIIPYAADGCYNEARFKNASPYVGTNNNNGLSDHLQKEGTASVHEKQIIPYARKGGKKNSKHEHNPNSLDGMQGAIVPHPKSLNSTKKKEFGRVYLEPRDITVWKVLIENDSNSEKEKIDEEWWENERKVFRGRINAFNAIMHLILGDRRFSPWKGSVVDSVVGVFLTQNVSDHLSSSAYMSLAATFPLLETENYHGEEIFCIQQSTQRNERLFLRESKWNNDSMMETNKATGDPEEAKELMSADDAISSQGCQGSSIKENHDLTLLSSTCLEDDCGTCLFKNLDDTDNLALHSDKSTFEKEPYSSNQDSTLSCGSNKKNRTSEYKEVGWRDQNPISGNLNPSDTVHTPRSLGKCYSSAECISKSKSGLENNAEDSNSCEEMAVDLQFTPNEKSQGFIGSIEKFQNQEIQLIGDVNAKCSLCSESNEGKMEAGSQFSSDIDNSSLLVDFDVERVQSDESVVPASENTNKAKEKEKKEVKGYLEDRNPNHLNDEKETDKGKAKKSKMKPEVDWNSLREKWDSLRRKHPPCEPRSRDHMDSVDWEAVRFAEPTKIADAIKERGQHNIIAGRIKQFLDRTARLHGCIDLEWLRHAPPKDVKEYLLEIDGLGLKSVECIRLLALQQVAFPVDINVGRIAVRLGWVPLEPLPEEVQMHLLETFPMMDSIQKYLWPRLSMLDQRTLYELHYQLITFGKVFCTKRKPNCNACPLRADCRHYASAYASARLALPGLQEKGIVSTMSSEKSFEGNTRASLLHIDANPFSAECSTDNCEPIVEAPPSPEPAHDESQLTDIEDLYEYDSDDVPIIRLSSGQFTTTSLNCVDNSIGALVPLHPRVASIPMRKLKHIERLRTEHQVYELPDIHPLLSKLERRDPNDPCPYLLCILSPGETVDSCEPPNTICMYREIGEICSEGSCSSCNIVRQQNSGAVHGTILIPCRTAMRGKFPLNGTYFQVNEVFADDETSKNPIQIPREWIWDLPRRIAYFGTSTTTIFRGLEKEDIQYCFQKGFICVRGFNRRTRTPKRLTERLHRATNASIKARANKTDDQKQKTYASNSNSLT >KGN47280 pep chromosome:ASM407v2:6:13808500:13808813:1 gene:Csa_6G280200 transcript:KGN47280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRAAASRTRTNRDRMKGLSDDALALARRTATCTAAGLRRMEKRKMVAASRT >KGN47003 pep chromosome:ASM407v2:6:11327007:11327195:-1 gene:Csa_6G167210 transcript:KGN47003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVILQAIKGMMEMMREDRQEMQERRAQQQIEERALHEDEEVIDLVEQERKLEEEEHERKKKK >KGN45763 pep chromosome:ASM407v2:6:1021260:1024478:-1 gene:Csa_6G009420 transcript:KGN45763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTVQASHVVAMDVEQHQQRPRTDSDDVRRCSVHSRADILEEDGVAVAAAAAVEKRRGSSVSECSVEMDLECGLAEIKVHLAKIEKDCRICHLSLDASNHESGIPIELGCSCKDDLAAAHKHCAEAWFKIKGDITCEICGSIAHNVTGTYEADSTEQRNEPNEATTATATAAIVMPPHSTEARNFWQGHRFLNFLLACMVFAFVISWLFHFKIPS >KGN47287 pep chromosome:ASM407v2:6:13914208:13915072:1 gene:Csa_6G286720 transcript:KGN47287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISETLCTLTIKFATSDDPNLRNYVFKHLGIMTNLTTAPPRPSPARNQRERMRFRPVDCTIRLYQIRCLQRSRTNEIIEHTKLDRRRRCRWRSDRIRHIEDEDGDGDSIDYGTSKTKMEMEIRSTTAHRRRRWRWRFDRLRHIEDEDGDGDSIDYGTSKTEMEILSAVAPRRR >KGN49404 pep chromosome:ASM407v2:6:28119392:28123627:1 gene:Csa_6G523450 transcript:KGN49404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAIYLIGSILTTFFTSLILSLTLPFRCFLRRFFFSTTPTPVSGIGSDSVTLYQGIVWHHRRRPVHHSFNYSVRYALIDLDLSPSPPSGHLSADQARRVASTSGPVFLLTIPASVGYEQNPLSLYYCYQTDQDSAQHLEKCIAEVTNTPWGERVTFVFNPSSDLVAKPLHVSPFMDMLGNWSIKSSAPGDYLHVTISVQHPELGDYFSATLKLKRVSPSFGSDHSSFFYLMPHKVAIWIYWHAFKLWWKGVQFLQHPRYTNPSYKADATIRDQQLQCCKRIGSSQNNQVSEIENKVDRNDRMNGNRKFTWTNAKWPWS >KGN45813 pep chromosome:ASM407v2:6:1426339:1427605:-1 gene:Csa_6G013360 transcript:KGN45813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANVVELKVFLHCEECIKKILKAIKKIQDIETYNVDMEMNKVIVTGNVTNEEVIKVLQKIRKTAIPWQDDELNNINY >KGN45796 pep chromosome:ASM407v2:6:1305585:1307128:1 gene:Csa_6G011720 transcript:KGN45796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSNWDRSTKPEGLNFDHCNPNSSQEASLLLPWMINPQFSSSNEFFPSFSTPSEGIIVEDRAASASKSHSQAEKRRRDRINAQLATLRKLIPKSDKMDKAALLGSAIDQVKDLKRKAMEASKNMTVPTDMDEVTIDSTVVEDNSRNNIAIKVSVSCDDRPELFTELIQVIKGLKLTTIRADMASVGGRIKSILILCNKDGEKSVCLNTVQQSLKLVLSRMSSSSTASTYRIRSKRQRFFLPSQYSK >KGN46727 pep chromosome:ASM407v2:6:8849801:8852358:-1 gene:Csa_6G127390 transcript:KGN46727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRLPTQLVTPVNLHRDAFSSRRSFAPSDTLFSSFPSFTSSSSGRSCWLPLVRCAAQQTGPVNLAPGTPVRPTSILVVGATGTLGRQIVRRALDEGYEVRCLVRPRPAPADFLRDWGAIVVNADLSKPETIPATLVGIHTIIDCATGRPEEPIKTVDWEGKVALIQCAKAMGIQKYIFFSIHNCDKHPEVPLMEIKYCTEKFLKDAGLNHIIIRLCGFMQGLIGQYAVPILEEKSVWGTDAPTRIAYMDTQDIARLTFIALRNENMNGKLLTFAGPRAWTTQEVITLCERLAGQDANVTTVPVSVLRFTRQLTRFFEWTNDVADRLAFSEVIGLCFYSQVHLL >KGN48348 pep chromosome:ASM407v2:6:22167961:22169508:1 gene:Csa_6G483300 transcript:KGN48348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMNSSVLACNYAISGAGSADLNSKLTAAPSVASPGVVGYKLPAIRAQQTKVPEAKNDGRRTALLYLGASLFAAAAAASNSSANAGVIEDYLEKSKANKELNDKKRLATSGANFARAYTVEFGTCKFPENFTGCQDLAKQKKVPFITDDLELECEGKDKYKCGSNVFWKW >KGN47873 pep chromosome:ASM407v2:6:18771474:18774579:1 gene:Csa_6G408810 transcript:KGN47873 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione peroxidase MGSSQSVSEKSIHEFVVKDARGQDLDLSIYRGKVLLVVNVASKCGYTDSNYTQLTELYTKYKEKGLEILAFPCNQFLNQEPGSSQDAQEFACTRFKAEYPIFQKVNVNGPNTAPVYKFLKASKTGFLGTRIKWNFTKFLVDKEGHAIKRYGTTTTPLAIEADIKEALGEV >KGN46549 pep chromosome:ASM407v2:6:7219068:7219840:1 gene:Csa_6G108470 transcript:KGN46549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSYGQIDEKNCPQATPPHFSRVISNLSRQFGSNPPLVWSQIGFPISCRSQVPVARFKFQLNCGLESMCRMCTVHTWDIVRLTSEEGVRGP >KGN48266 pep chromosome:ASM407v2:6:21603609:21604647:-1 gene:Csa_6G452670 transcript:KGN48266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQGDLQAFFTGAAVAGHDDGERLPLKDDKQDLDRGEVPPDFPPESFWLSKDAEFDWFDRNAFLERKESTKASSNSTNLNPNLHPQPNSNSQRFSLNLKSKASIIGLPKSQNTAYVEAKNRRNCKSGNIRLFPKRSGSVGKSVSSMIEPSSPKVSCMGKVRSKKDRNRQKNNNNHHHSSETELTATAKEKSVEKQKKGFFASFRARIFRSGGRRQPVVEPTASSSLANSPPEHKIRAPPPPPQPQPPSKIDETEPPALGGMHRFASGRRSSSWSVSEAGIEVA >KGN49244 pep chromosome:ASM407v2:6:27310107:27310543:-1 gene:Csa_6G518010 transcript:KGN49244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAIAYKAWVTRTTRLSLLSGFRDIIKKNRHYGENTIEKQLRPWDSNWEELKLGNGKMPLVNSKAIPINHETFVKIRLRSLFEQSTNAIYQKPK >KGN47851 pep chromosome:ASM407v2:6:18592681:18595614:-1 gene:Csa_6G407120 transcript:KGN47851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLSHYVCIYIHIILDFFYAVCTVGKTKKNQNKNEAKKSINDAENPHPEEDDSTVRHYELAIFPENYATCFNFLKLFSKALLIFMGLGSRGIKKIEEKKEKHMWSFQVMNKLLQCASIYEYEDNGSRPMETSIEEETQPYYVADGNVTFDELNIAQHEVQPPQDQPPPNISNLHNINIIDHDHGHDYVAENKEEATTTIIVESKSSIGDKILKYFPITIGDKKENKKLILKATTTKNTWKNTEDHLKNKQYSRQETPVLIAAKNGVVEMVEKILHLFPVAIHDTNSEQKNIVLLAVENRHPHIYELLLRRNIIRESAFRMVDSQGNSALHLAAKLGDHKPWLIPGAALQMQWELKWYQFVKASMPSNFFPTYNKEGKTSKVLFSETHCDLVRSGEEWLTHTSESCSLVAALIATVAFATSATVPGGNDQNKGTPLLHGRPAFNVFAVASLIALCCSVTSLVMFLSILTSRFQAKDFGGNLPTKLLLGLSSLFLSIAAMLVSFCAGHYFVLSDKLHYAALPVYAVTCLPVTLFAIAQFPLYVDLVWATIKKVPTRSYSAISPI >KGN48704 pep chromosome:ASM407v2:6:24606737:24610342:-1 gene:Csa_6G499000 transcript:KGN48704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METINHVSSLALSPTTSMKGIIERHNLHSKNLQKLEQPSLELQLVENSNYTRLNKEIAEKTHQLRQMRGEELQTLNIEELQQLEKSLESGLSRVMEKKGERIMKEITDLQRKSAELMDENKRLKQQAEKMNGVRHLGVEPEILVVEDGQSSNSVTEVCVSNSNGPPQDLESSDTSLKLGLPYSG >KGN47339 pep chromosome:ASM407v2:6:14381445:14381636:-1 gene:Csa_6G301580 transcript:KGN47339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPASSLQIHLRFDVVRIHDVVPLRSRSVSPGCRTAHLRSNALPLRSHQLSNYLLFSLFVTVCI >KGN48936 pep chromosome:ASM407v2:6:25901695:25905207:1 gene:Csa_6G507110 transcript:KGN48936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCCYSRLEREETVSRCKARKRYMKQLVKARQAFSASHSLYIRSLRGTGAALRQFSNAETYIRRPQPHQHHHLPPVLPSPTPRTPPPPPPPHIPMSPSSDTWTSITASPALPPPPPPPPSSTWDFWDPFVPSTSRTVTEEEWEATTIASEAMVTVTGAASSAPPPSVVSGFSKDTSSTELAMVVSRNTKDLVEIIKELDEYFLKAADAGAQLSLLLEVPTFSSQKKGGQVYNNGWSLWGSNTKPNDAFGKLNGELTATNMGNGYGGNSRSHCSTVEKLYAWEKKLYQEVKTAEATRIEHEKKVEQLRKLELKRADYVKTEKTKKEVEKLESQMMVASQAIETTSAEIIKLREIELYPQLLELVKGLMCMWRSMYESHQVQTHIVEQLKYLNIIPSAEPTSEIHRQSTLQLELEVQQWHASFCNLVKAQRDYVQSLTGWLRLCLFQISGNPLLRTGQDSRIYSLCEEWNLAVDRIPDKVASEGIKSFLTVVHAIVVQQAEEYRQKKKADSASKELDKRATELRSLESKYVSHSMRECSASTRGRDPVQEKQNKVDNLRTKAQDEKQKHENLVSVTRSMTINNLQMSFPHVFQAMVGFSSVCMHAYEAVYNQTKNPDQHEVKRLLP >KGN47773 pep chromosome:ASM407v2:6:17921812:17925316:-1 gene:Csa_6G401430 transcript:KGN47773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRKLDSPVQTQMAVAAAFKSPLSGEYGGSKRMEGKQPTGRRRVFVQTDTGCVLGMELDRSDNAHTVKRRLQIALNVPTDESSLTFGDMVLKNDLSAVRNDSPLLLTRNILHRSSSTPCLSPTGRDIQQRDQSGPIEILGHSNRFVRTKQLVGEIIKAIKIGVDPIPVHSGLGGAYYFRNNRGESVAIVKPTDEEPFAPNNPKGFVGKALGQPGLKRSVRVGETGFREVAAYLLDYDHFANVPHTALVKITHSIFNVNDGVNGNMPPSKKKLISKIASFQEFIPHDFDASDHGTSSFPVVAVHRIGILDIRVFNTDRHAGNLLVRKLDGVGRFGQVELIPIDHGLCLPETLEDPYFEWIHWPQASIPFSEDELKYIKDLNPFKDSEMLRMELPMIREACLRVLVLCTIFLKEAAAFGLCLAEIGEMMTREFRSGEEDPSELELICMEARQLIEEKEIYSPRADLGDEEFQFEIDCDVEESDFSQEIEADDFYPTTPFPFSVGSGIHGRFPLRKLEESIEEENDEEDERGGGFTNLLSSERIPTISKLSMSLKNTSLGEKNKKHSNYFGTRPDNGYMMTNTSSGHRSANEQLPASVTFVKLADMNEDSWSLFLDKFQELLHPAFAKRKSATLGQRQRQRLGTSCQF >KGN48444 pep chromosome:ASM407v2:6:22911599:22912786:1 gene:Csa_6G487650 transcript:KGN48444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNKALPRRLFNAANRLLFPNSPNPRPHVSNNLPLDPGDHAIFRRFLHRRPSFPPPSTFTRSSSLPPLPVGFGNVMEQLLSRDRILLDGLKPPTSVPSELEGLTVEETRKLVKLTEVVRLKRKLKEIPRSWITYREFVRICGEDCSDGNEDYGVELAKRLDHSGAVIVLGNFVFLDPEQMAKSIASLIPSLVNQNEGSKGNEELEEMEKQKTMIDMEADQQVRRELRWGLGFLVAQTAALMRLTFWELTWDVMEPICYFITSSYFMGGYAFFLTTSKEPSFEGIYQSRFMAKQKHLMKLHNFDIHKYNRLRGLHCSNPFTSTNHHFL >KGN46658 pep chromosome:ASM407v2:6:8211027:8211521:-1 gene:Csa_6G118360 transcript:KGN46658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLPLFSFNAQRSSTTTNSRRKPTKFIKTTTLNNASATPHNITPPCSECGKKFCSWKALFGHMRCHPERQWRGINPPPIFLHPPSAAQLDHEIATSLIMLSNAPPDPGRGTSEGREAVVVCEHKPRAHSGLLDLNLPPPMEEIEQESSSPYSSGIVLDLRLGLN >KGN47072 pep chromosome:ASM407v2:6:11941222:11941908:1 gene:Csa_6G185220 transcript:KGN47072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADIKNKSVEEQEQDINLQIANLQIGTSNSQKQMEIFSNNEELERSPNGSRNTESNEAGSSKKNEQESDYDSGSDSDMDDPLLVIEKILLKYNDFIEYVYQILKKYEEKQDWSEIVEIVQKLVKTLNQHMIVVDFYMKCLEELGCFEKQYQFRETHTLNILDVIRHINTRIASSSSFRLVSDIKNRGKVLPICLGEFERSRRELSLMIDSMQLLKKLGLELDRDDETR >KGN47467 pep chromosome:ASM407v2:6:15341920:15342246:1 gene:Csa_6G337010 transcript:KGN47467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGNGCDLKLSLFLAGGGGGLNLYLFLVGNGGGLNLCLFLAGDGGGLNLYLAGGGATWLQTRRGSDSGGGLYSRRVWWMKMGGCNVQSI >KGN45704 pep chromosome:ASM407v2:6:651265:655113:-1 gene:Csa_6G006890 transcript:KGN45704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPMYRYMDSNPFQKSTTPFTYQYPSMETIPSYSMMDPTKSCMPPHDSGRNYWHCGYPMPSYSCCNSGNFLPGCCNFRPSHLPVPPHQHMHCYGGYPPCPEPYYVRYVPPTHYNVEQPRYEFDKSMMRNRHCCGCPNSLCGQNQKGENCVKIEEEKPDSQRKGSLVPFQLGNNQPPIVWIPPDHVGSEKEREPSETGNGKQEKERRGLNLTENLKSLQQAPKLCSGWPLSDLSRLGSFLPDAAGMGDQSVQNKQQEDIKKEFPFPVIWMPAFGREEAARKADVQNLDAPARPSDEPFNAGKLVPTNMLKKDDATSEGPEVVKTVNQINIPEMDMIHKTEDTKKNKERRCIPVEAVKNNEEKEELSRNNVKGRSSSSPKKSRLPPVCLRVDPPAKKKNGNGSSRSSSPQSTAVKGSSQLDSKINNVTGEPDGEKIIKTVEVKTHETPDGNHQVDKESVSSTGEPLSLPTQSKSQEKSADKLCKEEEESHREEYGEKDKAISKASPEKAVDERLEVSSGGSAQEEGKLEKPNLSDNEAAVLIQSAYRGYGVRKWELLKKMKQLVEVRQKVIEVQNRVKALELAPQDEKEQLFVGEMIMRLLLKLDTIQGLHPSIREFRKSLAKELVALEEKLDCMVINKPTEVVPEASIKKPTEHFDVETHDDIKEEQEQKDVVSTGEIFPKGVNESDSLLGESHEAQTLVRVDDMAGFAGMKASTGEELEPTRDGHGKLQEVIDQNTMSEAEQLAKPREHGCQNEDTSGLSSQYFSNQIEGEEVMPSLMGEKRADEDESGAEMEQNVKLVNDAEENVDEVLQMDMNEETLHHHRYFSEDGHPVRDSLEVHVLSPDSDDQVGAQAGQTPEAIDKITISTPYEKAADMELPMREDGNSNKPETDKLEHVEMRRGVSEAEENSHNLAVKLDSDGSPTEKQGAPDESAALPGEQSNSNDDLIIQNELLTDEDRQQTDEVEKVLEDEWDNHQARRACDQSAESLGELSESYRNENIKNEMVTNENEQQTADTKNKMAEDVLQDPCVLEHIPSCKLDNQANELHATGEATSIEMGEVSLPALPNAQRETVDKHDLVRDREMDEKLVEENEKMREMVDKLMEAGKEQIAIISKLSGRVKDLEKRLARKKKQRRGCGVSMSRHHTLNGRIKA >KGN49509 pep chromosome:ASM407v2:6:28773642:28776757:-1 gene:Csa_6G526430 transcript:KGN49509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWATTVSFPSVSNLRGPIFPNNRLVASNRISLNLRASFFDYPLASRLMVRNLPYSTNESRLQEEFSNFGEIAEVLLAKDRSTKRPKGYAFIQYTCQDDAMLALETMDCKIFDGRMIYVEIATPGSGSFGGYPRASGPPKERPNERANVDQEDVADCWY >KGN49533 pep chromosome:ASM407v2:6:28951343:28952380:-1 gene:Csa_6G538620 transcript:KGN49533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWDGVRVRNKEFYLGWNRRKKQNNPQTMDNKDKKKKQSMTPSENHETKLATIPPRDLKIVWGSDDTQWTIKDPNDDEQSYAEAIKVTWLEVKATYKGAKPGSHYKIGFNISLNSDAFGWDSSPVFMMAKVGESGYYTWKRIYFNIIEAGKSPINFPSNFEISVPVSAKDTTLFFGLYEIWGGRWKGGLRIHHAFVTKI >KGN46400 pep chromosome:ASM407v2:6:6122459:6124136:-1 gene:Csa_6G089270 transcript:KGN46400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAEENQMLLPIYCRSTDHKDQLPIMDNAVVVEVKDNLKKLLMKSVAVEKLGSSGKTIKPSIYKIPNFIKDVHKEAYMPHMVSFGPYHHGEKNLAPMEQEKLKVFRHLVDVKGVDYESIVSDVSNILEDLYGAYDDLDEDWWKDNAGSAKFMKMMILHIFYSKDQNTTLTSLISNLLFVEKDELAIVEKKHILHMYRASLLYPSTLSYPNMDEIKKNNKDDKFGLKCQLIPQATLLREAGIRFRKSENKSLENVSFEKGVLTLPSLIVDDNTKTNLLNVMAFEKLHDVGSQVTSFVVLMNNLIDIDKDVELLSNDNIIANALGNNEEAANLFSVLGKGVSLDLGSNNLTEVHQLVNIHCDDSWNRWWANLKHTYFQNPWAIISFFGAIFGFAILIVQAVYQIVDFHTK >KGN46286 pep chromosome:ASM407v2:6:5436177:5438923:-1 gene:Csa_6G079800 transcript:KGN46286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDNQQPILDKEVASVDELPLANLKRWQWWFLVSLNIIFLVVGQAAAVLLGRFYYDKGGNSKWMATVVQTAAFPVLFIPLFLFRSTKDTSTSTNPPSILFLLLIYFSLGSLIALDNWMYSTGLLYLSASTYSLICASQLAFNSVFSYFINSQKFTILISNSVVILSLSSALLAVNDDSERPPGVSKSKYFIGFISSLGASALYSLLLSLMQLTFQKVLKRETFSVVLEMQIYTSLVATIVSVIALFGSGEWKSLPQEMASFGTGRVSYVLTLVGTAVAWQTCSVGVVGLIFIVSSLFSNAISTVSLAVTPLAALVVFHDKMNGVKIIALLLAIWGFVTYLYQNYIDESKAQRRRNTTAEPRDERSLC >KGN47230 pep chromosome:ASM407v2:6:13025262:13025952:-1 gene:Csa_6G217470 transcript:KGN47230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSLRCCLACVLPCGALDLIRIVHLNGHVEEIAHPITAGDVLKANPNHVLSKPSSQGVVRRILILSPESELKRGSIYFLIPSTSLPEKKRNAATTLKTPSRKVKNCTVAAVPTTADTDSYLSDVVSDKKPSRRERRGSRVIVWRPHLESISED >KGN49380 pep chromosome:ASM407v2:6:27981161:27984087:1 gene:Csa_6G522740 transcript:KGN49380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLRKLARLKPIVNEALAGTIFVGKLFCGLHVINTYICTATFTYGPSMLPTLNLTGDFVLAERLSTRFGRVGVGDIVLVRSPENPRKVVGKRLIGMEGDSVTYVVDPKNSDWSETVVVPKGHVWIEGDNIYDSRDSRNFGAVPYSLLQGKIFWRIWPPKSFGQLEKRKSNETVL >KGN47862 pep chromosome:ASM407v2:6:18706430:18706891:1 gene:Csa_6G408210 transcript:KGN47862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELVKVKSGWMKNVEDLAVSSNQCKIVCQLCIKRGAAYLRNNKLEAKKANCPLNWRTMKKLRRKLPYIAPLSSLYIKGNVHEITV >KGN47759 pep chromosome:ASM407v2:6:17808016:17809745:1 gene:Csa_6G400820 transcript:KGN47759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGHFPPAAELTTSGRPVLIPNEIECSILSSVDLECDELPSFPLLKSGIIILTTHRIVWISDSTNSAVAVPLAAVNHILSSKKSIKSMFASPRVRFQVSVPSGGISRSAVITIVIRGKGDHEVFVSKFWENWRARAWENDDNNKDSSSSSASGPTSTGSGGLYSSEGTVRMVGVAGILRKEQEMWENTDKSLQEAFQDLNALMSKAKEMVMLAEKMRQKLLAGTNSQSGSTNDDEVASKEEIQDWLLSVGIISPVTKESAGALYHQQLSRQDK >KGN46382 pep chromosome:ASM407v2:6:6028419:6029146:1 gene:Csa_6G088100 transcript:KGN46382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNSNTTKTMRLPPRRLMTSPRPNNKRKEREGLDYDDDDDEAHQLPLTAKVPKPTPTPHSKQLLAGYLAHEFLTKGTLFGQTWDNPDPAANANANAMASSSSSFSSFSSSPPLTTEPQEKRQAEAQLHHPKKNYQSYVEVANLLKHNGPHLEGIVNPTQLARFLNL >KGN46417 pep chromosome:ASM407v2:6:6208248:6214534:-1 gene:Csa_6G091900 transcript:KGN46417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVKRTESPIYGRQWSSESGTTGGGPASPAMSPARGHHSRSSSVSGISNIKRTQNFAAKAAAQRLAQVMASQTADDDDDDQDDLGFRYSAPPPISLSRNVNNGSRLAAPSAKTTRSPSPGLARNFLEDTSSVRSTSTGRSSISHHSLPVAPPKTTLRTATSMPPLDPPTQRDKRFSSDTVRFSTKDSGNQREASALRDELDILQEENENILEKLRLEEERCKEAETRVRELEKQVAALGEGVSLEAKLLSRKEAALRQREAALKEAKQSKGGGDKEIESLKSEVKKAKEETTSVVQHLHGVEHDVKALRSMTQRMILTQKEMEEVVLKRCWLARYWGLAAKYGICMDIAVTKYEHWSSLAPLPFEIVISAGQKAKEEFSQKGDLDPESRSNLVPDISDLTGEGNIESMLSVEMGLKELASLKVEEAIVLALAQLRRPNSARQSLSDLKSPVDPKFMEAFELSAEESEDVLFKEAWLTYFWRRAKAHGIEEDIAKERLQFWISRSAHSPSSHDAVDVEQGLIELRKLGIERRMWEASRKESA >KGN49240 pep chromosome:ASM407v2:6:27288832:27297103:-1 gene:Csa_6G517970 transcript:KGN49240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSYPRVSCRRRSTADCLNRSCYPPHRPLHLPFSHYFTANLPVMAKREIVDHARNFAIMVRVQGPDPKGLKMQKHAFHQYHSGRTTLSASGMILPETLYDTRAAKHLGNYKDQFATLVLTVSSIFEPFMPLQHRDKIHKGKPELIPGVQIDIMVEGISRDSDVSKTPHWHAAHLLALYDIPTSATALQSVMDASIDSLHQRWEVGWSLASYTNGSPSFRDSLRGQIENEKRTSVGSQKFLDLEGSSKNNDLTIRIAILGVPSLSKDMPNISISPSRQRGSFLLAVGSPFGVLSPVHFLNSLSVGSISNCYPPSSLSKSLLMADMRCLPGMEGCPVFDEKARLIGVLIRPLVHYMTGAEIQLLIPWGAIATACSGLLLGTCNVGERIDNDNRCIGAVGNMAVNKEQKLEGGFSSIQESSGCSRPFPFKIEKAVASVCLVTMGEGIWASGVLLNSQGLILTNAHLIEPWRFGKTNVGGEKSIENAKLLQSHTEHSPCSMNNSVFGGQEIGNIEPNASKNGNILLHNQLEDNKLSFPNYGRRNLHVRLSHAEPWIWCDAKLLYICKGSWDVALLQLEQIPEQLSPITMDCSCPTSGSKIHVIGHGLLGPKSGLSPSVCSGVVSNVVKAKIPSSYHKGDSLEYFPAMLETTAAVHPGGSGGAVVNSEGHMIGLVTSNARHGRGVIIPHLNFSIPCAALEPIHRFSKDMEDLSVVKVLDEPNEQLSSIWALMSQRSPKPSPPPGLPQLLGEDHESKGKGSRFAKFIAEQREVLRKPTLHNEGERLLPSDIVRSKL >KGN45786 pep chromosome:ASM407v2:6:1204990:1208330:1 gene:Csa_6G011610 transcript:KGN45786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECGRNRHCMECCKFELFVICFLLFNLPLPSAAIGANETDRLALLSFKSEITVDPLGLFISWNESVHFCNWAGVICNPQRRVTELNLPSYQFNGKLSPSIGNLSFLTTLNLPNNSFGGEIPQEIGSLSRLQELDFRNNYFVGEIPITISNCSQLQYIGLLNNNLTGVLPMELGLLTKLEVFQCSSNELFGEIPETFGNLSSLRGFWGTLNNFHGNIPSSFGQLRNLTALVIGANKLSGTIPSSIYNISSMRIFSLPVNQLEGGLPTNLGFIFPNLQILKIHTNQFSGPIPFTLSNASKLEEFVISNNMFSGKVPSLASTRHLEVFGIDRNNLGYGNVDDLNFLFPLVNCTNLSSVVISDNNFGGALPEYISNFSTKLRIIGFGRNQIHGTIPTEIGNLFQLEALGLETNQLTGSIPSSFGKLYKLNDLFLNMNKLSGTIPKSLGNLSALGRCNLRLNNLTGAIPPSLGESQSLLMLALSQNQLSGAIPKELLSISSLSIALDLSENYLTGSIPLEVGKLVNLGYLHISDNMLTGVIPSTLSACTSLEDLYLDGNFLEGPIPESLSSLRGIEELDLSRNNLSGKIPTYLQEFEVLSYLNLSFNNLEGEVPTQGVFKNTTAFSILGNKKLCNGINELNLPRCRLDYPRKQKLTTKLKIIISVVSGLVGALLIICCLLFFWSRKKKNKSDLSPSLKASYFAVSYNDLLKATNEFSPDNLIGVGGYGSVYKGILSQDKSVVAVKVFNLQHRGASKSFLAECEALKNIRHRNLVRILSACSGVDFQGNDFMALVFDFMVNGSLEKWLHPVDNLNQEGEKMYLNIMQRLDIAIDVASALDYLHNGSPMPIAHCDLKPSNVLLDADMTAHVGDFGLAKFMAETSFQNRSTESESIGIRGTVGYAPPEYAMGSKISTYGDVYSYGILLLEMFTGKSPTDNMFKDGLTLNNYVLTALPERVQEIADPTMGIQELNGMGNNNLMFEANQSLRIKDCLFSIFSIGVACSTQMPNQRMNISDVVSQLCLAREIFS >KGN47437 pep chromosome:ASM407v2:6:15102161:15102481:-1 gene:Csa_6G324810 transcript:KGN47437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTATSTTILSVGATESLNSKLNSFFLSKSTSLRINSHETLHSLCCHKADSSLHFDLESSFLGKQSRAALLHHLAPTAQKVNSNAHKNLQHNLKPLTKLLFLELPEE >KGN48597 pep chromosome:ASM407v2:6:23922658:23923870:-1 gene:Csa_6G495020 transcript:KGN48597 gene_biotype:protein_coding transcript_biotype:protein_coding description:LOB domain-containing protein MSCNGCRVLRKGCSESCILRPCLQWIDTSEAQGHATVFVAKFFGRAGLMSFISAVPESQRPSLFQSLLFEACGRTVNPVNGAVGLLWTGNWHVCQAAVDTVLRGGTLRPINDFLAAGSPNLASDDTSETEVGCTDMWKIRDSYPNSRFSNSRSRLSPKRKRSEEASTKHQLNDLDLRLTPTFPAKSTLRAATPSMNSEESETTTCFESGLGDNHHHHYPEEGVAQRKLLNLFV >KGN49030 pep chromosome:ASM407v2:6:26298351:26298955:-1 gene:Csa_6G510970 transcript:KGN49030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTRASLRRRVRTRNEEEEKGANILNGFFVSKLLALLIVESKTEGIFLKSESAGKCPFSSALLVGSQSQEI >KGN46781 pep chromosome:ASM407v2:6:9359906:9361799:1 gene:Csa_6G134390 transcript:KGN46781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGYRKPMSPISNFCFFFFFFLLFFFLSFSSSFLFALGDEDNNFNNNNNINDDEDEQEIIKFDLLHRHHPQVAEKIHGDMKIQDVSERMKDIHEHDHNRHRSISKSMNQKQVEDARLRAEAEAATEEEVAKSAILPPATSTPIGMRMISGADFGSSEYFVELKVGTPAQTFMLIADTGSDLTWMKCRYRRCFGNCSSNVNHKSKNEKKQRFRHAFLANHSSSFKTVSCSSTMCTNDLADLFAVRECHNPTSPCVYDYSYTGGASAKGIFAWETLTVGLTNGKEKQLHNSIIGCTESVQGSVFGGADGVMGLGTSSYSLTYKAAENANGGGFSYCLVDHLTDQRAISYFVLGIPTPSTSASTSSAKLPAKMTYTKLYVGDPYSSFYGVDLIGISANGIMLNIPSRVWDINSGGGTIIDSGTSLTILAAPAFDMVMEALTPRLKKFQQLEIEPFDFCFNNSQYTHEMAPKLRFHFGDGTVFEPPTKSYIVSVGKFISCIGFVSMPFPANNIIGNILQQNHLWQFDFQKRRVGFAPSECI >KGN46921 pep chromosome:ASM407v2:6:10616255:10617244:-1 gene:Csa_6G151090 transcript:KGN46921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSTPLPYRSNQVDYSRSGYYISRECSKAQMCLQKILHIISSVPRQEPRVDSTIGKLELDGNVSGGGGFRTDFNLRIGAFTVNEDQELVGFVESGGSGGGNGSVDATEKCSYGDGNGEGAKCSEPLGNETGKCDVEGHEREIPEHSKIAESSRFDENESEKTVVEEEKESNWNKLTASSVDRRDDGEGEMAPFNGPKCKSSGDCLGLLIEAARLIFGDISEDEFDTELTQEESELNNELDIKDPSQLEKVMSESHSSESKRMKLERGNWMVMNIVRDIDDRSPLVRSKRGRSQVLPCRYKDSVLEPWRSQPLPSKIKVSRRQRRSRFRT >KGN47542 pep chromosome:ASM407v2:6:16025327:16027048:1 gene:Csa_6G358060 transcript:KGN47542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKERPGFELDGENLKLASSITGRTLLKDNSLIRASANGSCSIANGRVFHVYDWMLQELSIGNLDYMKIVDMEWFDLENILISCQKQSNPNTIGDVNDGIIGLFNPQTAEPKHILLLTQSRNTYYTKVDVIGVWDTTTGQQIDFIGSTPNSELGNTFKLQWLPSNNCLIAAIHSPQSNIISIFDPRTKETHSGQWEHNPYMMKHEEALVDAMGIEEMQCVCVMDMRLEKLLDEVIGKPKLGWHGGKVFSVYCGFGFEWELASQVQPKNGEHIKDFSIGGDTTISLVEEIQIYTIIALWILKASSGGNYETFVLISAFYI >KGN49179 pep chromosome:ASM407v2:6:26954646:26955258:1 gene:Csa_6G516870 transcript:KGN49179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKMSSTVTGCERIQMTTREREMEKPMGRIEGPFPAQVFGQFVTRCIQKTRDQAAKSDPAALKSLKDSSSSSSSSSKCYSGNKFSAGEGQNVKIAEESLRTVMYLSCWAPT >KGN49216 pep chromosome:ASM407v2:6:27145593:27150807:-1 gene:Csa_6G517240 transcript:KGN49216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFLISNKAICFSCLHFPAPNNLFHWQNPNLIIRYQKRWLPKTSIFCSNADYNLTNSARYGGWDDNGLVSDSDQFRNFLVSFGIDHKRHLFIFLFGFLCALAISRVKVSSIAVFPASVFVFAVGFSLGFVRGGSIDELNLLGNKNRGKEEISGFHAENLRNLEKFFDGFAVKLDNLKCSIQNAIDSREITLTDLESYVKILESSDFSTSNASKVVEALIYNGGKSKAVILENHKPSRKIKDLGDVGFELLQSFGSLLGEKLVGSKPNKVKNNVKPQMAINSVANQTKKSSIPSEIGSIDTDSDSNPAISSDNIEESRKKHAMEMDYFTKINITQEGDRIYSKGMHGSSKRFINDEEYSYQNNRLQYQDNCLNISNMGFNSKLESSRFSDNLIDPGDYSFKMKHRETKTSFAEERGFNESIGAYRSSHMSKSESELYRSQFREDGASKNESSHLTDQPFGEENKVASSSSSIIYDDAMFNKCLMEANDLLKQAKDLMKYRRDEEHVEVILCQSASLLAKATTMKPMSLLAVGQLGNTYLLHGELKLRISRELRGLLAGKEPGSVGKWFEMVEGLDDSVTRRDKLTSILISVCEECEELLVMAGRRYRMALSIDRNDVRALYNWGLALSFRAQLIADVGPEAAFDADKVFLAAIDKFDAMMSRGNVYAPEALFRWAMTLQQRSRLRPNNSKEKAKLLLQAKRLYEDSLNMNSDNVKVREALSSCISEIQFGQY >KGN48990 pep chromosome:ASM407v2:6:26125366:26125986:1 gene:Csa_6G509590 transcript:KGN48990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKDDHEKSSPSGSSNGGDGGDTPSKAIADTHEDDVAVSSSAPVRSSIDITLTLSPQESYGRKRGRGEDHGGGSSSGSGQKGKKKGELIDPPSTDPKCATCGKIFGSWKAVFGHLRSHPERDYRGAFPPPKIWEEMLQQETLRRQHGQGEGSSGGNVEGRARLSSLPSGRGIGIDLNDPEAQEGNKDEFPFDLNEPAPENEEEDDK >KGN49191 pep chromosome:ASM407v2:6:27014004:27014162:1 gene:Csa_6G516990 transcript:KGN49191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASRTHYITAAAYSPRASARPFPKRGQVKLGIMVGLAHTVASIFSPTTRRT >KGN46239 pep chromosome:ASM407v2:6:5158771:5162629:-1 gene:Csa_6G077360 transcript:KGN46239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEETTRSEKLRVVWQPAGGEAGLGESWRGRRKWSSGRGRWRPEAITEIVLRSSELAVIGAIFNLSGKKPEYLGVQKNQPSLALCPATKNCISTAENVSDLTHYTPPWDYNPEEGRGKKDPISREVAMQELIQVIKSTRPDKFTPKIVEQKDDYLLVEYESPILGFVDDVEFWFPPGKKSVVEYRSASRIGNFDFDYNRRRIKALRLELEKKGWAPVESF >KGN46118 pep chromosome:ASM407v2:6:4247637:4251582:1 gene:Csa_6G055390 transcript:KGN46118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSHSIAHRSSSWVFSTLLGTALKVPLSSSRAYRMSLIEQSKLFHGTKIVRPPDFTFQTLPINSPYSHSLPICSQEIVDFNLLSEFLDAFESSSEEKQKEYVVCVPRDHRIMVSVPRRVQLMLLALEGGGFFSSSASGYSSSLSLLLLGQKSEDKSMRVLPLLVDRDPDVNIQLASTKTWISWRCASPSFRRCFRHNPAGPTTPPPLKKPATTQRQDSLRTSPISDNGKNHVPSSDEDNLARKMVLKSSLKKTSDANIDSVRNADGNEATGGKGSCDSSHVERRKVQWTDTCGSQLAEVKEFEPSEINASDDENDMGKRRCLCSIM >KGN45753 pep chromosome:ASM407v2:6:953406:953887:-1 gene:Csa_6G008840 transcript:KGN45753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCSRSSKYNWVMVFLVAVMLIGGTECGHVHSKGECAPSTPDREAFKMMPCMGASKDVDYPVSQRCCDQVKKLGQSTSCLCAVMLSKTAELVGSKPDIAITIPKRCNIVDRPVGYNCGGYVLP >KGN49279 pep chromosome:ASM407v2:6:27490676:27498991:1 gene:Csa_6G518340 transcript:KGN49279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTELSEDAQHIEKLYEFGERLNEAEDKSQNVKDYQGIIDAAKTSTKAKQLAAQLIPRFFKFFPSLSGPAIDAHIDLIEEEELAIRVQAIRGLPLFCKDTPENIGKIVDILVQILASEEFVERDAVHKALMALLRQDVKASLSALFKHIGSVDEPTTDEVIREKVLSFIREKVFPIKSEILKPQEEMERHITDLIKKSLEDVTGAEFRMFMDFLKSLSIFGEKAPPERLKELIGIIEGQADLDAQFNVSDADHIDRLISCLFMAIPFVVRGASSCKFLSYLNKHVIPVFEKLPEERKLDLLKALAEFSPYTTPQDSRQFLPSVVQLLKKYMPGRKTGEEMNFTYVECLLYTFHHLAHKVPNATNSLCGYKIVTGQPSDRLGEDFSDNYKDFTERLTNVEDLTRATIKKLTQGMDEHNKAMAAAKSDEAKSNIKTQQQNAKTGLRTCNNILAMGKPLHAKAPTFIGDNSINLSWKEVTKTQVPTTTSAAGGKRPAIAANGSNNMPSKKGRGSGGLQNQLVNRALEGLSYGGRGGGMRGGRGRGWGGRGRGRGRGFR >KGN48810 pep chromosome:ASM407v2:6:25231426:25233079:1 gene:Csa_6G501990 transcript:KGN48810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVNLRPDADNSLLVSHLYNPEVYTQQVLPQQGTGEGSKPTKRRRRRSKAKEGGGAAGLKKRKLSSEQVKLLEMNFGNEHKLESERKDRLASELGLDPRQVAVWFQNRRARWKNKKLEEEYSTLKKAHDSVVLQKSHLESELMKVKEQLKEAKNEIRKMVEGSEVRNNSSNSPSSSVTMEAVEEAAVVPLGELFFEEYEDVFYCMQDNNYNQGLNWALNLNFM >KGN46044 pep chromosome:ASM407v2:6:3694050:3694353:1 gene:Csa_6G046220 transcript:KGN46044 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-deoxy-D-xylulose-5-phosphate synthase MAKLGAQGHSVSWFCDFPLWLHDAVSLSLPGGVSYPRSGPSGHRLELFNRLRMEKGSISVRAIKMNRRNHAVTL >KGN45675 pep chromosome:ASM407v2:6:468481:469831:-1 gene:Csa_6G005150 transcript:KGN45675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSSVPEALQTHICQLNQSPWDVIPLHQHDTNQLEEAEDSFNENASFGDSVGAVESVSSMMEESGKLSNNNIVITNGNEVMADKDGDYCEDFDENGNGFEKLVDSSLKCKKQFKEEDYSSFSSDIHHRRSFLRSSENNYYSTLDNCSISKKSATGGTVSRRIRPARCSKKAVNAAAGGSNPYEFYYYSGFGPLWGKKRRDRGGEEDGGKSSENTTGIRSNATTPSPSDMEELDYVEYDEDDEEEDGDGEGEGGKKRMRKPVKARSLKSLM >KGN48086 pep chromosome:ASM407v2:6:20324718:20328670:-1 gene:Csa_6G430140 transcript:KGN48086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLCFFLTCISFILFLKSLSLKPLPLWADEMRFLSHWFWKELSFFPIYKVIKNSLCTYTFCISSKMSFKKKYLSKVENVEESGEMSVLDLPELALECILEKLPPDALCSMAGVCSSLRERCISDHLWEKHMKRKWGKVIGQAAYREWQWHLASRAGESNLKQNKQKSLIRLFSFGWSLSWIRSKVNENSNTPRTFLPVDSIMAWYLALETGRFWFPAQVYNRENGHVGFMLSCYDAELCYDLRTDTFQARYPPHGRRAIAIENGVQWERLRSPPIDTPPHDLHVSDCLNELWPGDHIEIQWRKNKDFPYGWWYGVVGHLETCDGDANHCSCHYSDTVVLEFNQYTPGSRWRLAIIDRKDHREEGNEVDGFYGGIRKLYSNEEISMWKQLWPTDILE >KGN47640 pep chromosome:ASM407v2:6:16662363:16662709:-1 gene:Csa_6G366380 transcript:KGN47640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSPPPPPPPHPLFSTADSSSTSPSDALFFFPPDSDSTILTEFGWNFHSLQPQPSRFPHSHRIHSDFPPTSTTTTTTTTTTPLIKESAGLSDAPLPFLF >KGN48487 pep chromosome:ASM407v2:6:23170748:23178077:1 gene:Csa_6G490020 transcript:KGN48487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADFQTSTQRAKWILSPQELGGRYNAANQRAIEALEKFGAALMEVDADGSLSYPDPQINSKDHADKHSRPKSLSIEEEQFMRVFYENKLQEVCNNFHFPHKIQATALIYFKRFYLQWSVMQHNPKNVMLTCIYAACKIEENHVSAEELGKGISQDHQIILNYEMIVYQSLEFDLIVYAPYRSVEGYVNDIEELFNENAEMLQMLKVTASLEVDKIMLTDAPLLFPPGQLALAALRRSNEVHGVIDFNSYLDSILSRQNSTHTISELYEGINAIESLVNRYAFPSEKDLKHINRKLKSCWGLGSNDESKKREKKSKHKSKRSSNEMQNRPLQN >KGN49190 pep chromosome:ASM407v2:6:27009916:27010317:-1 gene:Csa_6G516980 transcript:KGN49190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLFIPIFPFLIAILLLSPSISLATARKDGGFDGMFGPGNGFGDIPGFGKGWDKGIIGGGYGGGYGGPKGGYGKGGIIRNSVVCKVKGPCYNKKVTCPAKCFSSYSRSGKGYGGGGGGGGCTIDCTKKCIGYC >KGN46081 pep chromosome:ASM407v2:6:3946859:3951151:1 gene:Csa_6G052070 transcript:KGN46081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALHSSFTTVAYANPVSSSRQRQPFHFPYVSNNSISWTRHCRALSLPYSNVSPSLKATLSPTVTAHATPEPLKIMISGAPASGKGTQCELITQKYGLVHIAAGDLLRAEVNSGSKNGKLAKEYMEKGQLVPNDIVVLMVKERLLQPDSQENGWLLDGYPRSYSQAIALKELGFEPDLFILLEVSEEILVERVVGRRLDPVTGKIYHLKYSPPDTEEVAARLTQRFDDTEEKVRLRLQTHHQNVEDVLSMYQDVTVKVNGNASKTDVFDQIDRLLRGLIEQRKAAIESLAA >KGN48183 pep chromosome:ASM407v2:6:20947930:20950068:-1 gene:Csa_6G446430 transcript:KGN48183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHGGGNITRENENQVDRFRRGGGDDFGREVSKIAAAQICQSLGFQGSKESAMDTLAEIVIVYLSDLGKMASFYSNLAGRTECNVFDIVRGFKDLEAPGSSHQDAEVSRCLAGSRTIQEIFEYVNSVQEIPFAQPVPRFPIIKSCKVLPSFIQMRETPPSKHIPNWLPAFPDPHTYIYTPVWNKRTTDPRTDKIEQARQRRKAEKSLLSLQQRLVVNTGNLEEELPSFDSNTPHDIELQPRENVVSVVKSSLKHSDNDFDDSSHVLEAFAPAIEAVKGSGFYDDEEGVKKALPIVRPLVQFKFKTGKKLLGDSLDLNIQKKGMGRTVYLVGRDDERDDKKRRAEYILRQSVENPQELNQL >KGN49336 pep chromosome:ASM407v2:6:27760019:27762131:1 gene:Csa_6G520340 transcript:KGN49336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEISGSSNGHHSVSLNIKDESPAITSREVAAEWVSVSFIQKLIAEVVGTYFLIFAGGASVVVNLSKDKVISFPGIAIVWGLVVMVMVYSVGHISGAHFNPAVTIAFATTKRFPWKQVPAYVISQVLGSTLAAGTLRLIFNGHQDHFSGTLPSDSYLQTFVIEFIITFYLMFVVSGVATDNRAIGELAGLAVGATVLLNVMFAGPITGASMNPARSLGPAIVSRQFKGLWIYIVAPIFGAITGALVYNTIRFTDKPLREITKSASFLKGQSRKGSS >KGN46218 pep chromosome:ASM407v2:6:5023351:5023659:-1 gene:Csa_6G075185 transcript:KGN46218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLGCGGRGPPHDAAAAAAAVAAPPAGPKGRLWPTSKWTRAHLPHLHHMTHFDLQYWSLRPSFAVHGAPQSSFPPLTWTPLLLLPPSSPSSPSSSHLSFPNV >KGN48383 pep chromosome:ASM407v2:6:22429186:22431474:1 gene:Csa_6G484610 transcript:KGN48383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKKPLIGAIVVQITYSGMSILAKAAFTSGMNNFIFIFYRQAFGTLFLIPPTILFKRKEVACLSIGDMFKIFMLALLGRTLVLIAYGLGVKYTSAVSGAAAFNALPVTTFLFALLLRMEKLKVKKASGMAKVGGLMLCVVGVSILAFYKGPFMKPLFNFHLLETPHHNNPHPSNSSPQPPQHTWALGCFMLLVSSICSGLWLVLQALVLKHSCPSPLVLTCGQTLSSAFQTFVVAIAVESNPSEWKLGWNIRLFSVLYCGIFVICTGNYLACWVIKKKGPVFLAATTPLNLIATLIASQFLLTDGTSLGSLIGGTLLVLSLYSVLWGQSKEKDCENTQINLINNSDPIPSEKEIGDLHDINQISISKP >KGN49543 pep chromosome:ASM407v2:6:28995598:28998813:-1 gene:Csa_6G538720 transcript:KGN49543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWSATMIGALLGLGTQMYSNALRKLPYMRHPWEHLVGMGLGAVFVNQLVKWDAKLQEDLDKMLDKAKAANERRYFDEDDD >KGN46510 pep chromosome:ASM407v2:6:6908538:6908833:1 gene:Csa_6G105150 transcript:KGN46510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERRSQSHEVLRKGPWKLEEDEVLLNHVNRFGPRDWSSIRSKGLLQRTGKSCRLRWVNKLRPNLKK >KGN46611 pep chromosome:ASM407v2:6:7775649:7777337:1 gene:Csa_6G112490 transcript:KGN46611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSDNESGGHNSNANSELSAKEQDRFLPIANVSRIMKKALPANAKISKDAKETVQECVSEFISFITGEASDKCQREKRKTINGDDLLWAMTTLGFEEYVEPLKTYLQKYREMEGEKSTMGRQGEKDGGGGGPGGSGGGVNSSGAAAGGAGGGYNGVGGMYGGVMMMGHHQGGVYGGAGFHHMGIGSGKGGSGGASGTGHR >KGN47531 pep chromosome:ASM407v2:6:15950464:15954603:1 gene:Csa_6G355990 transcript:KGN47531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCFSSCISRTQDGSRVETDSRIRFESRHSANSSGTWRGKEGESKHESCPKRSAAARSFTFRELAMATRGFKEVNLLGEGGFGRVYKGRLESGQIVAVKQLNRDGLQGFQEFIVEVLMLSLLHHPNLVTLIGYCTDGDQRLLVYEFMPMGSLEDHLFDIGTDKKPLSWNTRMKIAVAAARGIEYLHCKANPPVIYRDLKSANILLDNDFNPKLSDFGLAKLGPVGDNTHVSTRIMGTYGYCAPEYAMSGKLTVKSDIYSFGVVLLELITGRKVIDTKRRPGEQNLVVWSRPILGDRRRVLELVDPLLEGQFPLRCLQHAVAITAMCLQEQPLFRPLITDIVVALEYLASQSYLREVRCRRFNNSSQISPPQQNKDTHAQESDP >KGN48692 pep chromosome:ASM407v2:6:24557694:24558794:-1 gene:Csa_6G498400 transcript:KGN48692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYPPTQRPPPMSLTTRFSFSKRPYDSPRWVPFPTNVMFRHFEIVNVFDSVAGNITGVSDLYRMKMSAHYSDLVVVRGCPEFGQEWIQLLGDLYGKPIFPVGQLPTSEYEIGDENPAWRTTKEWLDKQPKDSVVYVAFGSEAKPSQNELTEIALGLEKSELPFFGVFRTRRGPSDPDPIELPEGFEERTKGRGVVWTTWAPRLKILGHESVGGFLTHCGCSSLVEAIQNEKALVLLTFLSDQGINARVLEEKKMGYSIRRNELDGSFRRDAVAESLKLVVVGEEGKIVGSVYRETIREIKDKNKNAF >KGN47946 pep chromosome:ASM407v2:6:19309051:19309874:1 gene:Csa_6G418940 transcript:KGN47946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVCICQEVKWMEGKFAMKNIGPMVPSMYLDGRLENDKDYGVSMFEPNKNKDLTMKWLDSKHHKSVIYVSFGSGAELEKEQMEELACALKRTNKYFLWVVRESEVHKLPQNFIEDHEDAAGDQKGLVVNWCCQLQVLAHKSVGCFVTHCGWNSTLEALSLGVPLVTMAQWSDQPTNAKYVEDVWRVGKRVRLREEDNGMCRREEIEKCVNEVMEEGEVGEEIRKRLRKWRELAKEAMDDGGTSHANIIHFLQQLLNKTN >KGN46766 pep chromosome:ASM407v2:6:9234605:9239095:1 gene:Csa_6G133750 transcript:KGN46766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFCSASVLPLFFVIINLLHLAIADLESDKQALLDFASSVPHRRSLNWNDTTPICTSWVGVTCSADGTHVLTLRLPGIGLVGSIPSDTLGKLDGLKILSLRSNLLSGIIPSDITSLPSLQYLYLQHNNLSGDVPSSLSPTLVVLNLSFNLLEGKIPKTVQNLTQLTGLNLQNNNLSGSIPDINLPKLKHLNISYNHLNGSIPTFFNTFPNSSFIGNPSLCGSPLKACSIVLSPAPHAPPSPAISQKQSSKKLKMGVIIAIAVGGFFVLFLVVLFVVLCCLKKKEGGDAGTRKGKVSGGGRSEKPKEEFGSGVQEPEKNKLVFFEGCSFNFDLEDLLRASAEVLGKGSYGTAYKAVLEEPTTVVVKRLKEVVVGKREFEQQMDIVGRVGQHPNVMPLRAYYYSKDEKLLVYDYVPGGSLSSLLHGNRGGERTPLDWDSRVKIALATAKGIAHIHAMGGPKFTHGNIKASNVLLIQDVNACVSDFGLTPLMNVPTSRTAGYRAPEVIEARKHTHKSDVYSFGVLLLEMLTGKAPLQSPGRDEMVDLPRWVQSVVREEWTAEVFDVELMRYQNIEEEMVQMLQIAMTCVAKLPDMRPNMDEVVRMIEEIRQSDSENRPSSEENKSKDSNVQTP >KGN48623 pep chromosome:ASM407v2:6:24088289:24088819:1 gene:Csa_6G495770 transcript:KGN48623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPSPILLNSQNFPWQYKAELAGSFAMFLFVGGSFLVFFLNTTHYSISVFRVNLSPEMSYPTKLTRPIQPSTTTQVSVTQSRDEWRIGTRNGNTWPDRHRRFYAGASKRIFLVTGSQPSACNNPAGDHLLLKLSKNKVDYCRIHGHEMGSHWAKLPITGLNVGPSRSGMDLVDGL >KGN49415 pep chromosome:ASM407v2:6:28191318:28191668:1 gene:Csa_6G524040 transcript:KGN49415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMELANHRPFEVVRQLAECNAVVVFSISGCCMCTVVKRLLFGLGVGPTVVELDHLSHPSADDIQAVLHHLLPHQPHPIPAVFVGGKFLGGLETLMSSHINGSLVPLLKQAGALWL >KGN48644 pep chromosome:ASM407v2:6:24237682:24237868:-1 gene:Csa_6G496960 transcript:KGN48644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPCCDKANVKKGPWSPEEDAKLKAYIDQFGTGGNWIALPQKIGNFQVSLQYL >KGN48230 pep chromosome:ASM407v2:6:21343079:21350549:1 gene:Csa_6G450350 transcript:KGN48230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLSLETPLPVTALQGTAADTSFQVIRLICEAIKSVIVVPLLRGAVYICLTMSLMLLIERVYMGIVIGLVKLFKRKPEKRYKWEPMEDDLELGNSVYPMVLVQIPMYNEKEVYQLSIGAACDLSWPSDRIIIQVLDDSTDPTVKGLVEKECERWASKGITIKYEIRDNRNGYKAGALKEGLKRSYVKLCDYVVIFDADFQPEPDFLRRTVPFLIHNPKIALVQARWKFVNANECLMTRMQEMSLDYHFTVEQEVGSSTYAFFGFNGTAGVWRIAALNEAGGWKDRTTVEDMDLAVRASLKGWKFLYLGNIQVKNELPSTLKAFRYQQHRWSCGPANLFRKMVVEIITNNRVTTWKKVHVIYSFFFVRKVVAHINTFIFYCLVLPATVLVQDVEVPKWGYVYIPAIITLLNSVGTPRSFHLLVYWILFENVMAMHRTKGTIIGLLEASRVNEWIVTEKLGDASKPKSTDLRTPLIATPRLRIGERVLMWELGVGMYLLLCGVYDIFFGKNQFYIFLFLQAITFFIVGFGYVGTYVPS >KGN46105 pep chromosome:ASM407v2:6:4120478:4120894:-1 gene:Csa_6G052800 transcript:KGN46105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARQLQIIHSSQGTAQVGMAGVSLLLCAFALFMCASHARKWRRRWNACLDYGYEFEDPVIELNQEATVVTTQRVNTTEPENGNDEMFFSREQQASMWHKNILMGGKCQLPDFSGVILYDPNGNAVTPAKTPRPLLTWK >KGN47325 pep chromosome:ASM407v2:6:14231442:14240718:-1 gene:Csa_6G296980 transcript:KGN47325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGKSKGSGGAGGGERRRVKTAAKKAIQTQEASLSLKGPLIVLLSLFASSLSCAFRTISTSQIHCLAYCRFLSTTTMSDGGEKTCPLCAEEMDPTDQQLKPCKCGYEICVWCWHHIMEMAAKDDTEGRCPACRAIYDKEKIVGMASSCGRLAAEISVEKKVKSQKAKAKSSEGRKQLSSVRVIQRNLVYIVGLPLNLADEDLLQRREYFGQYGKVLKVSMSRTATGVIQQFPNNTCSVYITYSREEEAVRCIQNVHQFVLEGKPLRACFGTTKYCHAWLRNVPCTNPDCLYLHEVGSQEDSFTKDEIISAYTRSRVQQITGASNNLQRRSGSVLPPPMDDYCSINSSNGKPIVKNTPSNPSSTVRGSPPNGSSDKTIALPAAASWGTRGSNIQGPVTSLPSPNGPPKKPDAANSILSFPPAVAGISSAPTVHSEAGKRLALNENYISNNTKGQQESLKSLKPPVSMDCQSFSTDRHDSPEELPTSVSLSCSVVGTPATKDSQKIMALSPSISASTLHIEDSCSSCPEAGATCDGLIQNMSSDMSTASIDRDDIDDQSDLRPNALLSDHDLIKASGDHNLQEQFSGQSIAASLDSTDAAWKGDDVVNCMPFSREERDWRSDFQREVVNATELEEDVISFNSQRLKDPEIMSPSTRLPGWASTFHALNGSTSHPLWPDAANGVATSLATDLSFVDKQFNDNSSLNSPSIPPVFSSQLENGVNTSGQALHTLRHIVGNDPSNINADSLFVDKQFNDSSHFRSSNISTAINSNMESVISSSAATDMPHGNSFLLHNEGSGRHVGRSSGDILNANSNGFVDNGENSIISNILSMDFNMWDNTLTSQNLAMLLGETDKQSPSSRKVQSNNQSRFSFARQEDSKGQDFRIQPSLDIIGQMQRNQSLRRDFSENGNVHLDKFHNSGGFYSNNYDGSVSHSSNQSLNSSNKLSVSRAQISAPPGFSVPSRVPPPGFSSHDRVDHVSDSLSGNHLLEASSLLRNSYQANQTGNNISTGDIEFMDPAILAVGKGRRQIGLNNTGLDIRTPFSPSLGTFDNEASLQLLMQRSLNPQQRYTDVGDGFSHLGDSYGISSRLVDQSQVNNLSNFAQMSLQHSRNGLMSHGHWDGWNEVQGGNNIGVADILRNDRLGYNKYYAGYEDSKFRMPSSSDLYNRTFGM >KGN48226 pep chromosome:ASM407v2:6:21289502:21298646:-1 gene:Csa_6G449820 transcript:KGN48226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSNHLLLEEPIRMASILEPSKASFFPTMTKIVGTLGPKSRSVQVISACLTAGMSVARFDFSWGSPDYHQETLENLKIAVKSTKKLCAIMLDTAGPEVLVVNRSEKSISLQEDGFLVLTPNQELEASSELLPINYDGLSKVVKKGDTLFLGQYLFTGSETTSVWLEVFEVKGDDVVCVVKNSATLVGTMYTLHAAEIHIDLPTLTDKDKEIIATWGVKNKIDFLSLSHARHAEDVRQARQFLSKLGDLNQTQIFAKIESVEGLTNFDDILQEADGIILARGNLGLDLPPEKVFLFQKTALYRCNMAGKPAVLTRVVDSMTNNLRPTRAEATDVANAVLDGSDAILLGAETLRGLYPVETVSTVSRICAESEKVFNQDLYFKKAVKHIGEPMSHLESIASSAVRAAIKVKASVIICFTSSGRAARLIAKYRPTMPVISVVIPRLKTDQLRWSLSGAFEARQSLIIRGLFPVLADPQHLADSNNATNESVLKAALDHGKSAGIIKAHDRVVVCQKVGDASVVKIIELED >KGN49476 pep chromosome:ASM407v2:6:28574435:28575220:-1 gene:Csa_6G525610 transcript:KGN49476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSQLIILILAVFVATSSLVFASDPSPLQDFCVADPNCTVKVNGVVCKDPKAVTVEDFFFTGLDKAGNTSNAVGSKVTAANVAQIPGLNTLGISLARIDYAPWGINPPHTHPRASEILTVLEGTLLVGFVTSNTENRLFTKVLYKGDAFVFPVGLIHFQQNIGYGPAVALAALSSQNPGVITIANAVFGSNPDIPANILAKAFQVDVATITKIQSKF >KGN46276 pep chromosome:ASM407v2:6:5376799:5379198:-1 gene:Csa_6G079210 transcript:KGN46276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCQQEVEASNNIIAIPDPLTIHVMVVDDDAISLAVLSNLLKTLNYQVASFVDPVQALSTLRAGKQSFDLIVTALHMSKMNGLELTKRVNDEFKLPVIKTISTNYGEGKLQAFETHSVSGSNEVISSERKKKKSSIEKDQEKSNGKKEVKSTRKKPKVVWTDFLQYRFLQAVHFIGLDRAVPKKILEVMNVPGLTRENVASHLQVLFLSLLLSS >KGN46474 pep chromosome:ASM407v2:6:6651681:6653120:1 gene:Csa_6G095900 transcript:KGN46474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSSTFALSSSSTLLDSKAPRQSASPSSTVPLPTLPSPPTPLSQIRPWKSTAYCRKIARNVMGMATGEVPAEVAAGELAEMPEIVKKVQEAWDKVEDKYAVSSLAVSGFVALWASAGVVSAIDRLPLVPGLLELVGIGYTGWFAYKNLIFRPDREALLQKLKETYSEIIGSS >KGN49531 pep chromosome:ASM407v2:6:28940363:28943165:-1 gene:Csa_6G538600 transcript:KGN49531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEMHLLSGKRRTPVCQIRTQVKILKALVPPLIALLLPLSPLNKTSGCLVLAETIEIERGGALFNQSCIGCHDGGGNIIQPGATLFSSDLERNGADAEEEIYRITYDGKGRMPGFGENCKPRGQCTFGPRLQEEEIRLLAKFVKIQADRGWPNP >KGN48150 pep chromosome:ASM407v2:6:20731108:20732627:1 gene:Csa_6G445150 transcript:KGN48150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNNIDGRSNVKDYQDPPPAPFIDSDEFTQWSFYRAIIAEFVATLLFLYILVLTVIGNARLSDTNICGGVGALGISWAVGGMIFVLVYCTAGISGGHINPAVTFGLLLARKISLVRAFSYILAQCLGAICGCGLAKSLQKTYYVQYNGAANMVANEYSIGTGLAAEIIGTFVLVYTVFSATDPKRNARDSHIPVLAPLPIGFAVTMVHLATIPITGTGINPARSLGAAVIFNKAKAWDHHWIFWVGPFIGAAIAALYHVVIIRAGTIKALASFRSSSAL >KGN48618 pep chromosome:ASM407v2:6:24059484:24063087:1 gene:Csa_6G495720 transcript:KGN48618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSLQRIWSHGRALRGTLAPLSRSFSTDSLVEMKPGEIGVVSGIPEEHLTRRVVIYSPARTASQQGSGKVGKWKINFVSTQKWENPLMGWTSTGDPYANVGDSALSFDSEEAAKAFAEKHGWEYVVKKRHTPLLKAKAYADNFKWKGPPAAAKEA >KGN49124 pep chromosome:ASM407v2:6:26721671:26724623:-1 gene:Csa_6G514850 transcript:KGN49124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKDAILLLFAFLFFSLWWRYWSATGGGSKNLPPGPPGWPIVGNLIQVILQRRPFIFVARDLREKYGPIFTMQMGQRTLIIVSSAELIHEALVQRGPLFASRPADSPIRLVFSVGKCAVNSAEYGPLWRTLRRNFVTELINPTRIKQCSWIRKWAIESHLERLRKENSEKGFVEVMSNCRLSVCSILICICFGAKIPEEEIKVIESILKDVMLITLPKLPDFLPILTPLFGRQLKQAKELRRKQLECLIPLIRKRRMFMERNGDESVRKELPEMVSPMGAAYLDSLFELETPGRGRLGEEELVTLCSEVINAGTDTSATALEWALLHLVQDQDVQERLYNEIINVVGKDGLITEGDIEKMPYLGAVVKETFRRHPPSHFLLSHAATKETELGGYTIPADASVEFYTAHLTEDPNTWEEPGSFRPDRFLEGDGVGVDVTGTKAVKMVPFGAGRRICPAMTLGTLHVHMMLAKMVHAFKWVPVPGAPPDPTETFAFTVIMKNPLKAIVLDRTRL >KGN48112 pep chromosome:ASM407v2:6:20516345:20527626:1 gene:Csa_6G434320 transcript:KGN48112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVTALTPSPSFPHLRSSLLPSFRFRPLLIFSSPPFSPKPHLSRRIRHCIRASSNGAVAAADQPETTSYGRQYFPLAAVVGQDAIKTALLLGAIDREIGGIAISGKRGTAKTVMARGLHAVLPPIEVVVGSISNADPSCPEEWEDGLADRVEYDSAGNIKTQIVKTPFIQIPLGVTEDRLIGSVDVEESVKTGTTVFQPGLLAEAHRGVLYVDEINLLDEGISNLLLNVLTEGVNIVEREGISFRHPCKPLLIATYNPEEGAVREHLLDRIALNLSADLPMSFEDRVAAVGIATQFQEQSKEVLKMVEDEIEVAKTQIILSREYLKDVTIGREQLKYLVLEAIRGGCQGHRAELYAARVAKCLAALEGREKVYADDLKKAVELVILPRSTINENPPDQQNQQPPPPPPPPQNQESGEEENEEEEEQEEDDDKENEQQEQLPEEFIFDAEGGLVDEKLLFFAQQAQRRRGKAGRAKNVIFSEDRGRYIKPMLPKGPVRRLAVDATLRAAAPYQKLRKAKDVQNNRKVYVEKSDMRAKRMARKAGALVIFVVDASGSMALNRMQNAKGAALKLLAESYTSRDQVSIIPFRGDCAEVLLPPSRSIAMARKRLERLPCGGGSPLAHGLTTAVRVGLNAEKSGDVGRVMIVAITDGRANISLKKSTDPEAAAAADAPKPSAQELKDEILEVAGKIYKSGMSLLVIDTENKFVSTGFAKEIARVAQGKYYYLPNASDAVISAATKDALSALKSSFRRLESDNLSPTKISTRFAAFGIRKHLFLAVSSFSHFSGKPNQLMEALYKKLYDKYTKLKTKKMLDFDQLNKDQEAKFLNYVSAAEELIQHLKSENDKLRLQVNELRDEMASTRSSMDAKCADYQKLLMEENQRNSTLSEEVEKLQKLQQEGNFGGFSNGISKELHTPSGSQSVFGVVSKGPSGGTRRRKRSRDATQVTNELRIVNASAQADPTQRQSTSELPEKAASSEGCCGSKDGRVNDCVSTNCPYQCLVEHMMGMEVSTTNRNEGICISAFHKSSGYSFSLTWVNKLIGETEILYRVLSLGTFERVAPEWMKEEAIIFSTSMCPTFFEKVTRVIKLHC >KGN47762 pep chromosome:ASM407v2:6:17866417:17873585:1 gene:Csa_6G401330 transcript:KGN47762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEGSPRTDISTDGDTDEKSGRPDRGQLALTMASDSSDRSKDKTDQKTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQLEQELQRARQQGIFISSSGDQTHSMSGNGAMAFDVEYARWLEDHNRQLNELRSAVNSHASDPELRIVVDGILVHYDELFRLKGNAAKADVFHLLSGMWKTPAERCFLWLGGFCSSELLKLLVNQLEPLTEQQLVGITNLQQSSQQAEDALSQGMEALQQSLAETLSSGALGSSGSSGNVANYMGQMAMAMGKLGTLEGFIRQADNLRQQTLQQMHRILTTRQSARALLAIHDYFSRLRALSSLWLARPRE >KGN45746 pep chromosome:ASM407v2:6:910083:911798:1 gene:Csa_6G008770 transcript:KGN45746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTSILRKSASSLCPLAGRLVRGQRLYATSIFNSFNTHRPLFPPSVTSFRVFSSSKRSSSDDSLLRVIDSEIQCATETDDHDRVEEVPEGFPFEIQDHPGLQTVTLKRTYQDEVIVVEVHMPDLVSGQDANDNDEDDDADDDNKANQSCIPLVVSVSKKTGPSLEFSCSAYPDEISIDSLIVKNPEHSDDQIAYEGPDFHDLDENLQKAFHKYLEIRGIKPSTTNFLHEYMINKDSREYLTWLTKLKSFVEA >KGN46498 pep chromosome:ASM407v2:6:6792780:6793705:1 gene:Csa_6G104060 transcript:KGN46498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKELKRLAAYARSSADLLTRLMLQHQVDSCLWESLFRTPLTNYDAVILLHRDKLPYPQRLLFPSELNQGTHVAKGNPTKIFTPFLSPRNLKASSENIKDRLLVNFDPLRCYIEDLQKEFSNTFNLWYDSLGGDAIGVTWGQRSSKKRERDDEDVAEEKEPAEVLKSAGETGKGLMRSIYLLKAPRLTT >KGN47436 pep chromosome:ASM407v2:6:15101317:15102134:-1 gene:Csa_6G324800 transcript:KGN47436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMLVVSLNLYDIVNVKGVVADISHLKSLVEAVADNCPNAFIHIISNPVNSTVPIAAEGRKNLNLIDIDVLVVGGHAGITIVTLISKTRPSVSFTHEQIQELTVRIQNAGIEVVEAKARAGSVESSFHALDRDSDGLSKYGQKALEALKLELKTNIEKVSKS >KGN47761 pep chromosome:ASM407v2:6:17857117:17864342:1 gene:Csa_6G401320 transcript:KGN47761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDTPSGPGLLFPKGGWENDETVEEAAVREAIEEAGVRGELMGFLGDYHFKSKTQQDEFSPDGLCRAAMYALLVTEELECWPEQNTRNRSWVTIPEAIEKCRHAWMTDALVIGFNKWHAENTSDE >KGN47355 pep chromosome:ASM407v2:6:14521512:14522135:-1 gene:Csa_6G303210 transcript:KGN47355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSPPPPSPVPDYSSWSPWSISTIVVVCIVFLLLSNYRLLKQLCRVLHGLFTGRPTVQFEENPNNPSLQIHGHGLETSMIRLLPISQFKKNEESESTTSFNTECAVCLGEFEEGELIKHLPNCNHSFHSPCIDAWFRNHSSCPLCRLQVLSFSTPDSSSSAMLETLGRENVLRERVAHYQTLRAQILQHPEFRRDASIQGTDQRNR >KGN49119 pep chromosome:ASM407v2:6:26705105:26705681:-1 gene:Csa_6G514800 transcript:KGN49119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVLTKRSNGYCKVDKEDPEEIIHRRAQFLINKVLERADSMGKPSYLRIRIRRLKVRFGRRLKRLKKSAMGSISTLKIGVYKQVITQIRNCKSLFGRKQTNFANFPVLLSS >KGN47778 pep chromosome:ASM407v2:6:17969854:17970378:-1 gene:Csa_6G401480 transcript:KGN47778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNQFLNPFLLLSFPFPLFSSATLRLPLPLLSGAAVSSSSSSSSTTSSTSTFGFPFPIMITLIGFDPFSSAFLSLFPVSLHHSSPTLFLPIQFFSISS >KGN46195 pep chromosome:ASM407v2:6:4890909:4907309:1 gene:Csa_6G073990 transcript:KGN46195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNLVKFTAASFRRSRRLSTAIPGPSIVHKRGADILHDPWFNKCSRRVSILRSIKDKIISLNVSCMFSFNLLVESYRSLERNTHGQPYGTVSLAKWRILNRLHDRNETLYYRVLIDNIKNFAPIIYTPTVGLVCQNYSGLFRRPRGMYFSAKDKGEMMSMIYNWPGQQVDMIVLTDGVHQIAGENLQGIGIPTGKLDMYVAAAGINPQRILRVMLDIGSNNEKLLNDPLYLGLRQPRLEGEEYLSIVDEFMEAVHTRWPKAIVQFEDFQMKWAFETLQRYRKRFCMFNDDIQGTAGAALAGLLGTVRAQGRPLSDFVNQKIVVVGAGSAGLGVLNMAIQAVSRMAGNNDSTARSQFFLIDKDGLITKERTNIDPAAAPFAKDPRELEGLSEGANLLEVVKRVRPHVLLGLSGVGGIFNEEVLKAMRESDSSKPAIFAMSNPTMNAECTAADAFKYAGENIVFASGSPFENVALGNGKFGHVNQANNMYLFPGIGLGSLLSGARYITDGMLQAAAECLASYMTDEEVQSGILYPSIDSIREITAEVGAAVLRSAVSENLAEGHGDVGPRELGLMAKEETIEYIKRNMWFPIYSPLVHEK >KGN46256 pep chromosome:ASM407v2:6:5254332:5257046:-1 gene:Csa_6G078510 transcript:KGN46256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYNSFAIGNDSSNTIQLEGAIFGLYQCRGDLATIDCSKCIRNGVSQIHLACPFSYGATLQFEGCYVRYEHFDFLGKLDTSVKFKKCSASSSNDIEFFRRRDDVLADMQAGAGAGNGFRVSSSGLVQGFAQCLGDLSSQDCSSCLADCVGKLKSLCGSAAAADVFMGQCYARYWASGFYPNSSVSPSDDQAGKTVAIIIGVVAALAILVVLLSVCRRAMG >KGN49245 pep chromosome:ASM407v2:6:27312765:27315748:-1 gene:Csa_6G518020 transcript:KGN49245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTKRHDKHLRSETVTATENSEVNKLSFVGETENSGNIEFSSPSGKPLEKKEEQSHGESTKFESQKCLKDKGTEDQEPTVGDKDVEALRNKDFGGSSYNKVPLCNSEVMVATATNGSSDTLEDSDIGSKNHSAGRIIGTKNPERESEQMGMQLDNGENQMASRSTASKKRSRSLTPVADVNGEEKHPAAAICDFYAKGWCIKGSSCSFLHIKNNAYGSDQHSEERAGAACLKKQAQLNEVLSNGTGLQYNADVLKSPREDSLLSALPDCQKLPSTSFGASFPLSRGLSASRLELPPEFGVSSDGFTPLGVMEEPTNVACPRLLNDHLSPVLRTSLNSNPTLPRDAILSSRFTTSNASLFPLTSSSSASLLNAHKMSIIDREHHVSTPASSLMRSSPFSASGSDNSFTHVSKNPSEYKMKCSSDDWEPSVPFRPSFFIPSITTASQYDPFLDSMEVPRIVGCSYNVTLDRQGHEEASPLSTLQRASGNFVVPGSSKPEFNDDTSSLSSHNKAADKNGKVGHLQGKDPLLLEPEIRGSFGLDGRFSRTREDDHKGLTCEKDVTKKKKMGIGGELKLPNISLHEKDSEADSDRQLGDMDGKHLMEGNAPKESKATRHFRSALIELVKEILKPKWREGHLKKDVHNTVVKKTFDKVLGALQSHQVPTTVESVKQYLSSSRPKIEKLVEGYVSKYGKS >KGN49285 pep chromosome:ASM407v2:6:27518775:27523134:1 gene:Csa_6G518890 transcript:KGN49285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSWKSISVTEDDMVDFSFSTASKGKIKAFDFGTLDDDFNLDGSFEKLSSFKIDMPDLDFSSPPKKTEKARSSGKEGSSNGNIQKDIDNLNFSFDFKELDSFDVDKSLQNGEKTCIQQQDSKAVSSSRVECEASNIHRAEENTAIDNSIAKRLPASGNEKKKIEKARNSGKEGSSLGNIKKDIDNLNFSFDFKELDSFDVDKSLQNGEKTCIQQQDSKAVSSSRVECEASNIHIAEENTAIDNSIAKRLSASGNETSSTVENFQGDCGELVSEEADGTSHEAITSTPTTNEEEKGCLSEKEVAKSSHQVIHDVPVNCVARNAPESTSETQSEICSERGELTSVSGGTRNVTDENIESDVTCSRKLPQSYLSLINIPASEKNKSECNQLNKLVDNVQLAEVHLDLKDFSNSDVPRKLLPDTQKIRENQNLKLKLSTVPLSRGPPPINEVTVKEKEMGRNSSLSRTDDSKPQLHQSSSISTKLFSLGTNKTDAPNQIPAAGDGNLCRDSRSHNKGAKTAPPVAIQREKNLGKLGALSARVNPSNSCVRKSTQTHCSMEPQKSSMLHSQNAKTISAQGNKLCSIKASLIFPNPSSLKTSRGFGGKQVLLSTGGVQEKKLSEQATEARQRSKKFDIGYCAENPEKQKLPISNMKRKALEGPNVDSMLLKPLKLFCISPGEFRNSKEPLEKKIEQVERMNTASHDQLPNSFEDTCVPNMMELKVSLVFENNRNVEKAEAYSQQLEDMCNMLKKKQNEAKDILVRALVNDNNLLMLNHPNYEEKISFYGIFIFKILGFSFLTSLSKAQL >KGN47188 pep chromosome:ASM407v2:6:12656299:12659230:-1 gene:Csa_6G196710 transcript:KGN47188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQAFRRAAGRIKPASSIDSTTASSLKMESIVDRKPPPRVAEKARESGALDSGDVPASDSGNMLEERDPQFDAMLSQMVGRIKSKPGGKLEMGEASVVERYGRPMPKLRDTNISSSKYEDRPAPPGTLNVAQMRQIILLHEGKADDHDGPMGLHQIAERYNVSVAQIQTILQFLSLPPEDSLRDKIKDS >KGN45809 pep chromosome:ASM407v2:6:1397006:1399673:-1 gene:Csa_6G013320 transcript:KGN45809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEKDEQFAMFEEKVKRTVYVDNLSLQVTEPVLRTALDQFGTVVSVHFIPNYTEPINSSQCALVEMKDSKEAKSVITVIAQFPFMMSGMPRPVRARPAEVEMFDDRPVKPGRKISFRWLESDDPDFEVARQIKRLSKKHVAEAAFLVKQHMAEEEKLAKQQQETLKGNYKKYEIVDSVMADGTARRLAKHYNMRISDD >KGN47708 pep chromosome:ASM407v2:6:17268788:17279758:-1 gene:Csa_6G382960 transcript:KGN47708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEVISVMDIDDDNGNNEAEKAVKGKNVASPAAAPEGKAIPWVEKFRPKSLADVAAHRDIVDTIDKLTSENRLPHLLLYGPPGTGKTSTILAVARKLYGTNYHNMILELNASDDRGIDVVRQQIQDFASTQSFSFGAKASVKLVLLDEADAMTKDAQFALRRVIEKYTKNTRFALICNHVNKIIPALQSRCTRFRFAPLDNFHVTERLRYVIEAERLDVTEGGLAALVRLCTGDMRKALNILQSTHMASQHITEEAVYLCTGNPMPKDIEQISFWLLNEPFSDSFKRISEIKTRKGLALVDIVREVTLFVFKIEMPSNIRVQLINDLADIEYRMTFGCNDKLQLGSLISSFTGARSALVGAAQ >KGN46492 pep chromosome:ASM407v2:6:6764042:6765307:1 gene:Csa_6G103510 transcript:KGN46492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTMECKPQQRDHNSSFPNLPLFSIPHLSPMDSPQHSGMLTPPIYTAVSVPFWWEEEPGKPRFSTAVSVSASPSLELPPRLLVPRSECSSSFRFDEKSSLFMKKRGWFGSWRKRGLNLRGKREQIGTGGLVFPSLELEESLSSKVEASRFRRNGSFSSLMSSTQIKPHFWESVCEGLKHIVPSWRSRRMKRET >KGN46700 pep chromosome:ASM407v2:6:8579397:8583910:1 gene:Csa_6G124150 transcript:KGN46700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKTQSCRRSQSNSSARAKVFGTNSLHLSEDDSTRLVGGIVEKGISDTEQSTPFVSLPPPRPSVLPFPVARHRSHGPHWESLTSKKGGDSIKADRQKYGEEDETMMVADSIANFANPIQRKKKSSLDFGRWREAASDHNHGAAKREEKELQSLAKTESLMRSGEANSCTDVMSCRPFSAHVLPSLMESEHSSSDFVNDSTGNKTNSAGFELKGLDKQHLPENLQDVRDQWGDISESEVNESMQLDGTSLRDMGTGHHLNSEMTPRFQSNIKGDDAFLTLKRQIDAENLARMQKMSPEEIAEAQAEIVEKMSPALVKALKMRGVGKLKQGSSKPHVSSNYELGNLQKESTIDRSGSLNKENGVTSVQTTLKDTKSGLQDVSVQKFDSRSSIWNAWNERVEAVRSLRFSLEGNLVESYSFQQSENVHGYSTENVASRDFLRTEGDPSAAGYTIKEAVALTRSVIPGQRVLGLHLISNVLDKALLNTHLTQVGSTMIKNRRSVDYNAIWAYILGPEPELALSLRMCLDDNHNSVVLACAEVIQSVLSCNLNESFFDSLEKTSTYEKDLYTAAVFRSKPEINVGFLQGGFWKYSAKPSNILPITEGFGNVEDGEKHTIQDDIVVAQQDIAAGLVRMGILPRLLYILEKVMTTSMNNELLLDFRLKSVYCVNGKA >KGN48219 pep chromosome:ASM407v2:6:21249499:21252391:1 gene:Csa_6G449260 transcript:KGN48219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIVSLSTNPISPLSLLPPTIFFRFPSMPTNPSPSTPPPPPPHLSKTSLSLSNPNPCLRPFNSTSPTQFFTSPQHLVSLSEPLFASRSLNTSLSTIASPFDLLRLSTRYGDPDLARAVHAQFLKLEEDIFLGNALISAYLKLGLVRDADKVFSGLSCPNVVSYTALISGFSKSDWEDEAVELFFAMLDSGIEPNEYTFVAILTACIRNMDYQLGSQVHGIVVKLGLLSCVFICNALMGLYCKCGFLDLVLRLFEEMPERDITSWNTVISSLVKEFKYDEAFDYFRGMQLCKGLKVDHFSLSTLLTACAGSVKPMKGQQLHALALKVGLESHLSVSSSLIGFYTKCGSANDVTDLFETMPIRDVITWTGMITSYMEFGMLDSAVEVFNKMPKRNCISYNAVLAGLSRNDDGSRALELFIEMLEEGVEISDCTLTSIITACGLLKSFKVSQQIQGFVMKFGILSNSCIETALVDMYTRCGRMEDAEKIFYQRSLENDYTAMLTSMICGYARNGKLNEAISLFHSGQSEGAIVMDEVMSTSILSLCGSIGFHEMGKQMHCHALKSGLITETGVGNATVSMYSKCWNMDDAVRVFNTMNMQDIVSWNGLVAGHVLHWQGDKALGIWKKMEKAGIKPDSITFALIISAYKHTELNLVDSCRSLFVSMETEHNIKPTLEHYASFISVLGRWGLLEEAEQTIRNMPLEPDVYVWRALLNSCRINKNERLEKLAARNILAVEPKDPLSYILKSNLYSASGRWYYSEKVREDMREKGFRKHPSQSWIIHENKIHSFYARDRSHPQGKDIYSGLEILILECLKVGYVPDTSFVLQEVEERQKKEFLFYHSGKLAATFGILMTKPGKPIQIVKNVRLCGDCHNFLKYVSIVTRRKILLRDTSGFHWFIDGQCSCTDYW >KGN48968 pep chromosome:ASM407v2:6:26044137:26045217:-1 gene:Csa_6G507410 transcript:KGN48968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRCLPITTCFFPSFQRPEGAKIWFAMADSAKEGILRFLRPLYLSNFKFTFEALPHEINSLEFRIRELAKLYMLVNTEGVCVSEIRKVVMFPDSPWIDTKNCIVFAIFVDGKLGFTKLTDEKWTMIEKHNFARGDVIVYRGKFYAVDRRGEVFSVDSSSMELSQISLPMSGFGKQKHLVECGGEEVKNLGNDAIVLGNKREGSFSISGTEFEGIERNCIYYPRRKMMMKNEF >KGN45684 pep chromosome:ASM407v2:6:502978:503546:1 gene:Csa_6G006700 transcript:KGN45684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLQFVSHRISSAPSNSYKQTAHLSGGFGRSENFTTGRSSRRSRADGGGLRGNWSSGGRLSEGRNSGRPRRRKRERMRNLRTSRRERRWRNMVGKKTSVQPTGKPITEV >KGN46552 pep chromosome:ASM407v2:6:7223091:7224746:1 gene:Csa_6G108500 transcript:KGN46552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTPSFHELKKQASFFFKEKIKTARLALTDVTSAELLTEEAISGNPDARSLSSISKAAFEVDDYWRIVAILHKRLLKFEKKNWRLSYNSLIILEHLLTRGPESVAEEFQTDKDVINQMGSFQYVDEKGFNWGISVRKRSERILNLLDKGPVLKEEREKARKLTREILGFGSFSLRTKCQEIVEESSSSPIGRYGKCNSNFNCLENLDQEEHFVLLEQKEVISVNDYHHPFISNESKSNASLLLG >KGN49114 pep chromosome:ASM407v2:6:26674411:26678458:-1 gene:Csa_6G513780 transcript:KGN49114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCCSSTQMPPSSGVNGFDSATGIATRGPYQAYQPQSTVPTPHKVSAPQTQIPSSRNQPQPPPPAEHPTSASAFSKPAVSTPRTAQNYPETILGRPYDDIKKYYTLGKELGRGQFGITYLCTENSTGQTYACKSILKRKLISKNDKDDMKREILILQHLSGQPNIVEFKGAYEDRYSVHLVMELCAGGELFDRIIAKGQYSEKAAADICRAIVNVVQICHFMGVMHRDLKPENFLLASKKEDAMLKATDFGLSVFIEEGKVYRDIVGSAYYVAPEVLRRNYGKEIDVWSAGVILYILLSGVPPFWAENEKGIFDAILQGDIDFASAPWPTISESAKDLVRKMLTQDPKKRITPAQVLEHQWIREGGEASDKPIDNAVLSRMKQFRAMNKLKKLALKVIAENLSEEEIKGLKAMFANIDTDNSGTITYEELKTGLARLGSRLSEAEVKQLMEAADVDGNGSIDYIEFISATMHRHRLERDEHLYKAFQFFDKDSSGYITKDELETAMKDYGMGDEASIREIISEVDTDNDGRINYQEFCAMMRSGTTQPGKLF >KGN46797 pep chromosome:ASM407v2:6:9524813:9528147:-1 gene:Csa_6G136020 transcript:KGN46797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAASRATFHLLPTTSSSSSSTRSALLQFSPSTTSSSSIRLRSAATPRLGFAAADPLFSLHVASKIRSFGGKASRGVVSMAKKSVGDLSAADLKGKKVFVRADLNVPLDDNQNITDDTRIRAAIPTIKHLTEKGAKVILSSHLGRPKGVTPKYSLAPLVPRLSELLSIQVVKADDCIGPEVEKLVASLPDGGVLLLENVRFYKEEEKNEPEFAKKLASLADLFVNDAFGTAHRAHASTEGVTKFLKPSVAGFLLQKELDYLVGAVSSPKRPFAAIVGGSKVSSKIGVIESLLEKCDILLLGGGMIFTFYKAQGLSVGSSLVEEDKLELATSLLEKAKAKGVSLLLPTDVIIADKFAPDANSKIVPASAIPDGWMGLDIGPDSVKTFNDALDTTQTIIWNGPMGVFEFDKFAVGTEAIAKKLAELSGKGVTTIIGGGDSVAAVEKVGVANVMSHISTGGGASLELLEGKELPGVLALDEAVPVAV >KGN47402 pep chromosome:ASM407v2:6:14872370:14873463:-1 gene:Csa_6G312540 transcript:KGN47402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDPIEEGSEFKDAGKTSPMESEQNKKTCADCGTTKTPLWRGGPAGPKSLCNACGIRSRKKRRSLLGLNRGGEVERKNKGSSNNRNNNGGGNQGKIGGESLKWRSMAFGRKELMQRRQLGEEEQAAVLLMALSYGSVYA >KGN48621 pep chromosome:ASM407v2:6:24075212:24078263:-1 gene:Csa_6G495750 transcript:KGN48621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCQAAEAATVVIQHPGNQKIERIYWSVSAHEIMNSNPGHYVALLITSSTMKSENGTPIKQLKLLRPDDTLLIGHVYRLITFEDVLKEFAAKKCVKLGKLLKEGGALSVGMKIKGSDSGSNPNANSKSQNYMKPEQDAEHRLENSNAGSSGGGRGQRGMGRHYGGGSQWRPALQSIAEIGIN >KGN46958 pep chromosome:ASM407v2:6:10834611:10839173:1 gene:Csa_6G152930 transcript:KGN46958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVHETRSHSHTHGAGEEEKIMTRKQKAESKTHENEQSPKKIKSEDENGRANGKSEASDLTKEFEEFCKATRESLSIDQMKEILQMNDQDSTGPDYEIASKCQDLLFYGALETCPVCRGRLVFDGKRYVCNGFLSEWSTCTFKTKDPPRRNETNKLPESVLNSPVSDLIKKYQNPSQRPKRNLGQSDKPFSGMTISLSGRLSRTHHYWRKEIEKHGGTVNNSVIGVSCLVVSPAERERGGSSKVAEAVERGIRVIREAWLLDSIEKQEAQPLEAYDVVTDLAVEGKGIPWDKQDPSEEALESLTAELKLYGKRGVHKDTKLQEQGGEIFEKDRILYNCAFSLCDQGRGLNEYCITQLIKVPDKNLHLFYKKGKVGDDPNAEERLEEWENEGNAVKEFVRLFKEITGNEFELWEREKKFEKKRGSFHPIDMDDGVDVRHGGLGLRQLGIATVHSKLEPEIANFMKVLCSQEIYKYALMEMAHDPPELPMGMLSKFHLERCEEILLEFIEKVKSMKETGFKAEAVWTDYSNRWFTLMHSTRPLIFKDYQEIAEHAAAVLEGVRDVTVASHLIGDMSGSTIDDPLSDRYGKLGGSVSLLEKDSDDYKMIVNYLEKTYEPVKVGDIEYGVTVDNIFSVESAALPSYGEIKKQPNKVLLWCGTRSSNLLRHLHKGFLPAICSLPVPGYMFGRAIVCSDAAAEAARYGFTAVDRPEGFLVLAIVSLGEEITEITSLPEDTKLLEEKKMGVKALGRKKTDESEHFVWKDEIRVPCGPLITSEHKDSPLEYNEYAVYNPKQTNIRFLVGVKYEEKGVVMDTQE >KGN46602 pep chromosome:ASM407v2:6:7665739:7666038:1 gene:Csa_6G111920 transcript:KGN46602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFFNSFHLEHLDFSRHSKSLETHTNKKTSGATNNPPFHTRNITPRCICIHLPTILWRLLSIETKLLLRHQIKGAPDDNKGFLDSYINEFACKLKNRQN >KGN49011 pep chromosome:ASM407v2:6:26213741:26213994:1 gene:Csa_6G510290 transcript:KGN49011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDSFFQSLDFWVTVTVSSHHQPLPALLYGKERKNLWSLLEFWLNLNLFSITHLSTFL >KGN46418 pep chromosome:ASM407v2:6:6218580:6224242:-1 gene:Csa_6G091910 transcript:KGN46418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKDEILKIEDVEEGEISDTASVEEISEEDFNKLDSSASPKVVVPSKDSNRETRVWTMSDLYKNYPAMRHGYASGLYNLAWAQAVQNKPLNDIFVMEADLDEKSKHSSSTPFGNAKDDGSNTTKEEDRVVIDDSGDEMNCDNANGEKEEGELEEGEIDMDTEFVEEVADSKAMLSDSRDMDINGQEFDLETKELDELLKFIQKTLDGVTIDAAQKSFQEVCSQIHSSIETFVELLQGKVVPRKDALIQRLYAALRLINSVFCSMNLSEKEEHKEHLSRLLSYVKNCDPPLFSPEQIKSVEVKMPSTDSLDHLPSMRGSAKEVEIHIPNGVKDMDFYSAYTSTSSQLTPSNKLASDSIPFGVKGKNNLNILSEGLQSGVSSIKGRGPLLPLLDLHKDHDADSLPSPTREAPTIFSVQKSGNAPTKMAFPVDGSRSHPYETDALKAVSTYQQKFGRSSFSMADRLPSPTPSEEHDGGGDIGGEVSSSSIIRSLKSSNVSKPGQKSNSASNVSTGLFPNMDSSSTRVLISPLNVAPPSSVSNPTVKPLAKSRDPRLRIVNSDASGMDLNPRTMASVQSSSILESAATLHLRKQKMDGEPNTDGPEVKRLRIGSQNLAVAASDVRAVSGSGGWLEDTMPAGPRLFNRNQMEIAEANATEKSNVTNNSGSGNECTPTVNNSNDASLPSLLKDIVVNPTMLLNLLKMSQQQQLAAELKLKSSEPEKNAICPTSLNPCQGSSPLINAPVATSGILQQSAGTPSASPVVAVGRQDDLGKVRMKPRDPRRVLHGNSLQKVGSLGNDQLKGVVPTASNTEGSRDIPNGHKQEGQGDSKLASSQTILPDIGRQFTNNLKNIADIMSVPSPPTSSPNSSSKPVGSSSMDSKPVTTAFQAVDMAASSRSQGAWGDLEHLFDSYDDKQKAAIQRERARRIEEQKKMFAARKLCLVLDLDHTLLNSAKFVEVDPVHDEILRKKEEQDREKAQRHLFRFPHMGMWTKLRPGVWNFLEKASELYELHLYTMGNKLYATEMAKVLDPKGVLFAGRVISRGDDGDPLDGDDRVPKSKDLEGVLGMESGVVIIDDSIRVWPHNKMNLIVVERYTYFPCSRRQFGLLGPSLLEIDHDERPEDGTLASSLGVIQRIHQSFFSNPELDQVDVRTILSAEQQKILAGCRIVFSRVFPVGEANPHLHPLWQTAEQFGAQCTNQIDEQVTHVVANSLGTDKVNWALSTGRFVVHPGWVEASALLYRRATEQDFAIKP >KGN48451 pep chromosome:ASM407v2:6:22950568:22953731:-1 gene:Csa_6G487720 transcript:KGN48451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQAATSITGNMKKALAGLKRINLEGLRWRVFDAKGQVLGRLASQISTVIQGKDKPTYAPNRDDGDMCIVLNAKDIAVTGRKLTKKVYYWHTGYVGNLKERTLREQMTRDPTEVIRKAVLRMLPKNKLRDDRDRKLRIFAGDEHPFGDRPLEPYIMPPRNVREMRPQVRRAMIRAQKMAEQQQNNKNEGKESRKKEEKVEVTA >KGN49048 pep chromosome:ASM407v2:6:26364825:26366361:1 gene:Csa_6G511630 transcript:KGN49048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRCQRAEGLDLCRKFVSDSSIISICVREKKPLPPLPPLPIILKSGISLISMEGWAMQREKERERRRIRDRERRSSMSIEQRERHLARRRRNYQIRRLKYRNGKTTNPSFSGTNSFKAVSETSIAELDLQQNGLMLVGFNHEQESLNPDSITSSSSEILDPRAEILQGRVRLSHIRRLARSIGIHQMFSQVESTSNCKSEDSSLEYDLNRSSRSLRRVKRLARMMNSSLKPSADESSQHKTTIAG >KGN46641 pep chromosome:ASM407v2:6:8054368:8054758:1 gene:Csa_6G117720 transcript:KGN46641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYPNIYGDVLLTALAKENGDMVSIDVDLRKTERKNEGGREDKRQKREREIESTSLLSFVHHRRSRHPYPLTSYQ >KGN48688 pep chromosome:ASM407v2:6:24528148:24539363:1 gene:Csa_6G497380 transcript:KGN48688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYLRKLTFFYNGLIGTDCKMGTQGQTGLVAQKKMHPPQSGRFEDREDLIKYVRDFGADQGYVVTIKKSRKDRRVILGCDRGGVYRNRRKIAESPRKRKASSRLINCPFEAIGKKEDDAWMLTIKNGSHNHEPLKDRSEHPYSRRFTEDEVKQIKLMTEAGIKPRQVLKALKQHNPDLQSTPRHLYNLKAKIRQGNLSDKNFKSWRPNISVPTNSSHTVAGDSIKQNHQLKVPNLIGGEFLDSHNCQVVDVINPATQEVVSHVPLTTYEEFKAAVNAAKQAFPSWRNTPIYTRQCVMFKFQELILRDMDKLVMNIVAEQGKTLKDAQDDIICGLEVVKHACRLATMQMGEFIPSASDGIDSYCIREPIGVCAGICSLNHPATVSLWMFPIAVTCGNTFVLKPCETHPGASMLLASLAMESGLPDGVLNIVHGSHDIISYICDDEDIKAVSFSSSSSVGKHIYARAAATAKKVQSHFGGKSHAIIMPDANMEATLSALVDAGLGTVGRTCMAIDIIVSVGSSTLWEEKLVECAKALKVNVGTDPNADLGPVTTKEVKNRFCKLIQSGIEDGARLLLDGRDIVVSGYENGNFIGPTILSGVTTDMACYKEEFFGPVLLFMQADNLEEAITIVNRNKNRNGASIFTTSGIYARKFQSEVEVGTVGINVAVTVPLPSSFNDKVGLEFYTQLKRVAQQWKNSPSIGVSMAVPSPSERHLRSRAAPSMLVSTSEKDSPGMKHRSLPPLPSTSDRDSPSVPVLLPNPRITPTGLTNERSTSSPPTPDRNLHGLSLISTLSSEGDVSNQDLSPAMLSQRDRDLPGQAMSMATSRSSDRLYIPQKSHWNETPRADSIPSSSERIHASFSQTSSIKGQACRTTHPALVLATERGLYVPTSHDAICLINHGNDSTSPSRRMNTMCQSSERVYMLATSHLNDSMGQTLERTDTSLFPSSERHYAPPSSDGNDHISLASHTDVTLQSTSDRMFLSSLSERDDNMASTASQQGESLTSTSERMYRPPLVHRNAGMAPKSEWLCIPTPAGTQRMYTQDPMVSADEFQCQGASLTLPASQRM >KGN48284 pep chromosome:ASM407v2:6:21717217:21720275:-1 gene:Csa_6G454320 transcript:KGN48284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLQTEFHHQRPFLFLSFPISKPLCFALSFLILSVSSLFIFNFSATPFNYQYYDLSRFHHFFFQFFPQNNPIPSPSPSPSPSLPIPCDYSYGKWVWDENYPFHSYTENCPFVDPGFRCTQNGRKDEGYRKWRWQPEGCNLPRGYYFQEGGKLNMSMDVMEAFRRSLQTWKRWVEEELDPNRTHVFFRSYSPVHYRGGTWSEGGHCNTDKEPERDYTKLEDEPENNIFISNVIKQMDSVKYKIQFLNITYLTEFRKDGHPSKNREPGTPDDAPQDCSHWCLPGVPDTWNELVYAHLLSNGFRK >KGN49194 pep chromosome:ASM407v2:6:27020834:27022769:-1 gene:Csa_6G517020 transcript:KGN49194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSRIARNGLRRSGSAFNKHSHQKDSYSQGASTYRSFNNTTTNGFFLNLPHIERVNHVSFWSRGISITPQRQFPSAERLVEESDLESDEPSFGPGLEATKPGEKPRVVVLGTGWAACRFLKGIDTKVYDVVCISPRNHMVFTPLLASTCVGTLEFRSVAEPVSRIQTALAKDPNSYFYLASCTGVDTDKHEVFCETVNYGELPHETYQFRVAYDKLVIAVGSEPLTFGVKGVKEHAFFLREVNHAQEIRKKLLLNLMLSENPGLSEEEKKRLLHCVVIGGGPTGVEFSGELSDFIIRDVQDRYAHIKDDIKVTLIEANEILSSFDFGLRTYAAKHLTKCGVRLMRGVVKEVLADKIILSDGTDVPYGLLVWSTGVGPSEFVKSLHLPKAPGGRYISIITLRI >KGN47816 pep chromosome:ASM407v2:6:18282140:18283910:-1 gene:Csa_6G405300 transcript:KGN47816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFGQSRSSNYALGSSLGEGGPSSSTDYDAGNVKKLIELTDEVLKQKNVESVLFGGKRFAEQTNFEKLDWFAEELVLEHQRRSCRIAPTVAFKQATTSESAKEGS >KGN48796 pep chromosome:ASM407v2:6:25133750:25134307:1 gene:Csa_6G501360 transcript:KGN48796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCLMVFGKKYKDQEFDERGFKSVIQEAMQIVASPNLGDFIPQIAVLDLQGLDRRSKAVSKIFDEFFERIIDEHLESRYENKTKDFVDVMLEIMDSQGTEYQIERSNIKAIILVSKLPTY >KGN48148 pep chromosome:ASM407v2:6:20723347:20724865:1 gene:Csa_6G445130 transcript:KGN48148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKDIEAGAHGGFSGKDYEDPPPAPLIDPHEFAQWSFYRAIIAEFVATLLFLYVTVLTVIGYKVQSDVKNGGETCGGVGILGIAWAFGGMIFVLVYCTAGISGGHINPAVTFGLFLARKVSLVRAILYMAAQSLGAICGCALVKAFQNAHYTEYGGGANSLADGYSTGTGLAAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSFGAAVVFNKSKPWDDQWIFWVGPFIGAAIAAIYHQFILRAGAAKALGSFSSS >KGN49323 pep chromosome:ASM407v2:6:27701480:27702041:-1 gene:Csa_6G519740 transcript:KGN49323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAGAWNGLDKVASSEVVVEKHGMNFLAGRGSGDGALGKGGEKEGVRRRHGIGSYMEKGRGGVIYSIKLEGGERHGVGKKQRVNIKWV >KGN47938 pep chromosome:ASM407v2:6:19264818:19266258:-1 gene:Csa_6G417895 transcript:KGN47938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGYLPHEVLFNIFLNLPPKTLILCSCVSKSWRSVVANPIFISTHRNQSLTCNRKLLILGRYYYNRTEPKVRYSLHFDTDTLDLYQELKFPFPNSNGDLKIVGVSNGLVCFLGLDLLLWNPSIQRVVDVPRTSDTVTTYGVPDFYALGFGFDSCADDHKVVRLLYFADKVPFSYKRSPKVELYEVGTGSWRAINNKAPRCEIVRSGWTQAFVNGAVHWIAYREIGTGYRCFILRFDIVKECFSIITLPDCLANSSPYDLKVTVLGGALSITLCGWYCFETYMSSVWVLKKYDIPESWTKLISSGPSQELGMVLGLRENGEMLMESKSGEVVLYNPYIRLMKNLGIYGAEGTFYLDSYVESLALLNEGKGISEKVAEVYD >KGN48910 pep chromosome:ASM407v2:6:25748131:25748694:-1 gene:Csa_6G505880 transcript:KGN48910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKSRRVPRKIKPPPNLCLRKPRSGDAKKTTKPPSPMTLFERLREAVFRFIMLCALSKATNHHPAAGATFQDDVVVRRRHSYPNVDHHHSEAVADCIEFIKMKAYSDDEVILDRGSVDDADDDMTVSVPVPVR >KGN48265 pep chromosome:ASM407v2:6:21598159:21600608:-1 gene:Csa_6G452660 transcript:KGN48265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSAARRSGGGLLEGFYRVIMRRNSVYVTFIIAGAFVGERAVDYGVQKLWEYNNVGKRYEDISVLGQRPAEE >KGN46245 pep chromosome:ASM407v2:6:5188136:5189116:-1 gene:Csa_6G077420 transcript:KGN46245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLWSPETASKAFIDTIKSCENFEEFGVAELLSAMAAGWNAKLILHACSPAAASSAVTTIGLAVAARHTGGRYVCAVVDERAKSEYVKNLQEAGVSSPTEVIVGEAEMIGVDFVVLDCKRKDFGRVLRLVKVSEKGAILVCKSTWPRDFEKLIPKGTRVVRSVSLPVGQGLSIIHIGSSNGGAGNGGAMISTSKSRSRWTMHVDERSGEEHVYRERI >KGN46439 pep chromosome:ASM407v2:6:6395359:6398100:-1 gene:Csa_6G093100 transcript:KGN46439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCWSLSLPLDDEFEKLVNRMNPPRVTVDNDSSRKATLIKVDSANKRGSLLEVVQVLNDLNLIIRRAYISSDGEWFMDVFHVTDQRGNKLSENDVAERIQQSLGPRGRSFRSLRRSVGVQAAEEHTTIELTGRDRPGLLSEVFAVLADLKCNVVAAEVWTHNSRMASVVYITDEATGFPIDDPDRLGKIKQLLLFVLKGDRDKRSANTAVSVGSTHKERRLHQMMYADRDYDQDDLDCGSTSERRKPLVTVESCADKGYTVVNLRSPDRPKLLFDTVCTLTDMQYVVYHATVIAEGPEATQEYYIRHMDGSPISSEAERQRVIHCLEAAIRRRTSEGIRLELCSDDRAGLLSDVTRIFRENGLSVTRAEVTTRGTQAVNVFYVTDASGNPVKSEMIEAVRKEIGLTVLCVKDDEFCMKSPSPESSRFSLGNLFRSRSEKFLYNLGLIKSCS >KGN49507 pep chromosome:ASM407v2:6:28768547:28771876:1 gene:Csa_6G526410 transcript:KGN49507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKPLLFFILLSLPILPFLSSATPSLDSHSSPAPPTHFSGGSSSHYHRHFSNLRKLKSSLSVRHESAPSAPNPSFITTPPSGARVYHVTSYGADPTGKTDSTDSLLKAFSDVYNSNGEGSLMEGIKNLGGVQINLDGGNFMISRPLRLPGVGVGNVVIHGGSLRASDDFPSDGYLIELSSSSSAPKNSFDNQKTINSSSQDAILISSPSSYNYEYISFKDLLLDSNFRGGGISVLNSLRITIDNCYITHFTTIGISVQGGHETYIRSSFLGQHITAGGDPGERNFSGTGISLLGNDNAVTDVVIFSAATGVLVQGQANVLTGVHCYNKATGFGGTGIYLQLPGLTQTRILNSYMDYTGIVAEDPVQLQIANTFFLGDSYITLKSMNGVASGVNIVDNMFSGSDKGVAIVQLDESKSAFKQVDQVVVERNNVRGMQAKSTVGSAELEGNGTSWVLDFNPVLLFPNLIKNVQYTFRSIDNGFPKHIVRNVSDNRVVIETDVAVVGSVFARVDQGTS >KGN48857 pep chromosome:ASM407v2:6:25463662:25463949:1 gene:Csa_6G504390 transcript:KGN48857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNNNFVFLKQWSETPISSEWIPPAPLTEQTLPIFLSRESAFYSFCFSTFRGRAHGASGQNISTGKQGVKKFRSGRVPADCGGSDKQFVLFEFSY >KGN45673 pep chromosome:ASM407v2:6:452515:454599:1 gene:Csa_6G005130 transcript:KGN45673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIWTSTHFGRSRLVHSFSFNVLKAAAPVNSIPHDTLLHSLVVKLGLVNELSVQNKLLRVYVKCRDLDSARNLFDEMARRNVVSWNTVICGLVDGGYGGEFKMRQHSIFLYFKKMLMGLVDPDGITFNGLFRSCVVLNDVESGRQLHSFVMKIGFDLDCFVGSAVVDFYAKCGLYEDARLAFSCILYRDLVLWNVMLYCCVFNSLSREAIEVFRLMQLEGFKGDDFTFSSLLSSCKYKGSGELGKQLHCLLIKQSFDLDILVASSLVNVYTKNDNLYDARKVFDEMPTRNSVSWTTMIVGYGQHEYGKEAVKLFRRMFRKDYCPDELTFASVLSSCGFTSGASELMQVHSCLIKLGFEAFLSINNGLIYAYSKCGIIAAALQCFRLIAEPDLVTWTSIICGLALCGLEKDAVKLFDKMLSYGIRPDKIAFLGVLSACSHGGFVSMGLHYFNLMTNQYQLVPDSEHLTCLIDLLGRAGSLDQAFDLLKSMPKEAGPDALRAFIRACRTHGNLRLAKRAMEFASEPDEPVNYSLVSNMYASEGRWSDVARMRKLINDRCEQKTPGLSWVEIAGYNHLFISGDRSHPQSLDLYAMLGLLLNTMKKDYKFTASQVDIVPE >KGN45757 pep chromosome:ASM407v2:6:975157:975583:1 gene:Csa_6G009360 transcript:KGN45757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLWTLLEGCLLLANALAILNEDRFLAPRGLNFSEFSGGRTKSFKGQLIGLIYATQYLRVPLIMLNAICIFVKLVSG >KGN48042 pep chromosome:ASM407v2:6:19978171:19979389:1 gene:Csa_6G425790 transcript:KGN48042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIQTRQVWDLNLLHEFNLITQLLHRYPFISIDTEFPGVLIRPTLHRHPLRPSDHYLLLKSNVDALNLIQLGLTLSDAHGNLPTLGTKNSFIWEFNFRDFDVARDPHAPDSIELLKQQGIDFHRNRTHGVCSSRFADLVMSSGLLCNDSLTWVTFHSAYDFGYLVKILTRTKLPSRLQDFLNILKGLFGKKVYDVKHMMRFCDGLYGGLDRLAKTLDLDRAVGKSHQAGSDSLLTFQAFKKMSDVYFSKDGPDKHAGVLFGLELY >KGN47113 pep chromosome:ASM407v2:6:12201589:12202486:1 gene:Csa_6G188060 transcript:KGN47113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPGEMATIDGLSNPNLISFLSVSQIGNGKDEDEMDITTVGDELRKGKARHQQLPPTARGGLSYVSDPTVEIILSSEYMGLTWYWIEPKTNYVPCDKRKPIVVIGGCKLWANKQTQSSNMTNRLIVKAHMDVGVEIRRTIPPRSKSVAGMAKLPDPPKRTLPISNCFPK >KGN48512 pep chromosome:ASM407v2:6:23334434:23340177:-1 gene:Csa_6G490270 transcript:KGN48512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFPTLNTTPPTHFSLSSTRGLLHPDFAKAIISILVLLCAFFQYAACGPCFISELQSASNEDTGHYMNNHANGIRSNFPADISSGSNPTTHLSFESVCTDSRLFCFPSTVTDFSFNEKGIGVVASSGLFDGSSSPVGSTQDDKLAANKSQSSDYGMFELFEGGIISCSLNSRKDVNELSSIQKYGSTSRVDLSTCRGDPYYQTSPSSTQKKNLDVTNSDYSDSSMAPFVDVSPTELNWEHKFLYLPSLASITVTNTCNQSFLHIYEPFSTDSQFYSCNFSEVVLGPGEAVSIYFVFLPKYLGLSSAHLILQTNFGGFLVPAKGFAIQSPYGIQPLLSLNIHSSGRWTKNLSLFNPYDDVLYVEELTGWISVFKEDKCYHTEAVCRVDRYKVFHEPKPSIIKEGLVIQHGHIGSPLLSMRPYKQWKIEPHSNETIIEVDLSFEYGGTIIGTFWLQLLRPSQDKSDVVAVSLEAELEGWSTHNDHKGSVFASFEPILYHGNVFVALSLKNSASHLFSVLKVIEVAESKVFEFKSLEGLLLFPETVTQVALITCNEQHAHFHKDSPEIVNTYGKCKLLVLTNESTSPHIEVPCEDIFLLCSKYWKDSFMEDEKQNEHFSSGNVRTGSLANHVSLQSEIKDVKRAEADELVLENWASMGTRKSMSVLDEHEVFFPMVEVGSHSTKWITVKNPSEWPVVMQLIINSGEIIDECHDPEGFTHLSSGALIQNDSTLPKKYGFSLAEDAVTEAYVHPYGDVHFGPIIFYPSKRCHWRSSVLIRNNLSGVEWLSLRGYGGSSSLLLLEGSKPVFSIEFELESPILLNISPSERSVHMEEISHACTLPLSKDFYAKNSGDLPLEFKKIKISGTECGLDGFLVHNCKNFALEPGESKKLTISYETDLSATVVYRDLELALATGILVIPMKASLPFYMLNNCRRSVLWTRLKKFSFAVLLISSAMFLFFCWIVPHMISLSPLDFLSKNEIKRILSSTKSVEKTCSVHHGEKSSQLSDVWSVFEGEGTPPSSLLSKSVVIENSDAVEASQSNYLTVKTGKERGRRRKKKKAGGMKLAGLFEVSSSQSGNSTPSSPLSPTVSGTPKRTWPMSPDVNQSIEVSSLFARVVDETKAQTSEPTSVTNSPKPEITSSKGTPLESGKSYSKPILLSSATFPSAGRPAPNVICSPLAASTSKIALHARAPGSKPFNQKASLEGEGKSGIQDKYKYDIWGDHFSGLHLINKSKDVHPMIPSTIEKDSDSFFETSPQTLIAKSQPTSVSSFYQFPQV >KGN47913 pep chromosome:ASM407v2:6:19022509:19024730:1 gene:Csa_6G410680 transcript:KGN47913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADFESSALQPPNSNYLLSLPPSPPSLDPPPSPPIRPLFPFSKRPAIRVTSEFDSESSIFFHKVSCKLLDNLAKVKFSFQNDNKGQITDSQFQFRSKYLSIHYDPDEHNALLRSSIDVGPRLHFRAAHDVKGQQGELGVVAKIADPGYSLELSSPVPAIGAPRATFKFPMGEISLEEREEEEVNRAMSINGVLKGKFLNGNCAAHYKDEDLELRYSYKDEALSFIPKVSLPSNALSFAFKRRFGPSDKLSYWYDFDSNDWSAVYKHTYGKDLKLKAGYDTKEKLGWASLWVGDEGGKAKTAPMKMKVQFMLQVPQDDIRSAALMFRVKKRWDI >KGN45748 pep chromosome:ASM407v2:6:926209:930445:-1 gene:Csa_6G008790 transcript:KGN45748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDETYTNLPTSHLLGSVPAVINEEIEKSGTEHETPEAIMQTFPPTNGGDRGRGYQTLESPSDSHQQPSNDWKGVFSVSSYSQYFNVDTDIVMNRLISSLYPIGGDFSSKIDANPDLYGLVWITTTLVFVLAALGNCATYLMQKRSDQGAAWSFDVSYMNVAAGSVYGYAIVVPMAFYFSLQYLGSNSSLIRFWCLWGYSLFIFILASFLLLIPVEILRWFIILLSGAASASFVSLNLRAYIDGNDLSVLIIAAFFLQMALAIFIKVWFFP >KGN47866 pep chromosome:ASM407v2:6:18732112:18732938:-1 gene:Csa_6G408250 transcript:KGN47866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCFSVKKNRKLNNKLWVVYLKFSSMKEEAEVCSDATISRDEDDMITSLFDIFIDDRVNASAPSPQDETCRPDM >KGN49136 pep chromosome:ASM407v2:6:26783204:26785522:-1 gene:Csa_6G515450 transcript:KGN49136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELADIPKSGVIITPAEVNSGLEKSVISDETNRFQYNTKSDSFIIDMDNLSNKEISHNSRISRNFSRKGMLRGGNKIGQDNGDGDEAGGESISPIGGGGSSTLEKQAVVGREELVVGALSHETAISSTQRSSGAEKLSMRRNSFKRSTQPCSWYLDPRKIFLFCATLSCVGTMLLIYLTFSSGLLKVEESESGS >KGN46365 pep chromosome:ASM407v2:6:5923081:5932997:1 gene:Csa_6G087930 transcript:KGN46365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDINENDELVDERPPSPIWVLQQFSEEAFRVAGEALNSVYHGGTGLQEMGMGHRRARSEVLSAKHKRSNSFQRLKSHVQKAWGWGRDARDDDYAFYRFDPEILANQKRQWYQFHSKSLDHVYEEPTSLFEHFIIAGLHPDTNLEAVEDAFAKRKKWELQRKNSEIDIRMVEHRGPTVPLLEPQILFKYPPGKRLPMRMKDLSAFCFPAGVKAQLLERTPSLSDLNEIVYGQAHLKRDDLAFIFSLKVANNSTLYGVCLHVQEIVQRPPGLLGISTSLSHSPGLSSRFLVSAPRCYCLLTRVPFFELHFEMLNSIIAQERLNRVTQFISEISLTDSVPSGPRSNQNENVDSRERKSSGDWMTSAIPIHSAVAITAAAAGIISDDEILTSSVKMVEPQSPESCTASDASELSQLERTNGSCESAHLWSEMSFSSRHHMLERIGSSESLFSPARCMLSEDEDDDLFPNSEKEFGDDLIMEWARENKYDVLQIVCGYHSLPVPERGCELLFQPLEHLQSIEYKRPAIASLGFCESYLDLLNPVEVQAKLATAEETLALSIWTTATLCRALSLESVLQLVAGILLEKQVIVVCPNLGLLSATVLSLVPLICPFQWQSLFLPVLPGRMYDLLDAPVPFIVGTLNRPTDVKMKMSNLVVVDILKDQVKTCSLPTLPRYRELASKLGPIHAKLASKSSIAKKHPVYRCIESQTECAAQFLNVMRQYMESLCSNLRSHTITSVQSNNDRVSLLLKDSFIDSFSIKDRPFVKLLVDTQLFSVLSDSRLASFENGFCEVNVSTTPMAELEVHKLQMKKP >KGN48787 pep chromosome:ASM407v2:6:25098925:25101988:1 gene:Csa_6G501280 transcript:KGN48787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRKAKKTVKKSSPSPILEAKDEAANDEDVERHAAAIRAIRDVEIERLITVLRLLRSYFNKEQLQTPLLQFFEEKLPRLSISRTGEQGEIEVQWKDTEDELRTNPADGIDIHASLLHRLSIAYPNCSAGMRSFNGFEFSSKSVKTNPFIVENLQIPNFVLEEPSDNLVLGMPDIHQTPGVSNQRLSIGMTPKTRRLPKPGEMLVSIHGSPLGVYKEDNMEAIHESEEG >KGN48560 pep chromosome:ASM407v2:6:23688183:23689199:-1 gene:Csa_6G491720 transcript:KGN48560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIYSLLYLFLSLISLLFAFNLRTRRTNLPPSPPSLPIIGHLHYLKIPHHQTLHKLSAQYGPVISLWLGSRLVVVVSSLPAVEECFTKNDIVLANRPRLLVGKHISYNYTTMVSSPYGDHWRNLRRIGAIEIFSASRLNKFAGSRKDEVERLLKKLSRNSMYGFSKVEMQSAISERTFNISMRMAAGKRYFGEEVRDLEEARQSRELIKQIVSMGGVSYPGDFIPMMNWIPNGFKRKVWRVAKRMDAFLQGLIDEHRSNKEEERNTVIGHLLSLQEMEPEYYGDEIIKGIVLLILLVNLEFFPSLLDWK >KGN48872 pep chromosome:ASM407v2:6:25529831:25532316:1 gene:Csa_6G504530 transcript:KGN48872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSELYTVENPRKWRFTWEAQSHIPILRLLLFDSITNPSLQCRNLKVQLNLQQSVVCVAWLQDLDMSIRVPMPPVLVDADSPLSFRAFEDHIEVKLVLLLPVDHPIILNFDNVLDFSQEQGTSHSKASKPLSMDSDQISLSRSGGVHFYCRNCSFRLSKSPLRDFVEMPSVNWREVADNWFGSCCCSFGGISEKLVNRYTNSYRCEKGVCLLTLTTITLSKDDLIGHVFPDNEGTQQLKDESDFADGDCLTEAKEESPCNHTSTEKVKSKQINNKSLYANMEGSVAEKASDEVDSPIVTPIPDCCHHEESNVLHHLDKDCMHHTCGTIKSDPKPVNAVDISDDQRSFLNGFLGNIFMARLSNLSADFEWAEFFCPQCSTLIGAYPWRNGCGPTDGGVRFFKCYVSTCLAAESGNLLREYTLERMFANQLLESAHEESSFRTLVKELKTKSPMLHIVLINSNSWSCSGYCLGMEDTAEFVPKVDLNPIIKVLFSDCNKSAESHLRKLEEWVTKDIADEVFMLAHQIEELVEILVSRNDTLPSSCSSLDGLTLTSILR >KGN46985 pep chromosome:ASM407v2:6:11039043:11039530:1 gene:Csa_6G157110 transcript:KGN46985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPALNFILYHLYVSFIMGLSSIPRNKERISQLVTWGKTSIPTLFPSMAQHEKREERANDRYVRSSDYSVSLMSSLGVRKITENLCLLNSLLFSLQRNQHKGDLKSSNGYLCYPVLLMLSLGVRKWERERLLNYPRSSLLFV >KGN45936 pep chromosome:ASM407v2:6:2397007:2402094:-1 gene:Csa_6G024930 transcript:KGN45936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIATLVFWIIQISIHGVGVFSKTKAPDVSALNVMFSSLNSPSQLSGWGSSGGDPCGNSWEGIQCSGSSVTEISLSDFGLSGSMGYQLSNLASVTYFDLSKNNLNGEIPYQLPPNAVHIDLSGNSFTGSVPYSISQMSELEFLNLGHNKLSNQLSDMFGKLAKLKRLDLSFNSISGNLPQSFKKLSSLTVLHIQDNKFSGSINFLADLPLDDLNVANNKFTGWIPESLEDIDNLETVGNSWSTGPAPPPPPGTVSPTNKKSNKEESNKISSAVKSGLVIAGIAMGVLAVIAIVIGMTTKRRRHVSHYLDEDTNQHRSFTPLTSQELAKGNDNNGIDRKSFTSDASVDIKGGVVRPPPAPLDSIRSFSDNQFASRLNSKRRSTSFRAISYSLVDLQTATANFSPSRLLGEGTIGRVYKAKYGDGKVLAVKKIDSTVFQGRRTEEFSEVVAIISKLNHTNIAEVVGFCSEQGHHLFIYEFFTNGSLHEFLHMSDDFSKPLTWNTRVRIALGTARALEYLHEVCSPSIIHMNIKSSNILLDAELNPRLSDYGLATFYKSRRQNPEGGYDAPECSTKGSSYTMKSDIHSLGVVMLELLTGRMPFDSSKAKVEQCLVRWATPQLHDIDALDKMVDPALRGLYPPKSVSRFADIIALCVQSEPEFRPPMSEVVQALVTLVQRSSMNMRDDLGNSRRMDDYDY >KGN46506 pep chromosome:ASM407v2:6:6867696:6876060:1 gene:Csa_6G104630 transcript:KGN46506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYEQMGLGKTLQAISFLSYLKVHQISPTPFLVLCPLSVTDGWVSEIVKFAPCLKVLQYVGDKETRRNARRRMCEHATEQPVSDALFPFDILLTTYDIALMDQDFLSQIPWQYAVIDEAQRLKNPSSVLYNVLLERFLIPRRLLMTGTPIQNNLSELWALLHFCMPSVFGTLDQFISIFKDSGDLTLGHGKMNGHEHFKSLKYVLSVFLLRRTKAKLSESGVLLLPPLTETTVMVPLVNLQRKVYMSLLRKELPKLLAVSAGSSNHQSLQNIVIQLRKACSHPYLFPGIEPEPYEEGEHLVQASGKLVVLDQLLQKLHESQHRVLLFAQMTHTLDILQDFLELRNFSYERLDGSIRAEERFAAIRSFSSNSVGGSSQTTRNDAFVFLISTRAGGVGLNLVSADTVIFYEQDWNPQVDKQALQRAHRIGQINHVLSINLVTSQTVEEVIMRRAERKLQLSQKVVGEDYIDQDAEDIAMNETSDLRSIIFGLHVFDQDQVDNEKSGEFEVSNVSAMAEKVIALRHKKLSNKDDTRFLVNPTTFSNGTTSLNFDPGLDEVSYRSWIEKFKEATPGANQIKELEDRKTLSRDKSLKLQAAKKKAEEKKLSKWEALGYHSLSVEDPILPNDGDLISDAGSVYFVYGDCTHPSATVNCISEPTIIFSCVDDSGSWGHGGMFGALAKLSESVPSAYERASEFGDLHLGDLHLIKLDDNKQQSDNAPQWVALAVVQSYNPRRKVPRSKISLPDLENCISKASSSAAKHSASIHMPRIGYQDGSDRSEWYTVERLLRKYASIYNVKIYVYYYRRTS >KGN46593 pep chromosome:ASM407v2:6:7548325:7548989:-1 gene:Csa_6G110850 transcript:KGN46593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAHLLTTLSSYQMVDGVNLVVSFSSFSLWNDNHEWVVLAAGVSRKGSRANDAWFMAPTAIN >KGN48346 pep chromosome:ASM407v2:6:22159504:22162733:-1 gene:Csa_6G483280 transcript:KGN48346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAINLLKTFPKVQTSITYKPSTSLFFTTRSSFSFPSYPPVALAVCSMSYEKELAAAKKAASLAARLCQNVQKALLQSDVQSKSDRSPVTVADYGSQALVSFVLERELPSEPFSLVAEEDSGDLRTESGQETLHRITELVNETISSEGSYGASTLTAEDVLRAIDSGKSEGGPTGRHWVLDPIDGTKGFLRGDQYAIALALLDDGKVVLGVLACPNLPLGPINSSNQHSLLGDVGCLFFSTIGAGTYMQSLTGSTLTKVSVSATENPEEASFFESYEAAHSLHDLTSSIAQNLGVKAPPVRIDSQAKYGALSRGDGAIYLRFPHKGYREKIWDHAAGCIVVTEAGGVVTDAAGNALDFSKGRYLDLYKGIIVTNQRLMPSLLKAVQEALQQTASSTL >KGN45658 pep chromosome:ASM407v2:6:367348:369871:1 gene:Csa_6G004490 transcript:KGN45658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKFSISDENFHLGFRPSSSSMDLNDHSTISDTSGQSPASGYSFSYCRTSSDASAFSDPTTDDGSFCTEPSPAILGRQCTRKYKHAVGDKADDQDAMDSEMEMMKERFAKLLLGEDMSGSGKGVCTAVTISNAITNLYATVFGQNLKLEPLPPEKKAMWKREMSCLLSVCDYIVEFFTLSQTLEDGTSLEVLNSRQRSDICLNLPALQKLDMMLLDILDSFQDTEFWYAEKGSMSSNSNRSRSGSFRRLSLQKEEKWWLPVPCIPSCGLSENARKHLRNKRECANQIHKAAMAINSTILAEMDIPESFIDTLPKSGKASIGDTIYRYMYSADKFSPEHLLDCLNIGSEHDALELADRIEASMYTWRRKACLSHSKSSWELVKDLMAETEKSDKNTILAERAETLLLSLKQRFPELSQTTLDTSKIQYNRDVGQAVLESYSRVLEGLAFNIVAWIEDVLFSDRSIRNQD >KGN46311 pep chromosome:ASM407v2:6:5573104:5573713:-1 gene:Csa_6G081760 transcript:KGN46311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRLIMTSRRNSDKNRHAMNTIVHLIAQCCWMNIHIVAPHGIVFEIRIAEGYGARWSKDGNKFIGFLEPYMEDGHLKGWKH >KGN48285 pep chromosome:ASM407v2:6:21723165:21723897:-1 gene:Csa_6G454330 transcript:KGN48285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLWLNPLPSGQLRNSACVFIVGLSLFAAGAHLSYLNIGPQQARTKARYDFVKERLRRRRDG >KGN46037 pep chromosome:ASM407v2:6:3657371:3659733:1 gene:Csa_6G045160 transcript:KGN46037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVTLPTSSLIKPPTLRRSNALVQRPSSLCSFRSVSRSFGLKSSQFKVSAMASYKVKLIGPDGEENEFDAPDDAYILDSAENAGLDLPYSCRAGACSTCAGQVVSGTVDQTDGSFLDDKQMEKGYVLTCVAYPQSDCVIHTHKEGDLY >KGN47095 pep chromosome:ASM407v2:6:12094889:12095468:-1 gene:Csa_6G187395 transcript:KGN47095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDQKILSRFEEYRESVKAKAARNGGVKRRDERCIADGNELLRFHCSTFLCDLGQNGNSSICGQQFCSICGIIKSGFSHKLDGISTVANSWRAHVAIPEDIEEEFKFLNVKRAILVCRVVAGRVGSDADEPEKEGGGFDSVVGRTGSGAQTTLDEEELLVFNPRAVLPCFAIVYEI >KGN48349 pep chromosome:ASM407v2:6:22170903:22175683:1 gene:Csa_6G483310 transcript:KGN48349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFSASFSHNSFSCLTQFPQNFRRNPLRFHLIRAVKSTEPEKKVSETKTQELPVAEPSSSSSPTASPKVSKKPVYSMKKGQIVRVDKEKYLNSVNYLSVGHPPYFKGLDYIYEDRGEVLDLRIFETGEYALIAWVGIPTAPAWLPTEMLIKSEKLNYERL >KGN48074 pep chromosome:ASM407v2:6:20237713:20242366:1 gene:Csa_6G428550 transcript:KGN48074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGHKRHFLPLFLLVSVSILFFFTHFYSSIPPSFPSNPNPDFALSRRITDPKTSGFTLIVKVLAYNRLDSVSRCLRSIANADYLSDRVHLHVYIDHYPNDDAHIKLNESHRILQFVDQFAWNFGEKIVHYRTGNVGLQAQWLEAWWPSSDNEFAFVVEDDLELSPLYYKFLRSLIVNYYYNTSNYDPSIYGASLQRPRFVPGKHGNKIKLDEGTRMFLYQIVGTWGQLLFPRPWKEFRLWYDEHKAMGIKPLLDGMVTTGWYKKLGERIWTPWFIKFIHSRGYFNIYTNFLHERALSTSHRDAGVNYGKTAGPDSHLLDESSLDFNLLEMKPRSNLKWYDFCFREVVPQRIVKSKSELVSVLHSVQKHENIVIVSVFGVSESTMRNFLCHFERLNIKNYILLGHESELLNDLTRRGHPVIYADQFLKTLITSKFTTFEGTTSELVKLVLAKFYVIKSCLELGYSPGLVDGNMLFVNGNTFTDLSLANDIVSGQSYELFFIKSSSSSQKMWASHMVVEAEAILESLMSKGSSSLDGISFVGIATKLLEKHGVKFKTAEEMSFGVNIGNNPANTSLGDGKRLVFWPAETSNNDVQKRLEEVGFWIIDGDLSCKAVYCNGL >KGN47216 pep chromosome:ASM407v2:6:12904894:12905448:1 gene:Csa_6G212870 transcript:KGN47216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVEVGLVSFKSKLSKPCSKLLHLFKFPMKKPFSIKSFWARRSSRAISKPRRKTWSWLRWLRRVGKMERVRELKSSESVGSDNESREKLLFPSPLIRRRTKVAASWEEREEVEDACRSFENYLVEMIIEEGKVRDLMDVEELLYCWRKLKCPVFVDLVSRFYGELCKDLFSSHNQAFTPNFQHK >KGN46550 pep chromosome:ASM407v2:6:7219923:7220237:1 gene:Csa_6G108480 transcript:KGN46550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAIGVSLLDVKALGIDGQESTCQYWIRGVLRPWPLSRVSVMWLWISIGVLDRDCQELNFEFCREVLRFGYEWSGANVPRRSMSRRCVNDGVKLERARERHLRI >KGN49073 pep chromosome:ASM407v2:6:26488823:26489433:-1 gene:Csa_6G512880 transcript:KGN49073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAAGSTQHHHQEQSAYRALCCGCSCNCRLSFSSSEETESFNSDKFPSVSSIAHAMVQERLEQMIREKREVRNGKERKKQRSEDTKFVVMVAMEKCSDDPKEDFRVSMTEMILANRIEEPKDLRNLLNYYISMNSDECHGVIFEVFHEKEVSKNPRDDRILKSKLEFD >KGN49348 pep chromosome:ASM407v2:6:27819588:27823178:1 gene:Csa_6G520460 transcript:KGN49348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIATETIVPSKKLKIKFGGKRVEDHPGPQSCEFGKLVGQKLSFMGRNGLKVDGSGRFKYSLNTFSNGKTLAAACCKSKSSITIADKRRATEDIESPREKKQKLDRGTTQQCSSILKTLMTHRFGWVFNQPVDPVALKIPDYFSIITDPMDLGTVKSKLERNLYQASEEFAADIRLTFSNAMLYNPSGNHVHKMAKELLENFEKKWILPKEKWVSGRSNFQREKPSNGPPGEKISRTPSSHSSLLNKKSTGSEENVSKLFSNVNGAEVDRSSPTCAPKPPRKNFHTGTETGSNDASSSFDKQTPRHKCSGCGTIMPCHCVSSSSLDHVSSGGENSDVNDSCRRDSQTSGLSASHKSKSDTDSDGIRSVLEDEGKPPYDQSLARGANSTSEVCSTPVFDVQLSPKKALRAAMLKSRFAETILKAQQKTLLDLGDKVDQLKIQQEKERLERKQREERERIEAQIKAADMALRLKAEAEKKQQRERDREAARIALQKIERTVDLDQNLEILKELEKLCGGFLFIQHHRAMVKRSLDDCQLENPLERLGLFIKDEFLDDDEETIYSVNGKEREVFSRS >KGN47017 pep chromosome:ASM407v2:6:11467409:11468811:1 gene:Csa_6G169330 transcript:KGN47017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNILGKQSRQIQICSKVPPSSNKRSFGGSGYGYNVANTKNKILKKAKIEVLQSQEMKNIKAWRRNAVQKSSDISSTKKPMFSGRESASTSKNTSTHMAKRW >KGN47251 pep chromosome:ASM407v2:6:13415216:13415584:1 gene:Csa_6G235830 transcript:KGN47251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVQGSQSDSELNNMTISLSLPSHLPANLYILKVISGYKMRRSLLKHKLD >KGN47365 pep chromosome:ASM407v2:6:14591112:14591474:-1 gene:Csa_6G303800 transcript:KGN47365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELIHDSKVEAILGPESSSQAYFIVQLGDKAEVPIISFAPKISTLSYLKFSYFFRVTQNLSSQVYAISDILKVFGLREIIAVYEDNKFGKWIVANLIDSLHVGANKRKEHVIYTPYIYKD >KGN47574 pep chromosome:ASM407v2:6:16235779:16240529:1 gene:Csa_6G361360 transcript:KGN47574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGTVEVVSSKGCSRLLFGFSSPLSSLGGLQQLESMSLASPSSRSEPVKVRLAGPFTGLVICVTGLSKEARKQVKEATERLGGQYSPNLHPQCTHLVVQSLGGRKFEHAFKHGSRNGLFVVSLGWFVDSVRRNVRLSESLYTIKSLGENSGRLDELKHLAGSGGDGNSCLPVGIHGVEQNDTIGDSQLSFSKKDRDRRMDSNLSGQSMYIDTDISPELRHKVIEAAKGVGASLVDHWFAGCSTNHVVCERTSIHRYLGQSSNLVTPLWILKTVTEKRAQRLIHMSADLARQISSTLEDLCAENFTEAKNRQQEDTRTSRSKTTQAEREQTISNAKLGVRKRRACRMQTCQNPIRPLTPSSLLDSICWSISEPSSSASIYTDSFSSEGVSEHHTPEFFDATGDGKESEASFSNLTRPLSESEKSEVVFKNHYLTILFPVDRFFEMGPSSRTYFSYKGFTCFQILDHIYTFYQENMSDHEIEMAIHTDSRHADRLRSVYCSRETTESGCVVFKRIEFLGSRRSFEMLKRVSVDNNSNVYELLIRA >KGN47915 pep chromosome:ASM407v2:6:19035811:19040375:1 gene:Csa_6G411190 transcript:KGN47915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFHVLRAPPLSSSTTIFFKPTSSSSFLFKFRYPLYSPPFSKSSSSSISYFLPSSPNYVASPTTAILLSGSFSRSTRRFRGGFIAAATASGSVQRSEELRPSISSEQSWVLGQNGTESLPASDVPLSGRVYHETYGCQMNINDMEVVLSIMKKAGYSETVDTPETAEIIFINTCAIRDNAEQKVWQRLNYFWFLKRNWKSNVATGRSQSRHPPKVVVLGCMAERLKDKILDSDKMVDVVCGPDAYRDLPRLLDEVDYGQKGINTLLSLEETYADISPVRIAKNSVTAFVSVMRGCNNMCSFCIVPFTRGRERSRPVESIVCEVRELYREGVKEVTLLGQNVNSYNDTTENADIEPGTNWKLSDGFSTIAKVKKMGLRFSDLLDRLSTEFPEMRFRYTSPHPKDFPDEFLYLMRDRHNICKSIHLPAQSGNSIVLERMRRGYTREAYLDLVHKIRSIIPDVGITSDFICGFCGETEEEHADTLSLINEVGYDMAYMFAYSMREKTHAHRNYVDDIPEEVKQRRLTELIEAFRNSTGRCYDSQIGSVQLVLVEGPNKRAPETEMIGKSDRSHRVSFVNVAVPHRDGDYDGHRKAMVGDFVEVRISKSTRASLFGEALAITKLSSFYNGMDEPIACMS >KGN47301 pep chromosome:ASM407v2:6:14034575:14034807:-1 gene:Csa_6G290820 transcript:KGN47301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTADLRLTARRNRRLSFLKFKLAIKIRAHFSGPNRMNEKTERGLQFTPPPPVLFVFFRCKIEEP >KGN48321 pep chromosome:ASM407v2:6:21972637:21979706:-1 gene:Csa_6G476120 transcript:KGN48321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASGLLTFHLPRLARHFPLPHSFNPSLSFHSLVFRRKLLPRRPVILAHNSTRRRSFVAASSSSQDWRNSAGAVDERYDVIVVGGGHAGCEAALASARLGAKTLLLTLNIDRIAWQPCNPAVGGPAKSQLVHEVDALGGEIGKVADRCYLQKRVLNVSRGPAVRALRAQTDKREYALQMKSIVENTPNLSIREAMVTDLLLGKNDNVEGVCTFFGMNFYASAVILTTGTFMSGKIWVGRTSMPAGRAGESASHGLTENLQRLGFEIDRLKTGTPARVDCRTVDFSTLEPQHGDDEVNWFSFDPDFHIEREQMCCYLTRTTKSTHDLIKENLHETPTYGGWVEAKGPRYCPSIEDKIVRFQDKESHQIFLEPEGRNVPELYVQGFSTGLPERLQLPLLRTLPGLENCSMLRPAYAVEYDFLPAHQCSRSLMTKKIDGLFFSGQINGTTGYEEAAAQGILSGINAARFSDGKSLIVLERESSYIGTLIDDLVTKDLREPYRMLTSRSEHRLLLRSDNADSRLTPLGREIGLIDDRRWKLYQEKQAKISDEKKRLKTVRISGGELAADISLLSGQPVKDYSTLESLLKKPHIGYNVFDKHGFGNKLLTKSEKDCVEIDIKYEGFILRQQTQLQQMVHQQHRVLPEGLDYYAMTTLSLEAREKLSKVRPQTIGQASRVGGVSPADITALLIILESNRRKAQEMKRHQMLGSIIRESTDVEERPSGVHLTEAISS >KGN49395 pep chromosome:ASM407v2:6:28053643:28054610:-1 gene:Csa_6G523360 transcript:KGN49395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVKFMNSPRKNTESHKVPKADNKYKPFSRENENYRIKTSVVMTTQLATHRADAEIYYGDAICKQKSQDLLDQFFLPRGLLPLNDILEVGYNRTSGFIWLKQQKKKEHRFPAIGRTVLYDTEVSAFIEERRFRRLTGVKSKEFFLWITVSEIYVDQQNTSKITFGTSTGIAKSFPVSAFLIEEETDRKK >KGN46913 pep chromosome:ASM407v2:6:10547722:10548950:1 gene:Csa_6G150520 transcript:KGN46913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPQDRINFTVKHESTDSYNMEDGEQSSIGDRVIDKEDRLGESTGGRTTTMKKRKERSTKNDTIDGKNPSSSADSQTIPNRPPLGHPPGFGKLQVLASLGGYAWDTFSRDFTPHIILVAPGENIVNRISNFSVPRSRTVCIISAVGLVSSIIIHDPNSVASTLKFEGTFEILQLSGWSHEGDDIRLMTISFSKLDGRNQVFGGAVASSLIAATPVQV >KGN45849 pep chromosome:ASM407v2:6:1692974:1699605:-1 gene:Csa_6G014690 transcript:KGN45849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAAPLGSVSASPSSFLSQIASIGPSYAPSTSTSSSLVLHMPLSQSSSGLLHTLSPPPESVGRARVHVNLQQTFQRNRNCLIRSRNWAGRFVGLSKNPSTKAAVITATSSAASVCIGPALEVQEIRERSNKWQWNQYSINYFVSDYQLQQQPQSRSSPVVLLVHGFGASIPHWRRNISTLSQYSTVYAIDLLGFGASDKPAGFAYTMETWAELILDFVDQVIKKPTVLVGNSVGSLACVIAASDSSRNLVRGLVLLNCAGGMNNKAIVDDWRIKLLLPLLWLFDFLLNQRIIASALFERVKKRDSLRNILSSVYGNKESVDEDLIDIIVEPANDEGALDAFVSIVTGPPGPSPVELMPKISVPVLILWGDEDPFTPLDGPVGKYFKKLSMEVSNVSLFVLKGVGHCPHDDRPELVHEKLLPWLAQLPAL >KGN46930 pep chromosome:ASM407v2:6:10665973:10666152:1 gene:Csa_6G151670 transcript:KGN46930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYLVSSSGKDELDCGGFFLRKRRVGPRIWTKGSRWHKCVSRTQCLRRRSDADNGSNFG >KGN46248 pep chromosome:ASM407v2:6:5202378:5209855:-1 gene:Csa_6G077450 transcript:KGN46248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGPTGRSGGGMDMNVPNYKLGKTLGIGSFGKVKIAEHALTGHKVAIKILNRRKIKNLDMEEKVRREIKILRLFMHPHIIRLYEVIETPSDIYVVMEYVKSGELFDYIVEKGRLQEDEARNFFQQIISGVEYCHRNMVVHRDLKPENLLLDSKCNVKIADFGLSNIMRDGHFLKTSCGSPNYAAPEVISGKLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKGGIYTLPSHLSSGARELIPSMLVVDPMKRITIPEIRQHPWFQAHLPRYLAVPPPDTMQQAKKIDEDILQEVVKMGFDRNQLVESLRNRIQNEATVAYYLLLDNRFRVSSGYLGAEFQETMETGFNRMHPSDPTNPAVGHRLPGYMDYQGMGLRAQFPVERKWALGLQSRAHPREIMTEVLKALRELNVAWKKIGHYNMKCRWLPGIPGHHEGMINNPVHSNHYFGDESTIIENDGVVKSPNVIKFEVQLYKTREEKYLLDLQRVQGPQFLFLDLCAAFLAQLRVL >KGN47130 pep chromosome:ASM407v2:6:12304133:12305776:1 gene:Csa_6G190210 transcript:KGN47130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLTGGAVFLRAPNRFPAILRGFCSSSDGYIELIETCGRNRDLNFGRSLHARLIIDGSARLTHFAAKFIAFYAACGKIKDARILFDKIPRTNPRRWIVLIGAYSRCGYYPEALSVFCELQRGGLRPSEYIIPSVLKACGHLSEKTTGRKLHTLILKNSLESDAYVCSALIDMYAKSGEVEKARRVFESMAGKDLVALNAMVSGYAHHGLAEEALNLVEEMQVLGIKPNLVTWNTLVTGFSQIGEEEMVRELFKEMEANGIEPDVVSWTSVISGFVQNFRNEEAFDTFRRMLNAGFHPTSATISSLLPACASVGNGRCGKEIHGHSLALGVEKDVYVRTALVDMYAKCGYFYEAKILFWRMSERNSATWNSMIFGYANHGYCNEAIELFHQMKDDDEKKLDHLTFTAILTACAHAGLVDLGRSLFQLMQSKYGIVPRVEHYACMVDVFGRAGKLAEAYDLIKTMPVKPDLYVWGALLGACRKHGEIELAEEAAKHLSELEPGSVGNSLLLSDIYANAGSWGHVVKLKKMMKKRKMKKFPGCSWIETA >KGN47863 pep chromosome:ASM407v2:6:18707792:18714662:-1 gene:Csa_6G408220 transcript:KGN47863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTQCTTDVNCPPADQIVVASENALPLVLVPASEFNKENGIIWASSPDRLELPAKQFHNSNCSDSPCVSDSGSDIFSKREVIHKLRHQLKRRDDMILEMQDQIVHLQNSLNAQVAHSSHLQSQLDASNQDLFDSEREIQRLRKAIADHCLGQAGPNDKSSLSVRSWSGETRNGQANGYMDVNCNFEGPEKIRGDGERIEMLKKEVGDLKDVIEGKEYLLQSYKEQKTELSLKIKELQQRLDSQLPNIL >KGN46380 pep chromosome:ASM407v2:6:6013904:6019291:1 gene:Csa_6G088080 transcript:KGN46380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLVTGSQHYPHVVDESHRGPPLSSKICRVCGDEIGLKEDGKVFLACLACNFPVCRPCYEYERSEGNKCCPQCNTRYKRHKGSPRVIGDDEEADDADDFEDEFPIKHNKNDEFQAKQPNHSENDGYNDQNWHKNVQSSFSVAGSVNGKDMEGEKEGGYGSVEWKERIDKWKVRQEKRGLGNKEDGSNNDQEEDDYLLAEARQPLWRKLPISSSKISPYRIVIVLRLVILAFFFRFRILTPAYDAFPLWLISVICEIWFGFSWILDQFPKWAPINRETYLDRLSMRFEREGEPNLLSPVDFFVSTVDPLKEPPIITANTVLSILAVDYPVEKVSCYVSDDGASMLLFDTLAETAEFARRWVPFCKKFSIEPRAPEFYFSQKMDYLKDKVLPSFVKERRAMKREYEEFKVRINALVAKAQKKPEEGWVMQDGTPWPGNLTRDHPGMIQVYLGSEGALDVEGKELPRLVYVSREKRPGYQHHKKAGAMNALVRVSAVLTNAPFILNLDCDHYVNNSKAVREAMCFLMDPQLGKKLCYVQFPQRFDGIDRHDRYANRNIVFFDINMRGLDGIQGPVYVGTGCVFNRQALYGYEPPVSEKRPKMTCDCWPSWCCCCCCGGSRKSKSKRKGERGLLGGLFKKKKMMGKSYVRKAPGPVFDLEEIEEGFEGYDELEKSSLMSQKNFEKRFGQSPVFIASTLKEDGGLPEGTNSTSLVKEAIHVISCGYEDKTEWGKEIGWIYGSVTEDILTGFKMHCRGWKSVYCMPHRPAFKGSAPINLSDRLHQVLRWALGSVEIFLSRHCPLWYAYGGKLKWLERLAYINTIVYPFTSIPLLAYCTIPAVCLLTGKFIIPTLTNLASVWFMALFISIIATAVLELRWSEVSIEDLWRNEQFWVIGGVSAHLFAVFQGLLKVLGGVDTNFTVTAKAAEDTEFGELYLFKWTTLLIPPTTLIILNMVGVVAGISDAINNGYGSWGPLFGKLFFAFWVIVHLYPFLKGLMGKQNRTPTIVVLWSVLLASIFSLVWVRIDPFLPKQTGPVLKQCGVDC >KGN46677 pep chromosome:ASM407v2:6:8387628:8388356:-1 gene:Csa_6G121450 transcript:KGN46677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVRHTKPTTTLAAYLDLEDQQTQSCPTCRCSTNSAISLRPKPALSRTARAIVFGTILIKRVRERKIHRQPKSDGRKRSLSLDSVRVPVKENRELVKKELDGINQENREISLSSGSIQSFSISISEPKISNKKTGCGIEAIGVKQREVEWSRRSCYAFNSSVRLLMVSLGVTVMQGRVLGILITSISVYFFAWMQMEDCWLKKKATKCMEKRENRH >KGN49466 pep chromosome:ASM407v2:6:28545731:28552063:1 gene:Csa_6G525510 transcript:KGN49466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDANATVEENGTVELTESGQQDGNLGDDFLEDFDSCWQDLTDRLTVSRLVSDSVVKGMVNAISQEAHEKITQKELEVSELKKILQSYHLGPDSESAKFLASPLRLCKPKCSEFDRNNSIRGAFFEHDGMTESMCSLKNTAKENFNKLKKEIDRIRGCNSIRKINSGSELVGLGLGGILQEKASSRCIDVDKIVDDLQDNLDTFYKQVEGIVQLSKASLGQWQVEQEYLADIEGMVIRNYIWSMQQEFEEKLWDQNAKILSTERKISAEKMKEISCLRQELDIILKSLSPEVGHLISYSSMDSDHSHRKLLGNMTPTLHREGNGKHEMSKTNLPGNVDPSRLKHMGKDELINHFNTEMTKMSRNHESQVQEITEENFTLKREILKEREKSSMLKKDREFDLLRRKIPDIIVKLDDVLMENEKLRSSDANDENLGTMRNRLESLISENHHLKDLLGEKKKEIKCLSSQVSSHAEKMSQHSLALSESLITIEKIKCEMQDAQFEASICEDVFKCFLREMMDQSKCATEESAMRYDIMQGIYETVFEGASFVGELASTSENEHLEEESIIMQALLEVVLQESLREAEEKIISLHNRYMQEMSTRLSLEKEVLHCGQALEIEIFKNKKLEAELISSRALLKEKEELVQEITFVLEDEKKKLALACEEVGSLKDQTNSQEILIFKSHEESNTTKRKLTEAMQKVGLLEEENCELKRKLEQAMIEFRKVDEDRRLLVATVSENQDTKLLFEEKEKEYRKQMEMVIFVVQELSKEVFDFEHRVIDYISRNNERLESLSFETKSLIQDASMVKRDGLIYKQRLEKRCSDLQKAEAEVDLLGDEVDALLRLLEKMYIALDHYSPILKHYPGIVETLKLVKRELRGDTMEAF >KGN47281 pep chromosome:ASM407v2:6:13846822:13849601:-1 gene:Csa_6G282700 transcript:KGN47281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTWMDLKISVDVARMFHVNLKNIMLQLANVSRMLVREWYKYVKGDKVGMGQGLAKTRAAEAELMELELEKDRPFARSHPGGAVNQIPERYTIAGDVRWNDVVDGEV >KGN49135 pep chromosome:ASM407v2:6:26780406:26781188:-1 gene:Csa_6G514950 transcript:KGN49135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTHSYTGDQRLLDASHRDLRRARAAALNIVPTSTGAAKAVSLVLPQLKGKLNGIALRVPTPNVSVVDLVVNIEKKGISADDVNAAFRKAADGPLKGVLAVCDIPLVSVDFKCTDVSSTIDSSLTMVMGDDMLKVVAWYDNEWGYSQRVVDLAHLVADKWPGAGSGKSGDPLEDFCQTNPADEECKVYEA >KGN46732 pep chromosome:ASM407v2:6:8883163:8890675:-1 gene:Csa_6G127440 transcript:KGN46732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEAKFGRQPNLRDDQLSDCRSFGSNFHPSSQSRKISIGVMVESPANGRSRGKKEQISVVPNAEVVFSCLEKSVQGNCKEKDTRTLGTDVKSKSSDATQKLSSPWVSTQSLKRNAAHMETPSGAKQVFDSPMTCGRQNKGHGLKEPPATCSVISVANQSSMFKSGKSKEKNFDEANCQMEGVRDTTNEKSHEFAFATMAEVRSDKKVIEDHSNKSENRTETLKMKLWEILGTVSVPNEQQSECENHEQNVNHLITKEIVVQKQDRVVRFKHNSDTIETDSENSGHTLKRPIVRSIARKRSHIFMQSRKSKTPLGKKGKHQEGNVFVFEGVSEGIHVATNGASSKCTRKKRGEKSSKLQPRKIFFPRKEEKIGTFPKPTGIEELTPQEKLSSFREIQGFHSSPVNHVIVELDKRKGFNQFPQMDKKVSLQINSPRGHGQQGGIDSALLNKGVHLQSHTESPTFRMKTPVCSSPSSTPKADKVVCESSSPGSAEEMLSTRNICSFRKLRTSEEDCDRSDVKPQFSVFLKDDKEIEQSPLKKASVDLTKGVADYVLSDSSSEDASCESSAEDVDSSQKDTPSPKIGAIKKFKSMFHPAKRARNVENHEFDFSEPGERSWPDETVVPNEEDGLARVAKLFLSELENLKSKISSISIEKSSEVLLSVAESINLQLQNVQSQVQMDMVKLLNFGKSRRKDLEKKFEEQQQQLKRINKKFKEEVNQHLQDCRNALQELEAQQIEFKGIMEKKKASHRNNLMQVEEEVDLQLKDAQKRIEAIHKSGRGKIVQLKQVIAMCLK >KGN45758 pep chromosome:ASM407v2:6:979476:988089:1 gene:Csa_6G009370 transcript:KGN45758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWPFYLLFNKILKLEEPRELGGDDLSFVEVYPFESFLSKIRCDAPVLPRSQLIEVPHINQLQQWDCGLACVLMVLNILGINGCDIQSLADLCGTRSIWTVDLAYLLQRFSVSFSYFTVTFGADPNYSVESFYKEELANDLVRVDRLFQKALEAGIKIECRSLSKEDISLLMLSGIYVAIVLVDQRRLSGSWLEDILVSGICDSDSSYTGHYIVVCGYDADADEFEIRDPASTRKHVRISSNCLDGARKCFGTDEDILLVSLEKSGKQTTESPHLSPNVNINC >KGN45827 pep chromosome:ASM407v2:6:1525069:1527261:1 gene:Csa_6G014480 transcript:KGN45827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAPDPASEAVPRTPCIAETRPQMVSHSDPFGLGPTGSGSSTPPPGIRRVNFRAVIDTNPPFGSVKEAVTRFGGSGPWIPFSKGIEEFDIKRMEEQTAELEKDLIVKELETLDVLEELGATKRMVEELKRQLRTEALKCFPPPNFHSGEQTPTPTTVKEIEESFGDFTNNHEQHVLGDSCPSPDMILMELKQAKMNLGKTINDLGVIQTSVESLNRKMKREKSLLAKRRDGVVPKPKLSCPLTLDEELKPIQIKSQVTDDIEFKDVSENSFHTLREPPQLNPMGGQTNGVKSDYLAANEQKMASLRTAELRLVAARKMEEAARAAEAVALAEVEALSCIDNSAGFSLQEPETVAFNFKEQSLLNPNTQKPEEYQFDETNSSKLSIAKKLKEAKEELNLGKKALEEALNRVELANRKQLVAEETLRKWAPEHKGPAIYYPSSLNNFHHSALANFHPSIHQGSPLHNMSRPELVMKKDSKPVLRSTISMRDVLSRKQGLSGDSIGRRESQCEKQKVALSQMLHLHALREDLTFPSKIENDRNEQKNLSTERKRFGFIHIALPMKKQSKKKPQS >KGN45918 pep chromosome:ASM407v2:6:2245295:2247943:1 gene:Csa_6G022300 transcript:KGN45918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDITHSIPFTRTLNDRFLSSDGIIVKFSGASALSKSLILRVQNKSYRPAFFRKEDLENSLQRASREQNQINPALRPGDIQVAVFEEIIKGMKDNSISTWDDIVFIPPGFDVSTDPKKQQ >KGN45817 pep chromosome:ASM407v2:6:1465206:1468243:1 gene:Csa_6G013890 transcript:KGN45817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKLVSAEEKLEFTFIRNSALTITHSAIQKPFATSGIKTPNSVKVDKTDSHLQIQPLVDLLRDCVDARFLKQAKTVHGFLLKSKFSNHHSLVLLNHVAHAYSKCSDIDAACRLFDQMSQRNTFSWTVLIAGLAENGLFLDGFEFFCEMQSQGIFPDQFAYSGILQICIGLDSIELGNMVHAQIVIRGFTSHTFVSTALLNMYAKLQEIEDSYKVFNTMTEVNVVSWNAMITGFTSNDLYLDAFDLFLRMMGEGVTPDAQTFIGVAKAIGMLRDVNKAKEVSGYALELGVDSNTLVGTALIDMNSKCGSLQEARSIFNSHFITCRFNAPWNAMISGYLRSGFNEKALELFAKMCQNDIYLDHYTYCSVFNAIAALKCLSLGKKVHARAIKSGLEVNYVSISNAVANAYAKCGSLEDVRKVFNRMEDRDLISWTSLVTAYSQCSEWDKAIEIFSNMRAEGIAPNQFTFSSVLVSCANLCLLEYGQQVHGIICKVGLDMDKCIESALVDMYAKCGCLGDAKKVFNRISNADTVSWTAIIAGHAQHGIVDDALQLFRRMVQLGVEPNAVTFLCVLFACSHGGLVEEGLQYFKLMKKTYGLVPEMEHYACIVDLLSRVGHLNDAMEFISRMPVEPNEMVWQTLLGACRVHGNVELGELAAQKILSFKAENSATYVLLSNTYIESGSYKDGLSLRHLMKEQGVKKEPGCSWISVNGTLHKFYAGDQQHPEKDKIYAKLEELKLKLISLDDVPDLSYEL >KGN47612 pep chromosome:ASM407v2:6:16501105:16501524:1 gene:Csa_6G365140 transcript:KGN47612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEEFGEAIRPEFPTGRVKKIMKLDKDIGKVNSEALFLVSCATELFLKLLAEKSAESAAEKKRKTVKLEHIRMAVKRHRSISDFLLDSLPLPSQPSDAPAKDENRTRAVVDKVAPEGTRRIDDFFRRSTKTKSAETES >KGN48077 pep chromosome:ASM407v2:6:20257517:20288907:1 gene:Csa_6G429070 transcript:KGN48077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESSSTSKSDLQLEEMLDRILTRLALCDDSNLQSLLLKVLPATISSLSSQAISVRNKVLEILSHVNKRVKHQSHIGLPLLELWNIYLEANSTSMVRNFCIVYIEMAFDRLGPKEKVHMAPMVLANISKLPSQHQDIVLRIVIKVMGECHSREIDEEVSAKYRALNMSQNQLLFLDFCLHTMMYQPYSESEGCPPGLSIAQMKRVTGKNPINNDALLLRKLGILNVLEAMEPASELVYPIYMIASVDCHDAVVKRGEELLKKKGSAANLDDRRLINKLFFLFNGSTGAENSASESRVKPGSIALKGKLMSLFCRSITAANSFPSTLQCIFGCIYGDGTTSRLKQLGMEFTVWVFKHANGDQLKLMSPVILNGILKSLDGYSNSASDSTTRDTKTFAFQAIGLLAQRMPQLFRDKIDMAVRLFNALKMEAPSLRFVVQEATNLLAAAYKEAPTTVLNELETLLLKNCQEEEGEVRFCAVRWATRLFHLQHCPSRFICMLAAADSKLDIREMALEGLFGAKGEARTTTQTHDVKYPNFGVMLDYIIKQQPLLLCSTELREQRLLFSSQTYIAMIKFLLECFEAELQYDDSSEPLSTYESSVETMCLFLEHAMAYEGSVELHSTAFKALITIGSYLPEVISKHYASKVSWIKSFLSHIDINTRESAARLLGIASSALTTSASSSVIEELLTTINGAHNLRFETQHGLLCAIGFVTADCVSKTPIITQTLLEDTLKCLVGIVNSETAVISSVAMQAIGHIGLRIPLPPLSSNSETVDVLMTLRDKLSKLLLGDDINAIQKILLSIGHICFKESSSTCLNVALDLIFHLCRCKVEDILFAAGEALSFLWGGVPVTADVILKTNYASLSSASNFLGGDVNSYLLKYKCNVAGTDETTEKFHAMVRDSITKKLFDDLLYSTRKEERCAGAVWLVSLAMYCGNHPAIQQILPKIQEAFFHLLGEQNELVQELASQGMSIVYELGDSSMKTNLVNALVGTLTGSGKKKRAIKLVEDSEVFQESIGENPSGGKISTYKELCSLANEMGQPDLIYKFMDLANHQASLNSKRGAAFGFSKIAKQAEDALKPYLHSLIPRLVRYQYDPDKNVQDAMAHIWKSLVDDSKKTIDENLDLIITDLITQSGSRLWRSREASCLALADIIQGRKFSQVEKHLEKLWSVAFRAMDDIKETVRNSGDKLCRAITSLTIRLCDVSLTGLADASKAMNTVLPFLLSEGIMSKVDSIRKASIGVVMKLAKGAGIAIRPQLSDLVCCMLESLSSLEDQGLNYIELHAANVGVQTDKLENLRISIAKGSPMWETLDTCIKVVDDESLNSLIPRLAHLIRSGVGLNTRVGVANFMTLLVQKVGPDIKPYTNMLLRLLFPVVKEEKSVAAKRAFAAACAVIMKFSAQSQVQKLVEDSTSLHTGNRNDQISCALLLKSYSSMASDVMSGYLAAVIPVIFVSRFEDDKHVSGLFEELWEESTSGERITLQLYLGEIVSLICNGITSSSWSSKKKSAQAMSKLCEVLGESISSYHQVLLQSLMKEVSGHIWEGKETILDALGAISTACHKLISTADPALPNAIVNLVSSSCSKKAKKFREAAFACLEKVLKAFGSPQFFNMVFPLLFETCKSADSGQASLGGVATKTDTDDRGETSVPREKILNCLTSSIKVANLDDVVEQQKNLLYLITTSLSNGFRWTVKTSTFLSVNELCSRFHEVLCHGSQGRTELDSIISFVLELSHSVSPLVVQCITTVKIAQVHISASECLLEIIKLCTDLPSVHRTDIGIKAELLHLSEIEKNEVAKSLLKTCIENLENLHQDKIQED >KGN49320 pep chromosome:ASM407v2:6:27688644:27689682:1 gene:Csa_6G519710 transcript:KGN49320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSTLQKRFDSRNKLRLVRSLPTYESSGRQRCVFWNAVLFIHKLKLKLEAIEREYSNLLDMKREYLNSIKQFHSSKEVKVEKNGEEFRVKVRCEKGGDRLVSVLEAFEKMGLNVVEARVSCTECFCMEATAVAEDHHQLLNLSDITEAINVAIDPKLLAPNQHHNDSIPHNDAQLY >KGN46749 pep chromosome:ASM407v2:6:9044061:9046840:-1 gene:Csa_6G128590 transcript:KGN46749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFDTFTNEEHEHEHHHHHHSPTDQPFDDDAYMAFDSTLPSQPYDSSTPFSPSHDISSPDHQPQPPPSFFEDNVISDIHSPGNTTNPNLSDAYDFGVSNPNPDYVSPFHSADADADHDNAAAPAVGGGAFDDGGLFASDGPVLPDPSEMREEGNARREWRRQNAIDLEDKEKKEKEMRNQIINEAEEYKASFYEKRRVNCETNKAHNREREKLYHANQERFHKEADKHYWKAIAEIIPREVPNIEKRRGKKDPDKKPSILVVQGPKPGKPTDLARLRQILLKLKQTPPPHMMPPPPKPAKDEKDGKDGKDGEGGKDGKDSKDGKDTKEGTENKGGKEASEGTETKDSKEEGKEVDEKKASSPATVLTPTSPAINMDANGTPDQPIIEVEAPPPPVADAEQAVLLVSPSAE >KGN48680 pep chromosome:ASM407v2:6:24481608:24482623:-1 gene:Csa_6G497300 transcript:KGN48680 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein BRICK1 MARAGGITNAVNVGIAVQADWENREFISHISINVRRLFDFLVHFEATTKSKLASLNEKLDTLERRLEMLEVQVSTASANSSLFTT >KGN49429 pep chromosome:ASM407v2:6:28267979:28271246:1 gene:Csa_6G524660 transcript:KGN49429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYERIHKVQTGIISPSKLRMKLMGPHHHKKKDGSNSNSSRTSPSKLEDSEFVRNSLLLATESGDFEDEVTSSCLEVPSEKVLNQSVSDPKPNDRSSGLPKEFLSKEHSESVRNRMQQCLKGDGCNSSTVHPSKSVEDENLDYDSNASSSSFEFHKGERSVHSSISRSHLRPMPSKWNDAEKWIINRQNNGQAANYSKKNAPPTHGYRMAATNMVRVAPESANYELRSSTGRAVEAKHVDFYQSGLQMGPEKFSFVPVGVYSSADNVMIDSCSQIKDLKEVDHKPSSKASKEDSTGIPAIRAVSMRDMGTEMTPVPSQEPSRTATPVGASPLRSPTSSIPSTPRRDAPAPTPIEQSPIGLQQLTENGKRELSADEMKLKTRREILALGMQLGKTNIAAWASKDEPERKRHNAENADKEAFERAEFEKRAAAWEEVEKSKHTARYKREEIKIQAWENQQKTKLEAEMRRVEAQVEQMRAQAEVKMMKKIAMTRQKSEEKRAAAECRKKQEAERAAAQAEQIRQTGRMPSSPYICCGWL >KGN48190 pep chromosome:ASM407v2:6:20978328:20986166:1 gene:Csa_6G446500 transcript:KGN48190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDSDILHVHYEVEDVDDGGGEEEQEQEEEEEEEETDDSEETVEGHAEEEVDYVPEVLQSPRASQTGTPGSAQARVSFWVEEEVDKRRRTEGGEASSSQNEWNRSENDDMICSICMDAWTNYGEHHICCLPCGHLYGMSCINRWLQQRKNAGKCPQCNRKCSTKDVRKIFAPRIVAADGESQKRIKSLEATCASLEKKEANWCKKEVEWKKRETDMQSKLRELSERTNYLEHLLEDSQRKPVLANLDCTFQGKSVSEHNFGLRFSGQVSSCSFILKKELLVDGARLIDVDASNQIVLVARRGFGGTHVLTKISLMSPYEREDIGIPFCTNAVRDLHISPAARNLVLFSSLGKKLAILSMESNHIILDYDLPDAAWSCSWDQNSSHHVYAGLKNGLLVMFDMRQTVGPLKILQGLTNNPIHTIHSLSSHSTVSNDKSVLSASAYGICQWNFDALEEGPFLIPETNQGVCTSLAYCSSRDELVASYRPKVGMSNEIVYSQPSPSPSHTAGHRVDGCHVAFKKEDHHQFSKLGSAHANIDAIRLPKSTIIDLPDCNSLFVSADAIMGDLILQELPSFRSVQHLKVHKHPVRDVKYSYGFDGGLLGCLSDDILQLFCTKGS >KGN48647 pep chromosome:ASM407v2:6:24270993:24272258:1 gene:Csa_6G496990 transcript:KGN48647 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox-leucine zipper protein MGFDDLSNTSLLLGLGLTLPSNPPHLISQKPKKPLDFLCFPPPESEPSLTLGLSTVDTYPSETPDLSRQPSPHSAISSFSGSRVKRERDVSGEEIEEEKASSRVSDEDEDGSNARKKLRLTKEQSALLEESFKLHSTLNPKQKQALASELNLRPRQVEVWFQNRRARTKLKQTEVDCEFLKRCCETLTDENRRLQKELQELKALKLAQPLFMQMPAATLTMCPSCERIGGGAATVNGDGNAKGPFSIATKPRFYKAFTKPSAAC >KGN49028 pep chromosome:ASM407v2:6:26288702:26292230:1 gene:Csa_6G510950 transcript:KGN49028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIAGSKASSNQPQQPANGEMANGKDTGSLPPQISKLSKPVSVISDQSKSVKSISKKDVELSSDKKSPVSNQNGSAKLLTEKFNFSISSPGLKQTPTEVDSPLNEAKCSPGSSLDQEKKTSEYGSVKSSSFSGKVSDGTSCLAKTSGSTKVSDHGNFVESGKSSICRGSTSSDISDESSCSSFSSSISKPHKANDLRWEAIQVVRAKDGAMGLGHFRLLKKLGCGDIGSVYLSELRGTKCHFAMKVMDKNTLASRKKLLRAQTEREILQSLDHPFLPTLYTHFETEKFSCLVMEFCPGGDLHTLRQRQPGKHFAEQAVKFYVAEVLLALEYLHMLGIVYRDLKPENVLVREDGHIMLSDFDLSLRCAVNPTLVKNLSAESEALRKNTGYCVQPACIEPSCIQPSCVVPTTCFSPRLFSSKSKKERKPKIDLGNQVSPLPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNIVGQPLRFPDAPVVSFAAKDLIRGLLVKEPQQRLAYKRGATEIKQHPFFEGVNWALIRCATPPEIPRPVEIERIPHAVASTSEKAVAIAAIAPDKKASDNYLEFDFF >KGN46171 pep chromosome:ASM407v2:6:4710748:4711667:-1 gene:Csa_6G062310 transcript:KGN46171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKPPISPENQPPLHRSDADDEDENVKQLNECSSFYLSLQDCLIKTNRNWKSCQAEVQALKSCSERRKSGQRK >KGN46568 pep chromosome:ASM407v2:6:7346741:7349668:-1 gene:Csa_6G109640 transcript:KGN46568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAMPLPFPFDELRPNGVLNFTSVSDSSPPQPPTSTTLLRRHNHWSCTDNTNLLKEICYVGAAEPTSVLDTRRSPSPPTSTSTLSSSLGGCGGGGGGTASTDTTVAAPPSSLPENPSPLDKCGGGGSLGIDDWESVLPESPGQGPSILGLIMGDVEDPSLGLNKLLQSGGGGGGGGGGSHLDLEFSAGFTAVDHGLVFEPNSLSGESIVDPSLQGPSCSDFHNARLAAAVSNSNAMFSGVFQNQNQMVEGVDEKPQIFSSSQVVMNQNQAQFTQNPALFMPLPYASPVQEHHQNHHHHLLGGAPPAKRFNSGSIGPNYPVKSPFLDSGQENFSRRQQQQQQPHQVQLFPHHSHHHNVPQQQQRPSMAALAKQKMVNEDIANQQLQQGISDQLFKAVELIETGNSVLAQGILARLNHQLSSPIGKPFQRAAFYFKEALQLLLQNPSNHPSSNPNPSPFTIIFKIAAYKSFSEVSPVLQFANFTSNQALLEAFNGFDRIHIIDFDIGYGGQWASLMQELALRSSTTGGGPPFLRITAFASTSTHDDFELGFTQENLKNFANDLNIGFELEVVNVECLNSGSWPLPLNVSENEAIAVNLPVGSFFNYSLSLPMILRFVKHLNPKIVVSVDRGCSRMDAPFPHRVINALHSYSALLESMEAVTVNMDTQLKIERYLVQPCIEKVVTNPQSSNERAAPWKSVFLSSGFCPLTFSNFTESQAECLLQRTPVQGFHIDKRHSSLVLCWHRKELVSISAWRS >KGN46147 pep chromosome:ASM407v2:6:4463501:4464305:-1 gene:Csa_6G057130 transcript:KGN46147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPNKLNLNNLEQQQSTLEIQAKEFDYSKRAQWLRAAVLGANDGLVSTASLMMGVGAVKQDIKAMILTGFAGLVAGACSMAIGEFVSVYSQLDIEMAQIKREKLQRSNNMEGGVVQGQDSKDKEKLPNPLQAAAASALAFSLGAMVPLLAASFIREYKVRLAVVVASVTLALAVFGWLGAILGKASPIKSAARVLIGGWLAMAITFGLTKLIGASGL >KGN46738 pep chromosome:ASM407v2:6:8951946:8956057:1 gene:Csa_6G127990 transcript:KGN46738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNGLFYPILGFASCLAFIYLSFGDLRIGDTKVIPIVDFVERNGTQFMLNGKAFYINGWNSYWFMDHSVEEYRKPRIRAMLQAARKMGLTVCRTWAFNDGGYNALQVSPGRFNERVFKALDHVIAESRQHGIRLLLSLVNNLQAYGGKTQYVKWAWQDGVGLSSSNDSFFYDPSIRIYFKNYLKTVLTRKNSITGIEYRNDPTIFGWELINEPRCMTDASGDTLQEWIEEMTAYIKSIDKKHLLTVGLEGFYGPNSPKKSTVNPEEWASRLGSDFIRNSEIQHVDFASVHIYPDHWFHDQDFEDELKFVSKWMLSHIEDGDKELKKPVMFTEFGLSDLNKGFTPAQRDSFYKTVYDVIYKSAKRNRSGAGSLAWQFLVEGMEESNDDFGIVPWERSSIYQLIIEQSCRLARIGGDTQQLKALKYVCAQRW >KGN47976 pep chromosome:ASM407v2:6:19481725:19484872:-1 gene:Csa_6G421700 transcript:KGN47976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKRERENPCGVCGHYHKYEEGEVCGICGHRVPATSEKTSLQVSAFPSEILPEFLYLGSYDNASRSELLKTQGISRVLNTVPACQNLYKNSFTYHCLQYDKTLPFDDAVEFLELCERDKARVLVHCMSGKNRSSAIVIAFLMKCKGWRLAQSYQWVKERRPSVELTESILQQLQAYEQKVFGLPENSLPTLPILPPVGLPSFGFGFPKTGDPVPITPFNTIGATSIFSRPTIDVPSHEFTFGAGQTQNSFSSNPFGANPRNSTSSNIQMDSA >KGN49056 pep chromosome:ASM407v2:6:26401811:26403190:-1 gene:Csa_6G511710 transcript:KGN49056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVEVESVPVVLPEPEAAPAVQPEEKVVEEPVAEETPAQEQEEEAAAPAAPEPVEEAPVATEPEVETKEEVVEEKESKPEEAEPAAEPEAPAEAEAEKKEEETKEETVEAVEEKTEEETKEVKSEDAVEESSEAAAPAEEEKPKAEE >KGN47700 pep chromosome:ASM407v2:6:17203062:17208264:1 gene:Csa_6G382880 transcript:KGN47700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAQMSTAATPSFGGGSNRIAAVNITTPTNALKPQNHQEGKNTTHQKPGWRKFLSYVGPGFLVSLAYLDPGNLETDLQAGANHGFELLWVVLIGLIFALIIQSLAANLGVSTGKHLSEVCKAEYPKFVKYCLWLLAEVAVIAADIPEVIGTAFALNILFHIPVWAGVLLTGLSTLLLLGLQRYGARKLELLIAILVFVMAACFFGEMSYVKPPASGVLKGMFVPKLKGEGATADAIALLGALVMPHNLFLHSALVLSRKVPNSVRGINDACRYFLIESGIALFIAFLINVAVVSVSGTVCTVGNVTQTTADQCSDITLNSASFLLQNVLGKSSSTIYAIALFASGQSSSITGTYAGQFIMQGFLDLKMKTWARNLMTRSIAITPSLIVSIIGGPQGAGRLIIIASMILSFELPFALIPLLKFSSSSTKMGPHKNSIYIIVISWILGLAIIGINIYYLSTAFVDWLIHNNLPKVANVFIGIAVFPLMAAYIGAVIYLMFRKDRVVTYIEPEKDDAVAQAHLESGLNNSFGPRDVTPLPFREDLAHIPLPE >KGN46948 pep chromosome:ASM407v2:6:10788801:10789465:-1 gene:Csa_6G152340 transcript:KGN46948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAMVPPNSSDADDSPSVGASATAPAPSPAGGSTGDSSGGVGAEKLKGCFGVRERLKRHREEVAGKVMVPEKWGKEELLKDWIDYSAFDRILAAGRIASARASLAAEGQRNSRRSWRRVESRC >KGN45873 pep chromosome:ASM407v2:6:1878523:1879014:-1 gene:Csa_6G016905 transcript:KGN45873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSHRQKKTHSSFSIFSFFKSKRGRKGDVYEHGTNWEDVPSSSKVWPSDEDKGYRWVAEPGIDRKAKDYIDRIYKNRVFETERQTVTIHPNGPNSNV >KGN48818 pep chromosome:ASM407v2:6:25270907:25273439:1 gene:Csa_6G502070 transcript:KGN48818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAETGLFSVQQTIGTVLCCKCGIPMAPNAANMCVKCLCSEVDITEGLQKQLTIMHCPDCESYLQPPRTWIKAQLESKELLTFCIKRLRNLNKVKLVHAEFVWTEPHSKRIKVKLKVQKEVLQGAILEQSYVAEYVVQDHLCESCSRIQANPDQWVASVQLRQHVPHRRTFFFLEQLILKHGAAASAIRIKQMDQGIDFFFSNRSHGVKFVEFVGKVAPIRSRHDKQLVSHDPKSNIHNHKHTFSVEISPICREDLICLPPKVAVSLGNLGPLVICTKVTNAIALLDPFTLRHCFLDADQYWRTSFRSLLTSRQLVEYVVLDIENVSTEVNVAGSKYMLAYAQVARVSDFGRNDTMFSIKTHLGHILNPGDYALGYDLYGANSNDMELEKYKGLELPEAILIKKSYEEKRQRKHGKPRSWKLKSLSMDVDDKTKVDENKMNSEYEQFLRDLEENPDLRFNISLYRNREYQPSEITDGEDGPSVPLEELLADLDLSEGEGDDAMNE >KGN45829 pep chromosome:ASM407v2:6:1542023:1547561:-1 gene:Csa_6G014500 transcript:KGN45829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLPYDFLVNPLGAVRSTFEKAISSGSNPASFDGKDWGALQPFQHFLFDQNGLSKVPILNASSVKWLQPNTLVRFRGMIQDMLGNEFYVGAYKDGSTWKTTKFMNSSQCPTGFSPDMRIWERRVLYCVPVPGQNSWTDSSRLGEINNSAEGTSENRQKRCRMDENAVDHMDILAPSDEFLNSSSGKKQKDEQLSPYSQNISTMATSSPSTACNTARGFLPCIVKIYDAAESELKLNDVFEFIGVITLGTEQEVDKDDYDEFSNGLSEDLSDQFPPDMVPRLHCVIHRKLGVHDFLHTCHVLEPIPHRIKEIRTALLNHLTIALGNDGVAAQFLLLHLLSKVHARVDSMAVGKLSLNLTGFNRESLSIFGNQLRLTVKNLLPFTEYIPLTVEYLNTASLAPKKDYEINRLVPGVLQLAEGSHLMIDETQLEVGTLSSLGVENARLLKNLMELQKVEYDFKYYKMDMTTDVQLLILSEGKSNILPADLVVPFQPSAVGSTAITDMDALEAWRWYLSNVRLLPHSIESEMQQVVENDLVAAKQADRNLGSQDLSRLLTIGRLICASYGETSLSLEHWQMVKELERLRRERLR >KGN47047 pep chromosome:ASM407v2:6:11766816:11770824:-1 gene:Csa_6G181520 transcript:KGN47047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEATEATTKFQSPDFRPVPSPPDFHPEIVVSAHDGLRFWQFMIAGSIAGSAEHMAMFPIDTVKTHMQALGSCPIKSVGVRQALRSILKSEGPAGFYRGIGAMGLGAGPAHAVYFTVYENCKKFFSGGDPNNSLAHAASGVCATVASDAVFTPMDMVKQRLQLSNNPYKGVLDCIKKVLRDEGFKAFYASYRTTVLMNAPFTAVHFATYEAAKRGLMEVSPESVNDEQWVVHATAGAVAGASAAFVTTPLDVVKTQLQCQGVCGCDRFKSGSIRDVIRTILKKDGYRGLMRGWVPRMLFHAPAAAICWSTYEALKSFFHDLNGGSSHNGT >KGN45655 pep chromosome:ASM407v2:6:348188:350523:1 gene:Csa_6G003470 transcript:KGN45655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINDHALPSGLVLSHTEAIRSFLTSASIDSQLSDELRQIASDLVSQRNIPYKLLRAIWFATESSTRPDLLRLLAGSEFVFTSPKPREKSEELKARLKKLADVAERKAYQELVKDIAPKKPIDEPFSSYKDQLGFGLHVVLIMFTGYLVGYALFRALFRHDPIMSAAGGILGLVFGMLVETLLFIIRSSNYDNRSSSSSRSKLKKSQ >KGN46084 pep chromosome:ASM407v2:6:3976485:3979605:1 gene:Csa_6G052100 transcript:KGN46084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVMELAKFILNLKRKECLQFDGQLFHSLYQRLHKYILHQFLPIFFLSGERNPAGDRHFRPIMLLKPFNTVAVVFMLAFAFFIIFFTGFLEFPLASTSIVPPTDDLSLSPPEFASDPFIDLIAAFKKWDSQVGCDRFREKIGGGLSNGSSSVSLQQVGGDSNSECGGLKLNHVAVLVKGWTWIPDNLDNLYSCSCGLSCLWTKSSVLADKPDALLFETSTPPRQRRRGEPLRIYMDLEAGRKRSGFEDLFVSYHAEDDVQATYAGALFHNGRNYHISSSKNNDTLVYWSSSRCLPQRNQLAKKVLSLLPHHSFGKCLNNVGGLDMALSIYPECANDASATPKWWDHLHCAMSHYKFVLAIENTMTESYVTEKLFYALDSGSVPIYFGAPNVWDFVPPHSIIDGSKFSSLEELASYVKRLADDPVAYAEYHAWRRCRALGNYRRTRAVSLDTLPCRVCDAVSRRGGRNG >KGN48686 pep chromosome:ASM407v2:6:24516238:24519682:-1 gene:Csa_6G497360 transcript:KGN48686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATKPRTSSFSRNFSSAHSSKTPGFKHGPNGTTFISSGIPDLDKILCGGFPLGSLVLVMEDAEAPHHMLLLRNFMSQGLVHDQPLLYASPSRDPRGFLGTLPSPGASKDDKSRDNSTEQEKGLRIAWQYKKYFGDDQESANAIDSKYEFCNDFDLRRPFDRHFFSGKHVECVSILDSSSLSTLRDRCATFLSQVPRNDGNISSGGRIAIQSLCAPQCDHSNMEWEMLSFLRSLKSMVRSSNAVAVVTFPPSLLLPSFSKRWQHMADTLLSVRAIPDEDKELAKLLTGYQDMVGLLNVHKVAQLNTQVPKILEATTFSIKLQKRRYLVLECLNQAPVDASSGSSYGSTGSCSGSSKTASLEF >KGN47957 pep chromosome:ASM407v2:6:19364226:19365082:-1 gene:Csa_6G420530 transcript:KGN47957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIGFSLFLLILLIPCTAPHPSRPSIRDQSAAASELWCVAKNNADDASLQSALDWACGAGGADCSPIQPGGSCYDSTDVQNMASFAFNDYFRKHGMTDDSCFFQNSAAITSLNPSFGNCRFPSSVLRNGNFSSQTTSVGIEPSEDLSGSPRTSGRAWVWPVVIGYLFLRSM >KGN47894 pep chromosome:ASM407v2:6:18900789:18902584:1 gene:Csa_6G410000 transcript:KGN47894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDSSTSNSSNSNAAEGGGGGGCPCWPSTVAGAVMAGEPSELVMQLEPSEDIRLGSPDDASNNFFPNLSHSQSPPPELHTNFDYHLPSNTNATTQLQLPTLGYSSAAVAVMTEDQDTHYTNMLSAILNLNQNHQSSQWLDSSAVSNITCSTQSAFSKWTRHSDGLYCVTAGTASTSQCLLKSILHTIPFLHTKHRCNQHLYKSDDGQSQNGISQDFLSHPELLNDKFIILRSAVPFTTKMDNASILGDTVEYLEQLRQKIQDLEAQNREFQSSRRISFQEVQRNSLVPRTSLDKRKLRILEGVGDGCARPKMLKLPSPLTSLDTNLQVSIIGGDGLLELQCPYKEGLLLDILLILQGLQIETTAVRSSVSNGVFIAELRAKVKENTDGKKASILEVKSAIQQIIPCVDT >KGN46786 pep chromosome:ASM407v2:6:9418837:9426517:1 gene:Csa_6G135420 transcript:KGN46786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLTWLSNMGSRVSSSAKRSNGGVKSGKTGGVGKEASVKKSDSGGGGSSRGKSLWRPETKVNFPKKYGFIADNFSSVDQVTSALRKEGLESSNLIVGIDFTKSNEWTGAVSFNKRSLHALSDSPNPYQKALSIIGKTLAPFDEDGLIPCFGFGDATTHDQLVFSFHNDNSPCHGFEEVLDCYKNIIPNAQLSGPTSYGPVVEAAMDIVEKSGGQFHILLIVADGQVTRSSLFRDGELSAQEEKTIKAIVDASAYPLSIILVGVGDGPWDDMKKFDDKIPARDFDNFQFVNFTEIMSKNIGVAEKEAAFALAALMEVPFQYKAVTEMGLMGRVTGKARHIVPRPPPTCYTRSTRLTSTPSDTSSTSASDEHSQVCPICLTAGKDLAFGCGHMTCRECGSRLSNCHICRQPIRNRIRLYTG >KGN46824 pep chromosome:ASM407v2:6:9879442:9884492:-1 gene:Csa_6G139240 transcript:KGN46824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAAASPSPFQSQRSPLSSTPAAASSPIHRFSSFNSPLPVNSTTTTATATSPLDSFASDPVFSAFLSPSFSSTSFSSAALSSGSPASTAEKLQKAIRLLESQLRNEVLSRHNDLLSQLSSLKHAENALSTVRSGVSSLQSTVRHVRSELSEPRNVVFTKTVQFSNLHQTTELLQHTIRALRLSKKLRELASASADDPEKLDLAKAAQLHCEILSLCTEFDLAGIDVVDEELKWVKEIGDKLRTEAMKVLERGMEGLNQAEVGTGLQVFYNLGELKATIEQLMTKYKGMGVKSVSVALDMKSISGSAGSGFGPGGIRGSGTPQIGGGAKAREALWQRLGTCLDQLHSIVIAVWHLQRVLSKKRDPFTHVLLLDEVIQEGDSMLTDRVWEALVKAFASQMKSAFTASSFVKEIFTMGYPKLFSMIENLLERISRDTDVKGVVPAISSTGKDQMVAAIEIFQTAFLGFCLSRLSDLVSSIFPVSSRGSVPSKEQISKIISCIQEEIESVQMDGRLTLLVLRQVGKALLLLAERAECQISTGPEARQVNGPATAAQLKNFTLCQHLQEIHTRVSSMITGLPIIASDVLSPSLGSIYGVACDSVTSLFQAMLDSLESCILQIHDQNFGALGLNAAMDNNASPYMEELQKYILHFRGEFLSRLLPSSKNATISGTENICTQLVRSMASRVLIFFIRHASLVRPLSESGKLRMARDMAELELAVGQNLFPVEQLGAPYRALRAFRPLIFLETSQLEASPLLHDLPASVILHHLYSRGPEELQSPMQRNKLTPQQYSLWLDSQGEEQVWKGVKATLDDYATRVRARGDKEFTAVYPLMLQVGSSLTQNSPAT >KGN49082 pep chromosome:ASM407v2:6:26540469:26550995:1 gene:Csa_6G513460 transcript:KGN49082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGKIFQISNKQSKNQATTTDISPTRRRPIRPTQFGPKVKSNELKLLNNALTAKCTKSYHLNLLPLVAAASIFFCFFYSCEMNLQALHSSVHGQLEASSVSPFRLFSLRSPSVGLSKRFSTAAFRRKSSVCRLYGSSLSYGIHVPRGGIRSALTGEVKDVEEQKEQEVRRAYPFHEIEPKWQRYWDENRTFRTPDEVDTSKPKFYVLDMFPYPSGSGLHVGHPLGYTSTDILARFKRMQGYNVLHPMGWDAFGLPAEQYAIETGTHPKITTLRNINRFRSQLKSLGFSYDWDREISTIEPDYYKWTQWIFLQLLKRGLAYQAEVPVNWCPALGTVLANEEVVDGVSERGGHPVIRKPMRQWMLKITAYADRLLDDLDDLDWPESIKDMQRNWIGRSEGAEIEFCVLDSNGKDSDLKITVYTTRPDTLFGATYLVVAPEYSLLSSITSPTESKEVEEYKDLASRKSELERTELQKEKTGVFSGCYARNPVNGEAVPIWVADYVLGSYGTGAIMAVPAHDSRDHEFATKYDIPIVVVVVPEDGSLGDSSKAFSGVGIITNSSSPTSGLDINGLSSKEAASKVIEWAEKTGNGKKKVNYKLRDWLFARQRYWGEPIPVLFLDDSGESIPLSETELPLTLPELDDFTPTGTGEPPLSKADSWVKAIDPLSGKPARRETSTMPQWAGSCWYYLRFMDPKNSEELVGKMKEMYWGPVDVYVGGAEHAVLHLLYSRFWHKVLYDIGIVSTKEPFKCVINQGIILGEVQYTALKDPDGNLVSADSVDVLSEYNQERIPEEKVMKSGDYFVLKDSPDIRLIARAHKMSKSRGNVVNPDDVVSEYGADSLRLYEMFMGPLRDSKQWNTSGIEGVHRFLGRTWRLIVGLPSADGSFNDGTVATDEEPTLEQLRSLHKCIMKVTEEVEGTRFNTGISAMMEFVNVAYKWDRYPRTIVEAFTLLLSPYAPHLAEELWSRLGHSESLAYEPFPKANPIYLMDSTVVLPVQINGKTRGTIQVEKTCTEEDAFQAAEQDEKLSKYLTGQSIKKRIFVPGKILNVILDCQSSKVAR >KGN46978 pep chromosome:ASM407v2:6:10992145:10992480:-1 gene:Csa_6G156550 transcript:KGN46978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQLVGDEPGYRRRGVFLNWIWMAFSGGDDGEIIPSVMRHRRFKSGLKGPPLRERGGALVENRNRTEDISVPGHNFLKSIVESRTRISRTSGVKNRLMMMMNILGRILGLK >KGN45802 pep chromosome:ASM407v2:6:1341797:1346925:-1 gene:Csa_6G012270 transcript:KGN45802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKQVKYQIKVLELLACSKSERYSKNWSGQKNHAIDSEFLEIRAPIGPDLSQNIEYASQAALWGIEGLPELGEIYPLGGSADRLGLVDPDTGECLPAAMLSYCGRTLLEGLIRDLQAREFLYSKIYGKQCITPVAIMTSSAKNNHKRIMSLCEKFGWFGRGRSNFQLFEQPLVPAIGADDGLWLVTKSFAPICKPGGHGVIWKLAHDRGIFKWFYDHGRKGATVRQVSNVVAATDLTLLALSGIGLRQKKKLGFASCKRTAGATEGMNVLIETKNLDGMWEYGLSCIEYTEFEKYGITEGSRSQGRLESFPANTNILYVDLHSVEKVVSTNSEKSLPGMVLNLKKPVAYFDQFGRKHSVSGGRLECTMQNIADSFFNTSSSQCYNDVEDILDTYIVYNERRRVTSSAKKTRKHASVSLHQTPDGALLDILRNAHDLLSPCNIDVPVVESNEKYVDSGPPYLILLHPALGPLWEVTRQKFSGGSISRGSELQVEVAEFLWRNVQLDGSLIVLSENVMGSLKIDENGESLIHYGQRCGRCKLENIKVLNKGIDWNGEDNVYWKLEVQRHEGCKIILHGNAEFEATGVVLQGNHVFEVPDGYKLKISPGTSGFEAQLDQIELDKQDTGSWYWNYKIEGSHIKLEYVEL >KGN46607 pep chromosome:ASM407v2:6:7742670:7742981:-1 gene:Csa_6G112455 transcript:KGN46607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLNILITSKARGGTQLALGRAQLLCSALLATILQKHGVVLSTRALRKHARAQLRSNCSLNAIVPDFKSIKARNLSNLHLHFLYSSSPSSISPILHLYFPTFY >KGN48123 pep chromosome:ASM407v2:6:20597953:20599565:-1 gene:Csa_6G434410 transcript:KGN48123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLISNIVAILPLLLLLVSNSKAQPAAAQPSAQPAQPAGGAGGVFDITKYGAKPNADITANLKLNFITNSIVTGITSLDSKNFHINLLGCKNLTFDHVTITAPGNSPNTDGIHVSSSEQINILNTNIATGDDCISVGDSNKQVAISDVTCGPGHGISIGSLGKYTKEKEVVGVTVKKCKLTGTTNGVRIKTWPDSAVAFPATDMHFEDIEMDNVSNPIIIDQEYCPWNQCNRKENKILQSVVKLHQLMVHQPIEVMKM >KGN45694 pep chromosome:ASM407v2:6:576828:580112:-1 gene:Csa_6G006800 transcript:KGN45694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFHQTHETNQVLLSKIAISDGHGEDSPYFDGWKAYDNDPFHPENNPSGVIQMGLAENQLSPDLLVDWIKKHPKASICTDEGLLNFKDIANFQDYHGLPEFRNAIASFMGKARGGRVKFDPSRIVMGGGATGASEAVIFCLADPGDAFLIPSPYYAAFDRDLQWRTRAQIIPVHCNSSNNFQITREALEVAYKKAEESNIKVKGLIITNPSNPLGTIYDRDTLKSLVNFVNDNNIHLVCDEIYCATVFKSPSFISIAEIIEEMDHCKKELIHILSSLSKDMGLPGFRVGILYSYNDTVVSIARKMSSFGLVSSQTQHLLAAMLSDDEFVDNFLVENSKRLAKRHARFTKELEKMGITCLNGNAGLFVWMDLRKLLKDQSFESEMELWRVIINEVKLNVSPGSSFRVTEPGWFRVCFANMDDNTVDVALGRIHSFVGKIDKKENNTIPMPPKKKPRENKLRLSFSFSGRRYEEGNVLKSPHMMSPHSPLVRAKT >KGN48269 pep chromosome:ASM407v2:6:21618502:21620175:-1 gene:Csa_6G452700 transcript:KGN48269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSGQPPDLKKYMDKKLQIKLNANRLVIGTLRGFDQFMNLVVDNTVEVNGNEKTDIGMVVIRGNSVVTVEALEPVNRMQ >KGN48291 pep chromosome:ASM407v2:6:21779273:21779743:-1 gene:Csa_6G454395 transcript:KGN48291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPRRNLQLTSLSVDLNICRPKLLSHFFHHLKPKPSPKSPNHHHHRFSSASSDSESPPFSDSDSETRTSITFRGFGRSGGESVAVEKDSDDPYLDFRHSMVQMILENEIYSKEDLRGLLRCFLQLNSPSHHGIIVRAFSEIWDSVFSSTSPILRF >KGN47735 pep chromosome:ASM407v2:6:17570875:17574291:-1 gene:Csa_6G396660 transcript:KGN47735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGSAVKWLAFWWLSVCSCSSFVYGGNFYEDFEINWGEDRAKIFNSGALLSLSLDNLSGSGFHSKKTYLFGRIDMQLKLVAGNSAGTVTAYYLSSEGPRHDEIDFEFLGNLSGDPYILHTNVFTEGKGNREQQFYLWFDPTKNFHTYSIIWNPQQIVFLVDNYPIREFRNAEVFGVPFPNTQPMRIYSSLWNADNWATRGGLIKIDWTHSPFTAYYRNFNFNATTTTADYSSKSSSAASPHAVYQLDAFGRRRLRWVQKYFMIYNYCTDLKRFPQGLPPECNHHHY >KGN47034 pep chromosome:ASM407v2:6:11641056:11646172:-1 gene:Csa_6G177440 transcript:KGN47034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFYISTTGIKRLTISNSNSNSTAALKSSPLSSTASLRRISTRSIFPILLTLSILLPFFFLRFAFLLLESAAACSSSLDCSGWTLFPSNHHASSRLSEELSRAIVDLKDSGTVGVEDGVASFNQLVKDMISKRQDMKAFALKTKAMLHTMEQKVKSARNRESVYWYLASHGVPKGLHCLSLKLAEEYAVNAKARARLPQPEYVSRLTDPLFRHVVLLTDNVLAASAVVSSAIRNSVEPHKLVFHIVTDKKTYTPMHAWFATNSVDDSVVVEVKGLHHFEWSEDVNSRVKDMLEIHRLIWKRYYDDFKGANFDFDGEDKTKLDVLSPSSLSLLNHLRIYVPELFPDLNKIVFLDDDVVVQHDLSSLWDINLGGNVVGAVLDSWCGDGCCSGRKYSQYLNFSHPLISSNFDPDRCTWLYGVNIFDLEAWRKTNITSTYHQWLKHDHMYPIDPSWHVAGLGERPPQIFSKEILEDAAVVHFSGPAKPWLEIGSPEVRNIWNKHVNFSNKFIRRCRIME >KGN47210 pep chromosome:ASM407v2:6:12816906:12817220:1 gene:Csa_6G205840 transcript:KGN47210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKLAVKGDNTKPNPQEISPKTMTTHLPVEGSMVEIKVSIANMQVLWETLAKQIEFAGVGQPPKLLENRKDERTGNQIQVDQRMRNQVQEMEPRRSGEGVLIP >KGN46788 pep chromosome:ASM407v2:6:9435785:9451520:1 gene:Csa_6G135440 transcript:KGN46788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSAIQASSSPASSSAFRSPCLTSASQNCKFPLPTSRVVGSKRHRAFRLHMDACPTKCHVVSRYSFELPDSQSIFSKKSINKFFPPPRALVASNTQNTSAAPLIGEDKVGVLLLNLGGPETLDDVQPFLFNLFADPDIIRLPRLFRFLQRPLARFISVLRSPKSREGYASIGGGSPLRKITDAQAEELKKALWQKDVPAEVYVGMRYWHPFTEEAIEQIKKDGISKLVVLPLYPQFSISTSGSSLRLLEGIFREDEYLVNMQHTVIPSWYQREGYIKAMADLIEKELKTFDFPEQVMVFFSAHGVPLAYVEEAGDPYKAEMEECVDLIMEELEKRRITNSYTLAYQSRVGPVEWLKPYTDETIIELGQKGVKSLLAVPISFVSEHIETLEEIDVEYKELALKSGIEKWGRVPALGCEPTFITDLADAVIESLPYVGAMAVSNLEARQPLVPLGSVEELLAAYDSQRRQLPPPVTVWEWGWTKSAETWNGRAAMLAVLVLLVLEVTTGEGFLHQWGIFPLFHQ >KGN46354 pep chromosome:ASM407v2:6:5820956:5823367:-1 gene:Csa_6G087820 transcript:KGN46354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDSKCLLLAPKFRPLGLIFFPLPPILSKSPSSPRCPPLILSICLDFGCYVERWIEEIKERNKKKEEDKEAKASSFCGFFISTLLALICNEEAPTYPEKASNPSTNTPFSIAQCNFAPFSSNPCGPSSQFILLFSAPLQSTPPFPQIPPHISLHT >KGN46108 pep chromosome:ASM407v2:6:4141887:4146048:-1 gene:Csa_6G053320 transcript:KGN46108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVILAGLQPCKYLCVPNSSFESQDFLVSSNSVRNSAFLAVPRLPVQRISNSNLCSTSTCSDNCNKSKKLTFRRRSSVLFCSRNDIFDNLSSTQLPDKPRVDGIQEIDEIGLLNKPSPAPISNGVSSEIDQEVENPDENEALAPFMKFFKNKDSADEKEEEERALRAFEETIDGDDETEIANKLNVEYYEPKPGDVVVGVVVSGNENKLDINVGADLLGTMLTKEVLPLYDKEMESLTCDLDKDAESFMMNGKMGLVKYEDAFSRGQGPGRPVVENGTVLFAEVLGRTLSGRPLLSTRRLFRRLAWHRVRQIKGLDEPIEVKISEWNTGGLLTRIEGLRAFLPKAELLNRVNNFTELKENVGRRIFVQITRMDEAKNDLILSEKQAWETLYLREGTLLEGTVKKIFPYGAQIRIGDTNRSGLLHVSNITRARVTSVSDLLSVGEKVNVLVVKSMFPDKISLSIADLESEPGLFITNKEKVFSEAKIMAKKYRQRLPSLDGILRPKTAPTTDLPFENESSMYANWKWFKFER >KGN46294 pep chromosome:ASM407v2:6:5472586:5473295:-1 gene:Csa_6G080370 transcript:KGN46294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSHSILPCLFLFMFFSNFPITQSSNNNNTSSLIYKTCKASSEQDPNISFNFCVTSLKPAATKHRHGDTSLRRLGLITIYLIRHNMSNTRHHIKKYLHKNKGPRDPFVKLCLTDCLELYSDAIPTVKQARKDYKAGRYADANLKISSVMDDCSTCEEGFKEKDGVISPLTNRNHNAFELSAIALSIINMLC >KGN47804 pep chromosome:ASM407v2:6:18182914:18192213:1 gene:Csa_6G404190 transcript:KGN47804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHLSLPDNSYFLGFDSSTQSLKATVLDSNLNIVASELVHFDSELSHYKTQDGVYRDSSINGRIVSPTSMWVEALDLMLQKLVKSNLDFANIAAVSGSGQQHGSVYWKTGSSTILSSLDPQKPLAGQLVNAFSIKESPIWMDSSTTAQCRQIEEAVGGALELSTLTGSRAYERYTGPQIKKIYETQPEVYQNTERISLVSSFVASLLIGGYASIDETDGAGMNLMDIKQRTWSKKVLEATAPGLEEKLGKLAPAYGVAGYIAPYFVKRYNFKENCMVVQWSGDNPNSLAGLTLNTPGDLAISLGTSDTVFGITSDPQPRLEGHVFPNPVDPESYMVMLVYKNGSLTREDVRNRHAEKSWNTFNKFLQQTPPLNGGKIGFYYKEHEILPPLPVGVHRYSLENFKGNTMEGVTENEVEEFDSPSEVRALIEGQFLSMRAHAERFGMPSPPNRIIATGGASANETILSSIASIFGSDVYTVQRSDSASLGAALRAAHGWLCNKKGSFVPISSMYKDKLEKTSLACKFSVAAGDQELVSKYAVLMKKRIEIENRLVQKFGRC >KGN49273 pep chromosome:ASM407v2:6:27466424:27467822:-1 gene:Csa_6G518280 transcript:KGN49273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTARFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGSTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLISKASYENIFKKWLPELKHYAPNVPIVLVGTKLDLREDREYLIDHPGATPITAAQGEELRKMIGAITYIECSSKTQKNVKNVFDAAIKVALRPPRPKKKARKQRTACALL >KGN46898 pep chromosome:ASM407v2:6:10430277:10433658:1 gene:Csa_6G149390 transcript:KGN46898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKHETFFINSQSAMRFPMFSSPWIPLLLFVFSTSVVTSLQHNRFPRLSPVGEKFLHHSRVLNSLPLDDFKTYYYNQTLDHFNYRPESYTTFPQRYIINFKYWGGPNSSAPIFAYLGAEAPIDDDLDFIGFMTDNAIQFNALLIYIEHRYYGKSIPFRSRDEALGNASTLGYFNSAQAIADYAAILIHVKKEFHANYSPVIVIGGSYGGMLASWFRLKYPHVALGALASSAPILYFDDITPQDGYYSVVTKDFRGLSETCYETIKKSWSEIETVAYQPNGLSILDQEFKTCRPLRGYFELEDYLWSMYASAAQYNHPPKYPVTRICDAIDGTYSVNGTLSKIAAGVFAFRGSVSCYINEPRNETETDVGWRWQSCSEMVMPIGSDDDMFPPSPFDLQSVINYCNRLYGVPPRPHWATTYYGGHDIRLVLQRFGSNIIFSNGLKDPYSIAGVLHNISDSLLAVYTTNGSHCLDILKAHETDPEWLVRQRKTEVGIIKGWISEYYADLKKYKQ >KGN49085 pep chromosome:ASM407v2:6:26561547:26563359:-1 gene:Csa_6G513490 transcript:KGN49085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRFCLFLIILSLVMALSSVGYSAPTEATSEQQANSTSGLHDPDAKVTSPSKTLKNPGLGYVTLLRHVHGILNIIGWGTLIPIGIIIGRYFRHEFPIRCDQWYSIHAVCQTCGYIMGTVGWAFGVSVLHSSSKRSYLPFLVLGIFIILLTTIQIMLAICVQSKKESGERRRCWEKHHHVMGYVIMALIIGVIFEGINAQRHPKKWRWCYVGILSGLAIVGAALEVHRCYKLKLFKQAMKLNANMYSPST >KGN49333 pep chromosome:ASM407v2:6:27749521:27750483:-1 gene:Csa_6G520310 transcript:KGN49333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSKIIKRSPTNPFRRHRRKSPIKNAPSAVVATINRSLYTCHRRLLKIFSKLARISTPNRHKGYKSLRKTNSSSSESEPDIVRTLVFDNRLLPPLIYPAKRTVLLDLDETLVHSKLDPPPAKFDFVVRPRIDGEVLNFYVLKRPGVDQFLEALADKYEIVVFTAGLKEYASLVLNHLDKKSVISHRLYRDSCKEVDGKYVKDLSEIGRDLRRVVIVDDNPNAYVYQPENAIPITSFVDDPADTELRKLVRFFEVCDCYDDMRDAVKQYLSREEV >KGN45930 pep chromosome:ASM407v2:6:2371503:2373240:1 gene:Csa_6G023890 transcript:KGN45930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDMEFPELSLAPTRQFVKSDEMNSSSSKHLVEISSQCSRKRKLLLHDHHHFIKSQTSVDLQLKDPLPLHWEQCLDLQSGKMYYLNRKTLRKSWNWPKDQDHHQQHHHQKLDLALELNNINSPSSKADNLMNFGHEHGNYSFSESSNMVALPCLNCHLLVILSKSSPSCPNCKHFHTLFPNCPSSPNSPPNTLPLLN >KGN48609 pep chromosome:ASM407v2:6:24012186:24016268:-1 gene:Csa_6G495630 transcript:KGN48609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKQHSKDRLFITKTEWATEWGGAKSKGSQTPFKRLPFYCCALTFTPFEDPVCTADGSVFEIMNIIPYIRKYGKNPVTGAALKQEELIPLTFHKNSEGEFQCPVLNKVFTEFTHIVAIKTTGNVFCYEAVKELNIKTKNWKELLTDESFCREDIITIQNPTALDSKVLLDFDHVKNSLKVDDEELQKMKSDPTYNINVSGDIKQMLQELGTEKGRETALHGGGGGKAQKERAAALAAILAARSRIKENSKENGKGEEKPKQAFSIVDAASASVHGRSAAAAKAAPSEKTAARIAMHMAGDRAPVNAKMVKSRYTTGAASRSFTSTSYDPVTKNEHEYIKVEKNPKKKGYVQLHTTHGDLNIELHCDIAPRACENFITLCENGYYNGVAFHRNIRNFMIQGGDPTGTGRGGESIWGKPFNDELNSKLVHSGRGVVSMANSGPHTNGSQFFILYKSANHLNFKHTVFGSVVGGLTALAAMEKVPVDDNDRPLEEIKITNVAVFVNPYSEPDEEEEKQKDEKNVEDEENDKVGSWYSNPGTGVAEDGAAGGGVGKYLKARNAQSKPLAVDASLKQNTSTKKRTAAGEFKDFSSW >KGN47501 pep chromosome:ASM407v2:6:15664134:15666450:-1 gene:Csa_6G344300 transcript:KGN47501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSFAWHGHWRDSMWLFVYTLRENLGPIDTTLSSVLSSISVFTPVELGSQIHNLVLKLGFQSDVIVTSSLVDMYAKVGSIDDAMKVFIDMPFRDLISWNTMIMGLVDNGKYFEALHTFNKLVMEGVLPDRITLAGVLLACSYAGLVEEGLVIFSKMTYEHGVVPRNEHYSCVVNLLSRAGKFEEAVNIIKTTLYQPTSTFWTSLLGVCAIHGDLKIIEKVAEWMMKLEPQSSLPYSVLAQAYAMRCQWESMVRVKKTWENIATQKVKACSWIVTKDYAYAFQDDQLQLLSRENIISVLELIIWEIEYGIDHEQCMEIIH >KGN48379 pep chromosome:ASM407v2:6:22408103:22408757:1 gene:Csa_6G484570 transcript:KGN48379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSTKLCLFLLLIAVVAAPLCLALSDDWTRSYADVPDYDFTNSNEDSRRLLFQYGFAYKYPKNKYLGYDALRKNNIPCRHRGRSYYDCKKRKKANPYRRGCIAITGCARFTD >KGN46266 pep chromosome:ASM407v2:6:5313880:5316814:-1 gene:Csa_6G078610 transcript:KGN46266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQINKEWCRDQRDIFKSESTKESTNYCGSSAVGSSILTLKFFFFTGKNTSHALSLRAAQLAGSPSHSSDYLSRRTSTPSCARTNSLPAAFLPSIPPNPSTGFSGSPPAILCAAGSYRRYSHRILALGMATVKNLKIKTATCKRILKELFSYEKEVEREAAKTADMKEKGADPYDLKQQENVLAESRMMVPDCHKRLEFALADLKDALAELEKSTQEKGPEFDDARSTINDVESFLKPIEELCSGSVTA >KGN48660 pep chromosome:ASM407v2:6:24349098:24351140:-1 gene:Csa_6G497110 transcript:KGN48660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISSAKWLSEMELESSFMNDLEMNPFECTLEELSSFQTFSDESYTSHVDLDNSSVQTPAAPPPAKQARTSSGSSRRISSMATSSSSSQIISFGNIEMSPMVAQPSYDNNNNNNKTSNYYCSPNKNHGVGIKRSAAAAMNSNNRSPLVAQDHVLAERKRREKLSQRFVALSALIPDLKKMDKASILGDAITYIKDLQERLKVANEQAAKATVESVVFVNKSDDASTIIASDDSSEENSSSSSDGAIPDVEARVSGKDVLLRIHGKKCKGCLSNILNQIEKLNLTVLNSSALPFGNFRLDITIIAQMDDDFSMTVKELVQKLRQASLEFM >KGN48742 pep chromosome:ASM407v2:6:24856075:24856485:-1 gene:Csa_6G499860 transcript:KGN48742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVSLEAFAMAGMEYSGEWGIDVEEWESQDLDYCPPPYLLAEGRVNDNDKASDEQPPSLSEPPSQACRSC >KGN47197 pep chromosome:ASM407v2:6:12711841:12713208:-1 gene:Csa_6G198250 transcript:KGN47197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGLKVAIRWIITVEVEHSLKDWKWDLRRILSNLPCVALREHVVTSGKDKYVRCLQFLHLTYSTATADSNSFTVTYLINSCSFSPASALSISKHVTFHSPEKPDSVISFFKNQAFFNADISFIVRKLPRLLLSDPNKTLLPKLEFLYSRGASTSKFEDVFFLLLIF >KGN48481 pep chromosome:ASM407v2:6:23147569:23149461:-1 gene:Csa_6G489970 transcript:KGN48481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPPKFFKILLITNVEDPKLMIPRMFIKSYGKLLSSSVILKLPDGREWKIGLTTSDNGAVWLEKGWDKFSEHYCLEYGFLLVFKLLNSRGTSSFKVNIFNTTAMETEYSWNVKDFTKEFDSDSDGSESFCPHSKKRNKASVPRRRLSKKTRKEDHFSIKTEPEEGEECNIFSDIPKEEVEISRRIEELKNRGESNEKLGFKVVMSQSNVGGRFNLVIPKEFAGKYLSDEVGSISIQTENGKKWSLLYKWSESDDEVAYISRGWRDFVEENLLKPGDVVFFELIKKDKFLFTKLQENITVPSSSPKNKTASTTNPFFEVQIHKKSYGNTVLNIPLGFANKHFSPEMHHAKLQVWNKEWEVKLKQYVNHCRFSAGWSKFYRENMLRDGETCLFEMVSKRNCIFKVSIFS >KGN46308 pep chromosome:ASM407v2:6:5550483:5551096:-1 gene:Csa_6G081490 transcript:KGN46308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKQIHEIKDFLLTARRKDARSVKIKRSKDVVKFKVRCSKYLYTLCVFDSEKADKLKQSLPPG >KGN48781 pep chromosome:ASM407v2:6:25074878:25075737:1 gene:Csa_6G501220 transcript:KGN48781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALSEFFSHLHTMTAVFFTLLLLEFVILIRTIFGLRPNSDKRVITTAQFFKLIEDKNPTIRFSNKVTPSIADQCAVCLSEFEEGEKVRKLQCNHTFHKDCLDNWLKLCFATCPLCRSKVLPDDIVAGYHRLRDRVEYDGSDEELIFLLSALHGNSIYRFF >KGN45710 pep chromosome:ASM407v2:6:679430:684374:1 gene:Csa_6G007440 transcript:KGN45710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLRRFKLRKRLILFSRWFGRNIRPMTSLSSVRYYRLTQEANSLKEPKLSSKLLSWGRQLSFLRQRVSTEEKPDHLVPKGQLAVYVGESGGGLSRVLVPVVYFKHRLFIELLREAEEEYGFRHEKGITLPCGYSEFERIQTKIRDCRRSWARWRSSGSCCSPERGFDHERCERYVLVRGSITEIYLSTRQSNGCQFVETEVWFDLLIMWRLG >KGN49297 pep chromosome:ASM407v2:6:27572006:27575334:-1 gene:Csa_6G519500 transcript:KGN49297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRIRALSRLLLQVLFFISLESAKAEDFYNISNVGSGKQVRTCNLFEGKWVFDPSLPLYESSSCPFIDPEFNCQKYGRPDRSYLKYTWKPDFCDLPRFDGLELLRRWRGKKIMFVGDSLSLNMWQSLTCMIRASAPKTKTSVVRRESISTVIFQDYGVSLLLYRTPYLVDVVKERIGRVLKLDSIEGGNVWKGMDVLIFNSWHWWTHTGRSQPWDYVQVGNTVKKDMDRLEAFYQGLTTWARWVEMNVDPSKTKVIFQGISPTHYEGKDWNQPKRSCNGESVPLSGSLYPAGTPPAAEIVKRVLSRMRKPVFLLDITTLSQLRKDAHPSTYSGEHGRDCSHWCLPGLPDTWNELLYAAFTM >KGN46285 pep chromosome:ASM407v2:6:5429751:5431124:1 gene:Csa_6G079790 transcript:KGN46285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCKKHLSDVTSAVGVCATCLRERLLSLMAAQARAEAHQLQLSRLRSSTAAAEDLPRKSDPLPPPPLVFPRSVSPYVSRRKVEDSSWSFQSPLDDRHRRFHHRFYSTPQVGPTYYAGGISTSSFVTTGSVSRKQRSRFSFFSSLFRSRSEKLDSVPHDFSHSTCEPVPSSSSTWFSSMFSRRKKKQSKFCSIEEQMDQRKKPPPSRFFSRGMSPAGGASEADGDCDDRRDGSPSASGYSSESSKWKPSPAASHGSTARRGRQGLSRNVSSLAFCLSPLVRASPNHRHWNQKGLPPEFVYSGDVRVPNKPHISEAASFCANRSRKLADFGRVNANR >KGN47724 pep chromosome:ASM407v2:6:17443595:17444001:-1 gene:Csa_6G385080 transcript:KGN47724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIVFSNMVRRFETDEKGMCWGRITRVRLMDFCYECGKLGHVLEDCSSSSDTAEEQDCNSRFGTGVEDLSYEDWPGGVRKGGDEKYRELRIENRGGLGRLL >KGN46873 pep chromosome:ASM407v2:6:10292436:10293728:1 gene:Csa_6G147660 transcript:KGN46873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFLFFLVSVLVMSSSSITAQGPPFPGFYPSSSIQSSGFSQYFRNLWGPQHQRLDDQGSVTIWLDSTSGSGFKSLQSYLSGYFGVAVKLQSGYTAGVITSFYLSNNQYFPGNHDEIDLEFLGTTPGKPYTLQTNVFIRGSGDGNIIGREVKFHLWFDPTQNFHHYAIRWTPSDIIFLVDDVPIRRYTRKNDATFPVRPLWVYGSIWDASQWATEDGKYKADYRYQPFVSKYQEFKISGCRGLAGESCRAGSGGLSQEQYKAMEWVQRNYLVYDYCNDPKRDHTQIPEC >KGN46047 pep chromosome:ASM407v2:6:3710661:3714767:1 gene:Csa_6G046250 transcript:KGN46047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAHSSSFSSFSAYFHALSQTPSRLSRRAFSVSTSFDEMTTTRSTSGVHMQRTLRWFDLVGFGLGGMIGAGVFVTTGPATLQAGPAIVISYAIAGLCALLSAFCYTEFAVDMPVAGGAFSYLRVTFGEFAAFLTGANLIMDYVMSNAAVARSFSQYLGAAIGVSTAKWRLHIPGLPKGFDQIDLIAVAVVLIITIIICYSTKQSSVVNMTLTAIHILFIAFVIVFGFWKGDWKNFTEPGDPKNESGFFPHGAAGVFKGASLVYLSYIGYDAVSTMAEEVRSPAKDIPIGVSGSVVLVTVLYCLMAASMAKLLPYDMIKKEAPFAAAFGRWKWVSNVIGGGASFGILTSLLVAMMGQARYMCVIGRSRVVPAWFADVHPKTSTPLNASAFLGVFTAAIALFADLDILLNFVCIGTLFVFYMVANAVIYRRYVEIGSTNPWPTLSFLCSFSLTAIIFTLIWHFVTPGMAKTTLLSVTAIVAIVISLIFHGVVPQARKPEFWGVPLMPWIPCASIFLNIFLLGALDGTSYIRFVFFSILAVLIYVLYSVHSSYDAEGAGSLCVKNREVPELDNNFKV >KGN49278 pep chromosome:ASM407v2:6:27486205:27489834:1 gene:Csa_6G518330 transcript:KGN49278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSSLQTLRTIAGSVKTVIASSSPVVRQSSRSYFANPSLGKLQKETNPSDFLKWSSLGFFRTSKFATGFNPLQPKPLDSIIDMERAKDRSPEDLASIWDDYHLGRGHIGISMKAKLYHLLEQRAADCRYFVIPLWRGSGYTTMFVQVQTPHIIFTGLEDYKARGTQAAPYFTVSYYKEFAESKDLVLIRGDIVFTSKLTDEEAEWLLETTQSFYLNDVRYKLVERFNRQTRDFEFKDVLQALDMPIL >KGN48622 pep chromosome:ASM407v2:6:24084631:24087958:1 gene:Csa_6G495760 transcript:KGN48622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMDAYEEVTEKFKISAETNEGHHKYSSKEGKGKRLWKKVKYQLVEYHALPAYLRDNEFILGHYRADWPMKQTLLSIFSIHNETLNVWTHLIGFFLFLSLTIYTATKIPDVVDIHPLQHLPDALRKADLHKLQEELLTCLPSLPHFPDLQKLREELKIAMPSMDMLSSLSRWHVVELLYNCLPERFSHGNQTDDCVLRSMKEEVANMIAPLAMRPITRWPFFAFLGGAMFCLLASSTCHLLSCHSERVSYIMLRLDYAGIAALISTSFYPPVYYSFMCYPFFCSLYMGFITLLGIATILVSLLPMFQSPEYRTFRASLFLGMGLCGIAPILHKLILFWGSPEALHTTGYEILMGILYGLGALVYASRIPERWMPGKFDIAGHSHQLFHILVVAGAYTHYRAGLVYLKWRDLQGC >KGN47088 pep chromosome:ASM407v2:6:12048535:12059282:-1 gene:Csa_6G185860 transcript:KGN47088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFFKDADPVFLGAGTKPGLEIWCIENLQVVSVPKASHGKFYSGSAYIVLNTTVPKCGIPQHDVHYWVGENANKVDSALASDKALELDAALGSCTVQYREVGGQETEKFLSYFKPCIIPLEGVYCSQLQHPKDKTYQIRLLTCKGDRAVHVKEVPFSRSSLNHNDVFILDTASKVFLFSGCYSSIQERAKALDVAQYIKENNHSGSCDLVTIDDGKFVGDSDVGEFWSFFGGYAPIPRDVPSDQTPSDSSIKLFWINTQGKLYPKGYDALNKEMLETDKCYMLDCDSQLFVWMGKHTSVTERKTSISAVEDFVRKQDRSTGTHLTFLTEGLETAAFKVYFDDWPNIVEPKLYEEGRGKVAAIFKQHGYDVKELPEQDFKPCINLQGRIKVWRVDGDSITPLTEAEQKKLFTGDCYIVQYTYPGSGRDENIIYSWLGRMSVMEDRREAISHLNTIVNLTKGDSVVAQVIQNKEPDLFFYIFQILIIFKGGKSTQYKKHLEDENSNDDTYDESKNALFRIQGTGLDNMQAIQVDLVSGSLNSSYCYILQTGTCIFTWIGSLSSTRDHEILDRMVEMINPTWQPVSIREGSEPDLFWEVLDGKSEYQKGKEAKGPIEDPHLFVLNISEGDFKVKEIYNFTQDDLTTEDVLVLNCHNEIYVWLGCHANVGGKEQALDLAHKFLEKDVLGEGISLETPIYVVTEGHEPPLFTQFFEWDFSKANMHGNSFERKLAVLKGKVHNLDSPVRKSWKALSRETTPDGSRRTSLSPFQHERNLSPAFPGSGPHLKSPNRDIFSTPTQAVRKLDLTSSQNAGSPTTTSLSHSPISSQSSDILLNNEDVAAENLPIYPYERLTVVSKDPIGGIDVTKREAYLSIEEFEEKFGMEKTTFYKLPKWKQNKLKMTLHLF >KGN47388 pep chromosome:ASM407v2:6:14755726:14757072:-1 gene:Csa_6G309950 transcript:KGN47388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSKNHTAHNQSHKAHKNGIKKPRKHRHTSTKGMDPKFLRNQRYAKKHNTKSGENASGEE >KGN47845 pep chromosome:ASM407v2:6:18521049:18532285:1 gene:Csa_6G407060 transcript:KGN47845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNGGAFGGLNGNGLRSSLKSERQGVHHHHHHIPLSPAHNTSSSFSIASSKSVGHGQSLTSAVRNKSSSASRRSLTPNSRSLSFDGDEDSQRVRVAVRVRPRNAEDLLSDADFADCVELQPELKRLKLRKNNWSSESYRFDEVFTESASQRRVYEVVAKPVVESVLNGYNGTIMAYGQTGTGKTYTLGRMGKEDASERGIMVRALEDIIANVSPTSDSVEISYLQLYMESIQDLLAPEKVNIPINEDPKTGEVSAPGATVVKIQDIDHFLHLLEISESNRHAANTKLNTESSRSHAILMVYVRRAVSKRNEDMTASQGNANDHAIDILGGNGIPMIRKSKLLVVDLAGSERINKSGSEGHLLEEAKFINLSLTSLGKCINALAENSTHIPTRDSKLTRLLRDSFGGSARTSLIITIGPSSRYHAETASTIMFGQRAMKIVNMIKLKEEFDYESLCRKLENQVDNLTAEVDRQQKLRESEKYKLEKELRNCQASFAEAENSLITRSEFLEKENTRMENEMADLLIELNRQRDRNDLMCDKVSHLEMSLEHSKQHQLENYSYQKVLADTTQMYEKNIADLKKQLEVEHSRSVSGKEELEATKKILSDHKKAIQHHETENSAYKKALAEATQRFEKKMAELTKQLEDKNAHVEVIEEQLHLAKSCLSNHQNSMQEEIEDLKEKLRRSCQSHEGTLAEFQSLKSEHKNLVEEKEKLKEELYITRQKLLSEEKQRKTVEDELAKIKRTVPMSENDFEDKKSYMKDNIHREPSNLVTPMGFHKAGQLKETNSGQRATIAKICEEVGLQKILQLLTSTDSDVQVHAVKVVANLAAEDSNQEKIVDEGGLDALLMLLQSSRNMTILRVASGAIANLAMNERNQAVIMSKGGAQLLARTASRTDDPQTLRMVAGALANLCGNEKLHKMLKDDGGIKALLEMVTSGNNDVIAQVARGMANFAKCESRGIVQGRKKGRSLLMEDGALTWLISNSLTTSASTRRHIELALCHLAQNEENADDFVNSDGVKELERISRESNKEDIRNLARKMLKLNPTFQAQAQAQAQVQAH >KGN48301 pep chromosome:ASM407v2:6:21814159:21815241:1 gene:Csa_6G454480 transcript:KGN48301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAGDEGTDFESDAAVEFNGQLDGTNGLILIKGGAVVGRDGNVVTDEEGRPDVDIVVALVGWLNAGTESDLLVMVNGVDVEAVVIDSDLVVRVTGIDGDLDTGGDEVSGGEIEEVDGGVLDDEFGLCGLKNGPNKEDCEEDEEEKNEDSGVDSAD >KGN48948 pep chromosome:ASM407v2:6:25950831:25952885:1 gene:Csa_6G507220 transcript:KGN48948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYFNRPSVDGDPDKPADPSLTTTSHHVFEDDAGKSVFSIAIIENMEEEYGLYVWPCSIILAEYVWQQKARFAGANVVELGAGTSLPGLVAAKLGASVTLTDDANRVEVLDNIRKVCDLNNLNCNIMGLTWGIWDISIFNLRPTIIIGADVLYENSAFDDLFSTVAFLLQNSPGSVFITTYHNRSGHHLIEFLMVKWGLKCEKLVDGFAFLPSQKASKLSGNIQLAEIVLNCGPVKETSLVK >KGN45940 pep chromosome:ASM407v2:6:2449967:2451814:1 gene:Csa_6G028440 transcript:KGN45940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERTIQVILLLALVSVFSSFSAYSLPLSTHGRWIIDSQSGKRVKLVCVNWPSHTQSMLIEGLNHRPLKELADEAIKLRFNCVRLTYATHMFTRYANRTVEENFDLLDLEQAKAGLAQYNPFVLNKTIAEAYEAVVDVLGASGLMVIADNHMSQPRWCCSLDDGNGFFGNRYFDPQEWLQGLSLVAQRFNNKSTVVGMSLRNELRGMMENANDWNNYVTQGVTTIHKINPAVLVIVSGLNYDNDLRCLKDKPLNVSTLDNKLAFEVHLYSFSGDSESKFVQQPLNNICAKIMHEFIDHAEFVIEGPNPFPLFVSEYGYDQREVDDAENRFMSCFTAHLAQKDLDWALWTWQGSYYYREGQAELAETFGVLDSNWTQIKNPNFVQKFQLLQTMLQDPYSNASFSYVIYHVQSGQCIEVSNDNKEIFLTNCSTSSRWSHDNDSTPIKMSSTGLCLKASGEGLEASLSTDCIGKQSLWSAISNSNLHLGTVTEDGKSLCLQIIESSNSSKIVTNSCICTTNDPTCLQDTQSQWFELVATNTLL >KGN47460 pep chromosome:ASM407v2:6:15243121:15246008:-1 gene:Csa_6G330970 transcript:KGN47460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRSSSENLILVNRESNGIAFITINRPKSLNSLTKHMMADLAHAFKSLDRDDSVRVIILSGSGRAFCSGVDLTAAEDVFKGDVKDVESDPVAQMELCRKPIIGAIAGFAITAGFEIALACDILIAAKGAKFIDTHARFGIFPSWGLSQKLGRIIGVNKAREVSLTAMPLTAEEAEKRGLVNQVVEGSELLKKAREVAEAILKNNQDLVVRYKSVINDGLKLDLGQALTLEKERAHAYYNGMTKEQFQKMQEFIAGRSSKRPSKL >KGN48103 pep chromosome:ASM407v2:6:20428913:20430800:-1 gene:Csa_6G432270 transcript:KGN48103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSAAAQRSLNYGFGSEMGMVGLRDVYVVAPASSFNQNPQQHDVNLLSDPHSLAVSNPATALGVGVGVGVIPLLTAGPCLGVEEENFFGNRSNRGGGIQFQQSTQHYLKKTPSGSLDHVSGTNNELIGGGIGGSGGLASSSSATTTCQDCGNQAKKDCSHRRCRTCCRSRGFDCATHVKSTWVPAARRRERQLMAVTATAAADGSSASTSGAKKPRLIPSQTTSHTSTSNTTPPRSLDTSSSHQDAGNKEALPGQIRAQAVFKCVRVTAVDDGDDEYAYHAMVKIGGHVFKGFLYDQGVEAGDGFPNVSELHLGDGGGGGGTMNNRNGSSSSPLVDPSDVYNTAGSGSGGVPGFLGGSNYGNQIS >KGN48891 pep chromosome:ASM407v2:6:25628936:25632363:-1 gene:Csa_6G504710 transcript:KGN48891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSRKSKCVSWPHDLNLCQVKLFSSEECPSKVGLKSCEHLQAKTSWMLPAHTKEPNCPPGFNITHLRNQLVDISGIPLIKWNCPPKFVMSCHWRVTAGDESTEIKTQNFREMRLLEAIYPRASDIPTGASVSTEIESETYNDSLTPLVPIIPIEEDECGVMKLDSGPVGNFSTNSKQMMASSNVQLDPAIPKSSIETSSVAAGEKPSEKLVDVGVDVVAAASAAFAVLMKSKEQGSLIDTNLLIKIFSDPTMIQNLTNTLPLFELVSNSPPTAPAAPISMSNPATSSISLSIPESNTIPKLSNGNLSGVSNRDLSSETTLPQVTNIAASNVKADSVPGHSLAPEPQKVKTTMSNVGNYSRTGAVSSQVDIAQVNMVKKGQIVKDANYYKNLVRQHGGDQKDGKEQKIGLNGNNQNEYNLNMVHEMKAEHLKAKNQKYCIYFNSPKGCRNGVNCQYKHDMSQNMRINHILETRGAKRMKLWG >KGN48082 pep chromosome:ASM407v2:6:20309097:20312825:1 gene:Csa_6G429120 transcript:KGN48082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEREEEEEDIVCLDPSFFMNNDYQLTTFTFGSHEIELFCLQSASTDFDLTGQLVWPGALLMNNYLSQHAHLLQGCSIIELGSGVGITGILCSKFCHKVVLTDHNEEVLKILKKNIELHASPESLGNSAELAAEKLEWGNSDQITQVMDKHSGGFDLILGADIYILILSSSFFS >KGN48846 pep chromosome:ASM407v2:6:25402473:25408232:1 gene:Csa_6G502820 transcript:KGN48846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNLSHSRLPNRGSTSLSKKICNGSNGGGPFVAQTIYDDVYGGPPKFGVSALSPRFEDYGEIFGSFHALRASSIPILDLPAVNESEVFFDARSSAFDYAEVFGGFDGLDFAISYDELVGPSKDIDDGSSDEAWTPAGTESLSDCSDHSGNSHCMSNGDSKQSFEESTEFCISYNKVDRESNGNISNGKIHVTQLEMLPGFSYLVDEANPSSKATDDDPSLQTNDDNYLNIDFDTGKVKGKHPRDTMPSLVDSNGPGPLFEDNPISQNGYGRGVCRSHEDFITVSEISLRTEPSQVPPPARPPPKFATKKRDYARRTLSCGEAASELISDDHTLPLFDVEVDASSSAAASAAAMKEAMEKAQAQLQNAKDLWKRKKEGVHGRLRLDLKNDIREKDGKLSKIPNRFRTLANESELGAGEIHGHEMNLSAREERQKDGRATEVCSTHYGGEELLTEAEKTLPIRSGSRFFVSENHDCCNKWKDATEFFELARADISSKEFESVNNNAISSFVTAQMGVEINNAWENDKDQNKKVNAVHTTHVLNEAAKNLENMVHGKEEDKIKLKPNKNETRQKEQVKLKIQQGFYDLEANDMKFGVAQGFMEIKKQMGCANDLEKREKPMEFRQLASELKVEQPLVSPRDIEQEKKKVVERKKNGYSLKESHITENNANKMEATENEKRAMFPEASEREKVEQKIRMFLERPEDKKRPNLVLEDDNFMGQMARERQLEGVCDMEDHGEKEKEAAKVGVSERPELAHEIEDDNKWAQDFQYREVCEKGVDDSFQHLNIGEIPRDVGRCKVTSMLVEDSQNSTDLNGTSSEHDGLKRLDDRHKVNSTIESQVHDLGISAAALQIKDDKDHLPIELACPRGMSEEFSIVDESGERKTTVIVNENLEFNKNSCVPGVCEPEVEHNVPVEMEDADIQISFDELIKRAAKETQFQSEIEHTKLEPTNSEDGLSSENSTSMDEGENIDELEDTKASLPLDRSDEKAGQAGGCIEGSVGRKKVVTGMGSFPEHPESNLSCCMEDKGKSSDQVEDKGQKVSVQGVNVRAEKGSGLKSTWENISERTWKSGEFSCEVNANHAPERKENIVNQSHTSKGKESERARSEAESENDILRKLEEEREREREREKDRMPIDRISLEPRDRVGAEARERVERAALERMTAEARQRALADARERLEKACAEARENSLAGKAATTEARVKAERAAVERATAEARERAAEKAKSDKTSFGARERMERSVSDKFSASSRNNEMRQKSSSSGQPSLQSQSFGSATVSRYAYYSAYDERNEGVDGESPQRCKARLERHQRTAERAAKALAEKNMRDLLAQREQAERNRLAETLDADVRRWSSGKEGNLRALLSTLQYILGPDSGWQPIPLTEVITAVAVKKAYRKATLCVHPDKLQQRGASIQQKYICEKVFDLLKEAWNKFNSEER >KGN46222 pep chromosome:ASM407v2:6:5056145:5064757:1 gene:Csa_6G076710 transcript:KGN46222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIWARWLWQIYVIESEGKSKHPPCPYEGRRKRAREREREKVKKIKRKGIIYNLEVLKKWGRKMGRGRVELKRIENKINRQVTFSKRRNGLLKKAYELSVLCDAEVALIIFSTRGKLYEFGSAGTSKTLERYQRCCFSPQHNFAERETQNWFQEISKLKAKYESLCRTHRHLLGEDLGPLSVKELQNLEKQLEAALSQARQRKTQIMIEQMENLRKKERQLGILNRELKLKLEAEGQNVRGIESFWSCGSGSGHPNNNFPLHHPLQPDPIDCQHQPLLQIGYQNYFSEEGPSHVQKTMTCETNFIQGWVI >KGN47952 pep chromosome:ASM407v2:6:19325590:19327455:-1 gene:Csa_6G419490 transcript:KGN47952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQRLQLKALHLWPTLRSSSSPHLHSQTLNLSSSSSLQYTPWSGLKAWKQSPLNENRFWGPNGPEPLLESSSTGVFFDSRIESASSLAELGALVLSTSDPLTKSKLSHLAYSRWSQEGLPIGVFEAPSHPARPSLPKLVSPKEIPAPKNSGLPLNAYMLHNLAHVELNAIDLAWDTVVRFSLFSDVLGEGFFADFAHVADDESRHFMWCSQRLAELGFKYGDMAAHNLLWRECEKSSNNVAARLAAIPLVQACFAYSSSTPYVFD >KGN48362 pep chromosome:ASM407v2:6:22260122:22261695:1 gene:Csa_6G483430 transcript:KGN48362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMKNFSISLIFFAIPLIFFHKNNGVSLASADQTLIQKTCTNTLYYKLCMSSLKSDPASLTADTKGLAVIMASIGAANATATASYLSSQLPTSSSGAGANNNKTKLLRQCSEKYAFAAEALRESLKDLGDETFDYAYMHVSAAADYANVCRDAFKGFPAVSYPTKLGRREEGLKRICRVVLGILDLLGW >KGN47161 pep chromosome:ASM407v2:6:12499558:12499746:-1 gene:Csa_6G191010 transcript:KGN47161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEEENWEDKDEDRDGENGERDDRLLDDGEDGNTENINMDEKGDKTTIVNSENEAAMIWCYN >KGN48983 pep chromosome:ASM407v2:6:26098005:26099490:1 gene:Csa_6G509030 transcript:KGN48983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLYSLLSLLILGVLWRSVGVASSSSDVGRLINVTTFNAMFKYQKDPQCSSQGFYSYQAFLAAARSFGKLGFATTGKLATRKRELLAFLAQTSHQTTGGWLTAPDGPLFWGYCHIRETTEDSYCKADPKWPCAKGQKYYGRGPMQLQGNQNYGQAGKALGLDLLKNPDLVAKDPVVSFKTAIWFWMTPQGIKPSCHDVMVGKWKPTEADKAAKRVPGYGVVSNIIGGRECGSGANTDVADRFGFYVRYCKMLGVNPGKHLDCFFQEPFTRM >KGN47453 pep chromosome:ASM407v2:6:15174934:15176479:-1 gene:Csa_6G327430 transcript:KGN47453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEKIKEEVLRNNRDQNSMGNPGLLFSDAIIPNNHTTNLFDFPLIDTIDSSFKPSTFLDLLATQDYTPSLFDLFSPPPPPPQPPLPLPSSAVAESSEVLNTPTTPNSSSVSCSSTERAFDNDDVDRDKSPFNKQLKAKKKNQKKGREPRFAFMTKSEVDHLDDGYRWRKYGQKAVKNSPYPRSYYRCTTAGCGVKKRVERSSDDPSIVVTTYEGQHTHQSPIMPRGALSSTAFTPSPQQQPPLVFSQPQQLYRNQFTYAPAPPADVVTCGGGFGHVFHSFGEERRLIDGRTTTTTSTTTTDSFQDHGLLQDMIVPFPEEKEKKVN >KGN49127 pep chromosome:ASM407v2:6:26753773:26754517:-1 gene:Csa_6G514880 transcript:KGN49127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKMKGIYKSFKYITQIFGNNVVKDREMEIGYPTDVKHVAHIGLDNSSPSASAPSWMNEFKGGEVSERRDSSSTAVTALTHWSTNSQEFDISIGYQSASDLSLELPKKPKKKRSSKNTTMSSSSSRTTKSKATCKNPVEPIPNFQI >KGN48377 pep chromosome:ASM407v2:6:22397481:22398093:-1 gene:Csa_6G484550 transcript:KGN48377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEPGGFFPIRKSQDAAVGALVRMLQKAPPLRQDFTNSTADLGQPPTPDSPSRNIQRGNQISESLALKSRYAPSSSIAASGLFVPKTTADALEELQSYREMKNLLIRQAGKTHT >KGN48650 pep chromosome:ASM407v2:6:24287065:24287490:1 gene:Csa_6G497015 transcript:KGN48650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSTPNALKTYEGSKLALVHALPLETATSFNPISKLSPSTYANDKFRLPGYRFSMLPFTTTPSSFAFIPFKSRSCNAVIRLSSYSISFIASSQAAPIPTTNGVGTVPLLIPLSCPPPFIWGSTRILGLLRIYRAPIPFGP >KGN48671 pep chromosome:ASM407v2:6:24438988:24442688:-1 gene:Csa_6G497220 transcript:KGN48671 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARF domain class transcription factor MSPPLLNSVEEALGNVPVVAASPSMDCHSQNGTKFRERNYLRLSPCSSVDSSAVSNLSEENKSNLNLKATELRLGLPGSLSPERDQEFTLISSVEPDEKTLLQLLPSTDGYSVSLQKNIVSGSKRVFSDTMEGYSEVKGPLYTERNWMFHAASSDPESPYPVSQGKFHANSGINAMLSSRASGPHPNITKELPSKGLQEWPCETKGSDNGNKGASNDHNNAPAAKAQVVGWPPIKSFRKNSFVTNSKNNDEVDGKPGSSALFVKVSMEGAPYLRKVDLRTYSTYQELSSALEKMFSCFTLGQCGSHGASGRDKLSESKLRDHLHGSEYVLTYEDRDGDWMLVGEIPWEMFIDSCKRLKIVKGSDAIGLAPRATERTKNRI >KGN46516 pep chromosome:ASM407v2:6:6952979:6978448:-1 gene:Csa_6G106190 transcript:KGN46516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILALPLFSSFLKHHTYLLSISSRKPLSFTFCKSPYRRQFNKTCASAITPSTTLHHSSTGSKTYGSKASVLTFQQAIQRLQEYWASVGCAVMQCSNTEVGAGTMNPLTFLRVLGPEPWNVAYVEPSIRPDDSRYGENPNRLQRHTQFQVILKPDPGNSQDLFIQSLSALGIDVAAHDIRFVEDNWESPVLGAWGLGWEIWMDGMEITQFTYFQQAGSQQLLPVSVEITYGLERILMLLQGVNHFKKIQYADGITYGELFLENEKEMSAYYLEHANVHQVQKHFNIFEEEAHSLLALGLAIPAYDQVLKASHAFNILDSRGFIGVTERARYFGRMRSLARQCAQLWLITRESLGHPLGVASDPVDLVCPKELLDAAIKKVHEDVRWFVFEIGTEEIPPKDVVDASQQLKTYMLQLLEKHRLSHGNVQAFGTPRRLVVKVESLCSKQVEKEFEVRGPPVSKAFDDQGNPTKAVEGFCRRYSISRESLYKKIDGKTEYLYASVMESSRHALEIFSENLPGIIAKISFPKSMRWNSQVVFSRPIRWILALHGDVVVPFSYAGVLSGNISYGLRNTSTAIVKVDSAESFMGAMKDAKIDLEVEDRKRKILDQSSMLAQSINGKTVIHEDLLDEVVNLVETPVSILGKFNDSFLELPEDLLTMVMQKHQKYFSIRNADGKLMPYFIAVANGEIDDKVVRKGNEAVLRARYEDAKFFYETDTSKKFSQFRNQLSGILFHEKLGSMLDKMTRMEAIVVNLSLAIGISQDLIQIILEAASLAMSDLATAVVTEFTSLAGIMGRHYALREGFSEEIADALFEITLPRFSGDILPRSDVGIVLAVADRLDSLVGLFAAGCQPSSTSDPFGLRRISYGLVQILVEKDKNLDLGRALRLAADNQPVKVDTNVIDNVKLFVTRRLEQFLVDKGLSPEIVRSVLAERSNFPCLAAKTAHKMNAMSKGDLFPKIIEAYARPTRIISGKDVDNAIEVDEANFESNEERALWNTFLSIKNKVHPGIEVDEFFEISSKLIQPLEDFFEHVFVMVEDEKIRKNRLALLKRIADLPSGIADLSLLSGF >KGN46120 pep chromosome:ASM407v2:6:4257364:4258849:-1 gene:Csa_6G055410 transcript:KGN46120 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor serine-threonine protein kinase MQSSSVLILASVASFSVATLFLFCIFFICKHFKSHHPTTRQRQVRHRNIDLSSVTVDESASFDSSLRISMAELRSATKNFSNDLVVGDGSFGLVYKARLSSGATVAIKKLNPDAFQGFREFRAEMETLGKLRHRNIVKILGYCVSGSDRVLIYEFIERGSLDNCLYETSSDDQDSDGYLASRQPLPWDTRLKIMRGVANGLSYLHGLPQPIIHRDIKAGNVLLDSEFEAHIADFGLARMIETSNSHVSTQFAGTMGYMPPEYRAGVTVATVKADVYSFGILMFEVAMGQRPNLPMLLDEREVGLIEWARILVAQNRHMEMVDATISNDELVESNVKEYFRIACLCTSEKSKERPPMSNVVELLDRICDMKLRDNDEYQDKLDE >KGN47092 pep chromosome:ASM407v2:6:12073793:12081481:-1 gene:Csa_6G186380 transcript:KGN47092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAITRASQRKIESVVETNSPKRKPRSSSVQRQRSPASTPINWKSPRRCLNSSPKTPPESDGKAVNGVFSNSLTSPIRCLLKELIVKPDWNPKDIEHVKTAKEALHISTAPTTIMCREDEQSKIFNFCKASVEQEKAGSLYVCGCPGTGKSLSMEKVKDQLAAWAEESGLQLPDILSINCTSLANTSYIFTKIMGETQPKKKRNGSLTPLQHLQRLYSQKAESSCVKMKLIIADELDYLITKDKAVLHDLFMLTTFPFSRCILIGIANAIDLADRFLPRLQALNCKPQIVTYRAYSKEQILKILQQRLTRLPFVVFHSQALELCARKVAAVSGDMRKALCVCRNAIELLEVEIKASSKELNHDDACDTSAPPEPVKRSESQIVRLDHMAVALAKTFKSPAVETIQSLPQHQQIILCSVVKLVRGGKKDTTIGELNKSYIDMCKSTLIPPVGSLELSNMFTVLNDQGLLKLGQSRDNKTRRVLLKVDEADVTFALQGIRFFRNCLAVN >KGN46395 pep chromosome:ASM407v2:6:6106170:6110973:1 gene:Csa_6G089220 transcript:KGN46395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYLNRLGTLLINNNRITRINPNIGEFLPNLHTLVLTNNRLVNLVEIDPLASLSKLQFLSLLDNNITKKPNYRLYVIHKLKSVRVLDFKKVRNKERLEARNLFSSKEVEEEAKKESVKTFVPGEVEKASKPVEEKQTPNVSAPTPEQIIAIKAAIVNSQTLEEVARLEQALKSGQLPADLNLLEDNTAQNITKDKDDKTMSDSGDEEHVTNDESTPMEQE >KGN48419 pep chromosome:ASM407v2:6:22748086:22751559:-1 gene:Csa_6G486920 transcript:KGN48419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTSASTFSVSSQIELPRPPKFSSYNVRALSKCQYNILQKNYKLSSQSLFCSHAKIFHSPIHNTPFSNLSESDIRRFNSPQIKISKYFIEKFVGFLVGSFIFMGCFNVRYVRALPAQTSNKNPILAEKAQVPEEDSEEVMWERILEHDPSNVDTLKAVLYGKMRSGKTENAVKYVKRLIDLEPDEVEWRILLALCYEILGELGTAKRLFMEILKQKPLLVRALHGLALVMHKNNEGESVFEMLNKALDIARDEKKLTEHRSIGILIAQMHVVKGELEEGLKKFQNLVEENPRDFRPHLCQGIIYSLLDKKKEAAEQFETYQALVPDEFPQREFIDDVMLSATTASREQFQKEFDAEFTNKK >KGN46100 pep chromosome:ASM407v2:6:4092488:4101805:1 gene:Csa_6G052750 transcript:KGN46100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSAVIGWRILFLLLACTMVSTLAYTLVTDGSPFRKELLSRLMVAVLVDFYLNVTVIAAWVCYKESNWIAAAIWIVFLVCLGSIATCAYILWQLWQLSSQESFEDIMYSVLIRDTNKFGSVSLIHTQNRNGVQQPRKHSNIMIARIVFGAMSCLMVVTLAYLFSDGSPFNKDLYTPWLVATLIDFYINGTAISVWMFYKEESWLTAFIWIVLFIIFGSASSCSFIVKELFKLNSDDPAYLVLFKNSNRSERRYERTSSS >KGN49128 pep chromosome:ASM407v2:6:26756924:26758997:-1 gene:Csa_6G514890 transcript:KGN49128 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase MATHQPLLLLQPPKTSRSKTIPTLLCFAAVLCSAIFITNKFIKPNPSENDLPFLQNICHKAYDPSSCIEMAASEFPLSIIKTTNEVDFLQSFLRKSMPKVISTIERAKDIRQRINSPRGEAALADCIELMEISNGRIMDSVLALKNRTSGSIENSHTWLSSVLTNHVTCWDEVESSLSRAAPMDLGLEELIMRGRNSLGMLVSIWGLDIKNLGELEKKGNGYPSWLKKGDRRLLGVLGREMEPNIVVAKDGSGNFKTVKEAVESVPDKSKNRIVIYVKRGTYEENVEVGKKKKNVMIVGDGMDSTIITGSLNVVDGSTTFKSATVAAVGDGFIAQDIWFQNTAGPEKHQAVALRVGADQSVINRCRIDAYQDTLYTHSNRQFYRDSTITGTVDFIFGNAAVVLQNCKIEPRRPMNNQANMVTAQGRIDPNQNTGTSIQQCDIVASSDLEPVKKSIKTYLGRPWKEYSRTVIMQSRIGDLIQPAGWAEWNGDFALKTLYYGEYSNTGPGSDVSKRVKWDGYHIITSPSEAQKFTVDSLIQGGEWLGPSGATFIPGL >KGN46694 pep chromosome:ASM407v2:6:8543164:8543415:1 gene:Csa_6G124090 transcript:KGN46694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEDSRSGETRDSRSGGRTFVCLSLGLVGDSRADEQPSKLRRDGRVVGRLGVVGIMDGRLELSVKTVKKVSKLKCGGRSTGRR >KGN47231 pep chromosome:ASM407v2:6:13053014:13053568:-1 gene:Csa_6G220980 transcript:KGN47231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTMLFQDASLQGFPESPKSVVLITGTAEYNMISLNSTLKVCLWEMGSPFLPCRTRGGLLIAKAHSLRMWLKDSSFCLDLELKDAPALPEFNSMKVIDGCFIRRGLVPAFKDITERLGFVRPKKFSRLALLPDEKRDKVIKADLEGRKEKLEKVTQLIKSGKVKRIMKIKKRAYYRRLDALKKK >KGN48094 pep chromosome:ASM407v2:6:20367376:20372741:-1 gene:Csa_6G430700 transcript:KGN48094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRCSRLPPLASPVFNAFRQCWIPAISISHNLNLHSASIYASFSSYVSKSFKHVKPLWIRPMSLHRPSFKVTASFSSAGGSEDGRELLVQHLLVKEDDIKLLSELQQRIAGGEDLSDLAVEYSLCPSKEEGGMLGWVRKGQMVPEFEEAAFNAPLNKVVKCKTKFGWHLLQVLSEREGSVLLDIQPEELHLKMQDSNFLNDAQLIDVREPEEVDQASLPAFQVLPLRQFGSWGPEVATKFDPEKDTYVLCHHGMRSMQVAR >KGN49358 pep chromosome:ASM407v2:6:27865303:27866798:1 gene:Csa_6G521050 transcript:KGN49358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTATEREEEGNSSTTNIVEIKNELVEFEPEPEQEDINGLLNLASKDAYFYDGQPQIEIEKEEDAEESERSTKRIRTAEPDEEESSSIKNASNLDVNQLELGIDPLEVMEEIILKYHDLINEIYQMLKDEQKDEQKDKQKDEQKDEQKDEQKDEQKDEQKDEQKDEQKDEQKDEQKDEQKDEQKDEQKDEQKDEQKDEQKDEQKDEQKDEQKDEQKDEQKDEQKDEQKDEQKDEQKDEQKDEQKDEQKDEQKDEQKDEQKDEQKDEQKDEQKDEQKDEQKDEQEDEQEDEQTDEHKKVKIQWQKWRDILDKGNLMVEDLNGSLKTVEIEMEWMKSVDELHREYSIRKTHVPDLLALLRDINERIESSPNFKVVSDMKKRDEVLKMCLDELETSQEELAEMVEVIQELKELHLQDDEDDEAVAGRILEEIGVEEVEKFNAEFDEVLKEMDELDDVLKEMGYRTC >KGN47799 pep chromosome:ASM407v2:6:18158113:18160280:-1 gene:Csa_6G404140 transcript:KGN47799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVFSSLFVCSLLFFVVANANVDEDEYWQTRATEAKKVAQGAFNANPEIVTDTLNAAVSRTMLGHNSTRRSLRKKYRGPCLATNPIDRCWRCDRNWAKNRRKLAECPLGFGHQTIGGKRGPIYVVTDASDDDLVNPKPGTLRHGVIQKGPLWIIFGRSMVIRLSQELMISSHKTIDARGANVHIAFGAGLTIQFVNNVIIHNLHIHDIVSKSGGMIRDSVDHYGFRTQSDGDGISIFGSSHVWIDHVSMSNCQDGLIDAIMGSTAITISNCHFTHHNERAIATQKTKLCKMPRCRWGFFHVVNNDYTHWLMYAIGGSQHPTIVSQGNRFIAPPNPNAKQITKREYAMEDEWKHWSWRSEGDELMNGAVFIASGDQTKGKKKGLSRYDMISFKPGTYVRRLVRLSGTIECTPGKPC >KGN47340 pep chromosome:ASM407v2:6:14385043:14385348:1 gene:Csa_6G301590 transcript:KGN47340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPSLAMAITELTHWSLSLRLVSVLLSPICFSFFLPSSFPIPIPLRFLLFLPLFRLFHSSSSSSSSSSSQSDVPSPSTSSSSSSSIPFGPALLLFNHTYI >KGN48584 pep chromosome:ASM407v2:6:23829798:23832763:-1 gene:Csa_6G493920 transcript:KGN48584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPVWMFLLLCFFSVPSMAVGDKKTYIVHMAKYQMPESFEHHLHWYDSSLRSVSDSAEMIYAYNNVVHGFSTRLTAEEAQRLEAQPGILAVVPEMIYELHTTRSPEFLGLDKNANLYPESNSVSEVIIGVLDTGISPESKSFDDTGLGPVPSSWKGECESGTNFSASNCNRKLVGARFFSKGYEATLGPIDESKESRSPRDDDGHGTHTASTAAGSVVENASLFGYASGTARGMAARARVAAYKVCWAGGCFSSDIVAAIDKAVDDNVNVLSMSLGGGVSDYYKDSVATGAFAAMEKGILVSCSAGNAGPSPFSLSNTSPWITTVGAGTLDRDFPAYVSLGDAKNFSGVSLYRGKSLPGTLLPFIYAANASNSGNGNLCMTGTLIPEKVAGKVVFCDRGVNPRVQKGAVVKAAGGIGMVLANTAANGEELVADSHLLPATAVGQKSGDTIRKYLVSDPSPTVTILFEGTKLGIEPSPVVAAFSSRGPNSITPQLLKPDIIAPGVNILAGWSKSVGPSGLAIDDRRVDFNIISGTSMSCPHVSGLAALIKGAHPDWSPAAIRSALMTTAYTAYKNGQKIQDIATGKPSTPFDHGAGHVDPVSALNPGLVYDLTVDDYLNFLCALNYTPSQINSLARKDFTCDSKKKYSVNDLNYPSFAVVFEGVLGGGGSGSSVVKHTRTLTNVGSPGTYKVSITSETKSVKISVEPESLSFTGANDKKSYTVTFTTTTSSAAPTSAEAFGRIEWSDGKHVVGSPIAFSWT >KGN48323 pep chromosome:ASM407v2:6:22003109:22008005:1 gene:Csa_6G476630 transcript:KGN48323 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gibberellin receptor GID1 MGSLPHIVEDCMGVLQLYSDGTVSRSHNIHFPFPLTLDSSVLFRDVLYQPSHALHLRLYKPAPSTTSSPTTNKKLPILFFFHGGGFCVGSRSWPNSHNCCVRLALGLGALVIAPDYRLAPEHRLPAAVEDGAKAIEWVSKAGKLDEWIEESGDLQRVFVMGDSSGGNIAHHLAVRIGTENEKFGVRGFVLMAPFFGGVGRTKSEEGPAEQFFDLEALDRFWRLSLPIGEDRDHPLANPFGASSMSLEEVNLEPILVIVGGDEMLKDRAETYAKTLSQLGKRIEYVEFDGKQHGFFTNSQDTQLAHQVIAIIKKFMLHNSV >KGN48964 pep chromosome:ASM407v2:6:26029306:26031016:-1 gene:Csa_6G507370 transcript:KGN48964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLTSSSSSIASSTSHLLLPNTNNLTSRGRLLPMITGSLQRRRRDSAISYKHWSTSRNAVLREELEATAVPTSVPVRVALELLQAGQRYLDVRTPEEYSVGHAPGAINIPYMYRVGSGMTRNPHFLAEVAIYFRKDDEIIVGCLSGKRSLMAAADLLASGYNYVTDIAGGYEAWSRNGLPMEF >KGN47275 pep chromosome:ASM407v2:6:13673139:13680240:-1 gene:Csa_6G242200 transcript:KGN47275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLNYISAASSPISQDSSISPPIPDPRQTKVILPKKKPEKWSTGIAPGDYGGPPTTTKLRKYWGGEKDDPLTSDDYIWNREFMARMKKFVKYQPDDLSLTVNKPKDDKPSGFLSLNRVMTLDSLDVDLSKELSAPPMPRSEDLVEKNIPIDHRKSPRWKLAPTRREQEKWDRAYEAATGGSDVMFRELRRPQGNPEVLAALSMEQYVKLKKKMQILTLAIGGVGLISAYVSYSPEVSASFGAGLIGSLVYIRMLGNSVDSLADGAKGLVKGAVAQPRLLVPVILVMIYNRWNGILVEDYGVVQLQLIPMLVGFFTYKVATFVQAIEEALTVVKEPQA >KGN47257 pep chromosome:ASM407v2:6:13471222:13471590:1 gene:Csa_6G237605 transcript:KGN47257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSDNHRCRRPVQRPDGHRILNQNRLPFQIPKGIPSDYITRATNRPRSTPTSSRNTLNRAAGNRTAGRRSTTSHPERLAAKINGEMDGLNRRAMENSGYILIGEGIFSEGDDEREGLAMEEG >KGN46551 pep chromosome:ASM407v2:6:7220960:7222047:1 gene:Csa_6G108490 transcript:KGN46551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILNGCTTPTGCFFSDSKLSIPSRSSILRNPRCSTQTASIRSSWEPHLKHQTCYYGGLLPLKLRAASSPFLSGDLGGLLHTIPRLPGKKVISMSPRAAKDIPTSYRFPPMTTKPKWWWRTLASVPYLMPFHETWMYSETAYHLHPFLENFEFLTYPFLGALGRLPSWFLMAYFFIAYLGIVRRKEWPHFFRFHVVMGMLMEIALQVIGTVSRWVPLSIYWGKIGMHFWTAVSFGFMFTVVECARCALAGMYAEVPFACEAAYIQIPFD >KGN48973 pep chromosome:ASM407v2:6:26058950:26059248:1 gene:Csa_6G507460 transcript:KGN48973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKEEEEQQKGSVKKVKRSLEERREGDGGRHKRKRGSEMGSGRWERSGKEESDAALVGCEVGTRGYINCHVGMMSFRKRYV >KGN48187 pep chromosome:ASM407v2:6:20965274:20966915:1 gene:Csa_6G446470 transcript:KGN48187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHCDGCAKKIKRVVKHLNGVSDVKADPSSNKLTVTGKVDPAVIKTKLEQKTKKKVEIVSPQPKKEGGGDKKPDEKTEKKTDEKAEKKTDEKGDKKADGKSEKKADEKAEKKPEEKKTEEKKAKESTVVLKMRLHCEGCIQKIRRALIKFKGTNEISVDAQKDLITVKGTIEGKDLQSYLKDKFNRSVEVIPPKKEEPAAGGEKKAKEAGGGGGEKKENDGKAAASSGGDGGSAKVVEVSKYEYSGFSYPPSVFYYDAPAHSHTHQYSQAMEAQPSYPIYGFANSSGYYANPNYVHQGYSTPMNDHSHASQMFSDENPNAYCSVM >KGN49100 pep chromosome:ASM407v2:6:26624801:26626922:-1 gene:Csa_6G513640 transcript:KGN49100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVSRQRVFHDINFKSPMVRPYVRSKMPRLRWTPDLHRCFVHAVERLGGEERATPKMVLQIMNVNGLTISHVKSHLQMYRSSKQEQVTSQEKNLNNDEAPGYQLPDHLHGCCFIDQKLAWKEREEMTNELRKCKEKKPNSYLMFKDITKRCTVQEEDYFGRSLKKMHQDLKKLDEETESVKRVGGEEITMSLCLSIRGLQPLMSKTGNSDVNDVSLELSLA >KGN48567 pep chromosome:ASM407v2:6:23712440:23724383:1 gene:Csa_6G492270 transcript:KGN48567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSITSVELNYLVFRYLQESGFIHSAFALGYEAGINKCSIDGNLVPPGALVTVVQKGLQYLEMEANLSNNDTDIDEDFSFLQPLDLITKDVHELRQIIKDKRKSLVKDKEKDKDLDKELESERGRVREKERRESEVERGRAREKERLEREKERVEREKERLEREKERLEREKEHEKDRDKIEKDKEREKQHENFTDRDMVMDQDEKVHANQGENGVFGGPEPMDISTTSTSQSCEISSSDVTILQGHTSEVCACAWNPTGSLLASGSGDSTARIWPIADGSSRSGGQSGPLNVLVLKHVKGRTNEKSKDVTTLDWNGDGTLLATGSYDGQARIWSTNGELRSTLSKHKGPIFSLKWNKKGDYLLTGSCDKTAIVWDVKAEEWKQQFEFHSGPTLDVDWRNNVSFATSSTDNMIYVCKIGETRPIKTFAGHQGEVNCVKWDPTGSLLASCSDDITAKIWSMKQDKYVYDLREHSKEIYTIRWSPTGPGTNNPNQQLVLASASFDSTVKLWDVELGKLICSLNGHREPVYSVAFSPNGEYLASGSLDKSMHIWSLKEGKIVKTYTGNGGIFEVCWNKEGDKIAACFANNTVCVLDFRM >KGN47696 pep chromosome:ASM407v2:6:17155736:17159071:-1 gene:Csa_6G382350 transcript:KGN47696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFLRPFLFYSSLRWMTFHSWGFPRWPSFDAFLRFLVLLILWSMLLEIHSIPSSSMYPTLRVGDRILVETASYLIRNPSINDIITFRDPTQQSALREENIVVKRVVAKAGDTVEVCQGCLYVNGVAKDESFLLERPMYTTKSTQIPEGHVYVLGDNRNNSYDSHIWGPLPVKNIIGRYLCSCHKPTSK >KGN47448 pep chromosome:ASM407v2:6:15148403:15148844:1 gene:Csa_6G325410 transcript:KGN47448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRNAYNTTRSITDYVLALANEKKKTEEGEVTNVEVTESETDSRENAANAEDHRYRKLEVEVCLRREFEMNLVYDLAEAAARSFFQGREFGTAMGGGTEGETLAV >KGN48260 pep chromosome:ASM407v2:6:21560611:21563090:-1 gene:Csa_6G452120 transcript:KGN48260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHLPVEVIGNILSQLRGARDAVIASVTCKKWREAWRNHLHTLSFDSLDWPVYHELSTSRLEILITQTIFQTTALQHLAISMEEVDEFSAAPVMAWLMYTRDTLRQLHYKVKTTPIFNIIEKCGRQKLEVLALAHNSITGVEPSYQKFPCLKSLSLSHVSVSTLDLTLLLTTCPKLEKLALISPDIAMSDMEVSSSSLKDIYVEAISLDKFILEADTLEVMHLKDCTLELFEVVSKGALRVLRIDDVSVIHLDIGENMENLEVVDVCNFTIMWPKFYHMISKSSKLRKLRLWGVVFDDDDEVVDLETICMCFPRLSHLSLCYDLKDGILQHSLQGSSHLLNVVVLELGWSVVSEFFSEWMGKLLGRCPNLKKLIICGVVSEVKTHDECQTLANFTFSVVQLMRKYMHVEVQFEYE >KGN48329 pep chromosome:ASM407v2:6:22030607:22031658:-1 gene:Csa_6G476690 transcript:KGN48329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDLFSTDSFRQEHHQYRHHDTVEMSDNSPSSTTINLNTFFDDVESVKAELTELEGLHRSLQNSHEQSKTLHNSKAIKDVRSRMETAVTLALKKARFIKVRLEELDRSNEENRKLPGCGYGSSADRSRTSVVSGLRKKLCDSMESFNRLREEITKTYKETIERRYFTITGENPDEKTVELLISTGESETFLQKAIQKQGRGRVLETIQEIQERHDAVKDIERNLRELHQVFLDMAVMVQTQGQQLDDIESQVTRANSAVKRGTSQLQTARYYQKNTRKWICIGVSVGATVILIIIIVAIARAIKKKDG >KGN46556 pep chromosome:ASM407v2:6:7252148:7256146:-1 gene:Csa_6G108540 transcript:KGN46556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKSSSQVLSTSHSTDNTSNSLRHGGREIETLPTPFPNFDLGPVPSAVEVDAAVTALRSLLQEWFSLESVSKWLQPLMNSSCSSILDSRGYRLLRKGFKWILIDPTFKGLVISLCLDKDVWNAIGNHGIVEKLQELPSSGGNGNTGSSKQGSEFGNVILSWILQMSFSKIRELLENFVSLLNYAFCFPGKENLKPEKKDEMDEKIQSAFILSLVIMMIVVVARVQIA >KGN47158 pep chromosome:ASM407v2:6:12477707:12479816:1 gene:Csa_6G190490 transcript:KGN47158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQQGDGWPLGLQPLNVRVGVPGNRDYLGSVSFNTLMTASPISFSDSSSDLDTESTGSFFHDKSITLGSLIGVSNILELSRRSIRGRRTESTKDKRSNTKSRTWFFSLCSRESTDADSIESGPSLGHFLAEERRAADENRRNNQSEIMYGADELELAQFGPEPNSLFINGCVAPPQPSIGSETENGGTEPTNDNRVALICSCICGH >KGN49006 pep chromosome:ASM407v2:6:26194281:26195003:-1 gene:Csa_6G510240 transcript:KGN49006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRIDDLPYSRPPKQKALHQKASTASHVQVKDPSDRIKGSFPAQTAQKASKRSLKNEPSVVFQQPERSNSDSLPDSSASGNEYRALRRKYLLLEEESFSLGAELKGVEDEVKTLEEEKLGLLDELLVLEGLIDRSELQLAHSNLPQH >KGN47699 pep chromosome:ASM407v2:6:17184422:17190232:-1 gene:Csa_6G382870 transcript:KGN47699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDTGDTQPSEQVCSFFRKPTKNKNIRKRTVDEDEEEDSKAETSFLLNQKKAPKTESKLYFSSGPSKNSSSNESNVEPNKPIFQFESSKEIQVHHDSRATATLETETDFSRDARAIRERVLKQAEEALKGKGKSSGGEKLYKGVNAYVDYKAGFRREHTISSEKAGGAHGPLRASAHIRASARFDYQPDICKDYKETGYCGYGDACKFMHDRGDYKSGWQLEKEWEEVEKARKRKLAMKSDDGDEDTSEQSDEDEEDALPFACFICREPFVDPVVTKCKHYFCEHCALKHHAKNKKCFVCNQPTLGIFNTAHEIRKRMAQSK >KGN46789 pep chromosome:ASM407v2:6:9457509:9461941:1 gene:Csa_6G135450 transcript:KGN46789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPMTLPPGFRFHPTDEELVAYYLDRKINGRSIELEIIPEIDLYKCEPWELPDKSFLPSKDMEWYFYSPRDRKYPNGSRTNRATKGGYWKATGKDRVVQSQKRAVGMKKTLVYYKGRAPHGVRTNWVMHEYRLLHSQLATATTSSPSTKDSYALCRVFKKATIVPKTVGEKTNGVDPEAPVWQMADEQDMQVDDGGGAPESSRGGIESNEDGDSFDHFLYEYNPKIFSSENSSSDLTQGNVADDLPVLVTSDNEANSSADNLYPIHIDCPSNYMQIPNNFIEHENNLHYQHPYYPPLEVEDFPQLNIMGETKSLMKPECMDEYMKSFDKFRDSMNGSLEEILSLCSLPNMQEDNHNLQQHSSLLQ >KGN48201 pep chromosome:ASM407v2:6:21060715:21063798:1 gene:Csa_6G448090 transcript:KGN48201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSISYITGTQLLSFKRRPNVAIIDVRDDERSYDGHIAGSLHFASDSFSDKISKLVQEVKGKDTLVFHCALSQVRGPSCARKLANYLEGIKEDGGIKNICVLERGFNGWEASGQPVCRCNNVPCKEGI >KGN47438 pep chromosome:ASM407v2:6:15105481:15106108:-1 gene:Csa_6G324820 transcript:KGN47438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKVKLQKRERERERERERGHIEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEVCK >KGN48214 pep chromosome:ASM407v2:6:21203141:21206851:1 gene:Csa_6G448720 transcript:KGN48214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLLSTTTTSVPNSLALKSLLPSQPSIIPHSSIQLSNRTKTVRRSNFPSFLASLSKLPKGSANSSSNNNTKTNAVVDGSIAIVTGVPEVSWKDLQYPAGMLGAIPKRPEVIDERRQMEYLTNILSSKVYDVAIESPLELARKLSTQLGIQLWLKRDDSQFVFSFKIRGAYNMMANLPKEALERGVICASAGNHAQGVALAAGRLRTEAIIVMPRSTPPIKIEAVRSLGGNVLLHGDTFDDAQEHARQLSKERNLTIIPPFDNEDVIIGQGTVGMEIGRQMRGPLHAIFVPVGGGGLLAGVASFYKLVFPEVKIIGVEPNDANSMASALHNDQVVKLETVGTFADGVAVKQVGDENFRISRELIDGIVLVDKDAIAACIKDMFEDTRSILEPAGALSIAGAKAYCEYNNIKGVNIVAVTSGANMNFDQLGSIADNADSGNQTEATFATILPEKPGSLITFSDLMGSRSVTEFKYRFNSEKNAIVLYSVGVKVASELGEVKKKIESSPFETYDLTKNELVKDHLRYMMGGRSSVPNEVFYRFTLPERSGALLQFLDAFSPRWNISLIHYRRQGIISADVLVGLQIEGSEEAEFHESARKLGFGYVVVSNDPASKLLTQVV >KGN48197 pep chromosome:ASM407v2:6:21033480:21036557:1 gene:Csa_6G447060 transcript:KGN48197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLATTCIVLLALLLPSRLPLRAAATTSAALNLTLPGQHPSPELVAQEVHRKVNASLTRRQLLQISEKDESSSCYTGNPIDDCWKCDRNWPNNRQRLADCAIGFGQYALGGKNGEFYIVTDDSDDDAVNPKPGTLRYAVIQPQPLWIVFPANMLIKLSQELIFNSYKTLDGRGANVHIVGGGCITLQYISNVIIHNIHIHHCHPSGNTMVRSSPTHYGYRTKSDGDGISIFGSKDIWIDHCSLSHCKDGLIDAVMGSTGITISNNYFSHHDEVMLLGHSDNYWPDSGMQVTIAFNHFGEKLVQRMPRCRLGYIHVVNNDFTQWEMYAIGGSGNPTINSQGNRYTAPYDRNAKEVTKRVETSESEWRGWNWRSEGDILVNGAFFVTSGQGLEVKYEKAYSVEPKSAALIDQLTWHAGPLGVGGRDNNLGMWTTGPNGNGGGFGLGSGMDYTDDMSESCFVRVFSVLLWSFCWALVVVVAFVL >KGN46338 pep chromosome:ASM407v2:6:5712298:5715445:-1 gene:Csa_6G087180 transcript:KGN46338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLLPGVWNSAIAVTVALTATAIIFISSMPLVWSKEQLSSRECEDLGFTGLALCSDCHTLAEYIKDQELVSDCLKCCAEDSDDATSKITYSGAVLEVCMRKLVFYPEIVGFIEDDKDQFPSVKVQYTFNSPPKLILLDDKGQHKESIRIDNWKREHFLQFLQEKVKRSSAI >KGN46377 pep chromosome:ASM407v2:6:5996187:5996596:1 gene:Csa_6G088050 transcript:KGN46377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKTQGGIEEFESEKREREGKWERKCESWSLRLIFDKENEAWARAIGRKGSGSDCRLLPSTVPYWAGPLTLNRPKLINDFSMY >KGN48044 pep chromosome:ASM407v2:6:19982518:19985446:-1 gene:Csa_6G425810 transcript:KGN48044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNVGSPSTNNLTPAGPCRYDSSLGLLTKKFINLIKQAEDGILDLNKAADTLEVQKRRIYDITNVLEGIGLIEKKLKNRIQWKGLDVSRSGDVDDNYADLQAEVENLTMEERGLDEQIREMQERLRDLSEDENNQRWLFVTEEDIKGLPCFQNETLIAIKAPHGTTLEVPDPDEAVDYPQRRYRIVLRSTMGPIDVYLVSQFEAKFEEINAAEVPPSLPSSSGLNEAPTTTLVTEDTRGKEIETREQDVHRMCSDLNASQDFVGGIMKIVPSVVDSDADYWLLSDADVSITDMWRTEPSVEWNELGTFHEDYSIGTVSSPQTQTPLPNDAPDLPSTNPSSS >KGN48139 pep chromosome:ASM407v2:6:20684416:20685578:-1 gene:Csa_6G445040 transcript:KGN48139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINNIFPISFFLISLLFSKSISAQSTQPPGFSGPTNISAILEKAGQFSTMNKLLKSTQQSDQINNQLNNSNQGQGLTVFAPPDNAFSNLKPGTLNSLSDQQKVQLVQYHVVPTFISMSQFQTVSNPLRTQAGNSNAGQFPLNVTTSGSQVNLTTGVVDAVVANTIYTDGQLAVYQVDKVLLPVDLFGTVAAPAPAPSKPVKAVSGADAPAGASKDTSSDDSGAAPAMSYRFAAAFGAVVGFVVPFVLH >KGN48140 pep chromosome:ASM407v2:6:20687177:20688166:1 gene:Csa_6G445050 transcript:KGN48140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLKFHRSPILHDPAFAKRVFFRVFLFASAISLIPILHILTSYDFKSFHLPKSPPCHASPHSTPDHLPRGSYLFQGHFLNPVWDSFDSLHCQHTVNLTISLIKLLVHEKHLFNHSARALFVGGSSSSAASVLHDLGFSRAVGVDKGRFISLKRMEVGYKLDYPNSSFDFVLFKGKLKVSVPDLVVGELERILDGGGIGAVVTGISSPISIGLGGRVRKLLKSSCVVYSGNVEKLYVSVFKKKSFDDDVPINCSS >KGN49152 pep chromosome:ASM407v2:6:26838845:26841551:-1 gene:Csa_6G516600 transcript:KGN49152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHFLIQTPSSWPLTLLLLISISGFILPCCSQRYLSCSGANTGHPNLNSNINFLLDSLISHASSRTFYTETSNGIHGLFLCRGDVPNETCRTCIEGASQQIKVDCASQTNGTIGFDECMLRFSVVNFFGILEMSPRILLYNSLNQSTFEDRDFVDLALVSNLVRDAKNANSMFKEGEVNIVGVDQRFGLAQCTRDIGKRDCEICLTNLVDYAKGCCLRQRGWRVLAPNCNLRVEAFRFYGIPTPSLPLDEEGGSRTRIIVITVSTVAATAVLLGLLLGSFLWRKKRREMDRSDEFPLRNGSDQQPVYSLRQHFDETNHDNDGDMHYFNFSTLKAATNNFSDVNKLGEGGFGPVYKAWELWSEGRAEEMIDKNLSGECPESEAVKWIHIGLLCVQEDPNIRPTMSMVVLMLGSKSIQLPQPSKPPFLTSRGSLSRYQSSTTETGTGLHTTDQSSTSASI >KGN47907 pep chromosome:ASM407v2:6:18983883:18984497:-1 gene:Csa_6G410620 transcript:KGN47907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVVVIEQHRNQYYDRVKPHGPARFGSLRSRDFRGMNCRSFQSGAGILPTPLKACNSETEHFYPSPKTPPPCLTSNSENRKQLATMRSAPIPIKPKSSNQSNAFHEEFYDRSFSFSELWAGPTYSNSPPPSSLPIPKFSVAKRTTSLELARSAPEFEMHHPSAKSAPPSPTRDQNFSARFFFHSADSATKTLRRILNLDVANE >KGN47937 pep chromosome:ASM407v2:6:19257362:19258938:-1 gene:Csa_6G417890 transcript:KGN47937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDSLPPEILFYIFLKLPSRTLILCTCVSKPWRSLITDPAFLLSHLNQSNTNHHRNRNRLLLLRRCYSTATKKAERYSLHFDSDTLGIYKELKLPHVNWNQSFKLVGSSNGLLCLLGQNIFFWNPSIQRFLALPWPSDIFTVYGSPYKYALGFGFDSRANDFKLVRLVYIEGGPPVYDYELPPRVELYQLSTGSWRQITDSAPCYEILKSQWTQIFMNEAVHWIAFIRSRRGFRCVILRFHMDGEYFSTIPLPDCLVNEFPQNLKVAMLGGELCVLQCGWYPFGNRYVSSVWMLRKYDVVESWTKILSVDPSQGLGMALGCRENGEMLMTSRNGELVSYKPENQIVKGLGIRGAQDSFFLDYTFVESLALLNEGKRIVNEDYEDEDWELES >KGN47082 pep chromosome:ASM407v2:6:11999407:12005359:-1 gene:Csa_6G185310 transcript:KGN47082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNPKNKKKKKKIIQSPALGSTPATIVAKDSVINAVGAVTEALSVASLNDPSLPVSRQARVGLNEDVDSFDDLATTSSSSSSAITASSSSSSSYSSEDVLDYKGYMGKKQKRLVASTGTISTVLGKDYVRSSLKRDSRNKFMEFDRGKFSQHEAEQFLCSMLGDECELSMAVVRDVLCQCGCDVEKALNVLLDLAGPSSKQFESDRDSCNGANFQHSLESPIEHIENEYGLVGCCGQLIDRASDSTSYSSESEFPESIWSFAAVCRNDVKVLAGSEVQKPQPSRSVESDLPQTLLETLFNISRSPEYEPNTMNWRSMVKKMQSLGPAIDVNPPSCVQNTNEVKSKDDYQFYRENANQQWDSVKSYFQKATAAYTKGERSYASYLSEQGKAQTRLAQKADDKASHNIFLARNRDIENVITIDLHGQHVKQAMRLLKMHLLFGSYVSSIQSLRVITGCGSHGVGKSKLKTSVIKLLENEGIQWSEENRGTILIKLSGYREFNFLDSHSDTE >KGN48211 pep chromosome:ASM407v2:6:21180823:21182398:-1 gene:Csa_6G448690 transcript:KGN48211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTLSNQLELQPHFVLVPLMAQGHMIPMIDIATLLARRGVFVTFVTTPYNATRLESFFTRVKQSSLLISLLEIPFPCLQVGLPPGCENLDTLPSRSLLRNFYKALSLLQRPLEQFLNHHLLPPSCIISDKYLYWTAQTAHKFKCPRVVFHGTGCFSLLSLYNLQLNSPHTSIDSNFEPFLVPGLPHRIEITKAQLPGSLIKSPDFDDFRDKITKAEQEAYGVVVNSFTELENGYYQNYERAISKKLWCIGPVSLCNENSIEKYNRGDRASKSNCLNWLDSMIPKSVVYICHGSLCRMIPSQLIQIGQCLESSTRPFIWVIKNRGENCSELEKWLSEEEFERKIEGRGLIIRGWAPQLLILSHWSIGGFLTHCGWNSMIEGIGSGVPMITWPQFAEQFLNEKLVVEVLKIGVRIGVEGAVRWGEEERVGVMVKKEEIEKAIEMVMNGGEEGEERRRRVEDLSKMAPKAMENGGSSYVNLSLFIEDVMAQSAHLKA >KGN47459 pep chromosome:ASM407v2:6:15236833:15237927:-1 gene:Csa_6G330470 transcript:KGN47459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSLPPSPPPLDGEDKHPTTVDGGDEPNRSLSSPSPPSFSFKRFDIVTDYSDHHYADSNLFPNCIGSDVSKKIMREWKVLERNLPESIFVRAYEERIDLLRAVIVGPSGTPYHDGLFFFDLAFTSDYPNTPPMVYYHSYGLRLNPNLYEDGYVCLSLINTWDGKEEERWNPSISTVLQILISIQGLVLNEKPYFNEPELEKDEADQGEIYSDAYNKEVYLLCCEMMMRLMKNPVKNYEEFVRNYFGGKGERILAACEAYSDGRVRVGRYNEKENNNNNNNNGENCKRGDVSAIFKTLMEKMHRDLHAAFLHFCTSS >KGN49335 pep chromosome:ASM407v2:6:27753695:27756249:-1 gene:Csa_6G520330 transcript:KGN49335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTSTEALVKALLRNPLSIKSRSQAQQLHAQVLKFQASSLCNLSLLLSIYSHINLLHDSLRLFNTIHFPPALAWKSVIRCYTSHGLPHQSLGSFIGMLASGLYPDHNVFPSVLKSCALLMDLNLGESLHGYIIRVGLDFDLYTGNALMNMYSKLRFLEESGRQRLGAGEVFDEMTERTRSVRTVSVLVGNEGRKVSDMEAFNYDVSCRSREFEAQVLEIDYKPRNQYRELEACNLGQQIKDISHSKSEDSVRKIFEMMPEKDLVSWNTIIAGNARNGLYEETLRMIREMGGANLKPDSFTLSSVLPLIAENVDISRGKEIHGCSIRQGLDADIYVASSLIDMYAKCTRVADSCRVFTLLTERDGISWNSIIAGCVQNGLFDEGLRFFRQMLMAKIKPKSYSFSSIMPACAHLTTLHLGKQLHGYITRNGFDENIFIASSLVDMYAKCGNIRTAKQIFDRMRLRDMVSWTAMIMGCALHGQAPDAIELFEQMETEGIKPNHVAFMAVLTACSHGGLVDEAWKYFNSMTRDFGIAPGVEHYAAVSDLLGRAGRLEEAYDFICGMHIGPTGSIWATLLSACRVHKNIDMAEKVANRILEVDPNNTGAYILLANIYSAARRWKEAAKWRASMRRIGIRKTPACSWIEVKNKVYAFMAGDESHPCYEKIREAMEVLVELMEKEGYVPDTSEVHHDVEEEQKKYLVCSHSERLAIVFGIINTPAGMTIRVTKNLRVCTDCHTATKFISKIVGREIVVRDNSRFHHFKNGTCSCGDYW >KGN47458 pep chromosome:ASM407v2:6:15216459:15220513:-1 gene:Csa_6G327970 transcript:KGN47458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLREFMNLLSLCWKPFGHPTDNFTSVGVIGTNCGAKDTKDTLLWFYDYGHYASGDFSMAVVQANQVLEDQSQIESGPFGTFLGIYDGHGGPDAARYVCDNLFRRFQEEHQGVVTRETILNAFRRTEEGFTAVVSELWSTQPQIATVGTCCLVGVIHEQTLYIASLGDSRAVLGKKVGNTGEIAAIQLSTEHNANLDEIRQELSEMHPNDPQIVVQRHGVWRVKGIIQVSRSIGDVYLKHAQYNNERINAKFRLPEPIDMPILTAVPTIIAHPLHQNDSFLIFASDGLWEHLTNEKAVDIVHNHPRAGSAKRLVKAALQEAARKREMRYSDLRKIDKKVRRHFHDDISVIVLFFNHDLISRNNVHLDQPLSVRSAFDH >KGN45741 pep chromosome:ASM407v2:6:877546:878963:1 gene:Csa_6G008720 transcript:KGN45741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFLHLKGASTAAAAAADSTTSASSSSSSGGRKRRSMHSVSRRCENEEHENRGQRKDDDDDEDNVVVDDDVKYGVTSVCGRRREMEDMVSVHLYFTNEKNLPQIPIHFFGVFDGHGCSHVSMSCMNRMHEIVKEEIDENELEETEEWKKIMKRSFRRMDEEVMKEYSNNIKQRDAAVAGSSSSSSSSHNISCRCELQTSHQYDTVGSTALIVLLMPHKLIIANCGDSRAVLSRKTTGILPLSSDHKPDRPDELSRIESGGGHVIHWEGARVLGVLAMSRAIGDSSLKPYVISEPEVVVMDRRMEDEFIILATDGLWDVVTNETACDAVRTCMRAQRASSSLSLLEESSGSMGGGSDKTCSDASILLTKLAIAKHSSDNISIVVIDLRNTHHHHHHHHHHHHC >KGN47742 pep chromosome:ASM407v2:6:17657469:17669122:-1 gene:Csa_6G397700 transcript:KGN47742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPSGPSTPIGGAQSVSPSLLRSNSGMMGAQGGLPSQSAFPSLVSPRTQFNSMNMLGNTPSMSSLLNQSFVNGVPNSGPSGLGNSQRVGMDAGSESDPFSLVGNGVNFNNTPSSLVTSNTANPGSSSQVSVHQFSNHSSGQILPNQQQSQQIEPQNFQHSQHSMQQFATSNNTQQSQQLQQQQQHQFQRGSLCSAGPVKLEPQMNNNDLQGQQLQQQQQLQSMRNLGPVKLEPQQLQSMRNMGPVKLEPQQSDQSLFLQQQHQHQQQQQQQQQQLLSMSRQSSQAAAAQINILQQQRLLQFQHQQQLLKAIPQQRPHLPQQFQQQNLPLRSPVKSVYEPGMCARRLTNYMCQQQQRPEDNNIEFWRKFVADYFAPHAKKKWCVSMYGNGRQTTGVFPQDVWHCEICNRKPGRGFEATFEVLPRLFKIKYESGTLEELLYVDMPREYHNSSGQIVLDYAKAIQESVFEQLRVVRDGQLRIVFSPDLKICSWEFCARRHEELIPRRLLIPQVSQLGAAAQKYQTAIQNASSNLPTPELQNNCNMFVGSARQLAKALEVPLVNDLGYTKRYVRCLQISEVVNSMKDLIDYSQETTTGPIESLAKFPRKTNASPGFHSQTQITEQQLPQPQQTSDQNANGDQSSAQTAPMQLAANNGVSVPSANNSGNPASTSSPASTIVGLLHQNSMNSRQQNSISNAGSPYAGNSAQMSSPGSSAIVQAQANSSFQSPTLSSPNNHPQSSIGTATTTNHMSAANSPANVPLQQPTPSSEADQNESQSSVQKIIQEYMMSNHLNGMNTMTGVSSIGDDVKTVNGVLPGNNVMSLNGRNGLIGTGTANGVSGMRSAGYGSMGGGGGLSQTNMVNGMKSAMGNNSISNGRIGMASLAREQSINHQDLGDQLLNGLGAVNGFNNLPFDY >KGN48056 pep chromosome:ASM407v2:6:20087013:20090792:-1 gene:Csa_6G426910 transcript:KGN48056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWQSSLCRRRSFIFIEFPPARLLSFACPMDPWIHRFRHRLLAWFHRSRSVPILYVRRFSYKEIKRATGGFNRVVYTNPRSAAYNAKFQDGRVALVKEQRALNDNLFYTEVQLLGRLHHRHLLTLRGFSTAGHKRLLVFDEIGNGSLRDLLNDPLRTPLNWRMRLQIAAGVAAALEYLLLFTHPPMCHVSISSSTIMLDENFTAKISDVGFLCSPVNITGYSDATKSDDFVDEKSGNIIYQLGVLILELITGQSSDGTGADLIKWIQGTNFARSMNKMIDPDLGNSFDYKDVRNLLSVAKLCIKSREKPRFSIAQIFRYLQSKVDLSSY >KGN46892 pep chromosome:ASM407v2:6:10382265:10382516:1 gene:Csa_6G148340 transcript:KGN46892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELETESKNTIPVLINVVEVLLRVLNLTHLSLSGIRLAEYNEADSMVLIAKMKQRTNSELKPQRGLQNPMKGHIIYINTLNLK >KGN48577 pep chromosome:ASM407v2:6:23781055:23782742:-1 gene:Csa_6G493850 transcript:KGN48577 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arabinogalactan protein MGRQSVIALVLICAVVAGVGGQSPAAAPTTTPAATPPVAATYPPPAASPVSTPTNPSPAAAPQKPATPAPVSTPPASAPPAVAPVASPPASTPPTASVPASSPPAASVPPSSPPAATVPASSPPVPVPVSSPPVSVPVSSPPVPTPTESPPAPESSPPAPVASPPVEVPAPAPSKKKSKKHRAPAPSPALLGPPAPPSEAPAGSEEGPAPSPSLEDKSGAEALMKVAGSLALGWAAVAVSIIF >KGN46102 pep chromosome:ASM407v2:6:4107732:4108946:-1 gene:Csa_6G052770 transcript:KGN46102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIFIAVQCFQCSTMQVKQQRKSGNKWICAVCNQKQSVQKVFARAPMAKDVRKFVQSFNMSRKYANEQANVDELIDGGIVDDDKLVCSGRRKSRSDWSDYLDPVEDRSHSGRENEVELESDLKIVTELPDIKTWKSGSRSCSSWSEEGEGDGLYRPLFSKRKHIANNLSSQDEIRNWESPSAIHASKLSKGDDEQTLSTAKYLSAPFQDKKMGKNGHKVEDEIQNWELPSEIEASKWSKDDENLRTKSCILGKVRGASSKWSEYITEEENCGGRNEENMAREEGMDVLKMMINEEIVEDDIHPDFK >KGN48518 pep chromosome:ASM407v2:6:23384661:23391120:-1 gene:Csa_6G490820 transcript:KGN48518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTSTPLNWEALDALIIDFARSENLIEDSLSSSPPSSPSSLSSSSYHSRLIIRQIRRSLEAGHIDSAIDLLRLHAPFILDDHRLLFRLQKQKFIELLRKGTPEDRDLAIQCLRTALAPCALDAYPEAYEEFKHVLLAFIYDKDNQTSPVTYEWCERRRFDIAGLMSSVLRAHMQAYDPVFSMTLRYLISIHKGFCFREGVSSPISDLTERLLLDERDPPATPKESLYEAPPFDEVDIQALAHAVELTRQGAIDSLRFTKGDLFHAFQNELCRMKLDLSVLDELVREYCIYRGIVDSGRGSLSGMQNLSSSLKANQSEQEYCSRNCSFEVDYTTSKLSDGEISVSNSRVDSSPENTADVTSSQGTDIELRYASEPTSNREDCSTSDSIHVGNSRMLQVNKNRGIVERSKRKRWRGRLDDTELHDVSYSGCSKQELSTTTMSKEQQNLEKHIPVESTGKEDKYEIVLGIRELASKRFAAEVVEEINAVDPNFFAQNPILLFQLKQVEFLKLVSSGDYSSALKVACTHLGPLAANDPSLLKQLKETLLALLLPKEDILGKGFPINALANSLQVAVGRRLGIEEPQLMKLMRATLHSHSEWFKLQMCKDRFEGLLKIDLLKEVNPPLLSTTAGLLKSNSDSCSHGSSQVTKSSGARTSEDGSSPTQASSRDACDENAILKVMEFLALPRADAIHLLAQYNGNAEMVIQQIFA >KGN48568 pep chromosome:ASM407v2:6:23724655:23726597:-1 gene:Csa_6G492280 transcript:KGN48568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTQTMSSSSIFTASHPSPPSIFTTNRSSVLPSSSSTARTSDRFQEVERFASLIDKSKSVAHLLQIHASLLRRGLYHNPILNFKLQRSYAALGRLDCSVFVFNTFDEPNVFSFSAIIHSHVQSRLFDRAFGYYSQMLSCGVEPNAFTFSSVLKSCSLESGKVLHCQAIKLGLGSDLYVRTGLVDVYARGGDVVCARQLFDKMPERSLVSLTTMLTCYSKMGELDKARSLFEGMKERDVVCWNVMIGGYAQSGVPNESLKLFRRMLVAKAIPNEVTVLAVLSACGQLGALESGRWIHSYIENKGIQINVHVGTALIDMYSKCGSLEDARLVFDRIRDKDVVAWNSMIVGYAMHGFSQHALQLFEEMTETGHKPTDITFIGILSACGHGGLVEEGRSFFRLMRDKYGIEPKIEHYGCMVNLLGRAGHLEEAYGLVKNMTIAADPVLWGTLLGCCRLHVNIKLGEEIAKFLVDQKLANSGTYVLLSNMYAATGNWEGVAKMRTLMKEHGIEKEHGCSSIEVDNKVHEFVAGERKHPKSKEIYVMLNEINSWLKARGYTPQTDVVLHDLREEQKEQSLEVHSEKLAIAFGLISTKPGTTVKIVKNLRVCSDCHTVMKMISEITGRKIVMRDRNRFHHFEDGLCSCGDYW >KGN46780 pep chromosome:ASM407v2:6:9348953:9353305:1 gene:Csa_6G134380 transcript:KGN46780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGELPPASAPAPAPAPLSIHPAVTPLSFLLGTWRGKGEGGFPTINSFSYGEELHFSHSGKPVISYSQKTWKLDSKEPMHAESGYWRPKPDGTIEVVIAQSTGLVEVQKGTYNAEEKVIKLQSELVGNASKVKEISRVFKLVDGELSYVVQMATTLTSLQPHLKALLTKV >KGN47414 pep chromosome:ASM407v2:6:14951717:14955662:-1 gene:Csa_6G314140 transcript:KGN47414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLILSEAEPNELRDESDFEAIFSDSDYISVCGYGSLLSERSARSTFPELINFRIARLNNFRRVFGVIAPIFFEHDIAKPETKEISSVFAEPCEGETIIITVFEIKKFEIPAFIEREFAFRFLTVLPETLDGKLYHKPAVLCSRSTDEEFFQVKCKGNKDIFLQYYGRHNVDKIWRDDILPCRVYLRHCILAAKGVDDVAYNNILDHTFLGDRCTTIREYLVTNGSSIMEEEPPKSLKFRYGG >KGN48106 pep chromosome:ASM407v2:6:20474370:20476629:1 gene:Csa_6G432790 transcript:KGN48106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYVTLLSALRTCTSSKLLKQGKLIHQRIFSCGFQSNIVLSKSLIGFYFSCHDYASAELVFQTNDCPLDVSLWNALLSAYTNNFRFVEALQLFDQLNCNSYVRPDFYTYPVVLKACGGLGRVIYGRRIHNHLLKTGLIWDVFVGSSLMNMYAKCDQFVDAIKLFDEFPQRDVGCWNAVISCYFKDGKAEMALKTFDKMKELGFEPNSVTFTVVVSSCTRLLNLERGKEVHRELIERRILLDAFVLSALVDMYGKCGCLEMAKEVFEKIPRKNAITWNAMITGYSLKGDSRSCIELLMRMNDEGTKPTLMTLTSIIYASSRSVQLRHGKFIHGYILRNRIDVDIFIDVSLIDFYFKCGYVSSAETIFRTISKNEVVSWNVMISGHVMVGNHIQALHIYDNMKEHHVKPDALTFSSTLSACSQLAALDKGRELHYCIINHKLEANEIVMGALLDMYAKCGDVDEARKLFHQLPKRDLVSWTSMIFAYGSHGQASEALRLFDEMQKLNVRADSVTFLAVLSACSHAGLVDEGYMYFNEMVVQYDIKPGIEHYSCLIDLLGRAGRLHEAYEILQRSKETRSDIGLLSTLFSACLLHNNFVLGIQIGKMLIEVDPDDPSTYILLSNMYASVNKWDEVRKVRRKMKELGLKKSPGCSWIEINQRIHPFFAEDKSNPLADGVYECLNILGCHMEKNELEL >KGN47470 pep chromosome:ASM407v2:6:15368564:15373385:-1 gene:Csa_6G338020 transcript:KGN47470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAWSGELERQKSMQWQLRGIILNRNYALLSLSAELPASGLSTGHDMHNYLTSDDRGGPRSVKDTQTIGSAYDRYLQSVQLSSFTSGEVPTHGEMGMGRPVANRMPVGNRMPGPLLPDPIVMSRPPAVSPDLAPNGRNLEYGNHLPVDSMSRPGRETVPLPPDASNTLYVEGLPPDSSRREVAHIFRPFVGYKELRLVSKESKHRGGDPLILCFVDFANPACAATAMSALQGYKMDEQDPESNYLRLQFSRHPGPRSGSGSGGKSGTIVTNRATWLTSAIAGIWRVPASSNTPKSAYIVGHSTYESVAPQKKLHENLVNARGQVNPSAWSWRKNGFFNCNCIPPFVISLLLALVSLNLPSKFSAAYAHYPPPLPKKHKQSEPPVAQPFVSEPIVPYFPFVYVPIPSPSEKPYEPHPPPPLKQPQSPLSPSSNPLVPYFPFVYVPLPSPSQKPCEVAAPSPSLVFTRPVVPYYLFRFSPPSPQKLPYPPPNPIGHLQFPSPLPPSPY >KGN47921 pep chromosome:ASM407v2:6:19078704:19079025:-1 gene:Csa_6G411250 transcript:KGN47921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRETDAVGRLEFGALMALDLVGDWYFESLNVLEPSPSLRCALSNLSVPMQPIASPCTRSKDFFIFSFLFKVFIPSNRL >KGN49517 pep chromosome:ASM407v2:6:28839116:28839767:1 gene:Csa_6G526510 transcript:KGN49517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLPNNNAPKTTTSSTTTTAAAAVTPTSLAPKPSSSNCNFLAKLLRKLKKRSRVLCSTTGESSSPFQCRYDPLSYSLNFDTSGCGSLLDDHYYQYFCAFSSRFASNPGNLSTHSLPPVTLPTSR >KGN47870 pep chromosome:ASM407v2:6:18747729:18752744:1 gene:Csa_6G408780 transcript:KGN47870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFYVSLVQFLYCFTVVSVWQLNLTLAHVDGSLAEIEKIYEIAINGSGDEKISAASILCGASLVRGWYLQEHAALFISRLLLPPIPTDYSGSDSYLIDYAPFLNVLLVGISSVDCVQIFSLHGMVPLLAGQLMPICEAFGSSPPKSWILTSGEELTCHAVFSLAFTLLLRLWRFHHPPVENVKGDARPVGSQLTPEYLLLVRNSQLASFGKSPNDRLKARRLSKLLKFSLQPIFMDSFPKLKGWYRQHQECIASILSGLVPGAPVLQIVDALLTMMFRKINRGGQSLTSTTSGSSNSSGSANEEASIKLKVPAWDILEATPFVLDAALTACAHGRLSPRDLATGLKDLADFLPASFATIVSYFSAEVTRGIWKPAFMNGTDWPSPAATLSIVEQQIKKILAATGVDVPCLAVGGSSPAMLPLPLAALISLTITYKLDKASERLLALVGPALSSLAASCSWPCTPIIASLWAQKVKRWNDFLVFSASRTVFHHNSDAVVQLLKSCFTSTLGLGNSNGNSSGGVGTLLGHGFGSHVLGGMSPVAPGILYLRVHRSVRDVLFMVEEIVSLLMLSVRDIAVSGLPKEKAEKLKKTKYGMRYEQVSFASAMARVKLAASLAASLVWISGGSGLVQSLFKEILPSWFLSVHSVEREGVNYGGMVAVLRGYALAFFSVLCGTFSWGIDSSSSASKRRAKILDSYLEFLASALDGKFSIGCDWATWRAYVSGFVSLIVRCAPRWLLEVDLNVLTRLSNGLRQLNEEELGLALLESGGVNAMGAAAELIIEGGF >KGN46443 pep chromosome:ASM407v2:6:6415151:6416995:-1 gene:Csa_6G093630 transcript:KGN46443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKTKIQRLVHNFQCVCLILQIQVGSFEEKSGLIARLNLTFISSILRKMFSVGLQLYSVFYFGNSSC >KGN48493 pep chromosome:ASM407v2:6:23201721:23203209:-1 gene:Csa_6G490080 transcript:KGN48493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQMKHFVLVHGACHGAWCWYKIKPLLEAAGHRVTMLDMGGAGVNRRAIQEVESFEEYSEPLLKTMACLGPNEKVILVGHSFGGMSLALAMENFPHKISASVFITAFVPDTHHPPSYVLEQFLESLPREFWMDTEFGENREDGGSSSWFLFGPKCMANKIYQFSPTEDQALGSSLVRPAKLFIENLGKAEKFTEENYGSVKKVYVICGEDRTISKQLQKWMIQNSGKGIQNVMEIDEADHMAMFSKPLQVLQCLLQVAQSYT >KGN48276 pep chromosome:ASM407v2:6:21655428:21657463:1 gene:Csa_6G452770 transcript:KGN48276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRKKEEEEEEEEIMEDYTLPPGFRFYPTEEELVSFYLYHKLEGGRQYFNGVVDQIIPILDIYHFNPWDLPQFAGEVCKRDEEQWFFFIPRQESEARGGRPKRVTSSGYWKATGSPTYVYSSNNHRSIGIKRSMVFYNGRAPKGTKTQWKMNEYKLVPGEQPFPASTTIPKLSLCRIYKKSKTVRAFDRRPPPPQVEAPIPTELRATTSQHNDVGDQFVDDDQKLSNPLLMTTERPCSPESSSSGDDHGESSTNDNNGQLKMEVDNNELVWDWNHLNWL >KGN46063 pep chromosome:ASM407v2:6:3817208:3821927:-1 gene:Csa_6G046410 transcript:KGN46063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANGLMKLTRFISPSSLLPRQWHHSSFSRLGSHEFLQTAEVMRSSGMNASKRVTVNIIDEAKSGLPDTYATSVRELLAPLKSGISKQSAVYVCDIPKAALGGMPVLSRWQDARASVVNFSTLGVTSRCDTSARGPCFARFMSSKSSEKRGQTESESKKEISTVEDPFDAPTYNIPEKPVTFAEGASYSFIILAGLGVAAAAGYAVFKELIFQPKEYKIFDKALKRIQDDSQVRVRIGSPITGYGQETRNRAARQRIPNRVWTDEDGVERVEVNFYIRGPHGAGKVYTEMFKDQVDKQWKFTYLIVEVKSPSPAQLILESYMPA >KGN46472 pep chromosome:ASM407v2:6:6641399:6645707:-1 gene:Csa_6G095880 transcript:KGN46472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTPTGSITAIEDDHHLDHQDSIKFGSTEALEHIRTLTDVGAMTRLLHECIAYQRALDLNLDNLLSQRSDLDKQLVQLQRSAEVIGIVEADADYMLSNVTSTCDLADQVSAKVRDLDLAQSRVNSTLLRIDAIVERGNCIEGVKKALDSEDYESAAKYVQTFLQIDDKYKDSGSDQREQLLESKKLLEGIVRKKLSAAVDQRDHSMILRFIRLYSPLGLEEEGLQVYVGYLKKVIGMRSRLEFENLVELMEQQYQNHNVGSNQNQINFVGGLTNLFKDIVLAIEENDEILRSLCGEDGIVYAICELQEECDSRGSLLLKKYMEYRKLAQLSSEINAQNKNLLAVGGPEGPDPREVELYLEELLMLMQLGEDYTEFMVSKIKGLSSIDPELVPRATKAFRSGSFSKAVQDITGFYVILEGFFMVENVRKAIKIDEPVPDSLTTSMVDDVFYVLQSCLRRAISTSNISSLIAVLSGASSLLSNEYQEALQQKMREPNLGAKLFLGGVGVQKTGTEIATALNNMDVSSEYVLKLKHEIEEQCAEVFPAPAEREKVKSCLSELGDMSNTFKQALNAGLEQLVGTIAPRIRPVLDTVATISYELSETEYADNEVNDPWVQRLLHAVETNVAWLQPLMTANNYDSFVHLVIDFIVKRLEVIMVQKRFSQLGGLQLDRDARALVSHFSSMTQRTVRDKFARLTQMATILNLEKVSEILDFWGENSGPMTWRLTPAEVRRVLGLRVDFKPEAIAALKL >KGN47378 pep chromosome:ASM407v2:6:14683553:14691738:1 gene:Csa_6G307390 transcript:KGN47378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFWWTLIVLGFAYAICRLLLMLIPPNVPSIEVDASDVMDDGNQTQENSYIYIPPRTKTQQQDKRVQCYEPATMKYLGYFPALSRDEVKERVASARKAQKEWAKSSFKQRRLLLRILLKYIIENQELICEISSRDTGKTIVDANMGEVMATCEKITWLLSEGEKWLKPESRSCGRATLHKKARVEFHPLGVVGAIVPWNYPFHNIFNPVLAAVFAGNGIVVKVSEHASWSGCFYVRIIHAALAAVGAPESLVDVITGFAETGEALVSSVDKMIFVGSTGVGRMIMKSAAETLIPVTLELGGKDAFIVCEDIDLDHVVDIALRASITSSGHNCTGAERFYVHKNIYSSFVDKISERVKDITVGPPSAGKYDMGAICTQEQSEKLQSLVNDALDRGAKIVARGTFGHLPEGAVDQYFPPTVIVDVNHTMKLMQEEAFGPILPIMKFSTDGEAVKLANDSRFGLGCAVFSGSQDRARNIAWQIHSGSVAINDFATNYLCQSLPFGGVKESGFGRFAGVEGLRACCLVKAVVEDRWWPFLYTKHPKLLTYPVADNAFEFQMSLVEATYGLNIWHRLTALVNVLKMLSEHNTLTRNNPNINGRRKRND >KGN45777 pep chromosome:ASM407v2:6:1141214:1141528:-1 gene:Csa_6G011030 transcript:KGN45777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVVSRLAPAVVYDVSACETTSGLHFQLADHEGSESEMNGGRNFLDSKSAVMANRGLTGGFSGCNI >KGN47829 pep chromosome:ASM407v2:6:18347498:18348919:-1 gene:Csa_6G405910 transcript:KGN47829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNSKKILFACSQAIGNCSLRNFNSVSFSSLQFETGNHYSVLQSSSFQHWFKNWQELRKHKLTASTFAGAIGFWPRRRTQLWLEKLGAIDQFCGNLATCWSNMKEEEALERYKLITGNSVLFPEFQVYGKANSEDDWLAASPDGAIDKMVYGLPSRGVLEIKCPFFNGDLRNALPWSRVPRYCIPQAQGLMEIMDRDWMDFYVWTPNGSSLFRLYRDPEYWDVLKIALSDFWWKHVQPAREMCSKYVITNPLVELKSLRPSPRHELCSYIVCESKRVVNNSKLLLREFDGRLQT >KGN47995 pep chromosome:ASM407v2:6:19620396:19622218:1 gene:Csa_6G423370 transcript:KGN47995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPWIGETMVFYRAQSKNRLFEDFVEPRVAKYGKIFKTNLMGSPTVVVNGAEANRFFLSNEFKLVVSSWPSSSVQLMGEECIMQKEGEKHRCVRGLIAATLSTSSLDVMMPKLCNTIQVYLDTKWVGCDTIGLFHSAKVLTFTIVFECLLGIQVEPRVLTMFERVLEGVFAPPFRFPGTRFSRAKKARLEIETTLREVVREKRRKIEGKLEEEECGSLLSRMVRAMIGGEISEMEVIDNIVLLVFAAHDTTSFAIAMTFKMLSQHTNCYTLLLQEHTDIIRSKGPGQNLTMEDIKKMKYTWQVARESIRLFPPIFGSFRKAISDIEYESFLIPKGWKVLWTTYGTHYGEEYFEDPLSFKPSRFEEPVSQYVYVPFGGGPRACAGYQLAKLNILIFVHSVVTRYDWSLVHPDEPIIMDPLPVPYHGMPIKISPKSQVCSNVHQM >KGN48158 pep chromosome:ASM407v2:6:20794324:20796912:1 gene:Csa_6G445220 transcript:KGN48158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVSRPSVHPVEAPPLTEGAVHNGPRVRMKDVQGMPGTLGGLALRLLQFVFATVSLCVMSTTSDFPSVTAFRYLVAAVGLQCLWSFSLAVVDAYALLVRRSLRNCSIVGLFTLGDAVTSTLTFSAACSSAGITVLIGNDLNKCGVNHCAQFESATAMAFISWFAVSPSFLLNFWSLASR >KGN48994 pep chromosome:ASM407v2:6:26136302:26138671:1 gene:Csa_6G509630 transcript:KGN48994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGPRGAMLGSGGVSDGYEVGSKRQRMMEPNPYFAVSSSTAGFQPYGYGSFPPTHAFPVVRLRGLPFNCTDIDIFKFFAGLDIVDVLLVNKNGRFMGEAFVVFAGSVQVEFALQRDRQNMGRRYVEVFRCKRQDYYNAVAAEVNYEGIYDNDYHGSPPPRQKRFSDKDQMEYTEILKLRGLPFSVTKSNIIEFFGEFDLAEDRIHIASRPDGKATGEAYVEFASAEEAKRAMSKDKMTIGSRYVELFPSTPNEARRAESRSRQ >KGN45734 pep chromosome:ASM407v2:6:845601:847610:-1 gene:Csa_6G008650 transcript:KGN45734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDRRKRNVVSWSALFWCTFFLLLLSYLLFTSSRFFSPDTFHPELVHTLPTPLNKALSDDSSLHFRSSLQETVTLPDQALVFLNHPQTVRPFAKEDINCLYFPANSSVPHSWRPPIDVDGEEHLRKQMVRCPLPPPGFTVSIRVRSNAQLQGGHSHRWDLLVYEALVDRDNSTVVFVKGLGLRPERVSNASKFECVYGWDFRKIKYVLRSNVMSIAQEIARCTTPRSVLSNPNRTHDSVKVSIRVKGGGTLNSIARPLLSPGLRTPDRKPHEMCICTMLRNQAQFLKEWVIYHAHIGVRRWFVYDNNSDDDIEDVIASIFSVKHNISRHIWPWIKTQEAGFAHCALRARDSCKWVGFIDVDEFFYLPSGLSLLDVLRNQAKNVSVGEIRVSCHSFGPSGLTQMPPQGVTVGYTCRKATAERHKSIVNPEVLNSTLINVVHHFHLRDGFHYMNLERSEMVINHYKYQVWEVFKEKFYRRVATYVADWQEDQNAGSKDRAPGLGTKAVEPEDWSSRFCEVNDTGLRDVVLQNLTNRRTHLLPWQEEHRRGRRRSRKKKGKHKG >KGN47271 pep chromosome:ASM407v2:6:13610776:13615230:-1 gene:Csa_6G239700 transcript:KGN47271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQQQKTPKNQEIQDDHEDNEAIELVLFQVPECYVYLIPPRKTAASYRADEWDVNKWAWEGKLKVLSKGEECIIRLEDKSTGELYARAFLRDGEKHPVEPVIDSSRYFVLRVEENIDGRLRHAFIGIGFRERTEAYDFQAALHDHMKYLNKKKSAEEMEQQFQNTSSVDYSLKDGETIVLQLKSRKSTSNVKKSTELDHVKVSSLEEEGNTKKDSIFCIRPPPPPPAPLSPVASQKSPKNLPPNINLEEPSKQKDTEPAKEDTNDAEVQSTLDIPDDDFGDFQTAA >KGN49313 pep chromosome:ASM407v2:6:27651156:27655897:1 gene:Csa_6G519650 transcript:KGN49313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVKEMPGPVIDGNDPVTGHIISTTIGGKNGEPKQTISYMAERVVGTGSFGIVFKAKCLETGENVAIKKVLQDRRYKNRELQLMRVMDHPNVISLKHCFFSTTTKDELFLNLVMEYVPETMFRVLKHYSNANQRMPIIYVKLYMYQVFRGLAYIHTVPGVCHRDLKPQNILVDPLTHQVKICDFGSAKMLMKGEANVSYICSRFYRAPELIFGATEYTTSIDIWSAGCVLAELLLGQPLFPGENAVDQLVEIIKVLGTPTREEIRCMNPSYTDYRFPQIKAHPWHKVFHKRMPPEAIDLASRLLQYSPSLRCTALEACTHPFFDELREPNARLPNGRPFPPLFNFKQELSGASPELVNKLIPDQVKRQMGLNLHLAVS >KGN47914 pep chromosome:ASM407v2:6:19028999:19032091:-1 gene:Csa_6G411180 transcript:KGN47914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLPDAIVQCILSHMSNARDVAVCNCVSKRWKDSTPYIRSLYFPRNSFDNHSGGLSPDIIIWRIISSIVHLEELVVYSPFTGAGLASWLSSVGPTLRHLELRMDNLVDLQACQESPSKLECLKSAANLETLKLWGVLMTHSPRWDVFQKLKNLEIVGAKFEDPALNTALHACPNLSNLLLLACEGLSSVSIELKLLEQCKLDFYGLGNCSLLINAPKLQLLEVQGCSWIRARGTSSLRNLSIANNHGRVYMVDFDKLASLEVLSLRGIQWCWNAISKILECGSEVKHLYMKVEFTGDYDALEPFPEIDFVEFFNNHPKLQKFDIHGAMFAALCQKHSLKSVDPDFAISCLEEVWVTVRSPLNAEQKMNTLESFTKYAKNLKALVINILRMKSSHGSADDFFDDICKFKHCHPKIVRIE >KGN46368 pep chromosome:ASM407v2:6:5958942:5963876:1 gene:Csa_6G087960 transcript:KGN46368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQALSRRLVQQQQSLSSSSSISSIKFIYPLSHHYCGADRPRYDSTLATRGVGHLVRKGTGGRSSVSGIVATVFGATGFLGRYVVQQLAKMGSQVLVPFRGSEDSPRHLKIMGDLGQIVPMKYNPRDENSVKAVMAKANVVLNLIGREYETRNYSFEEVNHSMAEQLATIAKEHGGILRFIQVSCLGASSSSPSRFLRSKAAGEESVLKAFPEATILRPATMIGTEDRILNPWAHFAKKYGFIPLFGNGSTKIQPVYVVDVAGGIVSALKDDGTSIGKVYELGGPEIYSVHDLAELMFDVIREWPHYLKVPFPIAKAIATPREILLNKVPFPLPTPAIFNLDQILALTQDTVVSEKALTFNDLGIVPHKLKGYPVEFLISYRKGGPQFGSTVSERVNPDSFP >KGN45625 pep chromosome:ASM407v2:6:162865:169271:-1 gene:Csa_6G001220 transcript:KGN45625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLGKYEIGKTLGHGNFGKVKYAINFETQQPFAVKELDKTKIIDLNITHQFKREIYTLKLLKHPNIVRLHEVLASKSKIYMVLEYVNGGELFDTISSKGRLSEAQGRKIFQQLLDGVSYCHRKGVYHRDIKLENILIDANGNVKITDFGLSALPDHFRGDGLLHTTCGSPNYVAPEILANRGYDGAASDIWSCGVILFVILTGSLPFDDRNLSVLYHKILKGEIHLPKWLSQGAKNLIRRILDPNPKSRITMASIKMDDWFRKDYRPAYLDDEEEDTHTNEAISFSMHQVPSYLEGKCSESPTTINAFQLIGMSSCLDLSGFFEKEDISERKVRFTTIHSTKEDILKRMEDVVTDMGFLVQKKDRRLKVKREEKDENGMATILSILAEVFEISPSLYGVELRKLRGDLSSYRQLCKILSSDLGIPSSQDSR >KGN46111 pep chromosome:ASM407v2:6:4176556:4176972:-1 gene:Csa_6G054340 transcript:KGN46111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKTNAMRAMVILLSAINLAKLVQSSGVDEDYNSSIWNDWLDEDRAFTLRPCLDRMRSAKCQVELYNYYFNISKKELDLNCCVFVKVMGKKCAQAFTFWYQFPGLEIYEPNPMKVYNNCATRLSAPPPTPI >KGN47198 pep chromosome:ASM407v2:6:12713724:12715949:-1 gene:Csa_6G198260 transcript:KGN47198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSYTDHLSSPKIHLKVGPNSAQYLFVRSDLGLESLCCLLLFHRTLKAEVMKRSSSTREPARKLNGENFITKVISPAICGETCPICLRELEDRTAAVLTTCIHAYCISCIRKWSNLKRTCPLCNAQFDSWFTKINLSSQSFRKERLTTSNCSDKLKVGVGSSQIDARGILQSTRYELNRERRSERSLTWRRSFGRRGPDSLPADVVVRRKRQWRASIYNRCIQAVPSSVRSCLELNVLGSRGGKEVILERIKPWIQRELQVILEDPDPTIIVHLVISLFVARIEATSSQLNAEDDFLSPLRPFLFEKTDLFWHELRCFAGSPLRMEEYDSVVEYRTVG >KGN45653 pep chromosome:ASM407v2:6:340459:346769:-1 gene:Csa_6G003450 transcript:KGN45653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDMQKLIGTSEEDDDEDVEMDVKEEDDEEDDGARRIRSSLMVGDDGGMVSSGSNNNRFEQHQVQEQVGTPGGGRRCRPLEEKERTKLRERHRRAITARILAGLRRHGNYNLRVRADINDVIAALATEAGWVVLPDGTTFPSRSQGIKHAGGGSTAVTSSSSHLASQQTPSTSIRGVSCGFRSVPEYNACRMKGVFLPNSPPYDASPNARCQSSSLMGDAGEQSAGHPLINSSVDAVDGMQIVDTTPKLPERDFAGSAYIPVYVMLPLGVINMKCELVDPDGLLKQLRLLKSANVDGVMVDCWWGIVEAHSPHEYNWNGYRRLFQMVHELKLKLQVVLSFHECGGNVGDDVCIPLPHWVAEIGRSNPDIFFTDREGRRNPECLSWGVDKERVLRGRTGLEVYFDYMRSFRVEFNDFFEDGVITTIGVGLGPCGELRFPSFPVKHGWRYPGIGEFQCYDQYLLKNLRKAAEARGHSFWARGPDNAGSYSSRPHETGFFCDGGDYDGYYGRFFLNWYSKVLVDHGDRVLYLAKLAFEGSRIVAKLSGIHWWYKTASHAAELTAGFYNPCNRDGYAAIMTMLKKHSASLNFTPAEFHMLHQREDFSNSLSDPEAVVWQVTNAAWDVNVEVVSENPLPFLDRVGYNKILDNAKPLADPDGRYLSSFIYHRLSPLLLERQNFMEFERFVKRMHGEAVHDLQV >KGN49107 pep chromosome:ASM407v2:6:26650433:26653448:1 gene:Csa_6G513710 transcript:KGN49107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSSTPTTTSLSTIKPKDYAHSPVHYAVALADHSALSRIVSTLPRLADPALIHTEADSLAQERIADKISAVLDRRDVPYRETPLHLAVRLKDAFAAKTLSSAGADISLQNSAGWNPLQEAMCRRSSDIALILLQVHHRAAWSKWRRRLPRVIAVLRRMRDFYMEISFHFESSVIPFVGKIAPSDTYRIWKRDGNLRADTSLAGFDGLKIQRADQSFLFLGDGDQTHDIPSGSLLVINRDDRKIFDAFENAGAPMSESDIAGFCSQTSVYRPGMDVTKAELVGRTNWRRQEKTESVGEWKAKVYEVHNVVFSFRSRKVAGSEGDVAGSEQVLPLELDEDDDGFLVAENPSFGLPDRNSTDRRRHSSFVPEEREWVMMPRKSVDIPSATAPPPRKVGRPTFTAAPVEPPQTKEKEYVRSLRPSVWLTEQFPLKTEELLPLLDILANKVKAVRRMRELLTTKFPPGTFPVKVAIPVVPTVRVVITFTKFVDLPPVEQFYTPFSSPRQLANSGGDDPSESHCSSLPLSSSSSSSSSSSSSSSSSTWLRRSSSHSAAGSTAKQNHRCSWSTVQDESDPFAIPSGYTWARSDDKSSKLKKSKSTRKSK >KGN47587 pep chromosome:ASM407v2:6:16357553:16360071:1 gene:Csa_6G362940 transcript:KGN47587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSTTPPLINSEQFKSSASILRPLPLRFTAANTKNHCRVRCALSTNNWRDSRRLFSISLVLSNLFLIPDHASAGSFLDKYVKKKKLDPLEVYVPAVILTKLQIEDVGKILESSKPEYATCRSLLRSGLASSLRVNIRAVAQYASEDGNGNIAFDNVDRCLRALEELDSSLLRATRNDRGTSIESMKTNIDNAVLALDRLLQTVPPDVFAKGKAIADAYISPEEEETEIEDPQLKQLESIL >KGN48525 pep chromosome:ASM407v2:6:23440415:23441750:1 gene:Csa_6G490890 transcript:KGN48525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDVDRRMAGLNPAHIAGLRRLSARAAAVTPSHPSRAGLLSFSSLADNVITHLRNTGVEVQTGLSIADFARAEAEFGFVFPPDLRAVLSAGLPIGPGFPDWRSSGARQHLRATLDLPIAAISFQIAKNTFWSKSWGPRPLDPEKALRVARNALKRAPLLIPLFNHCYIPCNPSLAGNPIFSVDENRISFSGLDLSDFFEREFLFRSSQSDAHHLKKQRSISEKSAGSSSNFSRRSLDTGARTPRWVEFWSDAVVDRRRRNSSSSSSSSPDRVIEMPRSGIPKWVNEYIEEIGSTLREGGWSETDITEIVQVSASGFFEGAAMVLVDNQAVLDALLLKTDRFSDVLRKAGWSSEEVSYALGFDHRAERERKPAKKLSPELVERIGKLAESVTRS >KGN48643 pep chromosome:ASM407v2:6:24236597:24237277:-1 gene:Csa_6G496950 transcript:KGN48643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGKFYYSDHHHPPSFQFNSPMENQNPLLFQSSNFGELNMEFGASNDGIFHGSVDQNSSGGGEFGDPIMLENFENGLVEDFNNYGMMPSSSTSGESSYSLGEISSLGFNYSDFEASQHQHHHHVIAPAFAHQSTHYSLQ >KGN46831 pep chromosome:ASM407v2:6:9958030:9963677:1 gene:Csa_6G140790 transcript:KGN46831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKKSFSISKMMGCCGCFGFTKKPKRVLRPASGFNRLSEDFLLGEDMEDEESCSSNDDMTSPTHEEETESHSRVKNSEEILQHRTQNGLICRQFPVKETNRVIRSEDENGNKMVNEYVREYKIGAGSYGKVVLYRSRMDGKYYAIKAFHKSHLSKLRVAPSETAMTDVLREVLIMKMLEHPNIVNLVEVIDDPEDDRFYMVLEYVEGKWACEGYDPPRGLDENIARKYLRDIVSGLIYLHDHNIVHGDIKPDNLLITLDGTIKIGDFSVSQVFEDENDELRRSPGTPVFTAPECCLGITYHGKAADTWAVGVTLYCMILGQYPFLGETLQDTYDKIVNNPLLLPDDMNPHLRDLLEGILCKDPSQRMTLKAISQHSWVIGEEGPIPQYLCWCKRKSLVVEEQQSNLQPEENGSKYNDFDVAPNLSM >KGN47311 pep chromosome:ASM407v2:6:14076307:14086128:1 gene:Csa_6G291910 transcript:KGN47311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKLFAATTACASILRTHRHSASFSSALLFDDTQLQFKESVKQFAQDNIAPHAAKIDQTNTFPKDVNLWKLMGDFHLHGITAPEEYGGLGLGYLYHCMAMEEISRASGSVALSFGAHSNLCINQLVRNGNPTQKEKYLPKLIKGEHVGALAMSEPNAGSDVVSMKCKADRVDGGYIINGNKMWCTNGPTAQTLVVYAKTNPNAGSKGITAFIIEKGMPGFSTAQKLDKLGMRGSDTCELVFENCFVPEENVLGQEGKGVYVMMSGLDLERLVLAAGPLGLMQACLDAVLPYVKQREQFGRPIGEFQFIQVLSLRVSSHIHSS >KGN47792 pep chromosome:ASM407v2:6:18108531:18112559:-1 gene:Csa_6G403580 transcript:KGN47792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSAYSSFARGSFSSIGDASELEANLPLKDRLKGFKSSKYDIDSYVTSKCHTMSEKEIKHLCSYLIDLKKASAEEMRKSVYANYGAFIRTSREITDLEGELLLLRNHLSTQAALIHGLVEGASIESLSRDIEDSIQDHSSNETRELPTTDEWLVEFLDNLEVLLVEKRMDEALAALAKGEQIAQDSNCRKALSASALSTLQTAIRNQRQKLASLLEQTISQPSTRGVDLRSAAQALKKLGDGSRAHMLLLSSHQQKLQRSLHSFRTSSNSGGGVYTAAISQFVFSTIAQAANDSLAVFGEEPAYASELVTWSVRQTDGFAVFLKRYVIGSSAAVGSLRIAAESVQISMGHCSLLEARGLALTPVLYRHFRPFIENAITANLRRIEQSSAALAAADDWLLAYSPVASRLFPRTSSTSSLASVVSQPKLSRSAHRFNTMVQEFVEDMGSLESLQLDALTLEGVLQVFNSYLNLLITALPSSVENEMNLEGSATKIVRLAETEAQQIALLANASLLADELIPRAATKLFPHNRTETPRKAAERQSRVPEQREWKRRLQRSVDRLRDSFCRQHALELIFTEEGDTRLNAQMYLSMDGNENLEEPEWFPSQIFQGLFAKLTRIASMATEMFVGRERFATVLLMRLTETVILWLSEDQAFWEEVEEGPRPLGPFGLQQFYLDMEFVILFSSQGRYLSRNLHQVIKNIIGRAIDSLASTGTDPYSALPEDDWFAEVAQIAIKMLTGKANFGNVDREATSPTASVSAKSMSSVHSHGSN >KGN48051 pep chromosome:ASM407v2:6:20039467:20040705:-1 gene:Csa_6G426370 transcript:KGN48051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDHLEENGEIEVPSYFLCPISLQLMRDPVTISTGITYDRSSIEMWLFSFNKTVCPITKQPLSSDPDLLTPNHTLRRLIQSWCTLNASNGIERIPTPKSPIDKTHIAKILKQAQSFPDSTHKCLLALKAIALENERNRNLVAQSDGAFDFLAMVIKSGGSNNIASIELAVEILFHIKSSQTHLKNLVNGDVDFINSLTFVLQNGNCQSRAYAVMLLKSSLELADPIRLMSIERELLREIVRVIHDHISHQASKSALKVLAEVCPWGRNRVKAVEGGAVGVLVELLLNSTERRWSELGLVILDQLCGCAEGREKLVAHAAGLAVVSKKILRVSAMASDRAVRILSSICRFSASAKVMQEMLEVGVVAKLCLVLQMDCSMKTREKARDTLKLHSRVWSNSSCIPPHLLSAYPST >KGN49143 pep chromosome:ASM407v2:6:26809463:26810988:1 gene:Csa_6G516510 transcript:KGN49143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIIRTWKTLIFLYTVLLTLMIYIHPTISQLDFAKSSCQDAGNSNILNSTYQKNLESLLSSVSSDPQLINYGFYNLTVGEEAERVNAIALCIGDTSTERCRICVDESSWKILEDCPSENGGIVWYNQCLLGYSGSGNYDWGRESIFNGNKASTNRDKFVEAVKRLMGRLRVEAALGNSTRKMGKGEISAGNETVYGLVQCMPEMSSGDCDNCIGEGLALISEARMGARIFRGGCVLRYENYIFFKPTANASPSSSPLPGPTPGSKRGKGKTTAIIVIIVSILSIVILSIAIYFVLLMKRRKKIPSDEIQIKGIAFQEATSEISNVEAIQFDFETIKVATNEFSDKNKLGQGGFGAVYRVQISRTFEIDR >KGN46235 pep chromosome:ASM407v2:6:5136129:5136963:-1 gene:Csa_6G076830 transcript:KGN46235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNLTSSLFPTFFHRNISSKPFFQKSLNNQKLPSFTTFNHFQLLFNLLDSDGDGKISTKELSQFLYRLGYKKLKATMEAEEMVKEMDSDRDGFIEMDEFLEVLQKGEEEEEILREAFLIFDGDKNGLICAEKLKRTLSKFGWQKCDLKQCKKMIEGVDHDGDGYVNFQDFRLMMTQKR >KGN46567 pep chromosome:ASM407v2:6:7342869:7343133:1 gene:Csa_6G109630 transcript:KGN46567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVREGSISLLKWNGREEEEEEEEGHRRVRNGCDLIQEDVEVSDGEDRGGGGGQRSK >KGN46214 pep chromosome:ASM407v2:6:5008800:5009169:-1 gene:Csa_6G075150 transcript:KGN46214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKFKAEKGSVGVCNGGFQNRGRGDLRAESEFFKGGRRKKVGILKGTGYDLGCTSFPPNNSISFEIGDETKLEFVILMKPTTPNYRCQS >KGN48562 pep chromosome:ASM407v2:6:23693909:23697985:-1 gene:Csa_6G492230 transcript:KGN48562 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MEISSLLYLFLSLISLLFAFNLRSRRRNLPPSPPSLPIIGHLHYIKIPRHRTLHKLSAKYGPVISLWFGSRLVVVVSSLPAVEECFTKNDIVLANRPRLLVGKHVGYNHTTMVASPYGDHWRNLRRIGAIEIFSASRLNKFAGTRKDEVERLLKKLSRNSMYGFSKVEMQSSISELTFNISMRMAAGKRYFGEEVRDVEEARQSRELIKQIVSMGGVSNPGDFIPMMNWIPNGFKRKVWRVAKRMDGFLQGLIDEHRSNKEEERNTMIDHLLSLQETEPEYYGDQIIKGIILVLLLAGTDTSAVTIEWALAHLLNNPEVLKKAREELDTQIGEKRLVEESDVSKLPYLQGIISETLRLNPAAPMLVPHLTSNDCTISGYKIPRDTIVLVNAWAIHRDPHQWEEPTLFKPERHQKSESIDHHISKLIPFGVGRRACPGSGMAQRVVGLTLAALIQCYEWERIGDEKVDMSEGRGVTMPKMVPLEAMCKPRPIIHNIFN >KGN48225 pep chromosome:ASM407v2:6:21283645:21285831:-1 gene:Csa_6G449320 transcript:KGN48225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWNNGNISFSSNFVSPPPQSSSSSALLYNYSFNCNYNLDHFPVVETTTPVATGEGEQNMAGAGVDHQKKKRLSQDQLEALERSFQEEVKLDPDRKMKLSKELGLQPRQIAVWFQNRRARWKTKQLEHLYDTLKQQFDTISKEKHNLQQEVMKLRSMLREQTTRNQGSMAHTDVSGEETTVECTSVEILSCNNYMYNVEDFNQISASAPPFWWGAEAAHLPSYP >KGN46857 pep chromosome:ASM407v2:6:10183694:10184965:1 gene:Csa_6G147500 transcript:KGN46857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALDVADIDPTPPFSPSKLFNGKSSSPSKNSSLLFNTNNFPSISSSTSFSTLHQSLPESPHVFDFSEIIAATNNFLTNRISTTSSTPSWRCTLHGKDVIIFQRKFQPQIGLQHFKDRFYKICLCHHTSMIQLLGISISADYLYLVYNFVNGANLSDCLRNSKTPNFTVLSTWISRMQVATDLAHGLDYIHNKSGINISLTHNHIKSSSIIVTEPSFNARICHFGVAQLCNEDDWNIGIEKPSNSRCDNGEIVENSKKKLELKDKQLRLKSKKVQIKGVRGYMAPEYKSSGVATPMTDVYAFGVVILELLTGEEPVKFEFDRKKGSFVRVSLIESALAVIEEGGGDDVEGRLRKWVDRRLKDSFPLLVAEKITRLAIRCVDVDPAKRPNMAYVASKISKLYIESKIWSDHLVNPANLSMSLAPR >KGN49211 pep chromosome:ASM407v2:6:27116173:27121519:1 gene:Csa_6G517190 transcript:KGN49211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRIRIEGLLAAFPKLVGTGKQHTYVETENVRYVYHPIEALYLLLVTNKQSNILEDLDTLRLLSKLVPEYSLSMDEEGICKTAFDLIFAFDEVISLGHKENVTVAQVKQYCEMESHEEKLHKLVLQSKINETKDVMKRKASEIDKSKIEKNRGDKGGFMSLQSMGSGKIDNGLSDMGISSGGGGGFGSSSGFGLGADVESFSSKPKGRPPSSATAPPKGLGMQLGKSQRTNQFLESLKAEGEVIVEDVQPSVGPSKSAVPPPTDPVTLSVEEKLNVSLKRDGGVSNFDLQGTLSLQILNQEDSHIQVQIETGGNPGILFKTHPNMNKELFSNENILGLKDPNRPFPTGQGSDAGVGLLKWRMQSNDESMVPLTINCWPSVSGNETYVSIEYEASSMFDLRNVVVSVPLPALREAPSVRQIDGEWRFDSRNSVLEWSIVLIDNSNRSGSMEFVVPPADSSVFFPISVRFSAASTFSDLKVVNILPLRGGAPPKYVQRTQLIAENYQVV >KGN48662 pep chromosome:ASM407v2:6:24363209:24363509:-1 gene:Csa_6G497130 transcript:KGN48662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSISVIITLTSAITDLVAFVDAVRRVVVASEPQECLFRTSDVLIIRVVQGMNDADSLKLRNGPQKR >KGN47579 pep chromosome:ASM407v2:6:16278570:16279867:1 gene:Csa_6G361410 transcript:KGN47579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIALETNTVFSQPGLPSYCSVLNTTGIIPVVRREAALADAVAPADVDRCTSSSSSSIGENSGFSVRSSDNDDGEDNEAESSYKGPLGMESLEEVLPIRRGISNFYNGKSKSFTSLVDASSSSSIKDIAKPENAFSRKRRNLLASNLIAGGISKRPIISSSRSSLALAVVLSSSEIHKNNDLNSILPPPTLIRPPLYPNGRGSRINSGSAVPSLCKFPTWRSYSMANIQ >KGN46507 pep chromosome:ASM407v2:6:6877428:6882725:-1 gene:Csa_6G104635 transcript:KGN46507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSQKLHEAFKGTVERITGPRTVSAFKEKGVLSVSEFVIAGDNLVSKCPTWSWESGDLNKRKSYLPPDKQFLITRNVPCLRRAASVEEEYEAAGGEVLLDNEDGDGWLATHGKPKEEKRDEEENLPSLETLEISRTKVVKSIPNYFGGEDEDDVPDLDDIEETDNVVGTDPATLPLTYQIAHEPDDDNILRTRTYDVSITYDKYYQTPRVWLTGYDESRMLLQPELVLEDVSQDHARKTVTIEDHPHLPGKHASVHPCRHGAVMKKIIDVLMSRGVEPEVHKYLFLFLKFVASVIPTIEYDYTMDFDLGGPSSQ >KGN49139 pep chromosome:ASM407v2:6:26793486:26795240:1 gene:Csa_6G515480 transcript:KGN49139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKWKGEIKKLSNSEFPPDNIIQVILSKVPLFNLTSCRLVCKSWNNLILTCKFDPSLFLSFAYDCPSRTLYCVDFDPMYFEGMNSIGSFTFHPKFSTSDSSISIINACNGLLSLLISKRKLGSVSYVLGILNPMTNEYFKFPAEKSKSHCCCGRLYSYGLGFNPKTKQYKIARTSFRPDESTTLVEIFTFGSTHQVWTPVGFLPSLVVEDHGVYFKGGLYWVANQPDPHDSSISAIYRLDLENEKLEKISCPQYIGGHFFFGVFDGTLYLTVSKNNKYQVWKMKDNFSWIKAFVISRPRNLCHPDHPHQPWGVSQLDPIKACEDGKILCLLAGLHLILYDPKTKSAEILTDQSVKVEKHLHVHHIDAFNFNSLPSILAGNS >KGN49268 pep chromosome:ASM407v2:6:27434984:27438258:1 gene:Csa_6G518230 transcript:KGN49268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIELSKAKIIRVLPLSCSILLEVQALFRIRACHSSATNFAASSSGLGEKLEFCNGKTFEDQLQYARKESRTVDVTVLEEQVHQMLREWKAELNEPSPASSLQQGGSLGSFSSDICRLLQLCEEDDDASSPLAAPKPEPNEQNLQVGDTMALQEGFNVNRVHQEQGFPLVDQRKNSPSGVHGMAMNNLEGPAHLECHQFDLHQGIEHNFYSILNGRGLCGEGAIPHVSSYCPSICPPPAAFLGPKCALWDCPRPAQGMDWCEDYCSSFHAALALNEGPPGMGPVLRPGGIGLKDGLLFAALSAKAQGKDVGIPECEGAATAKSPWNAPAFESGNRKQRSLPDYSGRGWHESRKQVMNEFGGLKRSYYMDPQPLNHFEWHLYEYEINKYDACALYRLELKLVDGKKGSKAKVSNDSVADLQRQMGRLTAEFPDNKRFVKGRTRINTKVGLGNVYPSGNRVMPPSGTYDYMLHAQYDYLVENLSEYYLT >KGN49125 pep chromosome:ASM407v2:6:26728953:26731296:-1 gene:Csa_6G514860 transcript:KGN49125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSLRLTSSHFNSTEIVNRKSQLLRPNIPLQSPDCSVLKHVDRSFLTNKPLHISSVENLSLLTKSSERSTVCRAYEAESRRLQINIELPDEQTTQKLKIALYFAAWWALNVVFNVYNKKVLNAFPYPWLTSTLSLAAGSLMMLVSWTTRMVDAPKTDLDFWKSLLPVAVAHTIGHVAATVSMSKVAVSFTHIIKSGEPAFSVLVSRFLLGEMFPLPVYLSLIPIIGGCALSAITELNFNIIGFSGAMISNLAFVFRNIFSKKGMKGKSVSGMNYYACLSLLSLLILTPFAIAVEGPKLWAEGLQNALAQIGPNFIWWLGAQSMFYHLYNQVSYMSLDQISPLTFSVGNTMKRIFVIVSSIIIFHTPIRPVNGIGAAIAILGTFLYSQAKL >KGN46077 pep chromosome:ASM407v2:6:3922256:3926306:1 gene:Csa_6G052030 transcript:KGN46077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQQARKLSEEYEISDVLGRGGFSVVRKGISKSRREKKEVAIKTLRRIGPSAFSGFPPNRNALKSVSSMVPTRKSMISDALLTNEILVMRRIVENVSPHENVIDLYDVYEDSNGVHLVLELCSGGELFDRIVAQTRHTEAKAAEVVRQIASGLKALHEANIIHRDLKPENCLFLNQSQDSSLKIMDFGLSSVEEFTDPVVGLFGSIDYVSPEALSQGTITSKSDMWSLGVILYILLSGYPPFIAQSNRQKQQLIMAGDFSFHEKTWKTISSSARQLISSLLTVNPQQRPSAQELLEHPWVMGNSAKQDQMDAEIVSRLKSFNARRKLRAAAIASVWSSTILLRTKKLKSLLGTYDLTPEEVENLRLHFKKICAKGDNATLPEFEEVLKAMNMSSLIPLAPRIFDLFDNNRDGTIDMREILCGFSSLRNSRGDDALRLCFQMYDTDRSGCITKEEVASMLSALPDDCLPVDITEPGKLDEIFDLMDSNSDGKVTFDEFKAAMQRDSSLQDVVLSSLRQ >KGN47267 pep chromosome:ASM407v2:6:13599697:13600464:-1 gene:Csa_6G239660 transcript:KGN47267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPSDLSYGASTDLILFFLMDRTEAHQKKGFQMTLIFLLAAIALAKSTFLGCNLPSDIRIRPSLDERGIFVSKRMKKYDMVMPMLRLSHTSSSYPIEAFLGHSGHIRVPLTVLSPWQVKPTPQ >KGN45667 pep chromosome:ASM407v2:6:421314:425632:-1 gene:Csa_6G004580 transcript:KGN45667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRGPATTLLRISSSRKSASRFRIFTGNRLLHSVGQYDDHKILQSNPVRPFSTTVNQVWFRLLSQRSRFGVFSSFNLRRISIPVGPEADNKDGNGVSSSNVVEVGGYDADVGKNVCFENDSMMVTGEDGCIGDSICGSIVDDSKNGDSDLRSSKLRNYETIKSCDPVELYSELRSVEMGGSKVELSNWLILQEIFHYFLHSGWASNQALGIYIGMSFFPTAVSKFRNFFLKKCSTDVVKYLVFLGPSDDAVKFLFPIFVEYCLVEFPDEIKRFQSMVKSADLTKPHTWFPFARAMKRKIIYHCGPTNSGKTYNALQRFMEAKKGIYCSPLRLLAMEVFDKVNAHGVYCSLLTGQEKKLLPFSSHIACTVEMVSTEDLYEIAVIDEIQMMSDPCRGYAWTRALLGLKADEIHLCGDPSVLNVVRKICSETGDELHEQHYERFKPLVVEAKTLLGDFKNVRSGDCIVAFSRREIFEVKLAIEKFTKHRCCVIYGSLPPETRRHQASLFNDQDNEFDVLVASDAVGMGLNLNIGRVVFYNLAKFNGDKIVPVPASQVKQIAGRAGRRGSRYPDGLTTTFCLDDLDYLIECLKQPFDEVKKIGLFPSFEQVELFAGQISKVAFAELLQKFSENCRLDGSYFLCRHDNIKKVANMLEKVSGLSLEDRYNFCFAPVNVRDPKAMYHLLRFASSYSHNVPVSIAMGMPKGSARSDSELLDLESKHQVLSMYLWLSQHFKEETFPYVKKVEVMATDIAKLLGQSLTKANWKPESRQAGKPKPRDKEGHENNKSPVWVQEKQVI >KGN47535 pep chromosome:ASM407v2:6:15984175:15993210:1 gene:Csa_6G357010 transcript:KGN47535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSSIHLKSIINYLPTSDAAGRSKGITSKNFLVSFGFHKPPFSRCDQLMLSKKCSMDKEMDVSTSTLIDDAELEEEEPSISTMIMNFESKFDPYGAVSTPLYQTATFKQPTATENGPYDYTRSGNPTRDALESLLAELEKADRALCFTSGMAALSAVAQLVGTGEEIVAGDDIYGGSDRLLSQVIPRRGIVVKRVNTSDLDEVSSAIGPLTKLVWLESPTNPRLQISDIRTIATMAHKHGALVLVDNSILSPVLSRPLDLGADIVMHSATKFIAGHSDVMAGVLAVRGERLAKELYFLQNAEGSGLAPFDCWICLRGIKTLALRVEKQQENAQKIAEFLYTHPRVKKVYYAGLPTHPGRSLHYSQAKGAGAVLSFLTGSLALSKHIVESTKYFSITVSFGSVKSLISMPCFMSHASIPAAVREARGLTEDLIRISVGIEDVNDLIADLDNALRTGPH >KGN49092 pep chromosome:ASM407v2:6:26600235:26601519:1 gene:Csa_6G513560 transcript:KGN49092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWIRGRTIGRGSSAAVSVATDIRFGQVMAVKSVEFSHLDFLKREQRILSQLNCSRVIGYKGFDVTLENGNLMCNLLMEFAPGGSILDAMEKAGGRLDEATAQFYTREVLSGLQYVHSNGVVHCDIKCCNILMGEDGIKIADFGCARRVEEVSGGNLAGTPIFMAPEVARGEKQGFAADVWSVGCAVIQMVTGRVPWANLSDPLAAIYRIGSGDDLPEIPRIMSEQGKDFLRRCLIRDPEERWSVNELLKHPFVQEQKSHPKQNSRTPTSILDQGIWDTVNDPETVESPIRPKIQRTPLQRIQQLNEVSTIGIPNWECDEDWITVRSIGLEENDIVSVMETPSSFQSIKMETNNGVGDQDYINVSRSRSSDYGSRKSSKAKNRDFPAMSSVFNQIPGVYFVL >KGN48524 pep chromosome:ASM407v2:6:23429075:23429889:-1 gene:Csa_6G490880 transcript:KGN48524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSADHRLPPPPLTRPFPLYKQHSWSPDADRDQAWLRRKTQSKMRRSKSVTDDDLEELKACLELGFGFNSPEVDPRLCETFPALGFYHAVNKQYNRTLSNSSASLCSSPVSESVSPSADSSPAAIISHGENPQMVKARLKQWAQVVACSVRQY >KGN49500 pep chromosome:ASM407v2:6:28710631:28712668:1 gene:Csa_6G526340 transcript:KGN49500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALTSFPQINDIDSAMAGFDVDKLTYEIFSILENKFLFGCDDSDQKLHVAPQPPLVDANAFKSGKHNSGKVRILSIDGGGSTDGVLAAKSLTYLEDFLRRKSGNPHACIADYFDVVAGSGAGGILAALLFTKGKDGYPLFTADGALNFLIKNRREIFRSSDGGILRRVFGSTKVEKLFRKTFGECTLKDTLKSVLIPCYDLSTRAPFLFSRADAHEMDGYDFKIRDICIATSAEPTVSGAVQMSSVDKRTKIAAVDGGIAMNNPTAAAITHVLNNKQEFPFCNTVEDLLVVSLGNGESDFSAVNLNSSPASFTRIAGEGASDVVDQAVSMAFGPHRATNYIRIQGNGIVGGLEKGKRGQKMNRINILEKADEMLTQKNIEAILFKGKKMIENTNLEKLEVFGGEVIKEEERRKSSILPTVLLKQAAFPSPRTSSASATTLSTISSC >KGN47864 pep chromosome:ASM407v2:6:18722101:18724050:1 gene:Csa_6G408230 transcript:KGN47864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSTLPPGQLQGIAGLWDTVLELTKSAQDKNCDPLLWAVQLSSTLNSAGVSLPSVELAQLLVSHICWDNHVPIMWKFLEKAMTARIVPPLLVIALLSTRAIPYRKLQPAAYRLYLELLSRHVFSSTCQIYGPNYQRIMQTIDDVLHLTQIFGLQTCEPGVLMVELFFSIVWQLLDASLDDEGLLALHGEEKSAWLIRPQLHDMELDVHDSFGEKRTENSESLLKVNTAKAIEIIGQFLQNKKTARILCLALRNM >KGN48865 pep chromosome:ASM407v2:6:25496855:25498779:1 gene:Csa_6G504460 transcript:KGN48865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFKDMAVPQSVSALFSAYASFATTMMLIRSLTNELLPAKLISFSSIFVYFFGSISSQTKLVIEENSGFAMNEVFQAAEFYLRTKISPSIDTLKVTKTPRQKKVTLSIDKDQEIIDYFENIRLQWRFLCSVDERNGGGSREKRQFELSFPKKFRDRIVDFYLPYVLRRAKEIKEENKVVKIFSQECQYDDDSGGNWGSVNLEHPATFDTLAMDPELKQSIIEDLDRFVRRKDFYKKVGKAWKRGYLLEGPPGTGKSSLIAAMANYLKFDIYDLDLTNMYSNSDLRRVLLATTNRSILVIEDIDCSVQIQNRQSEEHFDQSSSKFTLSGMLNFIDGLWSSCGDERIIIFTTNNKHRLDPALLRAGRMDMHINMSYCSREGLRVLVSNYLGGEATKHSTYGEIEELIGEMEVAPAEIAEELMKGEETEAVLGGLVGFLKRKREEERKEKEEKKEEKGEEEEKVEEEEEEGDKIVEEEEATKKTNWELRNRVRRTGYGYRGRGRGRGFTRRIPM >KGN49051 pep chromosome:ASM407v2:6:26372976:26376473:1 gene:Csa_6G511660 transcript:KGN49051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEGGAAKADKAEFSECWQTTWKTPYIMRLALSAGIGGLLFGYDTGVISGAMLYIKEDFEVVDRKTWLQETIVSMAVAGAIVGAAIGGWMNDKFGRKKSILVADVVFFLGAIVMAVAPFPGFIIVGRLIVGFGVGMASMTAPLYISEASPARIRGALVSTNGLLITGGQFISYLINLAFTKTKLTWRLMLGVAGLPAVVQFVLMLSLPESPRWLYRRDKVDEARAILEKIYPANQVDEEMRLLHESVESEKTEEGAIGDGSIIAKVKGALSSQVVRRGLWAGIIVQVAQQFCGINTVMYYSPTIMQFAGYASNTTAMALSLVTSFLNAAGTVVSMLTVDRYGRRRIMIISMIGIIVCLVVLAGVFFQSASHAPSIDALESTHFGSNSTCPAYVSAPDASSWNCMSCLKQKCGFCANGDNEYLPGACLDLTKNVRGECRSNRRVWFTEGCPSKIGFLAVVVMGLYIISYAPGMGTVPWVLNSEIYPLRYRGTGGGIAAVSNWVSNLIVSQTFLTLVETLGAAGTFLLFAGFSLLGLVGIYFLVPETKGLQFEEVEELLKQGKKYKSSKGQKEVSAQ >KGN49286 pep chromosome:ASM407v2:6:27526351:27526584:1 gene:Csa_6G518900 transcript:KGN49286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLSLWVCLILVASYCFAGSESRQLEPKLAAKSHRPALLGSRDNFHIGMVAVEGSGSIPQRGVARLSPGGPDPRHH >KGN49444 pep chromosome:ASM407v2:6:28343839:28345702:1 gene:Csa_6G525310 transcript:KGN49444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSTQLSFLCFTSRTITSNSSSQNFLDCHLSFILRKCISLVQLCGSSQSKLKQIHAFSIRHGVPPQNPDFNKHLIFALVSLSAPMSFAAQIFNQIQAPNIFTWNTMIRGFAESENPSPAVELFSQMHAASSILPDTHTFPFLFKAVAKLMDVSLGEGIHSVVVRNGFDSLRFVQNSLVHMYSVFGFAESAYQVFEIMSYRDRVAWNSVINGFALNGMPNEALTLYREMGSEGVEPDGFTMVSLLSACVELGALALGERVHMYMVKVGLVQNQHASNALLDLYSKCGNFRDAQKVFDEMEERSVVSWTSLIVGLAVNGLGNEALKLFGELERQGLKPSEITFVGVLYACSHCGMLDEGFNYFRRMKEEYGILPRIEHHGCMVDLLCRAGKVGDAYDYIRNMPVPPNAVIWRTLLGACTIHGHLELGEVARAEIQRLEQRHSGDFVLLSNLYASERRWLDVQNVRKIMLMKGVKKTPGYSLVELKNRVYEFIMGDRSHPQSEETYAMLAKITQLLKIEGYVPRTVNVLADIEEEEKETALSHHTEKVAIAFMLVNTPPGTPIRIMKNLRVCADCHLAIKLISKVFEREIIVRDRSRFHHFKDGSCSCKDYW >KGN48450 pep chromosome:ASM407v2:6:22943421:22945652:-1 gene:Csa_6G487710 transcript:KGN48450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPTSFLLQFTLLVCFTAIHGRAEITSSSCDAYVSYFTKSSQFFDLHSISKLFGVKALKIAKASNLESDKTPLFDGQLLFIPVTCNSTTNGNNSFFFSNTTYKINQGDTFYLVSTSFFEHLCDSDIVVKMNPSLNPNNLSVGVEAVFPLFCKCPSKENLEQGIQFFITYVWQLTDVVSGVRSIFNVSKDANLEDVKGVKLTNFVAGEALFIPLSKLPLLSQSPPQRKKIKHLVIVVGGVALGVGFLLVAYVFFIYKKMKLPIWGNSIKMKMKQNGQLLPLPPPPVVSDYLGRPILYDYKVIMDATMSFNEGFKIGKSVYKAIINGQISVIKEAKPDSTEELMILQKVNHINLVKLVGFSSDDKENFYLVYEFAENGSLDKWLYSSSEASSSNLTWSQRLNIALDVANGLQYMHDHTQPSIVHQDIKTSCILLDLRFRAKISNLAKARPAVDSLSTKVDVFAFGVVVLKLLSGKKALKCTVNGEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEVGNLCKEIRDVLDNEEGREDKLRDWMDSKLKDCYPIEGALSLAVMARACTQDEPLSRPSMAEIVFNLCVLAESSPEKVEKSWVSLLEADEIGHSHSPIRAR >KGN49165 pep chromosome:ASM407v2:6:26878015:26880385:1 gene:Csa_6G516730 transcript:KGN49165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDFQAPVCGDNWWNSSRSMLVAVGGLSPCSVGIADLSWPPQPPSAATDSVNNNSSMLFQDYSTFQMMGFALSSSTTSEHWNQSQDLLNSNGIPTNFSSENGLKQGNDGDDYLNCSSTNTTNCQGGLFPVESSLYGYSSPSTKLLQTNLYEDDNSDPHSLFNSTPSITTNSTTFPTNINPPNHLSLSSTPNFSSNYLVKPSLPNPQPHLQFTNNTPFWNASSSNSIPQGIASLLEDKNNPKSQNNTLAFKSVNEDGQDQVKKGDKNSAITTEPTVFKRPRIETPSPLPTFKVRKEKLGDRVTALQQLVSPFGKTDTASVLHEAIEYIKFLHDQVSVLSTPYMKSNNGITPVQHQQVSEKANHDSPKQDLKSRGLCLVPISSTFPVTNATTTDFWTPTFGGTFR >KGN49340 pep chromosome:ASM407v2:6:27774483:27776378:1 gene:Csa_6G520380 transcript:KGN49340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIFALAVNLITYFNSVMHFELADAANQLTNYMGTGYILSILMAILADTYFGRVKTVIISGCLEFLALILLMIQAHYPKLKPPPCNMFDKQSHCETVEGKSAVVLYIALYILATGTAGIKAALPSHGADQFDEKDPKEAMQMSSFFNKLLLGLCLGGAVSLTLIVWIQDYKGWDWGLGVSAAAMFFSVVIFVAGMPLYRIHIVSGSSTILQILQVYVAAIRNRKLILPEDSTHLYEIERDKENAMEDDFLPHRNIYRFLDKAAIQQTPSRQVGNPEASSAWKLCTVTQVENAKIVLSMVPIFCCTIIMTLCLAQLQTFSIQQGLTMDTKLTNSFSIPPASLPIIPVSFIILIVPIYDKIFVPFARKFTGIPTGITHLQRVGVGLVLSSISMAVAALVEVKRKGVARDHNMLDATPVSQPLPISTFWLSFQFFIFGIADLFTYVGLLEFFYSEAPKALKSVSTCFLWSSMALGYFLSTIVVKIVNRATEGITRSGGWLVGNNINRNHLNLFYWMLSILSLINFFIYVFVAKKYKYRNQKPAVSNAVNDSRTP >KGN49442 pep chromosome:ASM407v2:6:28338522:28339990:1 gene:Csa_6G525290 transcript:KGN49442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQPNWLELPSEVMSMILQKVGAIEIFQNVQYVCSSWRKLCMDPLMWRTIDMRISGNFPRRNYYLRAACRYGVDLSRGHLIDISIESFATDSLLLYIARRSNELKRLWIADCFCISDMGLIKAASKLPLLEQLEIFLCCFDSRTLGTVGRCCPLLKSLKLNQQFCTGKGMECDREALAIAKTMPKLRHLQIFGNALTDKGLQAILNGCPDLESLDLRHCFNLKFEGELGNMCAENIKILHLPHDSTDDYEFNTDIIDWDGDDFEDYSYDLLHDNNDSDNYSYDNVDDDDGDNNEYDPWEELIKKPEVVCTICHPLVLV >KGN47334 pep chromosome:ASM407v2:6:14333727:14334641:-1 gene:Csa_6G301040 transcript:KGN47334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSIFFLFSFLGFLFAILSLVKAEPLKNTRLFREYIGAEDKNVRFSDVPIHSDVEFHFILSFAIDYTGSSSPTNGKFNVFWDEENLTPSSISSIKAKHSNVKFALSLGGDTVSDGTFAFFKPKSITSWVNNAFTSISHIVKEYDLDGVDIDYEHFKASPNTFTECIGQLLQRLKRQNIISFASIAPFDDDTVQSHYMALWRKYGDLIDYVNFQFYSYGEGTTVSQFLNHFDAQSSNYEGGKVLASFATDGSGGLTPEKGFFKACTTLQSRGKLHGIFVWSADDSKKNNFRNEIQAQNLLANVE >KGN49258 pep chromosome:ASM407v2:6:27379084:27379834:-1 gene:Csa_6G518135 transcript:KGN49258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIKTADEETQSHIDQKRPLLRETMIIPSRDEKTLIQKAISQTFRSTANLANLLPTGTVLAFQLLSPIFTNQGNCDSISRYLTAGLVALCGLSCFFQSFTDSFRDSQGNVSYGFATFRGLWVIDGSVELPPTVAASYRLRFIDFLHAFMSILVFSAVALFDEDVVNCFYPTPSDQAEEILTSLPVAIGVFCSMLFVAFPTRRHGIGFPVSAN >KGN46708 pep chromosome:ASM407v2:6:8647520:8647932:1 gene:Csa_6G124230 transcript:KGN46708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLIVDRLFIRLILRPGALELNFQKSQQSPDQTKPRKENDNEVDSKAASSMLPWCSKTMLCCNFDWKDAELQIRALKDLIGLHKPSIVLIFGSKISSSDADEVVREFAFNGFYCRKHDGNNGVNKRR >KGN46726 pep chromosome:ASM407v2:6:8845622:8845921:-1 gene:Csa_6G127380 transcript:KGN46726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSTHSSSDDHGDPSSDAREPSNDHGELPNQESLEETTSHHSDDQLQVASDVNNVPPDSNSHNHDAPILLPLTKSTRVTKAPSYFKPTIATLWSWTPI >KGN47078 pep chromosome:ASM407v2:6:11988389:11988795:1 gene:Csa_6G185280 transcript:KGN47078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLTPANVRFLANFITEAGIINKRSNTRISAKAQRKVAREIKTARAFGLMPFTTMGTKSFNFGKSMENLDTDFEYEVFDNDNTDADGGHPLRS >KGN48414 pep chromosome:ASM407v2:6:22677896:22681842:1 gene:Csa_6G486870 transcript:KGN48414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPFFPSSSNSFLTFFFFFVSLTFLSFSVSSVTPSSSHGDTQKLVSFKASLPNPTLLQNWLSNADPCSFSGITCKETRVSAIDLSFLSLSSNFSHVFPLLAALDHLESLSLKSTNLTGSISLPSGFKCSPLLASVDLSLNGLFGSVSDVSNLGFCSNVKSLNLSFNAFDFPLKDSAPGLKLDLQVLDLSSNRIVGSKLVPWIFSGGCGSLQHLALKGNKISGEINLSSCNKLEHLDISGNNFSVGIPSLGDCSVLEHFDISGNKFTGDVGHALSSCQQLTFLNLSSNQFGGPIPSFASSNLWFLSLANNDFQGEIPVSIADLCSSLVELDLSSNSLIGAVPTALGSCFSLQTLDISKNNLTGELPIAVFAKMSSLKKLSVSDNKFFGVLSDSLSQLAILNSLDLSSNNFSGSIPAGLCEDPSNNLKELFLQNNWLTGRIPASISNCTQLVSLDLSFNFLSGTIPSSLGSLSKLKNLIMWLNQLEGEIPSDFSNFQGLENLILDFNELTGTIPSGLSNCTNLNWISLSNNRLKGEIPAWIGSLPNLAILKLSNNSFYGRIPKELGDCRSLIWLDLNTNLLNGTIPPELFRQSGNIAVNFITGKSYAYIKNDGSKQCHGAGNLLEFAGIRQEQVNRISSKSPCNFTRVYKGMIQPTFNHNGSMIFLDLSHNMLTGSIPKDIGSTNYLYILDLGHNSLSGPIPQELGDLTKLNILDLSGNELEGSIPLSLTGLSSLMEIDLSNNHLNGSIPESAQFETFPASGFANNSGLCGYPLPPCVVDSAGNANSQHQRSHRKQASLAGSVAMGLLFSLFCIFGLIIVVIEMRKRRKKKDSALDSYVESHSQSGTTTAVNWKLTGAREALSINLATFEKPLRKLTFADLLEATNGFHNDSLIGSGGFGDVYKAQLKDGSTVAIKKLIHVSGQGDREFTAEMETIGKIKHRNLVPLLGYCKVGEERLLVYEYMKYGSLEDVLHDQKKGGIKLNWSARRKIAIGAARGLAFLHHNCIPHIIHRDMKSSNVLLDENLEARVSDFGMARLMSAMDTHLSVSTLAGTPGYVPPEYYQSFRCSTKGDVYSYGVVMLELLTGKRPTDSADFGDNNLVGWVKQHVKLDPIDVFDPELIKEDPSLKIELLEHLKVAVACLDDRSWRRPTMIQVMTMFKEIQAGSGMDSHSTIGTDNGGFSVDMVDMSLKEVPEPEGK >KGN46900 pep chromosome:ASM407v2:6:10438695:10442039:1 gene:Csa_6G149410 transcript:KGN46900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFPMFSSSPWLPFILFILSNCVTATQYRIPRLSPIGRTFLHNAEAIPSSISDDFKTFYYNQTLDHFNYRPESYTCFPHRYIINFKYWGGANSSAPILAYLGAEGPLEGDLNAIGFMTDNAARFDALLVYIEHRYYGKSMPFGSREEALKNASTLGYFSSAQAIADYAAVLIHLKQKYHAKDSPVIVLGGSYGGMLAAWFRLKYPHVALGALASSAPILYFEDITPHNGYYSIATKDFREVSETCYETIRDSWSKIEIIGSKPNGLSILSKEFKTCSPLNSSSQLEDYLWSMYAGAAQYNHPPRYPVTRICGGIDGASPGSGIISKVAAGVFAYKGNLSCYNIGPRSETETDVGWRWQRCSEMVMPLSTTNDTMFPPITFDLKSFVDYCYQLYGVSSRPHWVTTYYGGNDIKLILQRFGSNIIFSNGLRDPYSSGGVLQNLSDSLLAVHTPKGSHCLDILRANETDPQWLVKQRETEVRIIEGWISKYYADLEKSKKIN >KGN47844 pep chromosome:ASM407v2:6:18515322:18516552:1 gene:Csa_6G407055 transcript:KGN47844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLIKLRLARKHLIWIASSTCFDFESSYVRSLHRLQTKLPSHVSRMKQQKTNGQQSLSCSTVPTESSLRLTKWILYNGILVHPFTQTLTGTSFGISF >KGN48658 pep chromosome:ASM407v2:6:24334088:24337695:-1 gene:Csa_6G497090 transcript:KGN48658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIRKQFLLAALAASATLLLAAAALKRWKQRKQWQLKQAHRILRKFARDSATPVPKLWQIAADLESDMRASIASVNGTTSNSSLKMLVSYTNAFPNGDEEGFYYGLNLRGTSFLILCARLGGKNAPISDIHREEIPIPPNVMNGNSEDLFDFIAMEVGKFVSAHPENVYEPVKRTELGFTLSYPVDDAAASLGNVIKWNSFSADDTVGKNMVNNINQALTNHGVNLLVSAMVDDTVGNLAGGRYYCRDSVAAITLGMGTNAAYIESGQELAHLNGPSPTSREVGVSMQWGNFRSPHLPITEFDTSLDSESLNPGTQVFQKLVSGTYLGEIVRRILVKMAQETLLFGDPVPSKLMTPYVLRSPDMAAMHQDTSEDREVVNEKLKEIFGVTDSTPMAREIVAEVCDVVSERAARLAGAGIVGIVKKLGRIENKRNIVTVEGGLYEHYRVFRNYLNSSIWEMLGNELSDNVIVEHSHGGSGAGAVFLASSQKENFDFELFNVG >KGN46667 pep chromosome:ASM407v2:6:8266370:8267092:1 gene:Csa_6G120015 transcript:KGN46667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLLTCLRNFLNLFCELSCSPRQSLFINVTSIAEVGGSMTVDGIDYYVTEKFGKGLYDSCKDVKFGTMNTRAIDFVGGGAKSFEGNISCIEIIIIKYVLYISMRLLSI >KGN45679 pep chromosome:ASM407v2:6:478856:479493:1 gene:Csa_6G005180 transcript:KGN45679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLSNRETMVEAVMRIGSRYLVGGSHLQMMVVVKNREEEEEEMTLEVVEIGLVVVESRQVAVESKLVVVEIEPVEVESRQVGVGNGLGGVESGRGVVGSGQEVVESRQVAVESKQVEVESESVEVERKSGFGGGE >KGN48380 pep chromosome:ASM407v2:6:22413893:22414759:1 gene:Csa_6G484580 transcript:KGN48380 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-H2 zinc finger protein MSISNSRRFLIPSATVAATPPELAQPRPGFGSFDMNVVMVLSVLLCALICSLGLNAILKCALRCSTLLATVSGGRGGGALVVHPKGVRRNVLKKFPTVEYSKEGNKLRGIDGECVICLLEFEAGDRVRVLPKCYHGFHVHCIDKWLSSHTSCPKCRNCLTDTCHKITAGCAQETHVTTAAESSSSVEPPRAEEVGVNVVIAPVEREGMINNYRESMSR >KGN49354 pep chromosome:ASM407v2:6:27847537:27854364:1 gene:Csa_6G521010 transcript:KGN49354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGGGGHDHTPSPPNNGRSDQKVPFYKLFTFADRSDNILMAVGSVCAVANGLSQPIMTLIFGKMIDSFGSSNQSNVVTQVSKISIDFVYLGIGTGIASFLQVACWMVTGERQAARIRALYLKTILRQDITYFDTETTTGEVIGRMSGDTILIQDAMGEKVGKFIQLMSTFFGGFVVAFARGWLLAVVLLSCIPAVVIAGGTTSLIMSKMSSRGQIAYAEAGNVVEQTVGAIRTVASFTGEKQAIEKYNEKLKIAYKSTVQQGLAAGLGLGIILLIAFGTYGLAVWYGSKLIIQKGYNGGQVINVIFAIMTGGMSLGQTSPVVNAFASGQAAAYKMFETIKRKPKIDSYDASGIAPEDIQGDIELKDIYFRYPARPDVQIFSGFSLFVPSGTTAALVGHSGSGKSTVISLLERFYDPDSGEVLIDGVNLKQYKLRWIREKIGLVSQEPILFTTTIRENILYGKDNATEEEVRAAIELANAAKFIDKLPKGLDTMVGEHGTQLSGGQKQRIAISRAILKNPRILLLDEATSALDSESERIVQEALVRVMANRTTVVVAHRLTTIRNSDNIAVVHQGKLLEQGTHDELIKNPDGAYSQLVRLQEGTTTGTETETNPINDAIDLDKTMGSSASKRTSVIRSISRTSSGSRRSFTINFAIPGSVHIHDQEIDDDGPKRNDMDKKKPKQVSMKRLATLNKPEMPVLLLGCIAAVMNGMVFPIFGLLLSSAIGMFYKPASQLEKESKFWALIYLGLGCLTFFALPTQNYFFGIAGGKLIERIRSLTFKKIVHQQISYFDDPANASGAIGARLSTDAATVRGLVGDALALVVQNIATITAGLIIAFTANWILALVIIGVSPLLLVQGYLQTKFTKGFSADAKIMYEEASQVANDAVGSIRTVASFCSEKKVMDLYEKKCEDPVKNGVRLGLVSGAGFGFSFFALFCTNAFCFYIGSILVNHGKATFPEVFKVFFALTISAMGVSQTSALAPDSSKAKDSAASIFEILDSKPKIDSSSSEGVTLTSVIGNIEFDHVSFKYPTRPDIQIFRDLCLRIPSGKTVALVGESGSGKSTVISLIERFYDPDSGRTLLDGVEIHKFKLSWLRQQMGLVSQEPILFNETIRSNIAYGKPENAASEEEIIGAAKAANAHNFISSLPEGYETSVGERGVQLSGGQKQRIAIARAILKNPKILLLDEATSALDAESERVVQDALDRVMVNRTTVVVAHRLTTIRGADIIAVVKNGVIAEKGSHEELMKISDGAYASLVALHSTSSSV >KGN47406 pep chromosome:ASM407v2:6:14900807:14904338:1 gene:Csa_6G312580 transcript:KGN47406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDDSWDAPFQVSRRYRSRSGVYQGDHEEIEEENSKAEFLCPFCAEDFDIVGLYCHVDEEHPVEVKNAVCPLCTKKVGMDIVGHIISQHGSLFKVQRHRRLRKIGSNLTFSKLRKELREGNLRSLLGGSLHSAPTSTEPDPLLFSFTSNLPTVSKPDRVQSQSSAEVISSKGNPNVLPERSNSSRLASSNNKDIKEKAQKCEFVQGLLMSTILDEL >KGN48978 pep chromosome:ASM407v2:6:26074270:26077051:-1 gene:Csa_6G507510 transcript:KGN48978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLSPSFRSILSSNTVINKPPHSPAAPPLSSKKATPKPSRKTPSGQSSGHPEKPKLPTVFKSASLADAKKLYSSFVSATKAPFNLRVHNSLLQSYASIATLNDSISFLRHMSKVQPSFSPDQSTFHILLSTSGNRPDSTLASVQQILNFMVTNGFNPDKVTADLAVRSLCSVGLVDEAVELVKELSQKHTPPDIYTYNHLVKQLCKSRALSTVYNFIVEMRSSCGAKPDLVTYTILIDNVCNSNNLREAMRLVSLLYKEGFKPDCFVYNTIMKGYCMVGRGAEAIGVYKKMKEVGLEPDVVTFNTLIFGLSKSGRVKEARNFLDIMAEMGHFPDAVTYTSLMNGMCREGNALGALSLLKEMEAKGCNPNSCTYNTLLHGLSKSRLLDRGIELYGLMKSCDMKLETASYSTFVRALCRSGRIAEAYEVFDYAVESKSLTDVSAYLSLESTLKSLKNAREQAHAI >KGN45905 pep chromosome:ASM407v2:6:2163084:2163356:1 gene:Csa_6G020190 transcript:KGN45905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTMSVVANVCKRVLVTVLCGIFVLLVSHTIAVFALFLIVLPFGKVDGTSMGVAFVFYFVGLLCLVVVLQLAGVVSILEEFCGFKAMGEE >KGN46952 pep chromosome:ASM407v2:6:10811863:10812951:1 gene:Csa_6G152380 transcript:KGN46952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSLILLPIWSCLIRFFRHHFPTNSIRNRKILPTICNVEEDVQTQFHSQSQQNEPQNHHPFMLPNISTPNLCSQGSLSIPFPLCFKSIDDVWSEIGHNDQQNPLPQASIDVHQNPCQSRHASEEMTSEGLLVKDGVVQEASSSSSDSMEQQLCSVNNNRSMVDLREIGLSLSYEQNNDAAGVINMSENCFSNDQMSTQSVGEPCDDISNEKCEALMTGWVEPNNKKRIIDGSTEVVLQRIQRRMMKNRKSAALSGARKQIMQRKQSETRQKPTEKLRATRRIESLG >KGN45920 pep chromosome:ASM407v2:6:2282080:2282345:1 gene:Csa_6G022320 transcript:KGN45920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIGYPTDVKHVTHIGLDGSTTTNPNPNPNINPNNWDINNLNHFVPSEFLHSFPSISFRQFELSMAAQTQAALLHTT >KGN46841 pep chromosome:ASM407v2:6:10051191:10061551:1 gene:Csa_6G141380 transcript:KGN46841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFWRLTSLSTSSPVESILDKENFSLEELLDEEEIIQECKALNSRLVNFLRDRAQVEQLLRYVVEEPPEDSESKRAFKFPFIACEIFTCEIDVILKTLVEEEELMDMLFSFLEPDRPHSALLAGYFSKVIICLMIRKTVPLMHYVQAHRDVLRQLVDLIGITSIMEVLVRLVGADDHVYPNFMDVMQWLSESDMLEMIVDKLSPSSLPEVHANAAETLCAIARNVPSALATKLSSPSFVARIFDHALEDSHSKSGLVHSLSVCISLLDPKRSSAYSPLFHSFRSQHMYECPVPVDSETVGAMLPKLGDLLKLLNLSSDDKVLPTTYGELRPPLGKHRLKIVEFISVLLKTGNVAAEKELINSGTIKRVIDLFFEYPCNNFLHHHVENIILSCLESKKDDIVDHLLRECNLIGKILQTEKNPIILADSNQPTIPAVGKRAPRVCNLGHTTRISNKILQMANSQSCIRAYLLENTEWNEWQATTLHDRNVVENVYRWACGRPTALQDRTRDSDEDDLHDRDYDVAALANNLNQAFRYKSYGNIDTVEDPRSLAQDDEDVYFDDESAEVVISSLRLGDDQGSSLFTNSNWFAFQDDRVSSEAAGTAPPERMDEINLNGSSNGGNSSSDDEVVIGEEEMTVSKNSVVDTSCSKSGLPSRFSEAEPAGDGISNSGSSASNDLGFFRFETPDAEDAYGDRPLPDWVGWGEASDLQVGGSSMNPFEDSDKSDINDSSQVELAAPNVNSPTRGEAFLPNGSPTTTGSSDGSEGSDHSQKTTAVPSLFEEDVEFVGVELEGTEKAMEQALKEGIVGEAGPLKRSVVPKVPEKENSDENGAGIKEFNDANYWRVDHEVAVLE >KGN47083 pep chromosome:ASM407v2:6:12008016:12019660:-1 gene:Csa_6G185320 transcript:KGN47083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASNGSEYFELDINTIDSSFSRPSNAELVARDERELLWAAIERLPSQKQSNFALLTRSPSEITSSSDNHGANTTETIDVRKLDKNERELVVKKALATDDQDNFKLLSGIKERLDRAEVVIPKIEVRFQNLTVSANVQVGSRTLPTLINYSQDIVESILTSLKIMKGKRYPLTILNDTSGIVKPGRMTLLLGPPGSGRSTLLQALAGKLDRNLKKTGNITYNGHHLKEFCVQRTSAYISQSDNHLAELTVRETLDFAARCQGASEAFSEYIKELTHVEKEKRIRPSPDIDAFMKASSVGGKKHSVLTDYILKVLGLDVCSETLVGSDMVRGVSGGQRKRVTSGEMIVGPRKTLFMDEISTGLDSSTTFQIVKCLRNFVHQMEATVLMALLQPAPETFELFDDLVLLSDGYLVYQGPRSEVLAFFESLGFKLPPRKGVADFLQEVTSKKDQEQYWADSTRAYKYISVPEIAEAFKQSQVGRSLESDLNPPYDKSSSHPSALAKTKFAASKNELFKACFFRELLLIKRHSFLYIFRTCQVAFVGFVTCTMFLRTRIHPTDEINGNLYLSCLFFGLIHMMFNGFSELPLMISRLPVFYKQRDNLFHPSWSWSISSWILRVPYSVLEAVVWSCVVYYTVGFAPSAGRFFRFMFLLFSVHQMAIGLFRLMAAIARDMVIANTFGSAALLIIFLLGGFIIPKEMIKPWWSWAFWVSPLSYGQRAISVNEFTATRWMEKSSIGNGTIGYNVLHSHNMPSSDKWYWLGVGVILIYAILFNSLVTLALSKLHPLRKAQTVIPTDANGTDSTTNNQEQVPNSNGRVGKGMILPFQPLTMTFHNVNYFVDTPKEMKQQGIPENRLQLLSNVSGVFSPGVLTALVGSSGAGKTTLMDVLAGRKTGGYIEGEIKISGFPKEQRTFARISGYVEQNDIHSPQVTVEESLQFSSSLRLPKEISEEKRREFVEEVMTLVELDTLRHALVGMPGSTGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGRVIYGGKLGVHSQIMIDYFEGINGVSPIPDAYNPATWMLEVTTPAAEQRIGRDFADIYRNSGQFRDVEESIKQYSVPPSGGEALKFDSTYSQGTLSQFIICLWKQRLVYWRSPQYNVMRLCFTFISALIFGSVFWDVGMRRNSTQELMVVMGALYSACLFLGVNNASSVQPIVSIERTVFYREKAAGMYSPIAYAFAQGLVEVPYIAAQTIIFGVITYLMVNFERNVGKFFLYILFMFLTFTYFTFYGMMTVGLTPSQHMAAVVSSAFYSLWNLLSGFLVPKPSIPGWWIWFYYICPISWTLRGIITSQLGDVETIIVGPGFKGSVKQYLEVSLGYGGNDMIGVSVVVLVAFILLFFTVFAVSVKLINFQRR >KGN47493 pep chromosome:ASM407v2:6:15617537:15617978:-1 gene:Csa_6G344220 transcript:KGN47493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVENGAKRGQKRRRKEHCGGSTNLGAVGLWSAPQQKVTFTKVLHFDMEVRAQQCSLSKTYLKADDVLRLYI >KGN48013 pep chromosome:ASM407v2:6:19793643:19798602:1 gene:Csa_6G424530 transcript:KGN48013 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sugar transporter MPAGGFSTAPATGVEFEAKITPIVIISCIMAATGGLMFGYDVGVSGGVTSMPSFLKKFFPVVHRRIEEGGDSNYCKYDNQGLQLFTSSLYLAGLTATFFASYTTRRLGRRPTMLIAGIFFILGTALNAAAQNIEMLIIGRILLGCGVGFANQAVPLFLSEIAPTRIRGGLNILFQLNVTIGILFASLINYGTAKIKDGWGWRLSLGLAGVPAGLLTIGALLVVETPNSLIERGRLEEGKAILRRIRGTENVEPEFLELVEASRIAKEVKHPFRNLLKRRNQPQLIIAVALQVFQQLTGINAIMFYAPVLFNTLGFKNDAALYSAVITGAVNVVSTVVSIYSVDKLGRRILLLEAGVQMFISQVVIAVILGIKVKDDTNNLHNALAIVVVVMVFTFVSCGSNGLHICVFLCLVMGSFGMANSK >KGN48324 pep chromosome:ASM407v2:6:22008850:22012627:1 gene:Csa_6G476640 transcript:KGN48324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPTKSESICRDPTVVEEDDVDDDPPLLSSHALAALKEFLAEQTQPSTEPHLENGVSEDSEVALVAEDWRLSQFWYDRVTAETVADEVLTLCQNFDSPRVACIACPTLYTYLKKIGPNVSAQLLEYDKRFSQHGSEFTFYDYNEPEELPMELKHNFQIIVADPPYLSKECLEKVTHTISFLAQHSESYLLLLTGEVQAERAAELMGFHTCGFRPHHSSKLGNEFRLFTNYNPGTRLGGWEQ >KGN49099 pep chromosome:ASM407v2:6:26620398:26623578:-1 gene:Csa_6G513630 transcript:KGN49099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVVQFRLEGMVDELDDFEKRGLFSRREIAEIVKQRRKFEYRLKRPCPLKQDYLTYIDYEIQLESLRRLRKKAVARELKKQGDKKMKKSISDFAGVKRILYIYRLAVTRYKGDIDLWFRYLEFCRARKNGTMKKVLAQLIRFHPKVPGVWIYAAAWEFDHNINVDAARSLMLSGLRVCPTSEDLWIEYLRMELTYLNKLKARKVALGEDEGSLVRENITAAEKQWREENKDLFMSMGETRGDNDESGVETLSKDKLDLFREKGSNLLETIYSGAIEALPSSFSLRKRVLEILEAMDLAHSEEMQSKILQDIKRDFASQPQYWDWLARLYCNPENVQGTSDIKEISRIEKAVKVYEEGLECVPSSAIFSLYVEFLRSIIVPVKGEQTLGLSSHTDNITSRLLMVYEKALTLGHITDDLACQYVLFYLELGRLDEAQKLAERLCSGKFSNSVKLWVLRVSTEIKCVLKDSPSPSKDDLKSIFELTKEVLKKFSVSESGSLWLKVLKFFANQSYYFDKLVEISLYALAKSGGNEDGFSLSSVIVDFVLQKDGIQRTREVYKKFLGLPHPGLAMYQTCIQLESNLATAGDKDGLANARKLFESALATYGQNVRLWQEYYTLESKIGSSETAAAVRWRARKTLKDATALATSSDL >KGN45888 pep chromosome:ASM407v2:6:2014250:2020799:-1 gene:Csa_6G017040 transcript:KGN45888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRVYQVWKGSNKFILGGRLIFGPDARSLLVTILLITVPVIIFCAFVARHLRHKFSSYNAGYAILVVAIVFTVYVLVLLFLTSARDPGIIPRNSHPPEDEIRFDSSVSVDVGGRQTPSLQFPRTKEVIVNGLPVRVKYCDTCMLYRPPRCSHCSICNNCVEHFDHHCPWVGQCIGLRNYRYFFMFVSSSTLLCMYVFAMSALYIKVLMDQYESTVWKAMKESPASVILMAYCFVSLWFVGGLTGFHLYLIGTNQTTYENFRYRADNRINVFNRGCANNFLEVFCSKVKPSRNNFRAFIQEEVPRPQVLPQLPRAAADDLASHPRSKVEDDLDIGEDLLKISQRRNIDEISEDIRSRGSNGPPLNAPETDPVLESDHQAPTIRSDRHSSWGRRSGSWEIATEVFANSNVTESRGYVTSKEAFQG >KGN46515 pep chromosome:ASM407v2:6:6945120:6949764:-1 gene:Csa_6G106180 transcript:KGN46515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLNPFDLLGDDDAEDPSQLIAAKVAAVATAPLSKKAPAQTKPLPSGAQLNKPASLPSKPLPPAQAVRESRNEGSRGGRGSGRGGGRGYGYGRGREGGGFNRDSTNNENINAPEDGVGGKTSERRGYGGPRGRGGRRGGFNDGEGAEGERPRRAFERHSGTGRGNEFKREGSGRGNWGRSTDEFAEVAGETVNETEKNVGDEKPIQEDDTSGVNTENPAKEPQETEPEDKEMTLEEYEKLLEDKRKALLALKTEERKVDPKEFASMQQLSSKKDNNDIFIKLGSEKDKRKEMADKEERTKKSLSINEFLRPADGERHYAPGGRGRGRGRGSRGGYSGSPMSNVAAPSIGDPGQFPTLGAK >KGN48446 pep chromosome:ASM407v2:6:22916721:22917671:-1 gene:Csa_6G487670 transcript:KGN48446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGIIPINDFTYWLSEHPSIVGFRWSHTHSWGSTWSFLFSSIAFYLAISTALHLFLTLLLRPGRSVPLGPIPAIHSLSMALISTLISAGILLSSLAEIRDTRWFWRRSKTPFQWLLCFPLGTRPSGRVFFWSYIYYLSRFFHMFRTIFTILLRRRLSFFQLFNHSISTFMSFMWLEFSQSFQVLAILSTSVVYAVVYGYRFWTAIGLRRACFPFVVNCQFVLLGCNLACHVGVLLLHFMKGGCNGIGAWSFNSVLNGAILLLFLNFYLKIHLGDTEDSVKIIKHHHHQPPCSGNLKNQSLGKRMSESQNIKEKFH >KGN45668 pep chromosome:ASM407v2:6:427285:430807:1 gene:Csa_6G004590 transcript:KGN45668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLYALDFDGVLCDSCGESSLSAVKAAKVRWPGLFDEVESSLENWIVDQMYTVRPVVETGYENLLLVRLLLEMRLPSIRKSSVAEGLTVHGILENWSKLKPVIMEEWGENRDALIDLFGKVRDQWIDEDLATWIGANRFYPGVSDALKFASSRIYIVTTKQGRFADALLRELAGVTIPPERIYGLGTGPKVEVLKMLQKMPEHQGLKLHFVEDRLATLKNVIKESELDGWNIYLVDWGYNTQKEREEAAAIKRIQLLQLSDFSTKLK >KGN47555 pep chromosome:ASM407v2:6:16085869:16087744:-1 gene:Csa_6G358680 transcript:KGN47555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDDGEMIKHIHPLNSRFKRVCVFCGSSSGKRNCYREAAVELARELVSRRLDLVYGGGSIGLMGLVSREVHNGGGHVIGIIPKTLMRKENGMCVCVFGEVDYWRNSWGGGYGTLEELLEVITWAQLGIHDKPVGLLNVHGYYNSLLSFIDKAVDDGFIMPSQRSIIVSAPNAKELVQKLELRSDFKRIEIGRNMCRFMMVWWQKPSGKRHKWS >KGN49369 pep chromosome:ASM407v2:6:27921686:27925040:1 gene:Csa_6G522140 transcript:KGN49369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCANDPELEAIRQRRMQELMAQRGMGSQNNPEQQKAQEEARSEAEERRQMMLSQILSMQARERLSRIALVKPEKARGVEDVILRAAQMGQIVEKVSEERLITLLEQINNQTTKQTKVTIQRRRSVLEDDD >KGN47538 pep chromosome:ASM407v2:6:16010718:16011065:-1 gene:Csa_6G357530 transcript:KGN47538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKTQGNFKLRVIEGEFTDSQIIVMLGENGTGKTTFIRMLALILRFLSSTFLTSPRRSVLSST >KGN47901 pep chromosome:ASM407v2:6:18960668:18960973:1 gene:Csa_6G410070 transcript:KGN47901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKGKPFSKAQRSVLGFSAILKYAACEWFLIFIMLIDALLSYALTKFAHICNLQTPCILCFRLDHLLDKEKSNNYRNLLCTNRRLEISSLVSCHKHNRTC >KGN46233 pep chromosome:ASM407v2:6:5120087:5120740:-1 gene:Csa_6G076810 transcript:KGN46233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKANMKKEKEEEGRRKKEEGRRKKEEGRRKKEEGRRKKEEGRRKKEEGRRKKEEGRRKKEEGRRKKEEGRRKKEEGRRKKEEGRRKKEEGRRKKEEGRRKKEEGRRKKEEGRRKKEEGRRKKEEGRRKKEEGRRKKEEGRRKKEEGRRKKEEGRRKKEKKKVR >KGN49327 pep chromosome:ASM407v2:6:27719190:27719495:1 gene:Csa_6G520255 transcript:KGN49327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTRILLEFVVRNQNADPITRCNPSCIPETDYLLKCLGKSGLALLRWFGFDCFHKKEREKKKRTHTQNRVILNSKERGSNRKMEVKIFGDWRRKTEEVVKG >KGN47982 pep chromosome:ASM407v2:6:19513004:19515508:1 gene:Csa_6G421760 transcript:KGN47982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQHAGKRRLDVLAAHLSPAGDISPAHGHLLPANCCSYGSSVTRRCDNKTYFARQGSEACGAYMRQASTTEGKQRSNSQAFSDVSERPLYSRPVKEERILSQNFNLIASEPPLFARACLGINEQNNNFIPETKQPSSGSNGIGGLSPRMDVAESTRGYVLTVEIPGVKINDIIVEVDDQKLTIIGKRSNQYCEVVGYSSDSISSYNKKEILQGPYQVVWPLPININKDGVLAEFWDGLLRITLPKV >KGN49308 pep chromosome:ASM407v2:6:27619637:27620558:-1 gene:Csa_6G519600 transcript:KGN49308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNAIRCCLSCILPCGSLDVIRIVHCDGHVQEIAGSIRASDVMKANPKHVLKKPSSPTSDDRVVPKIVILPPDAELQRGKIYFLMPLPPAPEKPRSKSLSKKKKKELPLPGTGVGSGISVTNLVVSDRYLSEILSEKLTTVQKDKRRGRVGVWRPHLESISEFPTDL >KGN45814 pep chromosome:ASM407v2:6:1450416:1450763:-1 gene:Csa_6G013860 transcript:KGN45814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDCSEMSDLVAEFERCVPVGRHMFVGALRLANSVAHRLARAALLYGCWDAVFTSFPSWFSSALREEFNQEDCLTKEAITF >KGN46075 pep chromosome:ASM407v2:6:3911277:3913124:1 gene:Csa_6G051520 transcript:KGN46075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTMGIFEDIGFCRNLEYFSAPPGEQETAQEHEAEAVLEEDYSDEELDVDELERRMWRDRMLLRRLKEQSKEKEGADSSKQRQSQEQARRKKMSRAQDGILKYMLKMMEVCKAQGFVYGIIPEKGKPVSGASDNLRACDTSDYDVEGVEDEPNVEGEENKPHDLNFFNMGAPGSRERLMMPPVGPQIKEEFMENNSDFNQKRKQMTEESNTIMNPRIYTCEYSQCPYNSARLGFLDRNSRNNHQLNCPFRSDSSHIFSMPSFQTNEDKSSSPIPPSFNHPKAPARLMNPTPPFRVSGLGLPEDGQKMISDLLSFYDSNLQQDKPLNSGNLDMPDDHNQQQQLPKFQLQVDDNLYSQAAMVGNTMPIQQHADFSSNKHPFDEYKAAFDTPFGMYPNDNISDFRFGSPFNLASIDYAAADTQLPKQDTPLWYL >KGN46730 pep chromosome:ASM407v2:6:8868956:8869253:-1 gene:Csa_6G127420 transcript:KGN46730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKLAKCFLILSLLSRIQFHPMATSISFHSPHELLSDSSDNQPLVAETWVAQMWVAEMLLSWVSVGCSRLLAEMSSVDDKERVEGELVG >KGN48089 pep chromosome:ASM407v2:6:20345497:20345832:-1 gene:Csa_6G430655 transcript:KGN48089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTFPHPDTQQLHRRLKNKRESKVIPCKAPRMHRKISGNSSLCKPISSISSNHGIKQERGRLTNPIENRNRGINITRTGIKRNHLGANQRSGLKAAEKHMGVNLMAQFQHV >KGN49081 pep chromosome:ASM407v2:6:26538754:26540140:-1 gene:Csa_6G513450 transcript:KGN49081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSARILSPTQFPSLFSLPNLNRGNVFPVRPIKPRAFKPMRLHCSKMYVPGFGEASPESKAARNLHNFFTYVAVRIVTAQLESYNPEAYLELMEFLDRHSLNDGDKFLASLMRESSRHKALALRILEVRSAYCKNDFEWDNLKRLAFKMVSESNTRIMREYLTETSPSDTEK >KGN47398 pep chromosome:ASM407v2:6:14833278:14833943:1 gene:Csa_6G311520 transcript:KGN47398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRTPCCEKEGLRRGRWTAEEDDKLKKYIEANGEGSWRSLPKNAGLLRCGKSCRLRWINYLRTDLKRGNISPQEDETILKLHTTLGNRSVSLSLSHSVEIFVQFSS >KGN47860 pep chromosome:ASM407v2:6:18702542:18702972:1 gene:Csa_6G408190 transcript:KGN47860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFLLIGIRCKANTAADVLSVLRNCLFDKKSGVVSGKVALLPRSTEIQPFVDPIQIKSQGSYQCSIIHVSSLDYRAEMLNDLKLLKICEGSLVHPVFLLLIPLSRFSFSEL >KGN48921 pep chromosome:ASM407v2:6:25825148:25825339:-1 gene:Csa_6G505980 transcript:KGN48921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVVLMLNSSSFTLSVPSEPAFFMHSNIEEANNVVKPNDGHMKSTSLEPSVNEVSITVLRPR >KGN48344 pep chromosome:ASM407v2:6:22147783:22153709:-1 gene:Csa_6G483260 transcript:KGN48344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFRILQQSPSRYAGSFLCLVNPRLGIRKFFGWSSANTTFLQKMSLRIEPRRQPQYRSEVNRGAVLCSTSLNDSPLANYDNVKIVYSIAAATGHNKESHPESHLRVPAIANALEDMELTPKFLGSNVIQLENYKPASVDDIVSVHSKSYVAGLEKAMDRASRDGLILIEGSGPTYATATTFNESLVAAGAGISLVDSVVKASQTHKNPPIGFALIRPPGHHAVPKGPMGFCVFGNVAIAARYAQRVHGLKRVFIIDFDAHHGNGTSDAFYDDSDIFFLSTHQDGSYPGTGKIDEVGCGDGEGTTLNIPLPGGSGDYAMKMVFDEVIVPCAQRFKPDIILVSAGYDAHVLDPLANLQFTTGTYYMLASNIKQLAKELCGGRCIFFLEGGYNLESLSYSVADSFRAFLDEPSMASEFDNPAILYEEPSRKFKQVIQKVKQIHSL >KGN47131 pep chromosome:ASM407v2:6:12306146:12307039:-1 gene:Csa_6G190220 transcript:KGN47131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKKREFQFGGGNGCRNEDERVLLWEDGLPDVEKLTPLSQSLISQELASAFRILMEPHRSILDVNSASKMTILNIRDGQSEGYRSFEFKYDQTRREDVVMVESDEGINPDDLGSDSRKLRRVDCVEETNLAPRAESLIEDAALARTLKRPRLAWTPQLHKRFVDVVSHLGLKDAAPKAIMQMMNVEGLTRENVASHLQKYRLYLKRTQISSTTDEALVPMPVTQNAHDSSPGAADSHGNDYFPATFPVTYMPSPMMPMMFYGMGAHGHGLSQTAMPMVNLTNVPTHSCSTKGQHDL >KGN47143 pep chromosome:ASM407v2:6:12391197:12392763:1 gene:Csa_6G190340 transcript:KGN47143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPFSLCIPLLLSFSLLIEARIPGVYSGGPWQSAHATFYGGSDASGTMGGACGYGNLYSQGYGVNTAALSTALFNKGQSCGACFEIKCANDPRWCHSGSPSILITATNFCPPNYALPNDNGGWCNPPRSHFDLAMPMFLKIAEYRAGIVPVSYRRVPCRKQGGIRFTINGFRYFNLVLITNVAGAGDIVRVSVKGTRTGWMSLTRNWGQNWQSNAVLVGQALSFRVTGSDRRTSTSWNIAPAHWQFGQTFTGKNFRV >KGN46875 pep chromosome:ASM407v2:6:10316068:10317391:1 gene:Csa_6G148170 transcript:KGN46875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQRSALLGWAYFFQGKNIEELRHSLFCATLELEQTRVAVQEELRKRDEQLIHLKDLFSKAIRERDEANEKFQKLLIEKLLLQRQQQQQRTDPHSGISSIEDEPKKGIDSINGFSLSDCEESIVSSPAIDPIPPPQFPPTIPPPHIEVELVPEKPLPEKGKLLEAVMKAGPLLQTLLVAGPLPEWRHPPPPLESFEIPPVTIPSTPPSHMLRDSPVTFNGSSHITNCGNRKRAFLEGSDSPTETKCQRLAPC >KGN46107 pep chromosome:ASM407v2:6:4128936:4133314:-1 gene:Csa_6G052820 transcript:KGN46107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFIVKDFEARQNSGTEVATAGDISDSGGGGCFHGGLQSTGLSMVRLLFRALMEGVAHGFLERWPLYVVVMCLSLILGCSSVASLSPDGEALLSLIAATGSSVSSSSSVLATWNPSSQNPCAWEGITCSPQNRVISLSLPKTFLNLSFLPPELSSLSSLQLLNLSSTNVSGSIPASFGLLTHLRLLDLSSNNLYGPIPPQLGSLSSLQFLFLNSNRLSGKIPPQLANLTSLQSLCLQDNQFNGSIPLQFGSLLSLQEFRIGGNPYLSGDIPPELGLLTNLTTFGAAATALSGAIPSTFGNLINLQTLSLYNTEMSGSIPPELGLCSELRDLYLHMNKLTGNIPPQLGKLQKLTSLFLWGNGLSGAIPSEISNCSALVVFDASENDLSGEIPSDMGKLVVLEQFHISDNSISGSIPWQLGNCTSLTALQLDNNQLSGVIPSQLGNLKSLQSFFLWGNSVSGTVPSSFGNCTELYALDLSRNKLTGSIPEEIFGLKKLSKLLLLGNSLTGGLPRSVANCQSLVRLRLGENQLSGQIPKEVGRLQNLVFLDLYMNHFSGGLPSEIANITVLELLDVHNNYITGEIPPQLGELVNLEQLDLSRNSFTGEIPQSFGNFSYLNKLILNNNLLTGSIPKSIKNLEKLTLLDLSCNSLSGTIPPEIGYMKSLSISLDLSSNGISGEIPETMSSLTQLQSLDLSHNMLSGNIKVLGLLTSLTSLNISYNNFSGPMPVTPFFRTLSEDSYYQNLNLCESLDGYTCSSSSMHRNGLKSAKAAALISIILAAVVVILFALWILVSRNRKYMEEKHSGTLSSASAAEDFSYPWTFIPFQKLNFTIDNILESMKDENIIGKGCSGVVYKADMPNGELVAVKKLWKTKQDEEAVDSCAAEIQILGHIRHRNIVKLVGYCSNRSVKILLYNYISNGNLQQLLQGNRNLDWETRYKIAVGTAQGLAYLHHDCVPAILHRDVKCNNILLDSKFEAYLADFGLAKLMNTPNYHHAISRVAGSYGYIAPEYGYTMNITEKSDVYSYGVVLLEILSGRSAIETQVGDGLHIVEWVKKKMASFEPAITILDTKLQSLPDQMVQEMLQTLGIAMFCVNSSPAERPTMKEVVALLMEVKSPPEEWGKTSQPLIKQSSTIS >KGN46923 pep chromosome:ASM407v2:6:10624770:10626050:-1 gene:Csa_6G151110 transcript:KGN46923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKALSPYSPAPSFTTLIRRPSFPRLLPFHSVAVQCAYGPETFSVQNGVGLSRADWQSSCAILASNVVSEQQSAGGGGGGVVASVNGHKTNVENLNLVPIGKISDTYQITKPQPKPLTITDFSPAPMHGEKLRVAYQGVPGAYSEAAAGKAYPNCEAIPCDQFEVAFQAVELWIADRAVLPVENSLGGSIHRNYDLLLRHRLHIVGEVQFPVHHCLLALPGVRKEYLNRVISHPQALAQCELTLTKLGLNVTREAVDDTAGAAEYIAANNLLNTAAIASARAAELYGLQVIADGIQDDSSNVTRFVMLARDPIIPRTDRPFKTSIVFAHDKGTSALFKVLSAFAFRNISLTKIESRPHRNCPIRLVDDANVGTAKHFEYMFYVDFEASMAETRAQNALAEVQEFTSFLRVLGSYPMDMTPWSPSGGH >KGN47354 pep chromosome:ASM407v2:6:14506737:14512031:-1 gene:Csa_6G302710 transcript:KGN47354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPTDKDNKLWKGLFAVAGIMTTLVTYGVLQEKIMRVPYGVNKDYFKHSLFLVFCNRITTSAVSAGVLLASKKTVDPVAPVYKYCLVSITNILTTTCQYEALKYVSFPVQTLAKCAKMIPVMVWGTIIMQKKYKGHDYLLALIVTLGCSIFVLYPASAEISPYDRGRESTVWGVSLMIGYLGFDGFTSTFQDKLFKGYNMDIHNQIFYTTLCSCILSLTGLILQGHLLPAIEFVYLHKDCFFDIAFLSTVATGSQFFISYTIRTFGALTFATIMTTRQLVSIMLSCVWFSHPLSWEQWIGAVLVFGSIYARSFLRNATQKLPTSETPEDRSSSQTSQSSSPVNRSSSSVSRSSSPMKESP >KGN45759 pep chromosome:ASM407v2:6:1001842:1003495:1 gene:Csa_6G009380 transcript:KGN45759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPTLTFPTEFPYDFDSFLSNCDLNSPVESVGSSTTDSTDSCGSDDDEFFVGLAQKLAWTSLCEAENTFEKKYVKAGSPQSTLSGIDTWFRPESPSSQLKSPPMAVFGAENDARAILHAAAREAAKLKMSGETTPFQNNDPFMRGFVGARSSVPVKSTNNVDYGVFSTQNSARNLAFAAQVQQVKQDLVLQALRASSLRERQAKASWSAQPHWKQEIQNRERNVVNASGRCGGGTGGLYHSPWLPPLQNQQPTSNPTVVRCIHPVRSGVKRASSGTGVFLPRRYINPSECRQKQGIPSVRFVEEMKSPIQAPLNGCHSPGFDPILSRRNNPLLPLPRSFRTEGVMNQEHHHLPQEWTY >KGN47971 pep chromosome:ASM407v2:6:19447180:19452797:-1 gene:Csa_6G421650 transcript:KGN47971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNITNTEGKMSETSPLPLEPSLPPDMKPEKAMPQYTIMSRRGVGSKGRRIPLLTNHFRVSLNAPDLVFYQYAVSICYEDGRPVEGKDIGRKLMDKLYQTYSTELANKRFAYDGEKCLYTIGPLPQKKLEFSVVLEGFCAKIETGSSGGSGSPNRTGKRFKRSSQSKTFKIELSFATKIPMKSIFTALKGSEEDNGSTQDALRVLDIILRQQAANRGCLLVRQSFFHDDSRNFADVGGGVTGVRGFHSSFRLAQDGLSLNMDVSTTMILKPGPVIDFLIANQNVREPRYIDWGKAKKMLKNLRVKARHRNMEFKIIGLSEKPCNQQFFSMKLKNNGSTDGEMVDITVYEYFVRHCGIELTHSAYLPCLDVGKPKRPTYIPLELCSLVSLQRYTKALSSMQRASLVEKSRQKPQEKIKIVTDALKNYRYDEDPVLAQCGVKIDRQLTQLDGRVLESPKLKVGRSDDCIPRNGRWNFNNKTLLNPTRINRWIVVNFSARCDISHISRELINCGRNKGIHIERPITLIEEDQHSRRASPVDRVENMFEQMMAKMSDAPMFILCVLPEKKNSNIYGPWKKKCLCDFGIFTQCISPTKINDQYITNVLLKINSKLGGINSLLAIEHASCVPLIKDTPTLILGMDVSHGSPGRSDVPSIAAVVGSRSWPLISRYRAAVRTQSPKVEMIDALFKPLENGKDDGIIRELLLDFYSTSKGRKPTQIVVFRDGVSESQFNQVLNIELDQIVKAYQHLGEVNIPKFTVIIAQKNHHTRFFLPGASENVPPGTVVDTKVVHPKNYDFYMCAHAGMIGTSRPAHYHVLLDEIGFSPDDLQNFVHSLSYVYQRSTTALSIAAPICYAHLAAAQMSQFIKFEELSETSSERGGVTSLGSLSIPELPRLHDDVNGSMFFC >KGN47974 pep chromosome:ASM407v2:6:19473028:19474793:-1 gene:Csa_6G421680 transcript:KGN47974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCLLLLLLLCTTFTLTSSKIPAVIVFGDSSVDSGNNNVIKTLLKSNFRPYGRDFLSGQPTGRFSNGKVPPDFISEAFGLKPTIPAYLDPAFTIADFATGVCFASAGTGFDNSTSDVLNVIPMWKEVELFKEYQRKLRGYLGNEKANEVIKEALYLVSLGTNDFLENYYTFPQRRLQFSIQQFEDFLLDLARNFIKQLHNDGARKISFTGLPPMGCLPLERATNVMGNFDCVDKYNLVALEFNNKLEAFVSDLNTQLPGLTMIFSNPYPIFYQIITNPYLFGYEVAGKACCGTGTFEMSYLCNQENSFTCPDANKYVFWDAFHPTQKTNQIIVNHLLPSLLSTFS >KGN48617 pep chromosome:ASM407v2:6:24051738:24057808:-1 gene:Csa_6G495710 transcript:KGN48617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAPKQKWTAEEEAALKAGVIKHGAGKWRTILTDPEFSSILHQRSNVDLKDKWRNINVTAIWGSRQKAKLALKKNSMAIKHHDNLVPVSTVLPNEEIVDAKPLAISNGTSRSNGPKEPLARLDKLISEAINNLKEPRGSDRAAIAMYIEEHYWPPSNLKKLLSTKLKHMTANGKLIKVKHKYRIAPNSPLPGRRNTPLLLLEDKQTDSSKTEKSEVKIITKSQVDSELSKMKVMTAEEAAIAAARAVAEAEAAIAEAERAAREAEQAEAEAETAQVFAEAAMKALECRTFPNRSPIQKVLLQGNVF >KGN46973 pep chromosome:ASM407v2:6:10937289:10942690:-1 gene:Csa_6G155030 transcript:KGN46973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMNADHHWNFHGDEHLNKLSISVRGSLNLISSHRNSDDPRPIIAFGRADPSAYPSFHTSPLIVESLVNAVQSFKFNSYPSTHGLLPARRALAEYYSNSLPYQLSPNEVFLTVGCTQAIEIIISVLARSPDANILLPRPSYPHYQTRAAFGHLEVRNFDLLPDKGWEVDLEAVKTLADSNTIAIVIINPNNPCGSVYTYQHLKEIAETARKLGIFVIADEVYAHMAFGNKPFVPMGVFGSIVPVLTLGSLSKKWSVPGWRFGWILVTDPNGILEKNGILENIKNCLDISPDPPTCIQGAIPQILAKTSDEYVSGLLDLLRTNADILYEKINEIPCLTCPNKPEGSMLAMVKLNLEQLEGIKNEMDFCIKLMKEESVLILPGLAVGMKNWLRFSFGMERSSIEDGVARMKAFYKRHAKGSNHMA >KGN48372 pep chromosome:ASM407v2:6:22341270:22342727:-1 gene:Csa_6G484010 transcript:KGN48372 gene_biotype:protein_coding transcript_biotype:protein_coding description:U-box domain-containing protein 27 MVKDDLCITIPTDFRCPISLDVMKSPVSLCTGVTYDRSSIQKWLDNGNNTCPATMQVLQTKDFVPNHNLHRLIQIWSDSLHQRLHSPLSDSSLSSDQLLRLINHQSRADSLPRLLSFASESLDNRRLLSGIDGLLPLLVDLLCNVHAGDLLDQAVRLLHLIRTEIGDKERFVKTILNTDRNSISSLLLILRKGTVELKICSANLLEYLAIDAEAKILIAETDGLMQELLKLINSQNDTTLIESVLSCLISISMPKRIKIKLVQLGVIKSVTKLLSESNSSSSSSMTEKLLKVLETASTVREGRTEIGEDSVCVAAIVQKVLKVSNAATEHAVTTLWSVCYLFRDEKAGEALTKANGLTKILLLMQSNCSPPVRQMARDLLKIFRINSKSCLSSYDTKTTHIMPC >KGN46491 pep chromosome:ASM407v2:6:6756715:6760957:1 gene:Csa_6G103010 transcript:KGN46491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVMEKLKMFVVQEPVVAASCLIGGIGLFLPAFVRPILDSYAASKQAPQPVLSDVVAGMTGKK >KGN46061 pep chromosome:ASM407v2:6:3802781:3808417:1 gene:Csa_6G046390 transcript:KGN46061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTSSSSSLLFTSPFIAHNHGFFISPPSQSRLSLHKFRSFSLSIPPSRKISNIPTNSVVNSNSVSTKPEEFQQKEPMVPPYNVLITGSTKGIGYALARQFLKEGDNVVICSRSAERVESSVQSLREEFGEQRVWGTKCDVREGEDVKNLVAFLQKNLKYVDIWINNAGSNAYSFKPLVEASDEDLIEVVTTNALGLMICCREAIKMMLNQPRGGHIFNIDGAGSDGRPTPRFAAYGATKRSVVHLTKSLQAELRMQDVKNVVVHNLSPGMVTTDLLMSGADTKQAKFFINVLAEPPEVVAEYLVPNIRSIPTNGSTRPTYIRFLTGLKAYSQIFSRLAFGARRNRYFLED >KGN46414 pep chromosome:ASM407v2:6:6203958:6205109:1 gene:Csa_6G091870 transcript:KGN46414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFQAALSIASPSCSLLPPTAKSMAFSIPTRSMPRQSKGTLKAKASAVGQDPSTVDYSSMSSVFPAEACDTVGGEACDVEMYPEVKLKPEAKKGNSVTEPVEREYLQYDSPKTVFPAEACDDLGGEFCDPEYQKGVY >KGN49001 pep chromosome:ASM407v2:6:26170814:26173829:-1 gene:Csa_6G509700 transcript:KGN49001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKILFSLQIRIGSVFEAHTNGGKSITAPSFSAIAMDCHLLSPSRLRMRGAVLVAIAAAIGNLLQGWDNATIAGAVMYIKKEFKLEGDPTTEGLIVAMSLIGATVITTFSGPLSDSVGRRPLMIASSILYFTSGLVMLWAPDVHVLLLARLLDGFGVGLAVTLVPVYISETAPAEIRGLLNTLPQFTGSIGMFLSYCMVFGMSLTKSPSWRTMLGLLFMPSLIYLVLTVMFLPESPRWLVSKGRMNEAKKVLQRLRGREDVAGELALLVEGLGSSEDTSVQEYIIGPATGESSTEKGQIRLYGTEGGQSYIAKPVAGQSSIGIASRHGSIFNQSMPLIDPVVTLFGSVHEKVPGEVGSLRSMLLPNFGSMFNNMTSDQQGKEDHWDMESQKDGDGYASDPEAESEDNLKSPLLSRQTSAAMDKDIVSRRGSSIMMRTNAAGEAVSATGIGGGWQLMWKKTERVDGTGKKEEGYQRIYLHQDGADGHQHGSALSVPGAEMQGEGDCIQAAGLVSQSALRIGSHPIGPEIMRPTDKATKRSSWKEILEPGVKRALFVGIGIQILQQFSGINGVLYYTPQILEQAGVAVLLSNLGLGSTSASLLISALTTLLMLPSIGIAMRLMDVAGRRSLLLSTIPVLIISLIVLVIGSMVDMGSVANATISTISVIAYFCCFVMGFGPVPNILCSEIFPTRVRGLCIAMCALTFWTGDIIVTYSLPVMLNSIGLGGVFGAYAIICIISWIFVFLKVPETKGMPLEVISDFFAVGAKQAD >KGN46307 pep chromosome:ASM407v2:6:5537542:5542614:-1 gene:Csa_6G081480 transcript:KGN46307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLTVNVDVKKEPLTRLKSLNSRSIPSSPTSCYSLPSSFEKFANSIKQQGKVKALSNGTTKLGAVEKSNPVRSASPVTKKMGVGHQIKHLVQGIEVGAKALRKSWEGNMETKRRDNSMLRASKLDPKPEARVTTPRRSTSSDKLPSREESRIQVPGKTSKDDHSVQASSKKNAINGELDNQERSSRQKSSSGRKSSSSDAAGFPGNLVKIPLSNKRLNEGSVSWASLPSSLAKLGKEVMRHRDAAQAAAIEAIQEASVAESCLRCLSIFSELNTAAKEDNPQPAVEQFLTLHASLTNAHMVAESLSKTCLSGSTNESEETASEETLKVTSMARKQASAWVQAALATNLSSFAVYSRDPPSALNLPLSLSQNQKSASANQPIVVLENSSKNSSSKSQGKIRQMISSKPIGSGNPSRTKDGATLGQKVQPQPPPEWIRGNGLNEAVDLAEMLRLQSQDWFLTFMERFLDAGVDTAALSDNGQLAGILTQLKSVNDWLDGIASNKDEGDTTHISTETIDRLRKKIYEYLLMHVESAAAALGGGSQPPPQQIQATETKTRR >KGN47424 pep chromosome:ASM407v2:6:15030449:15031309:-1 gene:Csa_6G318710 transcript:KGN47424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDDDDASLLYPPSYTRYALSGKIMLGAIILLLFVVILMICLHLYVRLFLLSSTPRPSRIRRRRRRQHFVFTAEPRIAAAGVPSRGLPQSILKSLPVFVHSEKTDPDPIYCAVCLSEFEENEIGRSIPKCNHSFHVGCIDMWFYSHATCPLCRSEVKPEPECESGPHDDPGEIAIDVCELGSRSGEEETDHRCPVEMAASVEVVDDESRHVNDMSDDGTSRATVNFVKSLSVKEEIEAVGSER >KGN46765 pep chromosome:ASM407v2:6:9231757:9234571:1 gene:Csa_6G133740 transcript:KGN46765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDHRGRITVGFFLFPHFTLENDRNSSLFPSKSSSKQALTAVILFSPVAASFSDWVSLFYLQPQVNSRARTDSCCDFCS >KGN46795 pep chromosome:ASM407v2:6:9512797:9515312:-1 gene:Csa_6G136000 transcript:KGN46795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNPRTDSFRTELLSPEGVAAGTDGTVRKVPSWRLNMDEFRLPTTNKRSQHGIVYYWKSWSRERLLSIMKGRKVFSKDLMKLIPTMNWAIYISNVANMLIFIAKVYASVESRSLAVIASTLDSLLDLLSGFILWFTANAMRKPNQYRYPIGKNRMQPVGIVVFASVMATLGIQILLESARELISEVQPDRDPDKVKWMVGIMAAVTVVKFFLTIYCRRFANEIIRAYAQDHFFDVITNSIGLATALLAIKFYWWLDPLGAILIALYTISNWSKTVMENVWSLIGRTAPPDYLAKLTYLVWNHHEEIKHIDTVRAYTFGCNYFVEVDIVLPGETSLSQAHDIGETLQDKLEQLDEVDRAFVHVDFEFTHKPEHKPKAS >KGN46815 pep chromosome:ASM407v2:6:9798180:9800345:1 gene:Csa_6G139150 transcript:KGN46815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPEMSLVRTYIDLSQGILFIESPRCKERLQITLNSSPCNDKREQISLHGQIYQVQGYDKEVDTWFSAAIGRPCTLLRHMSSNHCVSSCERDGVGTCRESRNLLNFPNEAQFLLISEESVSDLNSRLNSNAQKDVRKTLLQINPMRFRPNLVISGGRPYAEDEWRNIKIGNKCFRSLGGCNRCQMINFVIDAEQIQKTNEPLATLASYRRVKGKIMFGVLLRYECAAEEGKTGDVWLQVGESIIPDCE >KGN46520 pep chromosome:ASM407v2:6:6997108:6997913:1 gene:Csa_6G106720 transcript:KGN46520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKMMAVFLMCIVVVATLQFVMANEEDGVTKYEAKFDAKYKSCYETCEKECLRNDTNGESFCEVKCDEDCSEKEAADKLHIELH >KGN46623 pep chromosome:ASM407v2:6:7881351:7882015:1 gene:Csa_6G113600 transcript:KGN46623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQKIVIQVSMKRGPKYRSKALKIAASVKGSIETISLVGDHKDKVEVVGDLDPIELTELLRKGFGSAQLESVSAVEDKEKKKDKDDGITWTCSWGVPHHSSYCYCYNLRPYPSHSIW >KGN47673 pep chromosome:ASM407v2:6:16873051:16873663:-1 gene:Csa_6G367190 transcript:KGN47673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEQSQRELGYFCYQPKKRLRSLINIPFAYPKPNFKLLTKTNICCSSSFFTGFSPRNTALCRVADHRSRNKSKKRQILISDLYVTCIVIERSFVIVKFSSVTWISSGIVELFNKSNTNCSTAWICVGKGNLVKVFHRIIESRRELQEVERFVNGGAVRFRIHGFGAKCILI >KGN46606 pep chromosome:ASM407v2:6:7684952:7688075:-1 gene:Csa_6G112450 transcript:KGN46606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNEEVSNTPRTSVRISWNHGGKQVAIVGSWDNWETSEVLQSIGKEFITIKTLSSGIYHYRFMVDGWLTCAPDLPWVSDDAGNSYNILDLMTPASELPESLSEFEFPPSPPSSYDNQCFNDDDFSRPPPDLPPQLRETVLNEPSCCTSGHQSVVQPRHTELNHLYQNNVGGEFMALGSTFKFYEKYVTMLLFKPLLKRN >KGN48779 pep chromosome:ASM407v2:6:25061638:25065091:-1 gene:Csa_6G500710 transcript:KGN48779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFAGKEVVVISGCSDGGIGHSLARAFADQGCLVVATSRSRSSMADLEHDPRFFLQELDVLSDQSVERLTSLVIEKFGRIDILVNNAGVQCIGPIAEVQLSAMQNAFNTNVFGTMRLIQTVVPHMASRRKGKIVNLGSVTVLAPLPWAGAYTASKAAIHSISDCLRMELKPFGIDVINVVPGAIKSNIGNSAIANFNQMPELKLFKPFEAAMLARANASQGSNATPTAEFAEKTVAAVLKTKPSPWFSYGSYSTLMAVMYHLPIFLKDLIVQFLSKRFLNSKK >KGN47343 pep chromosome:ASM407v2:6:14398204:14401954:-1 gene:Csa_6G301620 transcript:KGN47343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFFDLNIPYDDRSSSSSANRIKVVAKIMELGYSGIAYNRTIKGVMSDRDRCSIPLLKVSSLHTILPSFSASVEFHRDLLDIPRSSPFRQYTRLTISINTNNEVLAVNSGNLILKTYDLIAVKPLNQYAFEQACEKLEIDIIAIDFAEKLPFRLKQGSIISAIKRGVYFEIMYSDLLSDVHERRQMISTAKVLVDWTNGKNLILSSAAPSVNEIRGPYDVANLSSLLGVSMECAKAAVSKNCRNLIANALKRKQFYKETIRVERISSDDKLDLDDPWSVDLLKWDPMSSGEGDLLLDDIAKSFAASNERSKNVKSIDFTSIVDNLPSQGFLVKNVIECPEAKLSLNDKQDLWPVTDAIEPQIAVNGVIQQSHTLAEEHRPLSDRLSSIIESSKISHSHGNAEEVPSNSEEEKNTINEIVQPEILKQEEPIEKDVDNLQSKNLTLTSELNVVSTNELVHSPTSTKNLSTVVFENDRTETLKMENVDFHQNEYGLENSVTLSDSENVCREKNSSNLISEDQKHATMVVDGTLAAEECLHSARLGEPADVAIAEDQVSPLDSCTNDTKDDYQQTSEVFMDDQESGDADPQITQQPSDQPITSVISTANAGKCQSKRERYHPASKLPLQRLINPLPFKKACKRNTEKCRSKRRRHHLGLLLPFKRSINHLAFKKARKTKC >KGN46671 pep chromosome:ASM407v2:6:8314809:8315115:1 gene:Csa_6G120410 transcript:KGN46671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLALTHFLSLIKIALLGLLISLAVLLSSLAIPSCSATLSNLGIISLAGGGGAKEEVQEKNKKEKRKKVAKEERERSTKDRKYKHKTQKKK >KGN47641 pep chromosome:ASM407v2:6:16672557:16674220:-1 gene:Csa_6G366390 transcript:KGN47641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKICCIGAGYVGGPTMAVIALKCPFIEVTVVDISVSRIDAWNSEQLPIYEPGLEDVVKQCRGKNLFFSCDVEKHVGEADIVFVSVNTPTKTQGLGAGKAADLTYWESAARMIADVSKSDKIVVEKSTVPVKTAEAIEKILTHNSDGINFQILSNPEFLAEGTAIQDLLTPNRVLIGGRETPEGIKAIEKLKNVYANWVPVESILCTNLWSAELSKLAANAFLAQRISSVNAISALCEATGADISEVSHSVGTDTRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLPEVANYWKHIIRINDYQKSRFVNRVVSSMFNTASGKKIAILGFAFKKDTGDTRETPAIDVCKGLLGEKANLSIYDPQVSADHIERDLSTEKFDWDHPAHLQPMSPTAIKQVRVEWDAYEATKDAHGLCFLTEWDEFKKLDFQRIYNDMQRPSFVFDGRNIVDAVKLRRIGFIVYSVGKPLDPWLKDLPAVA >KGN48251 pep chromosome:ASM407v2:6:21503744:21504295:-1 gene:Csa_6G452030 transcript:KGN48251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLTWRKTGQGSAGGFYGNLRHRHRSHPSRGTKLFVFGDSYVDTGNILFPFSSAEQFPYGITFPGKPSGRFSDGRVLTDFAAKHLGVKSPIPFSIRSEVGEERLKESGINFAFGGTGVFNTLVPLPNMTTQIDLFEQLRDDESGLISNRDVHLSLALVSVSGNDYSFYLATNGSAQVIPSSSP >KGN46129 pep chromosome:ASM407v2:6:4319183:4321221:-1 gene:Csa_6G056470 transcript:KGN46129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSILIQLSQPLSLRSTLLNAIRTPPSISSISLGGNLHFQRRHFKLDSTKNGGTDTQRDPPFDEPAFEAERSRLDAQARKSMAEASIRDTEGASDDDPKAWKWVIRKRIWDFMESQNVAANPRPVHHRIPNFIGAMEAANRLCDLEVFRNSQCVKVNPDSPQKGVRLLTLTGGKKLLTPQPRLRTGFFSIVESGMLTPATIKEACTSVGVAKYGKPIGLDEKIKVDLIVIGSVAVDPKTGARLGKGEPSMIYLFAHNLHL >KGN48534 pep chromosome:ASM407v2:6:23497708:23501626:1 gene:Csa_6G490980 transcript:KGN48534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGFKAPVTFHVRLLFVSLCLFTFSSSLKIGETCSSADKCDSGLICDTCVANGNTRPRCTRVKPINPTSKVKGLPFNRYSWLTTHNSFARTGEKSDTGTSILVAPTNQEDTVTSQLNNGVRGLMLDMYDFQNDVWLCHSFGGQCLNATSFQPAINVLREIEKFLGANPEEIVTIFIEDYVKSPQGLSKVFNASGLHKYWFPMSRMPKKGDDWPTVDDMVKKNQRLVVFSSKQSKEASDGIAYEWRYVVESQYGDEGKKPGSCPNRAESPPMNTKTIPLVLMNYFTTNPNRTGVCADNSASLISMMNTCHQAAGNRWPNFIAVDFYRRSDGGGAPEAVDVANGHLTCGCNNIAYCKGNTTGVCHNPPISPPPPAALDSPAQGAGTGADSGNANINGKPVELRWLFGAVLGITLLLRFN >KGN45640 pep chromosome:ASM407v2:6:271368:272487:-1 gene:Csa_6G002340 transcript:KGN45640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPVRIFRVEHLLRFTRAFHLLTIIGEERGNVEFYSSGFDLVVVSRHLFVVLHIKPPFLLYYQPPIFSRFLRIHLWEFRDHLRDTLLTSSSMDFNLDCRRYPPLAALTFHDDTEQLKRIAIEFMNIDKVNVVLTNSQVTFSSEFKGPITFNVENNECLISGVNDDERTEYSVKFRPTSFFCELATLVDRVWFYHETVKSEIILSAPVSIDVILMMSFRN >KGN46937 pep chromosome:ASM407v2:6:10698666:10711758:1 gene:Csa_6G151740 transcript:KGN46937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGESRRRGRAEKSSRSKKQRVIRSAEEEIESKLGFDLFSEGDKRLGWLLTFAPSSLEDQDTGKVYSCIDLYFVTQDGSSFKSKYKFRPYFYAATKDKMETDVEAYLRRRYEGRIADIEILQKEDLDLKNHLSGLRKTYLKLSFDTVQELMNVKSELVHVVENNWAKSDAAEAYDSILFGKREQKPQDFLECIIDLREYDVPYHVRFAIDHDLRCGQWYDVSVSSTGVTLEKRKDLLQRAEVHICAFDIETTKLPLKFPDAEYDMIMMISYMVDGNGYLIINRECVGEDIENLEYTPKPEFEGFFKVTNVKNELELLKLWFSHMREVKPGIYVTYNGDFFDWPFIETRAAYHGFKMSDEVGFQCDKNQGECRSKFACHLDCFAWVKRDSYLPQGSHGLKAVTKAKLGYDPLEVNPEDMVRFAMEKPQMMASYSVSDAVATYYLYMTYVHPFIFSLATIIPMSPDEVLRKGSGTLCEMLLMVQAYKANVVCPNKHQSDPEKFYSNRLLESETYIGGHVECLESGVFRSDLPTSFRLDPSAYDQLINNLDRDLQYAIQVEGKMELESVSNYSDVKNAIMEKLIRLRDEPVREEGPLIYHLDVAAMYPNIILTNRLQPSSIVTDEVCTACDFNRPGKTCLRKLEWVWRGEVFMAKKSDYYHLKKQIESEFVDSGNVRSSKSFLELPKLEQQAKLKDRLKKYCQKAYKRVLDKPVTEVREAGICMRENSFYIDTVRSFRDRRYEYKGLNKVWKGKLTEAKSSGNSIKIQEAQDMVVLYDSLQLAHKCILNSFYGYVMRKGARWYSMEMAGVVTYTGAKIIQNARLLIEKIGKPLELDTDGIWCALPGSFPENFTFKTRDSKKLTISYPCVMLNVDVARNNTNDQYQTLIDPVKKTYKTHSECSIEFEVDGPYKAMILPASKEEGILIKKRYAVFNDDGTLAELKGFEIKRRGELKLIKVFQVFVSIVWLLFFAGHLLLNKVYTSVIDPNLVI >KGN49522 pep chromosome:ASM407v2:6:28869339:28882508:-1 gene:Csa_6G526560 transcript:KGN49522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQPSQSGRSPTDYSTIVTRQTSLGRTTSSSDASSQSLSSILNNPHAGKSDASWVGWWSSSSTVNPPEFMPLSSTIASSEVTRFDFNNYTALISDSFHRFEDIRNHSSKENGGLDSIGGQGEALVACLREVPALYFKEDFALEEGATFRAACPFLNVSQNLVLQEKLSHYLDVVELHLVKEISLRSNSFFEAQGQLQDLNVKIVEGCSRIRQLKETIRLLDVDLVDSAREIQEQNATRNNLLALQQKLKLILYVNQAISALKLLVASADCAGALDVTDDLLHLLEGDELAGLHCFRHLRDHVAASIESITSILSAEFMRASIHDAGDVDIVIITETKAWASNLMNGKDEVKLDEEETSNFRDRLLPIVIGLLRTAKLPSVLRLYRDAVTADMKTAIKNAVAELLPVLLIRPHDSDFAPGERTMDADGGGASLASKLRGLSSEGFVQLLSAIFKIVRVHLVRAAEVKKSIEWIMCNLDGHYAADSVAAAIASGAAAAGTAQDTDNQGGLLLPHLPQRVAAKVISLQGKANDAANPSNMSRNFRADVLRENTEAVFAACDAAHGRWAKLLGVRILVHPKLRLQEFLSIYNITQDFITATEKIGGRLGYSIRGTLQSQAKAFVDYQHESRMTKIKAVLDQETWVEVDVPDEFQSIAESLCSQELLSEKPDLTQDNMDRSYGDVATNNDDSHNAQQHSEQIDSSDLSGGNSEHVKPTPADTTEKSKADVTIPTMQVSNTNVKERGKSSSQTLLYKGVGYHMVNCGLILLKMLSEYIDMNNSLPALSSEVVHRVVEILKFFNTRTCQLVLGAGAMQVSGLKSITSKHLALASQVISFTFAIIPEIRRILFLKVPEARKTLLLSEIDRVAQDFKVHRDEIHTKLVQIMRERLLVHLRGLPQIVESWNRLEDSDPQPSQFARSLTKEVGYLQRVLSRTLHEADVQAIFRQVVKIFHLQISEAFSRLDISTPQAKDRLLRDVKHILGCIRSLPCDDLSKPDIPNWGQLDEFLEQRFGSEAG >KGN49110 pep chromosome:ASM407v2:6:26657191:26661058:-1 gene:Csa_6G513740 transcript:KGN49110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVKNRGGSEFASRNAFSRNWVLLLCLGSFCAGMFFTNRMWLVPGGERSSKLFRVADAQMKIKSEDCNPQRNGYNASIIENSRTRLSIQELNDTIADLERKLAAAMEDNESVSKGSLSLENPKADDLTLKRRKYFMVIGINTAFSSRKRRDSIRSTWMPQGEKRTKLEEEKGIIIRFVIGHSSTSGGILDKAVAAEELMNRDFLRLNHVEGYLELSAKTKTYFATAVALWDAEFYVKVDDDVHVNLATLGSTLAAHRRKPRVYIGCMKSGPVLSQKGLKYHEPEHWIFGGEGNKYFRHATGQLYAISKNLAKYILKNQDMLHKYANEDVSLGSWFIGLDVEHIDNRKLCCGTPPDCELKAQSGNPCVASFDWKCSGICKSVERIMEVHSRCGEDENDVWSKSF >KGN48529 pep chromosome:ASM407v2:6:23462738:23463831:-1 gene:Csa_6G490930 transcript:KGN48529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFPLKDIRRMMSGGDGTKCNKGFSSSYMLVNPQEAKILELGGLLFSKNLIKKLKFVDSSHPNEFNFWHRFFIFLSIIILKILQVFSTPLAFFGFCLEFSLNLLSANEGLFVIFLNILRLKLKIPERSSAEYRSVIGHLDERITLDKNIKPGDVNYFGALCMMASKLAYENQARVQYIVNNVWEMKVLGFFNFWNGE >KGN47754 pep chromosome:ASM407v2:6:17751170:17751514:1 gene:Csa_6G400280 transcript:KGN47754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVKFNENEDYEALRELFRHHIESFDHLVDAGLETLMNSIKPVEIYDSFTNKKLRNILIMNLYGLLFLFCYILYVSGSVPFSVTVTIFFCEGLISSACLLSSDLAEYFCFISLN >KGN46153 pep chromosome:ASM407v2:6:4521245:4522681:1 gene:Csa_6G058180 transcript:KGN46153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDSILSPKATTSPNNIFILAGQSNMAGRGGVSLDPTTDKMVWDGYIPLECESNDSIFRLNADMVWEQAHEPLHWDIDVVKTNGIGPGMAFANELLAIGGKRIGAIGLVPCAIGGSHLKEWVKGTNRYDNLVERIRASEKNGGTVQGILWYQGESDAAVEEEAMCYERELTKFFIDLRADTNHPELPIILVKLVTHDFFLSPNISFKEEVCNALEAVTHRLPNVTMVDGPMAVGNFDDGLNEDKGHLNVKSEVKLGKMFAHSFYSNFAHNFLS >KGN46428 pep chromosome:ASM407v2:6:6306721:6308263:-1 gene:Csa_6G092010 transcript:KGN46428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKLNFVKNGVLRLPPGFRFHPTDEELVVQYLKRKVFSCPLPASIIPEVEVCKADPWDLPGNMEQERYFFSTREAKYPNGNRSNRATTSGYWKATGIDKQIVTSKGNQVVGLKKTLVFYRGKPPHGSRTDWIMHEYRLASPPTVCLNASQEKSSFQSCVGPMENWVLCRIFLKKRNGHQNEEERNTSNSNISYRKTRMGKPVFYDFMRKERAETDLNLVPSSSSSGSSGITEISNNELDDHEESSSCNSFPYFRRKP >KGN48703 pep chromosome:ASM407v2:6:24596749:24601251:-1 gene:Csa_6G498990 transcript:KGN48703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMGLSYQSNRAGALAGVFVLLFPVFLPGLFSPFGHASPSTFSEWNTPKPRHLRLLKSALQRQSSKPDQSDLWAPLADEGWRPCVDSSKASSLPEKSEGYIQVFLDGGLNQQRMGICDAVAVAKILNATLVIPHLEVNPVWKDSSSFVDIFDVDHFINVLKDDISIVKELPAEFSWSTREYYATAIRATRVKTAPVHASANWYLDNVLPVLQSYGIAAIAPFSHRLAFENLPDEIQRLRCKVNFQALTFVPHIQELGEALINRLRYPLNKKESVGGNYLSLTTDANEQRPLKFVVLHLRFDKDMAAHSACDFGGGKAEKLALAKYRQVLWQGRVLNSQFTDEELRSQGRCPLTPEEIGLLMAALGFDNNTRLYLASHKVYGGEARISTLRSLFPLMEDKKSLTSGSELAQIKGKASLLAAVDYYVSMYSDIFISASPGNMHNAMVGHRTYENLKTIRPNMALLGQLFMNKSIIWSDFHQATVEGHKNRQGQIRLRKPKQSIYTYPAPDCVCHA >KGN49516 pep chromosome:ASM407v2:6:28833697:28835907:-1 gene:Csa_6G526500 transcript:KGN49516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVDGAVVVEKVAGKSAVTRCFSKYPLKFIIPRKVGPSKTDCIWIYTLNYGGGIVSGDSISCELTVKDGSNAVLTTQASTKVYKSRGEELSEQLLEARIGSDALLAVLPDPVTCFATARYAQKQVFRVGSGSSLVLVDWFTSGRHGSGEIWEFDLFKSTNQIFLEDGHPLFFDTVLLERGGINTIIERMHGYQVIAMVVILGPKVKNIRDQVRENVKMIMGEQLHSPFTSARGPQMKMNSNRLLTKPEIIASSSVFGPMGIGTVVRIAAMETETVYRFLQQQLASMETLLGVPPYK >KGN46283 pep chromosome:ASM407v2:6:5420288:5420863:-1 gene:Csa_6G079770 transcript:KGN46283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIAQELKQFYKRYEHSQRLSTRFSFATPILFIPLLCTLNFAAFSLSLITFHLFSQHCPCAKYPSY >KGN49433 pep chromosome:ASM407v2:6:28304977:28305156:-1 gene:Csa_6G524700 transcript:KGN49433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPINRAGQHQGITEDQVNAGKTVWVEKCDLKFRLNTQMEGPININEAERTENEAIMLD >KGN49387 pep chromosome:ASM407v2:6:28015870:28019020:-1 gene:Csa_6G522800 transcript:KGN49387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEGKKKRVVVESLGWLTESSIMPKKHKAIAGVGASSIMELKAHLYKSQEESKKSKELAGPGVEFHRAKNKITPKDILSSRNSGVEARALKDKLELKAINDGSVSYAALEKKAAIYEKLVKGELPDEEDQEKYCVDFFRKNLEQDESQLPQGHDAPAPGEMETPEDETKTSFLFNSKTTGLGRTSGTMDNDEHKRFVREVHEEVNQAREKASELKLRRQEQAAARREKLKQAYLRKQVEKLKASSNSGQT >KGN46632 pep chromosome:ASM407v2:6:7981809:7986067:1 gene:Csa_6G116160 transcript:KGN46632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQNLETNGKGKVCVTGASGFFASWLVKRLLMSGYHVVGTVRDPGNVKKVEHLWRLEGAKERLRLVKADLMEPGSFDDAVMGCHGVFHTASPVLDATHSKAEMLEPAIEGTLNVLHSCKKNLSLRRVVLTSSSSTVRVREDFDPKIPLDESSWTSVQLCERLKLWYALAKTLAEKAAWEFCNENGMDLVTVLPSMIIGPSLSRDLCYTASSVLGLLRGETEPFQSLGRVGYIHIDDVALSHILAFENKDAQGRYICSSIVLEIDDLASRLSSRYPLFPISKRFEASNRPYYDYNMSKIEKLGMKLKCVEEMFDDCIASLLEQGHLSSP >KGN48935 pep chromosome:ASM407v2:6:25895580:25899449:-1 gene:Csa_6G507100 transcript:KGN48935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPNVDAVYLKEIEKARRDLRALIFKEKCAPIMLRLAWHDAGSYDAKTKTGGPNGSIRFMNELNHTANKGLKIAVDFCETVKTRHPKITYADLYQLAGVVAVEITGGPPIDFVPGRKDANAAIEEGRLPDGHKGASHLRDVFYRMGLTDKDIVALSGGHTLGRAHKDRSDFEGPWTKDFLKFDNSYFVELLKNDSRSGDQLLKLPTDKALVTDSQFSQYVREYAKDEDKFFTDYAASHKKLSELGFTKPSTVLNGKGCAWKNGIVVAVIIAVVGIILSYNRGILPQGGNAFQLNLF >KGN47943 pep chromosome:ASM407v2:6:19293030:19304155:-1 gene:Csa_6G418910 transcript:KGN47943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIIPKENIEVIAQCIGINNLSSDVALDIAPDVEYRLREIMQEAIKCMRHSKRTTLTANDVDGALNLRNVEPMYGFASGGPLRFKRAIGHRDLFYLEDKDLEFKDIIDAPLPKAPFDTAVFCHWLAIEGVQPAIPENAPVEVILPPSDAKSNEQMGGLPVDIKLPVKHILSKELQLYFDKITELVVSRSSSILFKKALVSLATDSGLHPLVPYFTCFIADEVARGLGDYSLLFALMRVVWSLLQNPHIHIEPYLHQMMPSVVTCLVAKRLGNRFSDNHWELRDFTAKVVALICKRFGHVYNTLQTKLTKTLLNAFLDPKRALTQHYGAIQGLAALGMNVVHLLILPNLEPYLGLLEPEMLLANQKNEMKRHEAWRVYGALLRAVGQCIYDHVKIFPPLPSMPAGSILRTNARVITTTFLNKRKENADHLEGQPPLKKMVMDSPMGVMPTNSSASHMEGAVNPASSSNSSLILPTSSQPLQNETIPGSNSRKGKYDDQILKRSAVLSQVWKEDLKSGKLLTSMLDLFGESMLCFIPAPELSMFL >KGN49483 pep chromosome:ASM407v2:6:28602282:28603095:-1 gene:Csa_6G525680 transcript:KGN49483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSERFPELGIKDEDCKEMSWIESILFWSNYPIGTPLNVLLERQPNSEKFLKKKSDYVQEPISKADLEGMMRKMIELKRPALTFNPYGGKMSQIPETETPFPHRAGNKYKIQYSVTWKEEGDEAAAKNLKKIRELYKYMTPYVSKSPRSAYLNYRDVDLGVNGIGNASYWVGSIWGRKYFKGNFDRLVKVKSMVDPDNFFRYEQSIPCVEVEALDSNGRAESL >KGN46872 pep chromosome:ASM407v2:6:10285405:10286654:1 gene:Csa_6G147650 transcript:KGN46872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGKPITTMCAVMAMDYTNFCYRVCSICERTLPVNPSSLCKFCNFNAFNPGSSSSKRVFRLLMSIATDKKVQTVICFDRVARVLFGCSADEFFDFAKLHPFAAETVSEILEGEMLRITISKPKNGNAQHSRVVQIVPLSSCFQPAIIRLRELYG >KGN48273 pep chromosome:ASM407v2:6:21640565:21642084:-1 gene:Csa_6G452740 transcript:KGN48273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAISYSTNQFIRYPNVNSRPCVFSSMPSHNPSLVFFASNTRYRQISNFSQVSGPCHRIPKLVPKSSDENNDIVPSEDDPEDGVSLGTMKLPLDTDIARFQVLLFQWANSLCQGANLPLPVPLKVDKIPSGVRLGFITIGDGKTEVLVYIDCLVFPATASSSPIFRAIRNGRLKDQSPPGEPRIMRSLLGALKKSVEISRV >KGN49164 pep chromosome:ASM407v2:6:26866742:26868146:-1 gene:Csa_6G516720 transcript:KGN49164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSPFRRLHCLFNHSRSPAPAFNKPNLSLSSLATKKSKKSPTATPLTDENRLQKLVQRFIINSESKQFRRKNDTYDSTVRFLAVRKKFSMIEEIIEAQKKYDEIKEEGFVIRLIRLYGKAGMFSQARKLFDELPELKCERTVRSFNALLVSCVKSKEFDQVEKIFREVPQELSIEADVSSYNIVINAYCEMDDFDKAILFFNHMEKNGMEPDLVTFNTLLTALYRKGQFLDGESMWARMENKNIVPDLVSYNARLRGMVLDKRIQDGIELLAKMEEKEIKPDVHIYNTLITSFCKDGDLEEAKKWYYKLKESEVDPNATTYRTLLPLLCEKGDFDSGLQLCKEAINKRFVFHTAEVQRVVDGLAEVSKTEEAKDLVELYNSKNNLKFKLKLPQNST >KGN46777 pep chromosome:ASM407v2:6:9321876:9329602:-1 gene:Csa_6G134350 transcript:KGN46777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILRSQSSSVFTLSSTVVDNHTFKLHRRQLQLRDYGDDCRHGQFHVRVSKILLSTTAVTVRSTSRSLARRKSRNRFIYFRSSASASDLSSTTQSQDITTPGSGPDKNEEAQRPGPDPKILLKRFWKVAAPYWFSDDKVQARWQLAAVFALTLGTTGISVGFNFLGRDFYNALANKDQEQFTKQLLYYLGAFAGGIPVFVLRDYAKDMLSLRWRSWMTKHYMERYLKDQSFYKIQSQSIIDNPDQRIVDDLSSFTGTALSFSLALFNSTVDLISFSNILYGIYPPLFVILLVYSIGGTAISVFLGKGLVNLNFLQEKKEADFRYGLVRIRENAESIAFYGGEENEMQLILQRFRSAVENLTKLLISSRNLEFFTNGYRYLIQILPAAVVAPMYFSGKIEFGVINQSVSAFNHILGDFSIIVYQFQAISAFSAIIDRLGEFDDLLDGSAPKALSNISEKIHLMYSHMENSPLLESNGSIAPDKRQKLLEIENMTLGAPNGATLVRDLTLIVKEKEHLLVMGPSGCGKTSLLRVLAGLWNVGKGKITFYIKDYPEQLVSQNEGPTGAHTGEDVSKENSRPLNKNYQGIFFLPQRPYMVLGTLRQQLLYPTWAEGSVTSAGDAESNVFPSFLTGASNINNVGENPDRPSTDDLIQVLDKVGLSYLLTRFSSLDIICEWSSVLSLGEQQRIAFARLLLSRPKLVLLDESTSALDETNEARLYKLIAGAGITYISIGHRGTLRNHHNSILHISKLSDDNQRNWNIEPIIRDDLYELSKQ >KGN49339 pep chromosome:ASM407v2:6:27767489:27771473:1 gene:Csa_6G520370 transcript:KGN49339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALTTTLRNSIAALGRGFDVTADIRLLYCKGTPGSRLVQLDDAHNRDLVLSDGVVVPNVPDDVQCSSDTRATENVPVCSFHKMAEYFNQKSDISGNIPLGSFNAMFNFSGSWQVDAAATKSLAMIGYYIPLFKVALKSSNLVLCEDIKRAVPYTWDPVSLASFIENFGTHIITSATIGGRDVVYIRQHQSSPLSEFEIEHYVEEVGEQRFFDSKSRSTAAPLSYKDKDVTVIFRRRGGDDLVQSHAQWAKTVKSAPDVINMTFTPIVSMLEGVPGVKHLVRAIDLYLEYKPPIEDLQYFLDFQIGRAWAPEQTNLQRKEPLCSSLQFSLMGPKLYISPDQITVGRKPVTGLRLNLEGCKQNRLAIHLQHLVSLPKILQPHWDSHVAIGAPKWHGPEEQDSRWFEPIKWKNFSHVSTAPIEYTEANIGDLSGVHIVTGAQLGVWDFGAKNVLYLKLLFSKVPGCTIRRSVWDHSPSTPATPLRSDGASSSSRTRTSEDKKEDSSTNAGKLAKIVDLTEMSKGPQDIPGHWLVTGAKLGVDKGRIVLRIKYSLLNY >KGN47283 pep chromosome:ASM407v2:6:13885248:13885612:1 gene:Csa_6G283210 transcript:KGN47283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGICEADLFSVKKKRFGGCKIEPAHVNRETKENQKIVLVDNAPCGDGSVLDVGQREDGSYVLDVNLTTPMDGVTNGLKPIGVDPMDLTEGRLSCW >KGN47667 pep chromosome:ASM407v2:6:16841831:16842052:1 gene:Csa_6G367130 transcript:KGN47667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKAEMGEYVVGRCAYLKRRRCKERAADDRDKKWQLAVVLATEKFNLIYSFSGLRWRWVRESLNHSWIVFRKF >KGN46881 pep chromosome:ASM407v2:6:10337485:10341202:-1 gene:Csa_6G148230 transcript:KGN46881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIHHIQASLSSSASSSSIEPPLSESHNTCAADRESGNFGCLHYRRRCKIRAPCCDEIFDCRHCHNEAKNSLELETLDPHDVPRHQIQKVICSLCDTEQDVQQYCSNCGVCFGKYFCSICKFFDDDVSKNQYHCDECGICRTGGKENFFHCKTCGCCYSKLMKDGHRCIERAMHHSCPVCFEFLFDTTKDISVLPCGHTIHLECAKEMESHFQYSCPVCSKSICDMSRLWEKLDKVIASTLMPDIYKNKKVWILCNDCGAEGEVQFHVVAHKCLDCNSYNTKQTIGRRPTASCSSTTVPEIVS >KGN46581 pep chromosome:ASM407v2:6:7427491:7437285:1 gene:Csa_6G109760 transcript:KGN46581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPSKRKLLDDDSRQPSPKQHRTNVPAILEHEPVACLHDVSYPEGSFNPLPSSSLSSTGEELEPAKVFPFSLDPFQSEAIKCLETGESVMVSAHTSAGKTVVALYAIAMSLRNKQRVIYTSPIKALSNQKYREFKEEFSDVGLMTGDVTIDPNASCLVMTTEIWRSMQYKGSEVTREVAWIIFDEVHYMRDRERGVVWEESIVMAPKNARFVFLSATVPNAKEFADWVAKVHHQPCHIVYTDYRPTPLQHYIFPSGSEGLYLVVDEKGHFREDSFQKALNALVPVSDGDKKKENNGKWQKSLTLGKTGEDSDIFKMVKMIIQRQYDPVILFSFSKRECEFLAMQMAKLDLNGDDEKVNIETIFWSAMDMLSDDDKKLPQVSNMLPLLKRGIGVHHSGLLPILKEVIEILFQEGLIKCLFATETFSIGLNMPAKTVVFSNVRKFDGDKFRWLSSGEYIQMSGRAGRRGIDKRGICILMVDEKLEPSTAKMMLKGNADCLNSAFHLSYNMLLNQIRSEDGNPENLLRNSFYQFQADRNIPNLEKQVKSLEEERDSIVIEEEDSLKNYYDLLNQYKSLKKDIRNIVLSPRYCLPFLQPGRLVSIECNRNDEISSTFSIKDQVTWGLIINFQRVKGVSEEDASMKPESANYTVDVLTRCIVSKDGIGKKNVRIIQLKEHGEPHVVSIPISQINTLASIRILIPNDLLPLEARENTLKKISEVLSRFPKGVPLLDPEEDMKIQSSSYRKAVRRTEALESLFDKHEVAKSTLVEEKLKALHLKQELTAKIRSIKKQLRSSSVLAFKDELKARKRVLRRLGYTTSDDVVELKGKVACEISSANELTLSELMFNGVFKDTKVEEIVALLSCFVWQEKLQDAAKPREELELLFIQLQDTARRVAKVQLECKVEIDVEGFVSSFRPDIMEAVYAWAKGSKFYEIMEITQVFEGSLIRAIRRLEEVLQQLILASKSIGETELETKFEEAVSKIKRDIVFAASLYL >KGN48925 pep chromosome:ASM407v2:6:25842647:25842966:-1 gene:Csa_6G506020 transcript:KGN48925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFLRSGQTSCGLSIYQIVYFSQSDSYSSDLAWKQGFCLQSLAEPLQLDGTFHPKLVGWWCLIWMPLSLWSYSQGIVLKGCLV >KGN46438 pep chromosome:ASM407v2:6:6387276:6392127:1 gene:Csa_6G093090 transcript:KGN46438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLPTTPLVAFASGSDTKASGPSNSVKLHRLQFPSSSSFLSGSLHFPSLTTSLNPLSSRIVVRSSVRASAEVPPLQAKVTNKVYFDVSIGNPVGKLAGRIVIGLFGDDVPKTAENFRALCTGEKGFGFKGSVFHRVIEDFMIQGGDFEKGNGTGGKSIYGRTFKDENFNLSHTGPGIVSMANAGPNTNGSQFFICTVKTQWLDLRHVVFGQVLEGFEIVKLIESQETDRADRPLKKVVISDCGELPVA >KGN47019 pep chromosome:ASM407v2:6:11478485:11478827:-1 gene:Csa_6G169350 transcript:KGN47019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPETGHQKLFGGGHRKKSLEGCWQWSSEIDGQKNGDLRLLATVGGKRLLEVGRCDNYTVMVVRKMINRSWLLTIAEKWSLEGGIGGDRRRLQGNCGRRFVNDGH >KGN46315 pep chromosome:ASM407v2:6:5582550:5583941:-1 gene:Csa_6G082030 transcript:KGN46315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLPKYVGFQNHTERKYLQYLTHGFFKGNLYFSSDQALTPFTKFEIEPDKNGKYWHIKCCYNNKYLASSNDYNRFVRPFVSKPSENDTSWPCTLFNIIQGPTVGTYYLFDVLLQTYACRCTSCKNHPNVLTTRYKQDEKHHGDKLLLLVDFENIIRLPKYVTFKNGDKFLAAYPYNYTTYLQFNAHDVGDPRVSQEVFNVGDGTIRIKNESTKMFWRRDPNWIKADSDDQTENDKNTLFWPIKIAKNKVALRNVANGMFCRNLSADGKSNFLNSNIDSITQDAELEIVDPIISREIYNIQYRTMDARKYDAQVLTMAAEEAINTSPKEAIMTVSLRYQEEKSKTWESSLTIGVGIETSIKAGVPSLFETEIKVNYTFEGSYKWGETIQESKEVTGTYTVIVPPNTKMKVTLLATKAKCDIPFSYTQRDLLRNGRKVERVCDDGLYTGVYTFNFNYQSKSLPL >KGN47016 pep chromosome:ASM407v2:6:11460793:11462995:1 gene:Csa_6G169320 transcript:KGN47016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRVRKIPSLVDLCVNKAIDNLRFLGDVGETDIHLLDRILPHCTVDQLMHVEKSSEGRDLTPVTDKLWKKFYERQFGKESTTTVIERMRQKRVAFRWIQLYEAKMEDIEKNESKAADRIKQSYLKENAQCGRKICIEEGNGERFGKLELELGASAWVIDCLVAAAELSNPLGFWWRRWLERTNIFFQASLEGRRGSKRRLKLWKELDTVVKADCSKVESEGSPEDKKWEI >KGN49044 pep chromosome:ASM407v2:6:26349185:26349772:-1 gene:Csa_6G511110 transcript:KGN49044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWEDIRKDNQEAASVLLHSSSIALLQERFRQLQKVKEMREEKEVVKLLSLSQYPKTHTCCSFFFNNTNTNSTNTCVVFEPSISNNNPHHELMMTMKKKKVPSSSSTTTTMLTLLPQTSLSLSLTSSSSHDHDHQQSNNIPTLMDLWPVPASSTSTTSSCDSAISFITKLNDHDHHDHDHHYFDSDIEVDTSLHL >KGN46487 pep chromosome:ASM407v2:6:6745474:6746337:-1 gene:Csa_6G102490 transcript:KGN46487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKMMAVFLMCIVVVTSLQFATANKEYEVASYEAKFDAKYKACFVTCEKECIERGSGHSYCEVKCDEDCGAKEAADKLHIH >KGN48507 pep chromosome:ASM407v2:6:23291946:23297734:1 gene:Csa_6G490220 transcript:KGN48507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWLRNISFTPSSMVRLPGSTTGGGDSPTRRSTTRGSAENNYRGIVWRFGASRYSRHRKLRNLSGREHVDSSLAKWSDTAPEPVSLSRSPSTSDHPAVPLPLPEVSPLFQPRERISTSNSAGGEGDCPLPSPKGSRGRAGDERDVDRDRNAPPQKIGGGISPNASIKSVSDSVGERHKKEGQIEARLSGRANQDARRYPENSRNGFWIDVPSRSAPTSPYTSPTPSPQRNISVGNHVWSAPELPSSAMMRGVPPAFFDCSTLSTESSPMHSPRGKSPHLDPRSPTGPTSPLHAKISHETHAMRREGSGHVSVHPLPLPPGVPMPSASIPTMASAPTSINLSSPPVSSPSHSIPSASCSMALPSTPMASPSTPISQANTKSESISMKNQWQKGKLIGRGTFGSVYVASNRQNGALCAMKEVELFHDDPKSAESIKQLEQEIKLLSQLKHPNIVQYYGSDIIDDRLYIYLEYVHPGSINKYVREHCGAMTESVVRNFTRHILSGLAYLHSTKTIHRDIKGANLLVDSCGVVKLADFGMAKHLTGQVADLSLKGSPYWMAPELLLSVMQKDNTPDLALAVDIWSLGCTIIEMFTGKPPWSEYEGAAAMFKVMKDTPPMPESLSYEARDFLKCCFQRNPAERPTAAMLLEHPFMKNLQYTDASSCSQVVAGASLMDKCYSPSKQYSSKSDQSSMLPSPQNSKGKLAADNVIGPLSHHETSDLTVMSRYSPRSTLEALPMVSPLRSVPNAHHYGSPTNAADIVNQINRKNHTLI >KGN47434 pep chromosome:ASM407v2:6:15097698:15098710:1 gene:Csa_6G319800 transcript:KGN47434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNSELLRRNQSNSSPVIDSPRTPPSSSVKSRSPIRYMLREQRLLFVFVGIAIATLFFNVVRFTFPPELRDDHHRAFNSFVRLDSTIPMRRVLYETRREGPLGRVNLAGRVPPGLTKRNLRIVVTGGAGFVGSHLVDRLIERGDSVIVVDNFFTGRKDNLVHHFGNPRFELIRHDVVQPILLEVDQIYHLACPASPVHYKFNPVKTIISFLFFFLHFLLIFTFFVTNFISNRNILILGLRN >KGN47774 pep chromosome:ASM407v2:6:17944834:17948782:-1 gene:Csa_6G401440 transcript:KGN47774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSETGVITSREPFGVGVQNSSLHSQSGTQNMRLAFGADGTGYKPVTPSTSPSYQSSMAGVSGNAGIEGSAGGGGGGGSMLPHGFNINSVGSEQIKRKRGRPRKYGPDGSMALALGSGPPSGTGCFPPSNMANSASEALGSPNSSKKTKGRPLGSKKKQQLEALGSAGIGFTPHVIDVKAGEDVSSKIMSFSQNGPRAICILSANGSISNVTLRQPATSGGTVTYEGRFQILSLSGSFLLSENGGQRSRTGGLSVSLSGPDGRVLGGSVAGLLTALSPVQVVVGSFIADGNKEPKPARQNELTTALPMLNTAGFGHLTGGASSPSHGTLSESSDGSPDSPLNNSSGGCNNSNHPQGMSGMPWK >KGN46962 pep chromosome:ASM407v2:6:10857096:10864586:1 gene:Csa_6G153460 transcript:KGN46962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVASAAAHLHTAMALHKVTNSNMLQVRFCNGEFMGKKLTFQQRKQNINYIPNLKRKLCISSLIADIAAESKLRGLNMEKRDSRTVVAVILGGGAGTRLFPLTKQRAKPAVPIGGAYRLIDVPMSNCINSGINKVYILTQFNSASLNRHLARAYNFGSGVTLGDGFVEVLAATQTPGEAGKRWFQGTADAVRQFHWLFEDARSKDIEDVLILSGDHLYRMDYMDFVQNHRQSGADITLSCIPIDDSRASDFGLMKIDNSGRVISFSEKPRGKDLKAMEVDTTVLGLSKDEALRKPYIASMGVYIFKKEILLNILRWRFPTANDFGSEIIPFSAREFLMKAYLFNDYWEDIGTIRSFFEANLALTEQPPRFSFYDETKPIYTSRRNLPPTKIDNCKIVDSIISHGCFLTNSFIDHSVVGIRSRINSNVHLKDTVMLGADFYETEGEVAALLAEGRVPIGIGENTKIKDCIIDKNARIGKNVVLANSEGVQEADRSSEGFYIRSGITIILRNSVIKDGFVI >KGN47207 pep chromosome:ASM407v2:6:12769542:12770329:-1 gene:Csa_6G200320 transcript:KGN47207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKKMMAVFLLCILVLAALEISAVAAGEEANNIPRKKSLFSRIFDKLGVDMPKNN >KGN49281 pep chromosome:ASM407v2:6:27503436:27508210:1 gene:Csa_6G518360 transcript:KGN49281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGRWFKAVIRLKKVKTSSSKQTKEKLDDFQKDSPRFKSDGANGNSKSLSMPIEDVAAVRIQTAYRAYRARKNLRLLKGAFRLQNLTQGHSVRKHATSTLGYLHSWSHIQAQIRARRLCMVTEGRQRQKRLENQRKLEAKLHDIEVEWCGGADSMDGILSRIHDREEAAVKRERAMAYAFSHQWRANSNEMYGLGKDELGKADWGWSWKERWIAARPWESRVPSQFVSPKKSTIRQSSKVSKRNSPSPKARGPMKPPSPNGKSSAKARRLSYPATEKAEKLATQEKGVKESVKNDKNDEGNTKKEETTP >KGN47755 pep chromosome:ASM407v2:6:17752391:17753770:1 gene:Csa_6G400290 transcript:KGN47755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIISWNGHGLNSCKKRALVKGLLQQQNPSIVLLRETKLDDTDSHIIKYIWSYPFIDWTTLDVIDTLGGLLIIWRSPDFTLLEELDDLVGLGGDSWINGGDLNITRWSWEKSHDQFIPNNMQLFNQWIANYHLRDIVTLDHFPPAMIACDIDWGPCPFRIEKSWLSTPLFLPLVETWWTNNRLRELDNIGNKTQLFVEQLSTSRSSREQIEQLTTQEHIQWQQRCKLKWFTEGRSILTMDDIESEFCDFYKNLFTKKTDEVFLAISSVGANKSPILDGFTA >KGN46462 pep chromosome:ASM407v2:6:6568126:6570513:1 gene:Csa_6G095290 transcript:KGN46462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMARQDPRSGVFYEISSLLLKILRSPPPPVPFSDHVLELSSAITSSSSRILPSSQMTPAGFAALLLGISLALMLCGSVTFFLGFMLMPWILVLVMIFYVVGIVSSLSMLGRSLICCFTAPTPRKDFHGWKQM >KGN48109 pep chromosome:ASM407v2:6:20487381:20505616:-1 gene:Csa_6G433800 transcript:KGN48109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHGGSDSAQESKSESDNRSGEGSEDNLSNEKDGGSEFEDDDQIKEGKDQRRYTDVTAEDGMLSDEYYEQDGDEQKDDPDDVDFEPDYGVASGRSVKKDKDWDGEDYEEDDGSDDDDLEISDDEGPNYGKKGRGKQRGKGVRNVKSTSERKPYQSSIRQRKGKFSYEEDESSMEDSASDSVEAFKSSVKTGTHLRKNSGRYSVTAGVSGRRSEVRTSSRSVRKVSYVESEESEEFDEGKKKKSQKEEVEEEDGDAIEKVLWHQPKGTAEDAIRNNRPIDPVLSSHSFDSEPDWNEVEFLIKWKGQSHLHCQWKPFSELQYLSGFKKVLNYTKKVMDEIRYRKSVSREEIEVYDVSKEMDLDLIKQNSQVERVIADRISKDGSGDVVPEYLVKWQGLSYAEATWEKDVDISFAQDAIDEYKAREAAISVQGKSVDLQRKKSKVSLRKLDEQPEWLMGGKLRDYQLEGLNFLVNSWRNDTNVILADEMGLGKTVQSVSMLGFLQNAQQIYGPFLVVVPLSTLSNWAKEFRKWLPDMNVIVYVGTRASREVCQQHEFENKRTGRPIKFNALLTTYEVVLKDRAVLSKIKWNYLMVDEAHRLKNSEAQLYTTLSEFSTKNKLLITGTPLQNSVEELWALLHFLDPDKFKSKDDFIHNYKNLSSFDEIELANLHMELKPHILRRVIKDVEKSLPPKIERILRVEMSPLQKQYYKWILERNFHDLNKGVRGNQVSLLNIVVELKKCCNHPFLFESADHGYGGDFDSNDSSKLDRTIWSSGKLVILDKLLMRLHETKHRVLIFSQMVRMLDILADYMSYRGFQFQRLDGSTKAEFRQQAMDHFNAPGSDDFCFLLSTRAGGLGINLATADTVIIFDSDWNPQNDLQAMSRAHRIGQQEVVNIYRFVTSSSVEEDILERAKKKMVLDHLVIQKLNAEGRLEKKEAKKGIGFDKNELSAILRFGAEELFKEDKNDEDSKKRLQSMDIDEILERAEKVEEKEAGGEEGHELLSAFKVANFCSAEDDGSFWSRWIKPEAVSQAEEALAPRAARNTKSYAEANQPENSGKRKKGSGPVERVQKRRKGDISAPTAPMIEGASAQVRRWSCGNLSKRDALRFYRVVMKFGNESQISLIAGEVGGAVAAAKPEEQRELFNALIDGCRDAVESGSTDPKGPMLDFFGVLVKANELLNRVEELQLLAKRISRYEDPIKQFRALMHLKPSNWSKGCGWNQIDDARLLLGVHYHGFGNWEKIRLDEKLCLMKKIAPVELQHHETFLPRAPNLRDRANALLEMELAALGKSLNPKAGRKTAKKDRENIPKASTSRGLDRKGKPGSPKVNLKLRDRTSKPQRVETLVKEEGEMSDNEEVYEHFKEVKWMEWCEDVMADEIKTLERLHRLQTTSAKLPKEKVLSKIRNYLQLLGRRIDQVVLDHEEEPYKQDRMTMRLWNYVSTFSNLSGERLHQIYSKLKQEKEAGAGPSYLNGTGSALVGRDGDSSHFGALSRHLPRVRGNKNNTSLQISEPVQKGVETEKFETWKRRRRGGDADNQYQVPCPPDRPMSNGGRITDPNSLGILGAAPTENRRFSNDRPYRIRQTSFPVRQG >KGN45755 pep chromosome:ASM407v2:6:958994:961810:-1 gene:Csa_6G008860 transcript:KGN45755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASVNTTDNARKIATSFGSIGAGTELFTESSAVSGQSSSSRSSSDNSAGECSSPPQLGWPIRKAQLCKSSNSRVREVEQKPSSTDSKPSKTVTKVSEMELMKERFAKLLLGEDMSGSGKGVSTALAISNSITNLCATIFGQLWRLEPLPKEKKSMWKRELEWLLCVSDHIVELIPSFQTFPDGSKLEVMTSRPRSDIFINLPALRKLDNMLLEILESFADTEFWYVDQGIVSSDGDGSSSFRKIVQRQQEKWWLPVPRVPAGGLGEDSRKQLHHTRDCTNQILKAVMAINNIALNDMEVPESYLETLPKNGRACLGDVIYRYITSEHFSSEYLLDCLDLSSEHVALDVANRVEAAIYVWRRRAHSKPQINPTRSTARSSWEMVKDLMIDGDKREFLAERAEGLLHSLKQRFPSLTQTTLDTSKIQFNKDVGKSILESYSRVLESLAFNIIARIDDLLYVDDLTKHSNRLTSAATVNVVGHKKAAYSVHLSSTPYKLTSTTPSFSPAPLVSPAKTERVPFLNNKITSKPPRRGFGVKRALTNYLGVETKPKTCTNSIEGAASIQNIIVNENSEQRKSSSADQSVISCSKLLAEKMK >KGN47171 pep chromosome:ASM407v2:6:12562461:12563252:1 gene:Csa_6G192080 transcript:KGN47171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >KGN49218 pep chromosome:ASM407v2:6:27158384:27159123:1 gene:Csa_6G517260 transcript:KGN49218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKVQCRLLVLHGLASRFKQAPDSPQYPQIKELFGPDPLAFIEQSDLAFIAVLRVTARKCTISFVSFSPLQSSLSPGFSYGTSIIKHLETIVILQQFFYS >KGN47253 pep chromosome:ASM407v2:6:13455141:13455527:-1 gene:Csa_6G237080 transcript:KGN47253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGERMFNKEWVHLNRATDEYMKGAWEFIEKVKKDVTYVDLIMCPCKDCRDMSHQSFDIVYEHLVIKGMDPTYKILYHHGEEVCVKEEVEDADMFDVFNM >KGN47750 pep chromosome:ASM407v2:6:17725584:17726308:-1 gene:Csa_6G399750 transcript:KGN47750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKIKLKKLITSFQQLDLIPVKNCFRSSNLRVFPQFESLSIIEMHLANCCWSINARFDVVTDCTPCRPLEFEVETLRGKLSNEPNPTSENGQTEYVVEFNVYELHDKQLRYNFNPDI >KGN46478 pep chromosome:ASM407v2:6:6690944:6694502:1 gene:Csa_6G095940 transcript:KGN46478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTDENGNGDKNRAPRLNERILSSLSRRSVAAHPWHDLEIGPDAPKIFNCVVEITKGSKVKYELDKKTGLIKVDRILYSSVVYPHNYGFIPRTLCEDNDPMDVLVLMQEPVLPGCFLRAKAIGLMPMIDQGEKDDKIIAVCADDPEYKHYTDIKELPPHRLSEIRRFFEDYKKNENKEVAVNEFLPSGVALEAIQYSMDLYAEYILHTLRR >KGN47569 pep chromosome:ASM407v2:6:16190563:16191238:-1 gene:Csa_6G361310 transcript:KGN47569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLEKYGLKPEHSFEEDYASCQMAIMPNTFFEEADKGKIVFKKTTKWWFWSGGVVFDEQDNNNTTTKLEADVVIFNTGFQGKLKLQSLLPHPFNTLLVDSSDIVPLYRGVIHPLIPNMAFVGYLESVSNLRTGELRCKWLAKLADDGFKLPSIHQMLQQISDEIQVMKNTTRFYKRQCVSTFSISYTDEISQDIYG >KGN47756 pep chromosome:ASM407v2:6:17754323:17777586:1 gene:Csa_6G400300 transcript:KGN47756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMMLCGVSSLWLTTTISLAFGRLLTQHCVVMGDNISFCKDPWHDCGILFCDFPRLFRLFCTLNISVVEARLLILRLGTCTLDVILLIMKFMNGYLCMLFLSSSRFRMVSDSWSWTIDSSSMFTMKSMIDDLTVSLWLGKPELYPPQKERNLRTIREALLPYECRQAKISYTGKFMADVCFQYDEKAVIREKFNFGQFPIMLKSKLCHLRGLDPKKLVSYNEEASEMGGYFVMNGLERVVRLLIAPKRNYPTSMVRNSFSDRREGYTDKAVVIRCVREDQSSVTVKLYYLRNGSARLGFWVQGKEYLLPIGVVLKALIDTTDHEIYASLTSCYSDKHGKSKGAVGTQLVGERAKIILDEVRDLALFDHRQCLDHIGQHFQPVMEGLGKEKFSTVADAVLKDYIFVHLDNNYDKFNLLIFMAQKLFSLIDQTSVPDNPDSLQNQDVLLPGQLITLYLKEKLEDWLQKVKKLLEDEISNPSKNFEFCSLAHVKKVMDKNPSKQVSSAVENMLKTGRLVTQTGLDLQQRAGFTVQAERLNFLRFVSHFRAVHRGASFAGLRTTTVRKLLPESWGFLCPVHTPDGEPCGLLNHLTSTCRITSHFNSQGKVRDFYKIRTSILSVLIAVGMIPSLPKLVQMGLPDFLNVLLDGRIVGYISSNEVEKIVAHLRRLKVLSPHVIPDDLEVGYVPLSISGAYPGLYLFTSPSRFVRPVKNLSISPEDNHNIELIGPFEQVFMEIRCPDGGDGGRKDEFPATHEEIHPTGMLSVVANLTPWSDHNQSPRNMYQCQMAKQTMAFSLQAIRYRADQKLYHLQTPQTPIVRTSTYTKYNIDEYPTGTNAIVAVLAYTGYDMEDAMILNKSSVERGMFHGQIYQTETIDLSDQGNRSSRSQKMFRRSNIDTSVRSIIDADGLPYVGQMIQPDEPYCSIYDEVAGTTRTMKLKGSEAVIVDYVSVDVKNKNNPQKVNIRFRRPRNPMIGDKFSSRHGQKGVCSQLWPDVDMPFCGATGMRPDLIINPHAFPSRMTIAMLMESVAAKGGCLNGEFVNATPFRSSVNKTNAGDEETNGETLVDELGSTLIKKGFNYHGLEVLYSGVYGTELTCEIFIGPVYYQRLRHMVSDKYQVRSTGTVDQVTRQPIKGRKKGGGIRFGEMERDSMLAHGASYLLHDRLHTCSDHHIADVCSLCGSVLTSSFVQPPKRAVREIGGLPPGKAPKRVTCHACKTSKGMETVAMPYVFKYLAAELAAMNIKMTLQLSSGAA >KGN45963 pep chromosome:ASM407v2:6:2811416:2813327:-1 gene:Csa_6G039540 transcript:KGN45963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSRKNIANAVGGKTARACDSCVRKRARWYCAADDAFLCQSCDTTVHSANPLARRHQRLRLNASNSSPLNSPSWVSGFTRKPRTPRPRPKSNDLIHVSVVPETDDATSHENEHLEDQLLYRVPNLDVNVSDFGGDVERNLEREWFDIEEELGLVKVEEDCWRIGEGFDSDQVDFFMDFEEIKMPPLVVGVKNERHEDEGDESGITDKNKRRVLSLRLDCEAVIAAWGNLQSPWTNGQRPDFDPDQPWPNSMDLCEVRYGQRCGEYGFRTAAIGDGGREARVSRYREKRRTRLFSKKIRYEVRKLNAEKRPRMKGRFVKRTPFAPQPTLPIITIN >KGN47940 pep chromosome:ASM407v2:6:19283029:19283781:-1 gene:Csa_6G418400 transcript:KGN47940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSVEEERKNLSRVQEDEVVEVIVVPFPAQGHINPLLQFAKHLLAHHPSLKITLPLILTKNANNHSTVTQYQTLTPSLTIHHIPLLPYQGLDHPDQRVFWERRQAAIRSYLTHLLTSNPNIACVVYDAAFPWVIDIVKQFGVSSAAFFTQSCAVNSIYYNVYKGWLGVPLEQCSISLDGLPPLCPSDFPSFVYDPLKYPDILNMLSDQFARLDEADWIFTNTFDSLEPQVIVNVKLL >KGN48632 pep chromosome:ASM407v2:6:24143914:24144646:1 gene:Csa_6G495860 transcript:KGN48632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSTSPTGSPYESMLENPFPVASTPWECHDPNHAFFPALFQMPEPTGLLDVFQSPNPVMSSSSSENPDEPEAIDPGPFEPDRKVEVVDERKRRRMESNRESARRSRLRKQKHLENLRNLVNKLKVENRELSNRLRFTVYEVNRVRTENDHLQTEHTILRRKLMCSRQVLIFRQYQREMGYNAFEKPPTISQSQSQSQYQYILNNNNNNLIINC >KGN46464 pep chromosome:ASM407v2:6:6578003:6589862:1 gene:Csa_6G095310 transcript:KGN46464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNAGYDINDLYREAQTRWLKPPEVLFILQNHEKYQLTEEAPKQPTSGSLFLFNKRVLRFFRRDGHSWRKKRDGRTVGEAHERLKVGNAEALNCYYAHGEHNPNFQRRSYWMLDLSCDHIVLVHYRDINEGRSGTESVPHLSPASVSTSGSCSSQNLASEYQQTSLSPGSVEVTSDTGNHTIESNGVDGHFEISEIKGSNERDVSQALRRIEEQLSLNEDSLKDIGSFYGQDEDSNSNLIDFYEMSNEDQVSVLQHQENAIHDNNYTSFMMQDADGKHQHYNMAHEFIFSGEGTQPWGGALDSSKTAVLESHDRHSLLWNEKENPSSSSTVDNEHCNWLDSRGKAFPMLGSCTSTEYSSPLDTHDTNSNYNIPFLKQEHGNSFEVDTSLIVAQVQKFTIREIVPEQGYATESTKVIIIGSFLCDPLESPWACMFGDIEVPLQIVQNGVLCCKAPPHLPGKVAFCITSGNREPCSEVREFEYKMNVCSHCQSHSTGAAKSPEELLLLVRLVQLLLSDSLMQKSDRLDTGFRSNSLKAGDDQWSSLIEALLVGSETPSSTTDWLFQELLKDKLLLWLSSQQKNRHDLTDCLLSKKEQGVIHMIAGLGYVWALNPILRCGVNINFRDINGWTALHWAARFGREKMVAALIASGASAGAVTDPSSQNPDGKTAASIADIHGHKGLAGYLSEVALTSHLSSLTLEESELSKGSAEVEAEMTVSCISNGNLSSAEDYIPLKNTLAAVRNAAQAAARIQSAFRAHSFRKRQQKEAAFAACIDEYGIDPNDIQGLFAMSKMNFSNRRDYNAAALSIQKKYRGWKGRKEFLSLRQKVVKIQAHVRGYQVRKHYKIICWAVGILDKVVLRWRRKGVGLRGFRSEIGSIDESEDDDILKVFRKQKVEGNIDEAVSRVLSMVDSPDARQQYHRMVEGFREAKAELDGASNKSAASTSLTDISGMEDCNQYPKFI >KGN45717 pep chromosome:ASM407v2:6:741646:741861:-1 gene:Csa_6G008000 transcript:KGN45717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTRQVKEGKRISGSKRTVSLTFILLAVAVAVAVAVAVAVGSAIHLFVSLCKVKSHEKSRPHIIGPHHQVP >KGN49151 pep chromosome:ASM407v2:6:26832810:26835913:-1 gene:Csa_6G516590 transcript:KGN49151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGAVLCRGDINSDNCHSCVSTASKELSVRCSLRVNGTIWYDECMLRYSDKNFLGTVEMSPRFLMGDSGNQTSPDDRDIDGPDLLSQMASEAAGLEMMFKANKLDNRGTRYGLVQCTRDLNSNQCDTCLTALLEEIKLVYKGRVGWRILSQSCSITYEQYLFYQLPDSPPGSSAKMIAVITVSTIAAVAILAILLYCLHLSRKRRQGEQVLLRNLGDANAAELMKQDLHSRDRDNDEDMHYFSFITLQVATNNFADANRLGEGGFGPVFKGKLTNGEEIAVKRLSLKSSQDPVKSKQLDWVKRNNIINGVAKGILYLHEDSRLKIIHRDLKASNVLLDDEMNAKISDFGTARIFGGKQVEASTNRVVGTFKSIHLPQPSKPPFFPIGFPTSANQSSTTLLGTGYLSSQSSTTASI >KGN47936 pep chromosome:ASM407v2:6:19243206:19255506:-1 gene:Csa_6G417880 transcript:KGN47936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSYCGYGFFVSDSTIPSTSRAFISNSWHSNLSISSFSHPHRLHLPPFRPPHIKKPHIKASRTRTSFSALPFDLSPPPIDEDLLEAAAVEGARISDDGIIETFHNDEEALDAANNGVAVVDLSHFGRLRVSGDDRCQFLHNQSTANFESLRQGQGCSTVFVTPTARTIDIAQAWIMKNAITLIVSPVTRESIIRMLNKYIFVADKVEIQDITNQTSLLVLVGPKSNQIMEDLNLGSIAGEPYGTHQHFSVNGMPITVGVGNVISEEGFSLLISPAVAGPVWKALVSLGAVPMGSRAWEKLRISQGMPAPQKELTDEFNVLEAGLWNSISLNKGCYKGQETISRLITYDGVKQRLWGLQLSDSVEPGSPITIDGKRVGKLTSYAPGRKESEHFGLGYIKKKAASVGDSVIVGENTIGKVVEVPFLARQQPLSNSSSSNTPESSVQ >KGN47877 pep chromosome:ASM407v2:6:18794436:18796167:-1 gene:Csa_6G409340 transcript:KGN47877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDISFSFFCLCYLDGFEEAFLFVARALLLSSLLFLALHFFPLLAVRPSFPVFVVCLRGSSSPSVSSLFLAVRLLVFSLGVHSQLLTVISNRLKHLDLVFLYMDK >KGN46183 pep chromosome:ASM407v2:6:4780055:4782102:-1 gene:Csa_6G067410 transcript:KGN46183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRASNPIISVLLFTTLLALQVVNGALVNNFYRRTCPQAERIVQDVTFRLVRSNPRLGAQLLRLQFHDCFVRGCDASILLDTVGTNQSEKEARPNLSLLGFNEIDQIKSEVEKACSGVVSCADILALAARDAVSFPFKNRPRWPVLTGRRDGTISRSSEVSGNIPSPFSDFATLKQIFENKRLNVIDLVILSGGHTLGEAHCGTFSRRLYNFTGKGDADPSLDPRYADFLRTKCPNPADPSITVEMDPRSSRSFDSNYFKILTQHKGLFQSDAALLNDTSSSRLVRSLQNPKVFSFSFASSMLKMAAIEVLTGNNNGEIRKQCRFVN >KGN47887 pep chromosome:ASM407v2:6:18877158:18878706:1 gene:Csa_6G409930 transcript:KGN47887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAAVISIPEEHDKDQRVIGRVPMDQEEHKNPNNNNNHWLCSIRQGIKRQDMRKVIHSVKVAIALVVVSLLYLLDPLYNQVGDNAMWAIMTVVVVFEFFAGATLSKGLNRGLGTILGGGLGCLASAFAQDLGGLASAIIIGISVFIFGAVASYLRMVPNIKKKYDYGVMIFILTFNLIVVSGMRADKIMRLARERLSTIAMGFAVCIFISFLIFPSWASDELHDSTVLNFHNLANSIQGCMEAYFNSTDEKKKNKSDASFSSCKLVLNSKSKDDSLANFAKWEPWHGKFGLNYPWHKYLQIGELLRELAATVISIKACLQSPRQVYIKSCFFLCSTLLQPLGKFFSVPSSFPHQSSQ >KGN48863 pep chromosome:ASM407v2:6:25489928:25491700:1 gene:Csa_6G504440 transcript:KGN48863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFDPSIAQSNLPNAKAILTAAASFAATVLLLRSIANDLLPSEFREYFYDGIRTIFSRFSSQLTMVVDEMDGLGPNQIYEAAEIYLATKISPSTARLKVSKPEKEDNITTAVERNEEVVDTFNGVKFHWVLVCEQVQRENFHNPRSPYRSVIRSFELCFHKKHREMVLKSYLPHILHQAKELKQQTKTLKIYTFDYQNMYGSISDLWIPTNLDHPSTFEKLAMDSEIKHFILNDLERFVKRKKYYEKVGKAWKRGYLLYGPPGTGKSSLIAAMANYLKFDVYDLELTGVECNSDLRKLLMGIANRSILVVEDIDCSVEFQDRDSEKDEEEDPSTSRRRRLVTLSGLLNFIDGLWSSCGDERIIIFTTNHKEKLDPALLRPGRMDVHIHMSYCTPCGFRVLASNYHGIENHRLFGEIEGLIPGAKVTPAEVAEQLLKGEESDNSLMDLIEFLKVKTRENEEGGEKEEKEGKENGRVASTEK >KGN47445 pep chromosome:ASM407v2:6:15139010:15141995:-1 gene:Csa_6G324890 transcript:KGN47445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLKEGRSLLMKIQKPLIPFVLNSNPIINPRDPNKQQLLQESDVLKRLKTDRNLSSVLGFFSAIANSNAFQHTASTYRVMIERLGRECEMDMVQYILQQMKMDGINCCEDLFICIINGYKRVGSAEQALKMFYRIGEFGCKPTVRIYNHLLDALLSENKFQMINPLYTNMKKDGLIPNVFTYNILLKALCKNDRVDAAHKLFVEMSNKGCPPDAVTYTTMVSSLCKAGKIDDARELAGRFKPSVPVYNALIDGMCKEGRIEVAIKLLGEMMDNGVDPNVVSYSCIINSLCVSGNVELAFALFAQMFLRGCDANIHTFTPLIKGCFMRGKLYEALDLWKLMIQDGCEPNVVAYNTLIHGLCSNGSLEEALQVCDQMQRSGCLPNVTTYSILIDGFAKSGDLVGASETWNRMISHGCRPNVVTYTCMVDVLCKNSMFDQANSLVEKMTLEGCTPNTMTFNTFIKGLCGNGRVEWAMKLLERMQGHGCLPNITTYNELLDALFRMNKYEEAFGLFQEIEARNLQPNLVTYNTVLYGFSRAGMMGEALQLFGKALVRGTAPDSITYNTMIHAYCKQGKVKIAAQLVERVSSMKEWHPDIITYTSLIWGACNWMNIEEAMAFLDKAINQGICPNFATWNALVRCFFDSLGHMGPIHILDDILRKG >KGN47831 pep chromosome:ASM407v2:6:18365230:18371836:-1 gene:Csa_6G405930 transcript:KGN47831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAPIEDGKMEGWLYLIRSNRFGLQYSQKRYFVLQDDCLKSFKSVPVSGNEEPNRSAVIDSYIRVTDNGRESIHRKVFFIFTLHNTVNQNDRLKLGASSPEDAARWIRSLLDTTLKGCSDPTRNFRDRSKRQYPVLRFRGSKRMDWKASIDWTVCSSVQMEAMTSDVIAPSPWKIFGCQNGLRLFKEAKDNDSHRRQWDDHPAIMAVGMVCGTSEEIFRTLMSLGPSRSEWDFCFLRGSLVEHLDGHTDIIHMQLYRDWLPWGMKKRDFLLRRYWRREDDGTYVLLYHSVFHMKFPPQKGYVRACLKSGGYVVTPVNQGKHSLVKHMLAVDWKFWKLYLRPSSARAITIRMVERLAALRELFRAKVGDSSSEFSSSSRDLRHFELPQSEMDEIKTEIQSPETMRKIKEAFSKENEAEMPRASLIGLNDAVDEFFDVPEPSELDLYENEWTSDLTLQQLNMSQNKLSTAAVFVKKLHDLAVQKKGYAELPELPREESMAYSYGSTLPKDLNFSTPCSVASADPSLFLIRGENYLKDSQKIKANGTLMQLVGADWLRSDRREDNLGGRPGSIVQKYAERGGPEFFFVVNIQVPGTTMYTLAMYYMMRTPLESSPLLKNFVEGDDAFRNSRFKLIPYISQGSWIVKQSVGKKACLVGHALEVHYFRGKNYLEVEIDVGSSTVARGVVSLVLGYLNNLVIEMAFVIQGNTQEELPEILLGTCRLNHLDVAKSLLVKP >KGN48021 pep chromosome:ASM407v2:6:19841978:19843001:1 gene:Csa_6G425090 transcript:KGN48021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITADELFFEGKLLPFWQMQQAERLNKISLKSPKDVDEEDLVEIEVNKEAENKVNWFLDDDPSPRPPKCTVLWKELLRLKKQRASSALSPSSSSSSSSSSSRSMADAATTEEGKEGTTGNKEKNVKRIKKLERTRSASIRIRPMINVPICTQVKSSVLPPLFPLKKGRFDR >KGN46922 pep chromosome:ASM407v2:6:10624415:10624708:1 gene:Csa_6G151100 transcript:KGN46922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPNKRAPPNVPSPPLFVLPTIAAAAAIDAAAKTFSPIIRRLRSKRKKRKTNRRRFWGIF >KGN47606 pep chromosome:ASM407v2:6:16469083:16469601:-1 gene:Csa_6G364110 transcript:KGN47606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKAIDHLLIQREKRQKLEQKYHLIRQSLKNEIVDAPTRLHRCFLTGRPRANYQDLGFSGHILREMVHACLLLGMTMKNIILLF >KGN48170 pep chromosome:ASM407v2:6:20871431:20874447:1 gene:Csa_6G446320 transcript:KGN48170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFDNNLSSKTQRDLPVIRCASAIDNTKEKVLITRNAEFGVCSEIKLILCLIQQCLEQRVIEKANFDYKPSLILTHIDNQVTLGDVSRDEAILMQGRPQNPLAAVLTGCARESSCIHFSFICRRRFCFGISFSAALHLGAVCCYWIKMITLIMCLSSMPPNFPEVVTQRSLMVIQKCEDREHIIWSNLTYGETLATRGDKARGKS >KGN49153 pep chromosome:ASM407v2:6:26843292:26845976:1 gene:Csa_6G516610 transcript:KGN49153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFFLPLVLFFIARVCASPPVFVGHYCLYDSGNFTSISTYKTNLDHVLSSIATAKDTGNGFYSFSYGQNLDKANAIGLCRGDVKFDVCQSCLIDSIHRLKKLCPQQKEAIGWFDNCMLRYSNHSLFGVMDTRPNIFMWSTINVTEKPLFSQKLKLLLDSLKKNTSTGGSLKKYASGDIGAHSLWTIYGLMQCTPDLSQLQCDECLESALQAIPPCCSEGMEVFLPSCHARYEPYIFFELSPKLQPPLLPPPPLLVFTPSTSTMSTEGMVNAYVTFALFNTIFCFLSSFQESSNGKLLNGQEVAVKRLARGSQQGDLEFKNEVLLVAKLQHRNLVRLLGFCFERSERLLIYEFLPNSSLDRLIFVAKQAIFLLNENVDPMQRQYLDWPRRYKIIVGISRGLMYLHEDSRFKVIHHDLKASNILLDAELNPKINDFGMARLCSYNQSNGDTSKIKGTYGYMAPEYALYGHFSVKSDVFSFGVLLLEIVSGQKNGSVQDGENIEHLLSYTWENWTKGTITNIIDPILSRTCTDGIIRSIHLGLLCVQEDADSRPTMAFVVLMLNSSSFTLPTPSRPGFLLHSKSSNIAQPFNCSEGSQFSPSASISIEEESSNQFNPY >KGN48506 pep chromosome:ASM407v2:6:23284302:23289367:1 gene:Csa_6G490200 transcript:KGN48506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRELVTVQVGEFANFVGSHFWNFQDELIGLAADPLGDAVFKNQHLNMDVLYRSGETQQGVLTYTPRLVSVGFKGGLGSVSARGTLYNDDVDTPSDTVTWRGSVATHYTEPCKKNLFLQSLSEEEQENLVDGKNSGRGEIEDKDIIECLESDVTFWTDFSKVHYHPQSLYQFVGSWVDAQEFDNYGIGKESFSWSLQGEDIDERLRFFVEECDHIQGFQFIVDDSGGFSAVAGDFLESVADEYSNTPVLLYSVRSPSSSNVLRENKKQIISRDLHDAISFARLSSFCQLYVPVGLPSLNRSKAATHLCIDDQKPYHCSAVYAAALHSIGLPFRMEAQGPTTDSCYVSGAVHVNDMVRMLAGQGRQNMVAILDVAMPAPTLLEKHLGQSLLGSLQPLTPEVKEDAEDLLALESMTAHGVFESGGDRASVSELKDQISAAYEGETVRPMLCHLSAAQCPLPVPLPFPSIFGNLVGQHGQLLATPISGVSTRGSLDVYSIPMAARLRSSNAVLPFIERRLTNLLRHGVQGSSATPLLRSWGFGREELEDMGESLSKMVLALNPHSLSSSDSD >KGN45698 pep chromosome:ASM407v2:6:603966:616910:-1 gene:Csa_6G006840 transcript:KGN45698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMWSSIANLKENLNKIALDVHNDDEDEEFAIYGSNRGDADVSVSDRRNSHSFAHSNSVTRSPVANGIIEDARHPEIEQYKAEIKRLQESERNIKSLSMNYAALLKEKEELILRLNKENGSLKQSLDATNSPKSESSKSPANGTSEMKGSDQSPSRLLRGKTRRNGMVSKQDGIANGASHSGKLDYLSKMVPEHSTSQELADLQEGNMGSLQDVQATLEYKQLRKELQQEREQLADVQLRLREEQKLNKKFQEELNSLRMNKDKASLEMSDILRELNEKKLEVKQLQVELNRREKMKSDDNVEELKRLITTLEKEKSTLEMEKKELKDTLEKSQELSGVETPSKSLEMVNRHLSDSSEKLGPSGISLGKEDRDLSLQKLKKDLKEMQQERDKAAHELSRLKQHLLEKESEESEKMDEDSRIIEELRHNNEYQRGQIMHLEKALNQAIAMQKEAEMYGNNELQKSKEIIEDLHRKLANCMSIIDSKNIELLNLQTALGQYYAEIEAKEHLESVLAREREEEAKLSQMLKDANQREDALKKEKEEILSKLSISERALGEWKSRVNKLEEDNSKLRRALDQSMTRLNRMSVDSDFLVDRRIVIKLLVTYFQRNHSKEVLDLMVRMLGFSEDEKLRIGAAKQGPSKGVVRGVLGLPGRLVGGILGGSTTETPANMASDNQSFADLWVDFLLKENEEREKREAEESLKLREASQSSSSDVASAGSPLLDPRTKTIGSTPNPSRTGFPSHLQSTHLPFGSDFRLSRHHSDSEFSTVPLTSSSSENTYNSRPLPKY >KGN46139 pep chromosome:ASM407v2:6:4385988:4390243:-1 gene:Csa_6G056560 transcript:KGN46139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRSILRDVRDGFGSLSRRSFEVRLSGNSRGKSHGPVHEFNDEPLLIQTSRWASLPPELLCDVVRRLEASENTWPSRRNVVACAAVCRSWRDMCKEMVKCPELSGKITFPIALKQPGPRDGTIQCFIKRNKSNLTYHLYLCLSPALLVENGKFLLSAKRTRRTTSTEYVISLAADDISRSSNGYIGKLRSNFLGTKFIIYDTQPPYNSNQIPTLGRSRRFYSKKVSPKVPAGSYSIAQIAYELNVLGTRGPRRMNCTMYSIPTSSLEPGCSVPGQPELNPRPLEDSFRSISFSKSIDHSTEFSSSRFSDVAGMLVDEDGEGKDRPLILRNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLIAATSPAAEAPVPSQPPPQPAQPTHSDHDKIILQFGKVGKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >KGN46083 pep chromosome:ASM407v2:6:3968994:3975548:1 gene:Csa_6G052090 transcript:KGN46083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGLDGEEESDRKAGVALYFPANDDDISSASSSTPPKLPPRLLRRLMESKAAPSTAEDIEAKLLKADLRRQAKRQRPGYLMERRRTYDIVRASLKGMAKQDPSAIIARFWRSFVQTRKTTFALAKAFQKLDITAESVKSMEFEHLASKINATSTIGTVRALLVRIESRFTILKTTSGNKLSIEKVDHLLKRVGLHGRSSNQVSKTSRSETTGSRKAAKVASKLSRYPAKVVLFAYMILGHPETVFIGKSEVENALLDSASNFVQEFELLIKIILEGPLRTFHEEQSSTPPSFRSQLEIFDKKWCSYLHHFVVWKDKDSIFFEENMKGVAQQLELFMAQTSKLRLEGDNGNKAHDAQVNLKRKIPREKLQQLGSSEKSSSVAGSSSSGLDTERSAEFRQAESSKSAQHTSSSEMLITENELVANEIVHDYHHFHTVTSNAPTEAETSFKAQLKKTMEKAFWDGIMESMEEDEPDFSWVIKVLKEVRDELCEMSPPSWRSEIAEKIDIEILVQILSSGTLDVDYFKQLLNFSVVTLQKLSAPAKENEMKASYQKLMEELGEVSCSGENLKRSFALLMVKGLRFVLHQIQDLKEEIANAHLKMVEPLIKGPAGLEYLRSSFSKRCGSPTDASTSLPLTRQWLSSVWPDVELEWKEYTDSVAAAMSRNAGVQPEILPSTIRTGGSNLIPSKNSPTSGTSIQGKEQPECKGERLDLLIRLGLLKLVNQITGLSGDTLPETLKLNLARLRMVQSRLQRIIVISTSLLVMRQILLNERLVSNLSEVDNILSTCAKRLCNLLDNVENAGILEIVEALGSVLVDRISDPEKLQARKQIIANMLIKSLQEGDVIYTRVSRNIYLAMRGVVLGGSGQKGRQQVEVALVPIGAGALTERVVEAAELLVVMAVVSVNVHGDWYRELIKKW >KGN45672 pep chromosome:ASM407v2:6:448886:451564:-1 gene:Csa_6G005120 transcript:KGN45672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METAELHHAPTGLIVSIDEDYSPVGTFEEARYVCLVESTKLWGIAGPIAFNILCNYGMNSFTSIFVGHIGDLELSAIAISLNVIANFSFGFLLGMGSALETLCGQAFGAGQMNMLGIYMQRSWIILFCACIVLLPLYIFASPILKLLGQEPKIADMAGKFSIQIIPQMFSLAINFPTQKFLQAQSRVGILAWIGFGGLIFHIGLLILFIKVFNWGTDGAAAAYDVSAWGISLAQVVYIVGWCTECWKGLSLLAFKDLWEFVKLSIASAIMLCLEIWYFMTIIVLTGHLDDPIIAVGSLSICMNLNGWEGMLFIGINAAMSVRVSNELGSGHPRAAKYSVIVTIVESLCIGLFFAALILATKNHFAIIFTESKEMQEAVSHLAYLLGITMVLNSVQPVISGVAVGGGWQALVAYINLFCYYVVGLPFGFLLGYKTSLGVEGIWIGMICGTFLQTMILLLIVCKTNWNKEVEETSERMRKWMGKDNPMYSHPT >KGN48223 pep chromosome:ASM407v2:6:21272286:21275638:-1 gene:Csa_6G449300 transcript:KGN48223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTKNQEEDHTDSSDYTSDDEGSEDYRRGGYHAVRIGDTFKNGRYVVQSKLGWGHFSTVWLAWDTQSSRYVALKVQKSAQHYTEAAMDEITILKQIAEGDPDDKKCVVKLLDHFKHSGPNGQHVCMIFEYLGDNLLTLIKYTDYRGLPIHMVKEICFHILVGLDYLHKQLSIIHTDLKPENILLLSMIDPSKDPRKSGIPLILPTNKDKATFESGISKEIKFSNGDLTKHHKRNIRRKAKQAAQGCVEKEMTAEAETNPETSGTVESSPNAKSSAGAAEERQSSSFKTNRSLDADGAKGDGEENQVAKKGSRLRKKMLLASLDLNCKLVDFGNACWTYKQFTNDIQTRQYRCPEVILGSKYSTSADLWSFACICFELATGDVLFDPHSGDNFDRDEDHLALMMELLGMMPRKIAFGGRYSRDFFNRYGNLRHIRRLRFWPLNKVLMEKYDFNEQDANQMAEFLVPILDFVPENRPSAGECLLHPWMNAGPRLLEPSLNPSTSEENKMENEDMEAMEVGMRKIAISSDSEQANDSHEFKSKISKANS >KGN45696 pep chromosome:ASM407v2:6:599129:599972:-1 gene:Csa_6G006820 transcript:KGN45696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDKDQAQPLTPATLNRLSSDNGETRLHLKRIQRKRFIKCCSFIVALLMIPTIVIIIILMFTLFQIKDPIIQMNRVSITKLELINNVIPKPGSNVSLTADVSVKNPNMASFKYSNTTTTLFINETVIGEVRGPSGKAKARQTVRMNVTIDIVADRVLSNLNNDVSLGKVRLRSFSRIPGKVKLLHFIGRNVVVKMNCTFVINIFSKSIEDQKCKRKMKM >KGN48631 pep chromosome:ASM407v2:6:24132164:24132675:-1 gene:Csa_6G495850 transcript:KGN48631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSKFFSFLFIFFLLLLLHRPLAYAARPTPAAPTTIPTQELAEELKQSDGEMVMDENCDGVGEEECLMRRTLAAHLDYVYTQKHKP >KGN47691 pep chromosome:ASM407v2:6:17088122:17091024:1 gene:Csa_6G381810 transcript:KGN47691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSGSSMLYSFLLFIVILSLQEMYRGKLASSELFTILGGFVSSLLFLVLLTFIGNFQETCGMRTGWGAVIIAEAVALIAASTVHRVCITTCFLFSAGLLYELSKLSGMALSKSESRVKRH >KGN46144 pep chromosome:ASM407v2:6:4441690:4444185:-1 gene:Csa_6G057100 transcript:KGN46144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNLSQGGLIPGGSSYGGLDLQGPFKVHNQGQLSHALHQQHHPHTRQGSSANPSIQEGFSLSMGVVQNCDHTMSLVEYNKGERCKNSASDEDPSFNEDSIDGHNENSKGKKGSMWHRVKWTDKMVKLLITAVSYIGDDIASDIDGGGRRKCQIIQKKGKWKLISKVIAERGYQVSPQQCEDKFNDLNKRYKRLNDIIGRGTSCQVVENPALLDVIDYLTEKDKDDVRKILNSKQLFYEEMCSYHNSNRLHLPHDPALQRSLQLAFRARDDHDNDEPRRHQNDDFDEHEPDETDEHDDYEENFVPHTDNRRSLGVLGGSVKRLKRGQDHDDAHACGNSLSPLDCNKSSHPHSQAQFTQADTAHLETESMKASTSQKQWMELRLLQLEDQKLQIQVEMLELEKQKFKWERFNKKKDRELEKMRMVNERMKLENERLALDLKQKQIGSGFH >KGN47370 pep chromosome:ASM407v2:6:14628624:14635659:-1 gene:Csa_6G306320 transcript:KGN47370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGQMQQSNAAAATAFYDHAGGGTLHNAGPTNDAGDAVMARWLQSAGLQHLASPLADQRSLLMQSYGAQSAEEKQRLLKLMRNLNFGGESGSEPHTPTAQASGVLGAMDGYYSPEFRGDFGAGLLDLHAMDDTELLSEHVMSEPFEPSPFIPSGTRAFEEEFNVASSRQQRSQADEGAVAMLPVIEKENIARENNVAKIKVVVRKRPLNKKELARKEDDIVSVCDDASLTVHEPKLKVDLTAYVEKHEFCFDAVLDEYVTNDEVYRVTVQPIIPIIFERTKATCFAYGQTGSGKTFTMQPLPLRAAEDLVRLLHQPVYRNQRFKLWLSFFEIYGGKLFDLLSERKKLCMREDGRQQVCIVGLQEFEVSDVQIVKEYIEKGNAARSTGSTGANEESSRSHAILQLAIKKHPEVKETRRNNDGNELKSGKLVGKISFIDLAGSERGADTTDNDRQTRIEGAEINKSLLALKECIRALDNDQIHIPFRGSKLTEVLRDSFVGNSRTVMISCISPNAGSCEHTLNTLRYADRVKSLSKSGNAKKDPAVSSSAPIARDVSSAPSIPIPTEAEDTNMLRQEVKLGELGRRVAEKESLSSSNFDMPTTALPSSNSFHARETVTSASFDKEQPEMRSTHSDPTGRKIPMYSRNLNDIEEKVQKVSPPRRKSTRDEKSEKSGSWQKKDSVVPDVSSASSKQYGPGISNANDTGFRKSEPEPTPDGNINAILEEEEALIAAHRKEIEDTMEIVREEMKLLAEVDQPGSHIENYVTQLSFVLSRKAAGLVSLQARLARFQHRLKEQEILSRKRVPR >KGN46078 pep chromosome:ASM407v2:6:3930140:3932275:-1 gene:Csa_6G052040 transcript:KGN46078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSDLSQYGAGLEVTKITADPQFWSKCDHITMGTKPLLSVLQFLESEEKPSAGFIYDAFEKTKSSVMLAFNQKGSVYLPYLKAIDHVLQKEFQSSLHVAAYYLNPSIFYSPTFLSSKVIQKGLLDCIEALEPDITSQVMITNNINFYEEAIGDFGRPVALHGRDSLAPATWWSLYGSDYPDLQRLAVRILSQTCSITQCRKRCSTFNYLYLKKNWLEKQKMNDLAFAHYNLQLQERRLETCKARCSIDAVDPVFSEAIDANMEDWVKDEHKRWVDVKVTNQETLVEHKLSNRDSCIGSTDERSTEETRDTDADYFIYNNELLVP >KGN48749 pep chromosome:ASM407v2:6:24889721:24892919:-1 gene:Csa_6G500410 transcript:KGN48749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSVAPKKRPKDAATRADQMNRGILLYSILLALQYGAQPLISKRFTRREVIVTSSVLTCEIVKVVCALAIMVKEGSLKKTFSEWTVAGALTASGLPAIIYALQNSLLQISYKNLDSLTFSMLNQTKILFTAVCTYFLLRQKQSIQQIGALFLLIVAAVLLSIGEGSSKGSSDGSPDQILFHGIIPVLVASVLSGLASALCQWSSQVKKHSSYLMTVEMSVVGSLFLFASTYKSPDGEAIRQHGFFYGWTILTMIPVIFNALGGILVGLVTSRAGGVRKGFVIVSALLVTALLQFIFEGKPPSMYCIVALPLVVSSISIYQKYPYRVKKKEL >KGN46332 pep chromosome:ASM407v2:6:5681664:5683484:1 gene:Csa_6G086130 transcript:KGN46332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEESQINDPLPKYFTLRSKHNDGFLRYINADDKTMHGFLKFSGSHVVNPFAKFEFEKAKEKSNKVLVHIRCCYNNKYLVRWSEKSKYIVATGNELNEDKTKFSSTLFELIYVQDRNAFCIKHVQLNRYIQLRRHSTSQFQGTLFAGSTGLENDETDLLNIIDWSTFFILPKHVAFKGDNGKYLRVRSSGTKYLEFSGSDVGDPRVGNQIFTTYDGHIMIKNDSLEKFWIRDPNWILGEGSESDFTDRNALFWPVQLGDGHGVALRNRGNNRFCKRLSTEGKNNCLNADAESINAEAMLQIEELVISRTIYDVNFRVLDARFYDETPMTMVSSEMVNKNSEPELQRLKLQYEDTKSSTWTNSVGMKLGMKMSIESGCPEISSQEIEISAEFKEEYTWGETKETKSTREVEHQVTVPPYTKVIAKVLATKGFCDIPYSYTQRDVLTNGKVEIQQFDDGIYTGSNCYNYTFSTEQEDL >KGN47670 pep chromosome:ASM407v2:6:16855060:16855539:-1 gene:Csa_6G367160 transcript:KGN47670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLKIPLIGKLANLIGKLANLNMNRGGSVCQYHHRTPCLFNLLTNSYTQKRQFDSPFQSPKKIRIIINQKHNFTTYLYVQASLVSPLGYATNSPEIELLAAAIRMQKDRDRDRERDLVCPESHKQAL >KGN48278 pep chromosome:ASM407v2:6:21663333:21664656:1 gene:Csa_6G453770 transcript:KGN48278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSKGNKWFHLIVEYAGEVCRRDEEQWFYFIPRQESEARGGRPKRLTSTGYWKATGSPSHVYCSNNKSIGVKRTMVFYNGRAPNGTKTEWKMNEYKAIAHHRFINDNQPEHHSASNPNPSSSCTTPTIRMRQKFSLCRIYKKSKTLRSFDRRPPPCEPRMVQFAVSTQAATIEPPNFPNEPNLTSTTAPLITTISSPDSSSLRDRVDKSSQTTTGETNINNEVNIVVPMEAAYEPMWDWEQLNLF >KGN49188 pep chromosome:ASM407v2:6:26996498:26998066:-1 gene:Csa_6G516960 transcript:KGN49188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGKKTGCLKSKSVVSAADKGLDFGVVRYTRGLGRKRVVISCHEEDSPTDLTPKAPSKRRCNVVSTAVEDDRSLLEALPQEILIRVLCGVEHDDLKQLIRVSKTINEATLIAKDSHFAYSTPSKVRRFRTAIELDDSSDFDEIEAPNACPTRRFRTPLNRKKLAEISIALFAEEEDQWPKKKLPIWTEM >KGN46676 pep chromosome:ASM407v2:6:8386621:8387092:-1 gene:Csa_6G121440 transcript:KGN46676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHCAGTDNQVSFMPELDSPTTNLSPPNYSLQARAPIALPPPVFLQLPHFQSPKIPTSFATNFMLRYTYNQGIGVTPLYGPSSFSHGATFQVPPNSGGAATVSECDRKDTELEFGLASPSSCQGDMWK >KGN46596 pep chromosome:ASM407v2:6:7595875:7603266:-1 gene:Csa_6G111370 transcript:KGN46596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKLSRRSVTAILRSGGAYNHRHAASALPPATHASHLSHSVVESDVNVRRYSLLTVGQLDSAKPSSQLNLKHTFSLARFESTATASDASATPPVEKYEYQAEVSRLMDLIVNSLYSNKEVFLRELISNASDALDKLRFLSVTDSGLLKEAVDFDIRIQTDKDNGILSITDTGIGMTRQELVDCLGTIAQSGTAKFLKALKDSKDAGGDNNLIGQFGVGFYSAFLVADRVVVSTKSPKSDKQYVWEGEANASSYTIREETDPEKQLPRGTCLTLYLKRDDKGFAHPERIQKLVKNYSQFVSFPIYTWQEKGFTKEVEVEEDPTEASKDGQDGKTEKKKKTKTVVEKYWDWELTNETQPIWLRNPKEVSTEDYNEFYKKTFNEYLDPLASSHFTTEGEVEFRSILYVPAVSPVGKEDFFNSKTKNIRLYVKRVFISDDFDGELFPRYLSFVKGVVDSNDLPLNVSREILQESRIVRIMRKRLVRKAFDMILGLSMSENKEDYDKFWDNFGKHLKLGCIEDSENHKRIAPLLRFFSSQSEEYVISLDEYVANMKPEQKDIYYIASDSVTSAKNTPFLEKLLEKGLEVLYLVDPIDEVAIQNLKSYQEKNFVDISKEDLDLGDKNEEKEKEMKQEFGQTCDWIKKRLGDKVAGVRISSRLSSSPCVLVAGKFGWSANMEKLMKTQSVSNASNFDFMRSRRVFEVNAEHPIIKNLDAACKSNPSDEDALRAIDLLYDAALVSSGFTPENPAQLGGKIYEMMGMALSGKWYVPQPQSQSQPEPLEAEVEPVEADNQK >KGN49383 pep chromosome:ASM407v2:6:27999226:28003355:1 gene:Csa_6G522760 transcript:KGN49383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRENIFILEAGSAVEELPEAPFPYPFPLLLLLLLLQHCLQKLYLGKKNMHTSFCSPSSSSSLAIFKLNQNPLLPHLVSTPRLTLKRRPASTTRALLSAHRELVLKDFHERRALKIISGLQNLDRENVASVVTAADKGGATHVDIACDAELVKLAISLTSLPVCVSSVDPAEFLPAVEAGALMVEIGNYDSFYEAGIIFSADQILNLTKETRRLLPSVALSVTVPHTLGLPDQVKLAELLEQEGVDVIQTEGGKCSHPSKSGILGLIEKAAPTLAAAYSISRAVKIPVMCSSGLSAVTAPMAITAGAAGVGVGSAVNKLNNVVAMIAEVRSIADSLAPTAAQLSRPDSAFLNL >KGN46942 pep chromosome:ASM407v2:6:10741034:10744913:-1 gene:Csa_6G151790 transcript:KGN46942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLRSHNGFITRLWRRMYLIRVLKEKWGGNINGLISEKIYLVIGNITSPNLGLKDSYLLREMKDQIEIIVNLAATTKFDERYVVAFGTNTLGAKHLLNFAKQCSKLEVVVHVSTAYVSGEKEGIIEEIPYKMGESLNGTIGLNIEEEQKLVEETLNKLISKGATQQTISLTMKQLGLQRAKLYGWPNAYVFTKAMGEMIINDLKDHIPLVIIRPAIITSTYRQPFPGWMEGMRIIDTIAIGYGKGTITFLPFGFHSIFDAIPADMVVNAMIMTMIVHAREGKPCHVIYHVGSSRRNGIKYGDLTGFLEKYFVEKPWINRDGKAIKVRKTKIFNNMVTFGRYMAIRYSFLLKGLKVSNIMLCHWFQEKYDQHKKKYNHMMRLVQLYRPYLFFKATFDDTNTERLRRTTRNLDLEETFYFDPTVINWKDYFFDIHIPGLVKYVIK >KGN47790 pep chromosome:ASM407v2:6:18091474:18091821:1 gene:Csa_6G403320 transcript:KGN47790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWQNRAEKWQKPREMEANWSHPCEVFSENPSSTLHPPSLPNTNLHPPILLPFFNGPILDRPFPVSSGPDRPVPPSFNALTYLYLSLPCPCTMYSLIYFGLPSIMPKILPIRVRFQ >KGN48343 pep chromosome:ASM407v2:6:22145115:22145423:1 gene:Csa_6G483250 transcript:KGN48343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVGMGIGGSLQIVPMDLSDNTLCDLLVQHVMKHCQTVLQIDATYEGFIDGSIEIGMNGKTYSFKLKLKIKVGDAYVIKPVLVKIFFPFIGLPSIVSFEYLE >KGN48448 pep chromosome:ASM407v2:6:22933332:22934682:1 gene:Csa_6G487690 transcript:KGN48448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANEFGESTSMPHQNPSCSTNSDTTNNNDTGDFECNICFELAQDPIITLCGHLFCWPCLYRWLHHHSQCQECPVCKALIQEEKLVPLYGRGKIPSDPRLNTYPGLDIPNRPAGQRPQTAPPPVPNNFPNYGFGFAGGFMPMASARIGNFTLATAFGGLIPSLFNIQFHGFPDATVYGTTSGYPYAFNTFHGGHGHHFPQPSTRGQHADNVLKNLLLLVGVFVILALLWW >KGN49017 pep chromosome:ASM407v2:6:26245676:26248264:1 gene:Csa_6G510350 transcript:KGN49017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAKISDQHPTITVADLPHLTDNFPNLKTRTNPLQTNQFFHPIDGFYATSTDIVLRRIAFDLSGDTSPAHVAYRRAGPRRKVYFEPESVRAAIVTCGGLCPGMNTVIRELVVGLWELYGVRQIYGIVAGYRGFYSTEPVELNLKLVDNWHKRGGTALQTSRGGFDLKKIVDAIENHGFNQVYITGGDGTMRGAVKIFEEVRRRNLQVAVTGIPKTVDNDIGIIDRSFGFQTAVEMAQQAINAAHVEAESAVNGIGLVKLMGRSTGHIALHATLSSRDVDCCLIPEMAFYLEGNGGLFPFLERRLKENGHAVLVVAEGAGQGMIPRTEAQKEERDESGNPVFLDVGGWLKTELKNWWATTHPGELFTVKYIDPTYMIRAVPANATDNSYCTLLAHSAIHGVMAGYTGFVSGPINGNYSYIPLKEVAEAKNEVNTRDHKWAWVRSVTNQPDFVKS >KGN46859 pep chromosome:ASM407v2:6:10195168:10195728:-1 gene:Csa_6G147520 transcript:KGN46859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKHMRVKRPTSNSPRNAPKPNSPIASESKLIPSKAPKDRHAKVHGRDRRIRLPPLCAARVFQLTRELGNKTDGQTVEWLLKKAEPSIIALTGKNIASATLDLPGCSKPCDPSSSNTNSTTTSYFEEETLGGNNYAFPMVNECIPLPNYEFDLVSDFDMELFAHHIATLQEVAENSEQETEDDDD >KGN47185 pep chromosome:ASM407v2:6:12636285:12636737:-1 gene:Csa_6G194690 transcript:KGN47185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGLGVSVGGGYISRIIFEHGQKGSSSTDSFPSSSSNGTSVSPNSFYDNQTPPISTYQDAAPASTSSFYYDGGSRLTTFSDTDTLVASNLATSSFYKDGLPLTGFPHNATSASNDSSDAPIDDVYRTTSYDGDSTTLLDSVVDALFSSFY >KGN49079 pep chromosome:ASM407v2:6:26530396:26533379:1 gene:Csa_6G513430 transcript:KGN49079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVPSGNCSGGMMNFGDQNNNNNNNNGLCSMMMMMPLISASQQGGDGSSCTPFLPLPPPNYNNNNNTSICNYFMDETNNNNNDESSNGANSCCEVKAKIMAHPHYHRLLAAYVNCRKVGAPPEVVARLEEACASAVTMSSGNNGGGCIGEDPALDQFMEAYCEMLTKYEQELSKPFRDAMLFLQRIDSQFKALSISSSHLPPACGEAFDRNGSSEEEVDINGHSIDPQAEDRELKGQLLRKYSGYLGSLKQEFMKKRKKGKLPKEARQQLLDWWSRHYKWPYPSESQKLALAQSTGLDQKQINNWFINQRKRHWKPSEDMQFVVMDAAHPQYYMDTVLGNPFPLDISPALL >KGN48701 pep chromosome:ASM407v2:6:24585836:24588616:-1 gene:Csa_6G498970 transcript:KGN48701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSLSRFSLWFWNGKERETVANGSTPNSSSEFGTGLREPESLKFKRVDLPSSSKKVNKQKWLSKKETRIGWEYDFVMVPSGGDDMQMSDSGDEADWSIGWLEPHGPGFQSDDSFAVLVPSYSNRCKEVVEGSNVELLAAIKKLQNEFSPASDFRLK >KGN47236 pep chromosome:ASM407v2:6:13108118:13108411:1 gene:Csa_6G223510 transcript:KGN47236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METAFSSLSSSQQTCPLSSTQPRIREYDSIIRFFAAELDFEVEAFGATLLLLASFKDVMEELLEQPH >KGN45836 pep chromosome:ASM407v2:6:1594028:1595003:1 gene:Csa_6G014570 transcript:KGN45836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFGIRCGGNCGVLNLNDGCDHKPFPPPRSVVASTARLRKPRSYITAMKSLEPVIRRKNVDDEVISCENLDEWMKESVVDIVKNLREAPLFVRFYKENGKTARFETEKAVEEDRWPILENQWKNGAEATPEGIIFVQKLEDEEEEEEEVEMEGEPKAWGIVVQGRGVERGAPVCYLLKTSRAAGLGLWCTHFCLVRVKNFRETTKSQLQNCWLMQNQ >KGN47159 pep chromosome:ASM407v2:6:12485408:12488504:-1 gene:Csa_6G190990 transcript:KGN47159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQGLSCSATHENGLFSAVQCGDLEMVQLLLNNQPSLLHNTTLYDRQSPLHFAAANGQIEILSLLLERSVNPDLLNRHKQTPLMLAAMHGKISCLKKLLEVGANILKFDSLHGRTCLHYAAYYGHFDCLEVILSAAQSSPVAASWGFVRFVNIRDGKGATPLHLAARQRRPECIHILLDNGALVSASTGGYGCQGSTPLHLAARGGSLDCIRELLAWGADRLQRDSTGRIPYVIAMKHRHGTCAALLNPSSTEPLVWPSPLKFINELNQEAKALLEQALMEANREREKNILKTNSPGLPSPSHSDAVDDNLSEISDEDTELCSICFEQASTIEVQNCGHVMCAQCTLSLCCHSKPNPTTACLTPPVCPFCRSNILHLVVAKTKREEVDDHEAINSKQRKGRKSHNFSEGGSSSFKGLSSMTSFGKIGGGRNSGRIAAEENQSVDKLG >KGN47327 pep chromosome:ASM407v2:6:14272233:14278463:-1 gene:Csa_6G298490 transcript:KGN47327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITGSTSTSCVEALGAIDEEIPAESSGGCYKDLSLKDKLFKDMSISTPSAGRKNGRCLMPKRGSSTKDNVKLKEPSAKDVNWSGSKRGSCPRPAASSSVKRPIISTATKIVNKEERIPRIPVPKRDPIPTTISRAPRNAASIRASDAKSNPVAQRVNQVAQRAGSIPKMTTCKGPSINAKRALNKDVNASKSLKAKSSIEQPRRKLANPVLKVNPLRLQYGSTDSNEGLKAVTNSLISKPLSLNDDGTKKVSASITQNAASDGRSMLNQTQMPKPSGLRMPSPSMGFFGQKKVSSFQSVPPDTSELHSISKSSIPNVRLAGHSNPICQLATLVPRNVTKANDGEASEETNVVSCLGSGSSLEPVSHDKAKSALKVANIHSGKMNVSGASTMNEVLSIHGLENPVLEHLGDVTRIHDEIQDQLDECQSHRVPFNFGDSTKSHLDETNDLCLQGMRKALDDPLSGVQNCYDQSSEQVELTNSSNFKIERTSPDHERLGIGTSNSLKRSRSSIEFDRGGFGDVSNDSNGQERCSFEQDEAFETHKVRVLRTRKAEASDLDRCISNECNNTMQSTSVLCNSDSMHIDDEITTATMSSSKASQGNSCSLASQNDYTSCENKHFTRENNDVSECQPDGENDCSSIPHSTGDACLDNDQVNRNCKSRTDEMADIGSDMQQNNTSLEVGRNQNDHGGVEIACYAEAAETVPISRDLRPSDNENQLYEAHICIEPENVQYEDKQNFPVLSSVIDFDQLPGFSALQNCCIDQVEDSPKNNQGYCSIDDLLHRSSCEENNKEIIIDSVIDCSESSDVYPPECPSNCDPIASPKDNCSAHEEIRETRKGDNILGSLEIDASLRSSSCSTAKSSEFGKIPSGEGTSETSSKEIVSEASTTCNDQTFCSPTKDLGLLIAISSCENVQQYGRDKELDNLKSPEMNGTTLCQNESELSSEMDHLLETEMCSTYNDNAQLEARTICNDSPFCSLTKDSGPSISNDDILSRENIEQYMEAKDLENQEMTRNTLCQNESEINSETDHLHDTEMCSTCNDNPQSEAIITCNGSSFCSPTKALGSSIPNEDILSREKIEVYLEAIELENHKSPNMNGNLVSQNENELNSEMHRLDAETCSTYADNSQSLELRKSEVVGKQNVMGTKTSTNAAPFSEEWLAALEAAGEEILTMKTGAVQNSPPDKSQPEPGPWSPVKRKNNQGIGPFDCTKCTKAGLTP >KGN47751 pep chromosome:ASM407v2:6:17726602:17731012:1 gene:Csa_6G399760 transcript:KGN47751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVNRLQKAQEALDAEIKSFFDSAPPLRNIEDIGKDLRKFVEFNPPQAGTQIDRKVVCVTSGGTTVPLEQRCVRYIDNFSSGHRGAASTEYFLKAGYSVIFLYRKGTRQPYCSLLPDDPFLECLEFTQESGIQVRQPYSEAVKNAISEHHAMLHLVAISMRSIGPHALFYLAAAVSDFYVPWESMAEHKIQSGSGPLDMRLVQVPKMLSRLRSEWAPMAYCISFKLETDVKILLEKANAALRKYKMHMVIANELLTRKEEVTLVTTNEKIHVRRDPKLVGDIVEKHIIKHVVDKHSAYVDNFDHKVSRASGS >KGN47706 pep chromosome:ASM407v2:6:17248336:17248614:-1 gene:Csa_6G382940 transcript:KGN47706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSITFPIHGSHCCQSNFQQKISSLMKNHTRSQKLGLLLGTEEIGETQKQAEKARAGLERMEGKFKSLSLVGVGALFGSGSTFFILKLLHKQV >KGN46986 pep chromosome:ASM407v2:6:11040262:11042153:-1 gene:Csa_6G157120 transcript:KGN46986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIGPLSQDWEPVVIRKKAPNAAAKKDEKAVNAARRAGAEIETIKKSAAGSNKSASSSTTLNTRKLDEETENLSHDRVPTELKKAIMHARTEKKLTQSQLAQLINEKPQVIQEYESGKAIPNQQIITKLERALGAKLRGKK >KGN47285 pep chromosome:ASM407v2:6:13903675:13904121:1 gene:Csa_6G284720 transcript:KGN47285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGNDDVSVVKQRALSGFKRAYDVAVNRKAMAAKGDLPSSSHRNGRLMGFKLVQLNRPLGSTPRARELNAPSLEDGPDGPEYEKRLDGLKVTNNVGPTGADSKVVRLELI >KGN46754 pep chromosome:ASM407v2:6:9089591:9094056:1 gene:Csa_6G128640 transcript:KGN46754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFRRRRQCFPSCASLHKVDEDEIYWRRRRGDEELEWSHSSTYVILQLAQCFTNAMVGPRSWIVGLFNRSGNRRNDKFLQYPLSPLQEERLQRLQDRMHIPFDETCVDHQEALRALWNAAYPNIELKGMISEQWKEMGWQGPNPSTDFRGCGFISLENLLYFSRMFPASFRRLLLKEDGNRATWEYPFAVAGINVSFMLIQMLDLNAEKPRNLPGLNFVRLLGENEEAFDVLYCVAFEMMDAQWLAMHASYMEFNEVLQVTRTQLERELSLEDVHRIQDLPAYNLLHQ >KGN46001 pep chromosome:ASM407v2:6:3276889:3277543:1 gene:Csa_6G042360 transcript:KGN46001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSINQNHLLRLVLSCRKITAQVTNPATSSIIAMASSSEQEFVAYYRSKLHRFPRSNNFWDSKVASRVGEKLGHRLKEIGVSDVRIDLAEELSRPIYYRKMVLPLFDSVQRSGVAVDGAEKLGTGSI >KGN47228 pep chromosome:ASM407v2:6:13003886:13008709:1 gene:Csa_6G216960 transcript:KGN47228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFFFSATLDDRSGNGRRTQPDLAVVAMGNPPLSPPLFSPSSFFTIPPGISPTQLLDSPLLLNSSRILLSPSTGDLRKSASNCSGHHQQNVKQEHNNITKFSFPPNHTTKSSSSSSIFQSSSTSEVQTQAWGLEWENDDRGDGWGMRNRSGSEDGFNWRKYGQKVVKGSENPRSYYKCTFPNCPVRKQVERSLNNNGQITEIVYKSKHNHPKPDFTRRSSSSFSSSSSSSSFEPAQLKSSIPSHSVTTPENSSITIGDDHSDQAHPRRWKSESSEKEEMIRGGGGVKTMREERIVVQTISNVDKLDDGYWWRKYGQKVVKGNPNPRSYYKCTYPGCGVRKHIERASHDFRAVVTTYEGKHNHDIPTARAGKPILSNQQGRNNEVVSSSIGGDPRSSSKICSGTNGLLRLTNMLWNNKEEVVDNIYELL >KGN46719 pep chromosome:ASM407v2:6:8775168:8775745:-1 gene:Csa_6G127310 transcript:KGN46719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIELPKGLIERLSREPEKKFGIERLKALAAQEFTEKIELEQAEKWIRTLEKSFRVVQCAEESKVEFTVLLQCKKEQKIALAMRCDYAKLVDVALRAERSLGGKRSSTMVS >KGN48176 pep chromosome:ASM407v2:6:20908095:20911658:1 gene:Csa_6G446370 transcript:KGN48176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRREIFAFGLTRPIDFQLPCKDSQKHLLGRLLSIVLSPVSTFFGHFSIWVFFQKFPKEVPIHGCCDLVRNVATGVMSWFCSLCNGDKREKPMNSTPVMSITSTNADIEAGRSGSELNSQNVSNSSSESSRRNYILSMSERASNLRVFTVSDLKSATKNFSRTFMVGEGGFGCVYRGSIRSADNPSQKIEVAVKQLGKRGLQGHKEWVTEVNFLGFVEHPNLVKLIGYCADDDERGIQRLLVYEFLANGSVLDHLSSRSETTLSWAMRLRIAQDAARGLTYLHEELDVQIIFRDFKSSNILLDEQWNAKLSDFGLARLGPPEGFTHVSTAIVGTMGYAAPEYVQTGRLTSKTDVWSYGVFLYELITGRIPIDRNRPKSEQKLLEWVKPYLSDTKKFQLILDPRLKGKSHIKSAYKLSNVANRCLVRNPKNRPKMSDILEMVSRIAETWTETGNSQTPLAPYTMKNSQGRPIRKC >KGN46818 pep chromosome:ASM407v2:6:9834053:9834277:1 gene:Csa_6G139180 transcript:KGN46818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISGNLENKIESKKDNLKDLGAISLLCRSRMETRKDLIGELGVISGRFWSGSLKILVIDMLDFRCKDMQRLKF >KGN47959 pep chromosome:ASM407v2:6:19368373:19371766:-1 gene:Csa_6G421040 transcript:KGN47959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDENSAPTTSTMQCRSLHGLHKPPTPSGERRFLIFLAFSLLWSFADGAFDYADALSKSLLYFESQRSGRLPYNQRVNWRDHSGLTDGLEQGVDLVGGYYDAGDHVKFGLPMAFTITMLSWSVIEYRREIEAAGELEHALEAIKWGTDYFIKAHTSPNVLWAEVGDGDTDHYCWQRPEDMTTSRQAYKIDEKKPGSDLAGETAAAMAAASIVFRKTNSHYSHLLLHHAQQLFEFGDKFRGKYDASVGTVKSYYASVSGYKDELLWAALWLFEATDNQQYLEYVVNKAHCFGGIGWAISEFSWDVKYAGVQLMASKFLMQGRHQNKEQTKILKQYQSKAEYYLCTILNKNNASNVDRTPAGLLFIRQWNNMQYVSTASFLLTVYSDYLRRSNLHLNCPTGPVDPDDLFILAKQQIDYILGSNPMNMSYFVGFGSKFPTRVHHRGASIVSYRENKAFIGCTQGYDNWYGKGDRNPNVVVGALVGGPDCQDNFADERGNYMQTEACTYNTAPLVGIFAKLSQFEGSDPGRTRSDQLLHASY >KGN47899 pep chromosome:ASM407v2:6:18941840:18951240:-1 gene:Csa_6G410050 transcript:KGN47899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMRILHHNSVFRIHSSPLFLKSTPFFQMHPPLLKTSPRRPHRFSINSVSENPFQSSQSIPKTPEKPQPRTLFPSGFKRPEIKVPCVVLQLDAAEVLAGDDALDLVDRAVSKWVGIVVLNSGEGGGGKLYEAACKLKSLVGDRAYLLIAERVDIATAVGASGVVLSDQGLPPIVARNTMLDSTSDSLFLPLVARNVKSSISAVNASKSEGADFLLYDFDEEKLDMTTDSVFKNVKIPIFILFSSYGANVTFHEALKWLEFGASGLVISLQALRLLSNDDVGKLFDSIFTENGRKEDDIESSNSSSLFNMGNGALGTTQVAGFANLEDREKQVIETEKLVLREAINVIQKAAPLMEEVSLLNDSVSQIDEPFMLAIVGEFNSGKSTVINALLGRRYLKDGVVPTTNEITFLKFSELNSSEQQRCERHPDGQYICYLPAPILNEMNIVDTPGTNVILERQQRLTEEFVPRADLLLFVISADRPLTESEVNFLRYTLQWKKKVVFVLNKSDLYQNSDELEEALSFVKENAAKLLNTEHVFVFPVSARYALDEKLSATLESGEVLSPSSSYWRSSSFHELENFLYSFLDGSTSNGKERMKLKLQTPVSIAERLLSAAETLVRQEIRFAKQDLASLNELVDGVRNYGLKMENESIIWRRQALSLIDSTQSRIMKLVESTLQLSNLDIAAYYVLKGEKTTTLSATSKIQNDIISPALADAQKLLQDYESWLQSGNANEGTVYQESLQKLWPSIVFPATQMHFETYELLKKVDDLSLKVIKNFSPSAASKLFDQEIREAFLGTFGGLGAAGLSASLLTTVLPTTIEDLLALGLCSAGGFLAISNFPSRRQQLVSKVKRTADGFARELEAAMQEDLNEAVRNLETFVSVISKPYRDDTQDRLDKLLEIQDELCNVGKKLQKLQNEIQNLHVL >KGN47153 pep chromosome:ASM407v2:6:12437227:12439548:-1 gene:Csa_6G190440 transcript:KGN47153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSGPYIKSPLSPFRSTGHSLMLRLTIHGRLENWHCLRQTCGCEQLLNFPAMENCLVGSHGMAVQPVYADANPYALKVTYRFGMSKQKDNKPQKPFPEVEDMEFGPGEETCAGTKGTRILQFWVLWVSTPLKESPTFVLFFFLLLFKDDSKQKRLSLISI >KGN48200 pep chromosome:ASM407v2:6:21057933:21058790:-1 gene:Csa_6G448080 transcript:KGN48200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSNVDDGDHFLPAANTRRREIPMDPSNALHPANVYDGDHFLPAANTSLREIPKSPPMCLLYGYILAGNQPSDHAAFKIQYLSCSFEDNGEIFGGQENEHGECVFDIYFSQDDNDYLVKLVFGPVLELSDEDSQQEEEELESDHSYDYDEEIFEDEQSDDNLEPVGYRICNLYFPGDFYYVVRLVFENEEEDRFLVKEDEFLFEKLQRAAGISSDGGEEEEEEEDQEEEEEEAVPTIVDKSSGDLGEIVSENSSKLMELKIEEHDDDVRVELPETGKGTENGIK >KGN47124 pep chromosome:ASM407v2:6:12275830:12283022:1 gene:Csa_6G188660 transcript:KGN47124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEPDQTGNEKNREKSGFKQISPCGSMHYNRMQTYLYSTLYSPFLVRLSPLSPFSLSPPSFPLFSLSSSSPFSLLHRPPLSFYFTQLYQSKNLIRIPWIFSLEFSLQFSPNTKFFLGLGLWKESEVDRARGGSVMATSTSSIYINVIEDVINKLRDEFVDNGPGEDVLKELQGMWEAKMMQAGAVTGPIERSAPSKPTPGGPITPVHDLNVPYEGTEEYETPTADLLFPPTPLQTPIQTPLPGTADNAMYNVPTGPSDHSASGTDASPAATTPGGSGNNNVEVRSGRPTPYMQPPSWMGQRTPVDVNIAYVEGREEAADRGAAGAHPSLTQDFFMMNSGKRKRDDFASQYQANGFIPQQDGAGDAANSVFEIEISGCNSSDGRPGAITSAKSATSSHIERSLLKIPQLDGPMPDPYDDVLSTPNIYNYQGVFNEDYNIANTPAPNDPPAGTPAVVTQDDVNEEEEDSEPSLNEDDDDDDLDDVDQGEELSTQHLVLAQFDKVTRTKSRWKCTLKDGIMHINNKDILFNKATGEFDF >KGN47521 pep chromosome:ASM407v2:6:15877918:15881775:1 gene:Csa_6G355400 transcript:KGN47521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGAVLVALAASVGNFLQGWDNATIAGAMVYIKKDMVLNSSVEGLIVAISLIGATIITTCSGPVSDWVGRRPMLILSSLLYILSGLIMLWSPNVLVLCIARLLDGFGIGLAVTLVPVYISETAPSEIRGLLNTLPQFTGSGGMFISYCMVFYMSLSVSTSWRLMLGVLSIPSVLYFILTVFFLPESPRWLVSKGKMLEAKKVLQRLRGIEDVSGEMALLVEGLGIGGETSIEEYIIGPAEEIDGDIADQKDKIRLYGPGEGLSWVAKPVTGQSSLVLASRQGSLINRSMLMDPLVTLFGSVHEKLPESGSMIFPNFGSMFSTAEPHVKNEQWDEESQRGDDYASEAGGMDSDDNLHSPLISRQTTSMDKDIVPPPSHGSIFSVRRHSSLMQGNIETVGNTGIGGGWQLAWKWSEKGEDGKEGGFKRIYLHPEDIPGSRRGSILSLPGEDVHADGEVIQAAALVSQPALVSKELKDQHPVGPAMVHPSETVSKTPIWSALLEPGVKHALIVGIGIQILQQFSGINGVLYYTPQILEEAGVEVLLSNMGIGSESASFLISAFTTFLMLPCIGVAMRLMDVSGRRWLLLATIPVLIVSLLILIVFKLVTVSTIVNAAISTICVVVYFCVFVMAYGPIPNILCSEIFPTRVRGLCIAICSMVFWTGDIIVTYSLPVMLSAIGLAGVFGIYAFVCIISWIFVYLKVPETKGMPLEVIAEFFSVGARQAAKGSNN >KGN48229 pep chromosome:ASM407v2:6:21321571:21323645:-1 gene:Csa_6G449850 transcript:KGN48229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESSSSIKNKAGASKCSSDEEEKVGNIDLGLSMKNLSLGPKKKLLIMGIGGFLCHRVCYRYGRQKIPTHRLPDASYGSFYVYKRPFCEDFMKFCLERFEVGIWSSAKEWYLDSALDSIMHGLRSRLLFAWDQGECTKTCFFDLENKSKPIFLKELKKVWEGNGVGILRSSTQFCSSNTLLIDNEPYKVLLNPPNTAIFPNEYKADDTNDDALGAGSELRRFLEKVADAKDVSNFIKHNPFGNPPISPHHPNWDFYSKIITSYK >KGN47111 pep chromosome:ASM407v2:6:12190880:12195767:1 gene:Csa_6G188040 transcript:KGN47111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPNLLLCSHRLDNSRKKKRKNGVVRKNSEFEDEQLQSPFSVPFQRDPLEKQSRFSLRSDYSCCRGSTLKEKKKGEMASKVSNFSDLIQRVTASCLLHPLAAVRHDSAEIASKNRNVHDVGYDSDDLEEDEEEVEEVVVAAEEAEDWCREGIRARQVPKGELVGVEKLVDMEILMNEVFEVVSAMKKAYVSLQDAHCPWDPERMRVADVAVVAELRRLGVLRERFRRSLIVHGSGRGRRRNGVVGMLKEVVAPYEAAMEELKKEVKARDVEVENLKEKLKNSMTLAKGSSYGGKKGRSQSKRKVSCSFGQVAASPVPELFEATMSQVKEASKAFTSLLLSLMRSAHWDIAAAVRSIESAIAESDNTYLDTVATPHHAKFALESYISRKIFHGFDHETFYMDGSLSSLLNPEQFRRDCFTQYRDMKAMDPAELLGILPTCHFGKFCSKKYLSIVHPKMEESLFGDSEQRRQILAGNHPRSQFYAEFLGLAKAVWLLHLLAFSLDPAPSQFEASRGAEFHVQYMESVVKFSCGRASTSLIVGFPVSPGFKLGNGSVIKARVFLVSKS >KGN46993 pep chromosome:ASM407v2:6:11096480:11100987:1 gene:Csa_6G157670 transcript:KGN46993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNADDVRSVFPLTNLQIGDLQSYLSDLSLFLAPESKRFYILVDNRPWLREFGSRPARLWQLMVTKSRLSPFAIRKVRKDRNSERVAYQRPKITKPKKLLRWFPLVNAVTLSRKKLLLPMPPVKNLRKSFVLNNELHRTLYGFIVFAVSWDNVRGINYFNELQTDTSVAIEAKYMQRWEFDSISQAAASMSTWFLGSPTDGKLLKKYLESVTGEIFYDALDDFHDVEDSYLNSIPIMEDEIISYKNLYMNNDFLECADDELNLHSTTAKDSTNTLQTPPPTGPNKRRKVTKFIVSQNEDDTYLDERKNRVIDLASVPENHNINCQDVVEATQYKDVLILFRFNDRDLPFKLRQVIMPDLRLLTLLEAGLPSWVIFLQSYPVFCHLYRPWMCPLARALYVLVSVITVLIGFYDLYKNVPLLKAAASRLCGPLLDWIETWEMVSRIKYLGTMLFLHNFEKAVTWFLTISRTTRSFLSIMAQPLAGPIMELVGFLLPVGSTFIEVVGNFFSVIWDVIEFCCTMVVDSIELLFMPVWFIFSTVWSCVTMILLPILWIISKILYTPIRAVLSLASFITYICTSIYDMFGDIQIFLSSVFQVASVSEATVTASEVSVWRTLWNDLFSQVFRAVRSILNGFVAFFTACNRHRLSIYNHVQECFERLSGRMRGSEQEASSSRHIYMPHRLTSIYGQSTETCKVHTD >KGN48903 pep chromosome:ASM407v2:6:25711450:25712916:-1 gene:Csa_6G505810 transcript:KGN48903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARHILKRCSSFGKRTNGCNEDGLPEDVPKGHFAVYVGENRSRYIVPISWLAHPQFQSLLQRAEEEFGFNHDMGITIPCEEVVFRSLTSMIKIR >KGN49408 pep chromosome:ASM407v2:6:28156350:28162930:1 gene:Csa_6G523980 transcript:KGN49408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGKRPYGQRDYNGDNKGYKRRITEQDDWVSDELVVYRILCPDEVIGSVIGKSGKVINSIRQETRAKIKVVDPFPGAKDRVITIFCFVKDKEDVEVDDEFNDRQTLCAAQDALLKVHAAIANALASAGDLDRKQRDKEQCQILIPSSQSANVIGKAGSTIKKLRSKTRTSIKISPKDATDPIHSCAMDFDNFAVIAGEPEGVRRALFAISSIMYKFPPREEIPLDTNVNEAPPNIIIPSDVPLYSAGGLYPSADPILPPRSIPPMLGAQHVQDLHDYPESGNAWPLYSSSLPVVPGGISGHPPSEELVVRVLCPFDNIGRVIGKGGGTIKSIRQASGARVEVDDTKRDCDECIITISSFESLDDLKSMAVETVLLLQEKINDDEGGTVIMRLLIPSKVIGCIIGKSGSIINEIRKSTRADIRISKGDKLKCASSSDELVEVTGKVGCVRDALVQIVLRLRDDALKERDVGHNSAIVTDSMYSSGSSFSMPSVLPSVSPGAPPMGYDQRAESGSGLGVLSSSGLYGSGSLSMGDNGYGYMSSYSSKLYGGLPPPSSLEMLIPANAAGKVIGKGGANIANIRKISGALIEISDSKSSRGDRIALISGTSEQKRTAENLIQAFIMAT >KGN46854 pep chromosome:ASM407v2:6:10171441:10172088:-1 gene:Csa_6G147480 transcript:KGN46854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLACLVSFFFLVLSLLGLSQAISSCREPCQTLKNCKGQLICINGQCNDDPDVGTRICSTDSDNGADGEKFRCEAFGRLHCKGKSFPQFKCSPRVTSSTRAILTNNDFSKGGDGGDPSECDGKFHHNSQPIVALSTGWYNGGSRCGKKIRITARNGRSVLAKVVDECDTINGCDKAHAHQPPCRNNIVDGSNGVWHALGLDINVGEEPVVWSDA >KGN47121 pep chromosome:ASM407v2:6:12260212:12262873:1 gene:Csa_6G188140 transcript:KGN47121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKDAAVVAREDLLAQLRTVRKQLKEAEEEQYRAEEDAAALRAELNSVQQQAMSGSFAGTTPTANPFDRMQDLEKEITKLNSELQKMSILRQQDLQKLSEEQSRISTLLSEKQELEEKLASTSRKASEISEKAVEKTFSVEEKNKLEKQLHDMAVVIERLESSRQKLLMEIDSQSSEIERLFEENSTLSNSYHEAVGIGAHWENQVKDCLKQNEALRRVLDELRTEQARSLPMSYRDGAVETQSPPLAAELLSLKGQLAKEQSRAESLSAEVLELSTRLQQATQAYNGLTRLYKPVLRNIESSLFKMKQDGSVVVR >KGN47411 pep chromosome:ASM407v2:6:14935345:14942117:1 gene:Csa_6G314110 transcript:KGN47411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGAMATYAGAALIIYYFLSRRLAAKGDEDDRSGNLSKSIRSCRRRISRRPAQAPATWFETITTLSETLRFTYSETLGKWPIGDLAFGINYLMRRQGNLQVANVYAGNDSVQLKGPEIIAELKSFLRLLTFCMLFSKKPFPIFLESAGYSQEEVLIQKPKAGILKPAFTIIRDSSSKCFLLLIRGTHSIKDTLTAVTGAVVPFHHSVLHDGGISNLVLGYAHFGMVAAARWIAKLSTPFLLKGLDDFPDYKIKIVGHSLGGGTAALLTYILREQTEFSSSTCITFAPAACMTWELAESGKQFITTIINGSDLVPSFSAASIDDLRSEVTASSWLNDLRDQVERTRVLNVVYRSASALGSRLPSIATAKAKVAGAGALLRPVSTTTQAAVKSAVVRTRSSLSSWSCMGARRRNGNILSNPTEELPEVPLMTERNHESLKCEEVRINGIEKKKKPEFGSSCDDSSDHDTDEEQHHIITGERIIASTDVEDITDGELWYELEKELQRQEKKVDANTREAKVATVGKEIKEEEESMLTDVEGSSEKPLSSLDASENIRFYPPGKTMHIVSTPSPNSDNLVQDDEDESTQEIVGIYETPRELYSKLRLSRTMINDHYMPMYKKMMESLINQLEKDVISNYEM >KGN49202 pep chromosome:ASM407v2:6:27070484:27071564:1 gene:Csa_6G517100 transcript:KGN49202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCCLSSTQSFSSPNKFHSNSVNVSRDPPSSMEEETVKEVLSETPALKPPQKNNSAPEQDEFRKPLGDEIEKKLSEIPINGIPEQPSEFYEISHMNKCISVSAATFTDQTDGGGEVHQTVLKSSPVKLTKNQSVSSDVELKREIQQSRTLTRRSDQSPVRRNGAVGSIRMVHNRDMSPAMARRGLRAEPPRRDPDENSSRRSHSPSTARSDSAGYRSALSRTPSARKSGKSSPITAMTATSQKVVEENNIVDGKFNTQIESLENPLVSLECFIFL >KGN47302 pep chromosome:ASM407v2:6:14036633:14039633:1 gene:Csa_6G290830 transcript:KGN47302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSALPPSYTTSHPPGLGPSTGEPENFGDQAMEFLKAAGEMALEFGKGCRDIVVQSFGDNESYLVKTFGKGSFIGKRVRGPCEKVFGKLRFFNEYLPEDKDPFHVWMVILSVSVLVLAVLSLSAERDDITISPIKKIYIHPPSARRVMLPDGRFLAYKEQGVSAETARFSLIGPHTFLSSRLAGMPGLKSSLLEEFGIRLLTYDLPGFGESDPHPQRNLESSAMDMSFLANALGVNDRFWVIGYSTGSMHAWAALRYIPHKLAGAAMFAPMVNPYDPSMTKDERHWTWQKWSRKRKLLYFLARRFPTVLPLFYRRSFLSGKHDQIDKWLALSLGKRDRALVEDPIYEEFWQRDVEESIRQGIAKPFVEEATLLVSDWGFRLHDLRLQKLRVKSVIHWLKSLIGDVQEEFTGFLGPIHIWQGMDDMVVPPSMTDFVHRILPGAAVHKLPYEGHYTYIYFCDECHRQIFTTLFGSPEGQLNISRSVDQSSLDRDTEDLKVQTINDSATE >KGN49446 pep chromosome:ASM407v2:6:28356426:28360836:1 gene:Csa_6G525330 transcript:KGN49446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGRPRKPQKPEEAEASAVEAAKLQNLQSQLLANHHQKNYAKEALEVSANLLEMNPDLYTAWNYRKLAVEHYLKESSSDIVSIEAILNEELRVAESALRQNVKSYGAWYHRKYILSKGHSSTDHELRLLGKFQKLDARNFHAWNYRRFVAGLMNIPEDKELKYTTDMIDTNFSNYSAWHNRSALLAKLLNQKAEGYFPMEKVLNEEYELVHQAIFTDPDDQSGWFYHLWLLDQTVKANPPYLVSSWPPHSFNVALSRTRCLDNHTPSPFCSFYSDSGTIPLILYFDQPVQGVDSSSVIVKSTANLRDLIWKPLSKCNRDTSKAWISHLTFPQEELNSEFYSVEVSIGHSQKIASATGFHHVKPTQISFKVAVNFKETPSEDFGNERIRWKDENFTSCGISPHNFPFGSDNSTSEGDYAPSTSEWCVETINNEIALFRELLSETDCKIGKLTLARLLMAHAATSPHANEMIQLEEVLDLYQDLMKFDPSHFHFYKDEHSLVLLQKVTSTRESLLRHCYSYKEQTSPYIDSTACLRLNNLSISRIGCVEKILWVQLLDLSHNELGSIDGLESMQLLSCLSLSNNKIGSFTALEPLRLLKSLKVLDISYNEIGSHSIDTTRYLFSSPLSHSEEIDLSSDEMATNCTDLASYWEAYFLFKDISLMQLDIEGNTISSESFKAFLVKILPKLHWLDGKQVQ >KGN46926 pep chromosome:ASM407v2:6:10644374:10646212:1 gene:Csa_6G151630 transcript:KGN46926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCHLFWQSLFILVHMFQVSVYILLAKFTRIFISKSKEFVDALSLPQHASRTLEGSRAEHLGLHKALCWLMGWSSEIAPNGLWVRMILPPVEVLALKEDLIIWPSVLIIHNSSIAIDKRYEGVAISCEKLEAAVRAMGCGGKFKVVRGKAVNQSIMVVTFGAMFYGLQEAERLHNNFADKSHGRDEFHKINLRCLVDSNVDMHKATGANTLESVRYGYLGLVEDLDKLDFETKKRSVVRSKKEIQAIVHASLQC >KGN47990 pep chromosome:ASM407v2:6:19568501:19575093:-1 gene:Csa_6G422830 transcript:KGN47990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVMEADKTDTIRAEELKFQANEAFKAHKYAQAIDLYTQAIELNGQNAVYWANRAFAHTKLEEYGSAIQDASKAIEIDPKYSKGYYRRGAAFLAMGKLKEALKDFQQLKKICPNDPDAAKKLKECEKAVMKLKFEEAISVPESEKRSVADSIDFHLIDVEPKYTGAKIEGDVVTLDFVKKMIDDFKNQKSLHKRYAFQIVLQVREIMRALPSLVDINIPEGRHLTVCGDVHGQFYDLLNIFELNGLPSDENPYLFNGDFVDRGSFSVEVILTLFAFKCMSPSAMHLSRGNHESKSMNKIYGFEGEVRSKLNETFVELFAEVFCSLPLAYVLNEKVFVAHGGLFSVDGVKLSDIRAIDRFCEPPEEGLMCEILWSDPQPNPGRGPSKRGVGLAFGADVTKRFLQENNLDLIVRSHEVKDEGYEIEHDGKLITVFSAPNYCDQMGNKGAFIRFEAPDMKPNIVTFSAVPHPDVKPMAYANNFYRMFQ >KGN47586 pep chromosome:ASM407v2:6:16350992:16355916:1 gene:Csa_6G362930 transcript:KGN47586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPAVLSSHTNYTNGDNSSHESVINNVSGDDCHWYEEIIDENLKWSFALNRVLQQGTSEFQDIALLDTKRFGKALMIDGKMQSAEADEFVYHECLIHPALLCHSNPKSVFIMGGGEGSAAREVLKHKSIEKVVMCDIDQDVVDFCRKHLTVNREAFCHKKLHLVINDARVELEKRKEKYDVIIGDLADPVKDGPCYKLYTKSFYHDIVKPKLHHNGIFVTQAGPAGIFTHKEVFTSIYNTIKQTFNYVIAYTAYIPSFADTWGWVMASDHPFCIKSEELDKRIEDRINGELLYLTGPSIVSSTIINKIVSLALLNETHIYTEATAKFIHGQGVVGCKQLNGGSCGRGV >KGN48956 pep chromosome:ASM407v2:6:25985857:25989794:1 gene:Csa_6G507290 transcript:KGN48956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSSMNFLRACWMPSSDNIVHRGSNSAGRHEGLLWYKDIGQHMNGEFSMAVVQANNLLEDQSQIESGSLSFLDSGPYGTFIGVYDGHGGPEASNYVYDNLFQHLKRFTSEQQSMSTDVIKKAFQATEEGFISLVTKQWQMKPQIAAVGSCCLVGVICDGTLYIANLGDSRAVLGRLMRSTEEVIAVQLSAEHNVSIESVRREMHSLHPDDSHIVVLKHNVWRVKGLLQVSRSIGDVYLKKPEFNREPLYSKFRLREPFTRPLLSCEPSIAVYELQPHDQFIIFASDGLWEHISNQQAVDLIRSHPHNGSAKRLVKVAMLEAAKKREMRYSDLKDIDRGIRRHFHDDITVIVVFLDSNLVSRASSVRGPTTSLRGCGVILPTKSLARCTTPTELNTIS >KGN48887 pep chromosome:ASM407v2:6:25617174:25619128:-1 gene:Csa_6G504670 transcript:KGN48887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEGTREMQSSVDSKKKGVEEEKAEKEKEKEEMVKLPPPPEKPLPGDCCGSGCVRCVWDVYYEELEDYNKLCEKGSGGTKKEGDDPSKAQMMTTTTTTMMRGFHFQLTLVLAVITLAALYPRDALTGAEAATSTSAFVQNVIYSNRIAMFSKSYCPYCLGAKRIFSELHEKPFVVELDLRDDGSQIQSVLLDLTGKRTVPQIFVNGKHIGGSDDLKAAVANGQLQKLLAST >KGN49329 pep chromosome:ASM407v2:6:27731711:27732609:-1 gene:Csa_6G520270 transcript:KGN49329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIYIPTPSTFRQVENEKQRKSIGKPLPITHPRKPGSSTSKLQCIPATSSLQLQQANKRKNPNREKHRENTHGESKARPYSPLKPLKNDGWCLETVEEDGKKQKEKKKNHLNQKRGKAVSNVTGWKIKAQISEQASHEAHEVQLERKVIEKSKTQETPLQRNGGADSETPPPPEGTSAVNRCVRFSTQNTPFPSKFIELHPLPLNSQNSEYY >KGN47218 pep chromosome:ASM407v2:6:12919493:12921094:1 gene:Csa_6G212890 transcript:KGN47218 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting serine/threonine-protein kinase MPEIEPSSPDSNALFGKYELGKLLGCGAFAKVYHARDLRSGQSVAVKVINKKKIYGTTLMFNIKREIAIMRRLRHPNIVKLHEVLATKSKIYFVMEFVKGGELFAKIAKGRFSEDLSRRFFHQLISAVGFCHTRGIYHRDLKPENLLVDENGNLKVSDFGLSAVTEQIRADGLLHTLCGTPAYVAPEILSKKGYDGAKVDVWSCGVILFVLSAGYLPFNDPNLMAMYKKIYKGEYRCPKWMSSDLKRFLSRLLDTNPDSRITIEEILNDPWFRKGGNTEINFYDNYEMKSEEKDESPSTDLNAFDIISFSSGLNLSGLFDNSQNVMDDSDRFISAESPEKIVEKIEKFGKAEDLKVKKQKEFGFELDAKNRVLVIGIELFRLTDELVVVEMRRRCGDSESYKKMLKKKLKIHLTGDDASTSTSQ >KGN48003 pep chromosome:ASM407v2:6:19688533:19690851:1 gene:Csa_6G423450 transcript:KGN48003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVSFEGGTIFRALILLISWPFLCLLDWESKFKAMIFISFCGLPIKDMTNVSKAVLPKFFLENLNLHVFEVFQATGSKVVFTSAPRVMVEGFLRNYLNVNDVVGTELQTYGRYYTGLLSQNGLLVKHKALLEYFGEQKPDLGIGSPNLHDHLFISLCKEGYVVNKEEEKKKGEVVGRERYPKPLIFHDGRLAFLPTPFASLCTFLWIPFGILLAIYRISLGIFLPYKLGLCLGHWSGLNVTLKGYTTTKTTKTTTKKGGVLFVCSHRTLLDPVFLSALIGKPLVAVTYSLSKFSEVIAPIKTVRLSREREKDGKKMQKLLEQGDLVVCPEGTTCREPYLLRFSPLFAELTDEIVPVAVDSWVSMFYGTTASGLKWLDPIFFLMNPRASYHMVVLPKIPKEKTCGGGGKSSYEVANYVQRQLGDVLGFQCTNLTRKDKYLMLAGNEGVVLQHHHQNSSKTTT >KGN45686 pep chromosome:ASM407v2:6:529587:531366:-1 gene:Csa_6G006720 transcript:KGN45686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFLNPILFVLILSSSTIPILSFSHFSPTDHYLIDCGSTLKSTVDHRIFLSDSSSSSSLFLSSPRSFSLTNQHPYQGLPPFYNSARVFEMPSKYEFQIKDEGTHMVRLHFQAFTSSDLDLIRARFHVLVNGYVVLSNFSGVSAVNPRIKEFLIWVGTETLEITFVPVKKSKFAFVNAIEVISAPKDLVADSAKYLSYEHSGNVDGLSKEGREVLYRVNVGGPKVTPFNDSFWRTWVPDDEHFESNNGSKKVYTTGRIKYQEGGASREVCPDNVYNSARVIKSTNSSIPNTNMTWTFPAINGYNYIVRLHFCDIASISIGFLFFNIYVNGHMAYENFDLSTAANWELSTAFYLDFMVSGDQQGVLRISIGSSNQSVPYAIDGLLNGIEIMKLNNSLGSFDGNLSTEMILKRCQGNTINLVPYIVILCLVVIVSLMLRQKVIRREDSFSWSKLPVVDSWKVKSNMGMGIVNS >KGN49427 pep chromosome:ASM407v2:6:28253526:28253708:-1 gene:Csa_6G524640 transcript:KGN49427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIDLLTLAMPCFAAKLKNDKGAAKHWLIFHIQSGSKERLLDEFGIHSFANFFTVAPALL >KGN49249 pep chromosome:ASM407v2:6:27333342:27336232:-1 gene:Csa_6G518060 transcript:KGN49249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDFWTSRLAAAKRQYMLQHHHQASNLDLLGIDDLEMDDDTRPHFPCPFCYENFDVMSLCSHLEDEHSCETRVTVCPICSVKVMGDMLSHITLHHGHLYKLQRRRRLRKISIPNSQALSLLSRDLREAHLQVLLGSSGYRTSTTNVPSATHDPFLSSLILNYPASDVEDISKSMLTSAEDVSSENVAPSPIWKSSFDPSLSQEEREKRMRQAIGRAGFMRDMLFSTLLEH >KGN46152 pep chromosome:ASM407v2:6:4516367:4517169:1 gene:Csa_6G057180 transcript:KGN46152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFADHLLAKASENLDCSRISEWIKGTGRYTSLIRRINASLESGGRLQGFVWFQGESDAALEVESQVYHQNLINFFKDLRDDLNQPTLPILLVKIANHDLNISPFISYVEDVRKAEEAVDHELLDVTTVDAKKAVQHVLNLGQEPYNHDGHLSVHTEVKIGIMLAKAYIKFSLK >KGN46699 pep chromosome:ASM407v2:6:8571915:8572262:-1 gene:Csa_6G124140 transcript:KGN46699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHRIIPSMLPIFLVILAMTGEAVALCSTVSVLIPGIGRLTLSRGGHSSSYGSNPAPRRQYIPGGDGNFIPNPGYEVRITADIGGVKETSYP >KGN45993 pep chromosome:ASM407v2:6:3173986:3174509:-1 gene:Csa_6G041780 transcript:KGN45993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKIIVSFENVVNGNYLYFDKYIDIAFADIDCVSEVNKAFIVASSSQLNDYFENVLKDDIVCSDVFASVAFRNWPSKGVCEVDC >KGN47918 pep chromosome:ASM407v2:6:19059974:19065438:1 gene:Csa_6G411220 transcript:KGN47918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSNVEIASTSKTNPDLPPQSSLSSISTIIADPSRSLVSITMDDLLKNIYADAQTHNQNPIIASSSSSIPSHELSSRTVDEVWKEIVSGGDQRRDPATDHEITLEDFLSKSGAVCDDDLRVPVISEPVGGYAVDSTLNNNQLQIPSQQLEGPMVGGYASGIDGRIVGVGRGKRRAVVEEPVDKATQQKQRRMIKNRESAARSRERKQAYTLELESLVTQLEQEHARLLREEAEHIKERSKQLKKKLIPISEKRRPQRNLRRVNSL >KGN45841 pep chromosome:ASM407v2:6:1626689:1628240:1 gene:Csa_6G014610 transcript:KGN45841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENELVELFEAAKKAADAAAAPSNDGGAEESRCLDALRQLKKFPVTYQILVSTQVGKRLRHLTKHPKKKIQEHASDLIEMWKEIVIKETNKNKKNGNASSKDSPKIGSPSAESVKVEKFQKSSSMKVERVSKVEQFDRNGATSSVKYSKSESVVSERNSVKVEKTDSMVKVERVVKEEKKPSSGAAAPPKLTSMIKSKDAARDKIRELLFEAFSKVPGEADEEFMDEVNASDPIRVAVSVESVMFENWGGSTGAQKAKYRSIMFNLKDPKNPDFRRKVLLGLIKPERMINMSTADMASDQRKRENEEIAQKALFDCERGGAPKATTDQFKCGRCGQRKTTYYQLQTRSADEPMTTFVTCVNCNNHWKFC >KGN48398 pep chromosome:ASM407v2:6:22561756:22562234:1 gene:Csa_6G486710 transcript:KGN48398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEESSSSPNSEEVLGAAQQLMQLSNDDNSSNVDVVVAEAKKNKKKDFGGDGGGGGGCREEEVDQKRCQIESSVWLKIEEIFGKDNEGDHCCHHHSSIINSRPKKRRFRSIQMAVHGDDGGRTLSTNLEYFF >KGN47157 pep chromosome:ASM407v2:6:12470909:12473592:1 gene:Csa_6G190480 transcript:KGN47157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSYLPATTESISEALEAKNSSDSISILYRVLENPSSSPEALRIKEQAITNLSDLLTQENRAEDLRNLLTQLRPFFNLIPKAKTAKIVRGIIDAVAKIPNTSDLQISLCKEMVQWTRAEKRTFLRQRVEARLAALLMENKEYPEALNLLTGLIKEVRRLDDKLLLVDIDLLESKLHFSLRNLPKAKAALTAARTAANAIYVPPAQQGTIDLQSGILHAEEKDYKTAYSYFFEAFEAFNALEDPRAVFSLKYMLLCKIMVNQADDVAGTVLSKAGLQYQGNELDAMKAVADAHSKRSLKLFETALRDYKAQLEEDPIVHRHLSSLYDTLLEQNLCRLIEPFSRVEIAHIAELIELPLDHVEKKLSQMILDKKFAGTLDQGAGCLIIFDDPKTDAIYPATLETISNIGKVVDSLYIRSAKIMA >KGN46206 pep chromosome:ASM407v2:6:4974468:4978434:-1 gene:Csa_6G074590 transcript:KGN46206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVGGHFWDLLKPNARTEGFDFLRNKRVAVDLSFWIVQHETAIKSTARSPHLRLTFFRTITLFAKFGAFPVFVVDGTPSPLKSKARIARFSRLSGVDTSDLPKVEDRISVHRNRKFAKCVKECVELLELFGVPVLEAKGEAEALCAELNQKGFVDACITADSDAFLFGAKCVIKSFNPNSKEPLECYFMSDIEAALGFNRNHLIAISLLVGNDHDLNGVQGVGLDTAVCFVQDYTDDEILNKLYEIGNEDTTPLQSGLELVNNYGQSSETNSRKIKCSHCSFCGHPGSKRAHIKFPCEYCDVSHGEGCIKKPDGFKCNCSSCNMDREEKEKKKQENWRLRVRNKIAMEPNFPSSELIQMYQCDNHSYFSDDDLVLSWGSPRTEMLVDFLVFHLQWEPSYIRQRMLPMLSTIFLREMANNPTQTLLYGQYEFDSILREKIRYGHSFYVVKWKKAVPAISSTYEDSSGEFGTGPDDAIDVDEAVNLTDESDSPKIHIQDGCSFLLTDENMELVAAAYPEQAAKFLQEKEMKRQKTPSTPACETSGKSDSSKAKGVQLSITEFYRATKTQQTADTTDLTNEDEETSTGKRKASSSSTLSKSVRRRLLFD >KGN49419 pep chromosome:ASM407v2:6:28206071:28212477:1 gene:Csa_6G524080 transcript:KGN49419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSMDLDGSSSLVASEGSGSVPAGHVQARRHTAYYRFTQQSLPACKPVLTPTWVISIFLLMGIIFVPVGFLVLHTSHSVAEIVYRYDTECVPVSYKNNMVAYIKDSSVPKLCSFSIKVNKTMKAPIYIYYQLDNYYQNHRRYVKSRSDKQLLHGLAYNDTSSCKPLQSHNGLPIVPCGLIAWSLFNDTYRFVLGKSELKVDRKNIAWASDREHKFGKHVYPFNFQNGSLIGGGNLDRNIPLSDHEDLIVWMRTAALPSFRKLYGRIEEDLHADDVLDIKIMNNYNTYSFGGTKKLVISTSSWLGGRNDFLGCAYIFLGSSSLLVSIFFTLLHMKSRPFREINFSSRNKGSSTD >KGN48455 pep chromosome:ASM407v2:6:22961578:22966083:-1 gene:Csa_6G487760 transcript:KGN48455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWTSNIESALRSNHGRSGHENFSLLHLFQHLNGGVFDDQEEVDYAKAVSLSEMEAVKQEALIIEKQKMKVITSQIEEDEELANAMQLSLVMECSLSNNSAHASSSRPFLASASKIICARCNTEISIREHVFEHNGIVWHIECLVCHTCKQLIKDDELCMFENRPYHTSCPRNLRHSKCYVCNNFIPHSNGVVEFREHPFWKQKHCPSHATDGTSICVSCERLQPKGINYILLKDGRSLCPNCSSFKIMDTNECQPLFHEIQEFFSSLNMKLNQEIPLGMVEREALNNAMEGEKNGHHHLSETRGLCLSEEQTIPIIHEMKHIGSRSILELLTKQRRLVRNCEVTAILILYGLPRLLTGSILAHEMMHAWLRLQGYPNLKPEIEEGICQVLAHMWLKSKINVGSETAMGAASSSSSLRPPRSNKDKKLSEIEKKLGECFIRQIELDDSQAYGDGFRVGEQAVSKYGLKKTLDHIKLTQTFPV >KGN46467 pep chromosome:ASM407v2:6:6613411:6614715:1 gene:Csa_6G095340 transcript:KGN46467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEDGCGLSHGSLVALSNDGSINGVKNGWRCKFNEPSRQQRRGTFQIFLNFELRGEVLLRLGGIFEFQNLGVRGSK >KGN48535 pep chromosome:ASM407v2:6:23501502:23504805:-1 gene:Csa_6G490990 transcript:KGN48535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDHKSGSMADQLSKQTSIFGLRLWVVLGVCVGAAFVLFLFLISLWIASKRSRKKISNRPTIPIVSKEIQEIRIDHPLPQNQEHNKPSRSHFQVDPLPEPEPIPGIERQALLSLPQEEERVNRIHIDIGKDHRISYPERLGRSSSSQGSGEGRCGGDQLPMVVPEVSHLGWGHWYTLRELEASTNGFSPDNVIGEGGYGIVYHGILEDGTQVAVKNLLNNRGQAEKEFKVEVEAIGRVRHKSLVRLLGYCAEGAHRMLVYEYINNGNLEQWLHGDVGSFSPLTWEIRMNIILGTAKGLAYLHEGLEPKVVHRDIKSSNILLDKQWNSKVSDFGLAKLLCSDNSYITTRVMGTFGYVAPEYASTGMLNERSDVYSFGILVMEIISGRNPVDYSRPPDEVNLIDWLKRMVSNRNPEGVLDPKLAEKPTTRALKRALLVALRCVDPNAQKRPKMGHIIHMLEAEDANFKGDRKAGKDPENSHSNNVNNGLNERQATELGGNNVDELAT >KGN45929 pep chromosome:ASM407v2:6:2353154:2361619:1 gene:Csa_6G023390 transcript:KGN45929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYELTEQKRVGLGLIGFGFFFTFLAVILFFDRGLLALGNMFWLIGVALLLGWHSTWNLFTSRANYKGSASFLLGLFFIFVRWPIIGILLEICGCFLLFGGFWPSIKGFLYQIPVLGWVLPYPIMILESLWRGSG >KGN48263 pep chromosome:ASM407v2:6:21577750:21578783:1 gene:Csa_6G452640 transcript:KGN48263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLPSPNPNSSLFLPHHPHLQESDQTLSEHDPQKPLEEEDESSRERLKRHRMAVAGHVWIPDKWGKEEFLKDWIDGSAFEASLFHSGIVSARCALARDAKRLSPNNNTATAAATATAAAGALRILNSSTC >KGN48637 pep chromosome:ASM407v2:6:24188456:24188899:-1 gene:Csa_6G496400 transcript:KGN48637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEIITQIKMSSVGRGTEGNSRLRIESEGERRKKKMKKMKKKKKKRRRRRGEKIGKSGDWEWWGGENEIGESILDKEKERGGVCALGDSAMVVGAATAHVKPRIATTPKGIFVTY >KGN47126 pep chromosome:ASM407v2:6:12287133:12290492:1 gene:Csa_6G188680 transcript:KGN47126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATVSTIGAVNRTTLNNSNYGGLVPNSAFLGSRLKVSSRFTTSKMVTGNFKIVAEQDEEKQTEKDKWRGLAFDTSDDQQDITRGKGLADPLFQAPMGTGTHNAVLSSYEYISAGLRDYSYDNNVDGFYIAPAFMDKLTVHIVKNFLTLPNIKVPLILGVWGGKGQGKSFQCELVFAKMGINPIMMSAGELESGNAGEPAKLIRQRYREAADIIKKGKMCCLFINDLDAGAGRLGGTTQYTVNNQMVNATLMNIADNPTNVQLPGMYNKEENPRVPIIVTGNDFSTLYAPLIRDGRMDKFYWAPTREDRIGICTGIFRTDGVPFEDIVKLVDTFPGQSIDFFGALRARVYDDEVRKWAVGVGVERIGRNLVNSKESPPTFDQPKMTIEKLLEYGNMLVMEQENVKRVKLADKYLNEAALGDANEDDVQFKTSQEAALDVANEDVVQSETSEVALEDANEDVESGSSNETALENAIEETVEALIDTNEDVVQLETSHEVPLGIANEDAVQSKTSYEVAVEDATEDVVQSEISEEPALMGANEDVVQSGSSEAATVDANEDVVVQSGTSYESMGEEERNKLISLFLKAVQIHLLKTMSQQPDSTTKASSIDS >KGN48840 pep chromosome:ASM407v2:6:25378189:25380811:-1 gene:Csa_6G502770 transcript:KGN48840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPVRAFRPCVLNRGLFSKTIPQSRLVSSPESYRSAIFRQSSTYFHFSPSRKNLGSKISLSLNLSHCCSSSFGSSASSLGSIPSNFLYSLPSLQSFGSQFASDYFSSFLFDSNGSDWTWNRASESAIGNNVGVLRGEKGAATVVLLGWLGAKTKHLRRYVEWYNARGINALTFIVDPREFLWFALSRKVEQRISDLAVELISWLSEGEESDKDRSLIFHTFSNTGWFVYGAILEILQGRKDLLEKIKGCIVDSGGGDPLNPQVWAAGFSAAILKKNSSSASPMVNGEEIDKKPLLLETIFLSSLEKFFSVALKLPDVDKRLNNIVSVLTENQPPYPELYLYSSGDKVVPYTSIELLIKKRMMTGRKVFSYNFGTSPHVDHYRTYPDIYSLQLHKFLIESFSS >KGN45831 pep chromosome:ASM407v2:6:1555539:1557641:-1 gene:Csa_6G014520 transcript:KGN45831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFKRRNGGRNKHGRGHVKFIRCSNCGKCCPKDKAIKRFLVRNIVEQAAVRDVQEACVYDQYTLPKLYAKMQYCVSCAIHSHVVRVRSRTDRRKREPPQRFIRRRDDAPKPGQAGQNPRVGAGGAPPRA >KGN46450 pep chromosome:ASM407v2:6:6447606:6450185:-1 gene:Csa_6G094680 transcript:KGN46450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDQGYHLLPFTAPSSDTHCFDFEAKKSTMEEGDQFNCREKNMVEEQEGSEVIGEEGIIDCSDDEMPVGVVRHFYFVKVLPLENPNLDAMIKKAEEMIDKTNRDQVLLATKIREKMMDRDAVRSKLSWMKKYDYYELTIKWHKERLDILHLSLDKLTFANNAYKGKPVNSCLSSGEVDKQKLHFLMLHGCKNMADERKLLREVNASQGKDGGITLDELHAPIQRLRGQFPTNYMGRTESDENARKQAILKGIKHHEVIMEKAIANAVVNGKLWNSLSSKKSIQEEIEMLNNSSIQPRERQRKTITEIRKVNLELEKVEKDIRSLQKLFTDANRKKDDAYTTILRLKKQYGEENASYYQYRSLMKKVEALVKKKDIAAVQEVSQTQVEKFMHQWNDNMEFRNDYKKRVNPSLKNRHLGVDGRMTMNNQKPEVEDTRKIIKPDTLSKTRLKWLMKDSEDPFELLS >KGN48429 pep chromosome:ASM407v2:6:22796215:22798519:1 gene:Csa_6G487010 transcript:KGN48429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELTWDSLELANFRNDSDGIWFTNTSLLITVDPSNIHHIMTSNFQNYPKGPDFKYIFDVLGDGIFNSDSDSWKNQRKIAQSLIVHEKFFEFMCGVAKEKVEKGLVPILEHFCESKKVVDLKDLFLRLMFDTTCTMVAGFDFNSLSIDFPDIPFTKAMDDIQEVIFFRHLYPKFYWELLKKLGLGDAKKMKNGTDTINQVIAHLIALKKQRLKLQQEDDGGDPDLITRYMMNEHDGIDYNDKFFRDLILTYLIAGRDGLSIALSWLFLTLSKNPTIIANIREELKNIPQKESESRKGKPQIFGSEELSNLAYLHGAICEILRLYPPVAFEHKSSVEADTLPSGHLVKAGTRIVLSTYALGRIKSVWGEDCEEFKPERWISEKGRIKREPSYKFFTFNTGPRSCLGKEVTIAQLKIISAAIIHNYDIEAVVEDNNGIAPVASIILHMKTGFKVRVSKRSW >KGN48888 pep chromosome:ASM407v2:6:25620371:25622594:1 gene:Csa_6G504680 transcript:KGN48888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFSLHFFFLFLFSSLFFRFSDSCHPTDKQALLHFKAKITFDPSQLLLSWKSTTDCCSSWDGVACDSSGRVTNLTRPGIVSGTDFIADTFMSGSLSPFLGNLSSLQFLDLSNLKDINGRIPLEFGKLSRLTHLFLDSNKLVGSIPRTFGCLFRLEKLYLGNNLLSGIIPPSTFTHFKCLEELGLSGNRLSGSIPSSIGKLIQVKNLDLHANNFSGSIPMSIGKLKSLKYLDLSENEITGSIPNSIGELSELVLLYLNQNKITGSIPPSIAGLGSLIFCRLSENRLSGRLPASIGKLQKIQRLILENNKLTGKLPSSIGRLTTLTDLFFSNNLFTGKIPKTFGNLENLQTLELSRNLLSGGIPHQLSKLQRLQSLDLSFNPLELRSIPNWFAKMKIFRLFLAKTGIEGKLPKWLSSSSISVLDLSSNGLTGPLPHWIGNMTNLSFLNLSNNGFHSSIPAEFKNLLLLMDLDLHSNHFTGCLDNIFSKGVQDPLGHFNSIDVSGNHFSGCVDQNIGDRAAMSSIKSLVLSNNKLEGYIPKSLSKLIELQVLELADNRISGEIPAELGEAAELTTILLSKNKLCGTIPKEVLNLKKLWKFDVSENRLCGKIPPHKAHFPVSSFKHNRGLCGTPLPPCNHS >KGN47463 pep chromosome:ASM407v2:6:15253672:15254558:-1 gene:Csa_6G331000 transcript:KGN47463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIFEEGRDLKDQSRGASEEGPRAGGGSSASQERDVQTSSLRNCCRFQLRPPNPPSPPSPSTFSIHITSTF >KGN47114 pep chromosome:ASM407v2:6:12202525:12204170:1 gene:Csa_6G188070 transcript:KGN47114 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proliferating cell nuclear antigen MLELRLVQGSLLKKVLESIKDLVNDANFDCSATGFSLQAMDSSHVALVALLLRAEGFEHYRCDRNISMGMNLNNMSKMLKCAGNDDIITIKADDGSDTVTFMFESPTQDKISDFEMKLMDIDSEHLGIPDAEYHAIVRMPSVEFARICKDLSSIGDTVVISVTKEGVKFSTRGDIGSANIVCRQNTTVDKPEEATVIEMNEPVSLTFALRYMNSFTKATPLSNTVTISLSSDLPVVVEYKIAEMGYVRFYLAPKIEEDEDDTKPQA >KGN47552 pep chromosome:ASM407v2:6:16068479:16070906:1 gene:Csa_6G358160 transcript:KGN47552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCASSKLDNLPAVALCRDRCKFLDQALLFTHSLIDSHSAYADSLNKTASALRRLFDQDGETGGGDLKSPPPPPAAPKTERSDSDSDSDSDSDSDSEDEEEEDGCFGQEKPLSSPVGSFMFSSYDLARGQPPPPPPPGSSWDFFNFFDSYERYEQPIFNWDREGADRKLPTTKVVAKKKKKPALVVDSKKNEVTEKSNQPEKKIDDPKMSVLDLMREIKGSFEKASESSNSISKLLSYGQRMSICKGSRGLLSTLKKLCVWEQKLYLEVKAEERMRMVLEKKCRQLKNLMEKKADARKIDPLRNSIRNLSIKLKISIQVVDRISITISKLRDEEFFAEMNELIRGLQSMWKSMLETHKQQTQALTDPKPFESILNGALDDTHLEAAMDLKLELENWRTNFIELIATQKDCIKALNGWLLRCLLYEPDPEETPNGGCPPPFSPERIGAPPVFVIGHLWSDTADKFSEKDVSEAMQGLVLKLDQALEQQSLDLQRLALTNKDLEKKIKVKKKTTMNQGFEEKTMAVAAGTVHKVGKFSGCEIQLGMRQIFVGLTRFCGDSIKAYEELCCSAITEEKEEQSQIQIQSQSSPP >KGN46705 pep chromosome:ASM407v2:6:8634332:8635995:1 gene:Csa_6G124200 transcript:KGN46705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METRSARRRRLSITNSHLHNDTSLALAVDYISHLPDALLHHILSSLPIKTAAQSATLSSRWRFLWFTLPDLDFSTTSSISDLIITRILNSRPHHSNVRLLRFSATLTFSRLNALFRTAIRHQIQQLHLHVATNDYFNFPRCVISSDSLRVFNLRSTHPGFRLPPPSLMVSGFRSLNSLSLARMVFHDRPSLVDLFTETSFPVLKKLNLERCFGLEHLSVKCPVLEDFSLQLCFQLQGFDVFGAKLQSIKVSNCFDAYTTLTWVKIVAPNLNTLHWIYNALTDEINIHNFTSTLNQASLSLLPQHFVTNKLHSVSALLSAISPAHSLALDSLCVDIVSSPTYFAHYFPPLNNVNCLELSTAFRKQNGPGLANIFRSCSLLHTLTIKIVDGGNIGRKDWRRDKWERSASEEEQFWETQIEPLESFLLHLKIVKIEGFMDCLSEVSLTRFLLKHGSALQQLTLLTTKTKHRDSLIRRREIKSQIMAFPRASSLVKLQYC >KGN46950 pep chromosome:ASM407v2:6:10805419:10806685:-1 gene:Csa_6G152360 transcript:KGN46950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKMPSDPLVVGGVVGDVVDAISPTVKMTVTYHSNKKVCNGHELLPNFVTLKPKVEVLGGDLRSFFTLVMTDPDVPGPSDPYLREHLHWIVTDIPGTTDATFGKEIVKYEEPSPNIGIHRYVFLLYKQKRRQTVKPPPHPSRDGFNSRKFALDNHLSLPVAAVYFIAQRPTAARRR >KGN49550 pep chromosome:ASM407v2:6:29026896:29040480:-1 gene:Csa_6G538790 transcript:KGN49550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILLQSHSRFLLQTLLNRVHNLEKAVEVDYNWVEFDDVRYHVQVSMKNPHLFLLSVSLPIPSADTVFCGGLPSGAIEAIKAAYGILVQILDPPRDGFNLTLKLNLSKLPLDEDNRHTILVKVASIREVVLGAPLRGILKQLGSRVVASDVNKLVALVHRPKESFFLVPQAEKVTVVFPMRFKDSIDIALATSFLQEFVEARRTAGLNNAPPCSWSLSPPQELKGAPSDALSANAGFVTFVIFTRHVEGKRVDRVIWSLSTFHAYVSYHVKCSEGFMHTRMRRRVESLIQALDRAKPDAIETRRKTHSRSFKRLVGDGNAG >KGN48257 pep chromosome:ASM407v2:6:21542541:21547398:1 gene:Csa_6G452090 transcript:KGN48257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSHTKTPLTIALAILAILAFASLFSPVSSYNRPPPRRNLFVPRRRDLDSHSPEQVHISLVGVDKMRITWLTEDSAAAVVEYGTSPGVYTNRENGTTSSYKYALYESGNIHDVTIGPLDPNTTYYYQCSSNSARNFSFKTPPAQLPIKFVVIGDLGQTEWTETTLKNVAKSDYDVLLLPGDLSYADYIQSLWDSFGRLVEPLASQRPWMVTHGNHEVERIPLIHPLPFTAYNARWHMPFEQSSSSSNLYYSFNTAGVHVIMLGSYTDFDKSSAQYEWLVADLKKIDRATTPWIVVLLHAPWYNSNTAHQGEKESVDMKAAMEDLLYQARVDVVFAGHVHAYERFTRVYNGEANNCAPIYITIGDGGNREGLASKFMDPTPTISLFRQASFGHGRFEVLNATHALWKWHRNDDDEVAVVGDSLWFKSLSSDPACRI >KGN48437 pep chromosome:ASM407v2:6:22857562:22861660:1 gene:Csa_6G487580 transcript:KGN48437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDRKEKTAPWLSVPQFGDWDQKGQLPDYSLDFSKLRENRKQNKRDVSRASLGNEEELIAKAAPPTTTDTFPTDNHHPYHQNHHSPPTRKSILSCFNCCVKA >KGN45767 pep chromosome:ASM407v2:6:1051785:1054680:-1 gene:Csa_6G009460 transcript:KGN45767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRRRVLEFDHEDGEKLGGVDVDVVKHVTSFRRHVHPHLRRPGFYAVGCLMLLAFSTISLFVKFMLLNSYEEMGVMMGKDRTRGRAANFIVVPQKTMYQTNNALSQEQDVSADLVKTIHPKLQVSEIWKEPESEDYYKCINVTKNEPWSDDETKGYLLARANGGLNQMKTGISDLVAIAKLMNATLVLPSLDHKSFWTDPSDFKDIFNWQNFIDVLRDEVHVLESLPSKLASIQPFDTAPVSWSKPRYYRVHMASLLKQHKVLRLTHTDSRLANNGIAEPIQKLRCRAMYEALRFNNNIEQLGNKLAERLRSNGKPYLALHLRYEKDMLAFTGCSHNLTEEEDEELMDLRFHVRHWKVKDINATQQRLLGECPMTPREVAVFLEAMGYPSDTNIYIVAGKIYSKDGITPLQDKYPNIFTHSSLATEEELQPMQQYQNQLAALDYVVAVESDVFVYTYDGNMAKAVQGHRRFEGFRKTISPDRTSFVNLIDQLDSGELSWEVFSSKVKELHKERMGLPYPRRPGKSSKLEENFYANPYPGCICHKSDDERHSSKKGSKS >KGN46437 pep chromosome:ASM407v2:6:6377855:6386627:1 gene:Csa_6G092590 transcript:KGN46437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGKFDLPDDLLSSRPSDHSWTPKASGGNDEEKVLSGFLDESKDSVASENSIPLSPQWLYAKPSETKEVRAPTPVSLANSTDLNQKEGWRPDGSEDKKDWRKSTSENESGRRWREEERETGLLSGRRRKTERRMDNMSTKETVEGRVLPNSDRWHDGRTSGHDSRTSGHDSRTSGHDSRTSGHDNRTSGHDSRTSSHDARRDNKWTLRWGPDDKEKESRMEKRSDADKEDVRSDSQSVSGNRPASDRDSESRDKWRPRHRMESHVGSTSFRAAPGFSLERGRGDGGSNLGFTIGRGRGNTIGKSSTGLIGVPHLDKIENVPGKPRYSSHAFCYPRGKLLDIYRRQKSDPLFSDMPDDMEELQPMTQPSVVEPLAFVSPDAEEESTLGDIWKGKITSSGVVYNSHMKGKLTESVLGDLDSVDRYQAALDLTLESENVSETANEDIPDVGHEVTNDEAFQDAIDGSIWSHPSMRDVLDGKYVSHKEEEKRSSAISMPDSRGLAHTVSTAGSLRVMEIGSGHPGTQLNSGVNGRANYDHKRPQNFDEIEFANSFDARSKLSDDPSSIFFIPFSEQNPNKSSDVRSEELSLFYLDPQGVIQGPFIGADIILWYEQGFFGLDLPVRLADAPESPFCELGEVMPHLKVREGSVDCADVKSLSGQSGASGGIMETSLPSKHSALDMNDASTTNEVHRTLAELHGLSNQHIASGMSETESPFQLHAKGQSFHDVVAQDEEIVFSGRPGNDGYQFPNSPGVLPMVNSISQPSLLNELSDRNLPVQNENKLHPFGLLWSELEGTNTKPVEVTNSKHTKSVNNMPSSMVRTAPLVGKPEVSLNAETWLDVYRRSMHSDQGVYQEANVAHSLPHIEQESNRFDLADQLMSHQYHQALQQRNLLSHTNEATLDHHMQQQNLIHQQLLANRSTPDLDHFLNLQMQQQQQQQRQLQLQHQLQQQQLQQQQKLLQEQHQSQVQQALLEQLLRRQMHDSGLGQSRIDPIRANNALDQVLMEQHLLHELHQQQSHHQQRSVDPSFEQLIKAKFGHLPPHQEQRDLSELLSRVQHGHIQSLDNQLAHQDVLQSRQLSMALRQRASMEDKRHACGPIWPEDEADQQFFRGHAGTQRLPTSGFELYQHQQRQAHADQLNHLEHNLSFQDRFRLGLYEPASLPLERSISYPDVAQGMNLDVVNAMARARALELQESSAHNPPGGQLVGQYAPGAIPQNHHHSLVSNQFHVSHFDGTEGNWSEKNERLGNEWMESRMQQRHINMNAEQQKRELEAKMISEDPTLWMSDGLNDEKSKQLLMDLLNQKSVHQPTEPLDVGSGASFNRGSSGLYSGSGSLEQSFILHSGKERGMNNTLPVGSYGSNAYEPLQDEHPGSLSLTSNEKVPYRSDSVSAVKGASILAGLKANGAINSSSSTMAAAGNLSMNRDVLEVEGRARGLKGEGLVKTQAFQIQESMLDQVASADRGEFAMDTHTLSRHSSLGSAGFHNEKIANTFPEEVAKDPVTIHNKDNTSLKRPPVSRTSASQDGLSVLIPDPVVRGKNSDGGRPDPTSILVNQENMAAMKKEMRFRRSSSCSDSDVSETSFIDMLKKTAPQESHLATAGVPEPSDGMQGGKGGKKKGKKGRQIDPALLGFKVTSNRIMMGEIQRLDD >KGN48702 pep chromosome:ASM407v2:6:24592150:24596645:1 gene:Csa_6G498980 transcript:KGN48702 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding protein MPDLDLKNMDGILEELDETKADIEKLRAECKIKGELSDNLKRANSEQFARLQEANLKIEKQAEEINEKAEELSMEKKRLEELERSLFERESIVKHLGSANDKLRADANEKSVQLEEEKRSLLLALDETNEKCLHQEQKICDYREEIQGLKENLLLWQKKCSEAEDGLVHKEQGERDDVLIDLNDEIAKVKDQLKWKAEQFKHLEEALEKVREQFKVNKKDWELEKGTLLDEISSLQTRLNSQMLISKDLNNKLEMCNQALAHEESRRKYLQIQVTDFETRFDNVLDECERAKMQLGEITAQRDKEIATLRSSLGTKDSFLKEREYQTRKLEEENQELRIAIKELQEEQIQAPGGSPSFRELQKKMQSLETSHGKCTAKLRAKEVEWTSQMEEVLSNMNDCKSELCRREATIKDLEAMLESHHSTAFQLKLQNEELSAMLLVLNQGISEAQVNLAKEMAEVYMHDKDREEKISLLMKQVEVQNAALVKAHKDIDEEHDKVASLMKRVESLDIFEEQLQLMQKEIDSYKEMLEESTKCQLHLEEQCLQMKNDAAEKLEVCNALGKANAELAEKESIYTRVQSMELIEEKYKSKLRELDQSMEILEESSRDYLLLEEQVTQIEYDAMDRLHEACNALEEANAELDDKICEGNQIDFEMHMWKSIAEQLKFDLEENHSIRRQLEASLLAEVHVGEHFKQENDSLIQKLDEKDKRIESLAQQVMLLEQGLEIIELEATALSGMESATSFESMRDDFLQTIREKNEMLEQLQNEVECLEQDSLRRELEVALLSHIGAESMFEHEKEKLIQMVEKKNKRIDQLMQLVHSLEQKFNSSLISFSSKLDEKQTETDLVHQAWEKINAAEILAVLETEEKKLMILELEDNIRIIQQKLELQEVSLDQAKEKAMKIEADLDAKESEMKKLTDQLKTKLKFSDVFIDELKSEKSNLIEDVMKLSTEKEDLMSIIGGIGNHINEFSNSDRELMGLLEKIMLSFSSNECQKIELKENANSPSMKRFEVSADTRSPFRELNS >KGN47150 pep chromosome:ASM407v2:6:12423079:12425817:-1 gene:Csa_6G190410 transcript:KGN47150 gene_biotype:protein_coding transcript_biotype:protein_coding description:Triosephosphate isomerase MGRKFFVGGNWKCNGTTEEVKKIIAVLNGAQVPSGDVVDVVVSPPFVFLPLVQSLLRSDFHVAAQNCWVHKGGAFTGEVSAEMLANLGVPWVIIGHSERRLILNESSEFIGDKVAYALSQGLKVIACVGETLEQRESGSTIDVVYAQTKAIADKISSWDNVVLAYEPVWAIGTGEVATPAQAQEVHHQLRKWLHRNVSAEVAQSVRIIYGGSVNGSNCKELAGLPDLDGFLVGGASLKPEFVDIINSSL >KGN47794 pep chromosome:ASM407v2:6:18117871:18121104:1 gene:Csa_6G403600 transcript:KGN47794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVFNKAKAVRLRAHNDKYLVADDDNQTIRQSRNRTSRKTIWVVEAVSDQGIRLKSLARGRYLSASDLPFLLGMTGNKVMQVEVEKGSEWMVKWEPVQEGFQVKLRSWCGTYLRGNGGTPPWRNSVTHDQPHTSATGKWILWDVEIVDHFEFDGLASFSSFASDEPFGSEPPTPLTTKTQIRHSSSVMDLFRNAKTIRLRSHHKKYLSADEDEESVVQDRNGSSKNVRWTVEFVSFSDAIIRLKSCYGKYLMASNQPFLLGMTGRKVMQARPERFESSLEWEPVKDGSFLRLKTRYGNYLRANGGVPPWRNSVTHDVPHRASTKDWILWDLDVVDIETQSSVHKTLDHPSDPDSPLEVDSISSSVSQDSARPSTAEYNVGGGSNSPPKSEGRRISFLFADENGEDEDSERHSLNFNGKGVEDLTRKLEEEMGIEGVVVCTRSPLNGKLYPIRLQLPPNNGTLKVVLVLKSSTLGSEFEKQGLL >KGN48040 pep chromosome:ASM407v2:6:19961901:19964699:1 gene:Csa_6G425770 transcript:KGN48040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSRSMLLVFLFVILIITSQFEWRQQLVPEVDSTVNSQKQQQQISTREEAVKEKILLSQERNIQRLNEVVRSLRQQLQQCRGRNITNGTALLSAHILELERLHVLED >KGN48317 pep chromosome:ASM407v2:6:21946580:21954011:-1 gene:Csa_6G476080 transcript:KGN48317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSDQANLELFLQWLQVNGADLRGCTIKYSDLSKGCGLFSANYASDAVLLVVPLDLAITPMRVLQDPLYGPECRAMYEEGEVDDRFLMILFLMVERLRENSSWNPYLDVLPTRFGNPLWFTDNELLELKGTTLYRATELQKNSLQSLYENKVKKLVSRLLTLEGFTGREVSFEDFLWANSIFWARALNIPMPHDYVFPKIQEAVGSDSLIEETAEVSTSAVSKVQLACVKNEDGCEDPRMIDSAASGETFGSSKQETVWVEGLVPGVDFCNHDLKATATWEVDGTGSTTGVPFSMYLLSAISRSSGLEEVSISYGNKGNEELLYLYGFVMENNPDDYLMVHYPLEAIQNASSSDSKLQLLGVQKAEMRCLLPRRLLDHGFHPPKTSNVKENVDCSNRACNYSWSGQRKLPSYLDKLIFPEKFLSALRTISMDEDELMQVSSLLAEIVGPEEDREPTDTDVQAAVWEACGDSGALQLLVDLLQKKMMDLEEGTGTLDSDTKLLKEAQVTEDMNTNGSCQNSARELDDKKPQNLMSRNQWCSIVYRHGQKELTSLFLKEAEHALHLSLSEEN >KGN47291 pep chromosome:ASM407v2:6:13974792:13976272:1 gene:Csa_6G289740 transcript:KGN47291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHSSGQHQETQAQPLENMLAISCPKVQQDNRKPMRPQPEQALRCPRCDSTNTKFCYYNNYSLSQPRYFCKSCRRYWTKGGTLRNVPVGGGCRKNKRPSPSTSSSSSPSSKRTHDQAANSGRLMLTDAHLPSLAFESTDLSLAFAKLQHQSNGQMGLFDTTHNSFQNLYSGFGTGSSGEVENGGFPHFEHYNSSGVASTATATAMKQEMACNGDQNNMVLLGFPWQFNNNGDGNYMGDLDAGRESWNFNGNGIGSSWHGLLNSPLV >KGN47693 pep chromosome:ASM407v2:6:17115458:17115788:-1 gene:Csa_6G381830 transcript:KGN47693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVESESQVQVRKESQVSVMNSDCDDVNRVKFLVVVVTMFDAIKAYDCVSKIHHSLSLCSTLAYTLVLASSFLHLHFSS >KGN47924 pep chromosome:ASM407v2:6:19093892:19094587:1 gene:Csa_6G411280 transcript:KGN47924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASESGSVDYTQRAQWLRAAILGANDGLVSVASLMMGVGAVKPDAKAMLIAGFAGLVAGACSMAIGEFVSVYTQYDIEKAQLKRNGKEKNNNMEPNHPGEEEEKLPNPLQAALASAIAFSVGAVIPLVAAVFIRDHKVRLGVVAAVASLTLLVFGIVGAILGRTPVGKSAARVVVGGWMAMAITFGLTKLLGSKGL >KGN47902 pep chromosome:ASM407v2:6:18963959:18967868:1 gene:Csa_6G410080 transcript:KGN47902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSDLTDSFNQESTLSCCPQIITLYQDPLVAGELITSEETGTNNMGTKSPNEHEDHSTSTSTKVEECPNIDKVVNESSSEKTNDGTDHSIDSESSESDKEQPRQSLESSSTSDSDHNSDSESSESDEKHKVNFATRQPLEKEADIVSDDMKQPPLTNTSVKECLKTDELICESAVKNSNDGMNHDSARESSETDKKSEVANFTAEQPLEKDFDAISNDLKQPPLISTPINKIDEVARVSANRNNSNDMDHVSNSESFERDKEREVLNFAISLDKETDIITDDLNHTPLINTAIEKCPKTDVVACEFTINKNNDDMDKNSESAESDKEREILSFSVLQSSEKEANLVANDLKQSPLIGMAEECPKVNEVAYESAIKNINDDMDNVSESSMSDKEHEIFNSSTGQSSENETDVVANDLKHSPLINTTVEECSKTDEVTYECAIKNINNDMDNASESIASDKGHVIFNSSVGQSSEKETDLVTNDLTHSLLISRTIDKCPMTDEVICESAIINTNDDTEQFPLQKSPDELVNQSTSDDEGHNFSISYDDLQEEFAPGFNIHRTFHRSVSMESVESLDGSNVSEIEGESIVDRLKRQVEYDKKCIRSLYQELEEERSASDVAASQAMAMITRLQEEKAAMHMEALHYLRMMEEQAEYDVEALEKSNELLNEKERDIQDLEAELEYYRSTYMVDTIAETEHEKSDDANDESISTENASVKRHEYNGNYSFKSTMAESSKGSYKSFNNQNSSIEFEDEKIYIQVCLKSLEDKINKIFTNGLLARVPNIVDNGEEVNPEQKGEDSIDVDRSQRNNEDNGSSKHMDQSNCNGKATPDGELVDLDKNGHFSSDENFYDVKGQISYANKREEVDYLALEHKISNLTGKLEALQAGYDFLEHSLHSLRYGEEGLQFAEYIVHQLQELCKLGIILDRRSGS >KGN48962 pep chromosome:ASM407v2:6:26022497:26025427:1 gene:Csa_6G507350 transcript:KGN48962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLGASLNIPVAKLFFLSNSPPFLLNSNKLSFNSLLRTPKGVSSVSSSSNSSYSLQPDELEDFVIGDCVIFEDDVFDDPYVSDDSSVDNSIPSTAKSKPKSAVVEINPENLVPDEWKEVQAEINITKKERRKIAQEMEFGSRVEKKKKGLVPLRSVNLEEYLSYKEAKMAQLKPLVLDNPTSFPATEEVNGAEDAMSRSSERVAPKNPKWAVYGRGLEDVSEFFNSGQYQPADRKSEGPRKLFSKEEKAMLNKRVPDLASARSDMWLPLHTLVGSGEFYLVDELLKNNVDINGVDKVGFTALHRAIVAKKQAITNYLLRESANPFVRDKDGATLMHYAVQTASSQAIKTLLLYNVDINLQDKDGWTPLHLAVQARRTDVVRLLLIKGADKTLKNAEGLTPLDICLYSGQDTKTYELIKLLKQLPRPSKLPS >KGN48166 pep chromosome:ASM407v2:6:20855215:20855835:-1 gene:Csa_6G446280 transcript:KGN48166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPIETTCKSFTHDPLNWGVAAESLKGSHLDEVKRMVEEYRRPLVKLGGETLTISQVAAIATRDFDVTVELSESARAGVKASSDWVMESMKKGTDSYGVTTGFGATSHRRTKNGGALQKELIRFLNAGIFGNGAESCHTLSRSATRAAMLVTQVLDLRFWKPSPNS >KGN46595 pep chromosome:ASM407v2:6:7586889:7590699:-1 gene:Csa_6G111360 transcript:KGN46595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQKVLTELRIEIIFGNLLFLFSLSSIASPTSTLFSSPSINPLIFLSSDFFFISDPSDCFFCSYFLPLQPLAEKMLLPRQQSQPGTLQTSFSLVPVDGRLPQEEPRSNSGQVRESPTESASSRETWPNVEAMLAKKMENGKTENDNAEHSVVHRLSSADKISLRDIARERVDLISEKMHRLPDEFLEELKTGLRIILDGNGGSQQREEIFILQKLVQNRTDLTAKTLLIANRVQLEILVAINTGIQAFLHPNITLPQTTLIEIFVYKRCRNIACQNQLPADDCTCDLCSNRNGFCNLCMCVICNKFDFEVNTCRWIGCDLCSHWTHTDCAIRDGQICMGSSAKSAPGQTEMLFRCQACNRTSELLGWVKDVFQHCAPAWDLDALTRELDYVSRIFRGSEDTRGRKLFWKCEDLKENMKNGIVDLSVACRTILAIFQELELDSPRSMENGEGGRLIAPQEACTRIADVVQEAIRKMEIVADEKKRRYKKARMDIEAFEREVEDKAREAAELKLEKQRKKLQIEELEKIVRLKLAEADMFQLKANEAKREAERLQMIALAKSEKSEEDYASSYLKQRLKEAEAEKQFLLEKIKLQESFRSQGSGGADQS >KGN49122 pep chromosome:ASM407v2:6:26714797:26715443:-1 gene:Csa_6G514830 transcript:KGN49122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKGMSRSRSFSEKMGGAVAPLAIGTRGTVGSLVMKEIEYFTKLELERHGISHTISGNALRRSDSRGSFWLLSLTWKWKKRKGNNGVLPNISSAVEFSKSNRFNGIPGFGYRILKDDFPI >KGN45806 pep chromosome:ASM407v2:6:1366115:1366678:-1 gene:Csa_6G012800 transcript:KGN45806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSLQFYRYTATTPTPALNPKNHKHGVASEQCSSVVVQTIDAPVAVVWSLVRRFDNPQTYKHFLKSCRVVEGDGETVGTVREVEVVSGLPAVSSKERLEILDDEKHVMSFSVVGGDHRLNNYRSVTSLHVAPGGRGTVVVESYVVDVPPGNTKEETCVFVNTIVRCNLQWLARVSENIAKAKMKSI >KGN46744 pep chromosome:ASM407v2:6:9017296:9024201:1 gene:Csa_6G128540 transcript:KGN46744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSTLRAFAPTFNRYPSRAFSIKTQMDSITRNPDGYTSTSASTSKPTETPITLQEWQGWGSTSPVPTMVTEIIDELKVLEKTVDAQMSFGGNGGKLQGYFKTQEDKKHRATYQALGSSEQKLQFFSARQIACRLLGSRDYLCQKCWLPFEDCMCSRVKHCSLWDRARFWLYMHPKDFLRQNNTGKLLLQVFGKEATTLSLYGISEHEEIMWNAFKSAGRSKVCCLYPNKNATSKGVQEAFGSELSTKQENTQQMTDGDGILNFILIDGTWSNSAAMFNRLKEQAILVWGEDIPCISLSTGSSAMHKLRPQPSWDRTCTAAAAASLLFELQLVPKFSSVEFEKQGEALEDALEVLLEALTARRIRMGRSITRKVRHASSFC >KGN46293 pep chromosome:ASM407v2:6:5468864:5469704:-1 gene:Csa_6G080360 transcript:KGN46293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPKFSPFMTTPLPCLLLFIIIFNISTTFVVADLVQKACKKCEIDDPNINYNFCTSSFRAHSGSDSTDLRKLGAISLSLIQRNLSSSFEYVEKLLQNKEIDSYKRVRLNDCLDVYSDAIVTVEEGKKAYKEKHYDDANIKVSAVMDSARVCEDGFREKEGVSSPLTKWNKDMFQLAAIALSIINMHP >KGN47520 pep chromosome:ASM407v2:6:15876887:15877874:1 gene:Csa_6G355390 transcript:KGN47520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIRIFCYLRKERLLSLFHCPFSLFPDYSPSSALLSSCVFLPAICYLSCLCPIPSPGCLLFLPSELAFLSKLSQFSSCPLFFLYNWAVLARASY >KGN49009 pep chromosome:ASM407v2:6:26204065:26210114:1 gene:Csa_6G510270 transcript:KGN49009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDQFINFVIRPPRADYNPDQYLWEKSFTLAGRAYQRQDLELRNSRGHTLQCSHYLPSSIPEDTPLPCVIYCHGNSGCRADANEAAVILLPSNITVFTLDFSGSGLSDGDYVSLGWHERDDLKVVVTYLRSNKHVSRIGLWGRSMGAVTSLLYGAEDPSVAGMVLDSAFSNLYNLMMELVDVYKIRLPKFTVKMAVQYMRRVIEKRAKFDIMNLNCLQVTPKTFIPALFGHANCDKFVQPHHSELIYNSYAGDKNIIKFDGDHNSSRPQFYYDSVSIFFYNVLHPPQLPSAHASKLEKYYDLGDLKIGADKDENLIYEIISRLRSTGNDVAGSSSAPSVPTTKFVGDLISEIPPVITEIDTIPNDYSTINGDELSNLQDQPDGETEECCSYTSSNRESWGRCSSLGGSEEESSADCMVSKNKFQETLEAFPTPLRSTQGKPSYSSEGDKKKKKKKVATSQSQKQKKSKTEKLEALSRHLRLCILRRIHR >KGN49168 pep chromosome:ASM407v2:6:26890332:26891772:-1 gene:Csa_6G516760 transcript:KGN49168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPYPDDFKCPISLEIMSDPVILSSGHTFDRSSIQRWLDAGHRTCPITQLPLPQNPSLIPNHALRSLISNFNPVSLSKPFLPHPPPQTLISILISPSSSLDSKLDCLNQLARVSKRDSAVRRRLTESGAVSAVLKCVGSDDPSLQEKALSLLLNLSLDDDNKVGLVAEGAIGLTVAALQARSADCRAVAATMLTSLAVVEVNKATIGAYPYAVRSLVYLLRNGNNREQKEAATALYAICSFPGNRLRVVECGAVPILLKIANSGLDRAVEVLGVLAKCKEGREEMQWFKGCVEILSRVLRNGSPRGVQYALLTLASLCCHCERLCVEARREGILGICMTLIDDDSEKIRANAANLIHILKGNTP >KGN45798 pep chromosome:ASM407v2:6:1326181:1326339:1 gene:Csa_6G012230 transcript:KGN45798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSELEEGGKRGESENGVEGNNGDHGELKKRREWEVENGDAKSSRHPTERSAG >KGN49465 pep chromosome:ASM407v2:6:28540041:28540358:1 gene:Csa_6G525505 transcript:KGN49465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSHTRRNSNAVAIGGFPENRRLGFLATNLLFHSSLYDLLATGFDITNRNDGAKDAAIGRSFSNGQMGLNFAEIPHMEPFNTIPFQTPSCFKDIRIEIAPPRDSP >KGN46597 pep chromosome:ASM407v2:6:7617795:7618077:1 gene:Csa_6G111380 transcript:KGN46597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVKRMRRSWTRAAHAPSFLPINSCPGWPSDHSPAATDPLHLLTPRNPYPTLHIPHFIIHSPLWL >KGN46912 pep chromosome:ASM407v2:6:10543625:10544032:1 gene:Csa_6G150510 transcript:KGN46912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELRPPGNFMVRHESSDSDNIGDGEIDEEFRHGELSAEKQISMKKRKGRPRKFNDAVDRRDPQSTPDSQTKPERRRGPRPGLSISQVLATLGTYASDYSCFFPSLAPSSAVTVSFFSFFLLRHFLFTFHFFPFFL >KGN49292 pep chromosome:ASM407v2:6:27545491:27549402:1 gene:Csa_6G519450 transcript:KGN49292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNDRNNRCLVDAMQFCLHPHAPIPDSKYFVLNYVYIEIESNGNESLPMSLGLPPVQPYRSVEQGFTAEDFQKGLNFAVGGATALDLSFFQQSGINLPRAVDSLRIQFNSFNQSYSSICASSPPKCKDTLKSSVFIVGEIGGNDYAYFLYDKRIEELKSLVLLVINEIASVILELIELGVETLMVPSNIPMGCIPVLIQLYKTSDDSQFDPQNGCLKWLNKFSEYHNQQLQQQLKRIRVLHPHVHLIYVDYFNAAMRIYNAPKDFGLIEPLQVCCVDKNGSYSIPTPCGTAGTIVCDDPSKYVSWDGIHLTEAAYELMATSIVNGSFTFPQFSLSCLQRNTSSQLLQLQ >KGN46680 pep chromosome:ASM407v2:6:8446955:8448236:-1 gene:Csa_6G121970 transcript:KGN46680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYFHSLLSLSLSLCMCVSQTNNNNIMVRAPVVDKDGVKRGAWSLEEDQKLRAYIEKYGPWKWREVPRLAGLMRCGKSCRLRWLNYLRPGLKRGNYTNEENELICKLHQTHGNRWSTIAAKLPGRTDNEVKNYWNAHLKKQVKPKTESSTKHEGKPKDLSLSHVYEAKTSKDFAEYCSSTFGILESSSCLSQQTSSSDDNFGTVINWRMEDYNIDQLQISGYYDDFWTEPYVWDHNETNAFSVDYGIEMFSPQQQYETAYDHNYCDLFC >KGN46776 pep chromosome:ASM407v2:6:9315870:9319935:1 gene:Csa_6G134340 transcript:KGN46776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGAVRSFLSHGNVVKTAVLQQIRVANPHMRPAMLSRFSTSASSANIEEHGFESTTIDDILKAKGKSADGSWLWCTTEDSVYDAVQSMTQHNVGALVVVKPAEQNSIAGIITERDYLRKIIVQGRSSKSTKVGDIMTEEPHKTWERRMAMTICFGLCLQNKLITVTPDTKVLLAMQLMTDNRIRHIPVIDEKGMKGMVSIGDVVRAVVSEHREELDRLNAYIQGGY >KGN46935 pep chromosome:ASM407v2:6:10694851:10695167:1 gene:Csa_6G151720 transcript:KGN46935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSWSRLPIKRVSKLVLIWLKPPLICASHGALASIPCLGVLRDKYIRHTSDLLLRACNSKVAGDS >KGN48459 pep chromosome:ASM407v2:6:22995385:22996967:1 gene:Csa_6G487800 transcript:KGN48459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPSKLYSSCSVLMGFLFAYSTAVQFNDPDWYLWVPLYGCACAVNLLKWNVSLEAMNNVAKAAMGVGICLWVKVVAEDYMNGIAGFLSLDLSERVVREKTGSGLVVCSMILHLIAASSSSPKLRRTSKSKSKRVFPRHLTYGIACLVAFSYGLPIFFFLVKKGKMKF >KGN47511 pep chromosome:ASM407v2:6:15747547:15749286:-1 gene:Csa_6G350370 transcript:KGN47511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHPIETLIRLALVKIIVILSAAFVVVSATTNATVTVASQALPGCDEWCGDVQIPYPFGMKEGCYLNNETFLLRCSPTADNPNVSKPFLSPQRPEMVTDISIISGEIKVWTLVAQECHSPDTALNDFTNFGIDVPTYTISHTKNKFIVMGCDTIALFSGKGVKVQLFKTACVAFCDNIESVKDGACSGNGCCQLDLPSGLDSIEFSVGSLSNHTNVSSFNPCGYAFVTEQDSFDFSSKYIRNFPTETIPLVLDWAISNDTCVTAKDKTNCVCGKNSFKVDLLDDPSRYRCRCLHGFEGNPYLPDGCQDIDECEDESLNDCRFECVNTIGNYTCNCPKDSKGDGRLQGDGCTRNSKSFVQIIVGVTVGFTVLVIGSAWLYLGYKKWKFL >KGN49113 pep chromosome:ASM407v2:6:26668240:26674191:1 gene:Csa_6G513770 transcript:KGN49113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFVIKILIVYRLQVGSLTPLQRGHWPNSRAIQFHFFNLLHRPPSFAVVEAPSTPVEILGRFLKAAKRMEFEKRKAATMASLGSTETDKSPKGSLDTPIIPLINTLNSHPSYFTTSSCSGRISILAQPISTTSAAAPKPKKKALGGSWLFVSHEFAEPNSVIDLLFRSPSTNRELSELVFRFEPLIIAVECKDLGSAQALVSTAISCGFRESGITSASKRVIIAIRCSIRMEVPLGTSEKIMVTPEYVQYLVNVANEKMVANKKRTDGFLKGLQSSISDASRTCHGIPSREATENVNDSLDSKGHDCADGDDGAALEGGVRNANSGSSEACSYSLSVEQIDIAGEPIEKLFIWGHSATTIHDKVIAFGGFGGMGRHARRNDLLLLDMLSYTLQTINVEDSPSPRLGHTSSLVGDRLYVVGGRTDPTCILNDVWLFNITQEKWTLLECTGSPFSPRHRHAAAALGSKIYVFGGLENDRISSSFIFLDSDSHQWKEIQAGGEQPCGRHSHSMVSYGSHIYMFGGYDGEKTLGDLYSFDTNACYWKKENIAGTTPNARFSHAMFVYKNYIGIIGGCPVTQTYQELALLDLQLRCWRHVSLNCTGRELFVRSTVSVVGNDLILVGGGASCYAFGTTFSEPMKIRLHPLISSEVVLGHSGNTEKLEKANRDPKCMPNGNAQSFNEAFGFNIDFEKSNSHEQKQGALYWVLQIERKYAKLVKDILKKFGWLDMGRNVSSRGSGTHICFPVNVKFCDTFDEKQSWWADQLEQENDFRISGPESWEGCLTSNLKALNVLKKCGATKLVDEVVDIKTAAKTPFKKMSEAMSSLLKHNGLSEELLEELPTRWERLGDIVVLPVTSFKDPTWDTIGEELWPLVAKSLGTYRLARQGRVASTGTRDSNLEILLGDNGWVEHRENGITYSFDATKCMFSWGNLSEKLRMAHLNCKEETVVDLFAGIGYFVLPFLVGAKAKLVYACEWNPHAIEALKRNLQANCVSNRCVVLEGDNRETAPKGVADRVCLGLLPTSEGSWVTAVRALRSEGGTLHVHDNVKDSEEEQWTQRLVHSITEIAKSEGHCWDITIEHIERVKWYAPHIRHLVADVQCKRIQRNS >KGN47362 pep chromosome:ASM407v2:6:14573898:14575238:-1 gene:Csa_6G303770 transcript:KGN47362 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2A METGSKAKKGAGGRRGGERKKAISRSVKAGLQFPVCRVARYLKNGRYAQRVSAGAPVYLAAVMEYLAAEVLELAGNAARDSKKYRIIPRHVLLAIRNDEEIGKLLAGVTIAGGGVLPKINPVLLPKKTDKGTKKPNDLIDRKISMECSSTDESTDLGLGECCNL >KGN47810 pep chromosome:ASM407v2:6:18223233:18225420:-1 gene:Csa_6G404250 transcript:KGN47810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMLWPSPFLPNFILCFIFIPLCCSQSISPQNIETSYPFPLPFHAPLTNNTSDNLSTISRRPSPPPPSRPPPQQVQPKPLTKNVSKKATIITVVVSAAAATLLLSLCLFFYIRRCILAEHKEQHDDRSSQSREGQALMSQKEFTRIKGNFSGFILEENGLDVIYWKNPERRKSKKNEEDEDMGFVKEGGTNPERVQETPLLMSSIKMEARDHSLSLSQTLPWLPPPSPAPLRKPPPPPPPKAVVNSGPSSARNDQTRLKPLHWDKVNTNVDHAMVWDKIDGGSFRFNGDLMEALFGYVATNKKSPPKQSGNHEQTEPSGPNNGRREQISILDSRRSRNIAIILKSLNISRQELLDALMEGHGLNSDTLEKLVKITPNQEQQSQILEFDGDPLKLADAESFIFHLLKAVPTAFTRLNAMLFRSNFKAELLRLKDFSQILCEGCEELKKKGLFTKLLEATLKAGNRLNSGTTRGDAQAFDLNSLLKLSDVKSTGGKTTLLHFVVEEVIKSEGKKRFSHTNSKTPISENERENEYTILGLSAMESLTSELSNVKKASTINCEAFVASCPNLLTQISEIRKLLSKEGGEYKRNMMDFVKSAEEELETARREQKRVMEIVKKTNEYYETGDIENPLQIFVIVRNFVCMVNQVCIEIGGNLKGKSKMGNLNACLPLKSSLSSRFPCLAEHFMCRSFSSDFTDDSF >KGN48755 pep chromosome:ASM407v2:6:24922490:24924788:-1 gene:Csa_6G500470 transcript:KGN48755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVGNFRATKVILLFLVLVLFASAFLLCVCAESESRNGEFLRRGRRILESVEEDEPKKKKSSDALPTKTQNNKLIKAPTQSSKNQTKLIKNNLSTKNKTMLGKTTNSTKLTSGGILKVGLKKLNSTAKLNSTSKSSNSTKTTPLSAKKSSDLLKSSTPKNKTTTPNSSKQSQTTHLDKTNKEQKSEKKPNEEKPKKQVQAKAKPSWVDDDEDEDLVSEFRDLTTKFQKTLIPDLARISTTSKAYITKANKQMTMGFKPIVGNKYASTIASLTSFAFILIPLILVSLLFNRIKAYFSLQKLLIFIQVYLAIYFGILCLSSVVTGLEPLKFFYSTSQSTYICLQVMQTLGYILYLLFLVMYLVLVFSTDCGLGSRMLGLAQTFVGYAVGLHYYVSVFHRMVLHQPPRTNWKIHGIYATCFLVICGLAGAERRKKSYLEEDGTEGKKS >KGN45945 pep chromosome:ASM407v2:6:2557306:2561704:-1 gene:Csa_6G031450 transcript:KGN45945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSVIQGFTKSLAMTVLSEIGDKTFFAAAIMAMRHPRRLVLSGCMTALIVMTALSVLVGWAAPNLISRKWAHHITTLLFLGFGLWSLWDAFHDEGESEELAEVEAKLDADFKANKKGSKDGNKDDDDVKKHNRSILLQFLSPIYLKAFSITFFGEWGDKSQLATIGLAADENPLGVVLGGILGQALCTTAAVLGGRSLASQISEKIVALSGGVLFIVFGIQSFLSTVAV >KGN49520 pep chromosome:ASM407v2:6:28856884:28857598:-1 gene:Csa_6G526540 transcript:KGN49520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANIEGCLAFQMLIEKSFQTGLMMYWCKKYQYEFNSVISPPSVQEIEMGPAEDSSLMWN >KGN49144 pep chromosome:ASM407v2:6:26813719:26814261:1 gene:Csa_6G516520 transcript:KGN49144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIMIYPTISQRDFARSSCPDAGNNSTLPNNIYWKNLETLLSTVSSDPQLINYGFYNLSAGEEADRVNSVALCIGDISMDICKICVKESSQKIILTVCPNEKAGIVWYDQCLLRYSGSSSGNYDWGMESIFNGNKASSGPDRFVEGVKRLMGRLREEAASGNRTDRKLGKGEISAGNETV >KGN49248 pep chromosome:ASM407v2:6:27328775:27329083:1 gene:Csa_6G518050 transcript:KGN49248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSEWRKRTKVGIKKKGQYLRRKSRRIIVGKRAEEEKREERREKRDRWVVVAVAVAAAVGLPQPNAIYY >KGN45959 pep chromosome:ASM407v2:6:2785003:2785331:1 gene:Csa_6G039145 transcript:KGN45959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAGFDLAVIGALLQLCFSSLLLILGSFKQSLNLLFSSVSMRLRPKRTCSGVKCFGAFHIKRFSFLLLLFRKGGFT >KGN47705 pep chromosome:ASM407v2:6:17241310:17244625:-1 gene:Csa_6G382930 transcript:KGN47705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPNSVKSTLSNLAFGNVMAAAARDYQKELLAQGKAQASNSNNEEVDLDELMDDPELEKLHADRIAALKKEAEKREAMKRQGHGEYREITEGDFLGEVTGSEKVICHFYHHEFYRCKIVDKHLKTLAPKHLDTKFIKLDAENAPFFVTKLGIKTLPCVVLFRKGVATDRLVGFQDLGGKDDFSTKTLEILLTKKGIISEKKEEEEDEYDESRRRSVRSSINIDSDSD >KGN47065 pep chromosome:ASM407v2:6:11880464:11881389:-1 gene:Csa_6G182680 transcript:KGN47065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNSSQSSLNFPSFDPLFGHAFKSIISYNGSVLMLAALISLFLVTIFVLLLHIYARWFLTQARHQRRSSIAVSQVLGQPRLHHFHSISFDHTTPPAARSPTKGLAASVISAIPLFIYMSENQCKIGLECVICLSLFEENEIGRSLPKCKHVFHVECIDMWLSSHSNCPICRDPVVRTHQSLRNGIGNNLELNENE >KGN48105 pep chromosome:ASM407v2:6:20463920:20473094:1 gene:Csa_6G432780 transcript:KGN48105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRMDRMTSDLNRNGPVERDIEQSIIALKKGAYLLKYGRRGKPKFCPFRLSNDESVLIWFSGKEEKLLKLSHVSRIISGQRTPIFQRYPRPEKEYQSFSLIYNDRSLDLICKDKDEAEVWFNGLKTLISRSHHRKWRTESRSDGMQSEANSPRTYTRRSSPLNSPFGSNDSLQKDGDFRLQSPYGSPPKNGMDKALSDVILYTVPPKGFFPSDSASISVNSLSSGSSEMHGPMKAMGIDAFRVSLSSAVSSSSQGSGHDDGDALGDVFIWGEGTGDGVLGGGSHKVGSCFSLKMDSLLPKALESAVVLDVQNIACGGRHAALVTKQGEIFTWGEESGGRLGHGVDSDVLQPKLVDALGNTNIELVSCGEYHTCAVTLSGDLYTWGDGTYNFGLLGHGNEVSHWIPKKINGPLEGIHVSSIACGPWHTAVVTSAGQLFTFGDGTFGVLGHGDRGSVTMPREVESLKGLRTVRAACGVWHTAAVVEVMVGSSSSSNCSSGKLFTWGDGDKGRLGHGDKETKLVPTCVAALVDPNFCRVSCGHSMTVALTTSGHVYTMGSPVYGQLGNPHADGKVPVRVEGKLSKSFVEEIACGAYHVAVLTSRTEVYTWGKGANGRLGHGDTDDRNSPTLVEALKDKQVKSIACGTNFTAAICLHKWVSGFDQSMCSGCHLPFNFKRKRHNCYNCGLVFCHSCSSKKCHKASMAPNPNKPYRVCDNCYNKLRKALETDASSQSSVSRRRSINQGSTDFVEKEEKPESVKSRAQLARFSSMESVKQGENQFSKKNKKFECNSSRVSPVPNGGSQWGAISKSFNPVFGSSKKFFSASVPGSRIVSRATSPISRRASPPRSTTPTPTLGGLTSPKIAVDDAKRTNDSLSQEVVKLKAQVENLTRKAQLQEVEMERTTKQLKEALAFAAAEATKCNAAKEVIMSLTAQLKEMAERLPVGAARNIKSPSLASLGSSPPFNDVVTPSIDRSNGQTMSLEADVIESNSHLLSNGSSTASIRSSGHNRPANSDSTTRNGNKVKESDSRHDAEWVEQDEPGVYITFTSLQGGAKDLKRVRFSRKRFTEKQAEQWWAENRARVYDQYNVRTIDKSSVGVGSEDLAH >KGN46663 pep chromosome:ASM407v2:6:8244730:8248186:-1 gene:Csa_6G118890 transcript:KGN46663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENTGILKEWFDRVDSEKSGSITAPQLQNALAVGNLNFPHSIVQQMIRMYDFDRNGTMSFEEFVALNKFLLKLQQAFSDLERGRGYLVPDDVYEALVKIGFTLDSPAFYTVCESFDQKKNGRFRLDDFISLCIFVQSAGNMFNSFDTAKQGRVTLDLNQFVYCTANCRI >KGN46774 pep chromosome:ASM407v2:6:9292201:9295960:-1 gene:Csa_6G133830 transcript:KGN46774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRKCCSSATSLFIRRLFVNGLSGSSSPVYYGTLGLSAGVSFIPSPPVRSNGWFCTGLALFSSSVDSGNFTGVRRDVDKSESGGGPGIGSVNGESGNGITGEAISFGEAKRLMRLVNVEALKTKLGTDGKEAIGYLELIEACKSMGVARSEDEAAAFARVLDEAGVVLLFRDKVYLHPDKVVDLVRRAIPIALMPEDDPTRSELKQLQEKKEEIDVLAHKQVRRILWTGLGLAVLQVGLFFRLTFWEFSWDVMEPIAFFTTTTGLVIGYAYFLFTSRDPTYQDLLKRLFLSRQRKLFKKHSFDVCRFKELQKKCKSPLDATANIKNRVGIDLELEDCLSRD >KGN46469 pep chromosome:ASM407v2:6:6625406:6632829:-1 gene:Csa_6G095850 transcript:KGN46469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDRKSVRIPPADPNLPRFGCQNCGQSLCFVGVDTHADKFINDPSARSGMQGSAAHGASSMLASTRMDNSFVVLPKQRPQSHGIPRPREGAGQADMGQTGKAMDESFVVVYKNESPSDGGGMHIPSPDGAMQPNNSGFHSTITILKRAFDIAKTQTQVDQPLCLECMRILSDKLDKEVEDVNRDIKAYEACLKRLEGESRNILSEADFLKEKLKIEEEERRLEAAIKETENQFTEVNAELKELELKSVRFKELEERYWHEYNNFQFQLTSHQEERDAILARIEVSQAHLELLKRTNVLNDAFPIWHDGDFGTINNFRLGRLPKIPVEWDEINAAWGQASLLLHTMCQYFRPRFQYRIKILPMGSYPRIMDNNNTYELFGPVNLFWSTRYDKAMTLFLTCLKEFAEFANSRDQENNIPHEKCFKLPYKIENDKVENYSITQSFNKPEYWTRALKYTLCNLKWALYWFVGNTNFQPLSAITSSHDKVPSVGSFYTKRGADSKSDYRNSSSR >KGN46889 pep chromosome:ASM407v2:6:10377078:10378856:-1 gene:Csa_6G148310 transcript:KGN46889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIDPIEPNQIKPIRAEPLGSTISVSGPIVLSLKNLLFTSFAYSSHPQIPSFTHRKLDDTASEFDCCRWADSLCICWISISLLHGVRLRFRSSKKPVIDPTKPLSPQATFRGPYINTGSRDVGPDHQTYTKK >KGN48593 pep chromosome:ASM407v2:6:23874747:23878886:-1 gene:Csa_6G494980 transcript:KGN48593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSSSFVKLSSPSNYSFSSSLTPFIVKIHPNHPIFPNPSSANLRVFAVAVDPQQELPKNNPQRLLKELAERKRATSPKKKVPPRRFILRPPLDDKRLAERFLNSPQLTLKSFPLLSSCLPSSRLNNADKTWMDEYLLEAKQALGYPLEPSDSYGDDNPAKQFDTLLYLAFQHPSCERTKARHIRSGHSRLFFLGQYVLELALAEYFLQRYPRESPGPMRERVFALIGKRNLPKWIKAASLQNLIFPYDDMDKIIRKDREPPVKSVFWALFGAIYLCFGMPEVYRVLFEVFGMDPDAKECEPRLRRQLEDVDYVSVEFEDKRIGWQDMVSYKPPEDALFAHPRLFRACVPPGMHRFRGNIWDYDSRPQVMQALGYPLPVTDKIPDITEARNIELGLGLQLCFLHPSKHKFEHPRFCYERLEYLGQKIQDIVMAERLLMKHLDAPGRWLQVKHRRLLMNKFCGRYLRERYLHKLIIYSEKVQDAYENNRRLRNPATTAVQQALHGLSYVVYGKPDVRRIMFEVFDFEQIQPKAV >KGN47293 pep chromosome:ASM407v2:6:13980451:13982372:-1 gene:Csa_6G289760 transcript:KGN47293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKTRGMGAGRKLKSHRRRQRWADKAYKKSHLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLIKNGKKIAAFVPNDGCLNYIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPRS >KGN47212 pep chromosome:ASM407v2:6:12829869:12830356:-1 gene:Csa_6G206350 transcript:KGN47212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFWSLIQSPDQKFEEFDSKMATRIGLVLPSSRRARRYIEREARLAAIQVNGSVLVEDTCLCFNALKGLPRLLLGAGEIGETQKQASTSVAGGLLHLYLVMLLPLELEKELIHMIIIQL >KGN48911 pep chromosome:ASM407v2:6:25753103:25755825:-1 gene:Csa_6G505890 transcript:KGN48911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGEDGGGRLIVRGRAEIDTRAPFRSVKEAVVLFGERVLVGEIYANKIKEMAEGGQSQTRIGVLAAELEGTKESLEKAKEENGVLAFCLQSLTDELERTKQELEKLKSIEHRSPHRRNDHRHPLSLALTMHPDVDEDLKFVENEKELNSKTNNNAVILQNRRSVKFASPPELDRIMVNKNEELLLAQKPSLLSPPGSSSSVKRSKKKGLVPLIGWLFAKKKGNY >KGN46352 pep chromosome:ASM407v2:6:5819189:5819573:-1 gene:Csa_6G087800 transcript:KGN46352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQYGPVYNSNTSQISKNLDYIPASDGGGVDGLGPKSRTRALHKYLMIQESRIFLILVYGYSHMFEAGTFMSSLKPCYENNCEYLPLLVVPLSEYIS >KGN46471 pep chromosome:ASM407v2:6:6637801:6640588:1 gene:Csa_6G095870 transcript:KGN46471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSSSLKILQPLPLNSTRSNDKPLLFDPFRSTSKFLGSRFRLPSLSKSNTRCRSSPVVAVSDVVKEKKLKPSSNLLITKEEGLVLYEDMILGREFEDMCAQMYYRGKMFGFVHLYNGQEAVSTGFIKLLTQRDTVVSTYRDHVHALSKGVPSREVMSELFGKTTGCCRGQGGSMHMFSKEHNLIGGFAFIGEGIPVATGAAFTSKYKREVLKEADCQDVTLAFFGDGTCNNGQFFECLNMAALWKLPIVFVVENNLWAIGMSHLRATSDPEIWKKGPAFGMPGVHVDGMDVLKVREVAKEAIGRARRGEGPTLVECETYRFRGHSLADPDELRDPDEKARYAARDPIAALKKYMLENKLANEQELKAIKDKIVEVVEEAVQFADESPHPARSQLLENVFADPKGFGIGPDGKYRCEDPKFTEGTAHV >KGN49551 pep chromosome:ASM407v2:6:29049600:29060604:-1 gene:Csa_6G538800 transcript:KGN49551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYVLVTGGVVSGLGKGVTASSIGLLLKACGLRVTSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDIKLTHDNNITTGKIYQSVIDKERRGDYLGKTVQVVPHITDAIQEWIERAALIPVDGKDGPADICVIELGGTIGDIESMPFIEALGQFSYRVGSGNFCLIHVSLVPVLKVVGEQKTKPTQHSVRGLRSLGLTPHVLACRSTMVLDENVKRKLSQFCHVPVDSIITLYDVPNIWHIPLLLKDQKAHEAILKVLNLHSIAGGPALEEWTARAEMCDSLHEPVRIAMVGKYMGLSDSYLSVLKALKHASLRCLKKLIVDWVPAGDLEDATAQENPAAHKAAWKLLKGADGILVPGGFGDRGVEGKILASKYARENKVPFLGICLGMQIAVIEFARSVLNLKDANSTEFDTSTKNPCVIFMPEVSKTHMGGTMRLGSRRTYFQVVDCKSAKLYGNKSYIDERHRHRYEVNPHMVSQLENAGLSFTGKDETGQRMEIVELACHPYFIGVQFHPEFKSRPGKPSALFLGLIAASCGQLDSILKSSESWKKTAKNGSVEVSTMKLSRNGNMKMTVNGLSNDAYFNGNGLHY >KGN47167 pep chromosome:ASM407v2:6:12536653:12537470:-1 gene:Csa_6G191550 transcript:KGN47167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNLKPTLAYIILYVQDVAKSVDFYSKAFGFTVRRLDDSNRWGELVSGETTIALTPIHQHETEDLTGVVQTPSSNRERNPIEICIDYSDVDAAYQRAVENGAAEVSRPEGKEWNQKVGYVRDIDGMVVRMGSHVNHPKQS >KGN48630 pep chromosome:ASM407v2:6:24126847:24128137:1 gene:Csa_6G495840 transcript:KGN48630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDMDKDFKVSPRRSNWILLIAALGFTGYSAYTLYHLPSISRKRAKISKFFAALSSAATAFSDSADCVATLSKDLKEFLHSDSDEIPQSLKQISKLARSDEISDSLTRLSKAITLGVLRGYDQYSRGGDKEKENENEKSSDFTDRIMEKLCSESGCGFVSVVVGSFARNLVMALMEESKSGSSLVEGWMMGVVYDEKSKELMGELIRMFVSSAISVYLEKTMEINTFDQIFSGLTNPKHEKEMREMLVSISNGAVKTLIRTSHQVLLGQGPGKKVEEFEDMEMGLKPKMEIGKRPRNGGSGWGKNKKVIVNLTGRMTFEMVRSFIEVLLEKIYEGMKRSVDIVNEEVIERGLEIVRYVASKTSVIATICLSLCFHVLDTTSWFLLAY >KGN46457 pep chromosome:ASM407v2:6:6495970:6504427:1 gene:Csa_6G094750 transcript:KGN46457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFKLHLFSASVPRSVTAMEPYRSHRKSPISTNSTTTLPLYRSAPPLEVRLEDFELYAIDRLRVLKGISDGLSRGKKSEEMEKLVRELLKTNMKHPQASEVVNKDIISHFVLRLVYCRTEDLRKWFLSMETMLFRHRFLSEGPESQKQVFAELGLSYKAISNAEFEAVRDKLVQVARLIGQPAPSSDAIYYKVPWEEVPELVAGRRVFLHKGYAYIAIYQVVSLVATQFRSYLSKALSLTNRKWTSTIREQEKDRLAPIVEALCTSYLGPDYSQPREYADISIKDLDQIAKSSFPLCMRHLFEKLKEDHHLKHGGRMQLGLFLKGVGLKLDDALAFWRAEFSQRVGAERFDKEYAYSIRHNYGKEGKRVDYSPYSCQKVISSSPSVGDHHGCPYRHFSEDNLRAALGKMGVNNRTMEDIMDKVRNRHYQLACTLTFESIHGSTCDAGINHPNQYFIDSQKVLQSKNNSTS >KGN46521 pep chromosome:ASM407v2:6:7002667:7003695:-1 gene:Csa_6G106730 transcript:KGN46521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSFRVAVDDMKVLIDSLITLGLVDVMADAIFSPDTFCIMADSDASIHSAFGVQLWPPFFDSFYSDDIRQLFWFRLTHLFTLAVELLESGYTSLTFSIERFRYNYAQFKFEGPNGLLRDVNFLLTPVVRPLRIGQIDLSAFVSMDSEEFSNIISEYHMFDYVEVIITSRRVSFSYAIIQETIITPEDGQCLIGGVRAPNQVQFIITMSQPNAFFHFASQSKRIWLFKEVNSTKGIITAPLGLYGRLVSFFCDVTAFPM >KGN47900 pep chromosome:ASM407v2:6:18954123:18956471:-1 gene:Csa_6G410060 transcript:KGN47900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSVDFHSPSSSNPTQGSPSLSSPASDKRFWSLLRGRVDSLLQERVAKSSNLDPSMSDHFLGKAERAKRLKQDSLLLLRGFDSLGYTLSQLSNNLDNALQGARDLVKAPTLTEIFQNNLKNSEDEEDDSKGKENELVEPKQATKRKFDDSHCLEESDVSLEKENQQNHKDKIKKAKNLAVAMATKSAFLARELKSLKSNLCFMQERCSVLEEENRRLRDGFSRGVRPEEDDLVRLQMEALLAEKSRLANENANLTRENQCLHQLVEYHQLTSQDLSLSYEEVIQGMCLDFSSPPPAIAEGDEEEQEQSDKEITRTPKADLFSFSTSLDELHQEK >KGN45976 pep chromosome:ASM407v2:6:2998643:2999433:-1 gene:Csa_6G040635 transcript:KGN45976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKPFFWYCRPVAGGVWRNAVDNAFGAYTPCAIDSLVVVISHLVVLGLCIYRIWLIKKDFKVQRFCLKSGTYNYVLCLLATCCAFEPLLKLIMGISVLNLDGQTALAPFEVVALIIQTLAWCSMVLMLVVETKVYVYEFRWIVRFGVVYILVADIVMLNLILSVKDFYKRYS >KGN48780 pep chromosome:ASM407v2:6:25067247:25067900:-1 gene:Csa_6G500720 transcript:KGN48780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMGASCARVYVMKKKAEEKLQRMEQERSGRREDSVKPPKTSHHAAGGIAGKSSKKVHPGTLFGLQATSNS >KGN47356 pep chromosome:ASM407v2:6:14522379:14524414:-1 gene:Csa_6G303220 transcript:KGN47356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLGCLWRFQENVEDLKQKLLQTTFELESLKMEANEESVKNKEKVKSLLLLLQAAYEERDEARDQLQKLMNKIMPTTATELPALRHFQPESPLNIPTKANSSITESNSLYETYNHHSYGSSPADSFFDGVSSPDFSTANMADSSKISFVNQPFVPEYNNAPQPPLATGLDTPKTEKPDPFSAVIDNLAKGRALPQKGKLLQAVTEAGPLLQTLLVAGPLPQWRNPPPLQAFKIPPLLVNGCNTKNIDQNPSSKASSSSQKPLHSLLHAEMLSRGSSQICSASSMLSFTNGPSGSCFNSALMMAPNVNHPQILGAKRQKIQ >KGN46938 pep chromosome:ASM407v2:6:10713253:10713754:-1 gene:Csa_6G151750 transcript:KGN46938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKSIQFQTFLSPVRVASSLKNKFSPLEVEDLQHISDFSSVRLFRDEVVAALLEYDLCIRTVTRKGKPHRKGGASSISNKKRTREVKALLGSWKREAQATKVSNPPGSNGDLC >KGN48844 pep chromosome:ASM407v2:6:25390534:25393895:1 gene:Csa_6G502800 transcript:KGN48844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPALNLMKQRKDGYEPSDTETEWQESPWNDPKEKKLVLDYNNRRTDSAVPKKFSMAANVSPPGLRRNGGKTPRRPAKDDSVLVMLQRNISPLSRAERRRHESPFKASGEEIGSSSMRSRKEEKFTYSHGSNKTSQKPSYSRRSVTAPRLRMKDEHMIAANDLSQRRERAAPTLKVSSILQQPKEVSHAKSPSIGEMNELIADGRINRGLALNDPVVESTGSISPGDIFFSRDGLPVGMNNNVTAKRNAFKNYISPKPTFVTKKNDDTYNQVEVNANGRGVSSTGGGLSTTTNSSAAVSRENSSRISLENSKISDVSGRTSESTRRFIANRRKKKNDIWFSCMRNGTCRTTKSPEKRPFDEATYIEKANVVEYLKPFWADQHRPVSLNGFTFHKHEAQLLKQLVSQDSFPHILFKGPRGSGKRVLMMALLREIYGDSCWNVSHDLRRFQIQERKLTQVFVPLTSSAHHVELNLSSESNAKYALLGLAKEIGSEYSINVEARNVNPKAIFKVVVLLDVDKATEDIQHLLRWIMDGYKDACKVVLCCEDDSGILESVISRCKVIKINPPVTHEIMDVLIKIAEKEEFDLPMNFASKIATKAKQNLRKAIMALEACKAHNYPFSDDQPIPIGWEDALVELASHILEDPSNPRLHQVKEKIQKLLVDSVHPKLILQKLVEQFLKRIEMRSRRELYYWHAYYNKRLPIETGVGALPKLEEFVAKFMSMYRKSSNNFVYD >KGN47834 pep chromosome:ASM407v2:6:18400240:18403745:1 gene:Csa_6G405960 transcript:KGN47834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPRPENGHHGWEKEPSLCAGVAAYWSGAAKGLEGSHLEEFKRMVMEFRRSVVRLGGESLTIAQVAAIAARSSDVVVELSEEARSAVEASSRWVVESMTNGTDSYGVTTGFGSTSHRRTDQGEALQKELIRFLNAGIFGKDSNSCHTLSQQATRAAMVVRINTLLQGYSGIRFEILEAIAKLLNHNITPCLPLRGTITASGDLVPLSYIAGLLTGRPNSKAIGPNGESLNSNEAFHLAGITSGFFNLQPKEGLALVNGTAVGSGLASMVLFEANFLAILSEILSAIFAEVMQGKPEFTDHLTHKLKHHPGQIEAAAIMEHILYGSSYVKTTKKLHEIDSLQKPKQDRYALRTSPQWLGPQIEVIRYSTKMIEREINSVNDNPLIDVSRNKALHGGNFQGTPIGVSMDNTRLAIASIGKLMFAQFSELVNDFYNNGLPSNLTASRNPSLDYGFKGAEIAMASYCSELQYLANPVTNHVQSAEQHNQDVNSLGLISSRKTAEAIEILKLMSTTYLVALCQAIDLRHMEENLKKTVKNTVSQVAKKILVLHFNGNLHPFRYSEKDLLQVVDREHIFTYIDDPCSVSYPLMQKLRQVLVDHAIKNGENESNPNASIFGKITAFEDELKALLPKEVENARREVEKGSAAIENQIKECKSYPLYKFVRETLGTRLLTGERDGSPGEEFDKVFTAISGGKLIDPMLECLKDWNGAPLPLS >KGN47942 pep chromosome:ASM407v2:6:19292492:19292905:1 gene:Csa_6G418660 transcript:KGN47942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVEILDSATIVNFVEDDVAFGAFIRDRFAHLDTNRDGLLSYSEMLEELHTLRVFETHFGIDVKPDPDELSSVYSSLFLQFDRDSSGTVDLDEFRAETKRMMLAMANGMGFLPVQMVLEEGSFLMKAVERETAITAA >KGN48018 pep chromosome:ASM407v2:6:19819060:19820819:-1 gene:Csa_6G425065 transcript:KGN48018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYDNDTIGNLPDILGNILPELLLFSWLRSSLWLQFKPQQIAAGAAYLSAKLLNMDFAPYQNILQEFQATPAILQDVAQQLMELF >KGN49138 pep chromosome:ASM407v2:6:26792990:26793303:-1 gene:Csa_6G515470 transcript:KGN49138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSPKYHVATSNFAIFVDIWIQSIPSSEAERRSARVRGRSQGFSVSIDAVTRPMGIFVKPDPIWLGPDPSPTEEDFGA >KGN45756 pep chromosome:ASM407v2:6:970302:975116:1 gene:Csa_6G009110 transcript:KGN45756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLRRRRTPTPTQPLTYSQSPPPLVAGVDRSALALALSLSIAHHLPLWLSITVRHSIFLLPQRADKNVFCTK >KGN46215 pep chromosome:ASM407v2:6:5009331:5014832:1 gene:Csa_6G075160 transcript:KGN46215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAYRKWVRDNRDYLHSFESLANGLTWLLPERFSDSEIGPEAVSAAVGIITAVNEHIIETAPTQMHVNATVPSSFPYSLCISALKNLETLIEVAAQQYYGDDKRWNFIAVTEAMKVLFRLALFKNSGYKILLEGGETTNSERHLETSTSHHKANAFTKHGGHHASGFSGDLNGHSPWNLEGRALSALSRFGENARMTSPPAWSYRVQHQHAILNPPATIPERPTLSTILSEQGHRGALFITGEVLFIARPLIYVLLIRKYGSRSWTPWFLSLAVDLLGTSFLSYATSASASRKDQRPCLSDSEKDELRRRKMLWAFYLMRDPFFERYTRQKLEGAEKVLEPVPFVGFLTAKIVELIVGAQTRYTYMSAS >KGN46367 pep chromosome:ASM407v2:6:5948209:5957278:1 gene:Csa_6G087950 transcript:KGN46367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGDAHLLNTTQALSSDVRSSNTLSEAFGTLPILELASVCINLALFILFFFVDLVKRISVFVGRLGFVKDDESGSNASPIRRSADGEIHDVDVGASFKMSVSCCFYVLFVQVLVLGFDVISSIRESVKGKEVEDWSVVCWPAAQVLAWFLLSSLALHCKFKAFEKFPLLLRVWWLLSFVICLCAFYVDGRELFLQGQNYLSSHVVANFAVTPALAFLSFIAVRGVTGIKVYRNPDLQEPLLLEEEPGCLKVTPYSEAGLFSLITLSWLNPLLSIGAKRPLELKDIPLLAPKDRSKNNYKILNSNWEKLKAENPSKQPSLAWAILKSFWKEAACNAIFAGLNTLVSYVGPYMISYFVDYLGGKETFPHEGYILAGTFFFAKLVETLTARQWYLGVDILGMHVRSALTALVYRKGLRLSSSAKQSHTSGEIVNYMAVDVQRVGDYSWYLHDAWMLPMQIILALAILYKNVGIASIATLIATIVSIIVTIPIARIQEDYQDKLMAAKDDRMRKTSECLRSMRILKLQAWEVRYKVKLEEMRGVEFKWLRKALYSQAFITFIFWSSPIFVSVVTFATCILLGGQLTAGSVLSALATFRILQEPLRNFPDLVSMMAQTKVSLDRISGLLLEEELREDATINLPRGTPNAAVEIKDGLFSWDISSPRPTLSGIQVRVEKGMRVAICGVVGSGKSSFLSCILGEIPKIMGEVRLCGTSAYVPQSPWIQSGNIEENILFGSPLDKPKYKNAIHACSLKKDLENLPHGDQTIIGDRGINLSGGQKQRVQLARALYQDADIYLLDDPFSAVDIHTALDLFKEYIMTALADKTVIFVTHQVEFLPAVDLILVIKEGRIIQAGKYDDLLQAGTDFNTLVTAHHEAIEAMDIPNHSSDSDETMSADESSNLSKKCDLVGNNIGNLPKEVQECITAAEQKAIKEKKKAKRSRKRQLVQEEERVRGRVSMKVYLSYMAAAYKGFLIPLIIVAQTLFQFLQIASNWWMAWANPQTEGDQPKVTPMILLVVYMALAFGSSWFVFVRAILVAMFGLAAAQKLFVKMLTSIFRAPMSFFDSTPAGRILNRVSIDQSVVDLDIPFRLGGFASTTIQLIGIVGVMTEVTWQVLLLVIPMAIVCLWMQKYYMASSRELVRIVSIQKSPVINLFGESIAGAATIRGFGQEKRFMKRNLYLLDCYSRPFFCSLAAIEWLCLRMELLSTFVFAFCMVLLVSFPHGSIDPSMAGLAVTYGLNLNARLSRWILSFCKLENKIISIERIYQYSQIPSEAPILIEDSRPPSTWPENGTIELTELKVRYKENLPLVLRGVTCCFPGGKKVGIVGRTGSGKSTLIQALFRLVEPSSGRIIIDNIDISTIGLHDLRSRLSIIPQDPTLFEGTIRGNLDPLEEHSDHEIWEALDKSQLGQMIREKEQKLDTPVLENGDNWSVGQRQLVALGRALLRQARILVLDEATASVDMATDNLIQKVIRTEFRDCTVCTIAHRIPTVVDSDLVLVLSDGRIAEFDTPTRLLEDKSSMFLKLVTEYSTR >KGN46964 pep chromosome:ASM407v2:6:10867460:10870658:1 gene:Csa_6G153470 transcript:KGN46964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANFTSSSSFVSLNPNAVSASAAASDDDFDDVCCICLDPFTSDDPATITSCKHEYHLQCILDWSQRSDECPICCQLLVLKDPVGQELLAAMASERLLKSRGLSSAASTSLHFHENFDFDHDSVHSDDSDFDDLIMQHLAAAASRARYVQRRERQRHFGVGPSQVSSACPEVPMSPRFQDATLTSPNSDSPSPSSPMPSVGRTGINKISPSVILLPDTPSGSQQKTNQSEGLSFQDSIKSKWSATSAKYKESILRSTQGIKEKLLARNSSVKELSKGVKREVSAGIAGVARMIDRLDLTSKRNTGSVSFFSCSGGTSNSLKGKNVVQESAVTDGSVKINRICTGSPSQVSPTVPSSVKVSLAQRGD >KGN48396 pep chromosome:ASM407v2:6:22552039:22552386:-1 gene:Csa_6G486690 transcript:KGN48396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRQREKGIKGFVCVSEKKGGPRSVVVALGSAHHRSWESKEEKQLVVWFGFGDSYFICGSGLSPVRKMGLNIPDSTVALSE >KGN46499 pep chromosome:ASM407v2:6:6795347:6799196:1 gene:Csa_6G104070 transcript:KGN46499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKVAEEVNRVKNEWNEAFHQTIERIKAIDSYDKQSESMGKNSLPRLNALAQDGLNLLSSLEFKLDLLAPQLLSDSEVEAAQSLLESWKNQSQNLRSSLRNANMQAKTNMRKAAQEERERLLGGGEESTIRRRNLQTKAGMTSAAESITESLRRTRQLMVQEVERTASTIETFDESTGVLKKAESEYKGHRSLLTRTRNLLSTMQRQDVMDRIILAVGFFFFSLAVLYVVSKRIGLL >KGN48479 pep chromosome:ASM407v2:6:23133200:23141164:-1 gene:Csa_6G489950 transcript:KGN48479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSHSGIEPASNLEFFKVFLPGSCTLHMSIPPAFMKHLNGTFPEKATMQDHTGNSWCITLEKLDDLLYFKNGWKAFVDYHSLKYGDFLVFQYHGHCLFDVKIFGKNGCKKAAAAKPASSIPVLETEIAEAGNSVSDSEAKVADAGNSIANLEAMSADAGNSDSKLEVVEAKTGNAVPTLKVKEEPVVEEEDVKPSISHKRKRLQDGSELDHQSKSVVPLNRGRPDNVSNSVEQAIPRGPFFERTMKRWSGQILVEEPLHYMPFFGRKNFRIEPVKIFPVRTNPEVAKYFEECNQFQEYSWEMLMSHVHSLSANQELKYIQFEHEEVDSQQNYQYFQDDDVQDNQSEGLDMIMTDEQPISQSEEILYLEYQPLQTDIEDNRKSANMDSTELVENSYDIEQNNMDDTSEVGGNLCDNIKENNVDTTELSGNSYDNIKENNMDTTELGGNLCDNIKENNVDSTEHCGNLCDNIKDNNVDTTEEKQSPASVEPSRKKKKRKSASFEVQEQKEETSEIDTDQDSRRGVETRQRKKIAEQSKKQGEDGKRKKRGKRGKKSGISGTSSEHDDEVDVHKEYPLLLPRSSWATTQRINLYSKLDVISIIKNTLNERQLKKFKKSCFGNFLDLKISKFSSQLFYHLIRRQCCSKNRNELWFNLEGRIHKFGMKDFALITGLNCGELPAIDMSKIQKGKFNKRYFGGEKTIRRAKLHKVFTEMDKGRNKDVVKMAKLYILEMFILGKQIRTGINHEYTLLIDDKKQFDSYPWGRISYEITVDFVKKSIKSNDASAIGVGGFPYALLVWAYETIPLLALNSNFLAMRISFGTPRMNNWAAGVHPEWKDLSEKVFQSEAFDVQPLIATTTEMEMPYMIPFGGVKPSNEKNISPVDQEHNSDARTSYNKDHCNWKGSQSVSKDGVENFLFTKIVNIEGILGSLVHDIDNLKSFFHKMCGTANEAADSEKMRKPL >KGN48045 pep chromosome:ASM407v2:6:19985542:19988973:-1 gene:Csa_6G425820 transcript:KGN48045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSRPPNSSGHLPDQIMHSLKRQLPFSSMKPPFASSGDYHRFTPDSRLADQEPDAILVKSPQLKRKSEVADYEAESTDRAIGPRFVR >KGN46386 pep chromosome:ASM407v2:6:6053041:6053936:-1 gene:Csa_6G088140 transcript:KGN46386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIGHPTDVKHVAHIGWDGPSVNSPSWMNEFKAPPSFSSAPLSLHASGDNKEDVSVKWVSEDRPSRRVAKGSSSSAKNLTADVTKTSKHPSSSSTTSSSTESPTCRERSSERQKTRRSSKSKEPSSSDNNNNNHSPTLSLPDVPRQTRRKKTTRTKPHLAPETYKSPHSDPGSTTATGSISLSKDSDLCQTP >KGN47447 pep chromosome:ASM407v2:6:15146098:15148362:-1 gene:Csa_6G325400 transcript:KGN47447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLGPVTHAQVSCLIGFVSIFVAWIYSEYLVFKKKSSKVRHSDIGLTEVENLTGKEDDRAALLDGEAIKSIPYGARIPPSFSSFIRFVMLEESFLIENRMLLRAASELGLLLAYFYICDRTDIFGTGTKSYNRDLFAFLLLLLITVSAITTFKIHQDKSPFSVKPLLFLNRHQTEEWKGWMQVIFLMYHYFNAREIYNVGRVCVAAYVWMTGFGNFSYYYARKDFSLSRFAQACSPVDSSISC >KGN48984 pep chromosome:ASM407v2:6:26100924:26104416:1 gene:Csa_6G509040 transcript:KGN48984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKRMKNNVYAIIFLSNFALLLFGGSAEQCGWQAGGAVCPNGLCCSQYGWCGTVKAYCAEGCQSQCRRRSNPTPIRGGGGGYIGGLISEDTFNQMFKHRNEPDCQNNGIYNYRAFLNAAQSFNGFATTGDESTRKRELAAFFGQTSKETTGGWETAPDGPYAWGYCFARQIDRSSYCSPSWDWPCAPNQQYYGRGPMQISYNYNYGQAGRALGLDLLNNPDLVATDAEVAFKTAIWFWMTPQGNKPSSHDVITGRWQPSDSDRDSGRYPGYGVITNIINGGVECGGGYNDAVNGRIEFYKRYCDMLGVSYGDNLDCYHQRSFA >KGN47433 pep chromosome:ASM407v2:6:15089031:15094527:1 gene:Csa_6G319790 transcript:KGN47433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METVEPQSLKKLSFKSLKRALDLFSPVHGHVAPLDSESKKIRISHKISVEYGGLKNTGTKPTGQASSAPDSAIGTSAPSNALALPGPGDSRDVKSGAQNAVVIGPTVQPNTQNDGGLQGRSSAIVSAPGSSERMSTSAIMERIPSKWPRPVWHAPWRNYRVISGHLGWVRSVAFDPSNTWFCTGSADRTIKIWDVASGKLKLTLTGHIEQVRGLAVSNRHTYMFSAGDDKQVKCWDLEQNKVIRHYHGHLSGVYCLALHPTIDVLLTGGRDSVCRVWDIRSKMQIHALSGHDNTVCSVFTRPTDPQVVTGSHDTTIKFWDLRYGKTMTTLTYHKKSVRAMALHPKEHSFASASADNIKKFNLPRGEFVHNMLSQQKTIINAMAVNEEGVMATGGDNGSLWFWDWKSGHNFQQSQTIVQPGSLDSEAGIYALSYDITGSRLITCEADKTIKMWKEDENATPETHPLNFKPPKDIRRF >KGN45886 pep chromosome:ASM407v2:6:1991367:1997378:-1 gene:Csa_6G017020 transcript:KGN45886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDNRESSAPMIDSDPDDDTPKSPPSSPSSSTRKACYAVLQSWVSKKFMTGCVVLFPVAITFFITWWFVQFVDSFFSPLYARLGIHIFGLGFVSSLIFIFFIGLFASSWMGATVFWLGEWFIKKMPFVKHIYSASKQISAAISPDQSTTAFKEVAIIRHPRIGEYAIGFITSSVVLQMNGYEELCSVYVPTNHLYIGDVFLIKSEDIIRPNLSIREAIEIIVSVGMTMPQVISPVERERIPHQNDMIPFNRMASI >KGN48144 pep chromosome:ASM407v2:6:20703320:20704357:-1 gene:Csa_6G445090 transcript:KGN48144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYEYEWAGGHINPAVTFGLFLGRKVSLVRAVLYIMAQCLGAICGCGIVKSLKKANFNAFSGGATELADGFSPGAGLAAEIIGTFVLVYTVFSATDPKRKARDSHVPVLAPLPIGFAVFVVNLATIPVTGAGINPARSFGSAVMFNNHKAWDNHWIFWIGPFIGAAMAATYHEFVLRGGAAKTLKSFKTSSV >KGN49351 pep chromosome:ASM407v2:6:27830259:27830562:-1 gene:Csa_6G520490 transcript:KGN49351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISVKELVEKSTKITIPQNFIRLDQEASTTSDPSTFPTPPIIDMSRLLSPQYSRSELLKLHSACIEWGLFQVTRRVHNLYQ >KGN47897 pep chromosome:ASM407v2:6:18927270:18929628:-1 gene:Csa_6G410030 transcript:KGN47897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATPYCTRLLRPPLLHSVASKFPVAAAYDVQFCHFKFGRSTPVINFNTSKFKGRNNVLLKRPLVSKVNQKSFDCFSNQCQNSRMNVKATSEQCLDLETFSQESNDGDAFLTSSNGTNFDIWKPKSRAFRNRFLNLVRLRSVLNNAAESFFKSEIRRRLFVTAVLIVISRVGYFIPLPGFDRRLIPQDYLSFVSGSVDELGDFTTEMKMSFFQLGISPQIIASIIMQV >KGN46113 pep chromosome:ASM407v2:6:4195656:4201692:-1 gene:Csa_6G054850 transcript:KGN46113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKSQFQRSKRQKLKGNLLSFFEWGFGAPCLHSPKAAGQNERFSWFSITVPNISPGSTQNKLSASRLGSLWNFYVLVHEVKDAKNKAIKLSAALSVGDNEEFDFVRSLYEGAIAGGAASFVVEAALYPIDTIKTRLQAVHGGGKVALKGLYSGLAGNLVGMLPATAIFVGIYEPTKQTLLNSLPENLNALAHLTAGVVGGVASSIIRVPTEVVKQRMQTSHFASASNAVQVIVSREGFKGLYAGYGSFLLRDLPFDAIQFCIYEQLRIGYKLAAQRDPNDPENAIIGAFSGRTSIGIFSPFCFHESSGDILYSKHLTSFFLYWFICETLQTEYLKNCTHFCV >KGN46812 pep chromosome:ASM407v2:6:9722447:9723026:1 gene:Csa_6G138630 transcript:KGN46812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCCSSHGELVSVELGVVGSGGVMWVGSSVTLIYWILDEESNLSEAISALLDLNNDLSEIKNIIYHFLLDSCSSSSSLKDSVIVFLGTTF >KGN45693 pep chromosome:ASM407v2:6:567868:570223:1 gene:Csa_6G006790 transcript:KGN45693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMKERKLTVIGTVDPVNVVSKLRKYWPTHIISVGPAVEPKKEEPKKEEPKKEEGKKEEEGKKEEPKKEGDDKKDEAKKDESKKDDDKKDEPKKEGEKKEEEKKKEQPQVAVPVPMPMPMQMPMPMPMPMHMQQHDPRIMEMVKAYRAYNPHLTTYYHVQSMEENPNACVIC >KGN45938 pep chromosome:ASM407v2:6:2427172:2427453:1 gene:Csa_6G025440 transcript:KGN45938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGQPSPMPCWKYLSPDAEMRRQKFSYCRHALFVSISSIRSKSFSTPFLLRVENSSPDTLLLISFLTMLHMLGDPFPTLLCVYISSLAASRDP >KGN49047 pep chromosome:ASM407v2:6:26362805:26364430:1 gene:Csa_6G511620 transcript:KGN49047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQASQNRQASSMIHEMDPYYLSGFHVLGNHVSPDASSQGNSVNFSSYKDQFFTLESFPATADLSGSNSPSTGSVLSSRSPFSPQGSQSCSSDQHHSFENTCGSPMSGCSVTDEDNDIKHKLKELEISLLGPESDIVDSCYCSFRGGAHRDASVARRNWNQVVEMIPKLNLQDTLIHCAQAIHDSDLNVATLFMDVLGQMVSVSGDPSQRLGAYLLEGLRARLERSGSAIYKSLKCKEPTSSELMSYMSILFQICPYFKFGYTSANAVIREAMVNEPIIHIIDFQIAQGSQYISLIQDLANRPGGPPALLRITGVDDSQSAHARGGGLQIVGQNLAQLAQSKGIPFQFHAAAMSGCDVEHSNLIIQPGEALAVNFPYTLHHMPDESVSTQNHRDRLLRLVKSLSPKVVTIIEQESNTNTSPFLLRFIETLDYYTAMFESIDVARSRDDKQRIRAEQHCVARDIVNMVACEGFERVERHELLGKWRMRMRMAGFTPYTMSPSVTGAVRNMLRDFNENYRLQEVDGAIYLGWKNRAMATASAWR >KGN46714 pep chromosome:ASM407v2:6:8716984:8717282:-1 gene:Csa_6G126270 transcript:KGN46714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFPFGIRTRPKSSSNLERETNSEEIYLTQRITYRHIALNGRLDQNADLLSIVLQT >KGN47416 pep chromosome:ASM407v2:6:14973070:14993760:-1 gene:Csa_6G318140 transcript:KGN47416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSSTFIFIAGFDPKPTLQTREFSHFDVFLIWDGIGAVDGRRRASIRSNAIGAAYAFDDAPEPTRIFDELPKATIISVSRPDAGDISPMLLSYTIECQYKQFKWRMLKKASHVFYLHFALKKRAFIEEIHEKQEQVKEWLQNLGIGDQTAVPQDEDGPDDEAEPLHHDESSKNRDVPSSAALPIIRPALLRQHSMSDRAKTAMQGYLNHFLSNMDIVNSREVCRFLEVSKLSFSPEYGPKLKEDYVMVKHLPKIPKQDDSRKCCLCPWFGCCNDNWQKVWAVLKPGFLALLGDPFDTQPMDIIVFDVLPTSDGNGDGRLSLAKEIREPNPLRHSFKVACGNRSIRIRAKTGSKVKDWVAAINDAGLRPPEGWCHPHRFGSYAPPRGLTDDGSKAQWFIDGLAAFEAIAFSIERAKSEIFICGWWLCPELYLRRPFVSNASSRLDALLEAKAKEGVQIYILLYKEVALALKINSVYSKRKLLSIHENVRVLRYPDHFSCGVYLWSHHEKLVIVDYHICFIGGLDLCFGRYDTPEHKVGDCPPSVWPGKDYYNPRESEPNSWEDTMRDELDRKKYPRMPWHDVHCALWGPPCRDIARHFVQRWNYAKRNKAPNEQAIPLLMPQHHMVIPHYLWNSRELEVEKKSLDDPRETTVQDSFSRGSSFHDIPLLLPQEADGQGAENEGPKLNGLEPIVNPLDQPSRVSSGLSFSFRKIKVEPMGQDMPLKGFVDDLDHLDSHGKFSGDGKTHHRIKSSDFEWWETQDRGDHGGFTDESGQVGPRASCRCQVIRSVSQWSAGTSQDEESIHTAYCSLIEKAEHFIYIENQFFISGLSDDVSIRNRVLDALYRRIMRAYREKKIFRVIVVIPLLPGFQGGLDDSGAASVRAIMHWQYRTICRGPNSILHNLYELLGSKFHDYISFYGLRAYGKLFDGGPVATSQVYVHSKIMIIDDCIALIGSANINDRSLLGARDSEIAVVIEDNELINSSMGGQPWKAGKFCWSLRISLWSEHLGLRPGQVSQIVDPVADSTYKDTWMATAKTNTTIYQDVFSCIPNDLINSRAGLRQSVAIWKERLGHTTIDLGIAPEKLEFYRNGEIERIDPMERLSSVKGHLVSFPLEFLSKEDLRPVFNQSEYYASLVFH >KGN48664 pep chromosome:ASM407v2:6:24367387:24374801:-1 gene:Csa_6G497150 transcript:KGN48664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSIRAPGAKKSTTLTVAVKCRPLRERERGRDIVRVIESKEVLILDPDLSKDYLDRIQNRTKEKQYCFDHAFGPESTNLEVYKKSISSIIPGVVQGLNVTVFAYGSTGSGKTYTMVGTKDDPGLMVLSLHTVFDLIKKDKRSDEFEVTCSYLEVYNEVIYDLLEKSSGHLELREDPEQGITVAGLRCIKVRSADKILELLNLGNSRRKTDCTEVNATSSRSHAVLEISVKRKQRNKYPNQVLHGKLALVDLAGSERATETNNAGQKLRDGANINRSLLALANCINALGKQQKKGLAYVPYRNSKLTRILKDGLSGNSQTVMIATISPADVQYHHTVNTLKYADRAKEIKTHVQKNIGAVDTHVSDYQRMIDSLQTEVCQLKKTLAEKESQLTSKPVEKAADDELSWLDIVSHEISENVQERINLQKAMSELEETNLNNRSELQQLDDIIAKHQALEMDGAIVEDLISRRLVILDNIRDNDEAGINYQKEIEANEKQRCQLQGMIDNAVSRNGNKTYLQILSQYRLLGMANSELQLEMAMRDQVIHNQRESLKNLWNLLMGLGLDEKQILHLAAKQGLTIEGWTMTSSLGLLEKQSANLSSSRSTSVGPSSGIEEGSQNCDFPCPDFSPPAYSRVRNVDAKPNMSFGSPENYPQDPYKSYLDMTSHSFHGGSCMSSSSIVGDLSSNRRIIDAVPFTKKL >KGN47296 pep chromosome:ASM407v2:6:14005427:14008502:1 gene:Csa_6G290280 transcript:KGN47296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTSNHLIGLLNFLTFVLSIPILAGGIWLSSKANSTECLRFLQWPLIIIGVAIMVVSLAGFAGACYRNTFLMWLYLFVMFFVIVALIVFIVFAYAVTDKGSGRTVPSRVYLDYYLQDYSGWLKDRVAEESYWEKISSCVRDSKVCKKMGRIVGGVPESVEMFNLRKLSPIESGCCKPPSDCGFSYQNETVWTGVEGMVLFNSDCTNWNNDQSELCYNCDSCKAGVLASLKRSWRKVSVINIVVLIILVIAYVIGIAAFRNNRRIDNEEASGEARMEKARPSWIHS >KGN47295 pep chromosome:ASM407v2:6:13994328:13998837:-1 gene:Csa_6G290270 transcript:KGN47295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTSKSRSSLETAKKVSLKTTRQVKPTALKCDSSSSSNQTGRISKERSPKIIGRSPRTPLSEKKCQNKISELESQISILQKDLKKAKDQLHSSKLRKDRARVDAEVSSVPSNAKEHRLSSSEQGHPKELQKQAQEDDQSRHSASEVTDKSESVDSVASAMVNVMQQLKLKLQVVDESKAFQTKHAGSVNEKHNKLKKFLSEILSLMGSMKNQLQDCEVSETQVQALISETTAQLETAKEMVELLGSDSTKAFKDWNSVTLELDESREHVSILETLVCKLEPALANASWKSFETFAVGKNRVQETQEGETRESEQLEADLSSPQSAPLAVEAEYEGKQALEPKPSTEAKHVKSDANPREIELKKELEKSRLEIDEFRVKLINRETELRSITEENLELSCKLEKSLSSHRVYELEKELDDLKNCIADLKANLLDKETEFQSVSEENEMLISEISKRDTIKTKVKEDMTTELVTSTTMDRDTQVKLGIIAEETDRSTSRKSVGIAELEAAQAANAEMEMELRMLKVQSEQWRKAAEAAAAMISAGSNGEFVGRTGSMDSNYSAITGKIGPLYSEDSDDDLLKKKNVNVLRKIGVLWKKPQK >KGN49233 pep chromosome:ASM407v2:6:27253151:27253923:1 gene:Csa_6G517410 transcript:KGN49233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKISHPNSSFTSSTSTTTADAFQPPPPKILNRSDSTNPYPTTFVQADTSSFKQVVQMLTGSPETAKQISTPDPPSKSSIPPIKSIPKRQHSNFKLYERRNALHNLQIINPVFASSVSSLRHNNHNLPEILSPSMLDFPSLVLSPVTPLIPDPFGRSQPLNSEPTNNVGSSNSGLDEEAEAKAIREKGFYLHPSPATTPRESEPELLPLFPITSPPRPGPPPPSS >KGN47618 pep chromosome:ASM407v2:6:16535999:16536515:-1 gene:Csa_6G365185 transcript:KGN47618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIYIMIYLYICHIEKISGCKRCESVCPTNFLSVCVYLWHETTRNMVYLVFTMNYFSWLTTVVVFPIFAGLLLFFFPHRGNKVMRWNIYYMYICVLELLLTTYAFCYHFELDDSLIQLMEDYKWIPFLDTYT >KGN47657 pep chromosome:ASM407v2:6:16770157:16772395:1 gene:Csa_6G366550 transcript:KGN47657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQAVQKNTLYVGGLAEEVNESILHAAFIPFGDIKDVKTPLDQATQKHRSFGFVTFLEKEDASAAMDNMDGAELYGRVLTVNYALPERIKGGEQGWAAQPIWADADTWFERQQQEEEMQRLQAENRAAMEAAEELHRKKLAQEREGEKDEEVDTKVDPMAKAEAEVLRQNS >KGN48328 pep chromosome:ASM407v2:6:22026563:22027612:-1 gene:Csa_6G476680 transcript:KGN48328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDLFSTDSFRQEHHQYRHHDTVEMSDNSPSSTTINLNTFFDDVESVKAELTELEGLHRSLQNSHEQSKTLHNSKAIKDVRSRMETAVTLALKKARFIKVRLEELDRSNEENRKLPGCGYGSSADRSRTSVVSGLRKKLCDSMESFNRLREEITKTYKETIERRYFTITGENPDEKTVELLISTGESETFLQKAIQKQGRGRVLETIQEIQERHDAVKDIERNLRELHQVFLDMAVMVQTQGQQLDDIESQVTRANSAVRRGTSQLQTARYYQKNTRKWICIGISIVAAIVIIIIVAVVLSNK >KGN48405 pep chromosome:ASM407v2:6:22604976:22605728:1 gene:Csa_6G486780 transcript:KGN48405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWGKCLFVPHFLRQIGNVFSFYRNGLVKAEKMKTWTSIQSGQENLMQLVLGSRSMVSGIVFVSSKSANASSFFLNFTDFQTLDPNVGLGSQISGLSTQLLELKSVYVINATDFPVMKRIPIFSSHQHFRFSNEINPAATGKWIHKHTSDLSSSG >KGN45613 pep chromosome:ASM407v2:6:67303:74311:-1 gene:Csa_6G000130 transcript:KGN45613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYYSASNKPIVPKEEVFDFRLPPDRMYSRHVGDSGASSSGSNVRTFFIDMGFLPSLVDSVIEKNGEDDVELLLNTLTTYSAEQKSIPQSSDSLEGLQSGKMGSNPPHVSTVCHKQVQAAQTSKSESSDSLDSLFDDKDAHNEISSVIPKEEADDYYHISDTNKASLLVMNFSADEVDFAIDKLGGDAPLNELVDFIIAAQIAIKLEKETDDAFCRNELKKEENDETLFVTMEKTLRLLEMGFSENEVSLAIEKFGSETQVSELADSIVTGRIASDYPGDVKCSPSSFGIGGLYTREDYVTKVKAEESSSAVGPLPRNVNIEAIQKGKRPKEENMDDLLNPTTRLNKHKGKRPKQEYADDLGSLYGPGWVESKVNPDITSFDIPPSSRLNLSRSLDKLVAKPPCPPLKSNPSRALEKVVTKPPFFLYGNVLDISRDSWAKVSKFLYAVEPEFVDTRSFSALSRTEGYVHNLPCENRFHIIPLPPMTIQDATRTKKWWPSWDTRKYLSCINSETRGVPQLCDRLTKTLTDSGGHPSSHEERDILHHCIALNLIWVSQFKLAPVEPEQLECVLGYPVNHTQDAESSSIERLQYLKYCFQTDALGYHLSVLKSMFPEGLVVLSIFSGIGGAEIALHRLGIHLKVVVSVESSAAKRRILKKWWHSSGQTGELEQIEDIQKLTSIKINNWITKYGGFDLVICQNPCSRCLSSSKLNQSGDAEGIASFDFSIFYEFVRVLQSVRNTMHRKK >KGN46124 pep chromosome:ASM407v2:6:4291572:4293509:-1 gene:Csa_6G055940 transcript:KGN46124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSLKVANRRGIGSDLAQFPSGGAGDGDGDTGGGGGGGSSSSDDPHRLLYGQRDDGDYYSAVGEVSTIVSTLTNVMSGQAAPDWGYGRGQGFPRGFVSSSSSSSSSTTSASGSSGSELSYVPGMSSYWVGQKRMREEEISVQTQHDFHSASRGFSFIRGFDHHFSQPQSSIPPVKEEVPPPPHTAVSNPAATFAASSMTSNDAVVIGERRRRYRGVRQRPWGKWAAEIRDPHKAARVWLGTFDTAEAAARAYDEAALRFRGNRAKLNFPENVRLIHPPQHIPAAAAPQIAPQQTATFQSQNYRDYIEYSNLLQNPGDILGQPSSLLQQMFYNAHLPPFESSSSPAPVPSMSSVSNSVLFTPTLQQQQMGIFRQPPQNQNQGGSDYFPATSWDDSGGQYPSSSSG >KGN49548 pep chromosome:ASM407v2:6:29014749:29018202:-1 gene:Csa_6G538770 transcript:KGN49548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVMALQLRHFPLSTSPSSSIEHNYDISRRFRYLPLPSHGTRPHLPVPSSRNRRSLACVGKEDTQLRQPSSTTDEQPEAQDLEYIRQIQRVLELLKKNRDMLFNEVKLTVMIEDPREVERRRLLGIDEDDAPTRDDLAATLEEVNEGKFPKNRVALQMLAEEMTNWPNLEAEAPKKKRSKSLYAKATDTGVNPREAAKRLNIDWDTAAEIEDADLSDDPEVPAAVGYGALYIVTAFPVIIGISVVLILFYNSLQ >KGN47704 pep chromosome:ASM407v2:6:17238909:17239752:1 gene:Csa_6G382920 transcript:KGN47704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQEDNKQQQQQQPQLPQRISLAYVEQVNTDLIMALAMQQQEHELAYTTLETIASDSEEDENSDSNSNNGLDTNATSQRQELVSRWAFLEDDEETTDGNDDMEEDEDGDFEGFDLDELTYEELIALGEFIGEEKRGLPINEIPSCLHSSKFQTIENRSGIDRCVICQVEYDDGEELAALPCEHPYHSECIGEWLQIKRVCPICGTEVSSPNGSKNE >KGN49392 pep chromosome:ASM407v2:6:28043662:28050282:-1 gene:Csa_6G523340 transcript:KGN49392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSLPYSVKDVHYDNAKFRQRSYVKVMTQNLLTGSRKRDYLSCSTGKFLVLLLIFGLAYLLLTSATPVHVPNKLAKVSENSEKQEIKNNGGINFRNLWRKAPRLPPQLPPDEKGSNTSYHIDPNTLISESLWISRQQKVKDAFIHAWSGYKRYAMGYDELMPLSQHGTDGLGGLGATVVDALDTAMIMGASDIVSEAGSWIEKNLMDRIKEKGQVNLFETTIRIVGGLLSAYHLRAGEYGKTFGNEGPKPAVYLEIAKNLADRLLSAFTSSPTAIPLCDVVLKDSSAHAAPGGSSSTSEVSTLQLEFNYLSTVSGDPKYSIAAMKVLEHMKSLPKVEGLVPIYISPHTGKFSGETIRLGSRGDSYYEYLLKVWLQKRTSGNSNFTYLHDMYEEAMKGVKHLLVRKSIPNGLVFVGELPYGSDSTISPKMDHLVCFLSGTLALGATKGITKEEAMSKSLLNFDDLENLNLAEDLAKTCFEMYEVTSTGLAPEIAYFHTEEYSEQGLDGGNKKSKYIDDIIIKPLDRHNLMRPETVESLFVLYRITGDPKYRAWGWEIFEAFERHTKVSSGGYTSLDDVTTIPPRRRDKMETFFLGETLKYLYLLFGDSSTIPLDKYVFNTEAHPLPMDGNIQIQ >KGN46695 pep chromosome:ASM407v2:6:8545229:8546778:1 gene:Csa_6G124100 transcript:KGN46695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFHLTAKDKKRSLDQKVLLCCKYYVSESRNRSVLEAIEGAAREDPDSVIVNKFEDGAYNRTRYTIVSYVVHDTTGNAIYSPLLQTVLSMTQVAFSHINLESHSGTHPRLGVVDDIVFHPLARASLHEAAWLAKAVAKDIAAMFQVPVFLYSAAHPSGKAPDDLRRELGYFRPNYKGNQWAGWSMPETLPENPDEGPNTVSRERGITMIGARPWTAMYNIPILSTDVSATRRIARMVSGRGGGLPTVQTIGLLHDDETTEIACVLLEPNQVGADRVQRHVEIVAAQFGLEVENGYFTDYSPEMIVEKYLNLISGTQSLSGNRLN >KGN46460 pep chromosome:ASM407v2:6:6536537:6540218:1 gene:Csa_6G095270 transcript:KGN46460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKTLERYQKCNYGAPEPNVSTREALELSSQQEYLKLKARYEALQRSQRNLLGEDLGPLSSKELESLERQLDMSLKQIRSTRTQYMLDQLTDLQRKEHLLNEANKTLKQRLVEGYQVNALQLNQSADDMMYGRQQAQPPGDAFFHPLDCEPTLQIGYQPDPITVVTAGPSMNNFLPGWLP >KGN46934 pep chromosome:ASM407v2:6:10690480:10694386:1 gene:Csa_6G151710 transcript:KGN46934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSPDDIADDLQSLSFNSTNTNRSTSSGSETTLTASSSSLNIPSILSKPHAPSSDPCWSAVNRIRSESSFRQLAFSDLKFFHRLGSGDIGSVYLSGLKSAGTDGCLFAAKVMDKKELASRSKEGRARTEREILESLDHPFLPTLYASIDSPKWLCLLTEFCPGGDLHVLRQRQPNKRFHELAVRFYASEIVVAIEYLHMMGIVYRDLKPENVLVRSDGHIMLTDFDLSLKCEESTATPQVINAKNPMNGTAPLKDYQIDAPPFTSSSCILPNCIVPAVSCFNPKRKRKKKSGHRNGPEFVAEPVDVRSMSFVGTHEYLAPEIVSGEGHGSAVDWWTLGVFVFELFYGVTPFRGMDNELTLANIVARALEFPKEPAVPTAAKDLISQLLIKDPARRLGSTTGSSAIKHHSFFQGVNWALLRCTSPPFIPPPFSREKIASDESCTEAPVDYY >KGN47106 pep chromosome:ASM407v2:6:12159229:12160218:1 gene:Csa_6G187990 transcript:KGN47106 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA thioesterase MEKAKQLLELTKEETDAVHKLPVRPKKPGQSCFYTFFTLRGIRVDRVEPGLVVCTLKVPPRLTDRSGKLASGAIANLVDEIGCAVIYDKDLPEPVSVDMSISYMSSADVDDELEIVSKLLGQKGRYSGTSVVIKNKRNGEIVAEGRHSLFSLRPTTVKSKL >KGN47333 pep chromosome:ASM407v2:6:14332079:14333480:1 gene:Csa_6G301030 transcript:KGN47333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTVNGSVVSSKPISISKAASTLSSFLSADNGASKALCAYLRRASDSFNELKQLHKELKSSCSVRKHLHHGSEVSNEFEAAIHDQYRVEDGDKNNSSVSEKKKRPDRKDRTTDKTSLRVQSYNEQIGKTPMENGGNGNLEDVTGKKKGSELKIEIEDKPSGKVEMDVESSDRDKSVVAVEKKRKRHKKKSEDRHDDIEDDERESGARLKHGKSQNTDNNCDAEASGEFVENNVANGKSRKKLEDKKRLDDVKDQVKSEDQRRGDVKEGKSTNNDNDNGTDHVDLSPKKKKKRRREEDDDFQKNSGEAMVKEEVPVLDSKELKRKEKKKSKNRELGEEGRDDGSEEQHSTKRRKG >KGN46752 pep chromosome:ASM407v2:6:9073516:9076100:-1 gene:Csa_6G128620 transcript:KGN46752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGFTFFGWRKASKCKKLIKQVQCRLKLLKNKKSVITKQLREDIVQLLQNGYHQIAFNRVEQIVKDETRMSAYEILDNFCEFILLNLSYIRKHKECPNDVNEAVSSLLFASARCGDLPELQLIRKLFGERYGRSFETTAVELNPGNLVNLQIKQKLSINYVSDDEKQRMMNEIVRDCLKPEVLALEYRSEWHQNQVTAKEVIQVHAEEKIKQHKKQAMNAYETKKGDIHYSNSVTSTSCEFFPQLPEERIVYLDDVVELCSSTTTEGDQRLFKFKTTPTLSNREISKENHQNQIDLVQSESWSEDENSSSRTSIEGSKKRFMEVVEGNPKKEDYKQENSSWKQRTMDKYWASASEVTTDKEIEWANFYKKPRRRRRTKRGDTPPSHDMKFTTDDGFNANINHKKVEANCEKVDVKKDGLCLRAVTMPTERPKERLKEGSFGRTKSCPYKQPSHVHPKLPDYDDIAAKFIALKRERLQYNTLKA >KGN48770 pep chromosome:ASM407v2:6:25017102:25018728:-1 gene:Csa_6G500620 transcript:KGN48770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METESPSPSPDSHSHSTSDPETLTTGFENLAISSDPLTTQFGSLNDLAHDLFSLQDLATRGSWRSILDKVARARALTLLQKPHDHLTYLSYNVLALVKLRRFAEALAELDSLEDLNSSQYRYESYPSVYPNRTGSMVPFSLRWLQALIPIKMGERQHGLDRFYELLDFVQSKLKDKEEKKLDVSVNLWKKRVVFVINCIIGHHLSNKEFGVCLTLINNLLSEDFSDPALISKLGYIQMHAGDIEGAKRSFNRIEELVKEGKSSGSLSEVEMKNLVNRNKALVFLVGKDYLSAVREYEECIERDNSDMVAINNKALCLMYLRDLADSIKVLENALERVPTVALNETVIVNLCSMYELAYVNHSEIKRTLNNWIARVAPDDFDSSSTRI >KGN46049 pep chromosome:ASM407v2:6:3725289:3726551:1 gene:Csa_6G046270 transcript:KGN46049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVRTKTVKKSSRQVIEKYYSKMTLDFHTNKKILEEVAIIPSKRLRNKIAGFSTHLMKRIQKGPVRGISLKLQEEERERRMDFVPDESAIKIDEIKVDKETLDMLAAFGMSDIPGLVEVEPQAMIPPQAFGRGAGGPRRY >KGN47978 pep chromosome:ASM407v2:6:19494632:19497009:-1 gene:Csa_6G421720 transcript:KGN47978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAFSGGNGGLWAWNFLLSHSKSSRNNKRRSFEPKSSNSLEATGGSGFRFPLKQYITAGCLTLSGDTIAQFIGRYRKGIALNSTALSDSASADKMNIFSEHDWIRSLRMASYGFLLYGPGSFAWYNYLDHVLPKKSVENLILKVVLNQIVLGPAVIGVVFAWNSLWLGKLSQLPEMYRKDALPTLSYGVRFWIPVSILNFWVVPLQGRVAFMSVASIFWNFYLSSTMSK >KGN48920 pep chromosome:ASM407v2:6:25820180:25822605:1 gene:Csa_6G505970 transcript:KGN48920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIKLILARPIQLADQVTKAADEATSCKQECADLKGKTERLATLLRQAARASSDLYERPANRIIKETEQALDKALLLVLKCSGNGLMKRVFTIIPAAAFRKSFSQLENSIGDVSWLLRVSASAEGRGDEYLGLPPIAANEPILGLIWEQIAILSTGSPEDRTDAAASLVSLAKDSDRYGKRIIEEGGVGALLKLLKEGKVEGQENAANAIRLLGRDPENVEAMIQAGVCQVFAKILKEGPMKVQAVVAWAISELVSSYPKCQDLFEQHYIIRSLVSHLAFETVQEHSKYNITVNKATSIHALVLANNAKTNNVYKAADDDDRQLHSRILHPMGNRTPNQMHAVVTNSMNMLSGGAVPSTTATPQPSHMEGHSLSSNGKHIIPHHSPYLHHAHSGPSTKGRELEDPATKTKMKAMAARALWQLAKGNLTICRSITESRALLCFAVLLEKGEQEVRHNSAMALMEITAMAEHDPELRRSAFKPTSPACRAVVEQLLKIIEKEDADLLIPCVKSIGHLARTFRATEKRMITPLVQLLDEREAEVSKEACIALTKFACTDNFLHINHCEEIIAAGGAKHLVQLVYFGEQSVKLDAVTLLCYIALHLPDREELARAETLPVIEWASKQSQLTQDEAHERLLHEAANKLELFQSRGPRGGYH >KGN49405 pep chromosome:ASM407v2:6:28126619:28128465:1 gene:Csa_6G523460 transcript:KGN49405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVNSTSLRMRRNCNLELRLSPTPNPNPNPAAVSDQPSATADDSPQSQQLTIFYNGRICVCDVTELQARAILKLATREMEENGLSETPSPMLQQSSPPPRTPTTPGLSMKKSLQRFLQKRKHRVQATSPYNH >KGN47986 pep chromosome:ASM407v2:6:19538655:19539913:-1 gene:Csa_6G421800 transcript:KGN47986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTKHKEMQFLGAFRIFKETYKIISKNKKIFAMAALCFIHPLNFVLSGLMLTLNNILRNLHDYGNTSHLFSSHYMFIAWPYDIISIFFLFGWSILSTAGVSQTVATLYTGQEPSINDTMSVVVTVWKRLLVTFLCVILVFLIYHMIVGLALFIIILPLGEVDRTTLGVAFLFYFIGLFYLVVVLQLAGVVSVLEESRGFKAMENSRLLLKENMVSATVIVLTIYSGFGILLWLKALTKKMLFSPSTVPIWMYLLGSLSLDFLILVFLLWRLVSETMIYLVCKSYNHESIDKTTLSDPDPVLLRSSEC >KGN45856 pep chromosome:ASM407v2:6:1738403:1738840:1 gene:Csa_6G014760 transcript:KGN45856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLLIFREALLDSYLSRYSVIIVDEAHERTVDTDVLLGYLKRVQKARSKSLTESSNDLNTNDNGLTLENRNSSEYAFSLKRCQGRKLPPLKLIIMSASLNAPLFSEFSGGAKVFHVHGRQYPVAIFYTRQPILDYIEGTLITIF >KGN47681 pep chromosome:ASM407v2:6:16934210:16937464:-1 gene:Csa_6G375730 transcript:KGN47681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESILCSLAGSIITKLGSFALQDLGLLWGFHDELDKLKGTVSALEAVLLDAEEKQSKSRAVKDWILKLKDTFYDIDDLLDVFSYESLKRQVMTKHRTNNTKKVRIFFSKSNQIAFRLKMSQKIKRVREKLDAIAMDKTQFNLYENTREIQDDESTKRLETTSFIREGEIIGRDDDKKSIIHYLLDTNIHEDSVAEIVTKLKGSPLAIRVIGSYLYSKKSEKDWLSFKDHELDTIMQQENEIQSILKISFNHLSSSLKHCFTYCALFSKDYHHEIRKNDLIKQWMAQGFIQPHNKKAMEDVGDDYFEELLGRSFFQDIRKNKWGEINKFKMHDIIHDLACSVVENDCVLANDDTKSIDKRTRHVSISAFNSMTRWKLITKSLIEAKNLRTLNYARRHHIDLSNHLRLRTLNLEFHFVPKCIGKMKHLRYINITYCYIDFLPKAVTKLYHLETLIIRGCLELRELSSDIKNLINLRHLDIKDFKHVWSYMPKGMGSMTTLQTMNLFILGENKGGELSELNGLVNLRGSLSIQQLQFCKPIGLENVKYLEEKSRIQKLELHWKTYQRESKIDDEDERVLESLKPHSNLQKIRIEGYRGLKLCNWFSFDSIVNLVFIKLFNCEKLQQLPRFDRFPFLKHLHLEDLPSIEYIAINNYVSSSMTTFFPSLENLSIIKLPNLKEWWKGESIDQNTSFPTILRHLSQLKIHYCRQLASIPQHGPLQSLDIRDISLQLFELVIKMTATNIIVGEDSSSSANDMFIRSSSSLKIWKIDWEFLPNDLFSNVTHLQSLVIGRCFNLKMSFDDDNVRWKELGSLRTLRLCFIPKLEYLPKGFQYLKALEHLELLWCENLACILGIEHLTSLSRLEISNCPNLTSLPEGMTQLISLTCLIIDDCPNLSTLPEGLHHLLNTPRYAPLIFSH >KGN46784 pep chromosome:ASM407v2:6:9385501:9413808:-1 gene:Csa_6G135400 transcript:KGN46784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQSPVPPSLAPPHPPPLYGSGVQVRCAGCRDVLVVAPGHTEFFCPSCQLPQMLPPELLVRAHSKPLPHPSPPLPPPPPPPPSLPLPLPLSIHHVPAHGIDPTKMQLPCANCKALLNVPHGLTRFVCPQCAVDLAVDVSKLHQFFPSRPPPEEVNEVAIEVEREEDEGGTVGETFTEYHPPKLSIGPLHPDPVVETSSLAAVQPPEPTYHLKIKDDLEKSKALSCLQIETLVYASQRHMHHLPNDTRAGFFIGDGAGVGKGRTIAGLLWENWHHGRRKSLWISVGSDLKYDARRDLDDVGAACIKVHALNKLPYSKLDSKSVGIREGVIFLTYSSLIASSERGRSRLQQLVQWCGTEFDGLIIFDECHKAKNLVPESGSQPTRTGEAVLELQDRLPEARIIYCSATGASEPRNMGYMVRLGLWGTGTSFIDFRDFLGALERGGVGALELVAMDMKARGMYLCRTLSYRGAEFDIVEAPLEAEMMEMYTLAAEFWAKLRLELMTASAYVTSDKPSTNQLWRLFWASHQRFFRHMCMSAKVPATVRLAKQALLEDKCVVIGLQSTGEARTEEAVTKYGLELDDFVSGPRELLLKFVEENYPLPEKPETLPEEGSVKELQRKRHSATPGMSLNGRLRKAAKWKPPSDVESDEESETDSAPESTESDDEFQICEICNTEGERKKLLRCSCCEQLFHPACLDPPPLDTETAEWSCQSCKEKTDEYLKERKAVVAELLKRYDAASDRKSNLLAIIRSLNLPNNPLDDIIDQLGGPDKVAEITGRRGMLVRAPNGKGVTYQPRNSKDVTMEMVNMHEKQLFMDGQKFVAIISEAGSAGVSLQADRRAANQKRRVHFTLELPWSADRAIQQFGRTHRSNQTSAPEYRLLFTNLGGERRFASIVAKRLESLGALTQGDRRAGLSLSAYNYDSAYGKTALTMMYRGILEQDALPVEPPGCSSEKPETIRDFIENAKAALNSVGIIRDTVLATGKDFGKSSSRIVESDMNDIGRFLNRLLGLPPDIQNRIFELFVSILDLLIQKARIEGNLDSGIVDMRANVVELRGSPKTVHVDPVSGASTMLFTFSLDRGVTWESASTILDEKQKDGLGSTNDGFYESRRDWLGRCHIILAFESSVPGMYKIVRPAIGESLREMSLSELRNKYRKTSSLEKARNGWEDEYDISSKQCMHGPKCKLGNFCTVGRRIQEVNVLGGLILPVWGTIENALSKQARQSHQRLRVVRIETTTDKQRIVGLFVPNAAVESVLRGLAWVQDVDD >KGN46885 pep chromosome:ASM407v2:6:10347759:10347941:1 gene:Csa_6G148280 transcript:KGN46885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYLEAGNSLIGWSHPVWEKQLTVTGYLLHAYHCDNHQRGSIQVDTSHFTSAVIAWDICW >KGN47266 pep chromosome:ASM407v2:6:13562360:13575644:-1 gene:Csa_6G239160 transcript:KGN47266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPKALFLKLSFSLSSFLYFFNSFHPVFFPQHFTTSNWPNKSVQSGSKMAVSVQNNLWDRVTELTKVAQQKGVDPLLWAIQLSSNLNSAGVVLPSVELANLLVSHICWDNNEPVSWKFLEKALILNIVPPILVLALLTTRVISRRQFQPVAYRLYLELLRRHAFKLKSHIHGLKYKEVMASVDAVLCLSETFNLPANDPGTLVVEFIFSIVWQLLDATLADEGLLELIMEEKSKWPAKSPEMELDGHNGYDDKWTEQRERLRNVNIELTIEIIGKFLEDTVTSRILHLACRNMPSNWADLIQRLQLLGENSSVLRNSKSLDSEIFLQFTADTWTIFSQEFKQNSKQKFHPIRAFGSPAASASLCHRTRYSALWLPLDLVLEDAMDGYQVEATSAIEKITSLVKTLKAVNGTSWHDTFLGLWIASLRLVQRERDPIEGPVPRIDTRLCLLLCITVLVIADLIEEEEIATIDETEYVASHHWKEKKTPGKCRNELISSLQILGEYQSLLTPPQDVISACNQAAAKAMMFISGISVNNAYFECINMKDMPMNSSAGNMRHLIVEACIARNLLDTSAYYWRGYVNGCISQMPQSIPPQAPGWSAFMKGALLNHIMINVLTSTPASSLAELEKIFEIAVKGSDEEKISAATILCGASLIRGWNIQEHTVHYITRLLSPPVPTDYSGCESHLIGYAPMLNVLIVGIASIDCVQIFSLHGLVPQLACSLMPICEVFGSCVPNLNWTLSTGEEISAHAVFSNAFTLLLKLWRFNHPPLDHGVGDAPTVGSQLTPEYLLLVRNSHLVSGNVHKDRNKMRLSAVASSSSPQPIFVDSFPKLKVWYRQHQACIASTLSGHVHGNPVHQTVDGLLNMMFRRINGGSQPLTSVTSGSSSSSGAGNEDPSLRPKLPAWDIMEAVPFVIDAALTACAHGKLSPRELATGLKDLADFLPASLATIVSYFSAEVTRGLWKPVYMNGTDWPSPAENLSNVEEQIKKILAATGVDVPSLAAGGSSPATLPLPLAAFVSLTITYKIDRASQRFLNLAGPALESLAAGCPWPCMPIVASLWTQKAKRWSDFLVFSASRTVFLQNCDAVVQLLKSCFTATLGLTANPLSSNGGVGALLGHGFGSHFCGGISPVAPGILFLRVYRSIRDVALLVEEILSLLMDSVREIACNGAGKDKSGKLKTTNNAKRYGQISLSSAMTQVKLAASLGASLVWLSGGLVLVQSVIKETLPSWFISVHRSEQEKCSEGIVSMLGGYALAYFAVLCGAFAWGTDSSSSASKRRPKILGVHMEFLASALDGKISLGCDWATWRAYVTGFVSLMVGCTPSWVLDVDVEVLKRLSSGLRQWNEEELALALLGLGGVGAIGAAAELIIESEF >KGN47420 pep chromosome:ASM407v2:6:15014300:15016717:-1 gene:Csa_6G318670 transcript:KGN47420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSATRRTLFTVLSRSISSSSSSSSSFLLPSPPISSRLRFAFPLLNRQDQIIPASFNLPIRFKASGSGYSPLNDPSPNWSNRPPKETILLDGCDYEHWLIVLEFPNDPKPSEEEMVNTYVKTLAAVVGSEEEAKKKIYSVSTTTYTGFGALISEELSYKVKELPGVLWVLPDSYLDVPNKDYGGDLFIDGKVIPRPQYRYHDRPQQPGRNRPRPRYDRRRETMQVDRRQPIQRQNWGQNQSDPMQPPPSMDGQNRVQSGIGDFSTNPGEFNRSNTD >KGN48217 pep chromosome:ASM407v2:6:21238618:21244749:1 gene:Csa_6G449240 transcript:KGN48217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALLLNAPTSHPIPRKSFLPSPPSFFNGSSISIDDNRNRVRLTRPPIILATLSKHALDIPPNSVSNTSVPTPADALAPTPLPPRKRVSADSLQFPPGYLGAVPDRSGSDNGEDSVDAMEYLTRILGSKVYDVAIESPLQLAPTLSERFGVNIWLKREDLQPVFSFKLRGAYNMMAKLPKEQLEKGVICSSAGNHAQGVALAAKRLRCNAVIAMPVTTPEIKWQSVQKLGATVVLVGDSYDEAQAYAKKRSVEEGRTFIPPFDHPDVIAGQGTVGMEIVRQIKGPVHAIFVPVGGGGLIAGIAAYVKRVSPEVKIIGVEPSDANAMALSLCHGQRVILDKAGGFADGVAVKEVGEETFRLCKDLMDGVVLVSRDAICASIKDMFEEKRSILEPAGALSLAGAEAYCKYYGLKGENVVVITSGANMNFDKLSIVTELANVGREKEAVLATILPETPGSFKKFCELVGPMNITEFKYRYSSEKEAVVLYSVGIHMPSELEEMKDRMESSQLPTYNLTKNDLVKDHLRYLMGGKSNVENEVLCRFIFPERPGALEKFLDALSPRWNITLFHYQSKGETGANILVGLQIEKPDMGEFHERARRVGYDYVVVTDDGIFQLLMHHRPSHKAIDLLS >KGN46481 pep chromosome:ASM407v2:6:6725388:6727961:1 gene:Csa_6G101450 transcript:KGN46481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHGRTRTCFLSIESHSRTASTLSHLSHLLLLASITKTLSESGTRTLQHHSLPISHPLLLQILHSRSLNPSHKLDFFKWCSLAPNFNHSPSTYSQIFHILCRSGYLHEVPPLLDSMKRDGVSVDSHTFKVLLDAFIRSGKYDAALEILDHMEDLGTSLELNTYNSVLVALLRKNQVGLALSIFFKLLDGFNNGGQVDSAATTFHFLPNSLACNELLVALRKLDMRVEFKKVFDKLRAIESFEFSVYGYNICIYAFGCWGYLDTALSLFKEMKEKSLVSESFSPDLCTYNSIIHVLCLVGKVKDALIVWEELKGSGHEPDAFTYRIIIQGCCKSCRMDDATMIFNEMEYNGLIPDTIVYNSLLNGLFKARKVTEACQLFDKMVQEDVRASPWTYNILIDGLFRNGRAEAGYTLFCDLKKKGQIVDAVTYSIIILQLCKERLLEEALQLVEEMEARGFVVDLITITSLLIAMHKQGQWDGLERLMKHIREGDLVPNVLKWKINMEYSIKYQKNKRKDFSSLFSPKEDLSEVISSRASSAAKVNIDNSFENTEERDMDSWSSSPYVNRLANLANSTSDILQPFSIRQGRRIQEKQDNSFDINMVNTFLSIFLAKGKLNLACKLFEIFSDMGVNPVKYTYNSMLSSFVKKGYFHQAWGIFNEMGENVCPADIATYNVIIQGLGKMGRADLASSVLEKLMEQGGYLDIVMYNTLINALGKAGRMDDVNKLFGQMRNSGINPDVVTFNTLIEVHSKAGRLKDAYKFLKMMLDSGCSPNHVTDTTLDFLGREMEKARYEKASIIRDKNSS >KGN47677 pep chromosome:ASM407v2:6:16905411:16905707:-1 gene:Csa_6G374700 transcript:KGN47677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVPGVGGQQFPMNLMTGSELGMRVRPEGESMAVVEAVTVEASDRVVQMAMGYKTGGPKMKSVIPMKRKLVKRMMYESIKNFVISRFPSVGSSARAPL >KGN47166 pep chromosome:ASM407v2:6:12531628:12533213:1 gene:Csa_6G191540 transcript:KGN47166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEDLLTSLTMENHHPSTLLSMDSSSMSHEELEREMNRSIVLSRPPDINLPLSAERSPPPQPWNSDTFDMLDVSLGTQISEADALLNLPKAGRKFSKRLDSVWGAWFFFSYYFKPVLNEKSKCKIVRDSNGVSGFDKSDLELEVFLVQHDMENMYMWVFKERPENALGKMQLRSYMNGHSRQGERPFPYSVDRGFVRSHRMQRKHYRGLSNPQCVHGIEYVSLPNLKGVDEEEQKRWIELTGRDLNFSIPPEASEFSSWRNLPSTEFELERPLPPLKTSSHPPPRKLLNGASLNLSTRPTNHVNGGGMDLSPKGKKRKKDAFLHGNDEDYCLLINQHNERVQDTEIHPIEPLWLNDFSGVMRNIYGPVTAAKTIYEDEQGYLIIVSLPLADLERVKVTWWNNLTHGVVKITSVSTGCMPFVKRNDRTFKLTDPSPEHCPPGEFIREIPLPTRIPDDAKLEAYGDETGTSLEIMVPKHRVGLEEHEVRVCLRPHLGANELVLS >KGN49160 pep chromosome:ASM407v2:6:26857555:26862367:1 gene:Csa_6G516680 transcript:KGN49160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEKIGLPARPSLRGNNWVVDASHCQGCSSQFTFINRKHHCRRCGGIFCNSCTQHRMVLRGQGDSPVRICEPCKKLEEAARFELRHGHKSRTGRGSLKSTKPEDDVLAEILGSDRKESSSSVQELNGNSSTSGRTVAGEEFVDHGEGEASSSLTDHQENKMESSSPEQLRQQALDEKKKYKVLKGEGKSEEALKAFKRGKELERKADALEISIRRSRRKAIASSNAYEDHDVGGFKESGRKMKPSPQSSNEKHDLNAELKELGWSEMDLHAEDKKSATMSLEGELSSLLRGVTQKTDKAKGVHSIDNTQVVAHKRKALMLKREGKLIEAKEELKKAKVLEKQLEEQELLAGAEDDSDDELSALVRSLDDNKHEDISFQHKENLEFDLDNLLGVANTIISDINFEVTDEDMEDPEISAALETLGWTEDSNNAESIQPQPSSISRDSIKSEIISLKREALNQKRAGNIAVAMEHLKKAKILERDLENFGSQEDRHVSGGGSTETAEVMIPKLPSKSKLAIQKELLAIKKKALSLRREGRLDEAEKELNKCKALEDQLEQAAEASRGNGREVGVGSNDPHLLSADLNKNLLDVEVVEDVTDQEMHDPEYLSVLKNLGWNDKDDDLVPSNPSKQDDLLDVEPSESSANHAPKYAVRPLRKKVEVQRELLGLKRKALSLRRQGETEAADEVLLKTKALEAEMEEIESRDRVRTAAYSGNQEDNRKASSGRLVNQGDDCDVTEEDMSDPSLLSVLQNLGWNGDDVAPVIKQINPVNEDAKPSSNQSSTTNVTAPQSRSEIQREVLNLKRNALSLRRKGDIDEAEEVLRRAKVLEIQMDELDTPKPKGVVDITEDNKSEVLRALEGDELRNRVKGVEVHNVSAQVADGLKANDKVPVLSMDLKSSRGNSVHSRLQNFDQSDRLDSNELRASFRESTSGRNSSLEGNGRRDDQSISHSDVLTNVGLFTESGSQAISAVTNKDHFSIVNQDPVVYHEGKQHYQADSSSQDSNSQSSKNSLHQEVLARKKKAVALKREGKLSEAREELRQAKLMEKSLEESNGQVQHASKSSSISSNNVPSPNRKESSTSNVEQKPSPDQKQSSPSTREQKPMSARDRFKLQQESLKHKRQALKFRREGRTQEADAEFEKAKAIETQLEQLTDSTNSSASGEEHAGDVSVEDFLDPQLLSALRAIGLEDPTPSIPRGQETSKPPPKVGTDKLENPDLERSQLEERIKAEKVKAVNLKRSGKQAEALDALRRAKLYEKKLNALLPN >KGN45764 pep chromosome:ASM407v2:6:1043302:1044674:1 gene:Csa_6G009430 transcript:KGN45764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLFFQSEQRHRSAQPLTFFAIMVHFSSQFLLSIFLFQFLHPISSFTFPKQPNFDPQLSLIGDAAFVSTDAHLHGGASFVKLTRPIASSFGLLLHNKPLTIHESTSFNTHFTFSVSPENGDGLILSLFPGGVFPDEVSPEKWVHSIQFVSANLSDGYVKPERGILLPNNGGKFTSWVDYDSKMVEIRLSKYGESRPYDSLLEYPIDLGIKCGGREVFVGLSSWNSKSSEWSRVFSWRFGVRNVHKWMHSLPVDPRRGSDEQNHSYPLTIFAWVIFGTGCGALMAFLVLFMWAIAGNRNAIFGGEPQSVDFQYEKVSVVVEEGLKDVEGRG >KGN45870 pep chromosome:ASM407v2:6:1852498:1852865:-1 gene:Csa_6G014900 transcript:KGN45870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGNRQKKSHSSFSIFSFFKSKRGRKGDHYDHGGAWPDEMPRSNKVWPSDEDKAHHWIAEPGIDRKAKDYIDRIYRNRVFESERQTVTISPNGTKSNV >KGN45633 pep chromosome:ASM407v2:6:248172:248393:1 gene:Csa_6G002280 transcript:KGN45633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERFKGNLGTFIMIHRKVGEQSSRQLQRCNEPRGTVRYDYHEHQRCEFHVGFLSNLSQQGGCINERFKLLKPY >KGN48979 pep chromosome:ASM407v2:6:26077903:26079303:-1 gene:Csa_6G507520 transcript:KGN48979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLITSRKNMKTYSLVILCFAFLLVVVAAEQCGRQANGALCPNNLCCSQFGFCGDTDDYCKNGCQSQCRGSSTPTPSGGSGVGSIISESLYNQMLKYSRDSRCPSNGFYTYNAFITAARFFPAFGNTGSVETRKREVAAFFGQTSHETTGGWPTAPDGPYAWGYCFIRERNQQAYCTPSQQWPCASGQQYYGRGPIQLTHNYNYGPAGNAIGAPLLASPDLVATDAVVSFKTALWFWMTAQGNKPSCHNVITGNWQPSSADNAAGRSPGYGVITNIINGGLECGHGPDDRVKDRIGFYKRYCDMLGIGYGNNLDCYNQRSF >KGN45706 pep chromosome:ASM407v2:6:661148:665210:1 gene:Csa_6G007400 transcript:KGN45706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDPNTDLFDPRATIDSDYLLSASTSSRDSDFGFAFDDSNFSDRLLRIEIVGGSDETDPEFGGCSSVLDWARRKRRKEEKKTENALDLSKCPQQQVLTCDQPDMVDVLSFENPDEGPVAMIEESLSGDEGANTNDLNLDSSTVMRVKTLHISSPILAAKSPFFYKLFSNGMKESDERLVTLRINASEEAALMELLNFMYSNSLSVTTAPALLDVLMAADKYEVSSCMRYCSRQLRTSPMTPESALLFLELPSSVLMAEAVQSLTDAAKQYLATRYKDITKHQEEILLFPLSGIEAILSSNDLQVASEDAVFDFVLKWARAHYPLLEERREVLGTRLAQFIRFPFMSCRKLKKVLTCIDFDHEAASKLVLEALFFKADVPHRQRALITDESTSSNHRFIERTYKYRPVKIIELELPRQQCVVYLDLKREECTNLFPSGRIYSQAFHLGGQGFFLSAHCNMDQQSSFHCFGLFLGMQEKGSVSFAVDYEFSARSKPSEEFLSKYKGNYTFTGGKAVGYRNLFGVPWTSFMAEDSVHFINGILHLRAELTIKS >KGN47729 pep chromosome:ASM407v2:6:17480202:17481800:-1 gene:Csa_6G390120 transcript:KGN47729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVYRDPNSCYFHPKEKVVGVCALCLNEKLLILASRRGRYHHSSPRTCRKTPINLSKIFAFSSFISRLEFRHWKPENSDDEASTSQEDSFISINFGKNGVGSWEENKVSEVSLENCILSWNHHLTKDSKETKTVIEHSKTRASLRWRKRIGHLFQLIRRKRSNKGTVCHVEGVKTRKGWIRALTRSRNTE >KGN46998 pep chromosome:ASM407v2:6:11227170:11227980:-1 gene:Csa_6G160690 transcript:KGN46998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNENGGVVGLEVVKLNEAMVTRNLEEKVNKTVVVAIGDLEETVNEVIGGAFLQPFNSFFSPLYFAFFLSPSKLRGTTLPLLLPPPISHHTTYLYKRLSINPKKEKKRFPYFRLSGA >KGN49049 pep chromosome:ASM407v2:6:26366792:26368891:1 gene:Csa_6G511640 transcript:KGN49049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKCASPLTRSSFSAVIHLISTSIVPTQLPTVHLPRRHKSEFASPSFQVKPHHKDTSSWDKTLRGLCLTGKLAEAVALLCCMALQFHSKTYCLLLQECIFRKEYMKGKRIHAQMVVVGYVPNEYLNTKLLILYAKSGDLETAYVLHEHLLEKSLVSWNSLIAGYVQKGLAEVGLEFYLKMRQSGLMPDQYTFASVLRACASLASLEHGKRAHGVLIKCQIGDNVVVSSALVDMYFKCSSLSDGHKAFNKSSNRNVITWTALISGYGQHGRISEVLESFHSMINKGYRPNYVTFLAVLAACSRGGFVSEAWNYFSLMTKTYGIEPRGQHYAAMADLLARAGRLQEAYDFVLDAPCKEHSVMWGALVGACKVHEDVDLMKHVAASYFELDPKNSGKLVVFSNAFATSGLWDNVEEIRAMMKKSGMSKDPGCSRIEIQREFHIFVKGDKSHRETEEIYRTIDRITPILKDAGYIPELCEKTVIEGLSWC >KGN48121 pep chromosome:ASM407v2:6:20583000:20588183:-1 gene:Csa_6G434400 transcript:KGN48121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIEPAGGSHSHGPRGDGLRRGEERGTYLVWEDLTVVLPNFSDGPTKRLLNGLRGYAEPGRIMAIMGPSGSGKSTLLDTLAGRLSKNVVMTGTVLFNGKKRKLGYGDVAYVTQEDILLGTLTVRETISYSAQLRLPNSMTKDELDSIVDATILEMGLQDCADRLIGNWHLRGISGGEKKRLSVAVEILTRPRLLFLDEPTSGLDSASAFFVIQTLRNVARDGRTVVSSIHQPSSEVFALFDDLFLLSGGEAVYFGEAKMAVQFFAEANFPCPSRRNPSDHFLRCINSDFDIVTATLKGSLRIRDIPESSDPFMSLATAQIKSMLVEKYRSSKYASRVKARIREISTIEGLEVENEKGDKASWFKQLSTLTRRSFVNMCRDVGYYWLRIIIYVIVSICVGTIYFNVGTSYTAIFARGACGAFITGFMTFMTIGGFPSFIEEMKMFYRERLNGYYGVTVFILSNFISSFPFLVSISVVSGTITFYMVKYRPEFSRYLFFCLNIFGCISVIEGLMMVVASLVPNFLMGIITGAGIIGIMMMTSGFFRLLPDLPKPFWRYPISYLSYGSWALQGAYKNDLIGLEFDPMIAGMPRLSGEYVITNMYGIPINHSKWWDLAAVMLLILLYRILFFLVLKLKERASPMLQTIFAKKTLQHLQRRPSFRTIPSISSKRHQPLHSLSSQEGLNSPLN >KGN47685 pep chromosome:ASM407v2:6:16963428:16973446:-1 gene:Csa_6G376260 transcript:KGN47685 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-sugar pyrophospharylase MASSLHSNLSNLSIDGDFASSLPNLQKNLHLLSPQQVELAKILLELGQSHLFEHWAEPGVDDNEKKAFFDQVARLNSSYPGGLASYIKTARGLLADSKAGKNPFDGFTPSVPTGEVLTFGDDSFISFEDRGVREARKAAFVLVAGGLGERLGYNGIKVALPAETTTGTCFLQSYIEYVLALQEASNRLAGESETEIPFVIMTSDDTHTRTVALLESNSYFGMKPSQVKLLKQEKVACLDDNEARLAVDPHNKYRIQTKPHGHGDVHSLLYSSGLLQNWHNAGLRWVLFFQDTNGLLFKAIPASLGVSATREYHVNSLAVPRKAKEAIGGITRLTHTDGRSMVINVEYNQLDPLLRATGFPDGDVNNETGYSPFPGNINQLILELGSYIEELSKTGGAIKEFVNPKYKDATKTSFKSSTRLECMMQDYPKTLPPSARVGFTVMDSWVAYAPVKNNPEDAAKVPKGNPYHSATSGEMAIYRANSLILGKAGVKVADPVEQVFNGQEVELWPRITWKPKWGLTFSEIKSKISGNCSISQRSTLVIKGKSVYLKDLSLDGTLIVNAAEDAEVKVEGSVHNKGWTLEPVDYKDTSVPEEIRIRGFRINKIEQEERN >KGN49370 pep chromosome:ASM407v2:6:27927690:27931779:1 gene:Csa_6G522150 transcript:KGN49370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEPLLPYLSPRGKPSPIPPQLCPLPENDEITLPMTPTEFKDRLIFGPSSSPQDASPIFDALTLSLSSSRPSASSSLQDPSTPLDPRSQSQSQQAWLVDSNYTWPKSNLHRSRTAPAMAVINDVNHSQEPKPQFGKQSIIRQAESYLLRNVKRGVKRESGKSYIIDVKKGRMRIRMKVALALGVVILCIGVGMGVMHFVENLGWLDSFYLSVMSVTTVGYGDQAFKSMTGRIFASIWLLVSTLAVARAFLYLAEARVDKRHRMMAKWILGQDMTVSEFLAADIDNNGFVSRSEYVIYKLKEMGKVSEKDVMQISNNFDRLDSGKCGKITLADLLESHH >KGN48404 pep chromosome:ASM407v2:6:22601612:22604860:1 gene:Csa_6G486770 transcript:KGN48404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCIDWIDKTNNVVRVSGVGATNSHSFDQTTLHMEQGKSKRIQLMNFMEERGVRSNYQNYLWLLEGCLTSGSLFETMRLHCRISKSGFDGEPLLIDSLVDNYFRHGDQHGAVKVFDENSNRSVFSWNKMIHVFVAQKSNFQVFCLFRRMLAEGITPNGYTFAGVLKACVGGDIAFNYVKQVHSRTFYYGFDSSPLVANLLIDLYSKNGYIESAKKVFNCICMKDIVTWVAMISGLSQNGLEEEAILLFCDMHASEIFPTPYVLSSVLSASTKIQLFELGEQLHCLVIKWGFHSETYVCNGLVALYSRSRKLISAERIFSTMNSRDGVSYNSLISGLVQQGFSDRALELFTKMQRDCLKPDCITVASLLSACASVGALHKGMQLHSHAIKAGMSADIILEGSLLDLYSKCADVETAHKFFLTTETENIVLWNVMLVAYGQLDNLSDSFEIFRQMQMEGMIPNQFTYPSILRTCTSLGALYLGEQIHTHVIKTGFQLNVYVCSVLIDMYAKYGQLALALRILRRLPEDDVVSWTAMIAGYVQHDMFSEALQLFEEMEYRGIQFDNIGFASAISACAGIRALRQGQQIHAQSYAAGFGADLSINNALISLYARCGRIQEAYLAFEKIGDKNNISWNSLVSGLAQSGYFEEALQVFVRMLRTEAEVNMFTYGSAISAAASLANIKQGQQIHSMVLKTGYDSEREVSNSLISLYAKSGSISDAWREFNDMSERNVISWNAMITGYSQHGCGMEALRLFEEMKVCGIMPNHVTFVGVLSACSHIGLVKEGLDYFESMFKIHDLVPKSEHYVCVVDLLGRAGQLDRAMEYIKEMPIPADAMIWRTLLSACVIHKNIEIGERAAHHLLELEPEDSATYVLISNIYAVSRQWIHRDWSRKLMKDRGVKKEPGRSWIEVKNAVHAFYAGDKLHPLTNQIYEYIGHLNRRTSEIGYVQDSFSLLNESEQGQKDPITHVHSEKLAIAFGLLSLGNNIPIRVMKNLRVCNDCHNWIKYVSKISNRSIIVRDAHRFHHFDGGVCSCKDFW >KGN46011 pep chromosome:ASM407v2:6:3361225:3361956:-1 gene:Csa_6G042450 transcript:KGN46011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAHSRFKFRERRSVTGITLPPDYAAPRILRIFVTDSDATDTSSSDDDDNDDAHLLRLVRRHINEIRFHDSTHSKPNEIPPTKKFRGVRRRPWGKFAAEIRDPIKRTRIWLGTFDSPEEAAIVYDQAAIRFRGPDALTNIVKPPPRNVPAEEICDSSMEITEDGGGMRCSPTSVLRDEYWRATEVLGDEMELMDNYYRAPRIFVEERSLPETAMFCGGLWEGSVDLDGNFGSCKWDVGTCFE >KGN47771 pep chromosome:ASM407v2:6:17911865:17914465:-1 gene:Csa_6G401410 transcript:KGN47771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAASSMAAKFAFFPPDPPSYSVYLDEEEVGKLRMSNVEERENVDVLKVKTRRGNEIVGMYVKNNSSCCSSLTMLYSHGNAADLGQIYQLLFQLSLHLGVNIMGYDYSGYGQSSGKASEEDTYADIEAAYKCLQETYGVKEEEIILYGQSVGSGPTLELATRLPAATAVVLHSPILSGLRVLYPPLRKTFWFDIYKNIDKIPLIDCPVLVIHGTEDEVVDCSHGRQLWELCKDKYEPLWLKGGNHCDLELFPQYLTHLRNFISAVQKLHRPLKNNNHKQRLINNPHQIDQRTPSSRVSNSSSSSSRFEKSRRPSIDYKLKEVNIDKSRNSTDRLLKSRNNSEKPRNSFDRLGDIVRSIGLCNVVDCLKHPTAQT >KGN46196 pep chromosome:ASM407v2:6:4910464:4917696:-1 gene:Csa_6G074000 transcript:KGN46196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKKKVLVVGGTGYLGQHLLSGFSEIDGVPYDIAFTYHSFAPEALLGALPHLLSFQVDLKSGQGFDTITENFGQPDIVVNCAAISVPRACEVDPVSAFSVNVPTAIGTWLLSFEGRNTLFIHLSTDQVYEGVESFYNEEDETIPVNVYGKSKLAAEQYVSEKFSNFAILRSSIIFGPQTISPVPKSLPVQWIDATLSEGNEVEFFHDEFRCPVYVKDVVNVIITLIKTWISEGKQMQLLLNVGGPNRVSRVEMAETVAEIRGHKKSLIKRVSASSIDRGVKSPADISMNIGKLIQILAMSPVSFTDGVRLTLCS >KGN48415 pep chromosome:ASM407v2:6:22696133:22698536:-1 gene:Csa_6G486880 transcript:KGN48415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSASEEEMMVRLLKGGDQVAAAVNAAAITTNLICLPENNNKNNIISVVQQSLKPLFFTTTHHHHQQQEQQSLFPFCFPSTTTTSHLLPSSPSSLWDERGVSFMRGRNAIGNYGGEDDHNNENDGKPRLRVSTMKMKRIKGRKKVREPRFSFKTMTDVDVLDDGYKWRKYGQKVVKNTLHPRSYYRCTEENCKVKKRVERLADDPRMVITTYEGRHAHSPSDHNLEDSFMGHLPSSHLTNFFC >KGN47588 pep chromosome:ASM407v2:6:16361755:16367059:-1 gene:Csa_6G362950 transcript:KGN47588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGQIFSRTTQALFYNYKQLPIQRMLDFDFLCGRETPSVAGIINPGSEGFQKLFFGQEEIAIPVHSTIEAACSAHPTADVFINFASFRSAAASSMAALKQPTIRVIAIIAEGVPESDTKQLIAYARANNKVVIGPATVGGIQAGAFKIGDTAGTIDNIVQCKLYRPGSVGFVSKSGGMSNEMYNTIARVTDGIYEGIAIGGDVFPGSTLSDHILRFNNIPQVKMMVVLGELGGRDEYSLVEALKQGKVNKPVVAWVSGTCATLFKSEVQFGHAGAKSGGELESAQAKNQALKEAGAIVPTSYEALEAAIKETFEKLVGDGEITPVKEVKPPQIPEDLNSAIKSGKVRAPTHIVTTISDERGEEPRYAGIPMSSIVEQGYGVGDVISLLWFKRSLPRYCTHFIEICIMLCADHGPCVSGAHNTIVTARAGKDLVSCLVSGLLTIGPRFGGAIDDAARYLKDACDRGLTAYEFVEGMKKKGIRVPGIGHRIKRGDNRDKRVELLQRFARTHFPTVKYMEYAVEVETYTLSKANNLVLNVDGAIGSLFLDLLAGSGMFSKQEIDEIVQIGYLNGLFVLARSIGLIGHTFDQKRLKQPLYRHPWEDVLYTK >KGN49290 pep chromosome:ASM407v2:6:27541508:27542930:1 gene:Csa_6G519430 transcript:KGN49290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLCFALFLSVFNLLFTAQSLGIPLLQPYLGVETQRMSIDEFEKGLNFAVGGATALNASYLREKVFVEVPTNYSLSVQLEWFRKAYSLACPSSSSTRCTEILKKSLFVVGEIGGNDYNYPFFKQHSFEEIKSLVPLVVKSIGSTITELIHLGAQSLLVPGNLPIGCSSKYLQIYSTSIQDSKNGCLDWLNQFSEYHNKYLQEELNRIRSRHPNVQIIYADYHNSAMQFYNHPENFGLKNTLEACLVDRNETLKKDGKYGLGGKTKTKTKIECDDPSKYVSWDGVHLTEAAYRLIAMGLLQGPYTHPQFTTSCIISHNLPTNLFQLQ >KGN48676 pep chromosome:ASM407v2:6:24473325:24476536:1 gene:Csa_6G497270 transcript:KGN48676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNVGQCGLTIWAPNINIFRDPRWGRGQETPGEDPMVASAYSIQFVRGLQSGNWMKEHEIRNEVLEEDNGMGSLMVSACCKHFTAYDLEKWNNFTRYTFDSVVTEQDLGDTYQPPFRSCIQQGKASCLMCSYNAVNGVPACANPDLLKKARNDWGLKGYITSDCDAVATVYEYQKYTDTPEDAIADVLKAGMDINCGTFMLRGTKSAIDQGKVREEELDSALINLFSVQARLGFFDGNPREGKFGELGAQDVCTAQHKTLALEAARQGIVLLKNENKFLPLDKNAISSLTVIGSLANDSSKLLGGYAGVPCSPMSLVEGFQEYAETIFFASGCLDVPCASDNRFEDAILIAKKADFVIAVAGLDASQETEDLDRVSLLLPGKQMDLVSSVASVSKKPIILVLIGGGPLDISFAKKDSRVASILWIGNPGEAGGKALAEVIFGDYNPGGRLPVTWYPQSFTNVPMNDMHMRPNPSRGYPGRTYRFYTGDRIYGFGEGLSYTSFKYRLLSAPKKVNLLGKAETSRRRIIPQVRDGVNMSYMEVEEVESCDLLRFEVKLSVSNIGEFDGSHVVMMFSEFPKVLTGTPQRQLIGFDRLYVKRNQSAESSIMVDPCNHVSLADEYGKRVIPLGDHTISLGDLEHVISIQVF >KGN47468 pep chromosome:ASM407v2:6:15342575:15343109:-1 gene:Csa_6G337020 transcript:KGN47468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESRNPIFVFFLFIIMSLLSLNLPSNSAEHDIPSSLLSPPLMPPITRPIVPHHPFTFPSPFRKTFRHTWLPPPSPPAQQELERPIVPYFPYKFPPPPSRKQANPPPSYHAFPPPALLLLARKLYMSEVRRATPPPPPIKPYQWPKGKIRKSPPPPF >KGN49524 pep chromosome:ASM407v2:6:28895608:28896765:1 gene:Csa_6G527070 transcript:KGN49524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSNKFLNFNFVPFVILSIISLQNRPLDPALSPISAGSLTEAGKALRSQGLLTSLISPQQSSSRTKKED >KGN48422 pep chromosome:ASM407v2:6:22771225:22774373:-1 gene:Csa_6G486950 transcript:KGN48422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSSISMKCPCPPVLHLPALPKSYAPQTSISFRFPSTKFSRRKSLSIRSVSVPAAPASEGIAPAISLTDTALKHLNKMRSERNEDLCLRIGVRQGGCSGMSYTMEFESRANARPDDSIIEYNGFVIVCDPKSLLFLFGMQLDYSDALIGGGFSFKNPNATQTCGCGKSFNAEM >KGN48808 pep chromosome:ASM407v2:6:25216901:25217973:-1 gene:Csa_6G501970 transcript:KGN48808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFSRSGSSMIQTSTVAASTSSNFPSVPHLSESDETVLYNYTANYCYVNGLQRSRRCSWHIMTMTSTTRLSTTRTSKNSKRNRASNIDAALNRIVNAMEKMPKGKNSVWLLNSYSKN >KGN49487 pep chromosome:ASM407v2:6:28612835:28615096:1 gene:Csa_6G525720 transcript:KGN49487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGGFEVGAVPYNPDGWGPPDSTATLVASSNLPLNVPFAPFSRSDKLGRIADWTRTMNNPGRPKTASDSVFDFTNDDSFPANADEDMSFRLVDGKPPPRPKFGPKWRFNQQRTQLPQRRDEEVEARKREAEKERARRDRLYNLNRSNVNAPRREAAVFKSSVEIQPEWNMLDQIPFSTFSKLSFSVPEPEDLLLCGGLEFYDRAYDRITPKNERRLERFKNRNFFKVTTTDDPVIRRLANEDKATVFATDAILSTLMCATRSVYSWDIVVQRVGNKLFFDKRDGSQLDLLAVHETSQEPLPEAKDDINSAYSLSVEAAYINQNFSQQVLVRDGNKVAFDEPNPFANEGEEVASVAYRYRRWKLDDDMYLVARCEVQSVMEVNKQRSFLTLNALNEFDPKYSGVDWRQKLETQRGAVLATELKNNANKLAKWTAQALLASADMMKLGYVSRVHPRDHFNHVILAVVGYKPKDFAGQINLNTSNMWGIVKSIVDLCMKLNEGKYVLVKDPSKPQVRIYEVPADAFENDYVEEPLAEEDQVQPPAEDENGVTPNAATDDAEEKVDAVQA >KGN47373 pep chromosome:ASM407v2:6:14669771:14670289:-1 gene:Csa_6G307340 transcript:KGN47373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNSCFITVSLIGVLLFIIISYVASSNDVISTICPKTSNPPFCSSVLKSAGTTDLKGLVVYTLNLAHTNAGKSLTLANSLAKTATNPQLKQRYSSCVESYDEAVGDIENAQKDLAVEDFNGVNIVTSGAMTNIGDCQDKFAQPPKDTSLLLENGKTINDICSIILVISNLF >KGN47763 pep chromosome:ASM407v2:6:17877285:17878526:1 gene:Csa_6G401340 transcript:KGN47763 gene_biotype:protein_coding transcript_biotype:protein_coding description:Catalytic MTKGKSLVLDVSGWLRLYDDGSVDRTWNGPPEVKFVAESVPPHDEFIDGVAVRDLVIDQNSGLRVRIYLPEVKCGGEVKKLPVVLHFHGGGFCISEADWFMYYHTYTNFVKSAEAICVSVYLRRAPEHRLPAAIEDGLSGLKWLQSVALGDEIEPWIVENADFNRVFLIGDSAGGNLVHSVAALAGETDLAPLKLAGGIPIHPGFVRAKRSKSEMENPQSPFLNLDMVDNFLNLALPVGSSKDNPITCPMGRAAPPLEKLNLPPFLLCVAEKDLVIDTQMEYYEAMKAANKEVEILMSKGMGHSFYLNKIALKLDPETAAESDRLFAGIARFIKQH >KGN48893 pep chromosome:ASM407v2:6:25650575:25654444:1 gene:Csa_6G505220 transcript:KGN48893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQRSRRRCEGTAMGAIVLDLQPGLGLGPFNLGMPICEAFAQIEQRPNIYDVVHVKYFDEEPLKLDIVISFPDHGFHLRFDPWSQRLRLIEIFDVKRLQMRYATSLIGGPSNLATFVAVYALFGPTFPGIYDKDRGVYTLFYPGLSFAFPIPSQYTDCCHDGEAELPLEFPDGTTPVACRVSIFDSSTVKKVGIGALMDKASAPPLPASSLYMEEVHVKLGDELYFAVGSQHIPFGASPQDIWTELGRPCGIHQKQVDQMVIHSASDPRPRTTLCGDYFYNYFTRGLDILFDGQTHKIKKFVLHTNYPGHADFNSYIKCNFVIHVSGSFDETNCKNTITPSTKWEDVKEILGDCGRAAIQTQGSTNNPFGSTFVYGYQNVAFEVMKNGYIATVTLFKS >KGN48277 pep chromosome:ASM407v2:6:21662187:21662581:1 gene:Csa_6G453270 transcript:KGN48277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENYLIPGFRFYPTEEELISFYLQHKLEDDGDDDLKQAMDQIIPILDIYNFNPWDLPRNLQVIMKGF >KGN45909 pep chromosome:ASM407v2:6:2178693:2179552:-1 gene:Csa_6G020230 transcript:KGN45909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVWPFHIISIIFLFGFSISSTAGVSQTVAALYTGQKPSIKDTMSVVVKVWKRLLVTNLCVILVFLIYHMIVGLALFIIILPLGTVDRTTLGVAFVFYFVGLLYLVVVLQLAGVVSVLEESRGFKAMAKSRLLLKENMVSATVIVLAISSGFGILLWLKSLTRMMLFSPSVAIWMHVLATLSLDLWVLVFLLWSLVSETMFYFVCKSYNHESIDMSTVSDHDPILLEIREY >KGN49201 pep chromosome:ASM407v2:6:27061233:27065798:-1 gene:Csa_6G517090 transcript:KGN49201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCANWNLLMKILIGLLLVFINPFCFGDTDLRDVAAINALFISLGYPPLRGWILVGGDPCGEKWQGVECVFSNITSLQLSGLNLGGELGTSLDQFESIISMDLSNNHIGGNIPSTLPPTLRSLSLSANQFTGSIPPALASLAQLMDLSLNNNLLTGAIPDVFQLLNGLNNLDMSSNNLSGQLPPSVADLLSLTTLHLQNNQLSGLLDPLQDLPLSDLNIENNLFSGPIPAKLLGIPNFRKDGNPFNTTIIPSAPALAPSPFAVAPVTVGPPTRQAGGGQPLWPGTPESSDGARSFFSAKRIIWIVIIGTVILVALGFCLLVSICLKRSKRRKDNKIVRDNTDMASKYKPKPMKPSVEGVDMEKGPKETTLKPLDRDRMKDRTMDFTTPRLHDRQDTNGKRKDASNTSFRRDHTESSSISMDDFPPPPPPPPFPLLSTQEIAKPMAAEVPSKVPRKLKTSSLKVFTIASLQQYTNSFSEDNLLGRGMLGSVYSAELPSGRLLAVKKLDGSSSTHWNDDDFHDLVSSICQIRHDNIVELVGYCAEHGQYLLIYEYCKNGTLYDALHVDKEMHQKLSWNVRVRIALGAARALEYLHEACQPPIMHQNFKSANILLDNELKPRVSDSGLARLLPSATQSSAPSLPAQGYSAPEFELGTYTYQSDLYSFGVVMLELLTGRKSCDRSLPRGEQFLVRWAVPRLHDIDALSRMVDPSLNGMYPAKSLSRFADIISSCIMREPEFRPPISEIVQELLQML >KGN48224 pep chromosome:ASM407v2:6:21276936:21278717:1 gene:Csa_6G449310 transcript:KGN48224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLKTELCRFSGAKIYPGRGIRFVRADSQVFLFANSKCKRYFHNRLKPSKLTWTAMYRKQHKKDIAQEAVKKRRRATKKPYSRSIVGATLEVIQKKRSEKPEVRDAAREAALREIKERIKKTKDEKKAKKAEVMAKTQKTQGKGNVGRGAAPKGPKLGGGGGKR >KGN49024 pep chromosome:ASM407v2:6:26269107:26269358:-1 gene:Csa_6G510910 transcript:KGN49024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILSNQINDGIVRKTMEKLYSAGSSRHCLNAAGRRMAAGGGGGAAVPLAHGEIRRHKLKRKRESCLRLASETTNKKKSNPTSE >KGN48949 pep chromosome:ASM407v2:6:25953526:25955100:-1 gene:Csa_6G507230 transcript:KGN48949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFAVLFSHFAVLLLSVLSLGSSLSLDYYEKTCPGADFIVTKAVRAAAYKDKTVPAALLRMHFHDCFIRGCDASILLNSVGNNVAEKDGPPNLSLHSFFVIDNAKKELESYCPGVVSCADILALAARDAVVLSGGPTWDVPKGRKDGRISKASETIQLPSPSFNISQLQQSFSQRGLSLDDLVALSGGHTLGFAHCSSFQGRIRNFSPASNVDPEMNPSFAASLRNMCPVNNNAKNAGSNMDTSPTTFDNNYYRLILQKKGLFSSDQALLKFPKTNNLLYKFASSKEAFNRAFVNSMIKMSSITGGQEIRKNCRAVN >KGN46040 pep chromosome:ASM407v2:6:3666188:3670237:-1 gene:Csa_6G045190 transcript:KGN46040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNNCYGSSNKSAAEIVPQELFRSCNNDSVTAASNPTVRLYGPPNNAFTCYIRFALLYKSVKLSFIPSDAPHFGSDSPAIRIGSETISGSRERMLRFIDNKFPHPPLPLSSRRVDEDETSSLVAVRVVALQHKSVLWHLERMLRWGKDLANRGGRTTFDPAVGTPRMELRKFGKSYSQLLEVMLEHAQMEERVLFPILDRADRGLCKASNEEHARDLPIMNGIKEDIKSAVVLDLGSSVCQEALSNLSKRLKLLQEHCKHHFLDEEKNLLPWLEAVELSKEQQDKMLEQLLDLMKQTHSHLLNFFLEGLLPLEALQYLDLITSSSDRIRTSFGTMLMMDAV >KGN49170 pep chromosome:ASM407v2:6:26902247:26902707:1 gene:Csa_6G516780 transcript:KGN49170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLCELCGCVATVMCESDAAMLCWGCDSKVHGANFIVGKHLRVLLCHDCQAPTPWNGSGPNLVATVAFCHNCVHKNRRNNGRRCEKACGSSDGGGTTAPSGCDDDDDEIENQVVPWLSLSPS >KGN47013 pep chromosome:ASM407v2:6:11431284:11439268:1 gene:Csa_6G169290 transcript:KGN47013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFPSVRILFANSRYRFVRHVSSSSSSFSATEALDPPPVVQARRVVVTGLGMVTPLGCGVKSTWKRLIDGECGIRKLSQEDLQMNAFDSETQLLTYDQLTSKVAAVVPCGTEPGEFNEELWLNSKEHRSISRFIGYALCAADEALKDANWVPSLQEQKEKTGVSVGGGIGSISDVLDAAQLICDKKLRRLSPFFIPRILINMASGHVSMKYGFQGPNHAAVTACATGAHSIGDAVRMIQFGDSDVMVAGGTESSIDALSIAGFCRSRALSTKYNSNPSEASRPFDSGRDGFVIGEGCGVMVLEELDHALKRGARIYAEVRGYGTSGDAHHITQPHASGRGAALAMTRALKQSGFHPCQVDYLNAHATSTPLGDAVEATAIKSVFTDHALSGALTFSSTKGAIGHLLGAAGAVEAIFSVLAIQTGVAPPSLNLREPDPIFDENFMPLTSSRQIPIRVALSNSFGFGGTNASLLFASVA >KGN46410 pep chromosome:ASM407v2:6:6164363:6164938:-1 gene:Csa_6G091830 transcript:KGN46410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIIYICVYVSVYIMAESDQSGRKRHYRGVRQRPWGKWAAEIRDPKKAARVWLGTFDTAEAAAIAYDNAALRFKGTKAKLNFPERVQANPAEFGFLSPASIAAPPPPTAVSSPSVSLPPPLSHEEAFPGLHQYAQLLSSSDADFPYYSSSLLFNQQQSHYPFFSSSSSSSQQQQEDQDRYGEDFGTGGSNK >KGN46355 pep chromosome:ASM407v2:6:5823948:5827207:1 gene:Csa_6G087830 transcript:KGN46355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEERVEESKVRVLIENATNSTDSEVHPRLLKAIKSVVRNSDSELRVAAQTLMDLMKFDHSQVRYLTLLVIDELFMRSKLFRSIVVEKLDQLLTLSVGFRRSMVLPEPAAVASTLRSKAIEFLEKWNDSFGIYHRKLRLGYDYLKNTLRLQFPNIQANAMRRQQERMEREIRSKEILLRKYGMLKENFSSIKEEIQSTLDEIKECLDIVHSKEDDGDMIPLDDDTTEEFRSVELRQIRLAALKGEMVHENHDNKVIFDALRELYKLMSKHMVSIQEWISVLVRVDSTDIRFRDSALREFIDLQNSLRVVKRQCEELGCNFTESANHDDEDEDFWEEGPVGATKDGGTSEKKNEDLVVVSTSNVIKSADNSKTGSGAHVGNVVKNGEVCSSNSASSLRNKLLADAPVIEWGSFLNNWDSRTDILANQRGLELQSHWGRVDYDATIPAEKIAELNVRASLYKEDQPEIQPCRAPLRKGGLCPRRDLKVCPFHGPIVPRDDEGRPLNVSSSLDETTPDLKIGSVEQLVRQAVKNVRTRDKEAAETREHDKKALKRAKLAKIREHNAGVLQDAALASTSRSSAFGENMETGGEGTGSDRNKKKTLASMLRKKVSTKDRLSRRLLGAKSSALTKRELKLNEDANYREAFPNQW >KGN49232 pep chromosome:ASM407v2:6:27246954:27249401:1 gene:Csa_6G517400 transcript:KGN49232 gene_biotype:protein_coding transcript_biotype:protein_coding description:Structural constituent of cell wall MAFNLISLSFFSIFSFAIFAFSAADDITAAETLPVPHHHHHHHAPSPAPLPPPTHLPLHPPVHPPAHPPAHHRHHAHAQAPVHPPANAPSHHLPPTHPPAPAHHHHHHNVSPVHPPSHSPAPIYPPKPRLVRSFISVQGVVYCKSCKYPGADTLLGATPVAGASVKLICQNTKYPLVQTATTDKNGYFFITAPKAITSYAFHKCKVVLGSSPSPTCTKPSALHGGAAGAPLRPQKSYIDANKLPFVLYSVGPFAFEPTCPHH >KGN46031 pep chromosome:ASM407v2:6:3606809:3611769:-1 gene:Csa_6G045100 transcript:KGN46031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGLGRLILRARRIPSLGFHGGGNTRFFSAATTSSMFGGEEPASCSISSTICSDSSLRSWKSIQFGGQRRTMFIETQSTPNPSSLMFYPGKPVMEVGSADFPNARSAMNSPLAKALYGVDGVVRVFFGSDFVTVTKSNDASWDFLKPEIFAAIMDFYSSGQPLFLDSKTAAAMDTAIKEDDSETVAMIKELLETRIRPAVQDDGGDIEYRGYNEETGIVTLRMQGACSGCPSSSVTLKSGIENMLMHYVPEVKGVEQEFDVEEEDVKSTSQME >KGN48626 pep chromosome:ASM407v2:6:24103384:24103881:-1 gene:Csa_6G495800 transcript:KGN48626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENIIKNVDEKKKMNKGSKLSQLARASSRLLSKVRDFYIRSLTDCSNHLDYGMALSGPGGQVPINLPRSYSVGSSAASSHGGDDYSELLRAASVRSLGKKVELDLEARKSPKNVPRSQSVGIGRIDEDKTYEFEDEFKGSNLNNLYPRSKSYAVHTHRRSSRRAY >KGN46432 pep chromosome:ASM407v2:6:6352993:6353611:1 gene:Csa_6G092540 transcript:KGN46432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMHYQAERSWEYYMPVTASASRDPVDRVIRLAAESAVVIFSVSSCCMCHALKRLLCGMGVSPTVYELDHDPRGKDIERALMRLVGATSPPVPVVFIGGKLVGSMDRVMASHINGTLVPLLKEAGALWL >KGN49360 pep chromosome:ASM407v2:6:27870186:27870968:1 gene:Csa_6G521070 transcript:KGN49360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNAFEFSRYYDKEKAMDDSPMDLDPKSKNKQVDHHLQSNDREWEQKAQDLIDNQLEDHLKIRKEVDQDLHPSHPPVSSKMANLVGRERKDREAESCKRMRMTESSSDPSAESLKLIEEIVKMYGKHVDHLIREVRNGVKDDMVWSFNLHKYGVIDETFGEKVRRLGCELERMKHDEKQYRDYIYIEPRIMQIMTFMLKVHEIFDPHSKGCEKEKQKCYEMCSLDRYRREVDGMRWRVEMLKDLKTYRKEEEEIRRFFKF >KGN48036 pep chromosome:ASM407v2:6:19936716:19941256:1 gene:Csa_6G425730 transcript:KGN48036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILYAVVARGTVILAEFSAVTGNTGAVVRRILEKLPNEADSRLCFSQERYIFHILRSDGLTFLCMANDTFGRRIPFSYLEDIHMRFMKNYGRVAHYAPAYAMNDEFSRVLHQQMEFFSSNPSADTLSRVRGEVNEVRTIMVDNIEKILERGDRIELLVDKTATMQDGAFHFKKQSKRLRQALWMKNAKLLALMTCLIVVLLYAIVAAFCGGVTLSSCRS >KGN46022 pep chromosome:ASM407v2:6:3538006:3541055:1 gene:Csa_6G044030 transcript:KGN46022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKIKSQAKTQRFNPSSTYVLRSLHSLELSHSAAANSSAFFLLPSSPLSGQFSPNPNSQPRYPTTYFQFLNSSMGAKARKAMKKKLNKATPLSSDKKESADFLPLEGGPGRKLSVQKPLESTATVLYIGRIPHGFFEKEMEGFFGQFGKVKRLRIARNKKTGKSKHFGYVEFESPEVAKIVADSMHNYLLYEHILKVHLIDPEHVHPKLWKGFNYRHRPLNWSELERKHHNKERTLEEHKKLVDRILKRDQARQKRIRAAGIDYECPEIVGGVQPTPKKIKFDED >KGN48478 pep chromosome:ASM407v2:6:23128924:23132268:-1 gene:Csa_6G489940 transcript:KGN48478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSVMAVVDPGSRIPSTLEFFKVFLPNSSSQSMSIPPAFVKYFNGRIPSEAIIRDQSRNSWHVTLEELKNIVLFKDGWQEFVDSHHLKRGYFLVFQYDGSHVFDVKIFGKNGCKKELVSRISNPVPTVKVKDEPLSEEDYSTSLTRCKRSDSEVRSTDSSGTAPKSRRRSTSNLEEHSPSKTAKHISMKLPSPTPTFKHIVKHWSYKAIQIPQLIMVSNDILLKPNLVIIDEMGRSWVVKARPISRGRFSLTSGWGAFFIANSLTIDDECTFEFVLDSNNLCGELKCCSNKKS >KGN46724 pep chromosome:ASM407v2:6:8838605:8838835:-1 gene:Csa_6G127360 transcript:KGN46724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALIGDGGLPTRRVRYHECEVSIGSEFEDFGRALISIVTVDEFIEDDSSSRKTKPDAYVEHRGSSSYVIPDDNNLF >KGN48351 pep chromosome:ASM407v2:6:22187940:22189100:-1 gene:Csa_6G483330 transcript:KGN48351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGKCVASCLKYLEAVPWTEEEEEKLRNLFIKVKFDDATSRDVMARLRLLDSVISQQGIASRLVWSISTCSNSIARNELKSLVKGLLCKSSVYEKDHLDLSEEDLYAVFRTCITSLVGLLKGSSHAVGPETCVKNITEKPLIEQISTEVRAQSGFSKLIHCNRDKKTELSFRNKVWTTAGVVWSNATRFWLATTLQKRPRYENAGRSHGSGITYSTYETTAFAIYGLV >KGN45751 pep chromosome:ASM407v2:6:944961:948430:-1 gene:Csa_6G008820 transcript:KGN45751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQGQTSIEPAVLDDIIRRLTEVRSSRPGKQVQLSENEIKQLCAASREIFIQQPNLLELEAPVKICGDIHGQYSDLLRLFEYGGFPPSANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFNVRLWKVFTDCFNCLPVAALIDEKILCMHGGLSPDLTNLDLIRNLGRPTPIPDTGLLCDLLWSDPCRDVRGWGMNDRGVSYTFGPDKVEEFLAKHDLDLICRAHQVVEDGYEFFADKQLVTIFSAPNYCGEFDNAGAMMSVDENLMCSFQILSSSHR >KGN47221 pep chromosome:ASM407v2:6:12935426:12937161:-1 gene:Csa_6G213910 transcript:KGN47221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTTHTPKHVVPLVLVLQLTFLLMSTSFAQLSVSFYSNTCPKLLSVIRSGVQSAITKEARIGASLLRLHFHDCFVNGCDGSILLDDTATFRGEQTAPPNNRSVRGFDVIKAIKSNIEKVCPGVVSCADILTLAARDSVNILGGPTWEVKLGRRDSKTASFSAASSGIIPPPTSTLSNLINRFNVVGLSAKDMVALSGAHTIGQARCVTFRNRIYNESNIDVSFAKLRQRSCPRSGGDDNLAPLDFTTPKFFDNNYYKNLLNNKGLLHSDQVLHNGGSTDSLVQQYSQNDKTFDTDFVTAMIKMGDIQPLTGSQGEIRKVCNRPN >KGN45899 pep chromosome:ASM407v2:6:2123566:2123766:-1 gene:Csa_6G020130 transcript:KGN45899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSSSSLRKNNNDNAKAPRLRLSMNAGDGTQINRRHSRPLQRNRNSKTNRAPPPKKSTGGGGQTN >KGN48915 pep chromosome:ASM407v2:6:25791876:25795080:-1 gene:Csa_6G505920 transcript:KGN48915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQWKTGDFSQSVVPAELVPSSSLQPQPQSLAVSSTRHLSNQLTESRLRTDAEVKDPIAVRKIQKADREKLRRDRLNEHFLELGNTLDPDRPKNDKATILTDTIQMLKDLTAEVNRLKADYEALSEESRELTQEKNELREEKASLKSDIENLNAQYQQRLRVMFPWATMDPSVVMGPPYSYPVPVPVPPGPIPMHPSLQPFTFFGNQNPGAIPNPCSTFVPYTAAANHPMDQPSAQYASNSHISSKQDSRSKSSDQHRRSNVERCDESTDVATDLELKMPGSSTMQDASSGSKKGKQSQRKEKSATDCSSSSRFSSSQVLQDSSSNSVGDIPKQNN >KGN46444 pep chromosome:ASM407v2:6:6417103:6417981:-1 gene:Csa_6G093640 transcript:KGN46444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQNNNNNNKHHPLLPSPATSSSPLTTPPLPTTSSDIKDFTLIISNKDQNNSNSNNNINNKQLAPKRTSNKDRHKKVDGRGRRIRMPALCAARVFQLTRELGHKTDGETIQWLLQQAEPSIIAATGSGTIPASALHAVGVSLSDHDSSVSVSASLTPKIEAVPRTNWAMMGANLSRSHMASQGFWPSLGGIGTGFVSENPGSIMPKFGFHGFELQGMNLGSVNFPAMIGNQHQQIPGLELGLSQDGNNLRGMLNPPSFSQIYHQIGQNRDSSAQPLEQDQAPEKDDSQESR >KGN48842 pep chromosome:ASM407v2:6:25385623:25386003:1 gene:Csa_6G502780 transcript:KGN48842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFHNYKYCFLPFQFPKPSPPISSQLTNPPSSKWPPSRLPELLAGFRNGAKSSAVFLKSCSSSVFVPSIGVLLRRSPLAFFLEIFNTISLFRTDGFSFEFFVTWLKRVRSEMRLGFAFCDSLTLNP >KGN45608 pep chromosome:ASM407v2:6:45814:48042:1 gene:Csa_6G000080 transcript:KGN45608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPAAAPESAIESTDAPKRAYVTFLAGNGDYWKGVVGLAKGLRKVKAAYPLIVAVLPDVPEDHRQILEYQGCIVREIEPVYPPANQTQFAMAYYVINYSKLRIWEFVEYEKLIYLDGDIQVFENIDHLFEMPSGYFYAVMDCFCEKTWSNSPQYKIGYCQQCPDKVKWPVEEMGNPPPLYFNAGFFVYEPDLFTYKDLLETCKATTPTLFAEQDFLNMYFNDIYKPIPPIYNLVMAMLWRHPENIDVDKVKVVHYCAAGSKPWRYTGKEENMEREDIKMLVKKWWEVYEDESLDYQNVLKSETKQETNLTPLISVLSEAEDK >KGN46843 pep chromosome:ASM407v2:6:10080701:10084066:-1 gene:Csa_6G141400 transcript:KGN46843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEISSFQLGVIGALFLSVASSVSIVICNKALMSNLGFPFATTLTSWHLMVTYCTLHVAHRLNLFESKPIDTKTVVLFGMLNGISIGFLNLSLGFNSVGFYQMTKLAIIPFTVMLETIFLKKQFSSKIRLSLFLLLVGVGIASITDLQLNFLGTVLSLLAIITTCVGQILTNTIQKRLSVSSTQLLYQSAPFQAAILFVSGPFLDQCLTKKNVFAYKYSPVVLAFIILSCLISVSVNFSTFLVIGKTSPVTYQVLGHLKTCLVLGFGYTLLHDPFTERNLIGILIAIGGMGLYSYFCTQETKKKQGDLTLGSQIKDKETAALLAGVLQDKENHEVKKSNKDSLV >KGN47129 pep chromosome:ASM407v2:6:12300916:12303900:-1 gene:Csa_6G190200 transcript:KGN47129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLELAIPQNFPYIISDAISRSVSYPYIFFCRNQLKSSISNRRFFSYPLLAPQSFESSYLTYRRKSFVTLVKASRRESPYEVLGVSPTATPNEIKRAYRKLALKYHPDVNKEPNAQEKFMRIKHAYNTLLNSESRRKYDYGNHGSDFSYSSAQRSQDEETFYGLGDFFKDLQEEFRNWEASVSSQGTPKSLWEELGEIGEEFVEFLEKELNIIDDSEAESNNNKASDKTDSFRTSNADSMRNDGRSQTSKGSSIEDDIDEIEATLLKLKRELGL >KGN49057 pep chromosome:ASM407v2:6:26408587:26410249:1 gene:Csa_6G511720 transcript:KGN49057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSSLQNFIRIPTSLISIPNSKNLNAAASFHTTINNSYLPPQLLSTKRRRPAASLCIQCHGGGFFSMDDDDSSDNEGPLETINKVYKSIKKKDVAKLANVIADQRPDIVDSIPFLRTRLKMRKLASHIIKGLQENLVFSIQPTTKDGSMVGIIWKVGWNKPLIKIKEGITIHFHHSYVGMLLIGNLKTLLDPLLQLMPTKMMKWNEEPKSKTRRVVSLCLSLFLLFVSLFSLQFSIR >KGN46424 pep chromosome:ASM407v2:6:6273275:6275542:1 gene:Csa_6G091970 transcript:KGN46424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQKQEVKIGTHSTIQQYNTTILLSSPFMGSINSSKPILPFSHSPLLLHSLVSLSLSLSLSLSQIYLHFQKIQSFSSFSFTMQTFPPPPPPPLLLLLPLSLFLLSNLLVPVTSNSEGDALYALRRSVKDPNNVLQSWDPTLVDPCTWFHVTCDSANHVTRLDLGNAKLSGNLVPELGNLEHLQYLELYMNELVGPIPKEIGRLKSLISLDLYHNNLTASIPSSLKNLHNLNFLRLNGNKLSGRIPRQLTKLGNLKIIDVSDNDLCGTIPTSGSFSKFSEESFKNNPRLEGPELMGFVRYENGGTC >KGN49213 pep chromosome:ASM407v2:6:27132668:27135275:1 gene:Csa_6G517210 transcript:KGN49213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTFDAYSMDGEDSYGGYGSYTNFSGAFPGDADVTVEHTPASPDVFGFDDPSPNYSQAPFDPIHVENGNGNGYGAAEDEVGDGVFASDGPILPPPSEMGVEEGYALREWRRQNAIQLEEKEKREKELRIKIIEEAEEYKIGFYEKRKLNVESNKVNNREREKLYLANQEKFHKEADKQYWKAIAELIPNEVPNIEKKRGKKDQEKKPSILVVQGPKPGKPTDLSRMRQILVKLKHTPPPHMKPPPPPPAKDAKDAKDTKDGKDAKDGKDAKNEKDAATKASDPTPGAATANGTSHKPQEPSVAPAADEDQTAT >KGN46009 pep chromosome:ASM407v2:6:3345939:3347097:1 gene:Csa_6G042430 transcript:KGN46009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSINSYKTEQPRIYINEGSTSVLICSRGGGLLLERMELVVELWEEKIKAQLVPTPDPSLTEQYEYANEHDIKCLVIITDSGVSNTGSVKVRHLELKKEKKVERTYIVKFLQEAMANQFKNPLIWN >KGN46729 pep chromosome:ASM407v2:6:8860368:8864993:-1 gene:Csa_6G127410 transcript:KGN46729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVIRCVDRRNNEIFRSSFSQIKNQAIVSPNFFANSTTLMSFQTLKETLKPCKSLSSSASAPTSPISSNPSFFQGSEVSFLRKPPKSSLSLQLLRLQDSFPPPECRTQCQNQQTQVRVKTGEEEEEENGVEVPEPDVSKKRSELGQFQFDHTGPFEPLILSSKDDFPLVQVPPSINCRLLEHQREGVKFLYGLYKNGHGGILGDDMGLGKTIQTIAFLAAVYAKDGDGIQKETCGKKKDPILIVSPTSVIHNWENEFSKWANFSVAVYHGTNRDLIYDKLEAGAIEVLITSFDTYRIHGGILSEVKWEILIIDEAHRLKNEKSKLYSACAGIKTLKRFGLTGTIMQNKIMELFNLFDLVAPGSLGTREHFREFFDEPLKHGQRSTAPERFIRIADERKQHLAAVLHKYMLRRTKQETIGHLMLGKEDNVVFCAMSELQKRVYRRMLQLPDIQCLINKDLPCGCGSPLTQAECCKRTVQNGIIWPYLHRDNPEGCDSCPFCIVLPCLVKLQQISNHLELIKPNPKDDSEKQRRDAEFASAVYGSDIDLVGGSAQNESFMALSDVRHCGKMRALDKLFSSWTSQGDKILLFSYSVRMLDILEKFIVRKGYSFSRLDGSTPTNMRQSLVDDFNSSPSKQVFLISTRAGGLGLNLVSANRVVIFDPNWNPAQDLQAQDRSFRFGQKRHVVVFRLLAAGSLEELVYSRQVYKQQLSNIAVSGKMEKRYFEGVQDCKEFQGELFGICNLFSDLSDKLFTSEIIEMHEEKETNDELASNTDQNTSNAGSSVPSDKSNVVSSAVNTNTNKPMLEDLGIVYAHRNEDVVNSGPGTQAKMALPVAQDCTPKQPHVPEIKKRKLDDLSSSMDRKKIQYRILAEFVGMGELEFSKWLLSATPMQRQKVLKDYRRRKEKIPNG >KGN48605 pep chromosome:ASM407v2:6:23975583:23978468:-1 gene:Csa_6G495100 transcript:KGN48605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVFPRHFHFHSHPRISTKFRNRVQHFEITYKILIPLSSHLSLSLFQRSGFSLHAYPRTPFSFLYPRYFGRFSRVFGSKYMENTENICMDLIPIQAKSNTIPLPPPLPKSAITNRFQKSPFSRESLERGYSCVSTATRDLWDGLFDEAYGADVYIHTDHGGIIYAHAYILGMASPVLKGMFKQSKKSGRKRSISIHGVPPDAVKVFIRYLYSCRYEKEEMEEFVLPLLMLSHVYVVPQLKRECERQLGRGLLTLENVVDVFQLALLCDAPRLSLLCHRMILKNFKAVSSSEAWQAMQQSHPVLEKELLGSVIDEDNRQKKRVKKMNERKIYLELFEAMETLVHICRDGCRTIGPHDKDFKVNQPPCKYAACKGLELLIRHFAGCKLRAPGGCTQCKRMWQLLELHSHLCADSNLCRVPMCRNFKDRSKKQGRKDEMKWKLLVKKILRTKGVARTPFFLTTETI >KGN46000 pep chromosome:ASM407v2:6:3271856:3274248:1 gene:Csa_6G042350 transcript:KGN46000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNFASNCVAGCAGLKSSSRKSSHTATECSDDELSSDGREEVLECPICWESFNIVENVPHVLWCGHTLCKNCILGLQWAVVKFPTLPVQLPLFISCPWCNLLSFRLVYKGNLKFPCKNYFLLWMVENINVERVKSHSAFSGDQLVFPVSGTSSRGNQVSHNNLRRGHHVRQLDSQGPNNANSHFHSFNLERLQSSLRKLLVFFVHLTAKFPLVIIFLLIVLYAVPASAAILALYVLVTVLFALPSFLILYFAYPSLDWLMREIIT >KGN47372 pep chromosome:ASM407v2:6:14649575:14651979:-1 gene:Csa_6G306340 transcript:KGN47372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGNKDEALRCIRIAEESIASGNKERALRFIKIARRLNQSVQVDELLAACEEIGSGSSEEKRAGKGESVSGSVKHGDGLNGERNYSMEHVQLIRQIKTTKDYYGILGVEKTSSAEEIKRAYRKLSLKVHPDKNKAPGSEEAFKKLSKAFSCLSDDTLRRQYDHTPLVDQYEYNQQHNVRQRRRRNGHDLFEENFDPDEIFRAFFGQGNMFQTSRAYTYRTGGAGSQQRTESYGGGPNFLIILLMLPFLLICLLAYMPFPEPEYALHKSLSYSIPMATEKHGVEFFVKSSDFDERYPLGSPGRVELENSVLRDYRNMVWRYCHIELQRRQWNKNLPTPHCEKLNTLAVA >KGN48055 pep chromosome:ASM407v2:6:20081477:20083891:-1 gene:Csa_6G426900 transcript:KGN48055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPLLRASEKLPIMATVRLFATLPKKLLLLLMALIVVVHSEAANTNNVYQPCADTKIQRSDGFTFGIAFSSRDSFFANQSHQLSPCDRRLSLASLNSQLAVFRPRVDQISLLSINTSDFFPDAFGGYMVAFAGRKYAARSQPAFVANSTFIVTSFTLVLEFQKGRLQNLYWKRDGCASCSGKSRSSYVCLNNQDCAIRTSSCRNRGGNVDCSLGIQLTFSGTDKHLSALNSWYEVKNLRQYSLYNVYSNLRDSLTGQYNRFF >KGN45981 pep chromosome:ASM407v2:6:3046276:3050608:1 gene:Csa_6G041170 transcript:KGN45981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAEGCYPSYTIFNSLVHAYCKSDDFSYAYKLLKKMEKCECKPGYVVYNILIGSICSGGELPGPVTFELAEKAYNEMLSAGTVLNKVNVVSFARCLCGFGKFEKAYKVIHEMMGNGFVPDTSTYSEVIGFLCNASRVENAFFLFKEMKGTGVVPDVYTYTILIDCFSKAGIIKQAHNWLDEMVRDGCEPTVVTYTTLIHAYLKAKKVSVANELFELMIAKGCFPNVITYTALIDGYCKSGNIEKACQIYARMRGDADIPDVDMYFKIKNNVAEKPNVVTYGALVDGLCKAHKVKDARDLLETMFVDGCEPNTIVYDALIDGFCKAAKLDEAQEVFHKMVEHGYNPNVYTYSSLIDRLFKDKRLDLVLKVLSKMLENSCAPNIVIYTEMIDGLSKVAKTDEAYKLMLMMEEKGCKPNVVTYTAMIDGFGKAGKVDKCLELFREMGSKGCAPNFVTYTVLINHCCATGHLDEAYALLEEMKQTYWPKHVSSYCKVIEGYKREFILSLGLLEEVEKNGSAPIILLYKVLIDNFVKAGRLEVALELHKEVISASMSMAAKKNLYTSLIYSFSYASKIGHAFELFYDMIRDGVIPDLGTFVHLLMGLIRVRRWEEALQLSDSLCQMDINWLRREDKP >KGN47193 pep chromosome:ASM407v2:6:12674524:12680892:1 gene:Csa_6G197230 transcript:KGN47193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMGERKTIDLEQGWEFMQKGITKLKNILEGLPEPQFSSEDYMMLYTTIYNMCTQKPPHDYSQQLYDKYRESFEEYITSMVLPSLREKHDEFMLRELVKRWTNHKVMVRWLSRFFHYLDRYFIARRSLPPLNEVGLTCFRELVYKELNSKVRDAVISLIDQEREGEQIDRALLKNVLDIFVEIGMGQMDYYENDFEAAMLKDTAAYYSRKASNWILEDSCPDYMLKAEECLKREKDRVSHYLHSSSEPKLLEKVQHELLSVYATQLLEKEHSGCHALLRDDKVEDLSRMFRLFSKIPKGLDPVSNIFKQHVTAEGTALVKQAEDAASNKKAEKKDIVGLQEQVFVRKVIELHDKYLAYVNDCFQNHTLFHKALKEAFEVFCNKGVAGSSSAELLATFCDNILKKGGSEKLSDEAIEETLEKVVKLLAYICDKDLFAEFYRKKLARRLLFDKSANDDHERSILTKLKQQCGGQFTSKMEGMVTDLTLARENQTSFEEYLSNNPQASPGIDLTVTVLTTGFWPSYKSFDLNLPAEMVKCVEVFREFYQTKTKHRKLTWIYSLGTCNISGKFEPKTMELIVTTYQASALLLFNSSDRLSYSEIMTQLNLSDDDVVRLLHSLSCAKYKILNKEPNTKTISPNDHFEFNAKFSDKMRRIKIPLPPVDEKKKVIEDVDKDRRYAIDASIVRIMKSRKVLGHQQLVMECVEQLGRMFKPDFKAIKKRIEDLITRDYLERDKDNPHLFRYLA >KGN46277 pep chromosome:ASM407v2:6:5383096:5389012:-1 gene:Csa_6G079220 transcript:KGN46277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTAVLLAVIPFLLWLLKNANHLLYETAQLGSKRFALPPGNLGWPFIGNMWSFLRAFKSPYPDSFMDSFLSRYGNTGMYKAFMFGSPSVIVTSAEACKRVLNDDEAFGSGWPPSTMKLIGENSFIGISNQEHKRLRRITAAPVNGYDALATYLTYIEKIVVSSLDKWGNMGEIEFLTELRKLTFKIIMYIFLSSESETIMEALEREYTMLNLGVRAMAINIPGFAYYKALKARKNLEATFGSIVRGRRMERERKENSKTMKRDMLDALLEAEDEDGRRLSDEEIIDVLIMYLNAGHESSGHTMMWATIFLQQHPHFLQKAKAEQEEMIKKRPVGQKGLTLKEVRQMPYLSKVIDETLRVVTFSLTVFREAKHDVKISAYKLSNSLLSFLFNDFIPKAGSFLPFGAGSRLCPGNDLAKLEISVFLHYFLLNYKLERVNPESPIRYLPHSKPEDNCLGRIKRLV >KGN49505 pep chromosome:ASM407v2:6:28754821:28760056:-1 gene:Csa_6G526390 transcript:KGN49505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKKKRVSSKVWCYYCDREFDDEKILVQHQKAKHFKCHVCHKKLSTAGGMVIHVLQVHKESVTKVPNAKPGREATDIEIYGMQGIPPDVLAAHYGEEEEESLSKVAKVEMPSTQMVGGVVPGSLGIMYPPQPGLASMQPIYPSTGQAQPTAWPVPPRPQPWFPPNSAVSIPPPVQLGYAQQPLFPVQTARPPLPVSSTPMLQPLQITPPGLTSTTPTASVSQPLFPVVSNSHGPTQSSPFSSSTLSASIPLTSAADIKGSVTHLGTNFSTIGNQVANIPGGILSNSHSYASGPNTGGPSIGPPPVIANKAPTQPSTNEVYLVWDDEAMSMEERRMSLTKYQVHDETSQMSSIDAAIDKRILESRLAGRMPF >KGN45986 pep chromosome:ASM407v2:6:3110669:3113408:1 gene:Csa_6G041710 transcript:KGN45986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRILNDALRSIVNAERRGNSMVELKPISTVMASFLKVMKDHGYIKDYQVHNPQRVGRITVELQGRIKDCKAITYRQDIKAKDIEAYSLRTLPTRQWGYVVITTPEGILDHEEAMKRNVGGQVLGFFH >KGN47426 pep chromosome:ASM407v2:6:15052555:15054725:1 gene:Csa_6G319720 transcript:KGN47426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAEEKSQKYPTMPEVLDELKQMADIGFPVLAMGLVGYLKNMISVICMGRLGTLHLAAGSLAIGFTNITGYSVLSGLAMGMEPLCSQAFGSHNSSIAFLTLQRTVLILLFATIPIGFLWLNLEPLMLVLNQNQEITRIAAVYCRFAVPDLILNSLLHPLRIYLRNKGTTWLVMWCNLLAILLHVPIAIFFTFPLDLGIRGIAISNFLANFNTLFFLLLYLIFTTRSRIFSSSSKEANLLMPLKSSTVVSTVTVGEEWGMLIKLAIPSCLGVCLEWWWYEFMTILTGYLYNPRIALATSGIVIQTTSLMYTLPMALSAAVSTRVGHELGAGRPRKARLAAVVAIGLALVGSLMGLSLTTVGRRAWGRVFTKDEEILELTMAVLPIIGLCELANCPQTTSCGILRGSARPVIGAGINFCSFYMVGAPVAVLSAFVWKFGFQGLCYGLLAAQMACVVSILIVVFNTDWEMESIKAEDLVGKNTNNNFAHAIHTAIREEGPEFLKESPVQKQDT >KGN48793 pep chromosome:ASM407v2:6:25121774:25122127:-1 gene:Csa_6G501330 transcript:KGN48793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICVMVLGRKYEDNELDEKGFKGLIREATQLAAAPNLGDFIPLIARFDVQGFGGRAKAVGKIFDGFLERIVEEHVVFQRDNKDKDFVDVLLDLMGSREYQIDRSNIKAIILVSELID >KGN46452 pep chromosome:ASM407v2:6:6457836:6460793:-1 gene:Csa_6G094700 transcript:KGN46452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTKSLFWPPNFKRNQIPFTFLYQPIQKMVVSYNPATIFTYSELDLEPPIVLILRYQTGSVFSSLCFSSAKNSPMGEGDCDFGENKLVEEGSEVAGDEAVVDEHLVFDDVPVGVRQFYFVKVKALENSNTDAMIKAEETISKMNQDQILIAQKIRDRRMDRDQVIAKLNDQQYYESWDDFILLCNRNKMNILHFSLEKMTYVNKAHKGKPNISCLSGGKVDKQELSFLMRHGCKNMADERKLLKEVKARQENDGGSTVDEVDSSLESLFGNLWLKPLGFQITHAIKKIEGIDDDPVEGELWSSSLARTHDSSDYIRRRQARFRSKAKKTTKELEKVEKDISSLQKRFIYTNRRKAEAYDTILKLKKQYGEENASHYQYCSLMKKVEVLAKKKDIAALEELSQGQVDKFMQQWNNCLEFRNDYRRRVVPSLYSRDLCLDGRMITKQKSSLVEDT >KGN46771 pep chromosome:ASM407v2:6:9274142:9275566:-1 gene:Csa_6G133800 transcript:KGN46771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAACRCLGATELIQSNGRHLVSSKGGASFLIYCNRNYNRLSTGALGSISDRYSILSSNFLTTHDDRIMYIQGRNSRSFSSSRPNSNPLSRVHAFLSDPSSSSSTKGSQSGFMLNGRLPFSTSSANGTEAASSPSGKNIKPVNKGSESQVADAKILRTLASYLWMKDNSEFRFRVIMALGFLVGAKILNVQVPFLFKLAVDWLTTASGNAAALASFTAANSTMLTLFSTPAAVLVGYGIARSGASAFNELRTAVFSKVALRTIRSVSRKVFSHLHDLDLQYHLR >KGN45638 pep chromosome:ASM407v2:6:264130:264465:-1 gene:Csa_6G002320 transcript:KGN45638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVFILDEIHSFVDAVSALAGLGYVFNLKFSPEMFSIMANPTPSPSCTIALQLFPPFFNQQYSCQQLHYSWIYINHFFPEMFHLERTGFSSLTFSFADSHHADLTFCSRDG >KGN49013 pep chromosome:ASM407v2:6:26222517:26225814:-1 gene:Csa_6G510310 transcript:KGN49013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGRRGPSSILKKAARKIVVAAYACRSFSPRKARSVSNLSVVSAEKSRHVVEEVGEGEIPDQAESITTNNLPSKNLCAICLDPLNYKTKGSRPGQAIFTAQCSHAFHFTCISSNVRHGSVTCPICRAQWTQLPRNSAPLCPQSDPILRILDDSIATFRINRRSFLLSGRYDDDDPVELDHTPTHDSRLSFYVHTTPSSFCPPIQGSGCTPGYVCSNHHVRPPLRQQFLCRSPSPSLQSPARQTPRTGNPRNSSRYYLSVKLAHQQATDLVLIACANGPHLRLLKQAMALVVSSLRSIDRLAIVTYSSSATRVFPLKRMTSYGKRAALQVIDRLFYMGQPDTVEGLKKAVKILEDSIHKNPNSSILHLSDSRTQPYHLINLESPVVPVHWFHVGLGFSISTGFVMQEFEEFLTTKVLRGIIRNIQLRIGEESSRSTIIGIAELRGDEEKRIVIDNLFSDNDGHVRVRYTYVDGEVNDEECIKVGETLLSIGNKSSNIVTFDEAGRENREEEDASMGGRISSVERWEYHDPFMARRWAKHLHSYRL >KGN48426 pep chromosome:ASM407v2:6:22788175:22788503:-1 gene:Csa_6G486980 transcript:KGN48426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKNNDDKKTPIVLRSGETKKKSTSNWSPAMDNIVKLSPKMSLGEALDELDAKIDAILYSSSRRTKRLPIFEEICPIHSSS >KGN48795 pep chromosome:ASM407v2:6:25128263:25130229:1 gene:Csa_6G501350 transcript:KGN48795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWIWVIVAITIIVLAFLLQYSWEFKGKNLPPGPKGFPIFGSLHLIGKLPHRDLHRLSQKYGPIMHMKLGLVHTIIVSSPHAAKLFLKTHDHVFASRPLIHTSSIMTYGKKDLVFAPYGSYWRNIRKMCTLELFSSLKINSFKSMRKKEVRELIEYLKTASTDRMVVRLSSKVTSLIADMTCLMAFGKKYRDEEFGERGFKAVIQEGMQLVIAPNLADYIPFVAPFDIQGLNRRATFVLKEFDGFFERIIEEHIESKDGNRNKDFMDHLLDIMMSSQDPDGYQIDRSNIKAIALDVLSAAMDTSATAIDWALAELIKHPQAMKELQVELEKVVGLNRMVEESHLEHLQYLGMVIKEVLRLHPPAPLLVPHESLEDCTVDGFHIPKKSRIFVNAWSIGQDPNVWIDPQKFFPERFIHSLADVKGRDFHLIPFGSGRRSCPGIHLGLLIVSLVVANLVHCFDWELPNCMLATDLDMEEEFGLTCPRAQELMLIPTYRLRN >KGN49424 pep chromosome:ASM407v2:6:28237753:28241178:-1 gene:Csa_6G524620 transcript:KGN49424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIGMPELPRRRSAEGPSIRSFSKGAQPLSQEDFSDVFGGPPQSVLSRQFSGELGHKQFDFFYEEMFRPPEFFSSAKNAGRSLPVFRIPAGGEGFYNDIFGSDHERRSRDRSRQNSKGKSKSNSSSLLSSEEASPFRHVNGDDVVLSSFAAKLRPINIPTKWNSSKMKSEEHQKKQGMSFFPFNRSAPMENQYVDNEVKEPFRSSYSGFPRHVSSPETISIEPTSYRSMKVSMDDLEPNSPSSAISSLCQGSGANPDIQINVLAEEDDEVMSSYVIEIGSDNREGTNEAVALDEVIAWAKEKFNTQTPETDLSARLHDSEQFVETEGRSASCEIPGEQLGVHDITQSAEVEERIWSAEEEKAESEKDMEMEDIDEDIKLWSSGKETNIRLLLSTLHHILWPRSGWHVTPLTSLMEGSQVKKAYQKARLCLHPDKLQQRGATDMQKYVAEKAFTILQEAWTAFISQDAFF >KGN47345 pep chromosome:ASM407v2:6:14413986:14417503:-1 gene:Csa_6G302130 transcript:KGN47345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCGRRFGLLSWVLFISLLPSIFCLFNPVDNYLIDCGSAANVSVGNRVFMADDNLASKFLSTPQVIMADTNTSSLLATSSNDSLLFKTARVFTGTSKYKFPIKAGRHWIRLYFFPFVFSVYNMSAANFSVSTQDFVLLRDLAGGKNPIIKEFSVNLASDTLEVIFAPVNGSIAYVNAIEVISVPDILIGDEAILLEPSGKFQGLSNQAYETVARVNMGGPKVAPDNDPLTRSWVSDDSFIVNKNLATSFSNIAAVKYSAEGAAPEIAPNVVYGTLSEMNSKDDPNSNFNVTWKFKVDPEFQYLVRFHFCDIVSKSLHELYFNVYIDSWLVVGGLDLSVPLNNALSTAYYIDSVSGVINNDELLVSIGPANIANVYPNAILNGLEIMKMNNSVGSLSGKDSVISFPDSNSSSKHIGVIVGVCVGAFVAALLVGILFILHKRRRKGMHQATSKTWISISTAGEMSHTMGSKYSNGTITSAASNYGYRIPFATVQEATNNFDESWVIGIGGFGKVYKGVLNDGTKVAVKRGNPRSQQGLAEFQTEIEMLSQFRHRHLVSLIGYCDERNEMILIYEYMEQGTLKSHLYGSDFPSLSWKERLEVCIGAARGLHYLHTGYAKPVIHRDVKSANILLDETLMAKVADFGLSKTGPEIDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCARPVIDPTLPREMVNLAEWAMKWQKKGQLDQIIDSTLVGKIRSTSLRKFGETAEKCLADYGVDRPSMGDVLWNLEYALQLQEAVIETDPEDNSTNMIGELSPQINNFNNIEAGVSATRFDIPGVDDLSGVSMSRVFSQLVKSEGR >KGN46199 pep chromosome:ASM407v2:6:4937899:4943424:-1 gene:Csa_6G074030 transcript:KGN46199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFGGFLDGGGGGGGGGGARILADLPYTNNSTTNANNNPTGGIGGGGNMSSSAIAPPRLITQSLTKSMFNSPGLSLALTNMDGGPGDLAARLPEGFEHNVGRRGREEEHESRSGSDNMDGGSGDDQDAADNPPRKKRYHRHTPQQIQELEAVFKECPHPDEKQRLELSRRLCLETRQVKFWFQNRRTQMKTQLERHENTLLRQENDKLRAENMSIRDAMRNPICSNCGGPAIIGEISLEEQQLRIENARLKDELDRVCALAGKFLGRPISSLANSIAPPLPSSSLELGVGSNGFGSLTMATSMPIGPDFGGGLSGNLAVVQAPARPTPGMGLDRSVERSMLLELALAAMDELVKMAQTDEPLWIGSLEGGREILNQEEYMRTFTPCIGMKPNGFVTEASRESGMVIINSLALVETLMDSNRWAEMFPCMIARTTTTDVISTGMGGTRNGALQLMHAELQVLSPLVPVREVNFLRFCKQHAEGVWAVVDVSVDAMRETPTGGGSSFGNCRRLPSGCVVQDMPNGYSKVTWVEHAEYDDSQVHQLYRPLLSSGMGFGAQRWVTTLQRQCECLAILMSSAVPIRDHTAITAGGRRSMLKLAQRMTANFCAGVCASTVHKWNKLNAGSVDEDVRVMTRKSVDDPGEPPGIVLSAATSVWLPVSPQRLFDFLRDERLRSEWDILSNGGPMQEMAHIAKGQDHGNCVSLLRASAMNANQSSMLILQETCIDAAGSLVVYAPVDIPAMHVVMNGGDSAYVALLPSGFAIVPDGAVTGGLTATNGSSPSGGEGPQSQRAAGGGSLLTVAFQILVNSLPTAKLTVESVETVNNLISCTVQKIKAALQCET >KGN46536 pep chromosome:ASM407v2:6:7112752:7112967:1 gene:Csa_6G107860 transcript:KGN46536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVGGDSWSLEGSLVLATGWCVRQICGANGWLPKFLYKADDLRDFSHWRPVSLDIRGGNLS >KGN47428 pep chromosome:ASM407v2:6:15057184:15057483:-1 gene:Csa_6G319740 transcript:KGN47428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELNYYFNRNRESWGTIVLLVLQYGYSRTRLALLSLACRIMSAMEATQTNFVTFIFLQCPISGGCFPASRQGSLILRQWELKSEQHYSLRSRNIHCEMR >KGN48814 pep chromosome:ASM407v2:6:25244662:25248257:-1 gene:Csa_6G502030 transcript:KGN48814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLGSVITNEVGLQLLLYPLNSNAVVRTACCSIGIVLPVYSTFKAIEKKDQNDQQKWLIYWAAYGSLSLVEAYSDKFLSWVPVYYHLKFAFLVWLQLPATSGAKKLYMNYLRPFLLKHQGKVDWILGYASDEMTNDHQGLDQPGQTRPEEAYKLRIETYKLRIETHTQTPT >KGN48435 pep chromosome:ASM407v2:6:22845492:22847492:1 gene:Csa_6G487560 transcript:KGN48435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMSFLEILLTFIPFLSVLLFLSVKWKYNEIPWNLPFFGMTLTILRNLHRIQDHFTHILRLSSFTFFFKGAWFADLDFYFTSDPSNIHHILSTNFERYPKGPDFKYIFEILGDGIFNSDSDVWKSLRKTAHSLVHDHKYVQFVEKITLKKVKAGLVPVLDSVCENGSVLDLQDLFQRFSFDSTCMFVTGFDLQSLSLEFPEVPFSKAMDEAEEVIFLRHFIPKKIWKFQNKLQIGPPTRLKQAWETIDETIGKLIASKRESLRNQMKEEGDEQREGVDLITSYITNDTNKDDKFLRDTVLNFMIAGRDTLSSALSWFFFCLSNNPTVVEKIREELKTAIPADESRDQWRIFSIDELKKLVYFHGAWCEALRLYPPVPFQHKVAMQHDTLPSGHHIKPKTKIVFSLYALGRMSEVWGKDCLEFKPERWISEKGSIKHVPSYKFLAFNAGPRTCLGKEVAFTEMKLVAAAMIHNYNITQQIGHKVVPNPSIILHMKHGFKVKVTKRWC >KGN47427 pep chromosome:ASM407v2:6:15054010:15056974:-1 gene:Csa_6G319730 transcript:KGN47427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIPHSFPCMTVVFRSFHYYISSSSWKWNLIGYVESCIGGPCCKVCAPLLLHAREGSWIQLLITSSRLILKQETPYPKKWMTGDSLRNSGPSSRMAVWIACAKLLLVFLPTKSSALIDSISQSVLKTTIKIETTHAI >KGN48876 pep chromosome:ASM407v2:6:25544796:25547511:1 gene:Csa_6G504570 transcript:KGN48876 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L7/L12 MRNLRILSPHFSRIRKTFAQNPSSDSPSTRHINFPGLETFASPSSVNHRNYTTASPESRPAPSERVSAIVDEISGLTLLEVADLTEVLREKLDVKEMPVMTMMMPGMGFGGFRGAGKGGPGAKGVEEKKEEKTAFDVKLDAFDAASKIKIIKEVRTFTNLGLKEAKDLVEKAPTLLKKGVTKEEAETIIAKMKEVGAKVSME >KGN47123 pep chromosome:ASM407v2:6:12264686:12268332:-1 gene:Csa_6G188160 transcript:KGN47123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIINSNSFILTTTPNTRRSFKSHRRQVAVFAKNSGSFSSFRLGKSSNESPSSDDAPVEDSGNSNPFRFSFGKVPDVKSLIPVVSEPSSGLSFGSSRRKDSNTVFVAGATGQAGIRLAQTLLREGFSVRAGVPELGAAQELARLAAKYKVISNEESKRLNAVESSFQDAEAIAKAIGNASKVVVTIGVGENGPTSEVTTSDALQVIQAAQLAGVSHVAVVYDGNASSSSTYNVLDGLSSFFNNLFSRSQPLSVVELLQKIVETDIGYTFIKTNLVEDFAPERAYNVVVQAEGSASSNDYKVAQSQIASLVAGVFSNTAVAENKVVEVYSSPSAPSSSVDQLFSVIPTDGRRQAYAEAKAKAKAEEEAIRIAENAREEAEATKKQEVEAAKSKRLSEKAATQPSSSSSSSSSSSSPPAEESDQIAFFNSFLNKAKDFSSEQSQKLKKLSEKEPQETEEESPDTAGNLVNNFFNKAKGFGSAQPWEKLSFQLQKPSEESNAQIATVRGQAKARALPPKKASIRQTQKTNSKPSFGLKQKENSKPKAAATAAAAAKEETKAEVRKVFGGLFKQETIYVDDE >KGN47516 pep chromosome:ASM407v2:6:15847693:15848484:1 gene:Csa_6G354870 transcript:KGN47516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCISKCKPKMMKQPPLFDFNNLVVQDKLVVIPQPLSPLLTTKTTSATPSLSLHNKISPYPPSPSPSSSSISSFTCLSSNTPSSTNTSFSTASSSPSPISSHHYFPSPYNQNPHLFPINSLKAHAFRPPVKPISPLLLRHPSPQRVSRSIPQKRPRPASPSPIRQKSFRKEVLQRPLSSPSPTRRFSREKCQVALAPINGVRPKSRSPVRDSAMKKEITCIHRISSKIDEVAVKEAVGDLDSVVAMEDIDNPLISLDCFIFL >KGN45678 pep chromosome:ASM407v2:6:474815:475124:1 gene:Csa_6G005170 transcript:KGN45678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSYLKSSGRKEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEESRHG >KGN47682 pep chromosome:ASM407v2:6:16946186:16950303:1 gene:Csa_6G376230 transcript:KGN47682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLTWKNKGNSKKRSLTTISTRSNLPFEVPGVVEEDDRANPQVKEVTAQPVDRSEDEASDRSLSDLKRLAESFQAQGNTLAEGGKFREALGKWETALTLMPENAVLHEQKAQVLLEVGEAWGALKAATRATDLDPSWAEAWITLGRAQLNFGEPDSAIESFDRALAIKPDSGDVQDDRQTAMRLIKRRKQLHSAGLSSSKNRYLVGEKLSDADGS >KGN48931 pep chromosome:ASM407v2:6:25877481:25884541:1 gene:Csa_6G507060 transcript:KGN48931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQANSCLPFSFLVLVLILFCVEVDCNFVNYDTGGGVVDGKLNVHLVAHSHDDVGWLKTVDQYYVGLNNSIQGACVQNVLDSVVDSLLHDSNRKFVFAEMAFFQRWWSQQNPTVQRRVRELVEDGQLEFINGGWCMHDEATSHYIDMIDQTTLGHSVIKEEFNKVPRAGWQIDPFGHSAVQAYLLSAEVGFESVHFARIDYQDREKRKVDKSLEVVWRGSKTFGSSSQIFTNAFPVHYSPPPGFHFEVDDDSNLIQDDPSLYDYNVEQRVNDFIEAALTQANITRTNHIMWTMGDDFQYQYAESWFRQMDRFIHYIKKDGRINALYSTPSIYTDAKHAANESWPLKTDDFFPYADRDHAYWTGFFTSRPAFKGYIRTLSGYYLAARQLEFLVGRKSGGPNTFSLGDALGIAQHHDAVTGTAKQHTTNDYMKRLAAGSSEAEAVVNSALICLGQRQLGDHCEQPKILSQCPLLNISFCPPTEQDIQEGNSLVMVVYNPLGWIRNDFVRIPVHDADLVVQDISGKTIETQFVAIDKVTNSVSEFYVKANLGLPRGQFPKYWLLFQVSVPQLGWNTYFISKGSGGGKRRSEFFPMDSQNDTIEVGPGHLKMSFSIASGQLKRMYNSKTGVDLPIQQSYLWYTSSTGFYSDQQNSGAYIFVPQGEPNIVSRSFPVEIIRGPLVDEVRQQFSPWVYQVVRLYKDKDHAEFEFTVGPIPVDDSVGKEVITRLTTNMVTNKTFYTDSNGRDFLKRVRDYRQDWNLSVNEPQAGNYYPLNLGIYTTDKKTELSVLVDRATGAASIKDGQLELMLHRRTLFDDSRGVGEPLDELVCANDQCQGLTVRGNYYVNINQLGSGARWRRKTGQEIYSPLLLAFAHDKRESWIASKVTKSTPMDPVYSLPLNVALITLQELNDGSVLLRLAHLYEAEEDPEYSKLAKVELKRMFLKKSIKEVKEMSLSANQLKSEMKKKVWKVEGDGKTEATPVRGGPIDQSALVVELGPMEIRTFLLKF >KGN46313 pep chromosome:ASM407v2:6:5576593:5576907:1 gene:Csa_6G082015 transcript:KGN46313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLLGGGQRRVVRRGVRRADWKLLAREGGRKTARRNVENGREREAAGRESRAGANAASVRQVRREDEVSGTVRGECCEKGDYRTRRGKIVGGRRLFGTKGIGKK >KGN47117 pep chromosome:ASM407v2:6:12219991:12224280:-1 gene:Csa_6G188100 transcript:KGN47117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGARCSRFGLCFWPSQIESNLNDLSCAENEDALPGFCEYSFDQLRAATSGFSSENIVSEHGEKAPNVVYRGKLEDDRVIAVKRFNKSAWPDSRQFLDEARAVGQLRNQRLANLIGCCCEGNEKLLVAEFMPNETLSRHLFHWETQPMKWAMRLRVALYLAQALEYCSSKGRALYHDLNAYRVLFDQDGNPRLSCFGLMKNSRDGKSYSTNLSFTPPEYMRTGRISSESVVYSFGTLLLDLLSGKHIPPSHALDLIRGKNFLMLMDSCLEGHFSNDDGTELVRLASRCLQYELRERPNVKSLVIALTALQKETEVPSYVLMGISHGNASSKQMSLSPFGEACSRTDLTAIHEILEKAGYKDDEGVANELSFQMWTSQIQETLNSKKRGDSAFRAKDFVTAIDCYTQFIDGGTMVSPTVFARRSLCYLMSDMPQEALGDAMQAQVVSPDWPTALYLQAAALFILGMENDAQETLRDGTSLEFKKERT >KGN48583 pep chromosome:ASM407v2:6:23826654:23827121:-1 gene:Csa_6G493910 transcript:KGN48583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKREADQSQGQNKEIRKSEREKERSEEIPLESSPYVNYEDLEDYKNQAYGTQGHLQPKPGRGGGGGPTDAPTLSGDAAATRR >KGN47832 pep chromosome:ASM407v2:6:18373502:18377499:-1 gene:Csa_6G405940 transcript:KGN47832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKWRKMKLALGLNLCVFVPRTLEDSPSLPDCDSTERFSDAALLSPAHWGSSRPSTPTPSSHGLIFSKSGSKSSKQTCSICLTKLKQGGGLAIFTAECSHSFHFHCVVSNVKYGNQICPVCRAQWKEIPVQGPNLDPSPGRASVGPAGWNQNNALMTVVRRLPPPRRDLSRRLIVPLCQAPEPGVFDDDESLGNQTICAESSCNKNSADGDSTKIIQMKTYPEISAAPKSKSYDDFTVLVHLKAAAASVTRQNCAGNQASLPQFSRAPRAPVDLVTVLDISGSMAGTKLALLKRAMGFVIQNLSSSDRLSVIAFSSTARRLFPLRRMTDTGRQQALQAVNSLVANGGTNIAEGLRKGAKIMEDRREKNAVSSIILLSDGQDTYTVSGSGVNQPQPNYQLLLPLSMHAKDESGFQIPVHSFGFGADHDASSMHSISEISGGTFSFIETEAVIQDAFAQCIGGLLSVVVQELQVAIECIHPKIHLGSLKAGSYPSRLMVGGRTGFIDVGDLYADEERDFLVSVSVPVEPSSNSTPLLKVRCVYRDPITKQTTTLESDEVRIERPEMGGEPGVISVEVDRQCNRLQAAEAMAQARIAAEQGDLSGAVAILEKCRMALSQTVSAKSHDRLCVALDAELKEMQERMASRHVYEASGRAYILSGLSSHSWQRATARGDSTDSSSLVQSYQTPSMLEMLTRSQATYLGSPSAQRLVQPLLSCRSQPKPR >KGN45671 pep chromosome:ASM407v2:6:438861:444444:-1 gene:Csa_6G004620 transcript:KGN45671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSDEFMNCIAFDDNSISDFSKRIFDMKSDRMKSGDVFPRRSKKFKDIEHCNTENGDVQIIDPPFVKETNVVQEKSKQEPMLGLLDWLKGIARNPCDPSISSLPEKSKWKSYGNEEIWKQVLVVREEMFLKRQVDSSSEQSFMQKNQKMHPCMYDDDTAPIYNLRKRLSLDKKDLSQEPVSKASDSSPTDSLDDYKPVPLGSDYQARVPEWNGVISKSDLKWLGTQDWPLKKGRNRYLVERDPIGRGRRDPCGCMDPNSVGCVQFHVSEKRHKLKLELGDAFLQWRFDKMGEEVTFAWTVDDEKKFEDIVSSNPPSLGISYWEDIIESFPSRSKADLVGYYYNVFLLRRRGHQNRVTPNEINSDEESESGTATNGFGNEVHNSSGSIFYSPKKPR >KGN46669 pep chromosome:ASM407v2:6:8300380:8306618:1 gene:Csa_6G120390 transcript:KGN46669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSSKSKETNQLCSISHCDSNSLLNEIARASLTPELNYIAKPAASWLDDFLVWLSPEAFGCCRKFTNGSYCPPDDQPPCCFPDDGFCDSSEGVCKDCTTCFHHSDLVGGRPTTVQFQEKLPWFLNSLPSADCAKGGHGAYTNSVNLKGYESGIIKASEFRSYHTPLNKQGDYVNALRAAKDFSSKISDSLKV >KGN48174 pep chromosome:ASM407v2:6:20902390:20902722:1 gene:Csa_6G446350 transcript:KGN48174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPNHGNETDTPTRFSSQSATQRRGEDSENCNWVEEEEDTEVGTAMDVEKCERLTEIRSMEAMEIPKEVLIWG >KGN47656 pep chromosome:ASM407v2:6:16766259:16768889:1 gene:Csa_6G366540 transcript:KGN47656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSISALYSIQEVSQHSSNDDCWIIIDGKVYDLTSYLDEHPGGDDVIVAATGRDATDDFEDAGHSKDARELMEKFYIGLLDTSSLDSLKLETNQVDGYATRVQTLTKQYWKAPVAVIGISVVLGWAYLRKK >KGN46130 pep chromosome:ASM407v2:6:4324730:4336739:1 gene:Csa_6G056480 transcript:KGN46130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKAKGLRKAVVPSILIDNPSPGNIQPTRLALHVSPDGSSCWVFIASGSRVFKLQISMDESSVLEGKDSLLIPVQTKVLDSLLLNRCPHRSEIQSLVLAEVDSSSDQLLGTVDSYGHLIVSKLDATGKDADRFTYSVLPRDSGLGEGSWAGLCFSPSELSTAAVAHSFGKTVDVYDQDVHVRTLRTLLYPTALTFIQNPSFGNGSSVLAVTEGCQLTIWDLRMKEKGGCLQRICGSIGDNFYAVCTSSNGNIAVGGADRTVTIYDPRRWSALSRWVHCSKYEITGLSFSSIDSDCIYVQGVDYEAFCGQWKERNKLFSLRGDSNWLGFSKSSRRDVLGGWCDSGSIFLTDVTRDSEEDTSNGFVNGLS >KGN46224 pep chromosome:ASM407v2:6:5080131:5086290:-1 gene:Csa_6G076730 transcript:KGN46224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDAGGDGHSPFPPPSNGGEFLLSLLQRPPNRQSHLNLNSLPHLHPSSSIDPAVAAVGPSLTSLPTPWPSSGSDLLYPIPLSPWSHSHQSLSTPIAPNYVGFQHLQQNPFPLPRSQFGGAQFAASQTSGDQIQGGFGGVDDFKRLGFPGNHDRANGTVTHNFSQHNQLENKLQFGSFSPSLFPRILINGNSSTAKDLNREVGFRESIPNGLNRNQGLDSHGNSNFTSYGNSNPNANVHSFGRGECDYSDQERGRVLGENYNFHPQVKASEVSGFMSNPTGGGHLDFGNIRKRDFEHGGNRERPRSSQFGEGSRRLELGAQLRDPVRPSRSDLQSALALNIEERVLNLDSEIDEGRHRDSYQGHDSQELDNIGEQLADSLLLEDEPDEKSDSKFIRREKDCRGNRLLTHRERIARKHIHCRGDIDMLTIPLLRIYESLIPPEEEKEKQRQLLISLEKLVVNEWPHAHLFLFGSCANSFGVSNSDVDVCLVLRDADIDKSEILLKLAEILQSANFQNVQALTRARVPIIKLKDPVTGVSCDICINNVLAVVNTKLLRDYAQIDVRLPQLAFIVKHWAKSRGVNETYQGTLSSYAYVLMCIHFLQHRDPPILPCLQETKIVTYHKIVDNIECAYFDQVEKLKTFGSDNKESVARLVWGFFHYWAYCHDYANTVVSVRTKNTVSKRAKDWTRRIGKDRHLICIEDPFETSHDLGRVVDKYSIKVLREEFERAATILQTYPNPCEKLFEPFVPS >KGN47045 pep chromosome:ASM407v2:6:11728358:11738622:1 gene:Csa_6G181500 transcript:KGN47045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSNLLMLQLLTFLQVLCGNGGEKLLLLAEGLLKKHIASHSLHEPEAIMVYISILEQQAKYGDALEVLTGKLGSLLTVEVDRLRIQGRLLARAGDFADAANIFQKILELRPDDWEGFLHYLGCLLEDDSNWCTEQSVDSIHPLRKVLCKISPLADELFDSRISIASAFIQRLQEDSNNKLLRGPFLANLEIERRKHMHGKGDDEKFLGVLTDYYVRFGHLACFPSDVGMFLEVLAPDKKTELLEKLKDITPSTSTISTKALGQSITLLKLQVLSGNMFHLPVSELERCVVQMAQIYCENLPLSKDLDPQESMHGEELLSLICNLLVELFWRTQKCGYIIEAILVLEWGLTIRRSVWQYKILLLHLYSYLGALSPAFEWYKLLDVKNILVETVSHHMLPQMLVSPLWVDLSNLLKDYLKFMDDHFRESAELTFVAYRHRNYSKVIEFVQFKERLQHSSQYLVARVEEEVLQLKQHAHSLEEEEVTLENLKSGIPLAELSKEIPSKPLKFNEDFESRPWWTPTSEKNYLLGPYEGIFYCPKENLNQNLEVGVRRNVERRSLLPRLLYLSIQSVSTSIKENFEISQSDLKISTELKLLLESYAKKLDSTFEDAVELVTAVSNGLSSYKDFGHNLVDWFSFAVFLSAWNFCSAELGGKDADGYQSRTWHIVDSLMKKYISEAVASLESVIFSPYDNSMRTLVQVVSEPLAWHGLILQACVRSSLPSGKRKKKTGSAAELFSSPIFVAVRDSTQSLCTTLEVLLEWLKGLVNQSEESKLEAILSSIRNNGKNDGPGQVFHTLENLTSSMSSTEVGHRITEALKSWNTVDVARKLVTGKHVMLNEFIKICESKFKSLQKLKQQISQV >KGN45793 pep chromosome:ASM407v2:6:1269525:1271330:1 gene:Csa_6G011690 transcript:KGN45793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPTVVGFHATEDKREDAAVINSSTSACASSHKDSPTDLNSRNFMDLPKVSLLRSNTFPLSGKASNENFLSKLQEGTPKIENLELRSRNSPFSLSISSVDDENRTSSPSAGSVTTDLGLGIVSLPTSYKLKKPLNPKSADFPSDLSGCCSTNVDLVNGRVCNGFTPSSSCSSSPEQRGQVNAMDVKSLFRLLKERVFWQDQAVSIISQTISQRQRHGSNLRGDIWFNFVGPDKFGKKRVGIAVAEIMYGNKDQFICVDLSSQDGMVNPNTPRVRSYSAEFRGKTVLDFVAAELRKQPLSIVMLENVDKAELLDQNRLSQAIQTGKLSDLQGREVSIKNAIFMTTTTSLITEHQITFPNKQMLKYSEKRLLKAKSWPLRIQVASSFGDQTNRSKTVSDTERKSTPNPFFMSKRKLNVIDGSSDHHEISEMVKRSNKTPTSNKFPDLNRPAEENPQHDIDGDWTDNDSTSEISKTWLQEFCNHIDQVVVFKPFDFDGLAEKIQKDVKKIFHSVFGPEYMLEIDSMVMEQLLAAAYISYGNKDVDDWMEQVLSRKFLEVKRTHILSSYSIIELTTCDQELSLEEKTAEVCLPQRIIFDPKSCSS >KGN47322 pep chromosome:ASM407v2:6:14181507:14186508:1 gene:Csa_6G296460 transcript:KGN47322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRLINQMGLPRSIANIFAARNINTAKEALSLTEFELMELLDVGLSEVASAVAHISEIASPPYQTALSLMEQRLQNEHLAGHLSTCLKGLDEALFGGIPFGVLTELVGPAGIGKTQFCLKLSFLAALPPSYGGLDGRVIYIDVESKFSSKRMIEIGMRSFPDVFNKKDMAQEMAGRILVLRPASLSEFTESLHKIKVSLLEQEVKLVIIDSMAALITGEYELGAPKQHSLGWHISFIKSIAEFARIPVVVTNQVRSRNRKEVSHYSFQGWSRSECQEYSSGYGSHIVAALGVHWAHSVTIRLVLEAKSGQRFIKLAKSPMSPPLAFPFTITASGISLLSNNGEELSGAEINEIHCQGHSDIISNHLGKHQ >KGN48714 pep chromosome:ASM407v2:6:24661209:24662657:1 gene:Csa_6G499090 transcript:KGN48714 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial dicarboxylate carrier protein MGVKGFVEGGIASIVAGCSTHPLDLIKVRMQLQGETPAPTAAVHNLRPALAFQTTSVTAPKSINIPPPPPPPARVGPIAVGVRIVQQEGVAALFSGVSATVLRQTLYSTTRMGLYDILKQKWTDQDTGKMPLIRKISAGLIAGAVGAAVGNPADVAMVRMQADGRLPLAQRRNYKSVVDAITQMARGEGVTSLWRGSSLTVNRAMLVTASQLASYDQIKETILQKGLMKDGLGTHVTASFAAGFVASVASNPVDVIKTRVMNMKVEAGAAPPYSGALDCALKTVRAEGPMALYKGFIPTISRQGPFTVVLFVTLEQVRKVLKDF >KGN47624 pep chromosome:ASM407v2:6:16558802:16561921:-1 gene:Csa_6G365730 transcript:KGN47624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFAKAQKTKAYFKRYQVKFKRRREGKTDYRARIRLINQDKNKYNTPKYRFVIRITNKDITAQIVSSSIAGDMILAAAYSHELPRYGLEVGLTNYAAAYCTGLLVARRVLKKLEMDDEYEGNLEATGEDYSVEPTDSRRPFRALLDVGLVRTTTGNRVFGALKGALDGGLDVPHSVKRFAGFSKGNNELNADVHRKYIFGGHVAAYMRTLMEDEPEKYQTQFSDYIKKGIEPDNIEELLKKVHSAIRAEPLVKTTEKQPPKTHKRYNLKKLTYEQRKAKLVERLNALNSAAGVDDDEDDDE >KGN47867 pep chromosome:ASM407v2:6:18735769:18736399:-1 gene:Csa_6G408260 transcript:KGN47867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKENVDGNGSLQSNLSTSIHKGAKKKLRKKDSSVATISHSRTLPTSSRNLTSSSTSDSNTSLPISNQSNNEVHIAFHSSKENLNNNLLKPPSQITSKVDISHKLPAVISLHQHMKKNKCNSSKVSQRSSNLFKSFHKHFARKKTSFYNSWTKITNPDVLEASCVKIQVPGLEQNRSASLPLLNKPISQSGCNSQESP >KGN48830 pep chromosome:ASM407v2:6:25329589:25332256:-1 gene:Csa_6G502680 transcript:KGN48830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLSIIRKIKRKEKEMRILMVGLDNSGKTTIVLKINGEDTSVISPTLGFNIKTIIYHKYTLNIWDVGGQKTIRSCWRNYFEQRDGLVWVVDSSDLRRLDDCKSELDNLLKEERLSGASLLILANKQDIKGALSPEEIAKVLNLEAMDKTRHWKIVGCSAYTGEGLLEGFDWLVQDVASRIYVLD >KGN49423 pep chromosome:ASM407v2:6:28229367:28237332:1 gene:Csa_6G524610 transcript:KGN49423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFYRGLSRISRISRNSSCCRWFLSHRSDTRPVLIPNVPSLHPSESDRQALGCREIMYLASPAFHGLGRHIHHQSSSVVEEQLDPFSLVADELSLLANRLRAMVVAEVPKLASAAEYFFKLGVEGKRFRPTVLLLMATALNMPISKPLPHLVEDNLTMDLRTRQQCVAEITEMIHVASLLHDDVLDDADTRRGIGSLNFVMGNKISVLAGDFLLSRACVALASLKNTEVVSLIAQVVEHLVTGETMQMTTTSDQRYSMEYYMQKTYYKTASLISNSCKSVALLAGQTAEVAMLAYEYGKNLGLAYQLIDDVLDFTGTSASLGKGSLSDIHHGIITAPLLFAMEEFPQLRTVVERGFDNTENVDIAMEYLGKSHGIQRARELAAMHANLAAEAIDSLPENNDEDVRKSRRALVDLTQRVITRTK >KGN48375 pep chromosome:ASM407v2:6:22380654:22386600:1 gene:Csa_6G484530 transcript:KGN48375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAKWSRVTRHLSRIGLESNFKFSTDLHVCPHRSYAKVAAAAAPNIGHSEKGVSGGHVVHLDKMFLSKPCSLALPKDSPLRMDEPQYEGIKRLFLRMMLFYSKQSKHIRAANVIYQRVVSQVDKPAIYDVFNLEKTFKTTFSLLVLHMWLCLRRLKEEGKEGVERGQYVYELYNHDVELRVSKAGVNLLLIRWMKDLEKIFYGNIVAYDSAILPEAGKDELPNVIWKNIYSDDGSSMPNGAPALRAVQAMARYTRREVSCLSLTDKDAIFSGNFMFTSLETGKPSTKDGR >KGN48967 pep chromosome:ASM407v2:6:26039580:26042456:1 gene:Csa_6G507400 transcript:KGN48967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFLCWSRPSPQEQKACIERAGSFNYNSKFRGATANPSSCLQEDKGGISQEGFLLNHARILVGSGVGTYEKGKKALQNWRHFGLNWAFVDSSTPVHPGVKFCVCAKEFLPWVVLPLQIVYVNENRDTNKGRTCFSFGSGTLQGHLLAGEERFSIEMDSNSQVWYEILSFSKPAHILSFLSYPYIILRQKYFAHQSTNAVKKYLTPTHS >KGN46842 pep chromosome:ASM407v2:6:10069072:10072935:-1 gene:Csa_6G141390 transcript:KGN46842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEAEKILDPQLWHACAGGMVQMPAINSKVFYFPQGHAEHAQATVDFTSSLRIPPLIPCRVLAVKFLADLETDEVFANVRMVPLPNSDLNFEEEGGFGSSGSENNMEKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYTADPPVQTVIAKDVHGEVWKFRHIYRGTPRRHLLTTGWSTFVNQKKLVAGDSIVFLRSKNGDLCVGIRRAKRAIGCASDHPYGWNPGGGNCIPPYGGLTMFLRDDDNKLSRKGSLSSSGSGGNLRGKGKVRPESVMEAAALAASGQPFEVVYYPRASTPEFCVKASSVRAAMRIQWCSGMRFKMPFETEDSSRISWFMGTISSVQVADPIRWPNSPWRLLQVTWDEPDLLQNVKRVSPWLVELVSNMPVIQLSPFSPPRKKFRLPQHPDFPLDSQFPLSSSFSSNTLRPSSPMCCLSDNTSVGIQGARHTQFGISLSDFHLNNKLQLGLVPSSFQQIDFHSRISNRSVTDHRDSSSHNSSVLQNGEKTGPKLERSDSVKKHQFLLFGQPILTEQQITCSSSSDIRSPPTEKSSSDVNLERVKFLSHGSGSTFKQQVSPNKSPGVGFPWYQGYQATELGLDIGHCKVFMESEDVGRTLNLSVISSYEELYRRLANMFGMEKPDILSHVLYQDATGAVKQAGDKPFSDFIKTARRLTILTDSGSDKLGRTLMDGMRSGENGLDASNKTGPLSIFA >KGN47715 pep chromosome:ASM407v2:6:17361797:17366968:1 gene:Csa_6G383520 transcript:KGN47715 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein disulfide-isomerase MASSSFIRFFVVASLLFSASFFAIYAEDAASKEFVLTLDNSNFSDVVSKHDFIVVEFYAPWCGHCKSLAPEYEKAASVLSSHDPPITLAKVDANEESNRELATQFEIRGFPTIKILRNGGKSSQDYKGPRDADGIVNYLKKQSGPASAEIKSAEDASNLIKDVYIVGIFPKLSGDEFNNFKALAEKLRTDYDFGHTLDAKLLPRGETSVSGPVVRLFKPFDEQFVDFKDFDPAKLEKFIESSSIPTVTEFNNDPSNHVYLSKFFSSSNDKAMFFLNYTTEAADSLKSKYREVAEQYKGEISFLIGDSESSQAALNYFGLKEDQVPVLLVQKDDRFKYVKFNVEADQIAPWVKDYKNGKVPQFIKSEPIPESNNEPVKVVVADSIQDVVYKSGKNVLLEFYSPWCGHCKKLAPTLDEVAVSYESDPDVVIAKFDATANDIAVGDFEVQGYPTLYFRSASGKLVEYNGDRSKEDIINFIETNRDKTAEDTKPKDTESKPKESKQDSEAKDEL >KGN48612 pep chromosome:ASM407v2:6:24028010:24029612:-1 gene:Csa_6G495660 transcript:KGN48612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPHSPSFGLFPVASLSSPTPWTRHQDNLFEHALVLVPDNSPDRWIKIAALVPGKSAADVRYHYDVLVSDVLDIDSGRVELPNYADDLTVAKSSERERSPPSPRPVSEKTSTTERKKGKPWTKKEHQLFLLGLKKFGKGDWRSISRNAVITRTPTQVASHAQKYFLRQESAKKDRKRSSIHDITTVEGSLVTTSTTAIGQSQPSILSVTQPLSSSFPLHMRHQFASSGYFPYRRSLLDY >KGN46608 pep chromosome:ASM407v2:6:7742701:7742994:1 gene:Csa_6G112460 transcript:KGN46608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEIDEGDEEYRKWSALVLKTTPCFCKIVAKSAEQSSCALPSASCVPPLAFDVIRIFKCINIH >KGN49493 pep chromosome:ASM407v2:6:28664351:28665217:1 gene:Csa_6G526270 transcript:KGN49493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTFLRLFFNSLSFSPSPPPFLLPSIFHLPLFIPPLFSTSSSFLLNSLHLFSSGILSQRADFHTHRPS >KGN46530 pep chromosome:ASM407v2:6:7071049:7072941:-1 gene:Csa_6G106820 transcript:KGN46530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLNVNLMLVGRTGDGKSASGNTILGRKAFKSRLSSSTVTKVSELQNGVWEGGQIISVIDTPGVFDLSIGVDYAAREIVRCIDMTKEGIHAIVIVFSVRNRFSREEESILRTLQTLFGTKIMDYTILLFTGGDDLEEDDNALEYYLTHDSPVSLKDIVASCKNRCVLFDNKTECESKKCEQMGKLMEMVNEVRKVNGGQPYMHDLCSSMTVETKLKEVKTKLEKQLQEDEKEARIIGEKRGEENVKEKNRNLENQLAKAREERVNAENRTQEIQRQYNDEIRRLSHQLQSALQ >KGN46026 pep chromosome:ASM407v2:6:3575547:3579183:1 gene:Csa_6G045050 transcript:KGN46026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELDGHHRNKMKMKILMLPWLAHGHVSPFLELSKLLATKNFHIFFCSTSIILHSIRSKLPQKLLSSSNIQLVELTLPTSADLPRWRHTTAGLPSHLMFSLKRAFDSAASAFDGILQNLKPDLVIYDFLQPWAPAVALSANIPAVMFQCTGALMAAMVTNMLKFPNSDFLSTFPEIHLSEFEIKQLKNLFKSSVNDAKDKQRIEECYKRSCGILLLKSLREIEAKYIDFVSTSLQIKAIPVGPLVEEQEEDIVVLAESFEKWLNKKEKRSCILVSFGSEFYLSKGDMEEIAHGLELSHVNFIWVVRFPGSGEQGERKKKKNVVEEELPKGFLERVGERGMVVEEWVPQVQILKHRSTGGFLSHCGWSSVLESIKSGVPIIAAPMQLDQPLNARLVEHLGVGVVVERSDGGRLCRREVARAVREVVAEESGKRVREKVKEVAKIMKEKGDEGEMEVVVEEITKLCRRKRKGLQSNWCRTSMDSHCCEVMED >KGN48940 pep chromosome:ASM407v2:6:25923130:25926054:1 gene:Csa_6G507150 transcript:KGN48940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSWDSLGDVAGVAQLTGINAVQLISMIVKAANTARMHKKNCKQFAQHLKLIGNLLDQLKISEMKKYPETREPLEQLEDALRKSYILINSCQDRSYLYLLAMGWNVVYQFRKAQSEIDRYLRLVPLINLVDNARVRERLDDIEKHQCEYTFEEDDRRIQDVILKPESIKNDASTILKKTLSRSYPKLGLHDALQKENEKLQLELQISQSNMDVGQCQIIERLFDITEALSANYFIEKDLQRGIPTQHDYNYSDTNGETTHAYVGNFHKNRDGIMTRKGSSVSSRHDLLSSNCQHEEWHADLFGCCSQPYLCMKTFFCPCWTLSKVASVATNRHVCK >KGN45602 pep chromosome:ASM407v2:6:7446:8279:1 gene:Csa_6G000020 transcript:KGN45602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASRLNQLRNQLLAAPVNSNTPATLLLDTIIFLLQPELPWVCKIVGYFLERNAYALIRDITLTGKESADSHSKSSLASLEHLLSLLSSHVGKKPCCCPRVDPNWSFSSQILTLPLLWRTFTFHTST >KGN48078 pep chromosome:ASM407v2:6:20290476:20293314:1 gene:Csa_6G429080 transcript:KGN48078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSRDKRAKAGSSRDSTDMETQMKMRNIKKEIEFLTSSHMSWKDKKEIESRKIVSLGGKPQKKQRLPLSVARPIMKKQKEREQKMVQEHSSVRQFGGMASSSNSRKSSGKRRPEEQVLKSSEGFFKHGVLDVKHLLRPSSSRNNGHSRNSDPFRNTDFGNEMGGLGRRKGGKKKNNKSKKKGGGKKRH >KGN48620 pep chromosome:ASM407v2:6:24069291:24069944:-1 gene:Csa_6G495740 transcript:KGN48620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPTTRTWVFPKSSYREITCFDFESVGVGRGYRKNLRRWTTIKGPMCCESGKRKLNMGLSAGKVCNREEVKTTAEGPEIETKNDAYYTKVTEEDRRFIEEFREAYPYIFSHIGRTFVVVISAEIVASPRLHALLKVSLSLVSVIKIASF >KGN47363 pep chromosome:ASM407v2:6:14586765:14587651:-1 gene:Csa_6G303780 transcript:KGN47363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKEEGRIKNEEEKILLCLIVLSRVRSDAIASVQKSTRRSPADQTNPDLTNPDDCASAFARISSQLRFRPITRSDAFVPVQRSTRWSPADRTNPDPTNPAPISFDNQLRSRPIHSQLRFTSVFIRVRSQI >KGN46143 pep chromosome:ASM407v2:6:4435553:4436045:1 gene:Csa_6G057090 transcript:KGN46143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAYGCCSKEWQGKCNFALNYTNSSSSNLCFLSNNPWSGRANSSASSSSILSELQIVEICTDMLEITWDDEEMCLKDQYTQEGKTTSESEMLQLL >KGN48050 pep chromosome:ASM407v2:6:20030373:20034057:1 gene:Csa_6G426360 transcript:KGN48050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYFHGGSEIQNNSDGIHTLYLMNPNYVPYSDTHSQSSHNMLFLNPSSTHALNPSTLPHPPPSNNHFVGIPLPTTDPLRPSYHEISTTLHPHRLHYNLWAPVDQQHQHHQQPLHADSADLTFRRPTAQQSLSLSLSSQQSLYRTLSAEQEIQGGGGGGGGGGGAPSGEEIRVSGNSGTSVSVVSSGITGVQSVILGSKYLKAAQELLDEVVHVGKANFKTDKFGDGTKDKMKMKRESTTTIGGGSSATTGGGETTSKSVAELSTAQRQDLQMKKAKLIGMLDEVEQKYKQYHQQIRGVVSCFEQAAGLGSAKSYASLALETISKQFRCLKDAICGQIKATGKSLGEDQENWLGSSKMEGSSTTSSSRLRYVDHHLRQQRALQQLGMIQHNTWRPQRGLPERAVSVLRAWLFEHFLHPYPKDSDKIILAKQTGLTRSQVSNWFINARVRLWKPMVEEMYLEEIKEQEQNGDSQDMIRGGGSQHQNNNNNNRTHNDPQYSKTENLMNNNPSHSSISSSSILGIGSTTVGGGFSLVPPSSDNNILLSTPKKPRTTTTTAAITTTNNNNNVVSENPSSESMLLRDIDIVNSNSFPVGEIGSTFNSELLTPRFHANGVSLTLALPHNNSDHLSLSPNQTNYHHLSSNQNLHLGRSSRLDITNHHPGPPDFSDVNPTAPPSYDHVDMQTTKRFAAQLLPDFVA >KGN48881 pep chromosome:ASM407v2:6:25569235:25575189:1 gene:Csa_6G504610 transcript:KGN48881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNSVSKLSMLVLGLFWLLGVQFVQCSVTYDRKAILINGQRRVLFSGSIHYPRSTPEMWEGLIQKAKEGGLDVVETYVFWNVHEPSPGNYNFEGRYDLVRFIKTIQKAGLYANLRIGPYVCAEWNFGGFPVWLKYVPGISFRTDNEPFKRAMQGFTEKIVGLMKSENLFESQGGPIILSQINTCNGFYCDAFSPNRPYKPTMWTEAWSGWFNEFGGPIHQRPVQDLAFAVALFIQKGGSFINYYMYHGGTNFGRTAGGPFITTSYDYDAPIDEYGLIRQPKYGHLKELHRAVKMCEKALVSADPIVTSLGSSQQAYVYTSESGNCAAFLSNYDTDSAARVMFNNMHYNLPPWSISILPDCRNVVFNTAKVGVQTSQLEMLPTNSPMLLWESYNEDVSAEDDSTTMTASGLLEQINVTKDTSDYLWYITSVDIGSTESFLHGGELPTLIVQSTGHAVHIFINGRLSGSAFGSRENRRFTYTGKVNFRAGRNTIALLSVAVGLPNVGGHFETWNTGILGPVALHGLDQGKLDLSWAKWTYKVGLKGEAMNLVSPNGISSVEWMEGSLAAQAPQPLTWHKCQQGCGQPTQRWYHVPRAWLKPKDNLLVVFEELGGNPTSISLVKRSVTGVCADVSEYHPTLKNWHIESYGKSEDLHRPKVHLKCSAGYSITSIKFASFGTPLGTCGSYQQGTCHAPMSYDILEKRCIGKQRCAVTISNTNFGQDPCPNVLKRLSVEVVCAPATTAAEPNWRG >KGN46318 pep chromosome:ASM407v2:6:5605779:5607184:1 gene:Csa_6G083530 transcript:KGN46318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLPKYAGFQNHAERKYLEYVRDGFLKGKLKYSSDQALTPFTKFEIVPDKDGKYWHIKCCYNGKYLASTNDGNRFVAPFVSKPSENESSWPCTLFNIIPGPTIGTYYLFDVMIYTYACRCTDRRVHHDVLTTIYNLEEARDSDKLILLVDFENVIRLPKYVAFKNNDKLLASFSYNNSMYLQFNTDDIGNPWAAHEVFNVGDGTIRIKNDYTKKFWRRDPNWILADSNDQTKNNKNTLFWPVKIAKNKIALRNVANGMICRRYSADSKVDCLNARADSIIKDAELEIVELVISREIYNIRYRTMDAKIYDEQVLTMATEEAINSSSKETVMAVSLKYLEEKTRSWESSLTIGAVIEASIKAGIPEILESEIKVTYSFEGNYTWGETLQETREVTSTYTVTVPPNKKMKVSLLATKAKCDIPFSYTQRDLLQNGKKVEIDCDDGLYTGVYTIKFDYQNKTLPL >KGN48546 pep chromosome:ASM407v2:6:23592164:23592533:1 gene:Csa_6G491090 transcript:KGN48546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRGVNLSTTGWKLCVEFQSGVKQSKTTPKHFVEHLGPLPFHFGIISPSSPLCVCCVLCVFVRQTKDSDKDITPKLFILKLKAILL >KGN47785 pep chromosome:ASM407v2:6:18043106:18051277:-1 gene:Csa_6G401550 transcript:KGN47785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSHADLDRQIEHLMECKPLSEAEVKTLCDQARTILVEEWNVQPVKCPVTVCGDIHGQFYDLVELFRIGGNAPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYRDRITILRGNHESRQITQVYGFYDECLRKYGNANVWKHFTDLFDYLPLTALIESQVFCLHGGLSPSLDTLDNIRALDRIQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDIATQFNHTNGLTLISRAHQLVMEGYNWCQDKNVVTVFSAPNYCYRCGNMAAILEIGENMDQNFLQFDPAPRQIEPDTTRKTPDYFL >KGN49353 pep chromosome:ASM407v2:6:27842408:27843938:1 gene:Csa_6G521000 transcript:KGN49353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLSSKLLLRDPLPVPCVQELVKSSLSTVPLRYVRPDQDPPFEFTDASAEVPVINHGVSDVLIENVKSGIQSLFNLPMVEKRKLWQRPGDVEGFGQSFVVSEEQKLNWGDLFGIFLLPTYLRKPHLFPNLPLPFRDDLDAYTLEMKNLGMKLFDLMAKALEMDSSEMRELYEEGVLSTRMNYYPPCPQPELVMGLNNHSDASAITILLQVNEMEGLQIRKDGRWTPVKPLPNAFVVNIGDILEIITNGIYRSIEHRATVNSTKERLSVAMFFTPRLDGEIGPAPSLVTSERPALFKRIGVADFLNEFFKRELNGRSYLDVMRIQEE >KGN45601 pep chromosome:ASM407v2:6:5267:7426:1 gene:Csa_6G000010 transcript:KGN45601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFTGDSSTRKRVDLGGRSSKERDRQKLLEQTRLERNRRLSLRQQNFAALKIQKCFRGRKASEAARFSVREQFHDTYGKHCEKVDRHCFGPDSEFFHQLLFFYNAKHIDDFMVLVEACRLLRKFVQENGDMLSIFAGKDYLLNKALVD >KGN47769 pep chromosome:ASM407v2:6:17903741:17904067:1 gene:Csa_6G401395 transcript:KGN47769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPLATIFNNSTALVFPFSLPFTATSAISLKIPDPKSFTSSAALSPPHLAKFNNADTAFSFVLKLEPVPESNKSTKTPNPETLRNANSLSSHPATCATTADASSTIPA >KGN46810 pep chromosome:ASM407v2:6:9706526:9710796:1 gene:Csa_6G138120 transcript:KGN46810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQSSGPDFNLPDEILAVIPTDPYDQLDLARKITSMAIASRVSNLEAEMIRMKQKLKEKEKTIYDLQEKMSHLEHANQEAESRLKIALDDNTRLSRERDSLSMTSKKLGRDLAKLETFKRQLMQSLSDESSQTETVDIGTCDQAVPKAYTDKDEVTNGHATHSFGGSTETRSTIVEGKHIGQRFSSPYITPRLTPSATPKIISTSVSPRGYSTVASPQIMSGSTSPTKHSYDGRIALSPWYPSSQQSSAASSPPRSRQLSGRPARVDGKEFFRLARSRLSLEQFSAFLSNIKELNAHKQTREETLRKAEEIFGTDNKDLFLSFQGLLNRNVH >KGN48084 pep chromosome:ASM407v2:6:20315996:20319919:-1 gene:Csa_6G429140 transcript:KGN48084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLPLQSHSNSFMNSLPSSKLKLKLHHFRFLCTSSFSSICSSEISTISSSSVRKDSSGKAKLAIAHAQLKDNWLASLSCPFPLGHDYSSNSSSPDRNAASECVIGVDPDVSGAVALLRTDESISSAQVYDSPHVQILVGGRKRKRLDAKSIVQLLHSFNAPIGTTAYLEQSNPFPKDGKQGWWGGGFGYGLWIGVLVGLGFSVVPVPPLAWKNKFELSGKDTSKDDSRRIASELFPSLTPLLKRKKDHGRADALLIAAYGKGLKLNSKTPLT >KGN45718 pep chromosome:ASM407v2:6:744684:748539:1 gene:Csa_6G008010 transcript:KGN45718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVFSGKQVFPLNFEAEVSQRLIEASHSGDLKSALDYIANPCVDVNFIGAVHLKNRKTEVVFTDDESASQVRVEYDEFKTDVTALFVAVHTGNVALVKKLLSVGADVNQKLFRGFATTAAVRESHIEILEILLKAGASQPACEEALLESSCHGHARSAELLMGSDLIRPHVAVHALVTACCRGFIDVVDTLLKCGVDANATDRVLLQSSKPSLHTNVNCTALVAAVVSRKISIVRFLLQAGAQTDISVRLGAWSWDMDTGEEFRVGAGLADPYSVTWCAVEYFERSGAILHMLLRHMSPNALHYGRTLIHHAILCGNAGAVAVLSKCGADVECPVKTTGKTEFRPLHMAARLGNAAVLQCLVDAGCDLNSRTDAEDTALMICAKHKYEECLKVLGAAGADFGLVNVAGQSVSSIAGSNQWIFGFQQTVIDLIKTGKRPISSNMSIFCPLILAAQTGDTEALKALIGWGGCDLDYQDDQGFTAVMFAASNGHTEAFRLLVYAGADVRLSNKSGETAITLYQLHPNHDQFEKVMLEFALDMGNRNAAGFYALHCAARRGDLDAVKFLTNKGYDVNATDSDGYTPLMLAARGGHGSMCKLLISLGARADTRSTRGETALSLARKNEKSEAEEVILDELARGLVLHGARVKKHTRGGKGSPHGKELRMIGSMGMLRWGKSSRRNVVCREVEVGSSARFVKNRVKKGDGGEAGLFRVMTVKNKEVHFVCEGGCEMAELWVRGIRLVTREALIVERKVI >KGN47835 pep chromosome:ASM407v2:6:18404355:18407843:1 gene:Csa_6G405970 transcript:KGN47835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYEKIILGMGNPLLDISAVVDNAFLQNYDIKLNNAILAEEKHLPMYEELAAKDNVEYIAGGATQNSIKVAQWMLQIPGATSYIGSIGKDKFGEEMKKNSKLAGVNVQYYEDETTPTGTCAVCVVGGERSLVANLSAANCYKTEHLKRPENWALVEQAKYYYIAGFFLTVSPESIQLVAAHAAANNKVFSMNLSAPFICEFFRDVQEKALPYMDYVFGNETEARTFSKVHGWETDNVEEIAIKISQWPKASGTRKRITVITQGADPVVVAEDGKAKLFPVILLPKEKLVDTNGAGDAFVGGFLSQLVQEKPIEDCVKAGCYASNVIIQRSGCTYPEKPNFN >KGN47889 pep chromosome:ASM407v2:6:18881625:18882340:1 gene:Csa_6G409950 transcript:KGN47889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGSSLKISVAFLAFFALSIMVVSIPNTGVRSVLCNSGTFTGGDPFTVSLDYVLKELQSATPTVKNYDFYNISPYPNAFAYGHASCNQNLSTSDCTTCLEAAKNNMLGSCQMRIGGRSVLNDCTIRYEQYPFDD >KGN47988 pep chromosome:ASM407v2:6:19556260:19561480:1 gene:Csa_6G422810 transcript:KGN47988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSTLQPPRCSLSRFTLHLPSKPSFFHSKQTPLSTPHSTLTDQLPTSNSSQPLQSQILSIRHSLLSRQITATQLADSYLNRLRALEPHLKSFLHVSETVRSDAREIDDKILRNEELGPLAGVLVAVKDNICTAGMPSTAGSRILDGYRPPFDATAVKRIKELGGIVIGKTNLDEFGMGSSTEASAFQVTANPWDLSRVPGGSSGGSASAVSARQCVVSLGSDTGGSVRQPASFCGVVGLKPTYGRVSRFGLMAYASSLDVIGCLSTTVADAGILLHSISGHDTLDATSSKREVSDFTSQFSAVDSFESKPLRGLRIGLIRETLDKGVDGQVNSAIRAAASHLEELGCSINEVSLPSFSLGLPAYYILASSESSSNLARYDGVRYGNQAIADELTGLYENSRATGFGSEVKMRILMGTYALSAGYYDAYYKRAQQVRTIIQKSFRAALDEYDILISPTAPSAAYKIGEKVDDPLAMYAGDIMTVNVNLAGLPALVLPCGFVQDGSSNLPVGLQMIGAAFDEGKLLKVGHIFEQTLADCRFVPPLLADDIVG >KGN48271 pep chromosome:ASM407v2:6:21627622:21632743:-1 gene:Csa_6G452720 transcript:KGN48271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPSIFAPSSHFPLPISHFKPSRHCRASIFLSIDYRCCKSIYASSRSISIRSPRICASSSDGASASVPSDSDNTSSNFCIIEGPETVQDFVQMQFQEIQDNIRSRRNKIFLLMEEVRRLRIQQRLKNLKPIDENDIEEANEMPDIPSSIPFLPHVTPKTLKQQYLTSLSVIWGIIVFGGLIAPTLELKLGLGGTSYEDFIRNMHLPMQLSQVDPIVASFSGGAVGVISALMLIEANNVEQQEKKRCKYCHGTGYLACARCSSSGVCLSADPISLSASSSRPLRMPKTQRCLNCSGAGKVMCPTCLCTGMLMASEHDPRFDPFD >KGN46173 pep chromosome:ASM407v2:6:4718780:4719124:1 gene:Csa_6G062320 transcript:KGN46173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTCFFFENDGNGRELGDGDIENADPAYTSAFPAIGLRTSTIRICFATVSGAGPNLSVPHTTILSPSFFRASLLIFESRDSPRLKIVTFSITKLFFIAFKSSELDLFETDGSE >KGN49071 pep chromosome:ASM407v2:6:26480216:26481193:-1 gene:Csa_6G512860 transcript:KGN49071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLSVILQEAARREREARTIWGFLTDQMDGIDGGGRRRPSLKQRLGFKVMGCCGATWGFRPPSDSVRDGGGEDDDRRVPDLEVMNTRREERELNRSCLSPLSVQSPGPSGMNLATALAAERRLRASPRGAEGDIVEFNNNDFDSAVGMMETGTPLRVSLLRLLEETDGGCDDGGGGNLGVAEKKRDETRNDSMCCVCMGRKKGAAFIPCGHTFCRICSRELWLNRGSCPLCNRPIIEILDIF >KGN47632 pep chromosome:ASM407v2:6:16606257:16610092:1 gene:Csa_6G366300 transcript:KGN47632 gene_biotype:protein_coding transcript_biotype:protein_coding description:6,7-dimethyl-8-ribityllumazine synthase MCMYVYIGIILIAKVKPSSSSSSSSSCGKLLLGLIMAASFAAPAQGLVHRQNLTLSFSNYNFKPTQLQFQGTLSAKSQPLSFSSQFNLLNHPSSPNNHRSSFAHTAAVRHIAGSLAKAGGLRFAVVVGRFNEIVTRPMLEGALSTFKSYSVQDEDIDVVWVPGSFDIPVVAQRLGQSGKYHAVLCIGAVIRGDTSHYDAVVNCSASGVLSAGLNSGVPCIFSVLTCDTLEQGFDRAGGKVGNKGSEGALTAMELASMFEHDLK >KGN48696 pep chromosome:ASM407v2:6:24567300:24567764:1 gene:Csa_6G498680 transcript:KGN48696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGNSKILWFNSIIAEHGSEKYKTLGTINTKERSFDTKCGSDVLTQPIGSEVEQDPIDIGRLKKVKEGLFEIVEGLFEKLNFVVRDIGCGLCVGGQIMDLRERKFDEAEKRRKMGREFGGETIDVFGSGNERDLVTLLSNKFGEFKKRNHMAES >KGN49345 pep chromosome:ASM407v2:6:27804876:27810167:1 gene:Csa_6G520430 transcript:KGN49345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSSFLCHCRLLLLLLLLLIGLFIQQAASSSNNQKKAYIVYFGEHHGEKSIEEIKERHHSYLMYVKESEEDAKSCLLYNYKHSINAFAAILTPQQASKLSDLDEVVSVIESKKYRMETTRSWEFSGVEEDKPTINDLVSRANYGKDVVIGMLDSGVWPKSKSFSDKGMGPIPKSWKGICQTGPAFQSAHCNRKIIGARYYLKGYEHHFGRLNKTADYRSPCDKDGHGSHTASIAGGRRVYNVSAFGGVAWGTASGGAPWARLAIYKVCWAIPNQMKALGNVCFDTDMLAAMDDAIADGVDVLSLSIGKSEPYNYTDDGMAIGALHAVKKDIVVSCSAGNYGPTPSALSNVAPWIITVGASTVDREFYSPVILGNGLKIKGLSVAPSKLERKKMYPLVYAGDIMNPHAPRNQSGLCVAGSLSHEKAKGKIVLCFRGEGISRFAGSLEVQRSGGAGMILGNVPAVGRRPHADPHFVPATAVSYEDANIILKYIKSRKNPTATIVPPVTIYGSRPAPAMANFSSRGPNPIDPHFLKPDITAPGVDILAAWSEQDSPTKLPKYLDPRIVQYNLYSGTSMSCPHVSAAAALLRAIHPTWSQAAIRSALMTTSTTNNKYGQPITDDSTLDNSPATPFSFGSGHFRPSKAADPGLVYDSNYTDYLHYLCGLKMNSIDPSFKCPPRALHPHDLNYPSIAVPQLRNVVRIKRTVTNVGGGGKNVYFFKSEAPRGVAVSASPNILYFNRVGERKKFTITISRKVNNNNRSSKKGEDYSFGWFAWSDGIHYVRSPIAVSST >KGN47539 pep chromosome:ASM407v2:6:16015149:16017558:1 gene:Csa_6G358030 transcript:KGN47539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFETEESRRRQRRRDGEETKRWRRVEEDTKKMVEVKPILFPFELVSPSPSPPPSPPPSPRFHNNKLHTQMEFSRR >KGN47647 pep chromosome:ASM407v2:6:16709642:16713196:1 gene:Csa_6G366450 transcript:KGN47647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSPTMASTWGSAPLHIQWKKPKRPGVILNELPSFLPKEVYNIKDQSARNLASRIQRLPVSFSDFCIMSSCVKPSIQNKDCPVVLLHGFDSSCLEWRYTYPLLEEAGLETWAVDILGWGFSDLERLPPCDVTSKRVHLYQLWKSYIKKPMVIVGPSLGAAVAIDFAVNYPEAVDRLVLIDASVYAEGTGNLATLPRSIAYAGVFLLKSIPLRVYVNVLTFTGIPFSTSLDWANIGRLHCLLPWWEDATVSFMLSGGYKVSSQIEKVKQKTLIIWGEDDQIISYKLGVRLHCELPNAVIRPIAECGHLPHVEKPNLVAKLITQFVHEDSRKEVQFVNSE >KGN47030 pep chromosome:ASM407v2:6:11582452:11583671:1 gene:Csa_6G176430 transcript:KGN47030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEGNPPFPTMPENEVPKAYIANERPLFMAPPNRYAFGIQELIQECWDEKPQKRPTFRQIIRRLEDINTRLMQTRSLKVNPFCSCFQNLKALFTSERTNPGSRSSYSESK >KGN45805 pep chromosome:ASM407v2:6:1357919:1358593:1 gene:Csa_6G012300 transcript:KGN45805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIEHHLTEEKKHDDEAANKEESSKDDPSHVVWITYDGLLISWLLEIILEDVFNMIEGTNTTFQKGFSTLDEHLKKIKHLCDKLAAMKKPHDDVIKVFRLARGLGSRYQGFRTTMLSKPANPSFNQSVVVVKAHDEMLSSELEEENFVQINHAQAFYNQKGRNRGRGRQFLFSWKRFSLKANFKEIQSSSKHQNQP >KGN45896 pep chromosome:ASM407v2:6:2080573:2087921:-1 gene:Csa_6G018610 transcript:KGN45896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAKKIYNGDVDVVEAVEDGDSNAESYQLSYLSVDHSLPLPAITPRIIELCKDLFKEWSELDASRFSVETVSGGITNQLLKVTVKEESGTSVSVTVRLYGPNTDYVINRDRELQAIKYLSAAGFGAKLLGVFKNGMVQSFIHARTLEPSDLRKPELAAEIAKQLNKFHKVYIPGSNEPQLWNEILNFYDKASTLQFDDTGKQSIYDTISFQEIHNEILEIKELTSLLNAPIVFAHNDLLSGNLMLNEEEGRLYFIDFEYGSYSYRGFDIGNHFNEYAGYDCDYSCYPSKEEQYHFFRHYLQPEKPDEVSQKDLEALYVESNTFMLASHLYWALWALIQARMSPIDFDYLSYFFLRYGEYKKQKEKYCSLARSFLARSGLGCGSA >KGN45967 pep chromosome:ASM407v2:6:2892189:2898251:1 gene:Csa_6G040560 transcript:KGN45967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAAVEQSTPERNGDGDKEKKKKRRSNRRSKHNPSLTTSASYTSVNGILGEASECMENGRIDANLTSPSNYSSLTQQENHSNQQIEHGLTRGDKIGFSSLPPLHINEQAELSASHNLMNQNHHSSDAGGRVTKSCPEQIASGRYSGISLNQHSPPADVTDNNTQRKYFPSHWSVDDVNEGLQKGGIFKALFRVNAHNRLEAYCKIDGLPIDVLINGIASQNRAVEGDIVAIKLDPFTSWTKMKGTSEAHNNMHSMEDANLPAELTEKNDHNCKGKNKVDADVKSDSFRSTSLPDKRCCSEDKVLDGVACDVLLSNYEQCDINELSVVNPSQAHHSSNQDDVSKAIGRICALINLYPAKRPTGRVVTILEKSRLRENVVGHLNVKKFLSFQEFYVKESTKSCLSPSQNCGYVQLMPNDARFPIMMVLAGDLPNCIKKRLDNGDVTVENELVAARIYEWVKESSSPRAHVLHVLGRGNEVESHIDAILFENAIRTCEFSQDSLSCVPQTPWKIPPEELQCRRDIRNLCIFTIDPSSASDLDDALSVQRLANGIFRVGIHIADVSYFVLPDTALDKEAQIRSTSVYLLQRKIPMLPPLLSESIGSLNPGVDRLAFSLFLDINSCGDVKDFWIERTVICCCCKLSYEHAQDIIDGLIDSDSSELFGNNCPQLHGQFTWHDVISSVKLLHEISKTVKEKRFRNGALRLENSKLIYLYDEYGIPYDSMFYEQKDSNFLVEEFMLLANRTVAEVISRTFPDSALLRRHPEPMLRKLREFETFCSKHGFELDTSSSVHFQQSLEQIRIELQDDPLLFDILISYATRPMQLATYFCSGELKDGETRSHYALAVPLYTHFTSPLRRYPDIVVHRTLAAAIEAEKMYLKHKGVIQKVNSNEETRCFTGIYFDKDAADSLEGREALSSAALKHGVPCSKLLLDVALHCNDRKLASKHVADGIEKLYMWALLKKKKILFSDARVLGLGPRFMSVYIQKLAIERRIYYDEVEGLAVEWLETTSTLVLRFFCSRRSHRSRGSVKWKALEDVALVISPCDQNVKERTLGVSSNGGASKGGSAVVEQDSNLKSHVSDTGVDPAIFPLTVRLLSTIPVALHAVGGDDGPIDIGVRLYMSSYLR >KGN45854 pep chromosome:ASM407v2:6:1735207:1736655:-1 gene:Csa_6G014740 transcript:KGN45854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLPLPQPQDSQPQAPVKQLQPQEFDPPQESQPHALAKLLQESDPVDPPEESESPRPRIVVLNMAAKLAYKLLAKWYKQAGKVSNDENHEATAANHSRPPRICRSFDPFELSQNAVRYVAGIRPTERETLNVTDDYVIVKTYKSDAEEDIILFVLFLLNYKAYHQQHFRICRSFDPFELSQNAVRYVAGIRPTERETLNVTDDYVIVKTYKSDAEDDIAHGEKAEEDNATEKDNDAEEDNDTDKDNDTEEDNDTEDILRKIMIMRKLMILTNIMILKKIMILRKMMITRRMMITRRRMMRTIKEIRQL >KGN47464 pep chromosome:ASM407v2:6:15260385:15266315:-1 gene:Csa_6G331010 transcript:KGN47464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGQIFSRTTQALFYNYKQLPIQRMLDFDFLCGRETPSVAGIINPGAEGFQKLFFGQEEIAIPVHSTIEAACAAHPTADVFINFASFRSAAASSLSALKQPTIRVIAIIAEGVPESDTKQLIAYARANNKVVIGPATVGGIQAGAFKIGDTAGTIDNIVQCKLYRPGSVGFVSKSGGMSNEMYNTIARVTDGIYEGIAIGGDVFPGSTLSDHILRFNNIPQVKMMVVLGELGGRDEYSLVEAMKQGKVNKPVVAWVSGTCATLFKSEVQFGHAGAKSGGELESAQAKNQALRDAGAIVPTSYEALEDAIKETFGKLVEEGKITPVKEVKPPQIPEDLNSAIKSGKVRAPTHIISTISDDRGEEPSYAGIPMSSIVEQGYGVGDVISLLWFKRSLPRYCTHFIEICIMLCADHGPCVSGAHNTIVTARAGKDLVSSLVSGLLTIGPRFGGAIDDAARYFKDAYDRNLTPYEFVESMKKKGIRVPGIGHRIKRGDNRDKRVELLQRFARTHFPSVKYMEYAVQVETYTLSKANNLVLNVDGAIGSLFLDLLAGSGMFSKQEIDEIVEIGYLNGLFVLARSIGLIGHTFDQKRLKQPLYRHPWEDVLYTK >KGN46203 pep chromosome:ASM407v2:6:4967571:4968513:1 gene:Csa_6G074560 transcript:KGN46203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGSGMNLITTVIGFGMSATFIVFVCTRIICGRLRSAQSNTPNYEIESRLDLEQQPESRASGLEPVLIAAIPTMTFDREAFSSIEDAQCSICLGEYEEKEVLRIMPKCGHSFHLTCIDVWLRKQSTCPVCRLPLQDSFRTKPARPMTVSRDQSFDGSEISTDHHSQHWLLPGPNRSEGNTSNQSQLQPIPVNPAAQREMETIQ >KGN46519 pep chromosome:ASM407v2:6:6993820:6994846:1 gene:Csa_6G106710 transcript:KGN46519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKKMMGVLLICIVVMAALEFSIVNGEEKEDKYESKFDAKYKTCYESCEKECLENGNNGQSFCEVKCDEDCGEKESADKLHINPAN >KGN49174 pep chromosome:ASM407v2:6:26918851:26922322:1 gene:Csa_6G516820 transcript:KGN49174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGATEESGAPARTEGKFTALAVCWVLGLGSLVSWNSMLTISDYYYQLFPHYHPSRVLTLVYQPFAFGTIAILAYHEAKIDTRRRNIRGYSLFFISTLLLIVLDLVTSGKGGIGPYIALCVIVGSFGVADAFVQGGMVGDLSLMCPEFIQSFMAGLAASGALTSGLRLITKAAFEDFHSGLRKGTILFLAISAAFEFLCVILYAIVFPKIPLVKFYRKKAASEGSKTVSSDLAAAGIQIQSNQEDKTELLGKKQLFQKNADYLFGVFLIYVLTLSIFPGFLYENTGEHQLGSWYPLVLIAMYNVWDLVGRYVPLINWLKLESRKGLLIAILSRFLLIPAFYFTAKYGDQGWMILLVSFLGLSNGHLTVCVFTAAPKGYKAPEQNALGNLLVIFLVGGIFTGVSLDWLWIIGNGSF >KGN48788 pep chromosome:ASM407v2:6:25102071:25104304:-1 gene:Csa_6G501290 transcript:KGN48788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLSFSLQFSPTFTPSYSSSQLSRLWLPLPSSIKKAVSTRVVCISTRPSRKFGVKTDRSEAEELVRGIIRNFSDKEPLLKTLDKYVRVMRTEHCFLLFEELGKRDKWLECLEVFRWMQKQRWYIADNGVYSKLISIMGKKGQIRMAMWLFSEMRNSGCRPDTSVYNALITAHLHSKDKAKALVKVLSYFEKMKGMERCKPNIVTYNILTRAFAQAAKVDQVNTLFKDLDESVVSADIYTYNGVMDAYGKNGNIKEMELMLARMKSNQIKPDIISFNLLIDSYGKKQLFDKMEQVFKSLLRSKERPTLPTFNSMITNYGKARLREKAEEVFRKMKDMGYDPSYVTCESLIMMYGHCDCVSKAREIFDGMVNSGKEVRVSTLNAMLDVYCINGLPLEADLLFESAGNMRVFPDSTTYKLLYKAYTKADKKELLEKLLKNMDKAGIIPNKRFFLDALGTIGSSQEKPEPARTRTGSRNSDSSVEKQSSSRTRSGPRNLESNVQKPRSSRTRTGSRNSESGVENHAPELGLA >KGN48108 pep chromosome:ASM407v2:6:20481096:20486134:-1 gene:Csa_6G433300 transcript:KGN48108 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase 2 MDYVYGPGRNHLFVPGPVNIPEQVLRAMNRNNEDYRSPAVPALTKTLLEDVKKIFKTTSGTPFLFPTTGTGAWESALTNTLSPGDRIVSFLIGQFSLLWIDQQQRLNFKVDVIESDWGEGAKLDVLAAKLAADTDHTIKAVCIVHNETATGVTNDLSLVRRILDEYRHPALFLVDGVSSICALDFRMDDWGVDVALTGSQKALSLPTGIGIVCASPRALEASKTAKSLRVFFDWKDYLKFYNLGTYWPYTPSIQLLYGLRAALDLVFEEGLENVIARHKRLGQATRLAVEAWGLKNCTQKEEWHSDTVTAVLVPPYIDSSEIVRRAWKRYNLSLGLGLNKVAGKVFRIGHLGHLNELQLLGCLAGVEMILKDVGYPVKLGSGVAAASSYFQNNIPLIPSRI >KGN46145 pep chromosome:ASM407v2:6:4448186:4450229:1 gene:Csa_6G057110 transcript:KGN46145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEEESTVKEPLDLIRLSLDERIYVKLRSDRELRGKLHAYDQHLNMILGDVEESVTTVEIDDETYEEIVRTSRRTVPFLFVRGDGVILVSPPLRTV >KGN47935 pep chromosome:ASM407v2:6:19237389:19239863:1 gene:Csa_6G417870 transcript:KGN47935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADHSTTRSHTTTTDLYRILGMPVKDLCKGFMKWHPSVKSPKSLTSIKEPNKSNGKNEAYIISSPTTPLGSNQHQSVDESFFANISRTISRSSSRRSKTPTPSPISLSRNTSRRSTTPSPRSLSRNTSRRSTTPTSLMRDEKRRSNSDSEFLGEPISRNFGRRTDVPESNEEALRRISSEASYIGSLSRNTSRRSPKSTPIIYSQSTALKKPPPVEKKLECTLEELCEGCIKKIMITRDAIVNGIIVQEEELLRIEVKPGWKKGTKITFEGKGDEKPGFLPADITFSIDERRHPLFSRDGDDLDLGVEIPLVNALTGCSITVPLLGGEKMSLSFDNIIYPGFQKAIKGQGMPNPKQQGIRGDLRIQFLVNFPSQLTQQQRSEAATILQDCCS >KGN48012 pep chromosome:ASM407v2:6:19769287:19776197:-1 gene:Csa_6G424520 transcript:KGN48012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRNREARRTTTNMVASNGLSRRRYRSGGLRDSPEDDGPLELQDTVRLRDRGSGKKDRDRDRDRDRDRDRDRDRLSRSKRRRADRLIHGGSNREDGGEDSSEESVNDEEDEEDDDGGGGTGGGASVRMLPPNPATILNHRKSYPPAKSFRAAPSWKAADEMIGVSVPRKARSASTKRSHECWPAAAGSGTVTEAIHRQASTSPVRPSLTPMVTLQPPASPSSSNAPKQTGPKLRPLKSSSKPSSMAQDEIEIEIAEVLYGMMRQPQAPPKQEPSSTTDSMKFDPKSTTDAKSRVSSPISNSSSALPTPSTLPQNSISSVTPLSATAPKRKRPRPVKYDDENAATFSLRNSPISSTAKPEADQPINAEIPASNVEKVAGSGVENGGVSNEAGNSQTLLPALESLPESMKVETASAMSNSKPLTEESEDKDLGSSKEEPQSPLKESNGPRLEDKREDMTTTKSNSSTFDVENQRDDKYKIDLMAPPPLRASPERDGEIDFVAVDAKPMVIDADTEMKPLIKEEDKGAIRLGAKEVVNVESKAIPVEEADSKKPIVGKDRNIGLQLDLEKTTDRDAATANVVTNKLHQHVPKQTPQLGSEKTGSAVQASSLPLPMSLPGWPSGLPPMGYVAPLPGVVSVDGSALPTAAMQPPNLLFLQPRPKRCATHFYVARNILYHQHIARMNPFWSATTGSGSLFGPKHGTHSIVPSADLQGNLPKGGINAMQDKGQGLGMFSGHSGKDRSSQAVNAVDASQRKQILLQQALPPGAPSNILHGPAFLLPLSQQQAAVATSVRPVSVKSPPSSGNANGSVASNASNPASVSTSAAAAIAAPAMSFNYSGVPGNEPQYLAILQNNGYTYPIPAHVGAPPAYRGTHAHSMPFFNGSFYSSQMLHPSQLQQQPPPQPHPNQPGLQNANTANGSSSSQKNVSNQQQRPHGSSVSGNFQGFPASRNQQSQSQQPQQNHGSHQTRQLEPEIGGEDSPSTADSRVNLANLSVYGPNFPMPIHTPNFALMTPASMPAAGGAPNDKKQQQPQQQSQGSKTLEQSQTIPLSFAPPNGAPSAPGLDLSSISPNHPIFQSLPEITRQGYHQIMAAAAAAQAAQQKKNYRVAEEGKTAHSSVGEDERKNMSVKAPPTVGQSIAFSRSDLAETSLSTLPAGAAIVDSTARTLNLGSNAARASGSVMPSSMGTVNMCGSQHPLQRNQQQQQQQIIQLQKQQQYAAAAAAAARTKTSTTSNGNVYGEHTPASSMAAKFPNALSYSQNLVQSNSNSPAQSPQWKNSVRTTSSQVQTPPLSSSNTSSIKNLPQQQGRPQPNHSQISFSTNTKSTTQSQGQQPANSNQSPSPGMIGSPTNSSISKGAGGSPRTATSGSLGHKVGQSSSLSSQQTKNPTSMPPQKSSPVGGRNVTSILGNNQMTSSSSGNKLSQQSQQQQQQQQQQHLAKQTLQQAQLLFPYMQQVSHSSSSSATVSPSSGYYMPRRRPEQQSQPQGSGGTSSNGMLSLCHPVTLGGSSTTDPAKAVAAAAAAANNMKGGGGLPTQAILHPAQFAAAQSSGNPHQLVPAGFPYVHTAAVQVKSTEQKQPAGGLRRNERSNRSAKAERQSECLVGLLNPQFWESYERKWEILSSPV >KGN48469 pep chromosome:ASM407v2:6:23052157:23056854:-1 gene:Csa_6G488880 transcript:KGN48469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLHRNCLIVVITIFSAFLTIAEGLTSCETGNSAQFVRHPFGFTDVKPIKLGCSKNGEIQIGRFQVQNINERSIIIKLPTGCNIPINSLSELSSKNYKPTLRNSLFLNCTEQPLPCGVMGNFTLNQPTDCGLKSNNMSCFTKTEQNGFLPPLNKCHSLLSSVFVNFTSNSTTQFEVEFGVIELEWWVSPSSVAQCSKNANRENITSSTENLGFRCQCEEGFEGNAYDNDGGGCRRVSHKCNPPTYITGRCGGESKVAALIAGVIVGAFLMAVLTLICYCIRRRSMCLKGQMSAKRLLSEAAGNSSVTLYPYKEIERATNGFSEKQRLGTGAFGTVYAGRLHEDEWVAVKRIKYRDHNSIDQVMNEIKLLSSVSHPNLVRLLGCCIEEGQQILVYEFMPNGTLSQHLQRERGNGLPWTTRLTIAAETSRAIAYLHSSVHPPIYHRDIKSSNILLDHGFKSKVADFGLSRLGMTEISHVSTAPQGTPGYVDPQYHQNFYLSDKSDVYSFGVVLVEIITALKVVDFTRPQSEVNLAALAIDRIGRNSVDELIDPFLEPHRDAWTLYSIHKVAELAFRCLAFHSDMRPSMTEVAEELESIRRSGWTSMEEHFCGASSAGSACSSPRSISERSISGITIKKAGLLAGLGSQRLIIPPENNHNKDYLPPVEEVMDSSPVSIQDPWLSEQSSPSTNSLLGNATCQAIGHFLHMKFRSKPWDRLI >KGN46493 pep chromosome:ASM407v2:6:6767568:6768880:-1 gene:Csa_6G103520 transcript:KGN46493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKNPNYPCKLEMKVAKATTTKAPKEQLDCPRCKSNNTKFCYYNNYSLNQPRYFCKSCRRYWTEGGSLRNVPIGGASRKNRSKSSSTTTITTTSTSSSSDYFCSNNNKNQKLPNYPSSSQISTQIPVGLRDLNLADHDHLPKVENVNYNNFAFGFRGLSSFIPNLMPNSNHINNNGNLGINSNSQYPSSGFSLGDHVDEFKPSFGINSVDGRNLLQLPFQELNQQSAAPNEGDHQNWSEMLGGGSL >KGN46861 pep chromosome:ASM407v2:6:10205417:10210822:1 gene:Csa_6G147540 transcript:KGN46861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVAKVLYVVILDYEEQLKKEKESFRYTRPVLQSTLQLMGCKARHAFKISQRAFALLRKRHGLLPEGLGTRSSEKECVKSWDVRFAETEVWNHLNSSKDGDNKNIPFEIYKRRTTLFVKRETFLDVVCKALTEYKYVSPNQRADLLLACRIRERKESVTVLLCGTSGCGKSTLSALLGSRLGITTVISTDSIRHMMRSFADEKQNPLLWASTYHAGECLDPVAVAEAKVKRKAKKLAGNPHSHLKDEVLESSSIGKFDGQPSDRSTELLSQKQMAVEGYKAQSEMVIDSLDRLITAWEERKESVVVEGVHLSLNFVMGLMKKHPSIVPFMIYITNEDKHLERFAVRAKYMTLDPAKNKYVKYIRNIRTIQEYLCKRADKHLIPKINNTNVDKSVAAIHATVFSCLRRREVGEQLYDPVRNTVPVIDEEYRNQCAANSLSSKCMFQLIQRKGSSRNLMALINTDGSVAKAWPFDPIDYASGRPLLGPRDENGLGIPMYGPLQIDKAEPVNLQFGFYGISAWPTDGGTSRAGSVDESKADGTDTSKYLSSCCSSPRFSDGPSKELKEDISVHGSDEEVDDPQELGSDEDFSEDGDKQIHEEVGSVDEESTKSDEEYDDLAMLDVHHGYWSEDDLEYMYKTGSIYKGQTSARAIDRYRHNVDLFLRSKSESSKSLCSYTSLLKEKERNIRTSGSMKMKKRSLSIPAMRKHSSGVDGPLLSGASQG >KGN46246 pep chromosome:ASM407v2:6:5192046:5194686:1 gene:Csa_6G077430 transcript:KGN46246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRMHSRGKGISASALPYKRTPPSWLKISSQDVAENICKFAKKGLTPSQIGVILRDSHGIAQVKSVTGNKILRILKAHGLAPEIPEDLYHLIKKAVSIRKHLERNRKDKDSKFRLILVESRIHRLARYYKKTKKLPPVWKYESTTASTLVA >KGN46990 pep chromosome:ASM407v2:6:11071046:11075895:1 gene:Csa_6G157640 transcript:KGN46990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINGFDLNEEAKGIDEGFGEGNSNNSNTSSNNGSMEEVEKEEEFEEQRSRSGHGNVRKYSRSKMPRLRWTPDLHLAFVNAVERLGGQERATPKLVLQLMNVRGLSIAHVKSHLQMYRSKKLDESGQVLSQTMFGRNHIMQMYHRFNHHHHGHIRGHNTSFLSHITKPYHLIKPPSNSSSRFGGDEWEAIDENPLGIRGCSNNNLWSTDQNSKIIMEKLSNEAPNTHHTFQTTSHIYEVANAISRSTTPIRPSRFLEDRKWPPHHFITTQQEQRQHFCINNNHNNIHFPPNSLFTSKLNDHDHLLLHPPTTPPSPFFKLTRCSYDPDRLIQRPSTKLELSLRNNSLVENGGGKTEVVNTINNNEKLEEEEFRREINTVLSLSLSSSSSRQQQEHQEEEEFRQTNISSKV >KGN48041 pep chromosome:ASM407v2:6:19971669:19972365:1 gene:Csa_6G425780 transcript:KGN48041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRSRSSEAEVELRVNLNRSPTASSSSSSVSTFDGSGSGSSEISVGNSCLSCEGEEHGGRLEEIITRSMMLVGCPGCFMYVMLTEKSSQCPKCKNNVFLDFFKQQPHHA >KGN48669 pep chromosome:ASM407v2:6:24416735:24418182:1 gene:Csa_6G497200 transcript:KGN48669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLELEQVLQKIQLADNPECPIDMNSVFAALKTKLKDIAPLTQLESTQKELNTALTKYPKLVEKSFNPDISKAYRNVDFDRHTVNQIIASHFYRQGMFELGDCFISEAGESESAASLRSPFQEMYQILESMKSRNLEPALNWALNNSNKLKDCGSDLLLKLHSMQFMEILQKGDRHDALKYARTYLAPLASNHMAELQKLMACLLWTGRLDCSPYSHSQLLSVANWDKVAEELIRQFCNFLGQSYESPLGVTVAAGVQGLPPLLKFMNVMAGKKQEWQSMKQLPVPVELDREFQFHSIFVCPVSKEQSTEENPPMLMLCGHVLCKQSIMKMSKNSTKSFKCPYCPTDIDATRCRQLYF >KGN48861 pep chromosome:ASM407v2:6:25483057:25483281:1 gene:Csa_6G504425 transcript:KGN48861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNESSGGDNGILWFWDWKTAYNFQQSQTIAQPSSLDSEAGIYALSYDIAGSRLVTCEADKTIRCGEKMKVLPL >KGN48548 pep chromosome:ASM407v2:6:23600057:23603284:1 gene:Csa_6G491600 transcript:KGN48548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATTSLALLSFFFLSISASALSRRSDGEVREIYDLWLAKHGKAYNGIDEREKRFQIFKENLKFIDDHNSENRTYKVGLNMFADLTNEEYRALYLGTRSPPARRVMKAKTASRRYAVNNLDRLPESMDWRTRGAVAPVKNQGSCGSCWAFSTIAAVEGINQIVTGELISLSEQELVSCDKKYNSGCNGGLMDYAFQFIIDNGGLDTEEDYPYEAFDGQCDPTRKNAKVVSIDAYEDVPANDEESLKKAVAHQPVSVAIEASGLALQLYQSGVFTGKCGSALDHGVVAVGYGKENGVDYWLVRNSWGTSWGEDGYFKLERNVKHITEGKCGIAMQASYPVKNDNNPTKSYLSLKIAEDKNKINTA >KGN48097 pep chromosome:ASM407v2:6:20398717:20400814:1 gene:Csa_6G430730 transcript:KGN48097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLEFLRNISWFRAQRSNDLGPILKPSTAVVDQGHHNTCFDIRIWGFSLLSLIPWETNANANAREDIILAKTTINRNLRRQAKRGRAIESGVGETALRFKPYVCKVPWHTGARAFLSQLFPRYGHYCGPNWSSGKDNGSLVWDKRPIDWLDFCCYCHDIGYDTHSQGELLKADLAFLECLERPNMVTKGDARVATLYRTMCITGLKNLLIPYRRQLIHLQSFPYQPAIQFGWLSNMKWFGWNWQRDEQKHPKI >KGN47025 pep chromosome:ASM407v2:6:11535118:11535779:1 gene:Csa_6G169900 transcript:KGN47025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPEDREHDRLSSASMNKGAFLSRPGGGEGRRRLKKKLWKVFSIISRERDPHFSYAPLQPRTSISLIDKDRPRRRSKVHPDPRRRTPIFWKEESIMLRRRKEYCLSFSHNLSVSHNIHSSRTIPLAFPSKREYSPRFRRQKADYSTPRVHPQGLEQPECLEGSALERAAFLI >KGN48179 pep chromosome:ASM407v2:6:20921871:20922643:-1 gene:Csa_6G446400 transcript:KGN48179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDIVLHKFPSSSDPHSQPPTASHSVVGSNSSPVTITRQSLLPRKSNTPLSSSSSKDRHIKVNGRGRRVRMPALCAARIFQLTRELGHRSEGETIEWLLRQAEPSIIAATGTGTVPSGPISTVSSAMASSGRSVSCRVQPVTVGGSGQGMFAMPPPSCRLDLCQPVGMEYSAAGNDYRHMPFTALLLQPSTAEETEERQEEELFFVLLPLVLFMTGICKGKKKL >KGN46647 pep chromosome:ASM407v2:6:8108941:8109927:1 gene:Csa_6G117780 transcript:KGN46647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVVHTFTKTRSFLPSRPLPDALPLSHTDSPSDQTLRRRLSSLSLRIQPISSPATAWAIRKSKSMSSFGDLAGSSVRKWWDWGWAWILSRKPIFAKDLEMNEEESKILGSQNRGSWKHVFFKFRSEIRKLIGSDVALPQTTPNYRSFNFPNNNSGIRT >KGN49106 pep chromosome:ASM407v2:6:26649857:26650039:-1 gene:Csa_6G513700 transcript:KGN49106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIEASPQGKGTGAQCLPVVTILHATLPTVALSPPLPNPSIQPMPLGPGPFLSPPPLGPN >KGN46876 pep chromosome:ASM407v2:6:10317696:10325445:-1 gene:Csa_6G148180 transcript:KGN46876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLRSCFYLRPITLPHPQFKKLIFSNNSSFSFKPISHNFVSPMSSRPSAFDALMSGARAAAKKKPANSSPKKRKALDSLDSPLKPSSFLALESTPPVESQCGSSVSNLDDHGGTHLDGVDLGETKLRQDNDGGVGAKIGPFGNKTCASSVQERTAKLKSSTAELKKKAKDFNSKNVACWKEGERVPFLFLCLGFDMISEESSRITITDIVCNMLRTVMDTTPDDLVATVYLLANKIAPAHEGLELGIGDASIIKALSEAFGRTEAQVKKQYKELGDLGLVAKASRSSQSMMRKPDALTVTKVFDTFRLIAKESGKDSQEKKKNHIKSLLVAATDCEPLYLIRLLQTKLRIGLAEQTLLAALGQAAVYSEKHSTPPPNIQSPLEEASKIVKQVYSILPVYDKLVPALLSGGVWNLSKTCNFTPGIPIGPMLAKPTKGVSEILNKFQGLEFTCEYKYDGERAQIHYMEDGSVEIYSRNAERNTGKFPDVVLTVSRIKKPSVRSFILDCELVAYDRGKQKILPFQILSTRARKNVAVSDIKVEVCVFAFDLLYLDGQPLIQRELNVRRELLYNSFIEESGYFQFATAIITNDLEEIQKFLDASVDQSCEGLIIKTLNRDATYEPSKRSHNWLKLKKDYIDSIGDSLDLVPIAAFHGRGKRTGVYGAFLLACYDNDNEEFQSICKIGTGFSEAMLEERSASLRSKVIPEPKSYYRYGDTINPDVWFEPTEVWEVKAADLTISPVHRAGVGIVDSDKGISLRFPRLVRVREDKNPEEASSSEQVADMYNAQKHNHQNNQDDNGDD >KGN45765 pep chromosome:ASM407v2:6:1047654:1047914:1 gene:Csa_6G009440 transcript:KGN45765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQRVKHAPMRYDYVDLVAYAFTCETDSIEAKPFTFEEKIVSDSKKQQKDSMEAELFSLQKNQTWSLISKPSIQELNQQSGLTKSN >KGN46785 pep chromosome:ASM407v2:6:9415959:9416703:-1 gene:Csa_6G135410 transcript:KGN46785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFAHELLAKVGPNAGAVGLVPCARGGTLIEEWVKNPSNPSATFYQNFIERIKASDKDGGVVRALFWFQGESDAAMNDTAIRYKDNLKKFFTDIRNDIKPRFLPIIVVKIALYDFMMQHDTHNLPAVREAQDAVSKELPDVVAIDSLELPINLTTNEGFNLDHGHFNTTTEITLGKWLANTYLSHYGHLL >KGN47775 pep chromosome:ASM407v2:6:17951095:17954895:1 gene:Csa_6G401450 transcript:KGN47775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMASLLSPSAVILRPHSLRFSQSSLSNGFSIIPIRSTLRVFCSANGNSIHTSNKKPSYLASGVNRREIMLGIGFTAFSFQEVGSNALAESVVVAEDYRTYTDEANKFSLVIPQDWQVGNGEPNGFKSVTAFFPQETSTSNVSVVISGLGPDYTRMESFGKVEEFADTLVSGLDRSWKRPPGVAAKLIDCRSSKGIYYIEYTLQNPGESRKHLYSAIGMSSNGWYNRLYTITGQYADEESESYSSKIEKVVNSFAFI >KGN47395 pep chromosome:ASM407v2:6:14816284:14819350:1 gene:Csa_6G311000 transcript:KGN47395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFFLHFARVPLSAILLFYLLTYGKCDDFESGSTGSSVGFEDPPEIVAKALLCFNDRYIYSACEESYRLKESGNLEVPLEKTEEYCNGPCLSETELVLDCISGIFSNFLFYNRATLQDVRDTVHAGCGYGPQRGNFDVLEHINAERGNACRASNMAALGFSFFILALLSLLL >KGN48342 pep chromosome:ASM407v2:6:22138959:22139267:-1 gene:Csa_6G483248 transcript:KGN48342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDPAPGAGGYTPVKDPQSLRMKELAEWAVEEYNKKEGTHLRFVCILVCESQIVEGVNYRFILRVKDENDNEGNYEAIVWEKTWEHFKGLVYFKQLLLTES >KGN46655 pep chromosome:ASM407v2:6:8190887:8191201:1 gene:Csa_6G118345 transcript:KGN46655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHTLTEKISTKADQVPETPRTSPNTRMPMSKHHPLISNSCLKTKKWKRAGKTNANAQHTALPTKDKKSAKFGTNSATKLTKKTSSHLSQRQYLPPQNRLSTIS >KGN47567 pep chromosome:ASM407v2:6:16171455:16176567:1 gene:Csa_6G361290 transcript:KGN47567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIPHPVAAIIPAALYFLAGLFFFSTTAAAQNASSSTVPVNVGVVLDMESWIGKMGLSCIDMSLSEFYSLNPHYHTRIVLHPKDSGRDVVGAAAAALDLIKNNKVHAILGPTTSMQANFVIELGQKAHVPILTFTASSPALASLRSPYFFRLTQNDSAQVVAISDLVKSYSWRQVVPIYEDDEFGDGMLPYLIDALQSVNARVPYRSVIDPAATDDQIKEELYKLMTMQPRVFVVHMLPSLAARLFMKANEIGMMSEGYAWILTDGTTNVLDSLDSSVLKSMEGALGVKTYVPKSLELDSFKIRWKRKFLIENPIINEPQLDVFGLWAHDAARALAMAVEKTGEREFKYKNNPINESNNKQTDLQTLGVSENGEKIRDVLLKTRFKGLTGNYRIVKGELQSDNLEIVNVNEDGGKRVGFWNPEKGLTKNLSQSGTKPVIWPGDTTAVPKGWEWPVAGKRLKIGFPVKEGYNEFVRVKENGTGAEGYCTDVFDAVIAKLPYAVPYDYVPFAFPNGSSAGSYDDLIIQVYKGIYDGAVGDITIVANRSNYVDFTLPFTESGVSMVVPTQGNSKNRAWIFLKPLTLNLWITSFCFFVFMGFVVWILEHRINEEFRGPPSHQIGTSLWFSFCTMVFAQRESLAFPIGSPLVGDVSRAVLNVTESEKMNQIQNTWFGDQCNSLSSGSKVTSSRLSLGSFWGLFLIAGSAAIIALLVYGFIFFHKEQHTLHRTADQGSNNTVRDKIRAFLKTYDERDLTSHTFKKSNLGHGDKTNRVIDGGSISASPGSNYPPNPSNYSVQDTSFDFYSESGNASPMNHQALEMVVSTTMDASLGNGEEITEIHVN >KGN45975 pep chromosome:ASM407v2:6:2973524:2982395:-1 gene:Csa_6G040632 transcript:KGN45975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRGDSSGIGYIYAFSIFAGVLIGVLCEAQYFQNVMRVGFRLRSTLVAFVFRKSLRLTHEARKKFPSGKITNLITTDAATLQQITQYLHTLWSAPLRITVAMVLLYQQLGISSLFGAVLLVLLFPIQTLVISRLQKQSKEGLQRTDKRVGLMNEILAAMDTVKCYAWENSFHSKVQSIRNDELSWFRKAALLGALNSFILNSIPVLVTVTAFGLFTAFGGDLTPARAFTSLSLFAVLRFPLIILPNIITQVVNAKVSLNRLEELLLAEEKVLVPNPPLNLKLPAISIKNGYYSWDLKSSVLLRLIAAANHNLLDADDSRVFLQFLAVS >KGN48047 pep chromosome:ASM407v2:6:19994312:19995077:-1 gene:Csa_6G425840 transcript:KGN48047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAKDDKDCGHHEDDYQQFLRRLGIVLLILIIIVGIIIFIVWAVLRPSKPHFILQDVTVFGLNASVTPNLLSLDLQVTISSRNPNDRIGIYYLTMDVYGAYRGQQVTLPTLLPSTYQGHRDVVVWSPFLSGDAVPVAPDVAMSLQQDRNVGAVLFNVKIDGQVKWKVGTWISGRYHLNVNCPAFIKFGNPDRAIAIGSAMKFQIVQSCNVEV >KGN47854 pep chromosome:ASM407v2:6:18600955:18603127:-1 gene:Csa_6G407150 transcript:KGN47854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSRKERNGKEKEELDYLKKYLFNKAMKGRWKEVVEKYATDSRAREAKITKRGDTVLHVAVSDGQVGVVEELMRIISGEEKKGGDESNSKRVVRIANNKSATALHLAATLGNVKMCYDIASVDHSLVGVRNNEGETPLFLAALHGNKDAFLCIHSFCAQTTVHCRRTIDGQTILHCAIMGDFFELALHIIKLYKELVNFVNEQGYTPLHLLATKPSAFKSGTHLGRWKMIVYHCIFVDEVKIDPKSFLRALPTKPLSLHRRSNPNNEKLYPPNYTTCANLFNFLWKGIRMG >KGN46651 pep chromosome:ASM407v2:6:8162364:8162636:1 gene:Csa_6G118310 transcript:KGN46651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPGGRSGRGGVGACNQSCGCAVPCPGGNACRCSTAAAAGGETAYNWRCPCGEHCDCNPCTCPRTEVGVGKGNCRCGADCRCEVCRCES >KGN48113 pep chromosome:ASM407v2:6:20529597:20531455:1 gene:Csa_6G434330 transcript:KGN48113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAINRYTDNTAPANPVVSPAKQSLPPAKTADSQSVLKRLQSELMALMMSGDSGISAFPEEDNIFCWKGTITGSKETVFEGTEYRLSFSFPNDYPFKPPKVKFETGCFHPNVDVYGNICLDILQDKWSSAYDVRTILLSIQSLLGEPNISSPLNTQAAQLWSNQEEYRKMVEKLYKPPTAA >KGN47661 pep chromosome:ASM407v2:6:16807983:16812661:1 gene:Csa_6G367080 transcript:KGN47661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSQALPGIIAKTLERYERHSYGALEASLPPKDTERWYQEYLKLKAEVEALQYSQRRFLGEELDDLETKELDQLEIQLEMSLKQIRSTKRQTMFDQLSDLQKKEDKLLETNQALRKKLEESSAAIHHTSWDSSEPNNLQYCRQPEAFLQLNNNIIALENSYNPTEVTNEENVVNSGADGNGLSSHWMLL >KGN46071 pep chromosome:ASM407v2:6:3873749:3878227:1 gene:Csa_6G051480 transcript:KGN46071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIQTMGSQAGGDPNGKQSQFQPLVRQNSLYSLTLDEVQNQLGDLGKPLISMNLDELLKNVWTAEANQTVGKDNEDNNILANQTSLQRQASLSLNGALSKKTVDEVWRDIQQSKDSEEKKSRERQPTLGEMTLEDFLVKAGVVAETSSNKKGAGPVVEIDANITPQFQQTQWMQYPQPQYQSQQAAMMGVYMSGQPIPQPLHVGGGAVMDVPYVDNQLTLPTPLMGALSDTQASGRKRGAPEDMIEKTVERRQKRMIKNRESAARSRARKQAYTNELENKVSRLEEENERLRKRKELEKMLPLAPSPEPKYQLRRTSSAPF >KGN48095 pep chromosome:ASM407v2:6:20376568:20387954:1 gene:Csa_6G430710 transcript:KGN48095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKKWKQVGIPSCSICRIHFDEDSRSPLLLQCGHTFCKHCLSQIIAPTSPKPSLTCPKCRHVSTIGNSVLSLPKNFAILPMISPASVSHSAEVTDSDDDDAGADEDGADESDRGRRSSGCHGCGEGFGDHELKLVRKIDGGKREEMELWFAWLRSRVGGCRHRVVVRRVKMGNVGDLDWVEKQLEKLRRASIWCRNVCSFLGVMKVEDYLCIVMDWFPGSVQSEMQRSGGRLTLEQILRFGADIARAVVELHAADVLCMNLKPSNFLLDANGHAVVSDYGLPLILKKPCHRAGIFPPEHESSRQHWCLECLFLSPHYRSPEAWEPLKRPLHLFRDDGIGISTQSDVWSFGCALVEMCTGSTPWAGLSTEEIYRSVVKEGKLPPQYASIVGVGIPRELWKMIGECLQYKPLKRPTFHAMLAVFLRHLQGIHRPPTRPTAEVASSPRIDRLEQSPTSVLDILQVKSNHLHQLVSEGDVNGVRDLLSKSASGNNSSSVISLLEAHNSEGQTALHLACRRGSPELVDAILDYSDADIDSPDENGNPPIVFALAVGSAECVRALIRKSANGMFRLMEGFGRSVAHVCAYYGQPDCMRELLQAGADPNAVDDNGESVLHVAIAKKFTPCAIVIMEHGGCKSMGFLNSKNLTPLHMCITSLNVDVVKRWVELASPEEISEAIDIPSSTGTALCMAAALKKDREIEGRELVRVLLKAKADPAAQDPQQCRTVLHTAAMANDVELVKIILNAGVDVNITNLHNTIPLHLALARGAKPCVQLLLSAGANCNLQDDDGDNAFHLAADAAKYIRECLDCILLILKYPGAAIGVRNHSGKTFCDLLEALPREWIFEELMDALEEKGIHLSPTIFQVGDWVKFKRCVTNPAYGWQGAGPRSVGFVQGSQSSDGLSVSFCSGVAHVLADEIIKVIPMDRGQLVQLKPDVREPRFKLFEQSRDSIGTVLCIDDEEGIIRIGFTGASRGFQADPADFQRLQEFKVGDWIRVRYTLPAAKHGFGDVTPGSIGVVYGIRPDSSLLIEFCYVQSPWLCEPEEIEPVVPFKIGDQVCVKRSISEPRYPWDGETHNSVGKVCDIESNGLLIIDLPNRHGPWKVDPSDMEKVDKFKVGDWVRVKTSVPSPKYGWDDVPRSSIGIIFSLEEDGDVDVAFCFRSKTFPCSVTDIEKVPPFEVGQEVHILPSVTQPLLGWSDETPASSGKLERIDMDGTLNVRVSGRKKLWRVAPGDAEKLSGLAVGDWVRIKQCLGARSNYESNNTGKENIAVVYSIQDYSYVDLASCFREGKFPVHCTEVEKIPPIKIGQYVHFRAGLIIPRWGWRGANPNSRGVVTAVNANGEIRVSLFGLSGWWRGDPADFEVEQMYAVGEWVKLKEDYTDGRKSLPAGSIGVVQGLSYQENEWDGSVLVGFCREPELWVGHTSKLEKTERFYIGQHVKVKPSIPNPRFGWSGHSHASIVSITAIDADGKIKVSSSSPQKLWILDPSEVVMVEEEQLNIGDWVKIKPSIVMPAYHWGDVTRQSVGVIHKMEDGELWVAFCFMEQLWMCKDSEMEKVRPFRVGDTVRFREGLKIPRWGWGMETHASKGQVVGVDANGKVRVRFRWREGRPWIGDPADLVLDNTT >KGN47720 pep chromosome:ASM407v2:6:17404119:17410671:-1 gene:Csa_6G384060 transcript:KGN47720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAVVLSALIRRPLLSNTSYSTTCAKFDCPFFPNLFSIPTCLKSSPSPPFSPPHRPLSLSLSLFFHFLLRRCRCRAVGISPPFFLTMISSLNFFSIFIIFIHFFSLSSSSPAGGDHGGSPLVGAACALQPLRISNSLKNQSIGALVSQLASQSCEVWTEACSEAILSLAKRPEVVDWLKKVRRRIHENPELAFEEFETSQLIRDELDRMEISYEHMLAKTGVRAWIGTGGPPFVALRADMDALPIQEAVEWEHKSRVAGKMHACGHDAHVTMLLGAAKILKAREHLLKGTVILLFQPAEEAGNGAKRMIGDGALRDVQAIFAAHVSHEHPTAVIGSRPGPLLAGCGFFRAVITGKKGHAGSPHRSVDPVLAASAAVVSLQGIVSREANPLDSQVVSVTSFNGGSNLDMIPDVVVIGGTFRAFSNSSFYQVLQRIEQVIVEQASVYRCSAMVDFFEKEYTIYPPTVNDKAMYEHVKKVAIDLHGSQNFRIVQPMMGAEDFSFYSEYVPAAFFYIGVRNETLGSIHTGHSPYFMIDENVLPIGAATHATIAERYLYEHGE >KGN45719 pep chromosome:ASM407v2:6:748950:751563:-1 gene:Csa_6G008020 transcript:KGN45719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIFLKRSFSYRNFILLRNFANQTCNFSFSVNHFSHSWTSDTSPRFPLPPPDFIRETRRGFAKGRKSNESSTSMAEALPDIRPTIKANASSQMEASIVALSGELSKLRTGRASPGMLDHIIVETSGVKLPLNQIAAVSVLDSKTLSINPYDPSTLKNLETAIISSPLGVAPRVDGERLIAVIPPLTKEHIQAMCKLVTKCCEDSRQSIRRARQKAMDTVKKISSSYPKDDIKRLEKEVDELTKKFIKSAEELCKGKEKEITGG >KGN45837 pep chromosome:ASM407v2:6:1598785:1599066:-1 gene:Csa_6G014575 transcript:KGN45837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKREEIEKAETGQIGEGLEFKEEDRWKKKLSMEKSKSTREGERERGRERRRRATGTRSAVIISFLRLRYVRRDDEVQSGSIFYKRKYLSTQ >KGN48741 pep chromosome:ASM407v2:6:24850031:24851920:-1 gene:Csa_6G499850 transcript:KGN48741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGQCFSYGSIMDAWYRSCFSRVGLTSATTDLGNGTVMHCWIPKTPKETKPNLVLIHGMGANAMWQWNQFVRPLVSHFNIYVPDLVFFGESYTTLSDRSEAFQARCVMGVLDAHGVRTTNAVGVSYGGFVAYSMAAQFPDRVEKLVLCCTGVCLEDQDMEDGMFQVKSVEEAVSVLLPQSPEKLKEMIKIAFFKPIRIGPSCLVNDLIDELCTEYREQKKELIQALHKERKLSNLPKITNPTLIMWGEKDLVFPMELAHRLKRHIGEGAELVVIKKAGHALNIEKPKEMNKLIQCFLVDAVPSTKAKIHHQNDLKSE >KGN47008 pep chromosome:ASM407v2:6:11386307:11390503:1 gene:Csa_6G168250 transcript:KGN47008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSGQSPILTILLFIFFLRFDLSNALYGPSSPVLQLTPSNFKSKVLNSNGIVLVEFFAPWCGHCQALTPVWEKAATVLKGVATVAALDADAHKSLAQEYGIKGFPTIKVFAPGKPPVDYQGARDVKPIAEFALQQVKALLKERLNGKTTGGGSNEKSEPNASEELNSRNFDELVIKSKDLWIVEFFAPWCGHCKRLAPEWKKAAKNLKGKVKLGHVDCDAEKSLMSRFNVQGFPTILVFGADKYSPITYEGARTASGIESFALDQLETNVAPPEVTELTGSDVMDEKCASAAICFVAFLPDILDSKAEGRNRYLKQLLSVAEKFRRSPYSYIWAAAGKQPDLEQRVGVGGYGYPALVALNVKKGAYAPLKSAFELEHIIEFVKEAGRGGKGNLPLESTPEIVKTEPWDGKDGEVIEEDEFSLEELMGGEDETVTKDEL >KGN49132 pep chromosome:ASM407v2:6:26766875:26768248:-1 gene:Csa_6G514925 transcript:KGN49132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGF >KGN47071 pep chromosome:ASM407v2:6:11938528:11939262:1 gene:Csa_6G185210 transcript:KGN47071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLTENEENANSSNTKTPDIKNKNIQQQEQDINLQICASTSQEQNIEIVLTNEELVRSPKRSRITESDEAESSKNNEQESYFFLGCEDLGEDPLLEAEEILLKYNDFIEYVYQILKNDEKKQDWSEIVKRVQNLANLLHLKLAVLIFDIECLEQHPRFEKQYNFRLSHIPNIITVIEQINIEIISSKCFSLVLDNKNRRKVLPICLREFERWRQQLSLLIEKVQRLKKMALEIDRDHLETQETD >KGN47259 pep chromosome:ASM407v2:6:13495157:13497746:-1 gene:Csa_6G237620 transcript:KGN47259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEEFLKIQKCVLKVNIHCDGCKQKVKKILQKIDGVFTTEIDAELGKVTVSGNVDAATLIKKLSKSGKYAELWGAPKVNPNNNNGGHQNHLVNQMKNLQIDNGKNGGNNNKQGPPKGGNNQPKLGGGGGGGGGGGGPPQILPQQLQQLQQLQQQMNGFPFQDPKMLPPQLKGMKMPPFKDPIPANQKAVKFDLPEDGDLTDDDDFYEDDDDDEFDEDDDLEDDLDDIPLPPNKMKTFVGGTGAGAVAGGGGQMPNLMVLNGMNGNMNMQQLINAQKAAANGADKKGGGVGGGGGGGGGGAMPMPISINGMGGGNNDGKGGNGGKKGGPGGGGGGAGGGGGNHNLGGGGKNVGKNGNNNGGVQKNGGINGGGGGPINNGNGGKKPGGEMPHVMNGGGPHGFPSIGGHPGLPAGGLAAGGAGGGGGGGRPMNLNMPMAQMGNMQMSQMGSIPAVQGLPAPAMNGGGPGSAYFQGAPGPAEAMGGNPYQQQQQYMAAMNHQRAAMANQAMMYARPPPAVNYLPPYPYPYPPPPPAGDNYTHFFSDENTSSCNVM >KGN48442 pep chromosome:ASM407v2:6:22890221:22893973:-1 gene:Csa_6G487630 transcript:KGN48442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKLFKVKEKQRELAENASNGVPTKKQSAGELRLHKDISELNLPKSCNITFPNGKDDLMNFEVSIRPDEGYYLGGTFYFSFTVSPIYPHEAPKVKCKTKVYHPNIDLEGNVCLNILREDWKPVLNINTVIYGLYHLFTEPNDEDPLNHDAAAVLRDNPKLFESNVRRAMAGGYVGQTFFPRCM >KGN49065 pep chromosome:ASM407v2:6:26440782:26443701:1 gene:Csa_6G511810 transcript:KGN49065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTPSVRQQLTNSITLSVATLAATILFVLFHSPHSSSSTTPHPMAARTFILWLHGLGDSGPANEPIKSLFTSPEFKRTSWSFPSAPNNPVTCNYGAVMPSWFDIHEIPVTADSPKAESSVLEAVQSVHAKIDKVVDGGITPNNIFVCGFSQGGALTLASVLLYPKTLGGGAVFSGWVPFNSTIIDRIHPDAKRTPILWSHGIDDRTVLFEAGQAGPPFLEKAGLSCEFKAYPGLGHSISMEELKHLESWIKSRLQSSS >KGN49053 pep chromosome:ASM407v2:6:26379709:26380023:1 gene:Csa_6G511680 transcript:KGN49053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSYVAESRLGVYDNSQRTAVTLAVKLTRVRSSDTPYPILPLLLGSKHAHVPMSGDAHVPDGARNFPSDNENPFLLFTSHFSNQTPPFTPPPFFKG >KGN46535 pep chromosome:ASM407v2:6:7098867:7099797:1 gene:Csa_6G107850 transcript:KGN46535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKALDDGISLILTIEPLVNMKCTTKMFSMILPSTPDRSFTASFQMDPKFFTQFTCNYYHYAIIPLGDLYLLMLDMQRRGFFALTLNLSEHFNDRRVVAALEFHTYGDEEKLSLAMLPNFMSKNEEDVGEIDYTYFVSIEIEDFRNLVKEFKNEDEVRVVLTNSYVKLSFGRKVIILTTMV >KGN48060 pep chromosome:ASM407v2:6:20139063:20150686:1 gene:Csa_6G426950 transcript:KGN48060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDIRKWFMKAHDKDNGSGSKKAKPAPSSLEKSASAGKTGPSGGESAGRQITSKYFASEKQEAKDAEETEVLPIIRKSPRDTKESPAKRKFQKYNEESPKASPLKKSNKVDDNDDDAVLSSSKKNMSEVTPNKKLKSGSGKGITQKPVEIEASDDEETKGTDSSLKPSGRGRGGKGSSAATIGGRGRGGGRGGFMNFGERKDPPHKGEKEVPEGAPDCLAGLTFVISGTLDSLEREEAEDLIKRHGGRVTGSVSKKTNYLLCDEDIGGRKSSKAKELGTGFLTEDGLFDMIRASGKKAPPRQDPKKSVVKSEESPTKKNFQKVQAKSHKDLAAGASPAKQKSGTAEFSNLTWTEKYRPKVPNDIIGNQSLVKQLHDWLAHWNENFLDVGSKKKVKKASDSGAKKAVLLCGGPGIGKTTSAKLVSQMLGFEAIEVNASDNRGKSDAKIQKGIGGSNANSIKELISNESLHFKMNQPKHHKTVLIMDEVDGMSAGDRGGVADLIASIKMSKIPIICICNDRYSQKLKSLVNYCLILSFRKPTKQQMAKRLVQVANAEGLQVNEIALEELAERVNGDMRMALNQLQYLSLSMSVIKYDDIRQRLLSSKKDEDISPFTAVDKLFGFNSGKLRMDERIDLSMSDLDLVPLLIQENYINYRPSAVSKDDTGIKRMDLIARAAESIADGDIINVQIRRHRQWQLSQSSCVASCIIPASLLHGQRETLEQYERNFNRFGAWLGKNSTFGKNMRLLEDLHVHILASRESCSGREHLRVENLTLFLKRLTEPLHTLPKDEAVKTVVEFMSLYSISQEDFDTVLELSKFQGRKNPLDGVAPAVKAALTKAYKEASKTHMVRAADLIALPGMKKAPKKRIAAILEPTEDTVEGAGGETLVESDDENSVDNEGEENSTNGQKLQLELQSLNKKGMQVQLDLKGVEDSSAKKSGGRGKGGRTSQASEKKGGRGSGSATKRKR >KGN46630 pep chromosome:ASM407v2:6:7967639:7972135:-1 gene:Csa_6G116140 transcript:KGN46630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPYSPFFTPPKKFGSWIFSLLSIVRRVLWPLLQQFSRFVLFPLSAFLRILVTQLKLGYHLGCAFALEVLHLLEVMETGGNSLPSGPDGVKRKVCYFYDPEVGNYYYGQGHPMKPHRIRMTHALLAHYGLLQHMQVFKPLPARDKVLCRFHADDYVAFLKSITPETQQDQLRQLKRFNVGEDCPVFDGLYSFCQTYAGGSVGGAVKLNHKVCDIAVNWSGGLHHAKKCEASGFCYVNDIVLAILELLKHYERVLYVDIDIHHGDGVEEAFYTTDRVMTVSFHKFGDYFPGTGDIRDIGYGKGKYYSLNVPLDDGIDDESYHFLFKPIMGKVMEVFKPGAVVLQCGADSLSGDRLGCFNLSIKGHAECVRYMRSFNVPLLLLGGGGYTIRNVARCWCYETGVALGMEVDDKMPQHEYYEYFGPDYTLHVAPSNMENKNTHQMLEEIQGKLLEYLSRLQHAPSVQFQERPPDTELPEAEEDQEDRDERWDPDSDMEVDEERKPIQSRVKRETVESEIKDSEVNPESARGSEKAAAETTSAKALDIGSLKIEESGVKLEETSKQNDQIFP >KGN45788 pep chromosome:ASM407v2:6:1226912:1231835:1 gene:Csa_6G011640 transcript:KGN45788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSEMTSYYDIDDILAEEELVSVVFQKAVNGVGIDPSSETDSVESGSKVELPFWLAQELHLRQVAVMALPSCFNQRTRLEIQADAAFVDLRSRCQFFYEFGCKIAPLVGDRTIGSWLLSTLKSRYKEVLTKAHSAVFAASSKYLALLSKEETKMYEAAQSSMTAFKKWRMGGPRFQRASFLSRKRKSTE >KGN48186 pep chromosome:ASM407v2:6:20955786:20957013:-1 gene:Csa_6G446460 transcript:KGN48186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASASSSSSAAFAIGPLIRNRSTRFLPCPNLHHKWSFKDAPSHSRLSLFNYSSSSPLLPSLSIRHRFLLPHPPFHLLLRSRSPSFRYPLPLMASTVPDQAGPETPHSNPTKTVRVVIKGRVQGVFYRDWTVGNATELGLKGWVRNRRDGSVEALFSGRPESVTEMEQRCRRGPPEAMVTGFQVFPSSDDPGPGFERLRTA >KGN49227 pep chromosome:ASM407v2:6:27215735:27220460:-1 gene:Csa_6G517350 transcript:KGN49227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFCLKCYDSKLTKLLAVAKSLGKEAREDSNSGFKTEALLGDILLMDLFLSDSDWENSSECDSSDDLEEAEYYHGQTSCILSSLEETIGKIDEFLSFEREFLLGDAVCFVNDPYGQMGKVVGIDMLVDLEKNSGPIIKNVNSKDLLKIRSISAGDVVVNGPWIGRVDKVIDSVSVLFDDGNKCEIIAVDQEKLLPISVDVLDDSQYPYYPGQRVRFSNVSKPPGWLSGWKDDRCEGTVCSVEAGLVYVDWLASVLMGCSSSLTAPPRMQHAKELTLLSCFSHANWNLGDWCIFPGDEKNNYFGEKFITTHDLMNGGYRGTGFQDICVIVKKKTKVDVLWQDGSRSTALDSPCLTPANATNAHEFWPQQFVMEKGNCDDSSMSVNQRWGVVQAVDAKERTVKVQLRTDDLSEGSNLDGLLVEETMSAYELVEHPDYSFSLGDIVFRLALDKFASQSDENNIISEISMNESAQNEGNRIINNNMIMDNFLSFIGNVTGFKDGAVEVKWANCSISMVSPQEIFSIDKYEVSPIAVPNGENLEESRLEQIEPLKPYNIQKGKDMPNCDGASKNFKRDTTCFFSRAAVEFFSNIFTTLFGSVRSTILSGTFTALQSFEGGDEINLLCEKEVLQTCSQSTEMSQIAKLHNFGETSLDIEEIHKNEDLTFSTSSENYDLLTQFDMASDCPDHHFLSSGKGPMLSQASRRWLKKVQQEWSILKNNLPETVYVRVFEERMDLIRAVIIGAPGTPYHDGLFFFDIYLPPEYPQIPPLVHYISGGLRVNPNLYESGRVCLSLLNTWTGSGSEVWNPGSSTILQVLLSLQALVLNEKPYYNEAGYDAQLGRAEGERNSASYNENAFLVTCKSMMYLLSKSPKHFEALVEEHFRRRYQHILAACRAYMEGTPVGSDYQCGTTKPENPDQSSTGFKIMLAKIFPRLIKTFSSKGFDCSQFMEAENGLIL >KGN46348 pep chromosome:ASM407v2:6:5770546:5770734:1 gene:Csa_6G087765 transcript:KGN46348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSKKSGTRRATRMNGLNISPHSLYHSFRLCSNNWIFWRRSLRHFCTFHGNGLRSRNHSISR >KGN47748 pep chromosome:ASM407v2:6:17722035:17722348:-1 gene:Csa_6G399740 transcript:KGN47748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDGKQQEEDGDNDNGKSRVNILYCGGAFPMLEFKIGAGALEREEKCRKRHKSQFQKEAGKASYARTGTEW >KGN46025 pep chromosome:ASM407v2:6:3565919:3572028:-1 gene:Csa_6G045040 transcript:KGN46025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENPDQDQQDPRSVPGVEDTTAMTIEFLRARLLSERSVSKSARQRADELAKRVAELEEQLKIVSLQRKMAEKATADVLAILEDNGASDISETLDSNSDHETEPKVEDGLAREDVSSGTVRRRNEHEEYSGSNIDTSPVLGGSLSWKGRNDSPHTREKYKKHSIRSRSSFTSIGSSSPKHQLGRSCRQIKRRDTRPLDGEQELKSDALVDSSEEIPSTSLEDSQNYSVNGHSILRDGYEVREKTRSSSSGVHNSVGNSDQDNDIDGYEKVDDMEKALKCQAQLIDQYEAMEKAQREWEEKFRENNNSTPDSCDPGNHSDITEERDEMRAQAPNLSNNPANEAKPQVAFDCDTRDLSQAQTNGLGPSMCAVDVEDLQDQNTNSISTSKSLEEFTFPMANVKQCQESQENSAQEPSCTSHLNHGLPERPLSSHGGINSYDQETPCSNNDLYALVPHEPPALDGVLEALKQAKLSLTKKIIKLPSVDGESESIDKSIGPLSIPKMGDRLEIPVGCAGLFRLPTDFAAEASSQANFLASSSQLRSPTHYPGEGAALSANHQIFPGHEMEDRSSFLRDSRLRSSGYRAGSGFTRDGFLTDHIPENRWKNPGQKHHFDQYFDAVQPSSYVHNYPPRPVSSNIHPNDTFLRTFPGRSTEMPPTNQYSFYDDQFRPNMYR >KGN46323 pep chromosome:ASM407v2:6:5630016:5631987:1 gene:Csa_6G084570 transcript:KGN46323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPEFIGLQCKNYNNKYLRYIYEDNEAHGLHQFSSDTVVSGYAKFKVEKANIGNGYVHIRSLYNNKYWVRRSPTEWWITANADEPDENECTWTCTLFEPIQVDSCNNSGLTIRLRHVQLGHFLCLWRIPPPYEACLFAASTDHDESHLDIFTVFDWDSLFVLPKHIAIKGDNGCYLRKNRFQGMNYLTFDCTNISNKDVAIEVFDTSFGDGTITIKSVCFDNFWSRERCEHWVVAGSCNCNANDPNNLFFPIKVSNNVVALRNMGDSLFCKRYTDEGVISGLSAVSSTITVEAKLEVYELVAYRAIENVQFCLSDGRIYDKKVITVATGFAENRTKQLAVIDVKLSYNDTRVSAWSSTVSAKLDVETSVIKSSVPIIFEETLAIGPELFSGEYDWGEDTNLTKKVDTVHKVLVQPCSNVRVNLVATQASYDVPFSYTQNDTLISGEKISLNMEDGIYKGVNLYNFKFETE >KGN48306 pep chromosome:ASM407v2:6:21851875:21852356:-1 gene:Csa_6G465000 transcript:KGN48306 gene_biotype:protein_coding transcript_biotype:protein_coding description:Iron-sulfur binding oxidoreductase MSSDFGEHVGEHAGRKYQFRSGGAFRSIDNCAKDRVVVEIATWSLTVVSEKLQVELKLRAVVAAAIALFTKGKLYRFKIQVDAKTNCGSSVQTYDVEVWIPLVGKWEVRSHRLCS >KGN45610 pep chromosome:ASM407v2:6:52505:55033:-1 gene:Csa_6G000100 transcript:KGN45610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAICARRKANLLYRNFPLSSSESFYYSFSFASFSRRFASSGSDDNDVVVIGGGPGGYVAAIKAAQLGLKTTCIEKRGALGGTCLNVGCIPSKALLHSSHMYHEAQHSFASHGVKFSSVEVDLPAMMAQKDKAVSTLTRGIEGLFKKNKVNYVKGYGKLISPSEVSVDTIDGGNTVVKGKNIIIATGSDVKSLPGITIDEKRIVSSTGALALSEVPKKLVVIGAGYIGLEMGSVWGRLGSEITVVEFASDIVPTMDGEVRKQFQRSLEKQGMKFMLRTKVVGVDTSRDGVKLTLEPAAGGEQTTLEADVVLVSAGRTPFTAGLGLDKLGIETDKAGRILVNERFATNVDGVYAIGDVIPGPMLAHKAEEDGVACVEFIAGKTGHVDYDKVPGVVYTHPEVASVGKTEEQVKESGVDYCVGKFPFLANSRAKAIDDAEGVVKILAEKETDKILGVHIMAPNAGELIHEAVLALQYDAASEDIARVCHAHPTMSEALKEAAMATYDKPIHI >KGN46511 pep chromosome:ASM407v2:6:6909201:6910293:1 gene:Csa_6G105160 transcript:KGN46511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCLSVGFSCASSFPASGCKFTAEEEKMVIELQAQFGNKWAKIATYLPGRTDNDVKNFWSSRQKRLARLLQTSPAPSKLQRSAKKEKPIDFDIPSMEAPKLSSASEGESSLRPMSCLSSCCAENVEPLNMFCSVPDPYSPKLLGFGQDFLQPEFNSIKFEQQSNFHTQSLSSTFSSQGLHDPNFDVFLETLDVSQLTNEVQFPSGLQFYEPMECCSSHDVVRENVDNPESFFSEFPADIFELEPLPSSPEN >KGN49447 pep chromosome:ASM407v2:6:28362765:28364188:1 gene:Csa_6G525340 transcript:KGN49447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATTTMMTFVPQFNGLRPTTSVPVRSLVAVQPLRRRGGGALSARCGDYIGSPTNLIMVISTSLMLFAGRFGLAPSANRKSTAGLKLEVRDSGLQTGDPAGFTLADTLACGTVGHIIGVGVVLGLKGIGSL >KGN45932 pep chromosome:ASM407v2:6:2377348:2377623:1 gene:Csa_6G024400 transcript:KGN45932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLEDFDYGIEVMSAGFLFFFSKLCDKTSLESLALKDFAFFDSEVSSLSCVLHFSGVLSSSSSSLTSSSFTSSTSLQPSLVLRRFDYALFV >KGN47648 pep chromosome:ASM407v2:6:16715449:16717841:-1 gene:Csa_6G366460 transcript:KGN47648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLLLCYLIFLAFTSHSSATYCICKDGLSDQALQKSLDYACGAGADCTPILQNGPCYQPNTVKDHCSYAVNSYFQRKGQVQGSCDFSGTASPSQSPPAVASGCVYPSSPSFTGTPTSTTPSTTPSTTIPGTTPGTTTNPSTTPPSTTTPGTTSPSVFGNGISPTGGVGLGGNSMDGSAAAGLNAAASNLFFFTATVTFWLSMFC >KGN48194 pep chromosome:ASM407v2:6:21006834:21008639:-1 gene:Csa_6G446540 transcript:KGN48194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGKCPHRSVKKRRYSHKTARRTKFLVKGDDMVYNELAKPEVERPSLPVDEDLPGMGQYYCLHCDRYFANVSVRDEHFKTKRHRKRVKQMLGPAPHTQLDAELAAGMGMPDNGPKLMAM >KGN45702 pep chromosome:ASM407v2:6:632641:637792:1 gene:Csa_6G006870 transcript:KGN45702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSVLHQRSTHSSLANSYPLSPRSSSSSERSFSIFSPINLLALLSLIVILGVFLPWMNIQESIFSSSKVSNSKWREYSLAEAASFVARNGTVIVCAVSQPYLPFLNNWLISLSRQKHHEKVLVIAEDYATLYKVNERWPGHAVLVPPAPDAQTAHKFGSQGFFNFTSRRPRHLLHILELGYNVMYNDVDMVWLADPFPYLQGNHDVYFTDDMAAVKPLHHSHDLPPPGKKGRTYICSCMIFLRPTSGAKLVMRKWIEELKAQPWSKAKKANDQPAFNWALNKTAGEVDLYLLPQSAFPTGGLYFKNESWVQETKGMHGLRRKLNVSENSTCGTWMIILSSLLLVEYEKLIDVITILPAIQDQILRVSYPQPQTIIMAKNFS >KGN49382 pep chromosome:ASM407v2:6:27995714:27996021:1 gene:Csa_6G522750 transcript:KGN49382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDAIDRKKTKTMKKRVFSFQRLRDSWKWKFLKNFKWKRLNLQMGFFDAIIFRIVSLFETVALVGIASFFFLCCGGCRF >KGN45874 pep chromosome:ASM407v2:6:1886851:1889914:-1 gene:Csa_6G016920 transcript:KGN45874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSPSPHLNLSFKVLLIGDSAVGKSSLLHTFISASLHDLSPTIGVDFKIKQLTVAGKRLKLTIWDTAGQERFRSLTSSYYRGAQGIILVYDVTRRETFANLLDVWANEVELYLTDQDCVKMLVGNKVDRESERVVSREDGIALANKLGSLFFECSAKTRENVEKCFEELAIKIMEVPSFLEERSSLVKRNILRQKQEGQAQQSGGCCS >KGN46524 pep chromosome:ASM407v2:6:7015785:7018050:-1 gene:Csa_6G106760 transcript:KGN46524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLHVVAAIHCRLGQFDVAIPVLERSIEAVEPGNGLDHALAKYSGYMQLGDTYSMLGQLDQSISCYEAGLMIQINAFTDSDPRVAETCRYLAEAHVQAMQFEKAKKYCKKTLDIHKQHSPPASPKEATDRHLMALICEALGDSESALEHLVLASMAMIAHGHDAEVAAIDASIGDIYASLCRFDEAIFAYQKALTIFNSTKGESHLSVASLFVRLAELYNRTGKSREAKSYADNALRIYLKPQVGASYEEISSGLVEISAVYEATNEPEEAFKVLQRATTILEQGGRGGGGRRSGSGSGAMAGIEAQMGVMFYMVGKYGEARKAFEGAIGKLRGTKSAMFGVLLNQMGLTCIQLYKIGEAVRLFQEAKVVLEEHYGVYHSDTLAVSSNLAAAYDAMGRVEEAIEILEEILKVREEMLGTANPEVDEEKRRLEELLKEAGRARNKKAKSLQILLGSNSLRMKKEVAKRWTGGFSFRL >KGN46672 pep chromosome:ASM407v2:6:8336151:8341762:1 gene:Csa_6G120420 transcript:KGN46672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKMMEQKKVAIIGAGISGLAACKFIISKGMVPIVLDARGVIGGVWNETLKSTVLQTPTHMFRFSDFPWSKSVTAEFPMHNQVLDYIKSYAEHFGLLKYIRLNSKVVSIEYEGFSDEEIEGWTHWGHSGNAFAKGSKWRLNVVDAQTNAPLQEVVADFVVLCIGRFSDVPNIPNFPPNKGPEAFKAGKVLHSLQYSAMDFDSAANLIKDKRVTVVGFHKSALDLAMECANTNGPKNPCTLLYRTKHWNPPDTTPWGISLGFLYMNRFAELMIHKPGEGLLLYLLVILFSPIRWLISKLVETHIKRKVKLAKYGMIPKQSFLQDISSCVYAGLPNNFYDKVDEGSIILKKAPSFSFCEEGIMIKGETKPVHSDLVVLATGYRGDLKLKDIFASSTFRDYMFFGDSVVPMYRQCIHPRVPQLAVIGFSESNSNLYTSEIRSRWLAEFLDGTFKLPSVKEMEKDIANWEKCLKLYSGPFYKRGCIATLHNWYNDQLCKDMGWNPKRKKGFFADLFLPYGPTDYAFP >KGN47497 pep chromosome:ASM407v2:6:15642911:15643186:1 gene:Csa_6G344260 transcript:KGN47497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRFMDAKIWGQRRQNRLVVKMSRLLLELSRTGIMPHLTKLIPHRIMEIKQIAHLLPTLVINNKTHINSIANNGIGFTWNPQESILQVEY >KGN45925 pep chromosome:ASM407v2:6:2305988:2306290:1 gene:Csa_6G022365 transcript:KGN45925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLYKLITIICFSKDYSLRVILLRDVKDTRMTLKDFRKLLRQREWFSKVAYVLPFSFLLQGKVKKLMKDSDNPLFRYISTGIQMRGITIWRSVRERLPFF >KGN48554 pep chromosome:ASM407v2:6:23629323:23631878:-1 gene:Csa_6G491660 transcript:KGN48554 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-ribosylation factor, arf MGVAISRLVRMLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNVSFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDKERISEARDELHRMLSEHELVDATVLVFANKQDLPNAMTVAEITDKLGLHSLRNRRWYIQATCATSGQGLYEGLDWLSSNISTKA >KGN48606 pep chromosome:ASM407v2:6:23990653:23992838:1 gene:Csa_6G495110 transcript:KGN48606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYTTDRLSSFSPSSLFCQEDASFLTDDDHTQQPTSLSDPLPFFLADDDDEYFEILVSREIFTESKTRLPVNDSPAAIQSWLRSVRLDAVEWILKSRVLFGFQFHTAYLSISYFDRVLSIRNLQKRSWIFRLLAVGCLSLAAKMEESKTPKLSSLQVEGFDMESKAIQRMELYILNTLGWRMSSVTPFSYLQYLIRTIFVDYNWQGLLSKAAKFVMATVKEINLVDHRPSIIAAASLLASSDTRMTREQMELKLKAITSFGSLEYEDVFFCYNLMLKTENENVKEELTGTPSSSICTTTPNIVDNRSATSASGTKSKRRLTFEDSDPDCPEKKIHRP >KGN48452 pep chromosome:ASM407v2:6:22953751:22955119:-1 gene:Csa_6G487730 transcript:KGN48452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDGMPGAGPLHTAAALARLRLSGCPRTLHSQLPQIYSSHELPAAPSVSPSILSYLSLSFSLRITEVQPLHAVGEIAPPSFIVQIPK >KGN47132 pep chromosome:ASM407v2:6:12308957:12309941:1 gene:Csa_6G190230 transcript:KGN47132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTTFISLSLPISLSSSNLLPTLRSSSSPPFPFSTALFSRPTLPLLNPLRQNRQKQLSISRIHAATSQQTLPSDSAALLAAEKSQEIVGSTNDDGVSTIISVLLFTAFIGLSILTIGVIYIGVTDFLQKREKEKFEKEEAGKKKKSGKKKKVRARGGPRGFGQKVEGEEEEDDEN >KGN49221 pep chromosome:ASM407v2:6:27179492:27186014:1 gene:Csa_6G517290 transcript:KGN49221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGKETYGTNASKCSQEDIVLKSLVDAFGSKFSLEEIASAYFKAGYKADLAGEILFQMQESTSSSALSDDVGNGDNLGKGKVSEKKYQVKGNLKAAKSKVQSFSTGTVSNIIGKEYACSKPSGNKFTKVNKPVKVEVKVLHESSSEGDCTSLPSDFDLHHEMEDFLFKMLGDGFRLKREVIREVIGTCGYDMKESMENLLNRSTTPVDERLGSGNKSTDMTAASCSRSDSISCQRNKAENHYPGGSSWNGNLASSKKVLELTNLEKTRSDLQKEVLTALYNASEEPEEESPRRTVPRRKQFGAYGQLVSEPFKDVDAEREKPVEYNDQIDLDVEVEDEENSYQLLRKAVREYRGTMKEYYAAAIDAFAKGDSVRAAKLIDEGHFFHKKAQEADKQSNQLIFEPRHADTEDDEMLLDLHDLGGKEAVKVLKSQISSLSGIPSIKHLKVIMEADDKNTSKRSCRRLVMKLLEKESIEWTEEENGSYILIHLDTIDRKRLSFVKGVH >KGN46661 pep chromosome:ASM407v2:6:8226042:8226287:1 gene:Csa_6G118875 transcript:KGN46661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGEPCGIQTFSYEGHTISSMLVHQITMKMYSNLLRRIKSGRKMFKKMRDRVRNALQIDTWITIMVSQGSKPKLQITVWD >KGN46548 pep chromosome:ASM407v2:6:7208787:7210724:-1 gene:Csa_6G108460 transcript:KGN46548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFGKRLKQQVDDTLPDWRDKFLSYKDLKKLLRLISNNVDVINNNADADFVCLLNSEIDKFNSFFVEQEEDLVIRHRELRQRILESWGPRGNEMDDHKQEIREDIVNLHGEMVLLLNYSNLNYTGLGKILKKYDKRTGGLLRLPFIQSILQQPFYKTDSLSKMIKDCEVSIDAIFPTPKQQFNNENKPNISVGSEGIFRNTVSALLSLEEIRRRSSTYSHFSLPPLNLPDSDLIHSFQLNSPIPIL >KGN45780 pep chromosome:ASM407v2:6:1160724:1163287:1 gene:Csa_6G011060 transcript:KGN45780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRLRRKKRPNLRIDAALDAMKQFGFPPKLVRDTVKELLDVYGGDDGWVFIEEGSYALLIDSLLEKQNEGAIEVVHDNERVDHQYTSVAGCSLSATDVTPSNEAIMTTAALPANDLDTLFPGDESYWNNKGSVDDDHFRSTFNQSLPAYTPKIRRRKAYHGWVGKDDKEEDLVYLTPDHLPEELAKLLIAGALKKRKKRWDVEPAEA >KGN49183 pep chromosome:ASM407v2:6:26965275:26966094:1 gene:Csa_6G516910 transcript:KGN49183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAMISSSFPIFLLAFSLLIFSISVETRSTPFRGVVEAGLELQCNKVYGVKNGDTCFDLEQKFKITTPHFSFINPNLNCTDLFIGQWLCLDAVLILP >KGN45911 pep chromosome:ASM407v2:6:2186252:2186810:1 gene:Csa_6G020740 transcript:KGN45911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSKSAITLLNSTSSRLCFARTSATFASAPPDQLKRQSRRIKMAAYSSMARVAGRRRAWARALLFRLHTRPRHKTLMRRKRRPSTSSDDMVGKLRRLLPGGETMDVCTLLEETANYIHCLSTQVKVMKAISNHHLSKVN >KGN47498 pep chromosome:ASM407v2:6:15645519:15650234:1 gene:Csa_6G344270 transcript:KGN47498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNDTEYYDILGVRPSASEEEIRKAYYHKAKQVHPDKNLNDPQAAERFQALGEAYQVLSDAVQRNAYDRNGKHSVTRESMLDPTAVFALLFGSELFENYIGHLAVASMASSELESESGNPERLHDKLKAVQKEREEKLARILEDFLNQYVQGDKDGFLQHAESEAKRLSDAAFGVNILHTIGYIYSRQAAQELGKRAIYLGVPFVAEWFRNKGHFWKSQITAAKGAFQLLQLQDDISRQFKMDGSGPGTDIESHIQANKDTFLNSLWRLNVVDIELTLINVCQMVLRDTTVRKEDLKARALALKVLGKIFQQEKQAQDSGTSRKKGAAAVDDEGTSSESSDDEDDPRRPLSYRTPFLTQGIGRLFRCLCNPAFDVDDDEIVYKSK >KGN48784 pep chromosome:ASM407v2:6:25087629:25090737:-1 gene:Csa_6G501250 transcript:KGN48784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFLKKGTVAARMEIANASLGVTLGDGSLACASNCLAALLASALVNTQRLAQDSLGAFLINPEMKGDSSTLCPSSTPLDAGGDVDDDDEGDGDGDLSEGEGEEDLSSEDGDDYTNNLNDNNKSNSKKNPEGGAGGAEENGEEEEEFDGEEDQDDDGDDDDDNDDGDEDDDDEDGDDDDDDDGGEDEDEVADDEDEEDEDEDEEALQPPKKRKK >KGN48571 pep chromosome:ASM407v2:6:23735350:23737410:1 gene:Csa_6G492310 transcript:KGN48571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSSSVSHAKALQFIEEITTNADSVQQKVLAEILNRNSDTEYLKRFQLSRTASRDEFKSNVRVVTYEDLQPDIQRIANGDRSPIFSSHPISEFLTSSGTSAGERKLMPTIKEEMERRQLLYSLLMPIMNKYVPGLDKGKGLYFLFVKAETKTTGGLLARPVLTSYYKSDIFKTRPYDPFNDYTSPNEAILCANSFQSMYTQMLCGLLMREQVLRVGAVFASGLLRAIHFLQHNWKQLAHDISTATLNPKITDPCLRECIVSKYLTNPNPELAELISKECSTEEWEGIITRIWPNTKYLDVIVTGAMAQYIPTLEFYSGGLPMACTMYASSECYFGVNLNPMCKPSDVTYTIMPNMCYCEFIPLDNDMSSSPTQLVDLADVEVGKEYELVITTYSGLCRYRVGDILHVTGFHNAAPQFRFVRRKNVLLSIDSDKTDEAELQKAIDNAALLLRGFNTSVVEYTSYADTKTIPGHYVIYWELLVKDEETGNFPPGEILNQCCLAIEESLNSVYRQGRVADNSIGPLEIRVVRNGTFEELMDYAISRGASINQYKAPRCVNFTPIIELLDSRVTSVHFSPSKPHWTPERRG >KGN49094 pep chromosome:ASM407v2:6:26606186:26609333:-1 gene:Csa_6G513580 transcript:KGN49094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLAKKALNKYLSQLNHHPLRTKVITSGVLVALSDVTSQKLTGIQKLQLKRILLKVLYGCLYLGPFAHYLHQILDKIFHGKRDTKTVAKKVALEQLTASPWNHFVFLVYYGLIIEGRTWVQVKAKVKKEFPSLQLTAWMFWPFVGWINHQFMPLQFRVIFHSLVAFCWGLFLNVRAKSVTLNKS >KGN47753 pep chromosome:ASM407v2:6:17737552:17746054:-1 gene:Csa_6G399780 transcript:KGN47753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMALERKESMQRNCEASIKCLQNNGMPFSLQHSGNSADGFQELTDEISCHANNDIPETNHPLVNNFIERPNEFHNKPAYQHNSRSWPAFHFESQKVQQCQMSASDCQFYSLPVENRFQYFPFKMFEPNYCDVRLQEFQYFVVIDFEATCDKDRNPHPQEIIEFPSVIVNSVTGHLEACFQTYVRPTCNQHLSDFCRDLTGIQQIQVDRGVTLNEALLRHDKWLEKRGIKNTNFAVVTWSNWDCRVMLESECRFKKIRKPPYFNRWINLKVPFREVFGGARCNLKEAVEMAGLDWEGRAHCGLDDAKNTARLLALIMHKGFRFSITNSLMWQTTDCPLQWKQSPETVTFPPQPPMKPKPMHIPIVQYFPYCFCGVRSSRGMVRKPGPKQGSFFFGCGNWTATKGARCHYFEWATP >KGN48902 pep chromosome:ASM407v2:6:25705303:25707161:-1 gene:Csa_6G505310 transcript:KGN48902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVIRAQRKGAGSVFKSHTHHRKGPARFRSLDFGERNGYLKGVVTEIIHDPGRGAPLARVSFRHPFRYKKQNELFVAAEGMYTGQFVYCGKKANLVVGNVLPVRSIPEGAVVCNVEHHVGDRGVFARCSGDYAIVISHNPDNDTSRIKLPSGAKKIVPSGCRAMVGQVAGGGRTEKPLLKAGNAYHKFRVKRNCWPKVRGVAMNPVEHPHGGGNHQHIGHASTVRRDAPPGQKVGLIAARRTGRLRGQAAATAAKADKA >KGN46745 pep chromosome:ASM407v2:6:9030545:9032636:-1 gene:Csa_6G128550 transcript:KGN46745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTFSSLSTATSLPNIPRMLPPIGSLHLPSSHFFPKFNFNTKTSLFTLFTSTHKPQLRYKSRPRASVQCLFTGIVEEIGHVKSLGISENGGFDLEINAKTVLEGVNLGDSIAVNGTCLTVTAFDHQLSEFKVGLAPETLRKTSLVELSPGSPVNLERAVQPISRMGGHFVQGHVDGTGEIISMDPEGDSLWIKVKTSEALLNYIVPKGFIAVDGASLTVVDVFDDEKAFNFMLVAYTQTNVVIPLKKVGHLVNLEVDILGKYVQRLLSNGAVKPIESP >KGN46947 pep chromosome:ASM407v2:6:10782824:10785355:-1 gene:Csa_6G152330 transcript:KGN46947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLQSDALREAISSIFADSGEKKRKFTETIELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKICMLGDASHVEEAEKIGLDYMDVEGLKKLNKNKKLVKKLAKKYHAFLASEAIIKQIPRLLGPGLNKAGKFPTLVTHQESLESKVNETKAMVKFQLKKVLCMGVAVGNIAMEEKQVFQNVQMSVNFLVSLLKKNWQNVRCLYLKSTMGKAYRVF >KGN47815 pep chromosome:ASM407v2:6:18273379:18278770:1 gene:Csa_6G405290 transcript:KGN47815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLSSKPFLGSSRTEGFSGLTSLSTDLSKLSFSAVKISVGSRNAKKLQIKAAGSTFGNYFRVTTYGESHGGGVGCVIDGCPPRRPISEADLQVELDRRRPGQSRITTPRKETDTCRILSGVTNGVTTGTPIHVFVPNTDQRGHDYSEMSIAYRPSHADATYDMKYGIRAVEGGGRSSARETIGRVAAGAVAKKILKELAGTEVLAYVSQVYKVVLPEGVVDHETLSMEQIESNIVRCPDPEYAEKMIAAIDAVRVRGESIGGVVTCIVKNCPPGLGSPVFDKLEAEFAKAVLSLPASKGFEIGSGFGGTFLTGSEHNDPFYLDENGRIRTVTNRSGGIQGGISNGEVISMRVAFKPTATIGKKQNTVTRDKKEVELIARGRHDPCVVPRAVPMVEAMVALVLMDQLMAQHGQCNLFPINPDLQSPIEPKVGVSKTTV >KGN48917 pep chromosome:ASM407v2:6:25801423:25802650:1 gene:Csa_6G505940 transcript:KGN48917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDADSLTINSFADKKCQGKVKRNMNSVDDLGYSNRRLLKDSLFEQPFGNRERIVTICATSAIAALFVISLFISLFTCFRRQLNSPSSKPLSSTCSSQLLTTMPVYIYGDSSSNMPSSYCFTSFESRTCVICLAEFEYGDELRVLPNCKHVFHKGCIDQWLPLRSLLCPLCREHTIKEIETMRRPVCSNYWSRNPAFILAFGLGSNAPLPSQL >KGN47332 pep chromosome:ASM407v2:6:14318765:14331236:1 gene:Csa_6G301020 transcript:KGN47332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPLDKPAPKSSSLAPFPRDSRGSLEVFNPSSTYSSRSINSIPRTNPAWPNWAEPRSSAESDSTKLPAKPPSKTGEEITSWMAFKDSNPSPSPSPQPSSPLAQKTISAILSEKSPSGKTQSQSQSQSADEVGSAALRAAEWGLVLKTDTETGKPQGVGVRTSGGDEPNTKQENSRRTSNNSVRSSGDEMSEEGGRERGIPRVSEDLKDALSTFQQTFVVSDATKPDYPIMYASAGFFKMTGYTSKEVIGRNCRFLQGADTDPEDVAKIREALQAGTSYCGRLLNYKKDGTPFWNLLTISPIKDDDGKVLKLIGMQVEVSKHTEGFKDKMVRPNGLPESLIRYDARQKEMATSSVTELVQAVKRPRSLSEYRPRALSESMNRRLFRKSGGGGGGGEGGGKDEKDKLEPTMVRRKSESAAAPAGRRSQGGSRRSMQRINEVPDKKPKKSSHRSFMGIMRKSQSNVEESFDIEEGSDDENESDDDVRPDSVDDKVRQREMRKGIDLATTLERIEKNFVITDPRLPDNPIIFASDSFLELTEYSREEILGRNCRFLQGPETDRTTVKKIRDAIDNQTDVTVQLINYTKSGKKFWNLFHLQPMRDQKGEVQYFIGVQLDGSQHVEPLSNCIAESTAKEGEKLIKETAENVDLAARELPDANLTPEDLWANHSKLVQPKPHRKDSPSWQAIQKILDSGEQIGLKHFKPVKPLGSGDTGSVHLVELCGTDQYFAMKAMDKGVMLNRNKVHRACAEREILDMLDHPFLPALYASFQTKTHVCLITDYCPGGELFLLLDRQPTKVIKEDAVRFYAAEVVVALEYLHCQGIIYRDLKPENVLLQSNGHVALTDFDLSCLTSCKPQLLLPAANEKKKQSKTQQTPIFMAEPMRASNSFVGTEEYIAPEIITGAGHTSAVDWWALGILLYEMLYGYTPFRGKTRQKTFANILHKDLKFPRSISASLNAKQLIFRLLHRDPKNRLGSREGASEIKRHPFFRGVNWALVRCMNPPELEAPLFQTTDGEKDANKASDFDPKELELSVF >KGN49131 pep chromosome:ASM407v2:6:26766298:26766869:-1 gene:Csa_6G514920 transcript:KGN49131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFHFLSQSKLDSVFRMGCFSPSSMIFDNRLSKLSIGGDGSIILCSFNVQTCSSRKPDNLRAVITFAFLLFVLETGE >KGN48668 pep chromosome:ASM407v2:6:24407045:24411753:1 gene:Csa_6G497190 transcript:KGN48668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYENKFVLAPMVRVGTLPFRLLASQYGADITYGEEIIDHKMIKCERRLNEHVGSTDFVEKGTNNVVFRTCSLERKHVVFQMGTSNAARALSAAQLVCQDVAAIDINMGCPKSFSISGGMGAALLNKPDLIHDILTTLRRNLNILITCKIRLLNSPQDTVELARRIESTGVSALGVHGRRVADRPRDPAKWSEIADVVAALTIPVIANGDIFEYDDFQRIKVHTGASSMMVARGALWNASIFSPEGKLPWEDVKREYVRKSILWDNDIKSTKYTLKEMIMHHSCLELPEGKAVIKSESLADLAKLYGEEKYYQFVQENRSFNESR >KGN47317 pep chromosome:ASM407v2:6:14146631:14149231:1 gene:Csa_6G294430 transcript:KGN47317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVIDIIFRVDSICKKYDKYDVEKQRELNAYGDDAFARLFAAVELEIHAALQKSEVASTETNRAAAVAMNAEVRRKKARLMDEVPKLRKLAHKKVKGVPKEELEVRDDLVLALEEKIKAIPDGNTSGAKHSGGWGSSSSSNNIKFDSSSDGNFESEYFQQSEESSQFRNEYEMRKMKQDQGLDVISEGLDMLKNLAHDMNEELDRQVPLIDEIDSKVDKVTDEIKNTNVRLKETLYEHIELS >KGN48386 pep chromosome:ASM407v2:6:22450413:22451107:1 gene:Csa_6G485130 transcript:KGN48386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADIDTQIRQHPSISKETALQALNTIIQLHFEKTLEKKRAIDLQKKELHKLFQLFFIFLALIFLTQSLSPRLECRHCWIPIALLSVSHLSFYVSVAQTLRCINGFKYQRRCHKLTLGFATERLREMRMKISAAVDAGFDGGVTDEEFEIHYQEPPESYLGKFKRNWALHFGFLIFIYLFMISSSVVLLCS >KGN49147 pep chromosome:ASM407v2:6:26819826:26820230:1 gene:Csa_6G516550 transcript:KGN49147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLRIVYMFFISDIYIRQRLLIFLQAWTNWRAGTISNVIDSTLTSGLRFEMIRCIHIGLLCVQENIANRPTMTSVVMMLSSASLTLPIPSKPAFFMHTTTNRYDTTLRSDESNSESVSDQYSRNNISITEIHPR >KGN47934 pep chromosome:ASM407v2:6:19233355:19235385:1 gene:Csa_6G417860 transcript:KGN47934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLIPWEEHILIDEDNGIEEKENPLRNWSNNNGELEEIFIDIQSSKSGEEALLLPNEIIGSLVEDSTLLGLEENDHSIDGVLERENSKLFPPTSINVHNEEPSNSNKANAKKEEHNARERQRRLKLSHSYFSLRSLLPNARRSKAEAEGLRIIGASTVSVSHDHQILTSHLHIQMMDRSLQEAADYESMLRKRVISWLC >KGN49541 pep chromosome:ASM407v2:6:28978973:28979963:1 gene:Csa_6G538700 transcript:KGN49541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKVKRGLWSPEEDEKLVKCMKRILSSDGAQAACWSRVPKMAGLERCGKSCRLRWINYLRPDLKRGCFSAEEERTIIDVHRILGNRWAQIAKHLPGRTDNEIKNFWNSSIKKKLIAQGLDPTTHNLLPASTTNTIYNHHQSIIISQSPVTSNNNNNTTYLTTSLPLPMNFEHHHLGMNENNNIIDDSSEALQRNNTLEKDNDDNGVGMVAEYQLEMGYRELNNNVMMRQQLQHDVDVHDYKTTCHNSTTTVVEFHDFDFYFEDSTPMSNIPPNSILTNYTSSSNNFQFA >KGN46021 pep chromosome:ASM407v2:6:3531515:3532503:1 gene:Csa_6G044020 transcript:KGN46021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEHRLLCTAYDSIKAMRSEGFASVLFYSRFCCSLVCLVLVFLDLGQFLNAVLMKMQHRKQIIPPGSSQLCSIFKPNPTSTVLGLLKPSHSKIDLGSFKFPSHF >KGN48029 pep chromosome:ASM407v2:6:19900525:19901452:1 gene:Csa_6G425170 transcript:KGN48029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHSVTTPHLSISFILSLTLLSQNLTHLSFLLPPAKKKMGKKRNWSWTSALVGAASAIAATAIISAKPKDPTFHLISIKFTSFKLKPPVVDTELILTVHVTNPNVAPIHYSSTAMSIFYEGSLLGSAQVDAGSQQPRSCQVLRLPARLDGLKLAHHGSRFISDVAKREMVLDASVDIGGFARVLWWSHKFKVHVDSHLTVDPVFLDVLDQENTSQLELFLN >KGN48731 pep chromosome:ASM407v2:6:24803136:24804352:1 gene:Csa_6G499750 transcript:KGN48731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWFGLVSNPDLRESRQWLKPTHSSNIFNIATPTTGCQKKLQIDDDAKLRPFWDKRISQEVNGDALGEEFKKVIF >KGN49532 pep chromosome:ASM407v2:6:28943399:28951793:1 gene:Csa_6G538610 transcript:KGN49532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTPKPNPPRLQVEGHDFTSSTGSQESINGQNAFQFTSDYGDVNFRNRKPNSKTINKDTEPQMDDSGLDIIFEPFERSSSGRWLKGGKTSPFPFRTILSNLNLDLEDLDNGTKKRELGTFQEYQTLANPVRPWIEKSFSVKKRKDDFSGISLPQSAASFYNGWSPVMEVSESCESIEQLNVYLKSKRDDVNAGVPGRFLHVVIGKDVSDIGSVVSTIMYAFYLNETRKYDEFCTVPVINMKRTDLNSHSELKWLLDSCQIDTSSLIFVDEIDLSYYELFGSLKVVLLNSSKLPAKQEQALKEAVVEIFNCNKDESIYPWVENITIQQGCSCCTLIAEKFAQISPEILAGKGFSRLLLAGILLDSGNLTSPNCTSKDKYMATLLINGAGRFGCNGFYQLLKFKMYNVSNHGVIDLVLKDFKKWTKGSLDNSGKRLTKLDLGLSSIGISIAQFLSLEVNSTQDIKNFLRVEKLQLLVIVSGYYDARKNFKREILAVADSLELMQKLLVFLNTDTCQSQLPLKVLHQTGLTDEIRAFEINKIASRRTIERILEEFCQIY >KGN48757 pep chromosome:ASM407v2:6:24931507:24937318:1 gene:Csa_6G500490 transcript:KGN48757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMHTGVGLSKILILVGAGYSTTIMLKNGKLSDVLGELQSLVKGMEKSGEQSDGDSDYSDAIAAQVRRLAMEVRQLSSSRQITILNGNSGNIGNLSSLIVPAATLGALGYGYMWWKGLSFSDLMYVTKRNMANAVSNLTKHLEHVSEALAATKRHLTQRIENLDDKMVKQNELSKLIKEDVAGVQKSLSDIDFDLGELHNMVSGLDGKLSQLEFKQDFATLGVMYLCNVVDGKQVKMPDTLKEQFKLSGKAQGQLMHLESPNLKGLKELTDTLSQDITCEQPRALLRSTSTRC >KGN46850 pep chromosome:ASM407v2:6:10143543:10144781:-1 gene:Csa_6G146950 transcript:KGN46850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSRLISPTPSSSPSPIEAQKIDTSWHDGRATFYGDFRGGETMEGACGYGNLFHQGYGLATAALSTALFNNGEACGACFEIMCVNDEHKWCKPNAGTIKITATNFCPPNYTKTQDIWCNPPQRHFDLSLYMFTKMAPYRAGVIPVRYRRTRCHKLGGIRFELKGNPYWLLVLPYNVGGAGDVVDLQIKGSSTGRWLPMKRNWGQNWEADSYLVGQSLSFRVTTSDWKTKEFDNVVPSSWEFGQNFVANYNF >KGN48202 pep chromosome:ASM407v2:6:21064691:21067306:-1 gene:Csa_6G448100 transcript:KGN48202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMECEMEAWISPNGDMSSIDLGYGSFGCSHYRRRCKIRAPCCNEIFDCRHCHNEAKNSLDVDPLLRHDIPRQDMEKVICSLCGTEQDVRQYCIQCGVCMGKYFCAKCKFFDDDVSKKQYHCNECGICRVGGEDNFFHCKKCGCCYSKLMENSHNCVEKAMHHNCPVCFEFLFDTMSDISVLPCGHTIHLECVKEMEQRLQYTCPVCSKSYCDMSSVWEKLDHEVASTPMPQMYQHKMVWILCNDCSEISEVNYHIVAHKCLKCKSYNTRLTQGGPSSCSPRIAQIVR >KGN48589 pep chromosome:ASM407v2:6:23854525:23859329:-1 gene:Csa_6G494940 transcript:KGN48589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVIIENSVWEPNPYLFIFIFLSCFLSIFLLPYASKNSSTRAPAPFEHGFSSAFSTFQRKFLLLYSLASVMEGLWSVYGEFEFTYRGVSREQIVLSLCVGYAASLFVGTFLGILSDLIGQKKICMTFCIIHLVTAIWKRISVHPSLFIASVGLSLATSIFSFSFETWMVHHHEKQGQRQDMLSDTFWLMTIFESVSLVGNQMLVNSLIGDDVKRNMFSSSTAAVFLALICLTFIIKGWTEVSQRIELEDYRTSFSAYILSDKRIWLLAWAQASVHFSVAFFWILWAPTLVADGREVHLGLIYPCLLGSRILGSSLFPWLMSGTSSLRTEDCLLYCFAISGLVMSIVAFDYQELGVLVMLFSIFHACVGLILPSLAKLRTMYVPNKLRGGMISLSLAPANAAILFFLLQGGYYRRIENSVILAFAAIGLFTSAGSVYALKRWGKHPYQTWQKQ >KGN48777 pep chromosome:ASM407v2:6:25052931:25054092:-1 gene:Csa_6G500690 transcript:KGN48777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQKFDALKAPLRHLSFIPPGGGGILAHSLARVASWIKVKEADQSGTGIESIINRVESCLAEGNLAEAAHSLEEGVKGTKAEEVVHDWVRQARNRAITEQALTLLQLYASSISLT >KGN46769 pep chromosome:ASM407v2:6:9253438:9258226:-1 gene:Csa_6G133780 transcript:KGN46769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYKRRQQGATRASNFEEVARNSRRPTRFVSNEDDDVYDLSSDSGNDAVGSSRSSSSPQSLAAKAIKASSAHRDSSLSSAYAARSASRVSKPAFSSSFPSPSSVQDSKAYEYTSMKSLNESKHGFWGALARKAKAILDDDNGVQQPEVPGRMREQGFGTGTPAGTGAGAGAGSATRAKYHNRTQSDESQPKTENSSFQKGLGAIASSLNYIGNAFEEKLTAVENKTADIIQETRKHIKKKSGGSVAQYQTPNSGSNMESQTQPPTQTQMQTGLELQLKASRDVAMAMAAKAKLLLRELKTVKADFAFAKERCAQLEEENKILRENRERGNNLEDDDLIRLQLETLLAEKARLAHENSVYARENRFLREIVEYHQLTMQDVIYLDEGTEEVTEVYPIKHAAAASNLNAISSLPPQPLSSSSSNRPGVGLDMSSQMTQIVPTCPLPPSDLR >KGN49276 pep chromosome:ASM407v2:6:27476805:27480340:1 gene:Csa_6G518310 transcript:KGN49276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSLSSKTLNLLGFFSVVIVLLFFISHGRPLSTEHDQHSSSSSSNTILVFGDSTVDPGNNNYIPTLFRSNFPPYGRDFFNHQPTGRFTNGRLTTDYIASYAGIKEYVPPYLDPNLEMKELLSGVSFASAGSGFDPLTSTISNVISMSSQLELLKEYKKRVESGIGKNRTEAHMKKAVYVISAGTNDFVVNYFLLPFRRKSYTVSSYQHFILQLLIHFLQGLWAEGGRKIAVVGLPPMGCLPAVITLNSDDTLVRRRGCVEAYSSAARTFNQILQKELQSMQSKLAESGAKFYYVDSYGPLSDMIAGFNKYGFEEVGNGCCGSGYVEAGFLCNTKTETCPDASKYVFWDSIHPTQKAYYNLFLATRPIVDAVI >KGN48188 pep chromosome:ASM407v2:6:20974782:20976105:1 gene:Csa_6G446480 transcript:KGN48188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTSSIAAQPVPPPTVAQRKRQIFAQLRTPSSHIRLCPEFPLTSFKLVAAGVTSCRLRALLVLVVVMTSVRGLGQLGSYYDSRIGTTWNAFNGNH >KGN48682 pep chromosome:ASM407v2:6:24492928:24493821:1 gene:Csa_6G497320 transcript:KGN48682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRDLGCRRLPYLSGCVPSSPACFPIHEGVEYTRIHGGAGAKRSGWRWRYLLRKWVKDGMNIYGSKSLSFQYDAVSYSQNFDEGSRCYESCHRENEIGISR >KGN48292 pep chromosome:ASM407v2:6:21779249:21779838:1 gene:Csa_6G454390 transcript:KGN48292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRRRIQLQETPKKPSQIFLRVYLVLQNHLNHRVSEIQVRIVRILLNSNTLPPAPTEASERDRGSGLGVGVGEGRGFGVGGSGGEAMMMMVGGFGGGLWFEVVEEVREELRSANVEIDGEGSELEISPGSRHCC >KGN48786 pep chromosome:ASM407v2:6:25097199:25097599:-1 gene:Csa_6G501270 transcript:KGN48786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINGSFPLDNQDVVLALENSENYLKRTTREMWSAEFTRKEQGCCQINRLRKEEDDKKGRIRADSLT >KGN46133 pep chromosome:ASM407v2:6:4348490:4350187:-1 gene:Csa_6G056510 transcript:KGN46133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCHSSVTIVVDGVSDWKNPSVHIGDSIIFKHKFHYELFIFHNQRAFDLCNYTHATLLTKPNSTSFMWHPSRLGIFFFSFNNGSKSSCNGSQKYAVKVSTSSPPQSSYPSPHNPPMAAPAPISSGVVPSTPAYPWPFHPRQGASSPSPSPGMPPTASVPLTVPAKGGGMAFINSNPAVPLPTGEVDTATIRPLQTSNNGTHRVIMAIPLLLKMTLFSILFL >KGN47622 pep chromosome:ASM407v2:6:16548906:16551507:-1 gene:Csa_6G365220 transcript:KGN47622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALFNFHSFLTVILLGICTCTYVKMHFPAILEQRNGFRGFFWKAARIGERLSPWVAVGCFSMGVSIIFF >KGN48908 pep chromosome:ASM407v2:6:25736729:25738425:1 gene:Csa_6G505860 transcript:KGN48908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHPAKQQQQEQGINDQGGEGGGGSNGKGGFLCRQSSSRWTPTTDQIRILKELYYNNGVRSPSADQIQRISARLRQYGKIEGKNVFYWFQNHKARERQKKRFTSNSTSPITTSNTTTTTTDFKISSTNNNINWKSEDHSSSSHNKFPPAPSSSVMVAVGHMGNYGYGSATFENSFRECSISSGGNSSVVGYRSHNMGSWIGIDPYSSPAAAAAGGSANVFEKTKYVEESMEDHEEQEIETLPLFPIHGDRNNLGGFCSMKPEYSESYYTTTWYGRSDDNGAAGSRASLELSLNSYATISPDDGM >KGN48313 pep chromosome:ASM407v2:6:21910404:21912137:-1 gene:Csa_6G476040 transcript:KGN48313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPLSDLFPSFDHCARLFSKCIQHKHLRVGMSLHSHLIKTALSFDLFLANRLIDMYSKCNSMENAQKAFDDLPIRNIHSWNTILASYSRAGFFSQARKVFDEMPHPNIVSYNTLISSFTHHGLYVESMNIFRQMQQDFDLLALDEITLVSIAGTCACLGALEFLRQVHGAAIVIGLEFNMIVCNAIVDAYGKCGDPDASYSIFSRMKERDVVTWTSMVVAYNQTSRLDDAFRVFSCMPVKNVHTWTALINALVKNKYSNEALDLFQQMLEEKTSPNAFTFVGVLSACADLALIAKGKEIHGLIIRRSSELNFPNVYVCNALIDLYSKSGDVKSARMLFNLILEKDVVSWNSLITGFAQNGLGREALLAFRKMTEVGIRPNKVTFLAVLSACSHTGLSSEGLCILELMEKFYDIEPSLEHYAVMIDMFGRENRLAEALDLISRAPNGSKHVGIWGAVLGACRIHENLDLAIRAAETLFEMEPDNAGRYVMLSNVFAAASRWMDAHNVRKLMEERGFKKEVAYSCIEIRNIRHKFVARDNSHSQMGEIYELMFILLEHMNIIGYMALDDGIYFYDGYST >KGN45604 pep chromosome:ASM407v2:6:19595:26608:1 gene:Csa_6G000040 transcript:KGN45604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKVRMNTADVAAEVKCLKRLIGMRCANVYDLSPKTYMFKLMNSSGVTESGESEKVLLLMESGVRLHTTEYVRDKSNTPSGFTLKLRKHIRTRRLEDVRQLGYDRIILFQFGLGASAHYVILELYAQGNILLTDSEFTVLTLLRSHRDDNKGVAIMSRHRYPTEISRVFEKTTAAKLQEALTLSDNIVNVTGNGNNETDPLKQQADNQKVSKTSVSSKAQGDGSRSKQSTLKAVLGEALGYGTALSEHIILNAGLIPNMKLCNDNKLDDNSLDCLMQAVANFEDWLEDVIFGTRIPEGYILMQKKDVKKEESEAATANEIYDEFCPILLNQFMSRKYTKFETFDAALDEFYSKIESQRSEQQQKAKESSATHKLNKIRMDQGNRVELLKQEVDHSVKMAELIEYNLEDVDAVILAVRVALAKGMSWEDLARMVKEEKKSGNPVAGLIDKLNLERNCMTLLLSNNLDEMDDDEKTQPVDKVEVDISLSAHANARRWYELKKKQESKQEKTITAHEKAFKAAERKTRLQLSQEKTVATISHMRKVHWFEKFNWFISSENYLVISGRDAQQNEMIVKRYMSKGDLYVHAELHGASSTVIKNHKPEQLVPPLTLNQAGCYTVCHSQAWDSKIVTSAWWVYPHQVSKTAPTGEYLTVGSFMIRGKKNFLPPHPLIMGFGLLFRLDESSLGSHLNERRVRGEEDGVNGVEENEPLNEESDIEYEKRESEEVSNTSANSFIPAISGPEGTESLEIPIEDIMTLNGVNKDTQPDVRNNVSLVTPQLEDLIDKALELGSATASSKSYILETSKVNSVDEPCLDDKNATGREKPYISKAERRKLKKGQNSSSTDGSIKQESEQPRDIDDSSNLLQNKVNNPKLGSVKISRGQRGKLKKMKEKYADQDEEERSIRMALLASSGKSPKNEGGQNVKEITSEVKKPDGGNILGSTV >KGN46809 pep chromosome:ASM407v2:6:9695776:9705416:1 gene:Csa_6G138110 transcript:KGN46809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCPEVNGKICSNLGNGGDMHMENLSSSDPSHSAMYENSDSKHSQDGLEDGSRWYFSRKELEEFSPSKQDGIDLKKETYLRKSYCTFLQDLGMRLKVPQVTIATAIIFCHRFFLRQSHAKNDRRTIATVCMFLAGKVEETPRPLKDVIMVSYEIINKKDPTAAQKIRQKEVYERQKELILLGERVVLATLGFDLNVHHPYKPLVEAIKKFKVAQNALAQVAWNFVNDGLRTSLCLQFKPHHIAAGAIFLAAKFLKVKLPSDGEKVWWQEFDVTPRQLEEVSNQMLELYEQNRLPPSGEAEGSIGGGPTNQTPTKAPTNSEERAIVDNHALGVGIATSRLGTSKAGSSRPASEHSFAGDQPSRAMQNHSIESSNVDFRSPSNHKTGSESKVRQEMEPSAFHDKGNTQNSLKHQSEGLAEQDHGNDMKMNEAASRDAMELKDKHVIRNMDFREVTLGKSQDVIKKIDKDKVKAALEKRRKSLGSMTQKKELMDEDDLIERELEAGVEMAVGSEKNKREQKQSWNKSSNKQEYDDLYREDDKEEHPQRTRQSSYNLDSSNIEEGEFANANEVSYGYQDSPKSNSRKRGRELTG >KGN47828 pep chromosome:ASM407v2:6:18341943:18342453:-1 gene:Csa_6G405900 transcript:KGN47828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGAGGAALEQPPETRRHVERERERGEREEEEEEEEEGGGGLRAKKTEIRRRARMEGRALDVKSNKAVNEGDT >KGN47028 pep chromosome:ASM407v2:6:11548984:11552966:1 gene:Csa_6G176410 transcript:KGN47028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKFTAEELRRIMDYKHNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRQDEAERGITIKSTGISLYYEMSDESLKSYKGERHGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVDGEEAYQTFQRVIENANVIMATYEDPLLGDVQVYPEKGTVAFSAGLHGWAFTLTNFAKMYASKFGVDEAKMMERLWGENFFDPATKKWTSKNTGTATCKRGFVQFCYEPIKQIIATCMNDRKDKLWPMLQKLGVVMKSDEKDLMGKPLMKRVMQTWLPASTALLEMMIFHLPSPAKAQKYRVENLYEGPQDDVYASAIRNCDPEGPLMLYVSKMIPASDKGRFFAFGRVFSGKVSTGLKVRIMGPNYVPGEKKDLYVKSVQRTVIWMGKKQETVEDVPCGNTVAMVGLDQFITKNATLTNEKEVDAHPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVVCTMEESGEHIVAGAGELHLEICLKDLQDDFMGGAEIIKSDPVVSFRETVLERSCRTVMSKSPNKHNRLYMEARPMEDGLAEAIDDGRIGPRDDPKVRSKILSEEFAWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGALAEENMRGICFEVCDVVLHADAIHRGGGQVIPTARRVIYASQLTAKPRLLEPVYLVEIQAPEQALGGIYSVLNQKRGHVFEEMQRPGTPLYNIKAYLPVIESFGFSSTLRAATSGQAFPQCVFDHWEMMSSDPLESGSQAAQLVADIRKRKGLKEQMTPLSDFEDKL >KGN49534 pep chromosome:ASM407v2:6:28954454:28957331:-1 gene:Csa_6G538630 transcript:KGN49534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVSAIFIYPIKSCRGISVPQAPLTPTGFRWDRQWLVVNSKGRAYTQRVEPKLALVQVDLPNEAFFDDWSPSKSSFLVIKAPGMDVLKVPLTQPPYNADGVSVWEWSGSALDEGDAPSKWFSDYLGKPSRLVRFNPASQTRKVDPNYGPGHQIMFSDEFPYMLISQGSLDALNKVLKEPVSINRFRPNILVDGCEPFSEDLWTEIEIDKFIFQGVRLCARCKLPSINQETGIAGPELNETLKKMRSDTVLRPNHKQKGKIFFGQNLVWKNIAAEGKGKIIKVGDKVKVLGKVASVAEAVV >KGN47297 pep chromosome:ASM407v2:6:14010526:14010861:-1 gene:Csa_6G290290 transcript:KGN47297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTVTLFLIPKFTVTYLSEYHHNTRRNKTKYQAEREESTKNIFLRFVSVEQEKSLLHKFVTFARMSFVKSSKLTNKIPETTTVWALAYPIRTQDQNQWYKNLNSASNHRPK >KGN49060 pep chromosome:ASM407v2:6:26422437:26427514:1 gene:Csa_6G511760 transcript:KGN49060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNENIPNSPSTPGGRTRHRRRPSDGTSEDSKQNERNLLVNDRSKYKSMLIRAYSTIWMIGGFALIIYFGHLYITAMVVVIQVFMAKELFNLLRQVREDTRLPGFRLLNWHFFFTAMLFVYGRILSQRLVNTVTSDKFLYQLVSSLIKYHMVICYSLYIAGFMWFILTLKKKMYKYQFGQYAWTHMILIVVFTQSSFTVANIFEGIIWFLLPASLIVINDIAAYIFGFFFGRTPLIKLSPKKTWEGFIGASIMTIISAFFLANVMGRYQWLTCPRTDLSTGWLHCDPGPLFKPDYFTLPGWIPAWFPWKKISILPVQGHALCLGLFASIIAPFGGFFASGFKRAFKVKDFGDSIPGHGGITDRMDCQMVMAVFAYIYHQSFVVAQNISVDTILDQEQATLYMKLGQILHQRMVG >KGN46940 pep chromosome:ASM407v2:6:10734460:10735500:1 gene:Csa_6G151770 transcript:KGN46940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSILKGLLQDYVQSLIEERIVNERFSQILTKFEEPDCVQLINIYLRDVESILSELSITVDSLDLDFSKLSLLADKIEKKSTRIGAQHMKLAATRLIEACDKEDQKIVSQDFNWMKHEFTITQNKFQPVIQMEQRILRVMSKPKYT >KGN46768 pep chromosome:ASM407v2:6:9244652:9245770:1 gene:Csa_6G133770 transcript:KGN46768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILTKNLPQASYYSFRSNMSVGDMASLSGHKTNCRRKALTSGESTKPNQRLLRIIVTDADATDSSSEDELILGSRTAIRRQVREITIKRYSVPDSSSPKSPVSEICKKRNPRSRRSNNSCRRNKFRGVRQRPWGRWAAEVRDPILRKRIWLGTFDTAEEAAAVYDRAAIELQGPNAATNFSGDGAVKSAVEGSSKEEEEEEEEEGGVESRKTTAAWSPTSVLHYDSFLTPIEEMGYCGEVDELGLEIGAASLPTARRQYGGEEELGEIELDLDYFLVDVIY >KGN48180 pep chromosome:ASM407v2:6:20924480:20929698:1 gene:Csa_6G446410 transcript:KGN48180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGLNLLSSSSPFISRCHPRSFLAPSCSSAASPSSSSSSSSVYMFPEQASPARYFSTSFLSQEQHHECRPQSQILKDDRSSQMTLERRLIERSVPIDEGSRDDHDHLIWELKHQAVEWPGLLSSWPPVQMEEYKISSIEKESYNAAGEMLVKDEPENVIALAKKALSASKQAALLVDETELVGTSLDYSSLDDSLSKEGRTVRSTRLQDRRSKKRKVPKHVTTIDEISNFRGKDSRRKTSEKFDPNDPLRLFLWGPETKKLLTAQEESELIVQIQDLMILDNVKARLQSQFDREPTLVEWAEALGITCQHLQSQLHVFQTSREKLINANLRMVVHIAKQYQGRGLSLHDLLQVGSLGLMKSVEKFKPQAGCRFGSYAYWWIRHSITKAIFQHSRTIRLPENVYALLGKVTEAKNYFVRAGNYKPSKEELAARVGITVERFDKLMFMSRIPRSLQQPVWADQETTFQEITADSEVDTPDVSVERELMRRHIRNLLSILSPKERRIIRLRFGIEDGREKTLLEIGNMFGLSKERVRQLENRALYKLKRCCNSQGLGAYADLLT >KGN49361 pep chromosome:ASM407v2:6:27872248:27873660:1 gene:Csa_6G521080 transcript:KGN49361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSQTFKSSPSSDHLTTDAFLISGFERDTPRSARNCLRLVNNSNMIVHEFSDEEDNLPCNQFKEDHRNHFSEARHELNRDKLISRLLRSVHQDKDVLKRGQNREDNVMIGCGESDPELEAQQDDMPRGLDAEEQIHRGFKRKQPLEKGNQRCFLRDPFYNPQYDVEEEEDILRRLELDSEFKTEPEQNDNEEDEDILRRLELDNEMGDDNRKEEEEILIQLHESFIESALRQFKEQSEPHIRSTSLQRLPDRESNIEIKLQRMDEAIEKDASQPDNIKEDKHPLIQFMKSVPEAMNTADYNEQKNLPVGLNYGLKQKTMLKTLLDLEEIGLEFYIFIEDIIPMLNLNDDGDDKEKVRSKLEDKLKYVEKVKDLLLTSSKTVNEVINELERMKKKDEEKTENIPEILAQLMEFNAYLVERSFHHGIGFVFDKNYTKTELCVKELNRSREKLEIILRGLERMIKREIDEYER >KGN48899 pep chromosome:ASM407v2:6:25692625:25693709:-1 gene:Csa_6G505280 transcript:KGN48899 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H1 MSSTGEAAEVKVPAEDVAPVEVPATEEPKEVEKPVKEKKPRATREKKPRQSKVASHPPYFQMINEAISSLNEKNGSSPYAIAKYMEEKHKAVLPANFRKILALQLKNSTAKGKLTKIKASYKLSETGKKKDMNATKVAKANAEKKTKQARTTRTTGRKRKAVKTEEAVSKAVKKVVAKKPKRSTPAKPKQPKSIKSPAAKRAKKAVV >KGN45685 pep chromosome:ASM407v2:6:513900:527915:1 gene:Csa_6G006710 transcript:KGN45685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYMAYGWPQVIPLESSLCPSSQQIIYLKVVNRLLLVVSPTHLELWSSAQHRIRLGKYKRDSDSVQREGENIQAVWSPDTKLIAILTSSFFLHIFKVQFTDRKIHFGGKQPSGLSFATVSLVLSEQVPFAARDLTVSNIVSDSRHMFIGLSSGSLYSISWKGEFYGAFDIDLHTRDHNEIGLPSLPLDNGLAYKSSTRILKTNHDVKQSVIIKLDLCLPLRMLFVLYSDGKLVQCSVSKKGLKYTDAIKAEFFFGTVDAVCTSVAPNQQILAVGSRRGVVELYDLADSASLFRSVSLHDWGYSVEDTGYVSCIAWTPDNSAFAVGWKLRGLAVWSISGCRLMSTIRQVGLSSVSSPMVKPNQDCKYEPLIGGTSLIQWDEYGYKLYAVEERTSERILAFSFGKCCLNRGVSRTTHIRQVIYGDDRLLIVQSEDSDELKMLNVNLPVSYISQNWPIQHVAASEDGMYLAVAGLHGLILYDIRVKKWRVFGDITQEQKIKCEGLLWLGKIIVVCNYTESSNMYELLFFPRYHLDQSSLLCRKPLPGKPVVMDVHQEYILVTYRPFDVHIFHLTLLGELTLSSTPKLQLSTVRELSIMTAKSHPASMRFIPEQFPKEGISNSHISSSPTLVREPARCLILRANGELSLLDLDDGRERELTDSVELFWVTCGHSEDKTNLIEEVSWLDYGHRGLQVWYPSPGVDSFKQEDFLQLDPELEFDREVYPLGLLPNAGVVVGVSQRMSFSASTEFPCFEPSPQAQTILHCLLRHLLQRDKSEEALRLARLSAEKPHFSHCLEWLLFTVFDAEISRQNVNKNQNTAAKYANKLSLLEKTCELIKNFSEYHDVVVSVARKTDARHWADLFSAAGRSTELFEECFQRRWYRTAACYILVIAKLEGPAVSQYCASRLLQATLDESLYELAGELVRFLLRSGRDYDHASADSDKLSPRFLGYFLFRSSRNQTFDRSSSFKEPSAHVTSVKTILESHASYLMSGKELSKLVAFVKGTQFDLVEYLQRERYGSARLKDFASGLELIGEKLQMGTLQSRLDADFLLAHMCSVKFKEWIVVLATLLRRSEVLSDLFRHDLRLWEAYKSTLQSSFVEYHDLLEDLNERLTSAEKLEE >KGN47241 pep chromosome:ASM407v2:6:13206041:13210424:-1 gene:Csa_6G227040 transcript:KGN47241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVKGIAWVGRLYEKFETMCLEVEDIICQDTVKYVENQVEVVGASVKRFYSDVMQDFLPPSELSDEKVAVCNSALENYENVVICKKPTMGMKIERSKFSEEKSNENSKVTADAKRDIACKLPRGHNHANYLYLVSSPYSAANRAQIDGYSRKKDDENIHHKIDLDGRESTTRGCKSLTETSPTNLEKKYENDASSCCTILNRKSEASSELAGNMETMLVKDTRCNSVMQSANETEIKTDNILPDTPSSAIVDTEKETRLLSYGDSSAELDGRSDSWSLDDIELEQGTHNIQQADETKLDEEACVLVKGDDLHFDFNEEVKQRHYKKIAGAFSFTKKSKRKQEYKELAMKHGYGFGTIPNQQDEQKLTAEDVLEQDWQLL >KGN48590 pep chromosome:ASM407v2:6:23861950:23863803:-1 gene:Csa_6G494950 transcript:KGN48590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFFLELALTISLPLLISFVVSKFLSSRGPTDQELAPFGFDFGFGSRIRNLEIGKPSVVVEDFVGEDEIVECEPKQGNFTGVLESTDGTERECDDEVIESVGILEERVESNFDNTGGDCGGEGAEKLIDESSVRMRCEETGINRDEEEDVREGNEVEAFESDRSKESGRVSEGRSVEEIAVEDDDWEGVERTELEKLFEDAVGIVNYREFEDPKFSGELKMRLNGLYKIAMEGPCREPPPMALKISARAKWNAWKQLGNMTPEMAMESYLHLVAENIPGWTSEITSESSDQNASHSCKSEKLASETKPL >KGN49063 pep chromosome:ASM407v2:6:26435987:26436737:-1 gene:Csa_6G511790 transcript:KGN49063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQPRTTWFLVSTPLFELTSSGITILALLVFGNDHGDSLIFSCILVNNAMIIGFEGILPRQSRYNYRNQHETQNPPKITASIRRLTLSNNPLQTCEEPTADSLKSAADELPKAAVKPPQITPEEHVRQTTSDAVNPSCTPEKLARSRRLADVNTPTSSTLPVGWLRLQKNTL >KGN48995 pep chromosome:ASM407v2:6:26139568:26141220:1 gene:Csa_6G509650 transcript:KGN48995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNGHLSCFPNGELCQLKNGKSHQPRNLPLLDQDLNFLPCSVSVSKESGNQMEESCASGIVEKKRRATSEHIARITLSDLAKNFGVPITEASRNLNVGLTVLKRKCREFGIHRWPHRKIKSIDGLIRDLQEEAKHREEDHKALMAVTKRQMMLQNERERIERTPFRELENETKRFRQDVFRRKHKARALESQSPSV >KGN46691 pep chromosome:ASM407v2:6:8531203:8532670:-1 gene:Csa_6G124060 transcript:KGN46691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVGSLQSKASSSRGRSYALMLLIAFGAALLGVMLLNKFRERRICNLLLKRTDQDLRSFQLLFQKEKDRSKELAKSHEDMTAALYVLRTKKMELDRRLLELQSTIDSLRDEQKITSVALQEKQSEIKTLREKEIESGNENPQVVALTKSLKQKEDELEELRRRLESPAAVAANDSSDSDPRGDSKTSETLREQLLESSGGRGESESMDNNRGGSKSTGFHEIETQKLEGHEGVEERQKQEKLGDEGENAIGRELEGEETKITNEHKEKEVGHSEEVENGRANKIARSEIKMKTETGKYGNTRKIRGKRWRYIAKRRAVDNGWRLISKKSKKDSRNRNLNDNRADGTTHGKFIDGAEEKMKEEHTQGAKENLMEMENKMVQENLNSENDTSREKNGNGDVEDDSIKQNPGEEMEQNDSKSEENQEPERGMDSKEDGKEEEENKEEPEESEF >KGN48619 pep chromosome:ASM407v2:6:24063100:24068565:-1 gene:Csa_6G495730 transcript:KGN48619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASLSSSQLYLQTSRGFPSKNVPYSRSFQNGKAMFKFNKLELRDKGLKKVGESSRRFNLFNYGNGDVEEEEEDYNSVEDKQFVRWFREAWPYLWAHRGGTFVVMISGEIVSSSYLDLILKDIAFLHHLGIRFILVPGTHVLIDKLLAERGSKPNFVGQYRVTDSQSLAAAMEAAGGIRVMIEAKLSPGPSICNIRRHGDSRRWHEVGVSVVSGNFLAAKRRGVVDGVDYGATGEVKKVDVARMRERLDGGCIVILSNLGYSSSGEVLNCNTYEVATACALAIGADKLICIIDGPILDEAGRHISFLTLQEADMLIRERAKQCEIAANYVKVVGKEDFTRYNDSNGSIHLQNGKASLEAHTPFQNGVGFGPHHMPVFQNGVGFGHGTGLWSSEQGFPIGGERNSHMNGYLSELAAAAFVCRGGVQRVHLLDGTIGGVLLLELFKRDGMGTMVASDLYEGTRMARVSDLRGIRQIILPLEMAGTLVRRSDEELLESLDSFVVVEREGQIIACAALFPFFEERCGEIAAIAVSAECRGQGQGDKLLDYMEKKAASLGLDRLFLLTTRTADWFVRRGFSECSFESIPEKRRSKINLSRNSKYYMKKLLPDRNRIGSVDRAFA >KGN46869 pep chromosome:ASM407v2:6:10261222:10262721:-1 gene:Csa_6G147620 transcript:KGN46869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKKNDDTTTAVFKIDIHCEGCANKLRRSVRQIPGVSRVRADWEANKLTVIGKFDPAKLRDYLADKETKKIDIVSSESKKEKESTKKQDDEKPDKKTEDKKQPKDKEIPVTTATLKVELHCQGCIEKIYKVVSRTKGVEDMAIERQKDLVMVKGKMDVKALIENLEEKLKRKVAVVVPKKDKDEGAKGGDGGDKNKTGGEVAQGGGAMEGNRLDYVAVPVPGYGYGYGYGYGYGNGGFVGQHMPPAQPLISPQMFSDENPNACSVM >KGN46675 pep chromosome:ASM407v2:6:8378648:8380577:1 gene:Csa_6G121430 transcript:KGN46675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPLIRGPFTMSSQTLTEVLRSLNFKPVDVAMAKLLPLRKLSRILKLPSYSSCSFRKFPHLLEASSPLIHIFNNVHQFHPPSFLRSFCSRPLDIVGDDSRGPATIDYSSLMQEGEFHRLADFAIQGLQEKLEEYGDNLQIDGFDVDYGNEVLTLRLGNLGTYVLNKQTPNRQIWLSSPLSGPSRFDWDQNSQTWIYRRNKANLLSLLETELTQLCGEPIDLS >KGN45775 pep chromosome:ASM407v2:6:1128885:1132133:-1 gene:Csa_6G010020 transcript:KGN45775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTETTVLQFSHSSNTLSAKVHPLVIFNICDCYVRRPDQADRVIGTLLGSVLPDGTVDIRNSYAVPHNEFSDQVALDIDYHHNMLSSHQKVNPKEVIVGWYSTGTGVTGGSALIHEFYSREVANPIHLTVDTGFKNGEGTIKAYISVNLSLGDRQLAAQFQEIPLDLRMVEAERLGFDILKTTSIDKLPNDLEGMEASMERLLALIDDIYKYVDNVVEGHVEADNTIGRFLADTVASLPKLSPAAFDKLMNDNVQDHLLLLYLSSITRTQLSLAEKLNTAAQIL >KGN46981 pep chromosome:ASM407v2:6:11002557:11008221:1 gene:Csa_6G157070 transcript:KGN46981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVIPLLHFPVPPAPAITIARRHSIPPSYDLSGHGSFPLRSGFRYMFRSVRKFEVLATNKQLPELKDGKSESEEGILLRAEVGGSFDLGWLPAFPHVLVASMSNFLFGYHIGVMNGPIISIARELGFEGNSILEGLVVSIFIVGAFLGSISSGSLLDKLGFRRTFQLATIPLILGALLSAQALTLDEVLWGRFLVGLGIGVNTVLVPIYISEVVPTKYRGTLGGLCQIGTCLGIIASLFLGIPYENDPHWWRTMLYIASLPGFFIAFGMQFAVESPRWLSKAGRLDETRVVIRNLWGESEVERAVEEFQSVIRNDGSDLNSGWSVLLEEQNFRVAFIGGALFFLQQFAGINGVLYFSSLTFEDVGITNVAVASLVIGITNFSGALCALYLMDKQGRQRLLIGSYLGMAVSMLLIVSTIGFQFDEELSHNLSIVGTIAYIFSFAIGAGPVTGIIIPELSSTRARGKIMAFSLAVHWVCNFLVGLFFLDLVQTFGVAPVYASFGAFSLVAAIFSKYFLVETKGRSLEEIEMTLNTNFHGSDK >KGN49539 pep chromosome:ASM407v2:6:28973182:28974696:-1 gene:Csa_6G538680 transcript:KGN49539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLAISVGAVTPRTLSSKDISLDKCLTTKQVSKFTYARTPKINFPHQKLEAKENPASFGRREAIGCGFLLGLGKVLLQPLPAAAEATPCELTTAPSGLAFCDKVVGSGPEAEKGQLIKAHYVGKLESGKVFDSSYNRGKPLTFRVGVGEVIKGWDEGILGGDGVPAMLPGGKRVLKLPPELGYGARGAGCRGGSCIIPPNSVLLFDVEFIGKA >KGN47941 pep chromosome:ASM407v2:6:19287109:19289156:-1 gene:Csa_6G418410 transcript:KGN47941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSCEEEERRDLSKQEDEEEVEVIVVPCPAQGHINPLLQFAKHLLAHHPSLKITLPLILTKNANNHSTVTQYQTLTPSLTIHHIPLLPYQGLDHPDQRVFWERRQAAIRSYLTHLLTSNPNIACVVYDALLPWVLDIVKQFGVSSAAFFTQSCAVNSIYYNVYKGWLGVPLGQCSISLDGLPPLRPSDFPSFVSDPVKYPDILNMLSDQFARLDEADWIFTNTFDSLEPQEVKWMEGEFAMKNIGPTVPSMYLDGRLENDNDYGVSMFESKKNKDLTMKWLDSKHHKSVIYVSFGSSAELEKEQMEELACALKLTNRYFLWVVRESEIHKLPQNFIEDHEDTAGDQKGLVVNWCSQLQVLAHKSVGCFVTHCGWNSTLEALSLGVPLVTMAQWSDQPTNAKYVEDVWKIGKRVRLREEDNGVCRREEIEKCVNEVMEEGKVREEIRKNLRKWRELAKEAMDDGGTSHANIIHFVQQLLNKTN >KGN47869 pep chromosome:ASM407v2:6:18739831:18740130:1 gene:Csa_6G408280 transcript:KGN47869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQNVISVWLICCFFLVAAGNMRHLIVEACISRNLLDTSVYFWPGYVNALSSQVPHSASNQVVGWSSFMKGSPLTPSMVNALVATPASRYALIVFVCIM >KGN49511 pep chromosome:ASM407v2:6:28783942:28790321:-1 gene:Csa_6G526450 transcript:KGN49511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGLRDLQLAHVAADDRRLPAISAADDIPEDLEDVRLLDSYERQEENLGQIRDGMNRVQVTVSGMTCAACSNSVEAALRGVNGVLMASVALLQNRADVVFDPSLVKEEDIKEAIEDAGFEAEIIPETTSVGKKSHGTLVGQFTIGGMTCAACVNSVEGILKDLPGVRRAVVALATSLGEVEYDPTITSKDDIVNAIEDAGFEASFVQSSEQDKILLTVAGIAGEVDVQFLEAILSNLKGVKRFLFDSTSGRLEIVFDPEVVGPRSLVDEIEGRSNRKFKLHVTSPYTRLTSKDVEEANNMFRLFISSLFLSVLIFLQRVICPHIPLIYSLLLWRCGPFLMDDWLKWALVTVVQFVIGKRFYVAAARALRNGSTNMDVLVALGTTASYVYSVCALLYGAVTGFWSPTYFETSAMLITFVLLGKYLECLAKGKTSDAIKKLVELAPATALLLIRDKGGNLIEEREIDALLIQPGDVLKVLPGTKIPADGVVVWGSSYVNESMVTGESIPVLKEVSLNVIGGTINFHGALHIRATKVGSDAVLNQIISLVETAQMSKAPIQKFADFVASIFVPTVVAMALCTLFGWYVGGILGAYPAEWLPENGNYFVFSLMFAIAVVVIACPCALGLATPTAVMVATGVGASNGVLIKGGDALERAQKVKYVIFDKTGTLTQGKATVTTAKIFTEISRGDFLKLVASAEASSEHPLGKAIVEYARHFHFFDEPSATKNVENQSKESSGWLFDVTDFSALPGQGIQCTIEGKRILVGNRKLMNERGISIAPHVDNFVIELEESAKTGILVACDDNLIGVVGIADPLKREAAVVVEGLVKMGVSPVMVTGDNWRTARAVAKELGIQDVRAEVMPAGKAEVIQNFQKDGSTVAMVGDGINDSPALAASDIGIAIGAGTDIAIEAADFVLMRNNLEDVITAIDLSRKTFNRIRLNYVFAMAYNVIAIPIAAGVFFPSLGVKLPPWAAGACMALSSVSVVCSSLLLRRYKRPRLTTILEITVE >KGN45850 pep chromosome:ASM407v2:6:1705424:1713308:-1 gene:Csa_6G014700 transcript:KGN45850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSSYPLPTIPSSFCFLCLFHFNKSNNGFHLHTKIYKGFSGMTPPSSSGGMRGGNGLFIGSLPRQAADFLITPHSSSNWRMNAVGIDSTTSSHTRNPTIHDKGPNGAAATLEIHVTCYQLIGVPDQSEKDEIVKSVMELRNVEIEEGYSTDAIASRQDLLMDVRDKLLFEPHYAGNMKENILPKSSIRIPWAWLPGALCLLQEVGEAKMVLDIGQTVVQCPMAKPYMHDILLSMVLAECAIAKLGFEKNMVSQGFEALARAQYLLRSQTSLRKLKLLSQIEESLEELAPACTLELLALPNLPMNTERRAGAIAALRELLRQGLGVETSCQVQDWPCFLSQALGRLMAAEVVDLLPWHELALIRKNKKSIESQNQRVVVDFYCFLLAFKAHLALGFSSRHPELIEKAKTICECLIASEGVDLKLEEAFCNFLLGQCSDSEVSEKLQQSALNSKPDMPSRLSSLAMKKKNAEDTCQLLEIWLKDTILGVFKDTRDCSLTLVSFLHGEKKMDAKKKINHSQQIIVHTNNKPISTSSISHWREVENSFPNSNSSQNLGNIVRRLTPTNLPSQLGMEKNQTDAKSSSVQLKRDLRIKKWKISELWLSRSSLVDNMKVLVVVGSISFASFNLMSRMIKMKPFPTWTPQKASLNTSSVFSDEGLSVDNVIATPNTKNNSNLSSSLQRLLSKLMRKGRNLAGTSDMLLSSAITASNQNLMSVEEAEALVNQWQTIKAEALGPNYQIHKLAKILDGTMLFQWEALADAAKAKSCYWKFVLLQLSVLRAELLSDKFGAMALEIEVHLEEAAELVNEAEPKNPSYYR >KGN46228 pep chromosome:ASM407v2:6:5097285:5102159:-1 gene:Csa_6G076760 transcript:KGN46228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLISGIFMGVIFGIALMAGWQHMMRHRSTKRVAKAADMKILGSLSRDDLKKLCGDNFPEWISFPVYEQVKWLNKLLSKMWPFVADAAELVIKESVEPLLEEYKPPGITSLKFSKLSLGSVAPKIEGIRVQSLKKGQITMDIDFRWGGDPSIILAVEAALVASIPIQLKDLQVFTVIRVIFQLAEEIPCISAVVVALLAEPEPKIVYNLKAVGGSLTAIPGISDMIDDTVNTIVTDMLKWPHRIVLQIGGIPVDISELELKPQGRLTVTVVKANNLKNMEMIGKSDPYVVAHVRPLFKLKTKTIENNLNPVWNEELDFIVEDKETQSIIFEVYDKDIGQDKQLGIAKLPLIDLQGEVNKEVELRLLASLNTLKVKDKKDRGTLTIMIHYHEFNKEEQLKALEEEKRILEERRKLKEEGVLGSTMDALEGAASFVGSGVGTGVGMVSSGIGTGVGIVGTGLGAVGSGLSKAGRFMGRTITGQSSHSRRSSSSSTPVNSVQENGGAKPL >KGN46640 pep chromosome:ASM407v2:6:8047250:8049775:-1 gene:Csa_6G117710 transcript:KGN46640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHCSQLPTHKATTTEKNDEPIPLGPVQLSWPGSVVEKGEKSSFHYKPPFASRSGAGVFHFLFFSCLTMDARRRRSSTLVKKLTADEPPVKSMDKNPLKVKMLERQHVHDDAVKASDVLPLPIYLTNAAFFTLFFSVVYFLLTRWREKIRSSTPLHVVTLSEMVAISAFIASFIYLLGFFGIDFVQSIFRPSHDVWTSEDDEVVIIKEDTRKVPCGAGIDCSIPILAPPMPSVPKVVDPLPVSIDLTEEDEEIVKSVVDGSTPSYSLESKLGDCGRAAAIRRVALQRVTGKSLSGLPLEGFDYASILGQCCEMPIGYVQIPVGIAGPLLLDGKEYSVPMATTEGCLVASTNRGCKAIMISGGANSVLLRDAMTRAPVMRFATAKRAAELKFYVEDPANFDTLASVFNKSSRFGRLQSIKCAIAGKNLYMRFSCSTGDAMGMNMVSKGVQNVLDFLQNDFPDMDVIGISGNYCSDKKPAAVNWIEGRGKSVVCEVTIKGDVVRKVLKTDVQALVELNMLKNLTGSAMAGALGGFNAHASNIVSAIYIATGQDPAQNVESSHCITMMEAVNDGQDLHVSVTMPSIEVGTVGGGTQLASQSACLNLLGVKGANREAPGSNSRLLATIVAASVLAGELSLMSAISAGQLVRSHMKYNRSSRDITKASSS >KGN48595 pep chromosome:ASM407v2:6:23900067:23901688:1 gene:Csa_6G495000 transcript:KGN48595 gene_biotype:protein_coding transcript_biotype:protein_coding description:Class III peroxidase MGRFNLIVTLSLLSLFLFFPSPTLAQLRQNFYANICPNVENIVRSEVTKKFQQTFVTVPATLRLFFHDCFVQGCDASVIIASTASNKAEKDHPDNLSLAGDGFDTVIKAKAALDAIPQCRNRVSCADILALATRDVIALSGGPSYAVELGRLDGLVSKASDVNGRLPAPTFNLNQLNSLFAANGLTQQDMIALSAAHTVGFSHCGKFSNRIYTFAPGRQVDPTLNRTYATQLQAMCPKNVDPRVAINMDPITPRAFDNVYFRNLQQGMGLFTSDQVLFSDRRSRPTVDTWARDSKAFNKAFIEAMTKLGRVGVKTGRNGNIRRDCGAFN >KGN47032 pep chromosome:ASM407v2:6:11606156:11607375:-1 gene:Csa_6G176940 transcript:KGN47032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILGAIAKNLDAIIGPGVMLLYPLYASIRAIESPSTLDDQQWLTYWVIYSLITLFELTFWKILVWIPLWGYIKLVACLWLVLPIFNGAAYIYENIVRKYVKIGRYVNPNYPENQKKVLQMMTLDSRKSVERYIDRYGPDAFERVVKAAEREARKH >KGN47596 pep chromosome:ASM407v2:6:16423810:16424826:1 gene:Csa_6G363520 transcript:KGN47596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKAGAKNEEATVVSIDTASENKKDREEKNSGWMKPGDVDFVMVVVTFIAAVAFQVGINPPGSVWQEDKNGFTAGKSIMASKSPSEYKKFMAGVTLCLGFSLIQLCVMLFRWYLKSYSVRRMIMYILMLCTIAPMIVSFWASVKALTPDEKLMSEITATIWSILGVYLISLPIILLYYLVKTFL >KGN45724 pep chromosome:ASM407v2:6:783246:788481:-1 gene:Csa_6G008070 transcript:KGN45724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTQAPQFEADPKKDPNSLMDEEKIRKQKEIDDWLPITSSRNAKWWYSAFHNVTAMVGAGVLSLPSAMASLGWGPGVTVLVISWVVTLYTLWQMVEMHEMVPGKRFDRYHELGQHAFGEKLGLYIVVPQQLVVEVGVNIVYMVTGGQSLKKFYDTVCPSCTKIKQTYFIMIFASVHFVLSHLPNFNSISGVSLAAAVMSLSYSTIAWAASVHKGIQEDVQYGYKAHSTPGTVFNFFTALGDVAFAYAGHNVVLEIQATIPSTPDKPSKGPMWRGVIVAYIVVALCYFPVAIIGYWMFGNSVKDNILLSLEKPAWLIAMANMFVVIHVIGSYQIYAMPVFDMIETVLVKKLHFRPSFLLRFVSRNIYVGFTMFIGITFPFFGGLLGFFGGFVFAPTTYFLPCVMWLAIYKPKKFSLSWWSNWVAIVLGVLLMILAPIGGLRTIILQAKDYKFYS >KGN48325 pep chromosome:ASM407v2:6:22013465:22016054:-1 gene:Csa_6G476650 transcript:KGN48325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKTKQKQNSSPNHLSGSSNSVIDLDMHGDDGWVVVKKQKVTILVPPISIVTKSAPPNVEQSQLQPITQKVSNCQTGALVETCLEAPANVLLSTSENANQQSSKSAAAHCTLTRKEPLKQAVTPPNPDNVFNSRSYKVLGLSNSTKSMKQQPRQLHCPGGFLTGGTLLNLRLRALNLERNLQKAGGLIRWLESLGLDQFVGIFQRKSISKFHLVNLTMKKLKDMGANAVGPRRKLIHAIECVCQPYSSEPFEPVYGI >KGN46976 pep chromosome:ASM407v2:6:10962702:10973697:-1 gene:Csa_6G155550 transcript:KGN46976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSREGFGCSEFQISGFGFFSTTVIALCVVHCLVSQPVDGLRPLRERARSWGDEWLFVTKDKSELGPFSEWNITGTYRGSWSFLDSTNSSSRFPDFRNSNGNSIIELISTPTKISGVHYVQGVVIFHDVIGNEHDVGGVQIKVEGVYIWPFRQLRMVANSGKGGEFKQEVDSILSNPYHLLGVFSSQVFQESPQDKMWRRSHSPIYEMEKHCNIEIAAQISHFSSPQNVNGEHDHYHIEGLMESPAVDDDGDCFSPLVLNATSVNIEIYYNKAVNYTLMVTFISFLQVLLLIRQMEHSNTQSGAAKVSLLMIGQQAIMDAYLCLLHLTAGILVESLFNAFATAAFFKFVVFSIFEMRYLLAIWKASRPMNNGEGWETMRRELSVLYSRFYGILLGGIFLMYELHRFLRPILLLMYSFWIPQIVTNVIRDSRKPLHIYYILGITITRLAIPLYVFGCPHNFMRIQPDKTWCICLGVFTFLQVAILLLQHYFGSRWFIPRQVLPEKYSYYRRIDQNGNHATDCVICMTTIDISRPNDCMITPCDHFFHSGCLQRWMDIKMECPTCRRLLPPA >KGN48014 pep chromosome:ASM407v2:6:19798697:19798981:1 gene:Csa_6G424540 transcript:KGN48014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCHFKFGIFLFFSGWVLVMSVFVLFLLPETKNIPIEEMTERVWKQHWLWKRFMDDNDEGQNHHRYAKETVKPLEKAKNGTSNGNGFNSVSYQL >KGN46891 pep chromosome:ASM407v2:6:10381399:10382204:-1 gene:Csa_6G148330 transcript:KGN46891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNWIDWCRHVVLPPEVAKLFPKNRLLSENEWRAIGVQQSRGWVHYAFHCPEPHIMLFRRPLNYQQQQENRTQQNALAAK >KGN46746 pep chromosome:ASM407v2:6:9032755:9034002:1 gene:Csa_6G128560 transcript:KGN46746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSGDIVELRRNSTNWAKVVEDVVKLEKKVFPKHESLARFFDQELRKQNSGLLFLDLHGEVVGYVMYSWPSSLYATIAKLAVKEKCRRQGHGETLLKAAIEKCRTRNIQRIGLHVDPSRTAAMNLYKKLGFQVDSLIKGYYSADRDAYRMYLEF >KGN46559 pep chromosome:ASM407v2:6:7270670:7274992:1 gene:Csa_6G108570 transcript:KGN46559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQCVPNWDLSEPPPSSAAAGRPPFQSSSSADDVVPLFEYEVAELTWENGQLSMHGLGLPRVTGKIQNSGGGGGVGSKYTWDNKPARASGTLESLVNQGTRHGKNNISFDINTDDTSHGGANDLVPWFSDHHRQTPTASTADAMVPCDGEKSATVGGGGDKSSDIPVAARKEDEDCRVIHGKRGKVVARVVHAEGEWSSCRNQISVSGNRESGQKVTLNSSRDRNFVAVDVSVGFTATTATSQGSLDNTSSDKPCVKNTTVTTTDDHDSVCHSTHQVEEEDEGDRKKENAKSSVSTKRSRAAAIHNQSERKRRDKINQRMKTLQKLVPNSNKTDKASMLDEVIEYLKQLQAQVQMMSRMNMPMMLPMAMQQQLSMAPLMAPMGLGMGMGGMGMPLGMDHLNMMAGRSGLTAGMSPLLHPTAFMPIPTWDGGTDQLQHSPTTMVADPFSTFLACQQQPMTMEAYNRIATMFQQLHQHTPTVGGSKN >KGN46616 pep chromosome:ASM407v2:6:7827371:7829831:1 gene:Csa_6G113530 transcript:KGN46616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQEEREEKEVEDEIVFDTSDSQISNSNHRKQFLIFQLSQRLIHGDLHSRIEAAKDLRNLARKSSPKSRSNLGASSLIQPLVCMLLSPNLDAREASLLALLNLASRNERNKIKIVAAGAIPPLLELLKLQNLSLRELATAAILTLSAATSNKPVILSAGATSLLVQILISGSVQAKVDAVTALYYLSACTESESSSMMLDPGAVAPLIDLLKECKKHSKFAEKTTSLLQIISNSEEGRTAISNSDGGILTLVQTIEDGSLVSTEHAVGVLLSMCQTCRETYREPILKEGAIPGLLRLTVEGTTEAQERARRLLDLLRDSPQEKRMSSADLERIVYKIAAEVDGIDQAAETAKRLMQEMVQRRLNTV >KGN49480 pep chromosome:ASM407v2:6:28588980:28592635:1 gene:Csa_6G525650 transcript:KGN49480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSQQFTVDLNKPLVFQVGHLGEAYQEWVHQPIISKERPRFFKSDFWEFCTCTAWWAVPLIWLPVVCWFVSMSVNMGHTFSQISLMVILGISIWTLIEYIVHRFLFHIETQSYWGNTMHYLIHGCHHKHPMDSLRLVFPPTATAVLLFPLWNLVKLFFPPSMAPALFGGGLLGYVIYDVTHYYLHHGQPTGDMPKKLKKYHMNHHYRILNKGFGVTSPLWDRVFGTLPGSKVAEKTR >KGN48069 pep chromosome:ASM407v2:6:20218498:20218744:-1 gene:Csa_6G428020 transcript:KGN48069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQWPKAARSIGALISLGIIAHTHRKNLYGPDDSGFRILAIIILVFNSYAVQVSFGFEEPWLLDFMDRSSE >KGN45730 pep chromosome:ASM407v2:6:826465:827368:1 gene:Csa_6G008610 transcript:KGN45730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVLKLRRIKAKGYTYVHTCGVTLGLNHRHRNSSKRALNPAAYGQQQPLRSDPHPIFRLTIAPIFPHFPFWVRQRRNS >KGN46058 pep chromosome:ASM407v2:6:3781819:3790104:-1 gene:Csa_6G046360 transcript:KGN46058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEREDVQYEGGRGGKFQKRPIRRSHTTPYDRPPTALRNSAAKGWLSNLVDPAHKLINSTAHWLFSTVFRKRLPPPPPSFPLSREANDEMEHRNQEEVAADPSGTQEGTNIGFVPSINSNNTQGLSDLEKILNEKTFSRFEIDRLTELLKSRVADVPRGVESRKFEQVPSTPVTSHGIQEGSPKLPTQSQDGVSPHMATTHVVTANVLDEDVASPAEIAKAYMGSRPPKATPLSMAGNPLKSSTLSLVPRSPGNFDVVENGFVTPRSRGRSALYSMARVPYSRVRATPSIKNSIATADAYRSTTPSSSQSAWEQGRLLGSKQGALKRRNSVLDDDMGSVGPIRRTRQKSNHLFSTSLGLPSSSTSIRASGIGSETARHLQSTKVHPFSSNGGKPLYSNEIQRNFSKMTAESKNAMTPSSSFPQIPLRSSEMASKILEQLDKLTPPKEKSSELKLLSVRNNSPMKLSPSMLHGPALRSLEDVDSAKYLENVEGIRSNDARDLTSQKNDKFEESSPSKFNVPNDKSISTGDGVGSSVSTKDAGSGSGMQVSFVGPSIQTKCAFQMSAHEDFVDMDEEGFSNGPVADKSFEMQGKVDDSLVSVSKPKNTEVITVDKSKASIEAKPFVVSVMNKINDQGKSDVPSTTEKSPIFSFPTASSPSITANVKGTESSLRPEKVASPELPKAATAPIFGFGEKSPSQKEAGSHPPTFAFGSKATTTNEQNTIHVVTSEANVEPTQQASPPTTFKFGDKASFPIPANAATENGNKSAGSLFKFASPLVNEKEGANVGGSASVFKAENSSSSIPSFGVPKESISEKAGDKSSSPGLIFGTSGNFFSSSVSTSTSTPTPSLFSFSTPSTNSNLNNGSLVSTTPSTLPTPATTFSNNVTSQNSSVKPSFSAATSNSEPVSSTSPPTSSPMPSFSAAPIFKFGSSSVPSTSAPALSAPSVVGSVETKTKPETTFGNLSGFPASDTSAVKVASTGNSVFQFGAASTTSDANKGPANSTFAQNNIPAFGAPVSFSSSGLALSTQSTPALQFSSSSTSFGLTRNTGLASGSSLFGSSAPASNPFTSGATFGLASSSSSASNSVSSSAGTSSSFFNWQPSSTPSFSTGFSSTPSGGFSFGLSSSSSASNSAPMVFGSSSTGAPSASMFSFTSAASATTSQPAFGNSNNAFTFGSTPPANNNEQASMEDSMAEDTIQTASPMPSFGQQPLTPPPSSGFMFGSTAPSPLGANPFQFSGSQQNLPQNPSPFQASGSLDFNASAGGSFSLGAGGGDKSNRKYVKVKSKSRKK >KGN47196 pep chromosome:ASM407v2:6:12700987:12707937:1 gene:Csa_6G198240 transcript:KGN47196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFASSMDTVRDTARTAGTLLIPMRFVWPYGGRSVFLSGSFTRWSELVPMTPMEGCPTVFQAIYSLTPGYHQYKFFVDGEWRHDEQQTCVSGEYGVVNTVLLATEPSYAAPLANPEMTPGSSMDVDNEAFRRLVRINDGRLSEAVHSISEADLQCSRHRISAFLSTHTVYELLPESGKVVALDIDLPVKQAFHILHEQGIPTAPLWDFSKGQFVGVLSASDFILILKELGKRGSNLTEEELETHTISAWKEGKAYLNGRVDGQGRFLSRQFIHAEPFDNLKDVALKILQNQVATVPIIHSSAEDGSFPQLLHLASLSGILKCICRYFRHCSSLLPVLQLPIFAIPVGTWVPKIGESNGRPLAMLRPSASLSSALNLLIQAQVSSIPIVDDNDSLLDVYCRSDITALAKDRAYTHINLDEMTIHQALQLGQDSFSLYEPRSQRCQMCLRSDSLHKVMDRLANPGVRRLVIVEAGSKRVEGIISLSDIFKFLLG >KGN46808 pep chromosome:ASM407v2:6:9691802:9692005:1 gene:Csa_6G138100 transcript:KGN46808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHRNKKESLNKQELALQPIEIFFNRSPNAFFNQLEILEQTNGINVERKNVFQWRDGRNAFWWKDDF >KGN47482 pep chromosome:ASM407v2:6:15486599:15490648:1 gene:Csa_6G338140 transcript:KGN47482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAHPPSPIEPRHRLSSSFNEETSKRRFQRIRDFKVVERALHIPIRDRVLNCKPSLKLVLVIIALGTIVTCFHSPAVHISDYPLKGSRWAGRDARYMSFSEVNWDEVSDVVESLTDRNKYQGIGLLNFNDSEVDHWKQLFLEAELVVFQLNHAANNLTWEALYPEWIDEEEEFEVPSCPSLPKLQVPLKPRIDLVAVKLPCDKSGRWSRDVPRLHLQLEAARVAASAKGNRFVHVLLVTECFPIPNLFRCKELITREGNVWLYRPNLNILRDKLQLPIGSCELSVPLKAKENFYSERANREAYATILHSAHMYVCGAIAAAQSIRMTGSTRDLVILVDETISEYHRGGLEAAGWKILTIQRIRNPKAERDAYNEWNYSKFRLWQLTDYDKIIFIDADMLILRNIDFLFEMPEITATGNNATLFNSGVMVIEPSNCTFQLLMDHINEIESYNGGDQGYLNEIFTWWHRIPKHMNFLKHFWEGDEEEKKEMKTRLFGADPPILYVLHYLGNKPWICFRDYDCNWNVDLLLEFASNVAHKRWWKVHDAMPKNLQKFCLLRSKQKAQLEWDRRQAEKANFTNGHWKIKIKDPRLKTCFEDFCFWESMLWHWGETNWTDNSSVTTSPTTTTTTVPLSSL >KGN49187 pep chromosome:ASM407v2:6:26991397:26992266:-1 gene:Csa_6G516950 transcript:KGN49187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSSLSIFSHPLFSALLSFFTLILLFFPPFLWTSLLSPALVLAGFLFLSLLRLGATQRQRQRQHQPITITNPQPIKFTQPPEHCTGCSPISSCFEESFVQWNVRAPLEVIYEDYEEEDEEEEEEEEKEEGEVEVDEDKDKDDGTVLHMRLNSMERYPSLSLYYPETDSDSWSGAEDEMENVCFMWDDEDRDELIEIALDKKRVSSEFFQLEEENLIEIDISPTAFPMFI >KGN47808 pep chromosome:ASM407v2:6:18214909:18217842:-1 gene:Csa_6G404230 transcript:KGN47808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAIIFCTRQILNSNKFRGIVSSTRIRFDRLKVEVFSKEACEVILDQYPGIKTLNKLHSKIVINEHLRIDPTLAIKLMRAYSAQGETSVARYIFDRSLEKNVVFFNVMIRSYVNNNLYVEALSIFQVMLSCAFNPDHYTFPCVLKACSGLDNLRVGLQVHDAIVKVGLDTNLFIGNALVAMYGKCGCLREARKVLDQMPYRDVVSWNSMVAGYAQSGQFDDALEICKEMDSLNLNHDAGTMASLSPVVCYTSLENVQYIHNMFERMTKKNLISWNVMIAIYVNNSMPNEAVSLFLQMEECGMKPDAVTIASLLPACGDLSALFLGRRLHKYIEKGNLRPNLLLENALLDMYAKCGCLEEARDVFDKMRLRDVVSWTSMMSAYGRSGQGYDAVALFAKMLDSGQNPDSIAFVSVLSACSHTGLLDQGRHYFRMMTEQYGIVPRIEHFACMVDLFGRAGEVEEAYSFIKQMPMEPNERVWGALLSACRVHSKMDIGLVAADLLFQLAPKQSGYYVLLSNIYAKAGMWKDVMNVRYAMKKIGIKKVPGISNVELNGQVHTFLAGDQYHPQAKNIYGELDVLVGKMKELGYIPQTESALHDVEVEDKECHLAIHSEKLAIVFAILNTKQGTPIRITKNLRVCGDCHIAIKLISKIVSRNIIVRDCNRFHHFSNGICSCGDYW >KGN48895 pep chromosome:ASM407v2:6:25664233:25668071:1 gene:Csa_6G505240 transcript:KGN48895 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein kinase MKPLLFFFVFLCGGLFSSSADTGAQTQLEIQALMSFKLNLHDPLGALTAWDSSTPLAPCDWRGVVCTNNRVTELRLPRLQLSGRLTDQLANLRMLRKFSIRSNFFNGTIPSSLSKCALLRSLFLQYNLFSGGLPAEFGNLTNLHVLNVAENRLSGVISSDLPSSLKYLDLSSNAFSGQIPRSVVNMTQLQVVNLSFNRFGGEIPASFGELQELQHLWLDHNVLEGTLPSALANCSSLVHLSVEGNALQGVIPAAIGALTNLQVISLSQNGLSGSVPYSMFCNVSSHAPSLRIVQLGFNAFTDIVKPQTATCFSALQVLDIQHNQIRGEFPLWLTGVSTLSVLDFSVNHFSGQIPSGIGNLSGLQELRMSNNSFHGEIPLEIKNCASISVIDFEGNRLTGEIPSFLGYMRGLKRLSLGGNRFSGTVPASLGNLLELEILNLEDNGLNGTFPLELMGLGNLTVMELGGNKLSGEVPTGIGNLSRLEILNLSANSLSGMIPSSLGNLFKLTTLDLSKQNLSGELPFELSGLPNLQVIALQENKLSGNVPEGFSSLVGLRYLNLSSNRFSGQIPSNYGFLRSLVSLSLSDNHISGLVPSDLGNCSDLETLEVRSNALSGHIPADLSRLSNLQELDLGRNNLTGEIPEEISSCSALESLRLNSNHLSGPIPGSLSELSNLTTLDLSSNNLSGVIPANLSSITGLTSLNVSSNNLEGKIPSLLGSRFNSSSVFANNSDLCGKPLARHCKDTDKKDKMKRLILFIAVAASGAVLLTLCCCFYIFSLLRWRKRLKERASGEKKTSPARVSSAGSGGRGSSENGGPKLVMFNNKITLAETIEATRQFDEENVLSRTRYGLVFKACYNDGMVLSIRRLSNGSLDENMFRKEAEALGKIRHRNLTVLRGYYAGPPDMRLLVYDYMPNGNLATLLQEASHQDGHVLNWPMRHLIALGIARGLAFLHSSSIIHGDVKPQSVLFDADFEAHLSDFGLDRLTIAASAEASTSTLVGTLGYIAPEAVLTGEATKESDVYSFGIVLLEILTGKKPVMFTEDEDIVKWVKKQLQRGQITELLEPGLLELDPESSEWEEFLLGVKVGLLCTAPDPRDRPTMSDIVFMLEGCRVGPDIPSSADPTSQPSPA >KGN45708 pep chromosome:ASM407v2:6:669998:674588:-1 gene:Csa_6G007420 transcript:KGN45708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFLFPSQSSPPFFNIFLLILLTVTAPSAAATPHSKCKFEAIFNFGDSNSDTGGFWAVFPPQHEPNGMTFFKKPTGRATDGRLIIDFLANSLGLPFISPYLKAIGSDFKHGANFATLASTVLLPNTSLFVTGISPFSLAIQLNQMKEFKFRVDEYYSSSSSSSSSSSCSSSSSSSFLKGDEGWSQLPAPDIFGKALYTFYIGQNDFTSNLKAIGIQGVNQYLPQVVSQIIDTIKELYKLGGETFLVMNMAPVGCYPALLVQLPLESSDIDQYGCFISYNKAVTDYNAMLKKELERARSTLPKASLIYFDTHSVLLQLFQHPNSYGLKYSTKACCGHGGGPYNFDPTILCGNSKKINNKILTATACSDPYNYVSWDGIHATEAANKLVALAILNGSYSDPPFSFQNLCHLQPLD >KGN47509 pep chromosome:ASM407v2:6:15739143:15739441:-1 gene:Csa_6G350350 transcript:KGN47509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLTGEAHGDTSMEAINDMELGYTVDAAGRRGEIQIRHEGRRRMVVPEYEDIVARQLVRKTTMDNNASLTTTDGCGKAGDD >KGN49376 pep chromosome:ASM407v2:6:27966253:27969992:-1 gene:Csa_6G522700 transcript:KGN49376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYVSLAIFMMASFVLVRVLYAQRQSAMEVELEALKAFKSSIHFDPLGALADWTDLNDHYCNWSGIICDSESKRVVSITLIDQQLEGKISPFIGNLSALQVLDLSDNSFSGPIPGELGLCSNLSQLTLYGNFLSGHIPPQLGNLGFLQYVDLGHNFLKGSIPDSICNCTNLLGFGVIFNNLTGRIPSNIGSLVNLQILVAYVNKLEGSIPLSIGKLDALQSLDLSQNNLSGNIPVEIGNLLNLEYLLLYENALVGKIPEEMGKCEKLLSLELYNNKFSGPIPSQLGSLIHLQTLRLYKNRLNSTIPQSLLQLKGLTHLLLSENELSGTISSDIESLRSLQVLTLHSNRFSGMIPSSLTNLSNLTHLSLSYNFFTGEIPSTLGLLYNLKRLTLSSNLLVGSIPSSIANCTQLSIIDLSSNRLTGKIPLGFGKFENLTSLFLGSNRFFGEIPDDLFDCSSLEVIDLALNNFTGLLKSNIGKLSNIRVFRAASNSFSGEIPGDIGNLSRLNTLILAENKFSGQIPGELSKLSLLQALSLHDNALEGRIPEKIFDLKQLVHLHLQNNKFTGPIPDAISKLEFLSYLDLHGNMFNGSVPKSMGNLHRLVMLDLSHNHLSGSIPGVLISGMKDMQLYMNLSYNFLVGGIPAELGLLQMIQSIDFSNNNLIGTIPVTIGGCRNLFFLDLSGNDLSGRLPGNAFTGMKMLTNLNLSRNIIAGEIPEELANLEHLYYLDLSQNQFNGRIPQKLSSLKYVNLSFNQLEGPVPDTGIFKKINASSLEGNPALCGSKSLPPCGKKDSRLLTKKNLLILITVGSILVLLAIIFLILKRYCKLEKSKSIENPEPSMDSACTLKRFDKKGMEITTEYFANKNILGSSTLSTVYKGQLDNGQVVAVKRLNLQYFAAESDDYFNREIKILCQLRHRNLVKVLGYAWESQKLKAIVLEYMENGNLDRIIHNSGTDQISCPLSKRVDICVSIASGMQYLHHGYDFPIIHCDLKPSNILLDGDWVAHVSDFGTARVLGVQNQYTSNISSSAAFEGTIGYLAPEFAYMGKVTTKVDVFSFGVILMEFLTKKRPTATIEAHGLPISLQQLVERALANGKEELRQVLDPVLVLNDSKEQTRLEKLLKLALSCTDQNPENRPDMNGVLSILLKLQRDEL >KGN49398 pep chromosome:ASM407v2:6:28066333:28067649:1 gene:Csa_6G523390 transcript:KGN49398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLSQPPTLDFNQYSLLRSTCKPTASFADIPEIDLSDPNAKFHIVKACEEFGFFKLVNHGVPVELMTKLEDESLCFFKLSKSEKDKARTPHPLGYGSNGDKGWIEYLLLNANPLPIFSHDFLCAATEYVTAVKKLSCEVVELIAEGLKMERRNAISKLLKDEKADCCFRVNHYPPCPEMQGLSGLNNMIGFGEHTDPQILSILRSNNSTGLQICLRDGAWVSVPADAAAFFVNVGDVLQVMTNGRFKSVKHKVVVDPNRERVSMIYFGGPPLSEKITPLPEVLKDGEESLYKEFTWWEYKTAAYKSKLADYRLGAFEKSPIC >KGN49117 pep chromosome:ASM407v2:6:26692731:26695748:-1 gene:Csa_6G514290 transcript:KGN49117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLTPTKNSPVSQRPRGARRSNFGVPMTPLLRWKLEERHGSRGRNRVQLQARKLAADLWHLHYKEISGGGRTESQGEIRDGRRDERRHCIDSWNKGMKVKAAAATENWNPETPNEADAAAEFGRLYSHCGSEDQPFTTASTASYLKEELALAQTRIRKLEFRQRNSKKKIEHLRGKLEENRAIWKNRRHLKLEELNQERKTHHRTETLKANLIKDLAEAKAKVEKYKQEYQKEKKNRELLEEVCTEMAKQIVGDKAKVEALKRESMKLCEELEEERNMLQMAEVWREERIQMKLIDAKLALEDKYIQMNKLITDLENFLMSRSEKLDEMEIKRGELIHEAAKSLDIEEIEGLFYEQKTQSLVLSLLEDLKDVSKLEEKCEEINNNNNKSVENEFVSEGKTEIVENPFEDCSKKKVNGSSGRYSNRTMSSEGGELKGGCNESVGRRNSQNPHIRRGTHGCIEWPRGIQKNCFKIKPLDARIQTQKHQLRYILKHKTN >KGN47645 pep chromosome:ASM407v2:6:16701661:16703697:-1 gene:Csa_6G366430 transcript:KGN47645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAITYRRIIINNFSFSFIFHQRFSPFTSDSSTAADNIPQPIDSTHLRRVCTVLYQQQNSPDLKLHTKLLACNFNLSHEFFLQVCNTFPLSWRPVYRFFQFTETHPNFTHTAVSFNKLIDVVGKSRNIDLLWGLIQEMGRRRLVNDKTFVVALRTLATARELKKCVEFFHLMNGYGFCYSLVTLNRVVEKLCGCKLVDEAKFLVMKLNEWIKADGVTYKLLIKGFCNVGDLIEASKMWNLMVDEGFEPEMEAVEEMMNVLFKTNKLDEALKLFQALRSDRMNDLIPSTYSLVIRWLCNKGKVGQAFIVFDEMHKRGLEVDNSVHSSLIYGLLARGRRREAYNIMRRIENPDLDVYHAFIKGLLKLKRANEATQVFREMIERGCEPIMHTYIMLLQGHLGKRGRKGSDPLVNFDSIFVGGLVKNGKSLEATKYVERLMKRGLEVPRFDYNKFLHYYSNEEGVVMFREVGNKLREVGLVDLADIFQRYGEKMTTRDRRRNRAVTDLK >KGN45744 pep chromosome:ASM407v2:6:901131:904917:1 gene:Csa_6G008750 transcript:KGN45744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKALILVGGFGTRLRPLTLSVPKPLVDFANKPMILHQIEALKAIGVEEVVLAINYQPEVMLNFLKEFEKKLGIKITCSQETEPLGTAGPLALARGKLIDASGEPFFVLNSDVISEYPLKQMIEFHKSHGGEASIMVTKVDEPSKYGVVVMDESTGKVEKFVEKPKLFVGNKINAGIYLLNPSVLDRIELRPTSIEKEVFPSIAAEQKLYAMVLPGFWMDIGQPKDYITGLRLYLNSLRKNSSSVLAVGSHIVGNVLVDETAKIGEGCLIGPDVAIGPGCVVESGVRLSRCTVMRGVRIKKHACISSSIIGWHSTVGQWARVENMTILGEDVHVGDEIYSNGGVVLPHKEIKSSILKPEIVM >KGN47035 pep chromosome:ASM407v2:6:11670654:11670896:1 gene:Csa_6G178440 transcript:KGN47035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYCETIPTYGVVALVERKMLMTRWWSVTIRSKNVNMARRCWISQVTRVILAKVNPGSAMGNFLKSQYELAIVIVVLVGN >KGN48642 pep chromosome:ASM407v2:6:24217641:24219698:1 gene:Csa_6G496450 transcript:KGN48642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMLSTKATCNSHGQDSSYFLGWEAYEKNPFDETSNPNGIIQMGLAENQLSFDLLESWLTKNPDAASFKRDGKSIFRELALFQDYHGLPAFKKALVEFMAEIRGNKVTFEANNIVLTAGATSANETLMFCLAEAGDAFLLPTPYYPGFDRDLKWRTGVEIVPIHCTSSNGFQVTQPALEQAYQEAQARNLRVKGVLVTNPSNPLGTTMTRNELDLVFDFITSKGIHLISDEIYSGTVFGSPGFVSAMEVLKERSNEDEEVWKRVHIVYSLSKDLGLPGFRVGAIYSNDEMVVAAATKMSSFGLVSSQTQYLLSAMLSDKKFTRTYISENQKRLKQRQKMLVSGLEKAGIKCLESNAGLFCWVDMRHLLESDTFECELKLWKKIVYEVGLNISPGSSCHCTEPGWFRVCFANMSQSTLKLAIRRLKSFVQELRSVSTPNVSTTTTNIHDSKFSKNIKKNIFTKWVFRQSVQDQANRKLHYER >KGN47412 pep chromosome:ASM407v2:6:14944140:14946721:-1 gene:Csa_6G314120 transcript:KGN47412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSMLNEAEPKELRDESDFEVIFSDSDYISVSGFGSLLSVRSARGTFPELINFRVARLNGFRRFFGHVAPIFFERGIAKPETKEISSLCSEPCEEENIIITVFEIKKSEIPAFIQREIEFRFLAVFPETLDGKIYDKPAVLCSRFTDEEFFQVRCKGNKDILFHHYGRHNIDKIWRDDILPCRVYLRHCVLAAKNLGEIAYNNFLDHTFLGDRRTTVREYLTRYDSGIMEEEPPESVKFRYEG >KGN47421 pep chromosome:ASM407v2:6:15017797:15018205:-1 gene:Csa_6G318680 transcript:KGN47421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRREREIIDTDANLARIGIEGFKLIDKFFGRASTTGGRRVVAATEMRPAQMQPPRKVAEQPPSKTKEKQGAVVTSDHLAKTRGGIVITTWRSYAKRK >KGN47712 pep chromosome:ASM407v2:6:17323415:17326510:-1 gene:Csa_6G383000 transcript:KGN47712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTITSLFFFLLGLFSIFPVSFSTNILSLRKKNSDRLLSSVVFPLKGNVYPLGYYSVSINIGKGDEAFEFDIDSGSDLTWVQCDAPCTHCTKPREQLYKPNNNALNCFEPLCTSLHPITNHHCKSADDQCQYEIEYADHGSSLGVLVNDHVPLKLTNGSLAAPRIAFGCGYDHKYSVPDSSPPTAGVLGLGNGEVSFISQLSSMGVVRNVVGHCLSDEGGFLFFGDEFVPSSGVTWTSMSHESIGSYYSSGPAEVYFSGKATGIKDLTLVFDSGSSYTYFNSQAYNSILALVKNNLRGKPLEDAPEDKSLPVCWKGTRPFKSLRDVKKYFNPLALRFTKTKNAQIQLPPENYLIITKYGNVCFGILNGTEVGLGDLNIIGDISLKDKMVIYDNERRRIGWFPTNCNKFRKEGQSLCQPEGLFSILTENYQGYIPKIF >KGN45631 pep chromosome:ASM407v2:6:218382:221534:1 gene:Csa_6G001770 transcript:KGN45631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSFLNSSEPSFSSSSSSSSLTSSSLPRLAPTSPATTSAALAVVPTFRVHPSLSSLAILTTKPTTIPFSYSSTTYPPKHFRSRFRNYYSNSEPTFSDRDENGDYSDVSDSETIFDDGGGLSIQIEKLGTNSRRIYSRIGIDAPLQAVWNILTDYERLADFIPGLAISQILFKIDNHVRLFQVGEQNLAFGLKFNAKGTIDCYENDLERLPFGKRRVIKFKMIEGDFELFEGEWSIEQFGEDDDSFQDQEIHSTLSYSVDVKPKLLLPVRLLEGRLCGEIKANLVCIREEVHKTNSTTP >KGN46351 pep chromosome:ASM407v2:6:5797319:5813218:-1 gene:Csa_6G087790 transcript:KGN46351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPRKKKVAKKCQISHSDSLISTLPSLSHTHTPLSPFPLLPLLPLLLHFLSYLYLDYTFLPIPSLFVIHFFFFSNSPIPLFFFFFSTSQKTMAPRNSHGKPKAHDKKKKKEEKVLPAVMDISVLLPDDTLVVLKGISTDKIIDVRRLLSVKTETCNITNFSLTHEVRGPRLKDSVDVSALKPCTLTLVEEDYDEELAAAHVRRLLDVVACTTCFGTLPSGKDQNGGKLDGNGRNSSGALDKKAKKSPNSAASTISGKFDGSAAKQDDSEAEISHSCPKLGTFYDFFSLSHLTPPLQFIRRVTKQAVDGILPDDHLFSLEAKLCNGKVARVESCRKGFFSVGKHQILSHNLVDLLRQLSRAFDNAYRDLIKAFSERNKFGNLPYGFRANTWLVPPVSAQLPSVFPPLPVEDETWGGNGGGLGRDGKSDLIPWASEFLFLASMPCKTAEERQIRDRRAFLLHSLFVDVAIFRAIKAIKHVITVSKVDRLVSEGEVLFTERVGDLKVTVAAKDVPDASCKVDTKIDGIQAIGMDQKSLVEKNLLKGITADENTAAHDTAALGVINVRYCGYISTVKVEQKENEKVSSQYQIIELLDQPEGGANALNINSLRLLLHQTTPSEHNRSLTHLQSMDQEELGAAQAFIEKLLKESLVELEKEETQPNHFVRWELGACWIQHLQDQKNTEKDKKPSSEKAKNEMKVEGLGTPLKSLKNKKKQDMKTLKMQSRNDSSSDGMTGENDASSCEAENEKNSKENEIALRRKLSEESFDRLKNLDTGLHCKSMQELVDLSQNYYVEVALPKLVSDFGSLELSPVDGRTLTDFMHTRGLQMRSLGHIVKLSEKLSHVQSLCIHEMIVRAFKHILRAVIAAVDIDKMAVSVAATLNLLLGVPENVDPQKPCNVHSLVWRWLELFLMKRYEWDISSFNYRELRKFAILRGMCHKVGIELVPRDFDMDSPFPFQKSDVVSLVPVHKQAACSSADGRQLLESSKTALDKGKLEDAVTYGTKALAKLVAVCGPYHRMTAGAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVKRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHKALKCNQRLLGPDHIQTAASYHAIAIALSLMEAYPLSVQHEQTTLQILRAKLGPDDLRTQDAAAWLEYFESKAFEQQEAARNGTRKPDASIASKGHLSVSDLLDYINPSHDAKGRDAAAKRKNYIVKLKGRSDHSATMAHGEESPQETSKEVSDEETLVLVPGDVPSTDEETTTPVEVQQPVTEEAAEERPKTVDDVISELHPEGEDGWQSVQRPRSAGSYGRRLKQRRATFGKVFSYQKMNIDVESEAHKLKNNNPNSRFYVLKKRTISHGSYTDHHSMNSYQGSKFGRRIVKTLTYRVKSIPSSTETATVVSATETADKVSSVVDSGRSSTPIDASSLKNTIVSLGKSPSYKEVAVAPPGTIAMLQVKVPQSDTTGAEELRVEIHEEKSNEMKEISNISVVESSDLLEKDKQVEEKNDETQTGHTVENSPSQMVSEPVEGLQSCVADVNEVVEDNVPEDSTTYPGGSSESKPAVEDLSNDFESDNFDSHEQAEDSKDKSSVLSSGDTRGLNNKKLSASAAPFNPSPVIIRAAPVAMNITIPGPRGIPPWPVNMNIHPGPASVLPTINPLCSSPHQPYPSPPPTPGMMQSMPFIYPPYSQPQAIPTYTQPLSVPGYSQPVPTSTFPVTTSAFHPNPFPWQCSVNANPSERVPGTVWPGSHPVPSPVDSANDFMKDLNVNGDISLKVLPADIDTLGEAKKENNSLPSERMVSENKGAGISLENVEEKCNSNPCMVETSTTILNGNVKSSSENVEEEKTFSILIRGRRNRKQTLRVPISLLSRPYGSQSFKVNYNRVVRGSDLSKFTSYSASKECTASAT >KGN46523 pep chromosome:ASM407v2:6:7011193:7012968:-1 gene:Csa_6G106750 transcript:KGN46523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLHVVAAIHCRLGQFGVVIPVLERSIEAMECGNGLDHALAKYSEYMQLGDTYSMLGQLDQSISCYEVGLMIQIHAFTDSDPRVAETCRYLAEAHVQAMQFEKAKKYCKKTLDIHKQHSPPASPKEATDRHLMALICEALGDSESALEHLVLASMAMIAHDHDAEVAAIDATLGDIYASLCRFNERVAALHCLTLRPPRRALQPHRKVPGSKVVCRQCYLKPQAGASHEEISSGLVEISAVEGGGGGGWSGSRAMAQMGVMFYMVGKYGEARKAFEGAIGKLRGAKSAMFGVLLNQMGLTCIQLYKIGEAVRLFQETKVVLEEQYGVYYFKRPKWGFAVSSNLAAATYDAM >KGN46159 pep chromosome:ASM407v2:6:4593097:4593378:-1 gene:Csa_6G058730 transcript:KGN46159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVLSMRPAITVSSVNLSPRLSPPLPPLPARISGEALVNRFDCVKVRSKLHVGSLGKNGDGEFKLPLLSKLLLMPRLPWPRRYAPKSPPPPF >KGN47031 pep chromosome:ASM407v2:6:11601279:11604470:1 gene:Csa_6G176930 transcript:KGN47031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKFTAEELRRIMDYKHNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRQDEAERGITIKSTGISLYYEMSDESLKSYKGERHGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVDGEEAYQTFQRVIENANVIMATYEDPLLGDVQVYPEKGTVAFSAGLHGWAFTLTNFAKMYASKFGVDEAKMMERLWGENFFDPATKKWTSKNTGTATCKRGFVQFCYEPIKQIIATCMNDRKDKLWPMLQKLGVVMKSDEKDLMGKPLMKRVMQTWLPASTALLEMMIFHLPSPAKAQKYRVENLYEGPQDDVYASAIRNCDPEGPLMLYVSKMIPASDKGRFFAFGRVFSGKVSTGLKVRIMGPNYVPGEKKDLYVKSVQRTVIWMGKKQETVEDVPCGNTVAMEFAWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGALAEENMRGICFEVCDVVLHADAIHRGGGQVIPTARRVIYASQLTAKPRLLEPVYLVEIQAPEQALGGIYSVLNQKRGHVFEEMQRPGTPLYNIKAYLPVIESFGFSSTLRAATSGQAFPQCVFDHWEMMSSDPLESGSQAAQLVADIRKRKGLKEQMTPLSDFEDKL >KGN47054 pep chromosome:ASM407v2:6:11809177:11818349:1 gene:Csa_6G181590 transcript:KGN47054 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-activating enzyme E1 MNHPTPFLSSSSSSSTSSSISPFLFFEKPLDSHHIPHCSSFNPPFIRFLPSSSFTVLIGTSLLHFMLPRKRAGEEGVVVEEETDNGSTSVVINNNNSSVQNVGASFIKKQRIDSDSNSNTNVAAVATVPTTASNIVNDAASLIMASGNSNPPDIDEDLHSRQLAVYGRETMRKLFASNVLISGMQGLGAEIAKNVILAGVKSVTLHDEGVVELWDLSSNFVFSESDVGKNRALASAQKLQDLNNSVIVHTLTSKLVKEQLSDFEVVVFTDTSLDKAMEFNDFCHNHQPPISFIKSEVRGLFGSVFCDFGPEFTVYDVYGEDPHTGIIASISNDNPALVSCVDDERLEFQDGDLVVFSEVHGMTELNDGKPRRIKNCRAYSFTLEEDTTNFGSYEKGGIVTQVKQPKVLNFKPLREAINDPGDFLLSDFSKFDRPPLIHLAFLALDKFVTELGRLPVAGSEEDAQKLISVASNINESLGDGRVEDINPKLLRHFAFGAKAVLNPMAAMFGGIVAQEVLKACSGKFHPLVQFFYFDSVESLPTESLDASEFRPLNSRYDAQISVFGSKLQKKLENAKVFMVGSGALGCEFLKNLALMGVSCSSEGKLTITDDDVIEKSNLSRQFLFRDWNIGQAKSTVAAAAAVAINRHLNIEALQNRVSPETENVFDDSFWENLSVVVNALDNVNARLYVDQRCLYFQKPLLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPTDVNAYLSNPSEYTSAMMNAGDAQSRDTLERILECLDRERCETFEDCITWARLKFEDYFANRVKQLIYTFPEDAQTSNGAPFWSAPKRFPHPLQFSTSDQSHLQFVLAAAILRSESYAIPIPDWVKNPRKLADAIDRIIVPDFMPKKDAKIVTDEKATSLSTASVDDAAVIHDLAGKLEETCRKLPEGFRMKPIQFEKDDDSNFHMDLIAGLANMRARNYSIPEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKVLDGGHKVEDYRNTFANLALPLFSMAEPVPPKVIKHRDMSWTVWDRWIIKDNPTLRELIDWLKNKGLNAYSISCGSCLLYNSMFPRHRDRMDKKVVDLARDVAKVELPPYRRHLDVVVACEDDEDNDIDIPLVSVYFR >KGN47529 pep chromosome:ASM407v2:6:15939128:15941947:1 gene:Csa_6G355970 transcript:KGN47529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLFTSLPNSSFMHGVFTPVRCPTMIRNSTAIIKSGQLLVVLGFRLRLTFSITHRFFTSPASLPQSFSVEHDIPAQLFSILSRPNWQKHPSLKNLIPSIAPSHISALFALNLDPQTALAFFNWIGQKHGFKHNVQSHVSMLNILVPNGYLRIAENMRILMIKSTDSSENALFVLEMLRSMNRRVDAFKFKLTLRCYNMLLMLLSRFLMIDEMKSVYLEMLDDMVTPNIFTLNTMVNGYCKLGNVVEAELYVSKIVQAGLSLDTFTYTSLILGYCRNKNVDAANAIFLSMPNKGCLRNEVSYTNLIHGFCEARRVDEALKLFSQMHEDNCWPTVRTYTVIIFALCQLGRKTEALNMFKEMTEKHCQPNVHTYTVLICSLCEDSNFDDAKKILNGMLEKGLIPSVVTYNALIDGYCKKGLSASALEILSLMESNNCSPNARTYNELILGFCRGKNIHKAMSLLHKMLERKLQPNVVTYNILIHGQCKEGDLGSAYKLLSLMNESGLVPDEWTYSVFIDTLCKRGLVEEARSLFESLKEKGIKANEVIYSTLIDGYCKVGKVSDGRFLLDKMLSAGCVPNSITYNSLIDGYCKEKNFKEARLLVDIMIKRDIEPAADTYTILIDNLLKDDEFDQAHDMFDQMLSTGSHPDVFIYTAFIHAYCSHGRLKDAEVLICKMNAKGIMPDTMLYTLFIDAYGRFGSIDGAFGILKRMHEVGCEPSYYTYSCLIKHLSNAKPKEVSSSSELSDLSSGVASNDFSNCWRRVDYEFTLDLFGKMAEHGCAPNANTYGKFITGLCKVGCLEVAHRLFDHMKEKGQSPNEDIYNSLLGCSCQLGLYGEAIRWLDIMIENRHLPHLDSCKLLLCGLYDEGNDEKAKRVFCSFLQCEYNYDEMVWKVLIDGLLKKGLSDKCSDLFGIMETQGCQIHPKTYSMLIEGFDGIQEID >KGN47826 pep chromosome:ASM407v2:6:18327465:18329585:1 gene:Csa_6G405880 transcript:KGN47826 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-like protein MIEVVLNDRLGKKVRVKCNDDDTIGDLKKLVAAQTGTRSEKIRIQKWYNIYKDHITLKDYEIHDGMGLELYYN >KGN47382 pep chromosome:ASM407v2:6:14718660:14724683:1 gene:Csa_6G308420 transcript:KGN47382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSSISLSISSSSLTPLRSNSPADFAPINLRFCGLRREAFGFSSLKRSHFNRLHISVASSSSRKVSAALSANGSPSKFDYDLLIIGAGVGGHGAALHAVEKGLKTAIVEGDVVGGTCVNRGCVPSKALLAVSGRMRELQNEHHLKALGLQVSAAGYDRQGVADHANNLASKIRSNLTNSMKALGVDILTGVGTILGPQKVQVGSDKIVTAKDIIIATGSVPFVPKGIEVDGKTVITSDHALKLEFVPDWIAIVGSGYIGLEFSDVYTALGSEVTFIEALDQLMPGFDPEIGKLAQRVLINPRKIDYHTGVFASKITPAKDGKPVTIELIDAKTKELKDTLEVDAALIATGRAPFTNGLGLENINVATQRGFIPVDERMKVIDANGKLVPHVYCIGDANGKMMLAHAASAQGISVVEQVTGRDHVLNHLSIPAACFTHPEISMVGLTEPQAREKAQKEGFEVSVAKTSFKANTKALAENEGEGLAKLIYRPDNGEILGVHIFGLHAADLIHEASNAIALGTRIQDIKLAVHAHPTLSEVLDELFKSAKVEAHVSSAVSEPIAV >KGN48212 pep chromosome:ASM407v2:6:21186970:21193826:-1 gene:Csa_6G448700 transcript:KGN48212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLCFPSLTFPSSSLHKRIPLTPPTTPFPSLSIKSSIDERRNSSTPPKIKNPTNAPKSRSWVSPDWLTSLTRYITLGQGDDSGIPVATAKLDDVSDLLGGALFLPLFKWMNDYGPIYRLAAGPRNFVIVSDPTIAKHVLRNYGTYAKGLVSEVSEFLFGSGFAIAEGPLWTVRRRAVVPSLHKKYLSVIVDRVFCKCAMRLVEKLEKDALNNNSVNMEEKFSQLTLDVIGLSVFNYSFDSLSTDSPVIDAVYTALKEAEARSTDILPYWKIKALCKIIPRQIKAEEAVTVIRKTVEELIAKCKEIVEAEGERINEEEYVNDADPSILRFLLASREEVSSVQLRDDLLSMLVAGHETTGSVLTWTLYLLSKHSSSLVKAQNEVDRVLQGRPPSYEDTKELKYLTRCILESMRLYPHPPVLIRRAQVADILPGDYKVNAGQDIMISVYNIHRSSQVWEQAEEFIPERFDLEGPVPNESNTDFRFIPFSGGPRKCVGDQFALLEAIVALAIFLQHMNFELVPNQTIGMTTGATIHTTNGLYMKLSQRKLTPELVSSATSR >KGN46112 pep chromosome:ASM407v2:6:4186311:4193476:1 gene:Csa_6G054840 transcript:KGN46112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFLLFFYLLSLTSPALFHLALAPGASHISRGASNISISPEDFDSHAYGSSARFLLEDNKSQGSIMQGYMTNKDLEEAIKAFGKKCSQISRIYSIGDSVQGFPLWVMEISDKPGQEEAKPAFKYIGNVHGDEPVGRELLLQFANWICDNYLKDPLATLIVENVHLHILPSMNPDGFSLRRRNNANNVDLNRDFPDQFFVINDDEYDRQPETKAIMKWMRERHFTASASLHGGALVANYPWDGTADKRKDYYACPDDETFRFMASIYSRSHHNMSFSQEFQGGITNGAAWYPIYGGMQDWNYIHGGCFELTLEITDNKWPPANELPTIFEYNKLSMLKLVASLVQTGIHGRIFSSDSGTPLPATITLKGIDYSVKASQKFANYHRLAAPRQKYEVTVSMPGYKSKNTSIWLEEGAMSVDFVLDPDTTAKGKVIRNCDCNCGNRVDFVAYIWGHYFEAYILLAVVLVFICFLFQRRMKSRLSKQRLVALPKRTVV >KGN47582 pep chromosome:ASM407v2:6:16312052:16312434:-1 gene:Csa_6G361910 transcript:KGN47582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRKGSKVWVEDRDFAWVAAEVLDFVAKQVRVSTATGKKVLALPEKLLPRDADEDDHGGVDDMTKLTYLNEPGVLYNLQRRYSLNDIYVRCS >KGN49238 pep chromosome:ASM407v2:6:27274793:27276428:-1 gene:Csa_6G517950 transcript:KGN49238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSRIMDKPHHHQQQQSSSGTLKCPRCDSSNTKFCYYNNYSLSQPRHFCKACKRYWTRGGTLRNVPVGGGCRKNKRLKTSSSSSTSVNANSTSPPSQNPRLLIHNPINSTTVPNIVSSSALNHTNSSMFFGLDSIGGGSGGLGLSSSGLLSHFNDPHQQPFSSNHFHHPTISFDRNSHLLGNIDPNLMTSMKEIKIEDLNRLCQNQTEQIDLSNFSDPSSIYWNSGTTTVTGNWHDPTNYNGSSVASLF >KGN47307 pep chromosome:ASM407v2:6:14055368:14058049:1 gene:Csa_6G290880 transcript:KGN47307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFHISSAIFPFLFISLLLLNTASLYRAEVLTLTADTFSDKIKEKDTAWFVKFCVPWCKHCKNLGSLWEDLGKAMEGEDEIEVGEVDCGSNKPVCSKVDIHSYPTFKLFYEGEEVAKYKGPRDVESLRIFALEESEKAAEKAEQDSDKEL >KGN48240 pep chromosome:ASM407v2:6:21441372:21449544:-1 gene:Csa_6G450940 transcript:KGN48240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAQMVADDLARSMSRRSWASASQRSWATASIREVWQAQPDVFSRSGRQEDEEELKWAALERLPTYDRLRKGMLKHVLDNGRVVHDEVDVTKIGMQEKQQLMESMLKIIEEDNEKFLRRLRDRTDRVGIEMPKVEVRYEHLAVEGELHVGSRALPTLLNVFLNIAESVLGLVRLAPSRKRKIQILKDISGIVKPSRMTLLLGPPSSGKTTFLRALAGKLENNLKETGKITYCGHEFKEFVPQRTSAYISQHDLHNWEMTVRETFDFSGRCQGVGTRYEMLEELSRREKEAGIKPDPEIDAFMKAISVSGQRTNLFTDYVLKILGLDICADIIVGNEMRRGISGGQRKRVTTGEMLVGPAKGLFMDEISTGLDSSTTFQICKFMKQMVHIMDVTMIISLLQPAPETFDLFDDVILLSEGEVVYQGPRENVLEFFEFMGFKCPERKGVADFLQEVTSKKDQEQYWFKKSQPYRYVSVPEFIQGFKKFHIGQRLNTELGVPFDKRSTHPAALVTQKYGLSNWQLFRALFSREWLLMKRNSFIYIFKTVQITIMSLITMTVFFRTEMKPGTLEGGGKYLGALFFSLINMMFNGMAELALTITRLPVFYKQRDSLFFPGWAFGLPIWVLRIPLSLMESGIWIGLTYYTIGFAPAASRFFRQFLAYFGIHQMALSLFRFIAAAGRVQVIASTMGSFTLLIVFVLGGFIIAKGDIEPWMIWGYYISPMMYGQNAIVINEFLDDRWNKDSSNPLLRGTTVGKVILASRDFYTTNKMYWICVGALFGFSFLFNILFIMALTFLNPLGDSRSAIADEANDKKNNPYSSSRGIQMQPIKSSNAANNSNSTKKKGMVLPFQPLSLAFNHVNYYVDMPAEMKSQGIDDDRLQLLRDVSGAFRPGVLTALVGVSGAGKTTLMDVLAGRKTGGYIEGSINISGYPKNQETFARVSGYCEQNDIHSPHLTVYESVLYSAWLRLPSSVNTETRKMFVEEVMELVELNPLREALVGLPGIDGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFESFDELFLMKRGGQVIYAGSLGHQSHRLVEYFESVPGVPKIKDGYNPATWMLEVTASSVETQLDVDFADIYANSALYQRNQELIAELSQPPPGSEDLHFPTKYSQTFTVQFKACFWKWYRSYWRNPRYNAVRFFMTVMIGLLFGLIFWNKGEKTEKEQDLRNFLGAMYAAILFLGASNASAIQPVVSIERTVFYRERAAGMYSPLPYAFSQVAIEVIYNAIQTIIYSLLLFSMMGFQWKASNFFWFYYFILMCFVYFTMFGMMIIALTPGPQIAAIAMSFFLSFWNLFSGFMVPRPQIPIWWRWYYWLSPIAWTINGLVTSQVGNKGGNLHVPGGVDIPVKTFLKDTFGFEYDFLPYIALAHFGWVFLYFFVFAYSMKFLNFQKR >KGN48222 pep chromosome:ASM407v2:6:21268383:21272179:1 gene:Csa_6G449290 transcript:KGN48222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSGFLLYLLSASSIAVLSLLYLSDSSSSSSSSTTALSASLSTDKTWPDLEPSWRLVAATVIGFLGSACGTVGGVGGGGIFVPMLTLIIGFDTKSAAAISKCMIMGASTSSVWYNLRVAHPTKDVPIIDHDLALLFQPMLMLGITVGVSLSVVFPYWLITILIIILFIGTSSRSFFKGIEMWKEETILKKEFAKRCETVVNSHGELLIDVEYDPLIPKEQKTELELMCFNLRWKRTSILFAVWISFLILQVVKNDVAACSIWYWVVFFLQFPIAIVVFGYEARKLYKEHKKRMEAGNLEQICEASIGWTGSHLAFCALCGIVGGTVGGLLGSGGGFVLGPLLLEIGVVPQVASATATFVMMFSSSLSVVEFYLLNRFPIPYALYLTSVSVLAGFWGQFFVRKLITILRRASLIVFILSGVIFASAITMGIVGVTKSITMIQNHEFMGFLDFCSSG >KGN47939 pep chromosome:ASM407v2:6:19273677:19281207:1 gene:Csa_6G417900 transcript:KGN47939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGVDTPPPTHTTGLGISLDLSLPDSLLSSSSSSSSSSYSSSSSPPRIPKRLRQRLLVECKSPSTVVEIQAKLRHADLRRQQHYEKLSSKARPKPKSPSHSSQEGNLAQRLEAKLLAAEQKRLGILANAQKRLAMVDEVRQVAKTVVERRKQEEREKLGKEVATRAKQAEANRMLIQKAYRQRRASLMERSSMSLVRKMTWENKYRERVRAAISQKRAAAEKKRLGLLEAEIKRARARVLQARRVAKSVSQQREVERRKMRDKLEDRMQRAKRKRAEYLRQRGRPDIASRVNIIRMHKHADILSQKLARCWRRFLKLRRTTLALTEAYNSLNINGRSVKSMPFEQFAVLIESSSTLQTVKALLDRLESRLKAAKVVAATSYPFKFENIDHLLKRVASPKRRSSPSSARSRNTSRVVVREVARSIAKPFRYPVRVVLCAYMILGHPDAVLSSQGEREIALVKTAKEFVNEFELLVKIILEGPIQSSDDELESSPKQWTFRSQLAAFDKAWCSYLNCFVAWKVKDARALEEDLVRAACQLELSMLQTCKLSAGGDNALTHDMKAIQKQVTDDKKLLREKVQDLSGDAGVERMESALSETRSKYFESVENGSPLSLPVTQFISSSISNSDGPSISRSDVRSNKDRHIERPARVVRSLFREEQMVAKPNDLSESRSIPGGKFGSVDLATENELLVNEFLHQQHPVPDSLGMIEEDQNSIQVKVRETMHKAFWDSVMESLKQEEPNYDRVLQLVREVHDELCNMAPESWKHEITEAFDIDFLSQVLKSGNMDIDYLGRILEFTLVTLQKLSSPSKEGQLKASYECLFEELTEICRPTKDKSNNPCEIALIRGLQFVMEQIQVLRQEISKARIGIMKSILTGPHGFDYLRKAFANQYGVPSDANTKLPKTMQWLSSVWHGKNQEWEEHKILLSSLSVVSKGSSKGCLPSTSLRTGGGIVQPVNSSPQTSNTARETTGNEQPECLGGELDIAIRLGLLKLVTVVSGVTQEVIPETFSLNLGRIRAVQAEVQKLIVTTTSILVWRQILLSQRSSTMTTTDIETAVLNCAQHLSNMLDQNENAGIEEITEAIVKFTGDGDEILQSSRVVVSRMIRKCLQAGDAVFEKVSRAVYLGARGVILGGSGRNGRRLAEKALRQVGGAVLTERMVKAAEVLVQAASVSVKVHEGWYADLVNLIDCEI >KGN47933 pep chromosome:ASM407v2:6:19221397:19225392:-1 gene:Csa_6G416860 transcript:KGN47933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKSTIYAWLLSIVCFLVLMIVTPSIPQSQDYHHFADHRQFFGIPNTLNVVSNFPFLIIGLIGLVLCYHENYFRLSLRGELYGWTCFYIGVALVAFGSSYYHLEPNDARLVWDRLPMTIAFTSIISIFIIERIDEYKGTLSIIPLLLVGIVSILYWCWFDDLRPYALVQFVPCIAIPLMTILLPPMYTHSTYWLWAAGFYLLAKVEEASDKLIYKWTYHIVSGHTLKHLCASMVPVFLTLMLAKRTIEPERQSLYKIWKVTLMKFRDDKNKVEASVCSFSSVPILEPQ >KGN48746 pep chromosome:ASM407v2:6:24870112:24871444:-1 gene:Csa_6G499890 transcript:KGN48746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSPCCDESGLKKGPWTPEEDQKLIKHIQNHGHGSWRALPKLAGLNRCGKSCRLRWTNYLRPDIKRGKFSQEEEQTILNLHSKKLIQMGFDPMTHRPRTDIFSSLPHIIALASLKELMENPSWEEQALRLQAEALQMAKCQYLQYLLQPPSLISNNNNPTEIDIMNLINSLSSPLFENQISITQNNNNINPPLQPLGTDSVSLLFSHLPSLEVVPSNNTNTYETMPFIAKEMCSQNNEVCDANNNSPWQLPFSSTAPSPPSVAPRMNIINEGPSSNSNYGDACSSSSLGGSSSSIWPDHLLLEDALFHDIPSL >KGN47702 pep chromosome:ASM407v2:6:17211394:17218256:-1 gene:Csa_6G382900 transcript:KGN47702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSESGIMIIICIYSAYLLLTAPLFHCVLGGNDGIGATYDGRSLIVNGEHKLLFSGSIHYPRSTPDMWPSLIAKAKEGGIDVIQTYVFWNLHEPQQGTYEFSGRRDIVRFVKEIQAQGLYACLRIGPFIEAEWSYGGLPFWLHDVLGIVYRSDNEPFKLHMQNFTTKIVNMMKSEGLYASQGGPIILSQINTCNGMRCGETFTGPNSPNKPSIWTENWTSFYQTYGEEPYIRSAEEIAFHVALFIAAKNGTYVNYYMYHGGTNFGRSASAFMITGYYDQSPLDEYGLTREPKWGHLKELHAAVKLCSTPLLTGTKSNFSLGQSVEAIVFKTESNECAAFLVNRGAIDSNVLFQNVTYELPLGSISILPDCKNVAFNTRRVSVQHNTRSMMAVQKFDLLEWEEFKEPIPNIDDTELRANELLEHMGTTKDRSDYLWYTFRVQQDSPDSQQTLEVDSRAHALHAFVNGDYAGSAHGIYKEKGFSLAKNITLRNGINNISLLSVMVGLPDSGAFLETRVAGLRRVGIQGEDFSEQHWGYKVGLSGEQSQIFLDTGSSNVQWSRLGNSSQPLTWYKVLPIINSNYLEFKCSLTDLCHCHSLLIGQTQFDAPPGDDPIALNLGSMGKGAVWVNGRGIGRYWVSFLTPKGEPSQKWYNVPRSFLKPTDNQLVILEEETGNPVEISLDSVLITKTCGQVSESHYPLVASWMGAKKQKVRRVKNRTRRPKVQLSCPSKKKISNILFASFGTPSGDCQSYAIGLCHSPNSRAIVEHACLGRAKCSIPISNLNFRGDPCPHVTKTLLVDAQCT >KGN49501 pep chromosome:ASM407v2:6:28715726:28738682:-1 gene:Csa_6G526350 transcript:KGN49501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEEEKLLKEAKKLPWEDRLSHKNWKVRNEANIDLAAVCDSITDPKDSRLREFGPLFRKTVADSNAPVQEKALDALIAYLRAADADAGRFAKETCDAIVAKCLTGRPKTVEKAQAVFMLWVELEAADVFLDAMEKAIKNKVAKAVVPAIDVMFQALSDFGAKVVPPKRILKMLPELFDHQDQNVRASSKGLTLELCRWIGKDPVKSILFEKMRDTMKKELEAELVNVTGSARPSRKIRSEQDKEPEKEDTSEAVGTGPSEESVADVPQEIDEYDLVDPVDILTPLEKSGFWDGVKATKWSERKEAVAELSKLASTRKIAPGDFSEVCRTLKKLITDVNIAVAVEAIQAIGNLSRGLRANFSASSRFLLPVLLEKLKEKKPALMESLTQTLQAMHKAGCLSLSDVIEDVKTASKNKVPLVRSSTLSWVTFCIETSNKAVILKVHKEYVPIFMESLNDGTPEVRDAAFLALAAVAKLVGMRPLEKSVEKLDDVRRKRLSEMIMGSESSVPSNGSANPVPGASMPSVSDSDGLFVKKSAASMLSGKRPAQAAPSSKKGNLAKSGTNKKADGSVPSGAPPKSSKPIEVPEDVEPAEMSLEEVESKLGSLIEADTVSQLKSTVWKERLEAISSLKQQVEGLEDLNPSVEILVRLLCIIPGWSEKNVQVQQQVIEVITYIASTAKKFPKKCIVLCLSGISERVADIKTRVQAMKCLTTFSEAVGPGFIFERLFKIMKEHKNPKVLSEGLLWMVSAVEDFGISLLKLKDLIDFCKETGLQSSAAATRNVTIKLLGCVHKFVGPDLKGFLSDVKPALLTAIDTEFEKNPFEGTAAAPKRTVRAEELTSSTSVSGTDGLPREDISGKITPTLLKNFESPDWKVRLESIEAVNKMLEEANKRIQPTGTSDLLGALRGRLYDSNKNLVMATLATIGNVASAMGPSVEKSGKGVLSDVLKCLGDNKKHMREATLTALDAWLAAVHFDKMIPYMILALVDNKVSAEGRKDLLEWLSRKLSGINDSSDAIQLLKPACSALTDKSSDVRKAAESCITEILRVGRQEAVEKVVKDISGPGLSLVLERLRPYGALQESFDSAKQVTSSLPSKNAIKVGKATSNGVAKHGNKAISSRGTISKGNRTESLISAHDLAVQSQALLNVKDSNKEERERIIVRKFKFEEPRIEQIQDLENDMMKYFREDLQRRMLSTDFKKQVDGIEMLQKALASIGKDVIEVLDILLRWFVLQFCKSNTTCLLKVLEFLPELFEILKDEGYCINESEAAIFLPCLIEKLGHNIEKVKEKMRELTKQIIQAYSATKMFPYILEGLRSKNNRTRIECADLIGFLIDNYGSEISGQLRSLQLVASLTAERDGEIRKAALNTLATGYKILGEEVWRYVGKLTDAQRSMLDDRFKWKVREMEKKKEGKPGEARAAMRRPLREYESEVAEQSGEVSRSMSGTISTSSRKNYGSELHMERQSVPQPLTTANGPTDWNEAMDIISFGSPEQSVEGMKVVCHELAQASSDPEGSSMDELARDADRLVLCLATKVAKTFDYSLTGASSRSCKYVLNTLMQTFQNKRLAYAVKEKTLDSLITELLLWLLDERVPHMDDGSQLLKALNVLMLKILDNADRTSSFVVLINLLRPLEPSRWPSTGSKESFASRNQKFSDLVVKCLIKLTKVLQSTIYDVDLDRILQSIHLYLQNLGMEEIRRRAGADDKPLRMVKTVLHELVKLRGAAIKGHLSMVPIDMKPQPIILAYIDLNLETLAAARMLTSTGPAGQTHWGDSTANNASSGTQSADAQLKQELAAIFKKIGDKQTCTIGLYELYRITQLYPKVDIFAQLQNASEAFRTYIRDGLAQMERNAAAGRTPSSLPLSTPPPASMNSSPDFAPLSPVHTNSLTEAKSLNVKPEPTNFTLPPSYTEDNRIITSRGPGPDYSLGDQRNDKYISGVTSGTLDAIRERMKSMQLAAAAGNHESGSKPLMSVNDNLHPGMIAQMSQPSEHIGVENSAQAGVLPMDEKALSGLQARMERLKSGTIEPL >KGN48128 pep chromosome:ASM407v2:6:20626076:20630107:-1 gene:Csa_6G439940 transcript:KGN48128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCCATPSTPSAKPKGKNKKANPFPAKDGEKNANGHGNKLQVLSDPTGHNISAHYDLGRELGRGEFGVTYLCTDTNTGEKFACKSISKKKLRTAVDIEDVRREVQIMKHLPNHPNVVRLKDTYEDDQAVHIVMELCEGGELFDRIVARGHYTERAAAVVMRTIVEVVQACHKHGVMHRDLKPENFLFANKKESSPLKAIDFGLSITFKPGDLFNEIVGSPYYMAPEVLKRNYGPEVDVWSAGVILYILLCGIPPFWAETEQGVAQAIIRSVIDFRRDPWPRVSENAKDLVRKMLNPDPKRRLTAQEVLDHPWLQNAKKAPNVPLGETVKARLKQFSVMNKLKKRALRVIAEHLSVEEVAGIKEAFDMMDVEKRGKINLEELRSGLQKLGQQIPEADLQILMEAAGVQDDGALNYGEFVAVSIHLKRMANDEHLHKAFAFFDQNQSGFIEIEELRAVLLNDEETNSEDVVNAIMHDVDTDKDGRISYEEFAAMMKAGTDWRKASRQYSRERFNSLSLKLFREGSLKLTS >KGN48556 pep chromosome:ASM407v2:6:23635372:23636968:-1 gene:Csa_6G491680 transcript:KGN48556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTLPVSSFSPILRYIPNSRASTLTLIRSSSSPVTSPVSMDTPQETRKVVVCGGGVIGVCTAYFLAKNGAAVTLVEKSAIACAASGKAGGFLAYDWCDGGPISALARASFNLHRSLSQELDGPKSYGYRALDTLSLTISESQKPPTPSTSSKSTGDSVVPSWVDGPVQNTRTIGNTETTAQVHPQHFTRTLLSNAMEKYGVEVVIGKLEKLTLEGGRFQSAMLEDGQVISADAVVLALGPWSGKFEALSSLFRVYGLKAHSIVLEPKEPEAITPHALFLGYYPAQGGRPIDPEMYPRPTGEVYLCGMSAVEEVPEDPEEITPNPESISVLKRVAKTVSSRLGEGEAELKAEQACFLPCTDDDLPVIGKLPGVSECYVATGHSCWGILNGPATGAAMAELILDGESAIVDLNRFSPARFVGRGRNR >KGN49295 pep chromosome:ASM407v2:6:27564832:27568542:1 gene:Csa_6G519480 transcript:KGN49295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAGKVKVAMGLQKSPASRKVESSPKTSTPAQPSPSSGKVSQKTVFSRSFGVYFPRSSAQVQPRPPDVTELLRMVEELRDREARLKTDLLEHKLLKESVAIVPVLENEISTKDAEIERASKRILFLEAENERLRVQVEEAKQSVEEERRESQERIKAMEGEVAELKKMALDRSRMELILENDELSASQRFQGLMEVSGKSNLIRNLKRATKCSDAVVNQDNHKVEHPEAKKEEVETERPRHSRCNSEELAESTLSNIKSRIPRVPKPPPKPSSSSSSSATTSTSSSSTGSSADIEKAIPAPPPVPTKAMPPPPPPPSKSAPPPPPPPPKGKRLMPAKVRRIPEVVEFYHSLMRRDSRRDSGSGVTEPPSTANARDMIGEIENRSAHLLAIKTDVETQGDFIRFLIKEVENASFTDIEDVVPFVKWLDDELSFLVDERAVLKHFQWPEQKADALREAAFGYCDLKKLESEASSFRGDARQPCGSALKKMQALLEKLEHGVYNLSRMRESAAKRYKAFQIPVEWMLDGGIVSQIKLVSVKLAMKYMKRVSAELETVGGGPEEEELIVQGVRFAFRVHQFAGGFDVETMRAFQELRDKASSCHVQCQNQQQHKYVWSSRPTTC >KGN49355 pep chromosome:ASM407v2:6:27856920:27859960:1 gene:Csa_6G521020 transcript:KGN49355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSGAKKRKAAKKKKELEAHINPSQGKDDAKNQDDKGSDSGEIDSPASQNHPSHSNPFGEGNKEIQESSSSDAGVPSNVGTNQKVKLSSERKNGNVENESVKGSRDDDHSSSSSDDESVDTTKKPEVLDGKTDDLVVPTAASILNSITPELSASEETISIAESASVENTAIPDMIVSKKLETTPFHSADGVTNVPVEAPRDPSSKPNEDRNLHISAHVENSECAENSKPESEDQPLIGSRPPVPQRSSWLSCCGLCDVFTSSDR >KGN48314 pep chromosome:ASM407v2:6:21920339:21925852:1 gene:Csa_6G476050 transcript:KGN48314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGKYSRVDNRRSSSSYCSTVTIVVFVALCLVGIWMLTSSSVVPVQNIDVPQENKNLAKSQVIETNEGKTQPFEDNPGDLPDDARKGDDNEGSSQQENQEEKPEEKPEDKPEEKPEEKPEEKPEEKPEEKLEEKSEEQNEDKNGGNEETKPDDGRKTEDGDSKEENGEQGSESKPEGGDNGSGGQGDTEENSNEKQSNSNDTDEKKDEEKKTDDSNDTKDGENNNGQEGENVKQEEKSTDDTNENNQSKTSEEFPSGAQSELLNETSTQNGAWSTQAAESKNEKETQRSSTKQSGYVWKLCNVTAGSDYIPCLDNLQAIRSLPSTKHYEHRERHCPEEPPTCLVSLPEGYRRPIAWPTSREKIWYYNVPHTKLAEVKGHQNWVKVSGEYLTFPGGGTQFKHGALHYIDFIQESVNDLAWGKQSRVILDVGCGVASFGGFLFERDVLTMSLAPKDEHEAQVQFALERGIPAISAVMGTKRLPYPGRVFDVVHCARCRVPWHIEGGKLLLELNRLLRPGGFFVWSATPVYQKNAEDAGIWNAMKELTKAMCWELISINKDTVNGVSAAIYRKPTNNDCYEQRYEKEPPLCPDSDDPSAAWNVPLQACMHKISTNESERGSKWPEQWPSRLEKPPYWLLDSQVGVYGRAAPEDFTADHKHWNRVVTKSYLSGMGIDWSTVRNVMDMRAVYGGFAAALKNLKVWVMNVVSIDSADTLPIIFERGLFGIYHDWCESFNTYPRSYDLLHADHLFSKVKTRCNIAALVAETDRILRPDGKLIVRDNSETVNELESMFKSMKWEVRFTYFKDNEALLCVQKSMWRPSESETLQYAIA >KGN48839 pep chromosome:ASM407v2:6:25376428:25377345:-1 gene:Csa_6G502760 transcript:KGN48839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDLMTKSFLSYVELKKQAQREAVGGGGHGFDIESGGQKLNPTEEQNLSLFFEKVDEIKTQMEETTNLLVDIQKLNQEAKSTHNAKILRGLRDRIDSDMVSTLRRARILKEKLASLDQSNTANRLISVAYGEGTIVDRTRTSITNGLRVKLREMMNEFQGLREKVVADHKEDLRRRYFSATGEQPSEEQVEKIMSGSLKLEMLGGKLSETESEDRVRHESVMDIQRSLNKLHQVFLDMAILVESEGEKIEDIEENVAKAGQFINGGTRSLYYAKQMKRKNKKWVYWVWAIIFVILLVCIVSMLVC >KGN45642 pep chromosome:ASM407v2:6:286175:286522:1 gene:Csa_6G002360 transcript:KGN45642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRATLFFVSIDNFSPLADAAALLTNIAHEADVEISRTQFSIIATGYSHWFVASLHIWEPFFANYYIDEDPITSRISLPALHHSLNRARNARFSSMIMRVQKSQNFLFFEFTNSS >KGN45630 pep chromosome:ASM407v2:6:209171:215338:-1 gene:Csa_6G001760 transcript:KGN45630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLIPKTFTLYRSKTMWEPSLVAWSFFILTLLFTTFLPNILKKKKYNDQNDQNDQSRTRYKFPSGRRSWPVIGDSFNWYSAIASSHPSKYVEEQAKRYGKIFSCRVFGKCTVVSVDPDFNRYVMQNEGILFQSSYPKSFRDLVGVNGVITAQGEQQRKLHGIASNFMRINKLNFSFIKEIQTIIIHSLTTFHDRHQIISLQDACRKIAINLMVSQLLGVSSDSEVNEISGLFYDFVDGCLSFPINLPPFAYHSAMKARKQIIRKIKKKIEMQKSMEKSSSSGHGVLGRLLEEQKHLCDEAVEDFIINLLFAGNETTSKTMLFAVYYLTHSPKAFEQLMEEQDGLRNKCGDNTVTWEDYKSMSFTQCVIDETLRLGGIAIWLMRETKEEIKYSDYVIPKGTFVVPFLSAVHLDENIYDEALTFNPWRWMQLQNQEKRNWKSSPYFAPFGGGGRLCPGAELARLQIALFLHHFLTNYRWTQIKEDRMSFFPSARLVNGFQIRLERREH >KGN47857 pep chromosome:ASM407v2:6:18655313:18655858:1 gene:Csa_6G408160 transcript:KGN47857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFSTGRGLIASKPLVHTNITIFIFSRYIMKYTFSNNFFIELRHRQRTCASQELGLTVQQRKDEIPWRKARDRRRFERVEKRKKDVGVIVYGRRRRYVRGTNLEKENRY >KGN48468 pep chromosome:ASM407v2:6:23050399:23052044:1 gene:Csa_6G488380 transcript:KGN48468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFAPSNSIALHRIPLCFRIPHPLLQPSAARFPSSPRRFPPLACQTLPESHDTTSAPEKSSNGPESPGDTTGQAASSSSNQGFPEFPNKDINRRVAIVSTVSALALFSSSRLDFGVSLKDLAAAALPYEEALSNGKPTVVEFYADWCEVCRELAPDVYKVEQQFKDRVNFVMLNVDNTKWEQELDEFGVEGIPHFAFLDKQGNEEGNVVGRLPRQYLLENVDALARGEASIPHARVVGQFSSAEARKVHQVSDPRSHG >KGN46848 pep chromosome:ASM407v2:6:10125432:10132735:-1 gene:Csa_6G146930 transcript:KGN46848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRLGVPENLTMYFTWLEEAGFSFHGLILAISETLLFGRSRLFRSIFSHVFEAKFGSLLAVVYLASVFFFSHFEDGFYPLSLSNSQMGHFHSGGRWALFCSVFNLIPILNISKLSAVSVHHKMRHQVAATFLSLVGFILLQQVICADTSDFSTSGNWTCRCFSPHQENQGLTLGPNCSTSCSCTQDVEGSRLWTCMCSTDGFPKVVANNRDSNCFTSCNCTYGLLPELPYSKRHISSNVVVIILSLCVIIITVAFFILVVCYLCQRDKCRVRAPIISLDKQISYNSLTNLISHRSSSTPDSKVMMDSPVNNIKGCFFMNQCLLRTKSRSLCGIIIQFSYSELENATEKFSSSHLVGRGGSSFVYRGELRDGRTVAVKRLNIQGGPDVDYLFLTEIQLLSRLNHCHVVPLLGYCTESRGKHTERLLVYEYMPKGNLRDCLDGASGKKMDWSTRVTIALGAARGLEYLHEAAAPRILHRDVKSTNILLDKDWRAKITDLGMATRLRGDDLPSCSSSPARMQGTFGYFAPEYAIVGRASLKSDVFSFGVVLLELITGQHPIHKSAGKGEESLAIWAAPRLQNSRRVITELPDPHLDGTFPEEEMQIMAYLAKECLLLDPDARPTMTEVVQVLSNITPDKSRRNNISANVFQERLSPCSVESEPDIANHNMRPSGKQVHTEVIVMDEMMERQASSRKWLDRYSLPLDIDRSLCADNYGNQDIVSFENMKTLVPFTSKGNNLRVQGPDDETVDLTEPRFESFCIVNVKSSS >KGN48954 pep chromosome:ASM407v2:6:25978314:25981392:-1 gene:Csa_6G507280 transcript:KGN48954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPFNSFVNQPSSPPPSSDDGGSSSDVTSWYGNIEYLLNISMIGAFSCLFIFLFVKLRSDHRRIPGPSGLVTKLLAVWHATCRDIARHCGADAAQFLLIEGGSCAVLLSIAVLSVSVLLPLNLYAGKAVLNDQFSKTTINHIEKGSVLLWVHFAFVVVVVFFVHFGISAIERRLKITRFRDGNGNLSDPAADSTAIFTIMVEGIPKTLEVDRAAILEYFQHKYPGKIYKVIMPMNLCALDDLATELVKVREEISQLVERMHSCLVTNEDGEEYGGNCLKVFFGWMPYIWRRVKDMWFQMMDKFGYTNEERLQRLQELRANLETELAAYKEGRAPGAGVAFVMFKDIYATNKAVMDFRNEKKRRIGKFFSVMELRLQRNQWKVDRAPLATDIYWNHLGSTKLSLRLRRIFVNSCLLLMLLFFSSPLAVITAVKSAGRIINAEVMDNAQSWLDWVQSSSWLGSLIFQFLPNVIIFVSMYIIIPSALSYLSKFERHLTVSGEQRAALLKMVCFFLVNLILLRALVESSLESAILGMGQCYLDSEDCKRIEEYMSSSFLSRSCLSSVAFLITSTFLGISFDLLAPIPWIKKKIRRFRKNDMLQLVPEQSEEYPLEYQEIDSLERALLPDDSPRLIDMDLQGQDLSIYPVNRTSTAPKQKFDFAQYYAFNLTIFALTMIYSSFAPLVVPIGAAYFGYRYVVDKYNFLFIYRVSGFPAGNDGRLMDTVLGIMRFCVDLFLLSMLLFFSVNGDSTKLQAIFTLGLLVMYKLLPSYDDGYQRMLLEGIQTIDSVVDGAIDYEVYSQPKFDWDTYQQS >KGN45733 pep chromosome:ASM407v2:6:835136:836426:-1 gene:Csa_6G008640 transcript:KGN45733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFFFFFFLLVCLSLKQSLCFSATPTSMVLPLNTQMGLISQPSNKLSFHHNVTLTVSLTVGSPPQQVTMVLDTGSELSWLHCKKSPNLTSVFNPLSSSSYSPIPCSSPICRTRTRDLPNPVTCDPKKLCHAIVSYADASSLEGNLASDNFRIGSSALPGTLFGCMDSGFSSNSEEDAKTTGLMGMNRGSLSFVTQLGLPKFSYCISGRDSSGVLLFGDLHLSWLGNLTYTPLVQISTPLPYFDRVAYTVQLDGIRVGNKILPLPKSIFAPDHTGAGQTMVDSGTQFTFLLGPVYTALRNEFLEQTKGVLAPLGDPNFVFQGAMDLCYSVAAGGKLPTLPSVSLMFRGAEMVVGGEVLLYRVPEMMKGNEWVYCLTFGNSDLLGIEAFVIGHHHQQNVWMEFDLVKSRVGFVETRCDLASQRLGVGL >KGN49167 pep chromosome:ASM407v2:6:26887757:26888045:-1 gene:Csa_6G516750 transcript:KGN49167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVIEAMEVYWLDVLVVGLSLSILDIIISSLFEAISDPISGAKKGREFVFGNDVIIKA >KGN49101 pep chromosome:ASM407v2:6:26627626:26628140:1 gene:Csa_6G513650 transcript:KGN49101 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydantoin utilization protein B MPKAPVESEPHNSGCDFSSNTLYAMDLENLDETVCSTRSPCLGNSMSEFPRTVTRRSGMSAVGVDWGDSHWSSVKTRTNWEEKENESVRVRARPLWAKMGSNWRIFSETEQWQKQRNRSGILSRGIMAELDLWEETFQKLIRIHSILLDDHS >KGN46882 pep chromosome:ASM407v2:6:10341233:10341459:1 gene:Csa_6G148240 transcript:KGN46882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRDDENEALKLSVRIFSGFHKGSRPLAFHAPRQEEGARVKSRDWGMVEQFAGGFSETAGDR >KGN46899 pep chromosome:ASM407v2:6:10434824:10437941:1 gene:Csa_6G149400 transcript:KGN46899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSSPWLPFLLLFLSNSVTAFQFRIPRLSPIGEKFLHHSKALELPPSDDFKTFYFNQTLDHFNYRPESYTTFPQRYIINFKYWGGANSSAPILAYLGPEAPIDSAMNVIGFMTDNAVKFNALLVYIEHRYYGKSIPFGSRKEALRNASTLGYFNSAQALADYAAILIHVKKEFSAKYSPVIVIGGSYGGMLATWFRLKYPHVALGALASSAPILYFNDITPENGYYVIVTKDFREVSQTCYESIRESWSEIETVASQSNGLSVLDKVFKTCSPLRSSTQLENYLWFMYASAAQYNHPSRYPVNRICDAIDQTYSNGTLGKIAAGVFAYRGELSCYINEPINTTETTVGWQWQRCSEMVMPISTGNDTMFPSETFDHESFSIYCNQLYGVTPRPHWVTTYYGGHDIHLILHRFASNIIFSNGLKDPYSIGGVLHNISDSLLAVYTANGSHCLDILTANRMDPEWLVTQRKTEVGIIKEWIDEYYADLANYKK >KGN46416 pep chromosome:ASM407v2:6:6207933:6208166:-1 gene:Csa_6G091890 transcript:KGN46416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMGGVEASSPGGMSFNHVLYMLILYMLMYLLSPNIYDPLGGVFTSYHRNITQLTHTIDSRVIIAGIFPPFEVVKSV >KGN49359 pep chromosome:ASM407v2:6:27868337:27869176:1 gene:Csa_6G521060 transcript:KGN49359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVTEREEEGNSSNTYIVEIKNEPVEFVLLQQEKQEEIDALSSVLLKGAYFYDDQLQIEIEKEEDAEESERSTKRIRTAESDEVESSSIKNTASLEENQPELGIDPLEVLEEIVLKYHDFINEIYQMLKDEQKKVKIQWQKWRYILDKGNLIVEDLTRSLKTVEIEMEWMKSIDELHREYSIRKTHVPDLLALLRDINERIESSPNFKVVSDMKKRDEVLKMCLDELETSQEELAEMFEVIQELKELDLQDDEAVEDGEEEEIKVDALQKINELRKKWI >KGN47678 pep chromosome:ASM407v2:6:16908684:16908932:-1 gene:Csa_6G374710 transcript:KGN47678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHCTLLPHHNRVSDLPPDKPVAAFRSPVIRDRVGGRKIKSVIPKQRKLVKTMIYHSLKDFLISLFRPSSDIHNRPKPISKDL >KGN46157 pep chromosome:ASM407v2:6:4581968:4582303:-1 gene:Csa_6G058710 transcript:KGN46157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAHFVFAILVVAVISPTSPWRASADERYDYLLSSSPLLPLHHPIILFFSHHVSPSPWSWSNRSSRKLLRHINPERKYNCLKEPEKQFKDPVSSQKPWPRCPMPAFPPPPF >KGN48268 pep chromosome:ASM407v2:6:21616140:21618156:1 gene:Csa_6G452690 transcript:KGN48268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNHCKSKSMNALSTPWNTQLRELAKRCQFLQALSLYPQMLRHGDRPNAFTFPFALKSCAALSLPILGSQFHGQITKVGCVFEPFVQTGLISMYCKGSLVDNARKVFEENFHSRKLTVCYNALVSGYVSNSKCSEAVLLFRQMNEEGVPVNSVTLLGLIPACVSPINLELGSSLHCSTLKYGFDSDVSVVNCFITMYMKCGSVNYAQKLFDEMPVKGLISWNAMVSGYAQNGLATNVLELYRNMDMNGVHPDPVTLVGVLSSCANLGAQSVGHEVEFKIQASGFTSNPFLNNALINMYARCGNLTKAQAVFDGMPERTLVSWTAIIGGYGMHGHGEIAVQLFKEMIRSGIEPDGTAFVCVLSACSHAGLTDQGLEYFKMMKRNYQLEPGPEHYSCMVDLLGRAGRLKEAQTLIESMPIKPDGAVWGALLGACKIHKNVELAELAFERVIELEPENIGYYVLLSNIYSNANNSKGVLRIRIMMKEKKLKKDPGCSYVELKGRVHPFIVGDRNHLQSDEIYRVLEELEAIIMQEFGKPEKDNREESNKDGFTRVGVHSEKLAVAFGLLNTTTGAEVVIIKNLRICEDCHLFFKMVSKIVHRQLTVRDATRFHHFRNGSCSCKDYW >KGN48270 pep chromosome:ASM407v2:6:21624794:21625261:1 gene:Csa_6G452710 transcript:KGN48270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAKKMVSFKKLAKKVKAVRVGTETEHSHNECLLTDRFELPADHDSNSPSSPTSTPTGSFAIYVGEERQRFVVPTSFLSHPLFRMVLDKAYREFGFEQRNALVVPCSVSAFQEIVSAVECCNGRFDFGEIVEEFL >KGN47905 pep chromosome:ASM407v2:6:18980348:18981720:1 gene:Csa_6G410600 transcript:KGN47905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTDLIDGLPDAVAHQCLARVPFHLYPKLELVSHSWQAAIRSAELFRVRQEIGSSEDLLCVCAFEPENLWQLYDPIRDLWITIPVLPSRIRHLAHFGAVSTAGKLFVLGGGSDAVDPLTGDQDRNFATNEVWSYDPVIRRWSQRASMLIPRAMFACGVLEGKIVVAGGFTSCRKSISQAEMYDPDSDVWISLPDLHRTHNSACTGVVIGGELHVLHKGISKVQILDSLRLEWRVEEYGWPQGPMAVVQDSLYVMGHGHIFKHHGREPKKYVISASEFRQRIGFAMISLRDEIYVIGGDIGPDRLNWHIKPTSDVDILTTGGERPTWRHAASMTRCRGAIRGCAQLRI >KGN48959 pep chromosome:ASM407v2:6:26001351:26004591:-1 gene:Csa_6G507320 transcript:KGN48959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKHRQSRFPTRKSSSSSTLVFTLLIMFTFVILILLALGILSIPGNSGGSTKVHDLSSIVRKTSDDVDEEKGEQWVEVISWEPRAFVYHNFLTKEECEYLISLAKPHMQKSTVVDSETGQSKDSRVRTSSGTFLPRGRDKTVRTIEKRLSDFSFIPVEHGEGLQVLHYEVGQKYEPHFDYFLDEYNTKNGGQRIATVLMYLSDVEEGGETVFPAAKGNFSSVPWWNELSDCGKKGLSVKPKRGDALLFWSMKPDASLDPSSLHGGCPVIKGNKWSATKWMRVEEYKA >KGN47299 pep chromosome:ASM407v2:6:14025654:14029362:1 gene:Csa_6G290800 transcript:KGN47299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKPSLDSDDDDFSAISSQQLRDFMDVLSMESDLDLAYNLQLEEALVASLASSSSSSSIHRPEVQNFEHVDRPRIGTLHSRDVEECDRIFQDWLQTEFDMRRTGGERHRQVHNHGCARGILNIRDDDWRDQSENSRKPFGEGCSNGVDDQGVFKLYFKGLVGEEEIGNEKRVVAGIGVAICNPEDKLVVEVKRRLPGNERSKIVAELKALIAGFNVALDLKLKRLCFYSDYYPLFQFITGRWPPKQRKVAALLSQLAHLRVRFDSCTHVHVARHDIKYAFKLARDAIGPQVTQTEVPAPKKKLNETCVICLEDCDVSRMFAVDGCSHRYCFSCMKQHVEVKLLQGLVPKCPHDGCKFDLNVDSCAKFLTPKDMATMRQRIKEASIPVSEKVYCPYPRCSALMRKVEVLAYTKDVFGTANQSGVRKCMKCHGLFCIDCKVPWHNRITCNDYKRSNNLPTEDVKLKSLASTCLWRQCVKCNHMIELAEGCYHMTCRCGHEFCYKCGAEWKNKKATCSCPLWAENHIWHDDDDRDFDHDDRYYDDEEDEEELYDSEDEYFILFG >KGN46176 pep chromosome:ASM407v2:6:4737460:4741529:1 gene:Csa_6G067340 transcript:KGN46176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENDQESPASSSSNKTSERTLQRSKMLEVSIIEKLERQDSGNMATYGPIEIQTSGSNDSGDVDTQNQSKDETNQATGTPSIGSRSRPRGFSDSFASHELRLLDLEDETKDVASPRGVLEDCSRSLDSETTTSRASTSDWEGHPDSRNPSHWRGFFRLLKKGPQMRFQTFPPLKAVPKLTRRKSKRIREDMIPVVSPVIDSSIETEFCYFRSSWKNFTLSELEVACNNFSQENLIGEGGYSEVYKGQLEDGQLVAIKRLNRGSQEEMTADFLSELGIIVHVDHPNIASVIGYGVEGGMHLILHLSPHGSLASILYGSKERLDWSTRYKIALGIAEGLLYLHEGCQRRIIHRDIKAANILLRDDFEPQISDFGLAKWLPDQWTHHTVSKFEGTFGYLPPEFFMHGIVDEKTDVYAYGVLLLELITGRRALDSLQQSLVMWAKPLLSKQNLKELVDLSLVDAFDTEQMKRMISIASMCINQSSILRPEMSEIVQILKGDGVDNLELVKRQKSKLQRTYSEELLDAEEYNSTKYLSDIDRHLEIVLGTCNSDV >KGN47272 pep chromosome:ASM407v2:6:13617355:13617555:-1 gene:Csa_6G240200 transcript:KGN47272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNERWRGEDIQADKRRREGDLRRRTTDKKGVDLIRRRRKGRRYEKTNGQQKGMRFKKTNDEEEEI >KGN47880 pep chromosome:ASM407v2:6:18814619:18816905:1 gene:Csa_6G409370 transcript:KGN47880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKFLNRKLRRLCSRLRWPRRRTIRPRVLIIKKFGKTTSQTTSHPDKTIDSFVNIASSPSAVHPNSQFHLLTTQRPIRIATFNAASFSMAPAVPEKSNSSAKFRRSLDSNSRTKSVNDRPKSILKQSPLHTNSINNGVARTKPRVSINLPDNEISLLRNRQASEYEMEENLSSSGNDRKGMRIAKSGTPLRWTVSMPSERGTYRCSRTVVEVLRELDADILALQDVKAEEEKQMRPLSDLAEALGMKYVFAESWAPEYGNAVLSRWPIKRWKVEKIFDDTDFRNVLKATIDVEEVGEVNVQCTHLDHLDENWRMKQIKSIIRSNNNEPHILLGGLNSLDPTDYSQQRWMDIVKYYEEIGKPTPEAKVTKFLKSNMQYRDAKEFGGECESVVMIAKGQSVQGTCKYGTRVDYIMASPDANYEFVQGSYSVISSKGTSDHHIVKVDFLKLPHQPPQPRPQPQPQTQTQLHSHSISPWKKRWT >KGN47148 pep chromosome:ASM407v2:6:12418373:12421323:-1 gene:Csa_6G190390 transcript:KGN47148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGICLSAKPISESDDNTGVKNSKDDSDSNNGKDNGSASSKFSLASVLPAPRSEGEISQSNLKSFSYEELKEATRNFCPDSVLGEPGSGSVFKGWIDEHSFTATKPGTGMSIAVKRLNQESSQDHREWFAEVNLLGQLVHSHLVKLIGYCIDDEQRLLVSEFMPRGSLENHLFLRGSYFQPLSWGLRLKVALGAAKGLAFLHSDERKVIYRDLRTSNILLDSDYNAKLSDLGFSKDTGATGSKNNISIRTPSTSYAAPEYLVAGQATTSSDVYSFGVILLEILSGRRAVDKNRPFREHNLIEWARPHLANQRKTARIIDNRLEGQYSLDAAYKLSSLTLQCLSIEPKCRPSMHELVKELEQLQDPTSININRNSKQNRLARRRSADDANTHRSARAVSPDYT >KGN49544 pep chromosome:ASM407v2:6:29000078:29003803:1 gene:Csa_6G538730 transcript:KGN49544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSQVEFSSSSSPFGCVLRDHNRRREPSVTATHVARFRNNLKTLVMDRLNDCITITPNKNPNPVLANFPVTKTNHDTTTKRSDDSQQHTSTNDPQTQTTSTPTPPIPETSSNKNQTSKLGASSLVQIWEKRLNVSSSNIGLNANANANTAVCSTKQETEAEQEQACSVEAGDFEDERYDAGPGSDDGFADWHSSRTSSSSPPCSTQSQSSDAGERERVRVVDIIRRLTLTAAKPPHSSWVEDHNDQPNESSSLHPTLIPRDQVESKCLSHILCSPRIRGRQAFADLLLQIERDRQRELDILVERRAVSKFPQRGRIQSLLRLKILKRGMALEDEQKRPQFVITPRENHRSSNIMHLRERFSGVDKHGAKSPRGEMLNNDDDDKTQSDTNANTQTHSHTPHVINTNEKDKDNDNQQVVGMHSNNTDDDQILGGFKEEQIEKQEQKQQKPDQELEPEQGGEVDLPSLEGIWQDGSKLNSDSQDSMNGWEAEYQSEAGEESYGADYVGTSYDWFADISRPRSYWEDRRQSWYQQMLDSNSANDEIRQLIQRKTVSNFLSSDFRERMDKLMVTRLERQTHQEEEYNEVKEDDDVDEELWCFSEGHTQSKSSDNEEEDDDDERSLISAQYHEASDYLDQSASPLQLASPSILSSWSYEMGEDSNRGTSISSPQPLQPQFSSNNNQQRPSLVSASHHPSIEMELIYDLRGHMEQLYREMSELRKSIKCCMDMQLMLQHSIKRVHEVGGRKSKKDKSRKPKCCICYSMEIDSLLYRCGHMCSCMKCGKELQWRGGKCPVCRSPIEDVVQASFSTRS >KGN47432 pep chromosome:ASM407v2:6:15081478:15083078:-1 gene:Csa_6G319780 transcript:KGN47432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIDSFKPSLPPPPAAAAAAKVGVGLDDDISDGMQCTDHPYRTNPGGICAFCLQAKLGKLVSSSLPLPIRGSSSSPSSPSLGSDSNSSNNVNGSVPSNAGSISKTVNFNVNGVVDCHFHHDNTTKRARIPFLLAKKKKKVVMVGGADYNRSNDVVFKRSKSTTAPRRGQFLVDGDDGVDFSPRKHRGGFWSFLYYHAPSSSKSHAPRKVERVATSGVGGNLGVNDTILEEDESPNGNTTSFERKVSRSRSVGCGSRSFSGDFFERISTGFGDCTLRRVESQRESKSSKVSTNGNSSSHRNGAGVDHHCIKERVKCGGLFSGFMMTSSSSSSSSSSYLVSSSSADELTRKPTPVVGPVISGGRSRTWTWAFASPMRAFKPSNSKDRKRSIIRQATESNPSPDLNAIPSLLTVRS >KGN46665 pep chromosome:ASM407v2:6:8256852:8257022:1 gene:Csa_6G119890 transcript:KGN46665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEVDRLKVVAEELGKEIERMSPLEPRLKPKDSGLVDPAHSKKGKGKVGASRPKGA >KGN47342 pep chromosome:ASM407v2:6:14394163:14396170:1 gene:Csa_6G301610 transcript:KGN47342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSPFLSAASHSSADLAGVDFDWLPDSSIKLWNSDQFSDSCGDGSPPSPPEPLKIRPSTNNFLLHPSAFPLLNPDSLQSNDTDSFLQRTFSSSSPPPSAAIDPPINRPLFPVGDFQKKNNNNERGDESPVSCESSITIIEGMSRACRYSPEEKKERIERYRTKRHQRNFNKKIKYACRKSLADSRPRIRGRFARYNDEVVKNYPVQWNQHEREEEEEEEQQREANSCGDNWIKYFIDTYSTNLIP >KGN47526 pep chromosome:ASM407v2:6:15906861:15908455:-1 gene:Csa_6G355450 transcript:KGN47526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAHLGELVLNNDVKLFVAQTVGSSLINIMRSGDKQSKEAALKALNQISSFDTSARVLVQEGILPPLVKDLFTVSSNQLPMKLKEVSATILANVVSSGCDFNSIPVEPNNQSTLVSEDTIHNLLQLISNTGPAIECKLLQVLVGLTSSPSTISSIVNAIRSSGAVISLVQFIEAPQLDLRVSAIKLLQNISPHLSQELADALRGSVGQLSSLFRIIAENTGITEEQAAAVGLLADLPEMDFGLSRQMLDEGAFELVYLRIVQLRQGETRGGRFLTPFLEGLVRILARITSLVPAAECEPDARAFCRRHNLAALFIELLQSNGLDNVQMVSALALENLSLESKNLTQIPTLPEPGFCASIFPCLSAQPVLTGLCPLHRGTCSLRESFCLLEDKAVNKLVALLDHTNEKVVEAALAALSTLLDDGVDVEKGVNILYDAEGVQPIFNVLLENRTENLMRRAVWTVERLLRSDDIAIEFSNNPKVSTALVDAFQHGDYKTRQTAERALRHVDKLPNFSNIFPNPSNMG >KGN48816 pep chromosome:ASM407v2:6:25254987:25257670:-1 gene:Csa_6G502050 transcript:KGN48816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPADLENSPSVSGEPTVSSLGNTDPPPKSTVKKKRNLPGMPDPDAEVIALSPKTLLATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLRQRSSNEVKKKVYVCPETSCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKKYAVQSDWKAHSKICGTREYKCDCGTLFSRRDSFITHRAFCDALAEESAKAQPQSLVDKPVSNSSQKAVEPVEPNPKLSPPPSPPAAASPPQSACVLSPPVLPIQSQDQSENPSQYNEEAPVTTGMSGSCSSSKSPSSNSSSSSRFASLFASTTTSISLQPPQPPAFTDMIHVMACSDPSSDYAPTTSTEPISLCLSTTHGASIFGAASQDLRQYTPAPQPAMSATALLQKAAQMGAAASNASFLRGLGLVPSSSSATPQESSPWNQRQVEPDGISIAAGLGLGLPCDGNSGLKELMRGNHSLFAPKHTTLDFLGLGMAAGGSPNNRGLAALITSIGGGMDVGTTTGSYGGGDISSKDMNRNN >KGN47757 pep chromosome:ASM407v2:6:17781409:17805876:-1 gene:Csa_6G400800 transcript:KGN47757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELAQLEALCERLYNSQDSVERAHAENTLKCFSMNTDYISQCQYILDHALTPYALMLASSSLLKQVTDHSLALQLRLDIRGYLINYLATRGPDLQPFVSASLIQLLCRLTKFGWFDDDRFRDIVKESTNFLGQATSEHYAIGLKILNQLVSEMNQPNQGFPSTNHRRVACAFRDQALFQIFQISLTSLCQLKNDVAGRLQELALSLSLKCLSFDFVGTSIDESSEEFGTVQIPSAWKPVLEDPSTLQIFFDYYAITKAPLSKEALECLVRLASVRRSLFTNDAARSKFLAHLMTGTKEILQTGQGLVDHDNYHEYCRLLGRFRVNYQLTELVNVEGYSDWIRLVAEFTLKSLHSWQWASSSVYYLLGLWSRLVASVPYLKGDAPSLLDEFVPKITEGFITSRLNSVQAGLQDDLSENPLDNVEVLQDQLDCFPYLCRFQYETSSLCIINIVEPILRTYTERARLQGSDNSELSVIEAKLAWVVHIIAAIVKIKQCTGCSVESQEVLDAELSARVLQLINVTDNGLHSQRYSEASKQRLDRAILTFFQNFRKSYVGDQAMHSSKQLYARLSELLGLNDHLQLLNVIVSKIATNLKCYTESEEVIDHTLSLFLELASGYMTGKLLLKLDTVKFIVANHTREQFPFLEEYRCSRSRTTFYYTIGWLIFMEESPVKFKSSMEPLLQVFIKLESTPESMFRTDAVKYALIGLMRDLRGIAMATNSRRTYGLLFDWLYPAHILLLLKGISHWTDTPEVTTPLLKFMAEFVLNKAQRLTFDSSSPNGILLFREVSKLIVAYGSRILSLPNPADIYAFKYKGIWISLTILTRALAGNYVNFGVFELYGDRALSDAMDIALKMTLSIPLADILAFRKLTRAYFAFLEVLFSSHIVFILNLDTSTFMHIAGSLESGLKGLDTNISSQCASAVDNLAAFYFNNITMGEAPSSPAAINLARHIVDCPTFFPEILKTLFEIVLFEDCGNQWSLSRPMLSLILISEQMFTDLKTQILASQAVDQHPRLSLCFEKLMADVTRSLDSKNKDKFTQNLTVFRHEFRLK >KGN49537 pep chromosome:ASM407v2:6:28962922:28966921:-1 gene:Csa_6G538660 transcript:KGN49537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHFLTLLLSSLLIIIQLHVHVHVHARFVVEKSSVSVLSPTSLKSKHDAAIANFGIPDYGGFIVGSLFYPQTGAFGCLPFQGDKPFKSNTSRPTILLLDRGDCYFALKVWNAQQAGAAVVLVMDSIDESLITMDLPEDSTEADAYVEKIRIPSAFIEKSLGTTLKEAVRNAEDVVIRLDWRESVPHPDNRVEYEFWTNSNDECGTRCNEQMDFVKSFKGHAQILEKGGYTQFTPHYITWYCPEAFRFSSQCKSQCINHGRYCAPDPEQDFGVGYEGKDIVYENLRQLCVHRVSNETNRSWVWWDFVTDFHVRCSLKDKRYTKQCAEDVMKSLNLPVDKINDCMGDPEADVENKVLKIEQEMQIGHGARGDVTILPTLVINEVQYRGKLDRTAVLKAICSGFKETEEPPICLTSDIQTDECLERNGGCWQLLQQNITACKDTFRGRVCECPVVNGVQYKGDGYTTCEAYGPARCTINNGGCWSETKNELTATACSNSDISGCKCPSGFRGDGQNCEDVDECKERLACQCEGCSCTNTWGGYHCKCSGNQVYMKDQDTCIEKSGSKVGSFLVFLVLAVVVGGGLAGYMFYKYRLRSYMDSEIMSIMSQYMPLDSQNKVESHSETETLRQGAV >KGN48261 pep chromosome:ASM407v2:6:21565390:21567311:1 gene:Csa_6G452130 transcript:KGN48261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTQYELKFGVSDTEGFLCEKYMTEDDLRIFKFAIENNFVYQMYFDNIWFGSKVGEVIEEPGFGQKFYLFNHIEFNVDFMEGQVLDISVVNSLDSSVDITILTEPLVEFSYSVFWNEIKPIDNSSYFIPGEREKASWVLEDNRRLFWSSLWLWSILAFWWIFLPVVVASPYLFKYFLKNRQPHGNIHRFNAKACFCPMYTSLLGAILGVGTQHLILIIVMLFVSEYDGIFPCNHERISVDLVLLYCVTSVVSASIARSFHERFSPIGSKECVLQTGALYFFPVFMAVILGKIFGISTPMVDSVINYLLAAGFGSAIMIYICCIAPRNIYRPERNVATCHTRRLVIHNRSSPPTLWYMKSPAQMILEGLGIFLPISLLMDDIFASLWGLKICGSFLTLFSAFLMVVLSTFVSGIALTSIQLLKDDYNWWWRSVLRGGSPAVYMFGYGIYFLSKIRSESDRGFVLPLVYNCCICYSFFLVLGTVGFGASLVAFKFYMMGCNSKKRS >KGN49084 pep chromosome:ASM407v2:6:26556426:26560863:-1 gene:Csa_6G513480 transcript:KGN49084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAAIEGATPASAALNSVLQRVQLAAERSGRVPQQIRVVAVSKTKPVPVIRQVYDAGHRYFGENYVQELVEKAPQLPEDIEWHFIGNLQSNKVKPLLSGVPNLAVVETVDDEKIANRLDRMVESIGRKPLKVFIQVNTSGEESKSGVEPSGCVELAKHVSLNCPNLQFSGLMTIGMLDYTSTPENFKLLANCRTEVCKALEISEEQCELSMGMSADFELAVEMGSTNVRVGSTIFGAREYLKKN >KGN48905 pep chromosome:ASM407v2:6:25719344:25722785:1 gene:Csa_6G505830 transcript:KGN48905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGKEGIEGKASLQLAMGEGKEGDWECSGCKNRNYAFRSFCNRCKQPRLLVDNKTPPDSKWLPRIGDWICTGCTNNNYASREKCKKCGQPKEVAAMPALAIPGASFPTYSHYFARTQGGLDSKMNLGLIGNGTSQHLHPLSSNWSLGGADKYGIHAAPTFPLSGNNSAISYMSLANQLLSVPKGWRNGDWLCNCGFHNYSSRAQCKKCNASPQALGMKRLASEELVHQWDNKRLNIGQANEQQQSYPGFEQMMGAGSDPNVGLYNSYPHESSSVAPNLEMPMQFPPQATAPTLLGKGAKQWRNGDWMCTNCNNHNYASRLHCNRCKTQRDSLSLPLSAI >KGN48210 pep chromosome:ASM407v2:6:21147104:21179746:1 gene:Csa_6G448680 transcript:KGN48210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLKDIATLNPLLVLDCCYAVSRGGRRRFGNMAGAFLVMSFGVRALDEEDVDPAFMSKLAKISTTEIISSKELNTEWQRAAAQLLVSIGSHLPDLMMEEIYLHLGGPSSALPAMVQILADFASSDALQFTPRLKDVLSRVLPILGNVRDAHRPIFANAIKCWCQAAWQHSVDFPSHSSIDGDVMSFLNSAFELLLRVWAASSDLKVRISSVEALGQIVSLITRAQLKAALPRLIPTILELYKKGQDVAFVTTCSLHNVLNTSLHSESGPPLLDFEDLTVILSTLLPVVCVNNESKDSDLSTGLKTYNEVQRCFLTVGLIYPEDLFMFLLNKCRLKEEPLTFGALCVLKHLLPRLSEAWHGKRPLLTEAVKSLLDEQNLGVRKALSELIVVMASHCYLVGSSGEMFVEYLVRHCAIKIDRNDPGASKELAGLNGGYIPFQYKRMEVKMGTVSPVKLREISEKGLLLLTITIPEMEHILWPFLLKMIIPRRYTGATATVCRCISELCRHGSYGDSMLSECKTRSDIPNPEELFARLVVLLHDPLAREQLATQILTVLCYLAPLFPKNINLFWQDEIPKMKAYISDSEDLKQNPLYQETWDDMIINFLAESLDVIQDTNWVISLGNAFSNQYELYVSDDEHSALLHRCLGILLQKINDRAYVHDKIDLMYKQANIAVPTNRLGLAKAMGLVASSHLDTVLEKLKDILDNMGHSFFQRFLSFFSDGFKKEESDDIHAALALMYGYAAKYAPSTVIEARIDALVGTNMLSRLLNVYHPTAKQAVITAIDLLGRAVINAAENGSTFPLKRRDQLLDYILTLMGRDDNGGFSDSNFELLRTQALALSACTTLVSLEPKLTIETRNLIMKATLGFFTLSSEPAEVVNPLIDNLITLLCTILLTSGEDGRSRAEQLLHILRQIDPYVSSPVECQRRRGCLAVHEMLVKFRMVCISGYCALGCHGICTHNRQMDRNLQGICPKLPSAFMLPSREALCLGERVITYLPRCADLNSEVRKFSAQILDQLFSISLALPRPAASKFGEDIELSYTALSSLEDVIAILRSDTSIDPSEVFNRIVSSVCILLTKDELVATLHGCSGAICDKIKQSAEGAIQAVIEFVTKRGNELSEMEIARTTQALLSAVVHVTEKHIRLETLGAISSLAENTNPKVVFDEVLATAGRDIITKDISRLRGGWPIQDAFYVFSQHTVLSFSFLEHVLSVLNQVPLNQGSQDRAEFSSHGPDHIENDISQAAIVSLTAFFRGGGKVGKKAVEQNYALVLAELILQLGSCHHHASLGQHEKLRALLTAFQAFCECVGDLEMGKILARDGEHNENERWINLIGDLAGCISIKRPKEVQHICLIMSKSVNGHQRYQREAATAALSEFVRYSGHVGSLLEQIVEVFCRHVSDESPTVRRLCLRGLVQIPVIQIIQYTAQVLGVILALLDDVDESVQSTALSCLLMILEASPNDAVEPILLNLSVRLRHLQSCMNTVIRANAFTAFGVLSTYGVGQQSEAFLEQVHATIPRLVLHVYDDDISVRQACRSTFKRIAPLVEVEELPTLFNMHFFNSDHRNDYVDFVRDFSKQISQYLPSRVDSYMAMTIKAFDAPWPMIQANAIYFSSSMLALTDDQHILSLHYTQVFGLLVGKMSRSGEAIVRATCSSALGLLLKSSNSLSWRTARMDRADSARS >KGN46735 pep chromosome:ASM407v2:6:8911826:8915222:-1 gene:Csa_6G127470 transcript:KGN46735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCQCSKPSVDEDGKNRATEKFPSRKASRVNLLRKGDDMGLNSLKRDEDVRINSLQRSDSIRSKVKTDGGDMKLAYLDKRVNGSNRIGQGTYSSVYKARDIIHNKLVALKRVRFDNLDVESVKFMAREILILRRLDHPNVIKLEGLITSPRSCSLYLVFEYMEHDLTGLASRPGVKFSEPQVKCYMQQLLRGLDYCHSHGVLHRDIKGSNLLIDDNGILKIADFGLASPFDPHNQVPLTSRVVTLWYRPPELLLGASHYGVAVDLWSTGCILAELYAGKPILPGKTEVEQLHKIFKLCGSPPENYWKKLQLPHSTGFKTAQPYRRCVGEMLKDFPSSVVALVDKLLSVDPAHRGTAAAALKSEFFTTKPLACEPTSLPKYPPSKEIDAKFHGCRRQSRVEGKDPKDYGEGRRPKEDHHNLSLNAKDEINMMQKRQGHSSLKGGSGLLNPHGDETVSGLLNAPPKQSVTEICSDTGRISHSGPLISKPDWMKSRKQLDDHSMALDGSNLSVLSRLVATRSNISDNPHDRPGPSRSEVGRLPDFVRDSESTRKQDRIFYTHRVADSYRVENEKACAKEQSLLAYGTDMNKLYTSGPILGPSNNLDRILKERDRQIQEYARQARHGKAGNNQLKSSRATNGKHLMLSHGM >KGN48463 pep chromosome:ASM407v2:6:23032747:23034570:-1 gene:Csa_6G488330 transcript:KGN48463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELPGALGTSASLALRLGQAIFASASLFFMCLEIEFYSYTAFCYLVTVMGLMVPWSLTLAVVDGYSVFVRHLPPQTRVTSIIVTGDWVLSFLSLGAACSTASVADILLEAGISYCSAKLCSRYRLSAGMAFLSWFLSLVSSLFNLWLLPSL >KGN49055 pep chromosome:ASM407v2:6:26394340:26400578:1 gene:Csa_6G511700 transcript:KGN49055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSITEFPATVDCVHITTGSAASSASYPENEHCQLNRHHSQGHRHPCVLSKRKLDDYGPSFDEDDEDVHLFDLVSARMKKGETCAMDSSFDGRLGEGTSSDFDHRGFDDSSTSMAAETSTKSILSPYDLQFFVRTISVGNTMVMLANINDTVMSLHERIQAITRIPVFEQRLIYRGRQLQHEQSLLECSIQNNAELQLVGRMRSTEHPKAWQIVDDMVSLVLRLYRGEFVFSALEIITTLITDFLNLATEADSDPAIKQLQVFLSLSAPAALVMLYLSPIKGNKECAENLIKHFMDLLRHSSPKSLHKCCAIIVLEFCNLLRRDTPEDSLYVLCRSTLGSLLETDGIIRGMRCLESVRGPIKTPELFPFVTELANKLSIDLSSSIQSPTNALAGPSVTDIRDFTAFLLPLRNVILEQLSFHGSKLVPLYRGGSRDPSYGEEGEFLHSIYIGLLKKMDMCLHGMEAFLIDKGKGDCVIPYIGWSQYLPILKELNGISLLFEGLEEEFWAIMRSRKSSINELVIRFAKRTDDYLWILCHKDIMNSASRRHLSMLMFPEPTEDYEELQEMLIDRSQLLEESFEYITNASVEALRHGLFMEFKNEEATGPGVLREWFLLVCKSIFNPQNALFVACPNDRRRFFPNPASKVDPMHLNYFNFSGRVIALALMYKVQVGVVFDRVFFLQLAGMCISLEDIRDADPCLYNSCKQILDMDPGLVDSDALGLTFVSDFEELGTRKVVDLCPGGKDMVVNSKNREEYVKLLIENRFMKSVSEQISYFASGFTDILSGKRTHKCFFQSIELEDLDWMLYGSESAISVGDWKAHTEYNGYKETDPQISWFWKIVYGMTPEQRKNLLFFWTSLKYLPVQGFSGLTSKLYIYKSSSPYDHLPSSHTCFYRLCFPPYPSRSIMKSRLQIITQEHVGCSFGTW >KGN46756 pep chromosome:ASM407v2:6:9119029:9120822:-1 gene:Csa_6G128660 transcript:KGN46756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILDKVSMQSNLGCFLHCTTPVVNSQFLPKSEIRNLNRLWHPWEREKVEYFTLGDLWNCYDEWSAYGAGVPIAVNNGETLVQYYVPYLSAIQIFTSNSTVNGFRDECGDSETRDSFSDSCSDESESEKLWRWDGSSSEEGGFLEQESPLHLSDRLGYLYFQYFERSTPYGRVPLMDKINGLARRYPGLMTLRSVDLSPASWMAVSWYPIYHIPMGRTIKDLSTCFLSYHTLSSSFQDMDVEDEFESGEKKRKEGEGISLAAFGLATYKMQGNVWISGNYGRDQERLMSLLSVADSWLKQLRVQHHDFNYFTAIRRG >KGN46734 pep chromosome:ASM407v2:6:8908075:8909180:-1 gene:Csa_6G127460 transcript:KGN46734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDIAASFIDIFHEQSDNPPVSSYDSSQEGDQNGETSSIVEENRAFWISQKEVLQATLKRTNSIEFKIRQATKDALREMDFKSIQCGCRSPAEVAVCRACVQREVCNYLRNAGYNCAVCKSKWKSSPEIPSGEHCYLEVVDDCNPNDRVIIELNFRAEFEIARASEKYKRLVRRLPEVFIGKEEKLRELIRIMCNAAEKCMKEKKVHLGPWRKYRYMQAKWLGKCERTAPAPLPVGFSSPPPKARASMLTYDLLQSLPAVMVCSASAVEVV >KGN47263 pep chromosome:ASM407v2:6:13542985:13548293:1 gene:Csa_6G238640 transcript:KGN47263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCLFSCCASLTCGLCSSVAAGISRSSARLAYCGLFGVSLVVSWILREFGAPIMEKMPWIKTEGQPEEWFQMQAVLRVSLGNFLFFAILALIMIGVKDQNDRRDAWHHGGWMAKMVIWILLVVLMFFLPNVIFSIYGVLSIFGAGLFLLVQVVLLLDFTHSWNDSWVEKDEQKWYVALLVVSIGCYLGTYIFSGLLFIWFNPSGHDCGLNVFFLVMTMILAFVFAVIALHPAVNGSLLPASVISVYCAYVCYTGLSSEPRDYVCNDLHTKSKAVSVGTLVLGMLTTVLSVIYSALRAGSSTTFLSPPSSPRAGGSKPLLESEDLEDGKNKKTEGEGQPVSYSYTFFHLIFALASMYSAMLLSGWTSLNESSDLIDVGWTSVWVRICTEWVTGGLYIWSLVAPLLFPDREFF >KGN47983 pep chromosome:ASM407v2:6:19517340:19521353:1 gene:Csa_6G421770 transcript:KGN47983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSAKDCVALFLLLLLLLPSLLFQELVEGKPVIGECDLFQGRWLADTSYPLYDVSACPFIEKQFDCLGNGRLDKLYLRYRWQPSGCFLPRFDGEEFLREFRGKSIMFVGDSLSLNQWQSLTCMLHNFVPQANYTITRIGALSKFNFPEYKLEIMFSRNAFLVDIISTKMGRVLKLDSIESAEAWKGIDVLIFNSWHWWLHTGRKQPWDLVEEGERTYKDMNRLLAFEKGLRTWAKWVDQNVDPSKTKVFFQGVSPDHSDGKSWGEAGGDCSGKTWMLGPEYPGGPHPAEQTVERVLEGMLKPVYLLNITTLSQLRIDGHPSVYGFGGHSGMDCSHWCLAGVPDTWNHLLYAALLPNN >KGN46819 pep chromosome:ASM407v2:6:9841253:9843156:1 gene:Csa_6G139190 transcript:KGN46819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAIDNNDNDDSQFPLPGFRFHPTDEELVNFYLRRKVHNKPLPIELIKQIDIYKYSPWDLPHASNSGTLGEKEWYFFCKRGRKYKNSVRPNRVTGCGFWKATGIDKPIYSNDRCVLVGLKKTLVYYRGSAGRGTKTEWMMNEFRLPSSSSSSPYSSDPFRRNIIKNNLHEAEIWTLCRIFKRNISTKKAINAPNWREVPSAKISRAVENMNFRATKNIDIDRYSDDNEQSSYISFTSNNSFINFDHMNDVQNFHQSQMSHDSQMMTNFCSLTDQHSSADHNSSANVSPSSSSFSDFDEDASDLFGYEKWEELKSILECDFDSLNQF >KGN47548 pep chromosome:ASM407v2:6:16053353:16062762:-1 gene:Csa_6G358120 transcript:KGN47548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPVASAMQGGSSGIGYGLKYQARCIADVKADTDHTSFITGTLSLKDENEVHLIRLSSSGSELICEGLFSHPNEIWDLASCPFEQRIFSTVFSTGENFGAAIWQIPELYGELNSPQLERVTSLDEHASKINCVIWWPSGRHDKLISIDEENIFLWSLDCSRKTAQVQSKESAGMLHYFSGGTWDPHDSNAIAATSESSVQFWDLRTMKKTDSIACSAYVRNVDYNPKKKHLLVTAEDETGISIWDLRRPKVPIQQLPGHTHWTSAVRCNPEYDGLILSAGTDSAVNLWLASMSDDEPKSERKVDSSPRQCDPLLHSYSDYEDSVYGLAWSFREPWIFASLSYDGRVVVESVKPFLSR >KGN49205 pep chromosome:ASM407v2:6:27081331:27081952:-1 gene:Csa_6G517130 transcript:KGN49205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIVLDGTDSGGDWELLRNFDCVECGALVSNSEVQLGSYFIYFIRVKGIDIPVERDVNKIRKGGLTK >KGN49371 pep chromosome:ASM407v2:6:27940758:27942511:1 gene:Csa_6G522650 transcript:KGN49371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDEMFKDLFYSGMDEYESIVRAFGITSDYSNINNEISGTTAMNSSCSLSSSDAGGGEEDDSVKEKEKQISKDVVEDNGGESSKAAGSGKSKKKGEKKEREARVAFMTKSEVDHLEDGYRWRKYGQKAVKNSAYPRSYYRCTTQKCGVKKRVERSYEDPSIVITTYEGQHNHLIPATLRGNLSAASGTFSPSMLTPMPVVGGVGFLPAELLSNAGNNQAVGGGATVYSHNNFDYTYNGRQPEYGLLQDIFPAPSSFFNRQP >KGN48732 pep chromosome:ASM407v2:6:24804389:24805169:1 gene:Csa_6G499760 transcript:KGN48732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQGVLTTGRVRLLLHRGTPCFRGYGRRNGKRRRKSVRGCIVNPDLSVLNLVTVKKGENDLPGLTGIEKPRMRGPKRASKIRKLFNLSKDDDVRNKAPKIQRLVTPLALQRKRGWISEKKKRIAQAKSEAAECQKLLASRVKEQRERRSESLAKRRSTLSAASKPSSAA >KGN49463 pep chromosome:ASM407v2:6:28528727:28534517:1 gene:Csa_6G525490 transcript:KGN49463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNYSLPHICQCLTRIATAPRPSPLTLAANRPQTGQQFVNSVFALARGLLDLGLLPGDVVVISAFNSDWYLEWLLAVAFVGAIAAPLNYRWSFEEALSAMVAVQPVMLVIDEGGCQWYSKLQNNHIPSLRWHVFIGSHLPGYDKTRGALTSEELKKHYVINMPPNFLHAPEGVALICFTSGTTGRPKGVAITHSALIVQTLAKVAIVGYSEDDVYLHTAPLCHIGGLSSAIAMLMLGARHILIPKFEVKSAVEAIDLYGVTSLITVPAMMADLVSLIRERDNGKTRESVKKILNGGGGLPLELIKDAVGIFPRAKLVSAYGMTETCSSLTFLMLCDPMGETSVPHFQSYEHSKCRSVYQPEGVCVGKPAPHIEIKISLDGSSNVGRILTRGPHLMLRYYDKISARPSNDANDVWFDTGDIGSIDDNGNLWLVGRSNGRIKSGGENIYPEEGNSSTSYTNIDSSCEVDYTNEFTTNEIFKGREALINWTREVGKRKGLVIVIKTSDAGVNGRRPRISFGCERSGRYRRMSKLEVLGDKGARKLTGTKKCGCPFLLKGQKLTTDDDWMVKVVCGIHNHVANKNMEGHTFAGRLSQEENEILMNLSNSSVRPKDILSTLKSRDAQNASTMKTIYNARHRQKRVEKKQKPDMQELFGELAT >KGN46189 pep chromosome:ASM407v2:6:4834616:4835141:1 gene:Csa_6G067960 transcript:KGN46189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFWYCSAVIMVFMASPLLALPSVPNPKPSSPFQELSPEIAPLLPSTGDKIPSATGSSIPTIPSNPSPPNPDDFEALGPDSSALSPFGLPGSFAPPNSLPCYSNWLLLLLNGLALLTFSMHLH >KGN47893 pep chromosome:ASM407v2:6:18898819:18899353:1 gene:Csa_6G409990 transcript:KGN47893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGILQFAVQSVEWTYSLFWRFSTQQRMLVWGDGFYNGPIKTTKTLHPAAAAQQQQQHQHSASLSLHRTHQLTDLYNSLSASDTLRRPTSAALSPEDLTETEWFYLLCLSFSFPPGFGCVTLVSFHYHYSNFVFQNCCVCFLIISYQ >KGN49346 pep chromosome:ASM407v2:6:27814101:27814883:1 gene:Csa_6G520440 transcript:KGN49346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEFHESDIIFADHHHHYRRRRRRFFHPSLDFAQILLTTTHRNTRNNTNDDSDSDSDRNHHHHHNNSKDFAASLPVKIPETVFRYSSDMEELDEEWNSEDNNNIVPPHVIIGQRLSGKMAFSVRSGNGRTLKGRDLSEVRNSILRMTGFLET >KGN47151 pep chromosome:ASM407v2:6:12431109:12432590:1 gene:Csa_6G190420 transcript:KGN47151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPATAGRVRMPANNRVHSSAALQTHGIWQSAIGYDPYAPNKDDNKNAAQQNTASSEPDAENAYASFQGLLALARITGSNANEVRGACKRCGRVGHLSFQCRNFLSVKNDKEKDPEAIQADVMSELDKLKGGKGKVESEEESEEEESESSDSDADSEIERIIAEREGKRNSKKRSLKRKDDSVDDASGSDSREKKRGRSKRRSRRDSNDSDDSRRKRRKEKHRKRDDSSDEDEKHRRHHRKSRKEKRRRRSHRYSDDSDSDTSEETHGRHKHKSRKAASLTDSEASSSDDTRGRARKRSEKRSRKHRYEDE >KGN47405 pep chromosome:ASM407v2:6:14898740:14899057:-1 gene:Csa_6G312570 transcript:KGN47405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNMGRSILDQPSSKSNSLNMPSGSRISARRRYSSILFRASLPAVKTAIRFSFILPDALPFPDYVLIANASLFSGFL >KGN49331 pep chromosome:ASM407v2:6:27741376:27742885:1 gene:Csa_6G520290 transcript:KGN49331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWGRRKPHNPSSSSSTSSSSSSRPSFMSNILPASWLSKLKQKKSNQEARPRKVKGTEKRSSPCIQSPDFANVTPSPGQVNGNRNRLCTGDNGEFWKLPFGGEDIDVKKSSEILRSVWYNSENEHDLPRTSCRSCRTKYTEFEGNEEIQNLDDMVSRMTRRRRRRREAPIQVKLLRRESETESTTPRSKYRENGNFGNFGKKGVEKKGFKPERETDKGKEIRARRLVGKKMLGVEEESGVRKNERDKTKLTNSRKHRYVPSTMSKSSNLGTIEENCVFSSMKAEESDGHDTLGIEIDSDWERMKELKIEELKLRYEKQRQPLYIRKDSNEKNPKGRRKIRVYSPRTANKIEICKIKALEDMKKAKLKMKKKVKESTVEDDTDLESFAVVKSSFDPQQDFRDSMVEMIMERRISKAEELEELLACYLTLNSDQYHDLIIKVFRQVWFDLNQAALESELHKQFPCNEQLV >KGN46972 pep chromosome:ASM407v2:6:10932527:10935327:1 gene:Csa_6G154530 transcript:KGN46972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKQLDFNQPFLSVRRVSSMETPSDANGTVRTENRWPPLPVYKSKLISGSLRNPGNVPFLWEHAPGRPKDGRKSRTIDCKSTSAAPKHSPGMFPDSKQEYCKRQGSTSSNSAHFPSHKNMVKFKTLRERIERDLSDSEDEEENYLEANDTLSRSESFSMNCSVTGLSGLDDGDVKSTGSFSKDHQTRDFMMGRFLPAAKALASETPQVSLRKPSFQREQQRVAKKLVEMEKQQRRVNSLPTTLPSYFAPPNTHDYDEISVDGDMNVDESEYSSTKSCGFFARFCLSDSFCLLHPLPGMRTQGSSVTSAQRVQNDNLTDRSCGSIKNLQNEKKSLNGNQMVELHEESTVLSGQSNRKSTSNDLKKQYKNLLFEGKAVPNDPERVKSSKVHIEPHGSGRTKFRELLANEPSSVSSFVVEKTLHVDSVRSIKSQSSNSSSTDTKGTADFLMKNHDKSTRSSESKEILHLDSNAEGENKRLSSTSMESMDSGSSYYDAKANSMATLSKEKVADERTIDSQSDESERSGNQESLESLHHKKSSQESSDGSFQDFVSFTSSEASKLHLNRKVVKGNCKGHDQDSITLTSSRSASTQGKIDLESACQPKRSLPISSQLELAPPLPKSPSESWLKRTLPTISSRNLMPKSTLATRNYTTRQNTVF >KGN48072 pep chromosome:ASM407v2:6:20229262:20230906:-1 gene:Csa_6G428290 transcript:KGN48072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLRMDALAITTLVTFLATFTAILAVPSPMVPVDKAQLEAWFSENVKPFADRNKAELDPAVVAAEGNVTIVKVMSDGTGDFKTVTEAIASVPVNNKNRVVIWIGEGVYKEKLTIEKNKPFITLCGTPKNVPTLTFDGVASKYGTVYSATLIVEADYFVAANLIIENTSPRPNGRKEAQALAARFRGTKSAFYNCKFLGFQDTLCDDDGLHLYKDCFIQGTVDFVFGKGTSLYLNTELNVVGEGQFAVITAHSREQEADASGYSFVHCSITGNGKDTFLGRAWMPRSRVIFAYTSMIDIIHPEGWNDMKHAGFDKTVMFGEYKCSGPGAVSTGRVAYGKQLTEAEVKPYLSLEFVQSAKWLLPPPNPQA >KGN47063 pep chromosome:ASM407v2:6:11876446:11876735:1 gene:Csa_6G182660 transcript:KGN47063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTRMSQKVCWDTFDDAATANDGENFPSVRVSTLQRPSVTPRHRRFFATLRRRKLPRCDIVVSSVRLPLIVT >KGN49043 pep chromosome:ASM407v2:6:26346742:26347593:-1 gene:Csa_6G511100 transcript:KGN49043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSFVTPFFPTFKTPLISLPSFPIKPISPIRTPFRPLASSAPPQSPAKPLKIPHPTDFKTIEATPSGKSRFLIIGAVSVGVALFLIGCDGERALALGPEGPLVEEFWDNVRRYALYALTVSTGAIYTILLPILELLKNPITAVLVLVIFGGAIFIISQVLSAMVGVTEFSYDYTY >KGN49432 pep chromosome:ASM407v2:6:28295592:28302644:-1 gene:Csa_6G524690 transcript:KGN49432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSTPCLYPDRGRNGVFYANGCVGGKPLSNFLKTPFIGSFPVRLLLVGNALNKSPQRNQLVPCIRCENRDESYEDVTVERPPYHTYMDSTSGQLEPASGARASIPGEEYWPEGTASRVRAARAPEPTGTSMGSPSYGQNPGSRRKKNRTLAAAAHDSSEVTEVDSSDLVISEISEDFIEEPKDDTSQYVVYQTEPDEEVTGFDLDKKTGNPHPFIDPKKKKPIEEPRTSEELWWNWRKPEKEQWSRWQRRKPDVETVFLKAMAQTGQIKLYGEQPTLTEVSLYRARRHLYKEERLQAEQERLERIGPIAFYSEWVKDWKRDTSKDAIRKHFEETGEDENTQMIEMFQNQTEREFRIMMGTDIRIRRDPLAMRMREDQIKQIWGGDPVYPTVNYIQDPNEIIDYRGPDFHEPTPGMLDFLKEHGKIISREELQEILAKEKNEELEVTDMDDAMAQAIDIGENDDGEDSEVEGDEEAEEKITRNWSVLKSSPHLSKQKGKPNKKDPASLDGAIDESENLTDFLMDFEEDE >KGN48677 pep chromosome:ASM407v2:6:24476790:24477023:1 gene:Csa_6G497275 transcript:KGN48677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSPGRQFHCWVKTRNKHLNFAYHRSAMDNSHVDFGFGKNGYLSSTLQSAKPRWQQQTTIKTLFLIQTNTNPNANIT >KGN49023 pep chromosome:ASM407v2:6:26266954:26269051:-1 gene:Csa_6G510900 transcript:KGN49023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEESAELVGGIMMKAAIGDLSISRITGTQLSHLLHHNIWRCVVESESAELFSCFLRSPGLVLCFCSFYCVPFSGIGPFQPHFVCLSEGAPQLNTEDP >KGN47855 pep chromosome:ASM407v2:6:18614081:18615566:-1 gene:Csa_6G407650 transcript:KGN47855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEEPVVPVESAAEPTNAEQAEENPANKSGRSKKSKEPKDKKPAAPRKPRNPPTHPPYEEMIKDAIVTLKERTGSSQYAITKFIEEKQKQLPPNFKKLLLFHLKKLVTSGKLVKVKSSFKLKPAKSAVVKPASPAKKKPVAAKPKSKPVAKPKAVAKSPAKPKAAAKPKPKATPKPKAAPKPKAAPAKSKSSAVAKPKAAAKTKAAPKPKAKEKPAKAARTSTRTSPGRKAPAPKPVVKKAPAAKKAPSKSVKAKKVKSLAKKSPAKRGSKGR >KGN48569 pep chromosome:ASM407v2:6:23729616:23730325:1 gene:Csa_6G492290 transcript:KGN48569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFPYKQAQQDDSSGTSIQCNPDDNLGQLLSLGLVRFDDGHPASNTSFSETKSRVVNGNGGDDRLFSCNYCMRKFYSSQALGGHQNAHKRERGAAKRQQSDPKMVMLSTMAVSLNYAVASLGIKPHSLPHNPTQGGIIQKTPLPKVLRRDQEVMEMDSSWPGSFRFKRVENQGSAINHHLDLNLRL >KGN46712 pep chromosome:ASM407v2:6:8697723:8700363:-1 gene:Csa_6G125260 transcript:KGN46712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEWSHLPKDLLYLISQRLQNPFDTMRFRSVCSSWRSVVSPKRHTLPVRFPFLPNHGISDPTWGFNLTKRSIFRVGSPMDHSDGWLIKVEEDACGMIKISNPLSKSYFKPLPKNFPKVLNLLNFPVLELCQEYVLHYLNFWPVRHRPGDAGDLYREKIAYKCLNYDGSQFVLVTIHVSGKLAMFKSEDGQWSTIHHTALPYDDVILFNGEFYAVDNSGATFLVESQHKVTLIAEPVFGGDKKILMECNGELLLVDMYLTVDSEEGFGLDGEPTEGVLHEKTVGFKVFKLHRNGSKKWTVVCDLGNTMLFLGENCSFSASASGVSGCKGNCIFFTDGFLCPNVDEDDVFKGSDIAIFDLEFGTISPLSDSPMYSRLFWPPPSWITSTSGGSSIHSEGAIAIRLKTTKKKKIPRTF >KGN47481 pep chromosome:ASM407v2:6:15477479:15483650:-1 gene:Csa_6G338130 transcript:KGN47481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLISVVRDYINKMLQDISGMKVLILDSQTVSVVSVAYSQSELLQKEVFLVELVDAVSKSSEPMYHLKAVCFLRPTSENIQLLRRQLASPRFGEYHLFFSNILKETQIHLLADSDEQDVVQQVVEFYGDFVAIDPYHFTLNMPSNHIYMIPAVVDPPSLQHFCDRVVDGITALFLALKQRPVIRYQRTSDVAKRIAQEASKLMYQQESGLFDFRRMEVSPLLLVVDRRDDPLTALLNQWTYQAMVHELLGIQDNKVDLKSIAKSSKDQQEVVLSSEQDSFYKANMYENFGDIGMNIKKLVDDFQQIAKSNQNIQTIEDMAKFVDNYPEYRKMHGNVSKHVTLVTEMSKIVEERKLMLVSQTEQELACNGGQVAAFEAVTNLLNNESISDIDRLRLVMLYALRYEKESPVQLMQLFNKLASRSAKYKTGLVQFLLKQAGVDKRTGDLYGNRDLLNIARNMARGLKGVENVYTQHQPLVVQTMESIIKGRLRDVDYPFVGNHFQQGRPQEVIIFIVGGTTYEESRAVALQNATTSGVRFILGGSVVLNSRRFLKDLEEAQRISRSSAPVI >KGN48927 pep chromosome:ASM407v2:6:25848417:25851850:-1 gene:Csa_6G506530 transcript:KGN48927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDHEILDPLIQEKQITQWRASGSTSVSSSSEDPYPVENSPVEQVALTVPVTDDTSLPTFTFRTWILGIIACMLLSFLNQFFYYRKEPLPVTSISAQIAVVPIGHFLASALTNKVFFEGKKWKFTLNPGPFNQKEHVLITIFANSGASTVYAIHVVSAIKIFYKKELTFFLALLVVLTTQVLGFGWAGIFRRYLVEPAAMWWPQNLVQVSLFRALHEKEERPKGKLSRNQFFVVAFTCSFGYYIFPGYLFPMLTSMSWLCWVFPTSIIAQQLGSGLRGLGIGAFGFDWSTISAYLGSPLASPWFATVNVAAGFAIVTYLGTPLAYWLDLFNAKTFPIFSDGLFTSAGQNYNISAIIDPNFRLDVDAYNREGPLRFSTFLALYYGVNFACLAATIVHVLLFHGRDIWRLSRSALQEKLMDVHTKLMRKYDQVPESWFMCILVVNISVTIFICEYYNNQLQLPWWGVLIACGLAMFFTLPVGVITALTNQAPSLNVITEFIIGYLYPGYPVANMCFKVYGYISMKQAITFLQDFKLGHYMKIPPREMFIAQVVGTVVSAVTHLGTAWWLMATIPNICDRAKLPAGSPWTCPGDHVFYDASVIWGLVGPRRIFGDQGQYGSLNWFFLAGAVSPLLVWLAHKAFPDKNWIKLITMPVLLGAVVNMPPATAVNYTSWIVIGFASGFVAYRHYRGWWSRHNYLLSGALDAGLAFMGVLLYLCLGMQHISLQWWGGDSDACPLASCPTAPGVIVKDCPVHY >KGN48825 pep chromosome:ASM407v2:6:25306037:25306946:1 gene:Csa_6G502630 transcript:KGN48825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINAIRFGRTPPPIVLTSPLPPKLRRISSPELPSRQAISFATAPDRNPKCEEMDDSQNKTKETGDIMCDSFGEGYATRSEEEGFGGTYGGKYQSIDDDEHMNKKPVNQHEYDKSQGSEVKEKEKSRHQAHAN >KGN48950 pep chromosome:ASM407v2:6:25958242:25961100:-1 gene:Csa_6G507240 transcript:KGN48950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWTFSSGDQMLLVLSVFFLAGGLRSGHAASFKFTIHHRFSDSIKEIFGSEGLPEKHTPGYYAAMVHRDRLLHGRNLATTNGDTPLMFSYGNETYELSGLGNLYYANVSIGTPGLYFLVALDTGSDLFWLPCECTKCPTYLTKRDNGKFWLNHYSSNASSTSIRVPCSSSLCELANQCSSNKSSCPYQTHYLSENSSSAGYLVQDILHMATDDSQLKPVDVKVTLGCGKVQTGKFSNVTAPNGLIGLGMGKVSVPSFLASQGLTTDSFSMCFGYYGYGRIDFGDIGPVGQRETPFNPASLSYNVTILQIIVTNRPTNVHLTAIIDSGASFTYLTDPFYSIITENMDAAMELERIKSDSDFPFEYCYRLSLATIFQQPNLNFTMEGGRKFDVITSYVSVDTDDGPALCLAIVKSTDINVIGHNFFGGYRVVFNREKMTLGWKEVDCDSYDANTSSDDSPPPSGDSSPTTSTPRKSNSTQPSPEIGAGDAMLLNPIVSLCVVILVILYVI >KGN48231 pep chromosome:ASM407v2:6:21356386:21361523:1 gene:Csa_6G450360 transcript:KGN48231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERISSEALLPTETAFEGTINDMSFQVISLPWDAIRAPLVVPLLRLSVFLCLIMSLMLFVERVYMGIVISFVKLFGRKPEKRFKWEPMKDDIELGNSVYPMVLIQIPMYNEKEVYQLSIGAACGLSWPSDRIIIQVLDDSTDPMIKDLVEMECQRWASKGINIKYEIRDNRNGYKAGALKEGLKRSYVKQCDFVAIFDADFQPEPDFLWRTVPFLLHNPNIALVQARWKFVNANECFLTRMQEMSLDYHFTVEQEVGSSTYAFFGFNGTAGVWRIAALNEAGGWKDRTTVEDMDLAVRASLKGWKFLYLGDLKVKNELPSSLKAYRYQQHRWSCGPANLLRKMIMEIITNKKVTAWKKVHVIYSFFFVRKVVAHINTFVFYCIVLPATVLVPEVEVPKWGSVYIPSIITLLNAVGTPRSLHLLVYWILFENVMAMHRTKGTIIGLLEASRVNEWIVTEKLGDASKPKLAAKTPKKPRFWIGERVLMWELGVGAYLFICGIYDIFFGKNQYFIFLFLQAIAFCIVGFGFVGTHVSSF >KGN47719 pep chromosome:ASM407v2:6:17403256:17403539:1 gene:Csa_6G383560 transcript:KGN47719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVRIAGDRRRGSRVEMINVRITGVRVSSIVCVQIIVGGCSHGGSDCGWQLVSGGLDGSCWVVDGWWLGSDLSGGVGVL >KGN47492 pep chromosome:ASM407v2:6:15612176:15613678:1 gene:Csa_6G344210 transcript:KGN47492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDSSGDHNNYNNNLHVNYHDQYKSSCPRGHWRPAEDEKLRQLVEQYGAQNWNSIAEKLQGRSGKSCRLRWFNQLDPRIIRKPFSEEEEERLLGAHRIHGNKWSLIARLFPGRTDNAVKNHWHVIMARKQREQSKLCGNKRTYQQFSNLILNNNQITTQSSSSTTNHHHHHHHHPQLTTTTILVSDYNNNNSNSKDGMVWNFRQGFKGYSDNNNILYRSSSSHFNYNYYPNSSSSSSSSARFCFPNNKIPIGVFEFGSPSTTALMKFMANTDDRNNNHDQQPQVLQQEESLKDKDNIPFIDFLGVGISS >KGN49510 pep chromosome:ASM407v2:6:28778536:28779301:-1 gene:Csa_6G526440 transcript:KGN49510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSITSDQWATQFYGHHSHGGVSTSSAVAHHGPNTNNSNSISKPRRRSRASRKAPTTLLNASTSNFRDLVQQFTGFHAAGASMPLGSHKGPVNLSFGQGGDDHLHNNHPSVMLPFSDGGLLQRRQQATPEHRFPVPVESNGFSVAGAADQLMEIQNLIDEDLSYDLQELGMEFCSSSGNGNYGGGYFH >KGN48232 pep chromosome:ASM407v2:6:21367113:21371766:1 gene:Csa_6G450370 transcript:KGN48232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIQSVKARQIFDSRGNPTVEVDIVLSDGSLARAAVPSGASTGIYEALELRDGGSDYLGKGVSKAVENVNAIIGPALVGKDPTEQAQIDNYMVQQLDGTVNEWGWCKQKLGANAILAVSLALCKAGASVKKIPLYQHIANLAGNSQLVLPVPAFNVINGGSHAGNKLAMQEFMILPIGASSFKEAMKMGVEVYHHLKSVIKKKYGQDATNVGDEGGFAPNIQENKEGLELLKTAIAKAGYTSQVVIGMDVAASEFYGSDKTYDLNFKEENNDGSQKISGDALKDLYKSFASEYPIVSIEDPFDQDDWEHYAKMTSEIGDKVQIVGDDLLVTNPKRVEKAIKEKTCNALLLKVNQIGSVTESIEAVKMSKRAGWGVMASHRSGETEDTFIADLSVGLATGQIKTGAPCRSERLAKYNQLLRIEEELGSAAVYAGVNFRKPVAPY >KGN49401 pep chromosome:ASM407v2:6:28092380:28092798:-1 gene:Csa_6G523420 transcript:KGN49401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFVVEEAVASSQTTQRKSEMGNSKKTAEVRVVLIEYHHIQTDASNFKSVVQSLTGKHSPAAEDDGGEICRKRQRVVSQNNNHESITNINQRVKMIHTKSFKDLDRLLALELPSMEDLNWILTD >KGN47561 pep chromosome:ASM407v2:6:16128414:16131762:1 gene:Csa_6G361230 transcript:KGN47561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTFGDVYTEENVAISGIHTHAGPGGYLQYLVYSITSLGFVQQSFDAIVNAIEQSIIQAHESLKPGFILFNKGKQIRQLFHFWEVENAGINRSPSAYLMNPEEERAKYANNVDKEMSILKFVDGENGNSIGAFSWFPTHGTSMSRDNKLISGDNKGAAARFFEDWAASNRRTTTTPSNNTSGIEDLMKKAGKIKATGGKRCSKTSSKSSKVRKNDGSLFVGAFCQSNVGDVTPNVLGAFCTDSGNPCDFNHSSCHGSDLLCVGRGPGFPDEILSTKIIGERQFLKAADLFTTATEKLTGEIDFRHVYLNFTDIEVAIDGNNVVRTCPAALGPGFAAGTTDGPGAFGFQQGDTEINKLWKQLRDSLKKPSEFQVGCQKPKTVLLDTGEMFEPYAWAPAILPIQILRLGNLIILSVPGEFTTMAGRRLREAVKETLISNGNGVFDDDTHVVIAGLTNTYSQYVATFEEYEQQRYEAASTLYGPHTLSAYIQEFKKLAIAIAKGEKIAKPIASPPDLSSVQLRLVMDPFGESPPNGVNFGDIQQDVELPKDGWFKTGSKQKPTATFWSANPRFDLLTEGTYALVERLEKQRWTPAYDDDDFSLFFKWKLDNTFINNLVTIEWDIPIDADPGVYRLRHFGSSRSTINSTNVYFTGASRAFAVF >KGN46211 pep chromosome:ASM407v2:6:4995674:5001076:1 gene:Csa_6G075120 transcript:KGN46211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEELPEGTVRNLLDQESLKWVFVGGKGGVGKTTCSSILSILLSRVRSSVLIISTDPAHNLSDAFQQRFTKAPTLVNGFSNLYAMEVDPTVENEEVGEGMDGLFSELANAIPGIDEAMSFAEMLKLVQTMDYSVIVFDTAPTGHTLRLLQFPSTLEKGLSKIMSLKSKFGGLLGQMTRMFGVDDEFGEDAILGRLEGMRDVIEQVNRQFKDPDLTTFVCVCIPEFLSLYETERLVQELTKFEIDTHNIIINQVLFDEEDVESKLLKARMRMQQKYLDQFYMLYDDFHITKLPLLPQEVTGVEALKDFSGHFSSPYEPTTSRDSIEELERKISTLKQQLNDAETELDRIRKGKQKA >KGN48499 pep chromosome:ASM407v2:6:23245362:23248767:-1 gene:Csa_6G490140 transcript:KGN48499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQGLLRLIFGAFALLSVSLVNAENPYRFYTWTVTYGTISPLGVPQQVILINGQFPGPKLEVVTNDNIILNLFNKLDEPLLLTWNGIKQRKNSWQDGVVGTNCPIPLNSNYTYSFQTKDQIGSFAYFPSTQFHKAAGGFGALNVYQRPRIPIPYPIQDGDFTLLIGDWYKTNHKSLQESLDSGGSLPLPDGVLINGQTHSTFTGDQATAVLHYSNSNSPVSGPLPAGPTIEVDWSVKQARTFRWNLTANAARPNPQGSFHYGKIIPTKTIVLATSAALINGKQRYAINRVSYVNSDTPLKLADYFNIPGVFSVDSLQSLPSDGPAFIATSVLPTALHDFVEIVFQNNENTVQSFHLDGYDFWVVGYGSGQWSQDSRNSYNLVDALTRHTAQVYPNSWTTILVSLDNQGMWNLRSSIWERQYLGQQFYLRVWTQTQSLANEYNIPSNALLCGKAVGRH >KGN45663 pep chromosome:ASM407v2:6:397570:401158:1 gene:Csa_6G004540 transcript:KGN45663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQNKPSKFRSITSCSGTEYESIAADLDGTLLISSSSFPYFMLVAIEAGSLLRGLILLLSLPIVIIAYLFVSEAIGIQILIFISYAGLKVRDIELVSRAVLPRFYAADVRAESFEVFSKCKRKVVVTANPTIMVEPFVKDFLGGDKVLGTEIEVNPKTKKATGFVKKPGVMVSKLKRSAILKEFGENLPDLGIGDRSSDHDFMSICKEGYMVPRSKTAKPVPLDQLKSKIIFHDGRLVQRPDPLNALITFIWLPFGFILSIIRVYFNLPLPERIVRFTYELLGIHLRIRGNPPPPPSPGTPGNLYVCNHRTALDPIVIAIALGRKVSCVTYSVSRLSRFLSPIPAIALTRDRAADAARITELLQHGDLVVCPEGTTCREPFLLRFSALFAEMSDRIVPVAVNCKQSMFYGTTVRGVKFWDAYYFFMNPRPVYEVQFLDRLPEEMTCKGGGKTSIEVANNVQRMLGSVLGFECTTLTRKDKYMLLGGNDGKVESMYNAKK >KGN47713 pep chromosome:ASM407v2:6:17331331:17339940:1 gene:Csa_6G383010 transcript:KGN47713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERLALQLTLPSHSFPIASPSNCSISTKSMAATVFGTAVAVAAASSSASSTTRFLSSATRATLSFSSPSPTLLSRSSSSSSFSFLRSSPLISHLFLNQGRPSSCVSNRRFSASSTPKCLASDPEQLKSAREDIKQLLKTTFCHPILVRLGWHDAGTYNKDIEEWPQRGGANGSLRFDVELGHGANAGLINALKLIEPIKNKYSNITYADLFQLASATAIEEAGGPKIPMKYGRVDVVGSEQCPEEGRLPDAGPPSPASHLRDVFYRMGLNDKEIVALSGAHTLGRARPERSGWGKPETKYTKDGPGAPGGQSWTVQWLKFDNSYFKDIKEKIDEELLVLPTDAVLFEDPSFKVYAEKYLEDQESFFKDYAEAHAKLSNLGAKFDPPEGIVLDASPKPAGEKFDAAKYSYGKRELSDSMKQKIRAEYEAFGGTPDKPLPTNYFLNIILVIAVLAILTSLVGN >KGN48791 pep chromosome:ASM407v2:6:25113238:25113818:1 gene:Csa_6G501320 transcript:KGN48791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFGKKYGDEEIDERGFKAVIQEGMQLSAAPNLGDFIPAIAWLDLQGFTRKMKRVHKVYDEFLEKIINEHLVARGGKKTRDFVDVMLDLIGSQQTEYQIDRSAIKAIMLVCLPLSSISIFSILRLLKLFIFLFLYITYLKKNNKLLSNYNERLKKQTFFFVFFLIFFY >KGN47518 pep chromosome:ASM407v2:6:15861261:15862574:1 gene:Csa_6G355370 transcript:KGN47518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNGDQTMLKPSVILTGTAKEGSSGPPIGLVDIGVSEGAYLFRVALPGVRKDRSKVKFEIKSDGKVQIEGVMSGPGFLKESSAMYQMKVQQLCPPGPFTVSFKLPGPVDPRLSSPSFRPDGILEVVVMKSRAQPTVADSQPPLGV >KGN47507 pep chromosome:ASM407v2:6:15724578:15726897:-1 gene:Csa_6G349840 transcript:KGN47507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALHCVRYSFSPSPAKPNFKLVQRNNPALLFSSFSTTPASYNTLVSEAVRILVPPARFEASKLKVVFSREVTKSAGIIPRTYILSHCDFTANLTLTISDVINLDQLRGWYNKDDVVAEWKKVKDEMCLHVHCYVSGPSSLLDVAAEFRYHIFSKELPLVLESVLYGDSILFGENPELLDSLVRVYFHSSSPKYNRLECWGPLKDAVLGRHHHMQGLLSGSKDVSPSKKLRSPKSIFQALFAFLL >KGN48070 pep chromosome:ASM407v2:6:20219704:20221962:-1 gene:Csa_6G428030 transcript:KGN48070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPRINALAITRLVTFLATFTAILAVSPPIPVEKSQLAGWFTENVKPFAVRNKAELDPALATAEENATVIKVMSDGTGNFKTVTEAIASVPADNKKRVVIWIGVGVYKEKLKIDRNKPFVTLYGSDPKNMPKLTFDGDAAKYGTVYSATLIVEADYFTAANLIIENSSPRPDGVRKGAQALAARFMGTKAAIYNCKFLGFQDTLCDDDGLHFYKDCFIQGTVDFIFGKGTSLYLNTQLDVAGDGGLAVITAHSREQEADTSGYSFVHCSITGTGGKNTYLGRAWMPRSRVVFAYTTIADIIHPEGWNDMKHAGFDKTVMFGEYKCSGPGAVSTGRVAYGKQLTDVEVKPFLGLEYVQSEKWLLPPPSPQV >KGN49420 pep chromosome:ASM407v2:6:28213412:28213666:1 gene:Csa_6G524100 transcript:KGN49420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSRIARFVTEVAPPQIISVMRRRTSKVLDTISEEDRECNKNEYPAAPPRSLSSSTTSASMVVAANSMYFVRNISRPFSKIND >KGN49228 pep chromosome:ASM407v2:6:27221235:27225674:-1 gene:Csa_6G517360 transcript:KGN49228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEKTSLNISDSEGDFSGRDCELCFPLSSFNFLVSSFRFIPEVPLAIHEKFSEVKRECNRFVGLSIPWIIDDIVIVK >KGN47473 pep chromosome:ASM407v2:6:15414546:15416705:1 gene:Csa_6G338050 transcript:KGN47473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMNLSLPIIILIFFLFLSHFPFLSLSFLLNQNITLSGDAHLRNNAIFLTQERDCLSLSPNSSSSASGFGSAVYVNPVRFLDSSTNSSASFSSRFSLSILPTPLCSFPDGFAFLIASDPESFTLSNSHIPLPNPSHSSPFSFIAVEFDTNFDSNLGDINDNHLGLNVNSPTSLTSVDFRSHGIVLKNGRKITAWIEYRDDSKTIRVWVGYSQTRPVNPLLAAPMDLSKQFKEFMYVGFSASNGQGSALFIVDRWQFRTFGLLPSLSPVDTINEGAGCFMCSSEDLNSDNSRFVDASERRKKSGEMSLVFGGLAAFACSGALILGFVSYTLIKKLRSRVCRGREIDRTCLVKMNRIPTRLSLGEIKLATMGFNQNRVVGEGGSATVYKGSLPSGVEVAVKRFEQGMANNRLPNPFATEFATMVGCLRHKNLVQLHGWCCEANELVLVYEYLANGSLAKLLHETSPNSQFVIPWKKRVSIVLGVASALTYLHEECERQIIHRDVKTCNILLDADLNAKLGDFGLAEVYEHSSLTRIATIPAGTMGYLAPEYLYYGVPTVKTDVYSFGVVILEVASGKRPVDEGGMVLVDWIWVLWGVRSLIEAADPRLMGNYDVVEMERMLMVGLFCVHPNNEKRPTVKEAVRILRGEAPLPVLPLRKPMVGIRPILSDDFEDLENPCSDYIAFEEPAWMTPKSEFG >KGN48942 pep chromosome:ASM407v2:6:25929076:25929489:1 gene:Csa_6G507165 transcript:KGN48942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQNHCIPGNQISNHQPVENSTCNRHSVAFAIHVNQSGVDKYAKLHRSTVHKTMNRSSFIQPCRPTTSTKNIHHCCIVPSDSHIHHIPKQLHCTARIPTSQVLTNHQIPRYNISLRHYIEHVMRSIQIANSCISSNN >KGN46742 pep chromosome:ASM407v2:6:8984641:8987362:1 gene:Csa_6G128030 transcript:KGN46742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRMELGFPKSASYSLREQAARTILRNVRSQGHTYVELRENGKKFIFFCTLCLAPCYSDSVLFSHLKGTLHTERLSAAKLTLLGPNPWPFDDGVLFFHKPIEGDNQVGISNDNHERLLEYNNNDNNLAIVKYVGNSKGNGNRQEEFNGNMRNVEDCSFENLNDGGESCPLVIPGVLIKEEISDIKVRELGYGQIAARFTEKDGIFSGVSRIWCEWLGKVNDGIENMVKVPEHNYAIITFTYNVDLGRKGLLDDVKLLLSSSPGAESQNDENRQVKRKKSFSDPEDGSLSMSPQYDSSGEDSSASNCVMSSLSLDGYDDQILSTTVMLNKAVRRELRRQQRLAAERMCDICQQKILTHKDVATLLNMKTGRLACSSRNVNGVFHVFHTSCLIHWILLCEYEISVKDLGGSKVRRRYRRKKKTKGNKHIKDGETRQIKTQIDSVFCPACQGTGITIDGDDLEKPTVPLSEIFKYKIKVSDARRAWMKSPEVLQNCSTGFQFPYQPDETIQENVKPLKLLHFYGAFV >KGN46459 pep chromosome:ASM407v2:6:6515288:6515674:1 gene:Csa_6G094770 transcript:KGN46459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKQKRKGFIRRLYRAARSAGKAKVKQSNPPTPSTSPNSGDGDDPFANSSETKSASNPNRPVAATTVQKQASSTHHGAYGGYGGGDENVDVKAATYILLVKERLKMERSMDRRVLQSTAKIYDKIYD >KGN48563 pep chromosome:ASM407v2:6:23697225:23697548:1 gene:Csa_6G492235 transcript:KGN48563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSPGFDTPPIDTICLISSLDCLASSTSLTSSPKYLFPAAILIDMLNVSSEIDDCISTFENPYIEFLDSFFSNLSTSSFRVPANLLRRDAEKISMAPIRRRFRQWSP >KGN49093 pep chromosome:ASM407v2:6:26602119:26604042:-1 gene:Csa_6G513570 transcript:KGN49093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQLLFTVIISEMVLILLFSFKTPLRKLVILAVDRMKRGRGPIMVKTVAGTVLIVLLSSVYSMVTIQKRWIDDGAINPTDQVLMVKHLLEATLMGGSLFLALMIDRLHHYMRELRLRRKGMEAIKKQSRAVEDGKVSKSEEIKALEEERTTLETKLKQLESVLDSKTKDITTSEANVVALRKQSEGLLLEYDRLLEENQNLRGQLQSVERRLSRSGSKKNS >KGN47101 pep chromosome:ASM407v2:6:12118265:12118811:-1 gene:Csa_6G187940 transcript:KGN47101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHEVLNKLPSFFILPLKRTVRTSYQRSQRSRRIEQRVPKRRGRAHSFEVEIPRRPPGRSSRPRVPSPWRYTIARSLPFPTTLEGLHLKRGQIGIFEISY >KGN47803 pep chromosome:ASM407v2:6:18177862:18182288:1 gene:Csa_6G404180 transcript:KGN47803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCLDSSAKVQNAHTSQGTHEISQNSSKRHPLVPSNLPNSLVDRNNNVLSLPTPKTEGEILSSSNLKPFSFNELRSATRNFRPDSLLGEGGFGYVFKGWIDENTWAAVRPGMGMVVAVKKLKPEASQGHKEWLTEVNYLGKFHHPNLVKLIGYCLEGENRLLVYEFLPRGSLENHLFRRGSQPLSWALRIKVAIAAARGLSFLHEAESPVIYRDFKASNILLDAEFNAKLSDFGLVKAGPTGDRTHVTTQVIGTRGYAAPEYIATGRLTSKSDVYSFGVVLLELLSGQRAEDNLVERASPYLGDKRKLFRIMDTKLEGRYSKKGAYVAANLASQCLTSEPRARPRMAEILGALEELETPKTPARISHSVQRSTPTLSNSNPDGSLSKPHQKSQQ >KGN45893 pep chromosome:ASM407v2:6:2048960:2049643:-1 gene:Csa_6G017090 transcript:KGN45893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSKSFPKYSSSTSGEFGFQTRSNSYNFNGPTAKATGFSTSADPELQRKRRIASYNVFNMENKVKSSVKNSFKWIKTKFSDIRYGL >KGN48198 pep chromosome:ASM407v2:6:21037488:21043429:-1 gene:Csa_6G447070 transcript:KGN48198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKKIMKGGHRKPSKSDANDPALYGFGPPGNRNSGSVSTTNVVVNHASRPGPATSGPNSNWAPGVVALAPPTGTVEPLPLFRDVPVSERQNLFIRKLQICCYQFDFSDTLKSAREKEIKRQTLLELVDVIQSGSGKITETCQEEMIRMISVNIFRCLPPASHENTGQENADPEEEEPYLEPSWPHLQLVYELLLRYVVSSDTDTKIAKRYIDHSFVLKLLDLFDSEDPREREYLKTILHRIYGKFMVHRPFIRKAINNIFYRFIYETERHSGVGELLEILGSIINGFALPMKEEHKLFLVRALVPLHKPKSSAIYHQQLSYCITQFVEKDYKLADTVIRGLLKYWPMTNCQKEVLFLGELEEVLEATQSAEFQRCMVPLFRQIARCLNSSHFQVAERALFLWNNEHIVSLIAQNRAVILPIIFQALEKNIQSHWNQAVHGLTVNVRKMFMEMDVELFEECQRQYTEKEMKAKEVEEQRELAWKRLADVAAQRGDYMVTV >KGN48521 pep chromosome:ASM407v2:6:23397955:23407185:1 gene:Csa_6G490850 transcript:KGN48521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPGVGTKFVSVNLNKSYGQTHHHHHHHHSSHSNSYGSNRTRPGGHGVGGGMVVLSRPRSSQKPGPKLSVPPPLNLPSLRKEHERLDSLGSGTGPTGGGVLGNGQRPTSAGMGWTKPRTNDLPEKEGPSATIVDKIDPSLRSVDGVSGGSSVYMPPSARAGMTGPVVSTSASSHVHATVEKSPVLRGEDFPSLQATLPSAAAPSQKQRDGLSSKLKHGSEGSYEEQRDTTHLSSRIDDRSKYQSSQKSVRSENAKNGNSFSSGTFQSPESSRKQEDIFPGPLPLVSMNPRSDWADDERDTSHGLIDRVRDRGHPKSEAYWERDFDMPRVSSLPHKPTHNFSQRWNLRDDESGKFHSSDIHKVDPYGRDARVASREGWEGNFRKNNPVPKDGFGSDNANDRNAIAGRPTSVDRETNADNTHVSHFREHANKDGRRDTGFGQNGRQTWNSATESYSSQEPDRTVKDKYGSEQHNRFRGETHNTSVANSSYSSGLKRIPADEPLLNFGRDRRSYAKIEKPYMEDPFMKDFGASSFDGRDPFTAGLVGVVKRKKDVIKQTDFHDPVRESFEAELERVQQIQEQERQRIIEEQERALELARREEEERQRLAREHEERQRRAEEEAREAAWRAEQERLEAIQKAEELRIAREEEKQRIFLEEERRKQGAKLKLLELEEKIAKRQAEAVKSSTSNSDIPEKKIPSVVKDVSRLVDTVDWEDGEKMVERITTSASSESSSINRSSEVGLRSQFSRDGSPSFVDRGKSVNSWRRDFYDRGSGSQFVLQDQSTGYNGPRREVSTGGRVSSRKEFYGGAAFTTSKTSHRRGITEPQSDEYSLRGQRPNLSGGVDHYNKTQEFDSDFQDNVENFGDHGWRQESGHNNFYFPYPERVNPISETDGSYSVGRSRYSQRQPRVLPPPSVASMQKSSVRNEYESVSRDIVESEIQYDHPASNISTAQTMYIHHENRALPEIIDVNLENGENEEQKPDGNTTLRCDSQSTLSVFSPPTSPTHLSHEDLDDSGDSPVLSASREGTLSIEDNESAVPAAKAGKEIMITSTRVSTGDEDEWGAVDEHVQEQEEYDEDDDGYQEEDEVHEGEDENIDLVQDFDDLHLDDKGSPHMLDNLVLGFNEGVEVGMPNDEFERIPGNEENLYVTSEISNDIREEQGSSKGLQVDGNVCQYVDASSQIRIDPEEMQDLVLQSKTAQALAESEITEQGNSSCRSSVSVQQPISSSVSMAPQSISGQVIVPSAVSGQAEPPVKLQFGLFSGPSLIPSPVPAIQIGSIQMPLHLHPQITQSMTHMHSSQPPLFQFGQLRYTSSVSPGVLPLAPQPLTFVPPTVQTGFSLKKNPGDGLSIHPSQETCAHSSRKNNVSPFLMDNQQGLVSRSLNVNPSGESESLPLAESIESKVVTPHDQTAVSCIDESNSRPEPGFQAEHHRLRVSSSDNRYVVSRGKESEGRAPDGMGSFDSVSRNKGLSGLKGRGQFPGGRGKKYIFTVKNSGSRLPFPVSESTRLETGGFQRRPRRNITRTEFRVRETADKKLSNSQVSSNHVGVDDKPTVSGRTAVNSARNGTRKVIVSNKPSKRALESEGLSSGVSTSVELDAGNRSEKGVKKEYSGKSQGSQYSGEGNFRRNICSGEDVDAPLQSGIIRVFEQPGIEAPSDEDDFIEVRSKRQMLNDRREQREKEIKAKSHNSKIPRKGRSTSKSALSSVNSSKVYAPKEAETVKRTRSDFVAADGGVRGSGNVVVSSAFSPPVVSQPLAPIGTPALKSDSQSERSHTARSIQTSGPTLATNDGRNLDSSMMFDKKDDILDNVQSSFTSWGNSRINQQVIALTQTQLDEAMKPAQFDLHPPAGDTNVPSPSILAMDRSFSSAANPISSLLAGEKIQFGDCSTLLGIGAPTGLCHSDIPIPHKLSGADNDCHLFFEKEKHRSESCTHIEDSEAEAEAAASAVAVAAISSDEMVTNGIGTCSVSVTDTNNFGGGDINVATGSTGDQQLASKTRADDSLTVALPADLSVETPPISLWPTLPSPQNSSSQMLSHFPGGSPSQFPFYEINPMLGGPVFTFGPHDESVPTTQAQTQKSSAPAPGPLGSWKQCHSGVDSFYGPPTGFTGPFISPGGIPGVQGPPHMVVYNHFAPVGQFGQVGLSFMGATYIPSGKQHDWKHSPGPSSLGVDGDQKNLNMVSAQRMPTNLPPIQHLAPGSPLLPMASPLAMFDVSPFQASPEMSVQTRWPSSASPVQPVPLSMPMQQQQAEGILPSHFSHASSSDPTFSVNRFSGSQPSVASDLKRNFTVSADATVTQLPDELGIVDSSSCVSSGASVPNGDINSLSVTDAGKAGVQNCSSSSNSGQNNAGTSLKSQSHHKGITSAQQYSHSSGYNYQRSGASQKNSSGGSDWTHRRTGFMGRTQSGAEKNFSSAKMKQIYVAKQPSNGNLRV >KGN47023 pep chromosome:ASM407v2:6:11519446:11528859:-1 gene:Csa_6G169880 transcript:KGN47023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNNNSNVTPAEKIKCRANKAFAAHKYAQAIDLYTQAIELNNQNAIYWANRAFAHIKLEEYGSALEDASKAIEVNPRYSKGYYRRGAAYLAMGKFKEALKDFQQLKKMCPNDPDTIQKLKECEKAIGKLNSEEAVAAPLPETDSVANSIDVHRVGVSSTSVPTQMAIVAAAVATTAIAISTLSTKVATVVAAIAVVVLIIMGTRWWGGSGCVINAKTLDVDPQYSGARIEGDIITLDFVKKMIDNFKNQKPLHRRYVFQILQQTKKILKALPSLVDITIPEGKRLTVCGDVHGQFYDLLNIFELNGLPSEDNPYLFNGDFVDRGSFSLEVILTLFAVKCMSPSAIHLSRGNHESKTMNKMYGFEGEVKTKLNGTFVELFAEVFCCLPLAYVLNEKVFVVHGGLFSVDGVKLSDIRMINRFCEPPEKGLMSDMLWSDPQPYPGRGPSKRGVGLSFGADVTKRFLEDNKLDLLVRSHEVKDEGYEIAHDGKLITIFSAPNYCDQMGNKGAFIRFETPDMKPNIVTFSAVPHPDVKPMAYANFFQFFQ >KGN47906 pep chromosome:ASM407v2:6:18983412:18983734:-1 gene:Csa_6G410610 transcript:KGN47906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDAFSTNIQMMHLNLCLNAEHDLRMKPSFCNLHESADYYLSNFMLTSLRLVMCLLAIISVLAIVSLPDF >KGN46272 pep chromosome:ASM407v2:6:5346607:5355661:1 gene:Csa_6G078680 transcript:KGN46272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEFLDLEAQDGVRMPWNVVPGTKQEASNCIVPVSALYTPIKAFPNMPVLPYSPLRCRTCRSILNPFSIVDFAAKIWICPFCFQRNHFPPHYASISDDNLPAELFPQYTTIEYESTGETPSPVPPVFMFVLDTCIIEEEIAFLKSALSQAVDLLPDNSLVGLVTYGTFVHVHELGFGQIPKTFVFKGTKDVSKDQLLEQMNFFLKKPKPPTGVIAGARDGLSTESIARFLLPKSECEFALNSVLEELQKDPWGVPADQRAPRCTGTALSIAASLLGACVPGSGARILAFVGGPSTDGPGAIVSKNLSEPIRSHKDLDKDSAPHFHKAVKFYEGLSKQLVHQGHVLDLFACALDQVGIAELKVAVEKTGGLVVLAESFGHSVFKDSLKRVFSGEYDLGLSSNGIFEINCSKDIKVQGVIGPCASLEKKGPLCSDTVIGQGNTSAWKMCGLDKATTLSLIFEVVKKDNSDAAVQSASNQFYFQFLTYYQNNNGQMRLRVTTLSRRWVAGTGSVQDLIAGFDQEAAAAIMARLVSFKMENEAEFDPIRWLDKSLIHLCSRFGDYQKDTPSSFSLSPRFSIFPQFIFHLRRSQFVQVFNNSPDETAYFRMILNRENVANSVVMIQPSLISYTFHSAPEPVLLDVAAIAADRILLLDAYFTVVIFHGATIAQWRKAGYHNQPEHQAFAQLLQAPRDDADATIKERFPVPRLVICDQHGSQARFLLAKLNPSATYNTESPLPGGDIIFTDDVSFEVFLDHLQRLTVQ >KGN46149 pep chromosome:ASM407v2:6:4487275:4490033:1 gene:Csa_6G057150 transcript:KGN46149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEPSTYSNHFPSPLTSLSMMDLNFTARKPPHTSARMDIEHMPEAPHRSYHHRRSHSDTSFRFANFDDLLLFDSPDIDLSSALPSPSPSPSPTPSGARMAVDSFNSKSPEDASTTKPRAANGNSASFFNSHYRSLSMDSDFFEGLGMAGDGSDGEILGGRVTAGEKKIARHRHSNSMDGSLTSSFEVDSSKKAMAPDKLAELALMDPKRAKRILANRQSAARSKERKIRYTNELEKKVQMLQSEATSLSAQVTVLQRDTTGLTTENRELKLRLQAMEQQAHLRDALNETLREEVQRLKIAAAQLPVANGNSFNMGGGLPPQFPPLQTSFLQFGNSQNHQQPQLLHMSQPDARGGSPPSQLPGA >KGN48797 pep chromosome:ASM407v2:6:25144148:25146194:-1 gene:Csa_6G501860 transcript:KGN48797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLTQHLNCFSKLSTLTWWNSSIRGAVNQGNASKALALFHQLKLNGLQPNNFTFPFLSKACAKLSHLTNSQIIHTHVVKSPFYSDIYVQTAMVDMYVKCGKVDDAYNLFDKMPVRNIASWNAMIIGFSQIGSLDRVFNLFMGMRLVGTRPDAATVIGLTRAVISAKSLRFLKAVHAIGIETGLDADTSVSNTWIAAYSKCGELQLAKMVFHGIQKTARSSVSWNSLIACYAHFGKYVDAVKSYKGLLCDGFKPDASTIISLLSSCQQPEALIYGFLIHGHGFQLGCDSDISLINTLISMYSRCGDISSATILFDGMSIRTCVSWTAMISGYSEVGRVDDALVLFNAMEETGEKPDIVTVLSLISGCGKTGALGLGHWIDNYASLHELKKDVVVCNALIDMYAKCGSLNDAREIFYSLPNRTVVSWTAMIAACALNGEFREALDLFSLLSESGIEPNNITFLAVLQACCHGGYLEKGRECFMMMTERYGINPGLDHYSCMIDLLGRKGKLIEALEVIQDMPMKPDEGIWGALLGACKIHNNMEIGEYVSRYLFELQPRVAVSFVEMANIYASVGRWDEVAAMRKTMRSNQMRKSPGKSVVQVNGMSHVFFVEDRSHHDSLLIYEALGNLAMQMKQKEFSSHAQRWVELDTI >KGN46050 pep chromosome:ASM407v2:6:3728902:3730412:1 gene:Csa_6G046280 transcript:KGN46050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVFAAMGNLYLLPFYSLEDPIAISLPEDMYDKMRMEVEKWKKRTIWVVFNSNFRKRVVDSFTNRVDMEFWVIGIYGFSTAFKLARRKVVVAIWLAFYWLVGDRLTASCS >KGN46005 pep chromosome:ASM407v2:6:3287239:3297346:1 gene:Csa_6G042390 transcript:KGN46005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQSSKKKRRGGGRDGKRSKGRTPLTDYSFSGEESDLITEEMTALCAIFQEDCKVVTGPSPQVTIKLKPYSNDMGFEDRDVSALFSVKYLPGYPYKCPKLLITPERGLAKGDTEKLLSLLHEQANYNARDGRIMIFNLAEAAQEFLSEIVTIGESNESAVRSHTASTSQLLPEKTTSNEKKGPYVYGYIDLFSGSGELWSWSFDMDEKLNSLAQPLVADSLKLGAVQEKKLDKVQNLLARQNSKRGELLSPSSNLGTLEEETEGDSQSKSSSNSRRSLIVQRNEDGNEGEMQVSTIGHGYYT >KGN47993 pep chromosome:ASM407v2:6:19605394:19608334:1 gene:Csa_6G423350 transcript:KGN47993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDRPANPGLLVESQGDEMDMSEAVIVKSSRLKSVVWNDFDRIKKGDTFVAVCRHCKKKLSGSSTSGTSHLRNHLIRCQRRSNHGISQFITSREKKKEATLAITNYVDQGQKKDDVLNLVNIRFDPEQVKEETNNTLSYNFDQRRSRFDLARMIILHGYPLAMVEHVGFRVFVKNLHPLFELVTCNRVETDCLEIYVKEKQKVNELLDKLPGKISLSADMWSATDHLESSYLCLTAHYIDESWQLNKKVLNFMFVDPSHTEDLHAETIMSCLMDWDIDRKLFSMTFDCSSTSDYISLQIRDRLSQNRFLYCNGQLFDVSCAIDLLNLMAQDALEALCNILPKIRESIQHIKQSREGQAKFNEIAEQVKVQSQKLLSLDNPSMWNSTYVMLGAAIEYREAFSLLRENDLGYTACPTEEEWGRTCTITGYLKFLFEVTNVLTKANFSTANIFFPELCDLHLQLMEWSKNSDDYIKSLAFKMRTKFEEYWDKSGLALAVSAMLDPRFKMKLVEYYYPQIYGVVALQRIDDVLNCVKALYNEHSICSPLASIDQGLAWQVGSSSGSLPGSGKDARDRLMGFDKFLHESSQSEGSKSDLDKYLEEPLFPRNVDFNVLNWWKVHTPRYPILSMMARNVLGIPMSKVAPDSAFKTGRKVLDRDWSSLKPATIEALVCSQDWIRSELES >KGN45645 pep chromosome:ASM407v2:6:292794:293141:-1 gene:Csa_6G002880 transcript:KGN45645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTLQILGLGELRSSIFSLLAVSNSATLRCFPSSVSLAVSYSDESHSFLVSFQMFDPFFTIFSRGDGDYNFDIDLQELSRLILAEEDLFLTILVPSAFSSASLISSTSTFGFRKI >KGN46495 pep chromosome:ASM407v2:6:6780115:6782233:1 gene:Csa_6G103540 transcript:KGN46495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSPSTPFTKPHFPHSPLPPTSTTRHSNSCTQFICKSLFFCIFLLLLPLFPSEAPEFVNQTFLTKFWELFHLMFIGIAVSYGLFSRRNVQVSVDSDEPRFSNFENPQSYLSKMFHVASIFEDVDDFSVSDERKLSEVLYIQPNLGSVSGLNAISRQQENFHYSIPKKRYENSLEFAETDNVGHACKSRYTRGGSVVVVAETNRSNSGEWLESGAIVNYKPLGLPVRSLKSSLTEPDDVEFDCGDESCLSSKSSSKNSESNCERTSEFGDNCCVNLEEKFDETVIASMSPFQLREKFEKNMMRERRVKNAVLRPSHFRPSSIDETQFESLKKSTSLHSNLSQSSQTSSLSSPLSSRTRKHRKMSSLGNISYKSSHSRQYSLSSLSENSRGSSEDPLIDPENSSECNESVVSSPRLDRNFANTPKALSRGKSVRTVRASTSAIEEMKAQEMYRNQVEHDDNVENKFEGGMSPYMREDETGHGWPGINNLNAAYSNRYSKTTATTTFSGIEEQKEDTESQVTDDGKDNSEREDDSFFESSDEEAALSMTGDSESGAHEVDKKAGEFIAKFREQIQLQRMASVDKRLRGGWGSFSSTTSSYFS >KGN48850 pep chromosome:ASM407v2:6:25421169:25424093:-1 gene:Csa_6G502860 transcript:KGN48850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLTASRIITQMPLSVAATLHPSFKTTSNRIFKLQSLKPTSSLHFPSSNLVFLRGFISKEALFRNRGRGMAELTRTSSSVRCGNGEEGFRSLETESFFDGSSEFRPVNAAGGLEAILNKLSKWLVAALFAGIILLRHDAEALWTAMGSVINAVLSIVLKRILNQERPIATLRSDPGMPSSHAQSIFFTVLFVALSAVEWLGVNAVSLSICGFSFIFGSYFSWLRVSQKLHTISQVLVGSVLGGFFGILWYLLWKAVVSEAFSANLWVQVVVVMGASGFCIGFVIYVFNNWFKDEK >KGN46473 pep chromosome:ASM407v2:6:6646973:6649358:-1 gene:Csa_6G095890 transcript:KGN46473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLATSLTFSSSSSPSPSLWILKHKSPSYCTFKQLHSFHSSFHFDYTKLVSLRHNHGERFPVLFTVLDNESALTEEAIVEGDVKSEGSLSNQEVKKLARPCELYVCNLPRSCDIAELVEMFKPYGTVLAAEVSRNPETGISKGCGYVTMGSINSAKVSITALDGSDVGGREMRVRFAVDMNSKKRNLNNLHSSPKKNIIYESPYKVYVGNLSWDVKPGDLRNLFSRFGTVVSAKVLNDRRAGKSRVYGFLSFSSAAERDASISLDGTEYNNRKLVVREGLERSES >KGN48391 pep chromosome:ASM407v2:6:22493724:22496529:-1 gene:Csa_6G485170 transcript:KGN48391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKKFYIVAIFTQIIYAGMSLSSKVAFATGMNTYVFLFYRQAAGSLILIPLTLLLKGKEKRPLSLKQLCHAFFISLIGITLTMNAYDVGIDYTSPTFGAAAINCIPISTFIFAVTFRMEKVNLKKASGIAKVGGMMICVGGAAILAFYKGPYLKPIISHPIFHFEESETDITTTSQKSWMLGCFILLVATMGWGIWYVFQAMFLKDYPHPVELMCTQTVMSVVQCFVVAIIVERDHSEWKLDWNVRLYAILYCGILVIGIANNAQCWVIKETGPVFPSMMMPINLVATIIGSQLFLAEGIYLGSVIGAILLVISLYSVLWGKSKELVDTPTNQDQPFSPDFLPQKESEEQDSRSQVDTL >KGN46182 pep chromosome:ASM407v2:6:4778166:4778770:-1 gene:Csa_6G067400 transcript:KGN46182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKKKTEEIKPLTAEFKVSMHCKACERTVAKAISKFKGVEKFMTDMGKHKVVVIGKFDPQKVMKKLRKKTGKAVEMVVDKGTTVKDAAVVKDLERTNPNDANQLMMLSCCKEIAQLLVLFSDENSNACYIM >KGN46295 pep chromosome:ASM407v2:6:5475691:5476296:-1 gene:Csa_6G080380 transcript:KGN46295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPHYSSVFILSVFFFSNFLIIQSSKTIKTADLIYKTCKKISREDPNVSFNFCLASLKLAANHSRCTDVRHLGLFSIGFLCRNVTSTYHHITKLVRNKKLDPFVKLCLDDCLELYTDAIPTVKQAMKDYKSKRYDDANVAISSVMDAATTCEDGFKERKGVASPLKKRDGDAFELGAIALSIMSLLG >KGN47215 pep chromosome:ASM407v2:6:12899697:12903517:1 gene:Csa_6G212860 transcript:KGN47215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKNTRAGSPSSNLHDCKSEFGYVETDPTCRYGRFEEVLGKGAMKTVYKAIDEFLGIEVAWSQVKLNEVLRSPEDLQRLYSEVHLLSTLKHESIMRFYTSWIDVDKKTFNFITELFTSGTLREYGKKYRRVDIRAIKSWARQILQGLIYLHEHDPPIIHRDLKCDNIFVNGHLGQVKIGDLGLAAILHGSRSAHSVIGTPEFMAPELYEENYNELVDVYSFGMCVLEMLTSEYPYSECSNPAQIYKKVTSGKLPAALYKIQDVDAQRFIKKCLVPVSMRASAKELLADSFLKVDGNRPSSVGRTQNQKPFLNAKEMENFHLSEGLSRTNMTITGKLNPEDDTLFLRVQTADKDGSLRNIYFPFDIVNDTALDVAMEMVKELEISDWEPFEIADMIEGEISALVPNWNRSELTNHSLGFSCAEEDDNVSHHTFRSISSSSQATTLGLISSPRTNQNISNGFSWFPDDTLDDSSSQCSSASGKYSNLNYISSDEYETSMSSVQTDQHNNINKIHNSSRFCPIENRKSKDFLAQLLYKQSQCAIAGSSQGVASGRKDKKGTDGRKLTRNRSLVDVHSQLLHRSLVEEVNRRRLFKTVGAVESIGFQAPCEVSSSKRVSSRQPIGNRSSDVARTRRNEDIRWQDVGRRT >KGN47717 pep chromosome:ASM407v2:6:17391474:17392298:1 gene:Csa_6G383540 transcript:KGN47717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEVLVPPHESTGLYTEAPETSERAPQHSIEVQVTCEFSQHFECLKLKLDHMELSLAAELMAINEMLTLLLKFYDRGQHTSFVAPPPDPPVDYPIGDLVGYYIDVLAPKADDQALDDVAGTSISEDLFEGIVSINISLSGEDNIQLFVHTILELKDEDHEEERGTIKAAQPNYRTCNKEEKKKRSKAIESSG >KGN48833 pep chromosome:ASM407v2:6:25353472:25355868:1 gene:Csa_6G502710 transcript:KGN48833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METRSEDEEIGTPNSPLCYNNPSSNRESSTKLPNADNPFLSAADRRRDHTVPQLHFSTHQKLTLHHIHKQHTRDHPEATPDPPIQPSISASPPSSAATPTPLPIAGTSSRLISRTPSFAGATDNNIPSSVIRYRECLKNHAASTGGHVLDGCGEFMPNGENGTFEAMKCAACECHRNFHRKEMKDDPPFQQALPSGFFISNSIRNNGHRTERTPVVPVSRHHQLPAVPISSMMMAFGGSNGAPDESSSEDLNMYHPSNNGARDLFGQQTQLIKKRFRTKFTQGQKDKMEEFAEKLGWKIQKHDELEVQQFCAEVGVRRQVFKVWMHNNKQAMKKKQM >KGN46731 pep chromosome:ASM407v2:6:8875081:8875916:1 gene:Csa_6G127430 transcript:KGN46731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFGRLIIVGLVSVMGLAMVCSCEARKFYVGGKDGWGLNPSESFNHWAERNRFQVNDTLYFKYKNETESVLVVSKEDYFSCNTKNPVISLNENNGESVFKFGHSGPFYFITGNADSCQKGQKLIVVVLALTHNKHHHNQTQPPHSSFPPVAPPSQSQSPTAESPEKSGTAPAPAKSSASGRGGVVFSLLGVGMINVVVLLV >KGN48774 pep chromosome:ASM407v2:6:25030417:25031560:-1 gene:Csa_6G500660 transcript:KGN48774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVGGTLEYLSDLVGNTHKHKKKKQLQTVELKVRMDCDGCELKVKNALSSLSGVKSVEINRKQQKVTVTGYVEASKILKKAKSTGKKAEIWPYVPYSLVSQPYIAQAYDKKAPPGYVRNVEQTATTASVTKYEDPYINMFSDDNPNACSVM >KGN45820 pep chromosome:ASM407v2:6:1487106:1487948:-1 gene:Csa_6G013920 transcript:KGN45820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAIQAWVSEHKLTTIGALWASGIGASLAYTRSRTPLKPSLRLIHARMHAQALTLAVLSGAAVYHYYDNKIGRDRSEAPPVSGGGK >KGN49434 pep chromosome:ASM407v2:6:28305005:28310364:1 gene:Csa_6G524710 transcript:KGN49434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKVKTEPPVARRLRLSHLLLVIGVLYLVFISFKFPRFLEIAATLSGDESNNGLDSNGVDSEGMDFSKASLSSVYKDTFHRKLEDNQHLEAPLTPKKEPLEEVNNVTGPIKPIKHKYGRITGNISSQLNHTNDFSMLETMADEAWTLGSMAWEEVDKFGLNETSESSILEGKPESCPSWISTDGKKLMEGDGLMFLPCGLAAGSSITIIGTPHLAHQEYVPQLLKVGGDPKVMVSQFMVELQGLKSVDGEDPPKILHLNPRLKGDWSKRPVIEHNTCYRMQWGTAQRCDGLPSSSEDEMLVDGNHRCEKWLRSDVTDSKESKTTSWFRRFIGREQKPEVTWPFPFMEGRLFILTLRAGVDGYHINVGGRHLTSFAYRPGFTLEDATGLAVKGDVDIHSTYATALPTSHPSFSPQRVLEMSEKWKSQPLPKSSVFLFIGVLSATNHFAERMAVRKTWMQSSAVMSSNVVVRFFVALNPRKEVNAVLKKEAAYFGDIVILPFMDRYELVVLKTIAICEFGVVNLTASYIMKCDDDTFVRVETVLKQIEGISSKKSLYMGNLNLLHRPLRHGKWAVTYEEWPEEVYPPYANGPGYIVSIDIAKYIVSQHENKSLRIFKMEDVSMGMWVEQFNSTVATVQYSHNWKFCQYGCMEDYFTAHYQSPRQILCLWDKLARGHAHCCNFR >KGN46057 pep chromosome:ASM407v2:6:3775036:3777289:-1 gene:Csa_6G046350 transcript:KGN46057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKKMMAASAVALRSGTRPSLMFTCRHSTSASSAVNSFLLRSLKEHYLEITRMAAPPKVSPPSSFSIIVGALDGNGPVLTKNYGKEEINISVMRLANIVRGGGGGDDDDEINQLFLHVTVSKLEQKDNLHFLCGLYPDALGIHSVSMRPKAESSGSGFLVVPSSYNGPTFEDLDEKMRDMFHNYIEERGVNESLFPFLQAWLYVKEHRNLLRWFRSVGTFIYEPKNGKKD >KGN47098 pep chromosome:ASM407v2:6:12113644:12114299:1 gene:Csa_6G187910 transcript:KGN47098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLPIAFSPAAGRVFAATAAKGAGESKKEKGLLDWIIGSLNKDQLLETDPVLQKVEGKDAASGNGTGSVRGGRKNSVQVPPKKNGGGFGGLFAKKD >KGN48653 pep chromosome:ASM407v2:6:24297508:24299830:-1 gene:Csa_6G497040 transcript:KGN48653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEEQPEFCSTPDLEHQANGISSKNEKSVSDGTDAAKKAKSGSQFLENGAPHNQHYTALLQRAHYPQHAEKPSSPTAPAAVNERLQLPQNAANLPHQLSQPPQPQQFVLSSQPFWVQPQPSISFGATEGSWQSPVAISAGASPICQPQAPNFYYPVGYPTYPGFPGSRDGSIWWGQTQPILFPGLSNYPRASCGFVSSQSWPMPIPSCVTSSSGQPLLRGVIKPPEKLSQKHQKLWEAQSAENVQLWSMIGELQGELAVYKGRLSKLEAEISCLRSAATNEPAVEVGNDDIILRGQPAKRGRSKRATAPVGSQPPLQPRTRVRKPAVARTKVEEAKQTLLGKDSLNKADDNKHKYFTSLDITKQDKNEDISASINQNNGIVEIDDDTLKMPVSLDTQVLEQCSEIHPCGIEFKPPSVLKSNYEGIISKDSEPNDFSIASPTIYTNGNVTRQGITRWNFKLEGGTAELGFPPAVVHKTGNEEMADEFSSGPEEIETQNGSSWC >KGN49477 pep chromosome:ASM407v2:6:28576583:28577614:-1 gene:Csa_6G525620 transcript:KGN49477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFKNFVLLITVFAAACSSIVMASDPSPLQDFCVADVNNPVKVNGFVCKNPADVTAEDFFKSGLHTPGDTNNPVGSNVTALNVGNLPGLNTLGISMVRIDYGRYGINAPHTHPRATEILVVIEGTLLVGFVSSNQDGNRLFSKVLNKGDVFVFPEGLVHFQQNVGRHNAVAIAGLSSQNPGVVTIANTVFGSKPDIPTGIIAKAFKTEAAIIAAIQAKFP >KGN47607 pep chromosome:ASM407v2:6:16472288:16473722:-1 gene:Csa_6G364112 transcript:KGN47607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQPAVIGFEVQILHFFVGLPTFSCGIPTPIVYWKTQISILLCDTTWLLKLQVPFRRHEKWQKGIPAQQSLLEEDVKKFHTMIAGFSEKIREMKILVEKEKELEVKRGGKDEERIASCERDIGDAEVSRNVWQEKTWDLDATMGNMFKELETMAMECNQAMRRLKFDNCHQYSLNAKESTPTDVMGIDYKTIIKPALNSFAKNSSTMELEGLISLRQQSSESSAKIESRCNHIASLQSHTDEIKRITERYWHLIQDVSVVLLSACS >KGN47642 pep chromosome:ASM407v2:6:16676028:16682275:-1 gene:Csa_6G366400 transcript:KGN47642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLGVQICSAQISRSSFPSGFVFGTASSAFQYEGAVKDDGRGPTIWDTFSHTFGKVLDFSNADVTVDQYHRYPEDIKLMKDMGMDAYRFSIAWSRIFPNGNGEVNDAGVAHYNNFINALLANGIEPYVTLYHWDLPQALEDKYTGWLSPQIINDFAVFAETCFQKFGDRVKHWITFNEPHTFATQGYDLGLQAPGRCSILGHITCRDGNSATEPYIVGHNLLLSHATVSDIYRRKYKRIQKGVIGMSLDVIWFEPGSNSTEDIDAAKRAQDFQLGWFLNPLIFGDYPTSMRSRVGGRLPTFSPSQAALVKGSQDFVGINHYTTFYAYHNRSNIIGATLNDTIADSGALTVPFKGLKTIAERANSIWLYIVPRGMRSLMNYIKNNYGNPLVIITENGMDDPNDPFKPIKEALKDEKRIRYHNGYLTNLLASIKEDGCNVKGYFVWSLLDNWEWAAGFSSRFGLYFVDYKDKLKRYPKDSVQWFKNFLAS >KGN46945 pep chromosome:ASM407v2:6:10764815:10769887:-1 gene:Csa_6G152310 transcript:KGN46945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEECGSTAIGFLENKTILITGATGFLAKILLEKILRIQPNVKKLYLLLRATDETTAMQRFCNEVMGKDLFKVLKEKWGANLDSFISEKVSVVSSDISVSDMGLKDSILVEEIKHNVQIIINLAATTNFNERYDVALGTNTLGAKHVVDFAKQCPNFKLLVHVSTAYISGEKEGFILETPHKLGESLNGTEGLNIEIEQKIIEQRLKQLKDNGASEKDVTIAMKDLGIQRANQYGWPNTYVFTKAMGEMLINDLKHNLPLIIIRPTIITSTYKEPFSGWIEGTRTIDSLIVGYAKGKLTFIPCDTNSIIDVIPADMVVNTIIATMVMHKLQYRQTIIYHVGSSTRNPMKIDDLQRFICKYFTEKPWINGDGNAIKVKKITVFNNLASFHTYITIRYSFFLKVMEWVNKASFHSFEDKYIDLERKLNWVMRQLQFYRPYLFFKARFDDTNLEKLGRATHENETNRDTLFFDPKDINWENYFLNVHIPGLVKYVLK >KGN48288 pep chromosome:ASM407v2:6:21734395:21735754:-1 gene:Csa_6G454360 transcript:KGN48288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQSTISSKRLNGKVALITGAASGFGKSTARLFVQHGARVVLADVQDNLAQPLCKELGSEETVSYIHCDVTRDSDVKEAVDFAVERYGKLDIMYNNAGITGQMDPTILGTNGENFKKVFEVNVYGGFLGAKHAARVMIPNRSGVILFTSSVASVNSGESPHAYAMSKHAVVGLMRNLCVELGEFGIRVNSVSPGAIATPLLRNALGFTEKELEEVVRSSAILKGVVATAEDVAEAALYLCSDESRVISGHNLVVDGGYSTANRSFSAAVITKLSSDFQG >KGN49189 pep chromosome:ASM407v2:6:27003713:27008842:1 gene:Csa_6G516970 transcript:KGN49189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRYDRNPFDEEEEVNPFANPGSVPPATNSRLSPLPPEPVDFNYGRGATVDIPLDSNSEGSNYKDLKKKEKELQAKEADLRRREQEVKRREDAANRAGIVLEEKNWPPFFPIIHHDIANDIPIHLQRLQYVAFTTLLGLTLCLLWNIVAVTTAWIKGEGVKIWFLAVIYFIAGVPGGYFLWYRPLYNASRSESAMKFGWFFMFYLLHIGFCIFAAVAPPIVFRGKSLTGILPAIDLIGGQVLVGIFYFIGFGLFCLESVLSIWVIQQVYMYFRGSGKAAQMKREAARGAVRAAI >KGN47872 pep chromosome:ASM407v2:6:18764393:18765646:-1 gene:Csa_6G408800 transcript:KGN47872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNANTNRRFKPSPSPQGSESDDSGIFNERILLLVFRTIKWDLRTLCITASLNRKLRAIAERLLWRELCFNRAPRMLAALTTGAPNARVGGGWNALAKLMFFCCGCQSSRHFKVAQPLPGHFVHESRFSKTSGQSFLTKKCRGDLLYVSDPCEHSNGRDEDNLGIYRGIFRGFMRSKTRAFLIKREVRLEETTRCPYCGARVWSMTTARLVPKSVARRLGTHDGGLEYFVCVNGHLHGSCWLVPLSSEEDDGGNSKDVDDEEEEEEEEEEVECYGEVGEMGFDDRTVTDESRSSRGGGPVPTGQIRTKLKVGME >KGN46562 pep chromosome:ASM407v2:6:7303911:7307440:-1 gene:Csa_6G108600 transcript:KGN46562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSLSLPSLPFIFPSSITSHLSHLPKISCSSISFQSQSAKRQLLTLISDQQRGLKTQKNPQKLASIVKSIDHLASLGRNSVTTDDSLSATWRLLWTTEKEQLFIIEKAHLFGTRAGDVLQVIDVEKKSLNNVITFPPDGVFFVRSNIEVASSQRVNFRFTSAVLRGKNWEIPLPPFGQGWFDTVYLDDEIRVVKDIRGDYLIVERAPYSWTE >KGN47229 pep chromosome:ASM407v2:6:13019128:13020249:1 gene:Csa_6G217460 transcript:KGN47229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFIFQIGVKRHPMVIVKSGKKALPVGILSFILPNALTRLTSFVLKNFLSLDKEMSSVLPHVVMLLSMTSFPVVACFLDEFEILNSDIGRLTCSSSMVCEICFWSVVSFSYVVTSFEEMSPETSLGFFLSNGLLMSLIVFGIRPGALWVVQNSPEGKPVKEIYIYAVFVALLICGLLDGPPLGAALTDMLDCFVSVLLMPIFFIACGLRMNVFSIQNLENDAFRDALTLGLIMNCKGIELVILISWKVQNVMNDESFTIMIYFTSYQGHI >KGN47326 pep chromosome:ASM407v2:6:14262055:14265977:1 gene:Csa_6G298480 transcript:KGN47326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSYPTVSHEYQTAITKARRKIRALVAEKHCSPLMLRLAWHSAGTFDVKTRTGGPFGTMKNAAELAHEANRGLDIAVKLLEPIKEQVPILSYGDFYQLAGVVAIEVTGGPEIPFHPGREDKPEPPPEGRLPDAAKGCDHLRDVFYSMGLSDQDIVALSGAHTLGKAHKDRSGFEGPWTKNHLIFDNSYFKEILSDDKPELLKLPSDKALLTDPVFRPLVERYAADEDAFFADYAEAHLKLSELGFADA >KGN46366 pep chromosome:ASM407v2:6:5934021:5936505:1 gene:Csa_6G087940 transcript:KGN46366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQRHSKNNNDLAFFTYDEKRKLGYGTQKERLGKDSIKPFDVCCLCLKPFIDPMCCQKGHTFCKQCILECLLAQKKDNQRKLAAYTAQQKQEKEEAEEKLMQQKARELDAFDQQNHGAVPQYNDRNQNRDKNGFHGANSVKVTSYEEEALRTMKAFWLPSATPEAPVKAGAPSSSTFCPEGNEKLKLKSLFPIHFTEDNSERKKSKSYDVTYICPSCKVTLTNTMSLVALSTCGHVFCKKCADKFMAVDKVCLVCNKGCKVRDLVNLEKGGTGFAGHGDSLEARDFKHLGSGSGLGLVRPAMKT >KGN48955 pep chromosome:ASM407v2:6:25979175:25979492:1 gene:Csa_6G507285 transcript:KGN48955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSCPCKSISISLGESSGSNALSRLSISWYSNGYSSLCSGTSWSMSFFRNLRIFFFIHGIGANKSKDMPKKVLVIRKATDERHDLERNDELMYSSILLQSSLSK >KGN46846 pep chromosome:ASM407v2:6:10110491:10114304:-1 gene:Csa_6G146420 transcript:KGN46846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYGSSRSVRFQDDLESSALPTINGGGVTKIIYNIDGTQIPESSGKRTAASRKSGRSLRAKVLSRVFSEDYERVQRKILDPRGQVIRRWNKIFLVACLVSLFVDPLFFYLPAVRDKVCIDIGVGLEVVLTTIRSIADVFYTIQIFIKFRTAYVAPSSRVFGRGELVIDPCKIAIRYLRHGFWIDLIAAVPVPQVLIWIVIPNLSGSTMTNTKNFLRFFLIFQYLPRLFLIFPLSTQIVKATGLVTQTAWAGAAYNLILYMLASHVLGACWYLLSIERQEACWRRFCYFDKSCKDGFFDCHKVDDPQRDSWFNTSNIKSSCNPNGSFYQFGIYGDAITFHVTTSPFFNKYFYCLWWGLKNLSSLGQNLATSTFVGEIIFAIIVATLGLVLFALLIGNMQKYLQSTTVRLEEWRIRRTDTEQWMHHRQLPPELRQSVRRYDQYKWVATRGVDEEVLLRSLPLDLRRDIKRHLCLDLVRRVPLFDQMDERMLDAICERLKPALSTEGTFLVREGDPVNEMLFIIRGHLDSYTTNGGRTGFFNSCRIGPGDFCGEELLTWALDPRPSVVLPSSTRTVKAISEVEAFALIAEDLKFVSSQFRRLHSKQLRHKFRFYSHQWRTWAACFVQAAWRRYKRRKERAELRAKESYPTTKVESPLSPPTTSNLDSRSGNARRALNKRCVSDAGVVSSLQKPEEPDFSIVEE >KGN45915 pep chromosome:ASM407v2:6:2227486:2228210:1 gene:Csa_6G022270 transcript:KGN45915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYSLEFGRRTSGRLGLLELKTVGAYSKEDVTDEDVGESGAIAFREEEDEEDDE >KGN48510 pep chromosome:ASM407v2:6:23315130:23316933:-1 gene:Csa_6G490250 transcript:KGN48510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNMGSSPSTRGIAAIVGVGPKLGRSIARKFAHEGYTVAILARDLGRLSRFADEIAREEKSQVFAIRIDCSDSRSVREAFEGVLSLGFVEVLIYNANQQVSRQPTRFTDVRIDSFERSLAVSSVGAFHCSQQVIPGMVERGRGTILFTGCSASLNGVAGFSDLCCGKFALRALSQCLARELQPVGIHVAHIIIDGLIGPPRTSSITSHRGGSSSSSSSSSSSSVGSHLQSGIGDQGTMDPDALAQTYWHLHVQDRTAWTQEIDLRPSNPRLF >KGN49344 pep chromosome:ASM407v2:6:27798741:27800773:-1 gene:Csa_6G520420 transcript:KGN49344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMAASGVLQTNQRPCSFFLALLLITTAFSSSNAQQQSQVPCYFIFGDSLVDNGNNNRLSSLARADYLPYGIDFPRGPTGRFSNGKTTVDVIAELLGFNGYIPPYSNTRGRDILRGVNYASAAAGIREETGQQLGGRISFSGQVRNHQNIVTQIVNILGDENTAADYLNKCIYSIGLGSNDYLNNYFMPQIYSSSRQYAPDQYAQILIQQYTQQLSILYDNGARKFVLFGVGQIGCSPNALASSPDGRSCNQRYNFANQLFNNRLKGLVDQLNRNQPDARFIYIDSYGIFQDIINSPSSFGFRVTNAGCCGIGRNNGQITCLPFQTPCANRREYLFWDAFHPTEAGNSIVGRRAYSAQRSSDAYPIDIRRLAQL >KGN48997 pep chromosome:ASM407v2:6:26150733:26151818:1 gene:Csa_6G509660 transcript:KGN48997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGFPAELLSRGLQLSFKHFGASELKTEFNLRNSDYPVHYEDSLHSSIDTSLQWELLIWRRRKCISET >KGN46204 pep chromosome:ASM407v2:6:4968972:4971544:-1 gene:Csa_6G074570 transcript:KGN46204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAMADGTAPPSLSDLLLSLDQATFMAKQLPSTSNPTHLLQIYNSLHQANLNLSLFLSTTHLPQSLPLPLPLPPPSINETSLSSATSAAFDPMQVGDDDDADAHQNSKGTIEMVEEKMKDCFIKNKRVKRQLSPSAAAMAEERRVHPHNRFTATPKGFDPHVERLRALDLISQFHA >KGN48890 pep chromosome:ASM407v2:6:25626820:25627692:-1 gene:Csa_6G504700 transcript:KGN48890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSERGSGVLLFLGIVLISIYLVEGKEVKSFKINESVGSTSNGWSDLNESLRGRNMTISGGKGGRNSSGEGHGGGGGGGGSDVGVRKKDTKHNKKHGKSGNGGGGGGGGGNGGGGGGGGAGGGGGGGGGGGNGKGYGWGGVVEDGVLGEEGAEGFVGFGDVAGAAAAVTVMEKHWVGEKVPQTKKKRSMEIYLRK >KGN47793 pep chromosome:ASM407v2:6:18112971:18115710:1 gene:Csa_6G403590 transcript:KGN47793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELFFSSPHALLIILTTSFLLLPSISSQACQKTCGDIPLRYPFGSGSGCGDSRFHPSITCNDHQQLIFTTHTGCYPISNIDYTNQVFYISDPTMSTCACNQPSKGFGLDWDAPFTFHGDTIFALLDCSSSSPVYSPTGMFNDRNNNNSSRVSLCDSSRGMPICGFLYGCKPIVSLNIPISGCCVYTPVNFGPSFEMDLEKLKCGSYSGFYSFNGRESDAESWKYGIAIKYKFAIDNVYPSWCSSCEQSGGVCGYSGPVDSFICNCPPGFNTTTNCFFGASFNGVSKLLPNQLPWICVIIFSGWLLVWSSSTF >KGN46895 pep chromosome:ASM407v2:6:10415454:10416076:-1 gene:Csa_6G149360 transcript:KGN46895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPQFCHRKLLLEASDYMNLPSPDRPLEVETTTQNSSSSHSFKFSQLFPPFNLKTAFILLILLLLFFLFTIFSIYIRRFAERRYPFPPPPLLRPPHTASSSSANGVDRAVVRSLPVFAYRCDDKLQVDCPICLSEFEAEEKVAVLTRVLPRLPVDGIERDGVRRKMGGGGDTWLKEDKLCWERFLGKKR >KGN48974 pep chromosome:ASM407v2:6:26061690:26062975:-1 gene:Csa_6G507470 transcript:KGN48974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTFSQTPWSRYRARCISLPARSHPSTIRVEEELAKLRSSDPSSFSSIEAICSSLSGLVELYRCINEVLNLPLAQQALASHRREIWVQELVDDSVRFLDICDNTRDTVLLIKESIRELQSAIRRSKYEDSGIENSIAAYICLRKRIKHESLKKSLASLRQMDCTTGASPPLQLENEVTAAVIRVLREASSVTSSIFNSLLSFLAVPVQWRSKPSRWTLVSRLVQKGAISRNNQTERMNELENLEIAMEELRFGSSSRDTEAKEKIEGIVRERLMGLDSSIERIERGTEGLFRELIHTRVSLLNIITE >KGN47524 pep chromosome:ASM407v2:6:15892884:15897933:-1 gene:Csa_6G355430 transcript:KGN47524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGAGAGGPSRELDQTPTWAVAAVCAVIILISIILEKVLHMVGEIFQKRKKKALYEALEKVKGELMVLGFISLLLTFGQNYIAKVCIPSKYENTMLPCPFRGSSTTLPKSSHHAEPDDDEETSDHHRRLLWYEHRRLGGGGSVEGCKPGYTQLISLNGLHQIHIFIFFLAVLHVVFSAITMTLGRLKIRAWKVWERQTEQEHDAMNDPTRFRLTHETSFVRDHSSFWTKTPLSFYFVCFWRQFFRSVSRPDYLSLRHGFVTVHLAPGSKFDFQKYIKRSLEDDFKVVVGISPLLWASMVLFLLLNVNGWQVMFWVSIFPLVVILAVGTKLQGIITQMALEIKERHAVVQGIPLVQVSDRHFWFSWPILVLYLIHYVLFQNAFEITYFFWIWYEFGLRSCFHDNFDLIIARVGLGVGVQILCSYITLPLYALVTQMGSTMKKSIFDEQTSKALKQWHRSALKKKNEGGKPEPTPMRTLGGAVVVGGSPPESPIQQPLHDQFQHQTMTQSSPTDVEASAVPSVNIMTTVDLHQQQQNYSNRDLLR >KGN47676 pep chromosome:ASM407v2:6:16891553:16891847:1 gene:Csa_6G373200 transcript:KGN47676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDVTAMAEQQSFRRTEAVNGERSRRGGALDGRICLLPNTSEFQWDASADLSFGPTQNNRFGLHIGPVFWIHEARFSS >KGN48267 pep chromosome:ASM407v2:6:21609948:21615989:-1 gene:Csa_6G452680 transcript:KGN48267 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal recognition particle protein MEGLQISTVASRHLTSNSQSHCASRGLCHGSNCARKTSSISSSWLGSSNLKSLSSRNLFTREIWGWLHAKTVNTRRDMRGVVRAEMFGQLTSGLEAAWNKLKGEEVLSKENIVEPMRDIRRALLEADVSLPVVRRFVQAVSDQAVGVGVIRGVRPDQQLVKIVHDELVKLMGGEVSELVFSKSGPTVILLAGLQGVGKTTVCAKLANYLKKQGKSCMLVAGDVYRPAAIDQLVILGEQVGVPVYTAGTEVKPSDIAKQGLQEARKNKVDVVIVDTAGRLQIDRAMMDELKEVKRVINPTEVLLVVDAMTGQEAAALVTTFNIEIGITGAILTKLDGDSRGGAALSVKEVSGKPIKLVGRGERMDDLEPFYPDRMAGRILGMGDVLSFVEKAQEVMRQEDAEELQKKILSAKFDFNDFLKQTRAVARMGSMTRVIGMIPGMGKVTPAQVREAEKSLKIMESMIEAMTPEEREKPELLAESPARRKRVAQDSGKTEQQVSQLVAQLFQMRVRMKNLMGVMEGGSIPALNNLEEALKAEQKAPPGTARRKRRSESRKQFANSETRSSPRGFGSGN >KGN45880 pep chromosome:ASM407v2:6:1949739:1950921:-1 gene:Csa_6G016970 transcript:KGN45880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALHQTTASLQAKLLPTARISRTTPVNLSFSATFPSRGLRLVQHRSHGGARMSATAAGSYAAALAEVAASNNTLDATSSDVEKIESVFADQRVFDFFANPTISVEKKQAVVDEMASSSSLQPHSANFLKILVDAKRIDILKEIVTEFELVYNKITNTELAVVSSVVQLEQQHLAQIAKQVQKLSGAKNVRIKTQIDPSLVAGFTVRFGNSGSKLIDLSVKKQLEEIAAQLDLGNIQLAV >KGN46016 pep chromosome:ASM407v2:6:3420419:3423039:1 gene:Csa_6G042990 transcript:KGN46016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVIEPGEAAVTTEAGDAHEVGDSGGGGSGNGSNSGEEEKGSSLLLFEDGEKNFGGNRWPRQETLALLKIRSDMDTIFRDATHKAPLWDEVSRKLGELGFNRTPKKCKEKFENVYKYHKRTKDVRSGKSDNSKKVYRFSDELEAFDHPSSHHQNHMLLQSHHHHHPLPPTPTPPPPQVLPSTTPPPSYNPPATKTISSTVPSTMNNTTTNNTLPPKSSNNPLSNLPNMAANVIFSSSTSSSTASEEDPFQSSRRRRKKRKWSDFFLRLTKEVIEKQEGLQLKFLEALERIENQRKLRDEAWRMKEMTRVNQEHEVLVQEMSMAAAKDAAVVAFLQKIAPFSSPPVPVPPPPTTTQPQNSENNGKLSSTIGSHVISMTTTNGKVMSSIIVGSPSRWPKGEVEALIRLRTEMEMKYQENGPKGLLWEEISSAMRGLGYNRSSKRCKEKWENINKYFKKVKYSNKKRPEDSKTCPYFHQLDALYREKEKSNMNFDINSQMEPLMVEPEQQWPPAFQPNSTQVMGNNLQRISGEANQEEEEEDDHGDDDDDVEEEDIGGSSSTDVED >KGN46268 pep chromosome:ASM407v2:6:5321593:5323043:1 gene:Csa_6G078630 transcript:KGN46268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPIFSLVIVIIFLISTAVVSAATGPDYGFTVELIHRDSPKSPMYNPLENHYHRVADTLRRSISHNTGLVTNTVEAPIYNNRGEYLMKLSVGTPPFPIIAVADTGSDIIWTQCEPCTNCYQQDLPMFNPSKSTTYRKVSCSSPVCSFTGEDNSCSFKPDCTYSISYGDNSHSQGDFAVDTLTMGSTSGRVVAFPRTAIGCGHDNAGSFDANVSGIVGLGLGPASLIKQMGSAVGGKFSYCLTPIGNDDGGSNKLNFGSNANVSGSGAVSTPIYISDKFKSFYSLKLKAVSVGRNNTFYSTANSILGGKANIIIDSGTTLTLLPVDLYHNFAKAISNSINLQRTDDPNQFLEYCFETTTDDYKVPFIAMHFEGANLRLQRENVLIRVSDNVICLAFAGAQDNDISIYGNIAQINFLVGYDVTNMSLSFKPMNCVAM >KGN46613 pep chromosome:ASM407v2:6:7804640:7811521:1 gene:Csa_6G113500 transcript:KGN46613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATLASHSCYCRETKLNEGKGKQAYDLCFSRSISPHTFNKIEKSTWSPPSSQHFRLQNEMHQNTSPPRFNTMGRTVKMVPINEIVKKRTVSANKVENINGKKQVINGASIVKRSPSPPLVKGTNVADSKKLPPIEDLKVLPSDEGFSWANENYNSVQRSIDVWSFVISLRVRVFLETTKWTYAGAFSEDKQKKRRLKTASWLRERVLQLGPTFIKLGQLSSTRSDLFPREYVDELAKLQDKVPAFSPKKARAFIESELGVPIDTLFREFEDRPIAAASLGQVHRGILHNGERVVIKVQRPGLKKLFDIDLRNLKLIAEYFQNSETFGGPSRDWIGIYEECATILYQEIDYINEGKNADRFRRDFRNTKWVRVPLVFWDYTALKVLTLEYVPGVKINQLNVLDSRGFSRSRISSRAIEAYLIQILKTGFFHADPHPGNLAIDVDEAIIYYDFGMMGEIKSFTRERLLDLFYAVYEKDAKKVMQRLIDLEALQPTGDLSSVRRSIQFFLDNLLSQSPDQQQTLAAIGEDLFAIAQDQPFRFPSTFTFVLRAFSTLEGIGYTLDPDFSFVKIAAPYAQELLDLKQKERSGTQLVQEIRKQANDARTSTISMPYRVQKIEEFVQQLESGDLKLRVRVLESERAARKATILQMATMYTVMGGTLLNLGITLSAQGNQAVASGSFVGAGVFMTLVLRCMQRVKKLDKFEKMI >KGN46621 pep chromosome:ASM407v2:6:7875577:7876002:-1 gene:Csa_6G113580 transcript:KGN46621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACKAYIEQEGCVVFHSMKMNSSQPEKENLYEQDLGNEKPQLRKRRRETSSQNPSTIDLSVHYNVASKPKKETPTSSFEKSKDEYELIKSPVLPFSILGHTKTSFHFFSTLSHSFPFSENTFIYIKCKLAKPTIEENNVVI >KGN48366 pep chromosome:ASM407v2:6:22291228:22295276:-1 gene:Csa_6G483465 transcript:KGN48366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFPIFILFAPIFSFNHILGLHRSLFSAGSSLLSLSSRGRLMERLARVFNNNLWQWLSVPLQEQLGISKNSWN >KGN48531 pep chromosome:ASM407v2:6:23482318:23483782:1 gene:Csa_6G490950 transcript:KGN48531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWVRGDEIGYGSFATINLATSCSGDRFPPLMAVKSSGLVCSASLKNEKQVLDLLGDDCPQIIRCFGDSCSVENGEELYNLFLEYASGGSLADRIQSRGGRLPEFEVRRYTRTILEGLRYIHGKGFVHCDVKPRNILVFGDGDAKIADFGLSKKAGKNRVGTGEETGKFQLRGSPLYMSPESVNDNEYESPCDIWAVGCAVVEMLTGKPAWNCRPESNVFALLIKIGIGEELPEIPKDLSEEGKDFLKKCLVKDPLKRWTADMLLKHPFVAESGRCVPLAGVEEVSTSPRCPFDFEDWASIHSQESDPRNEEEANCWLNNWSCSPRERLLELVGNGAVEWSVTDNWVRVR >KGN46941 pep chromosome:ASM407v2:6:10736175:10740173:1 gene:Csa_6G151780 transcript:KGN46941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAITHRTFLNLTAFKSFTAETRRRYERTKLGTKSSVKCSQTLEGSAQTVTTVSNGKDSLEICRVLNGMWQTSGGWGRIDRDDAVEAMLRYADAGLTTFDMADHYGPAEDLYGIFINRIRRERPELLESVRGLTKWVPPPVKMTSSYVRDSINISRKRMDVSSLDMLQFHWWDYSNPGYLDALKHLTDLKEEGKIKTVALTNFDTERLQIILENDIPVVSNQVQHSIVDMRPQQKMAELCKLTGVKLITYGTVMGGLLSEKFLDTNLMIPFAGPPLNTPSLQKYKRMVDAWGGWSLFQVLLQTLKRVASKHGVSIPAVAVKYILDQPAVAGSMIGVRLGLSEHLQDTNAIFSLVLDEEDVNSIQEVSKKGKDLLRIIGDCGDEYRRA >KGN47222 pep chromosome:ASM407v2:6:12951789:12953039:-1 gene:Csa_6G216410 transcript:KGN47222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTGSSSAQLSTNFYYKTCPKLLNTVRAGIHSAVAKEARMGASLLRLHFHDCFVNGCDGSILLEDTPTFTGEQTAAPNNRSVRGFDVIESIKKNVEKICPGVVSCADILTLSARDSVVVLGGPSWKVKLGRRDSKTASFSDVTGAIPPPTSTLDTLINRFNTKGLSPRDLVALSGAHTIGQARCLFFKNRIYNETNIDESFAEERQRTCPTNGGDDNRAPLDFRTPKLFDNYYYKNLLEKKALLRSDQVLHDGGSTDSLVELYSDDSDTFEHDFVTAMIKMGDIQPLTGSQGEIRKICSRPN >KGN46207 pep chromosome:ASM407v2:6:4979782:4981351:-1 gene:Csa_6G074600 transcript:KGN46207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILSFLEYFLEIVLCPSLKEYWWVSQTGLAMVVVGEIVRKLAIITAGRCFTHLIKIYHEDHHNLVTHGVYSFVRHPGYSGFLVWAVGTQIMLCNPISTIAFAVVVWHFFAERIPYEEYFLRQFFGHEYEEYANRILLYDSSYKDYRQKQLSGVDEGRGNLDEESWENIIGVLNVGIVVNDEGIAALGTDCMQRLAFFDNIDVVAGPGFCRGHHYDQRHHSYENREVGSHGRHQRYISSSQLPKSFFIRILGMFPHIHLNFHST >KGN47813 pep chromosome:ASM407v2:6:18240202:18245833:1 gene:Csa_6G404280 transcript:KGN47813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSHAASRSNLLKPLASAFSFSSSSSLRRSISSASDDSATLTVETSVPFTAHNCDEPSRSVETTPKELLRFFRDMALMRRMEIAADSLYKAKLIRGFCHLYDGQEAVAVGMEAAITKKDAIITAYRDHCTFLGRGGTLLEVFSELMGRQAGCSRGKGGSMHFYKKDAGFYGGHGIVGAQVPLGCGVAFAQKYSKDETVTFALYGDGAANQGQLFEALNISALWDLPVILVCENNHYGMGTAEWRAAKSPSYYKRGDYVPGLKVDGMDALAVKQACKFAKEHALKNGPLILEMDTYRYHGHSMSDPGSTYRTRDEISGVRQERDPIERIRKLVLSYDLATEKELKDVEKEVRREVDEAIAQAKENPLPDPAELFTNVYVKGFGTESYGADRKEVRAVLP >KGN49523 pep chromosome:ASM407v2:6:28883361:28894330:-1 gene:Csa_6G527060 transcript:KGN49523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTHSAHLTATNRSRSSQSPSPSHSASASATSSIHKRKLASEDHVPPFPPSFSAETRDGALTSNDDLESISARGGGADSDSDDDSDDAVVEDDEDDFDNDSSMRNFTTARLENSGPGGSASASASASAARTTKIKPNATVKIENADINKDGGTVGGTNAAGSAAGTTGNSVSGIVVKEDTSKIFADSVQTSGAYISREENLKREEEAALLKFVCLSNDGVDEHMVWLIGLKNIFARQLPNMPKEYIVRLVMDRNHKSVMVIRRNQVVGGITYRPYVSQKFGEIAFCAITADEQVKGYGTRLMNHLKQHARDVDGLTHFLTYADNNAVGYFTKQGFTKEIHLEKDRWQGYIKDYDGGILMECKIDPKLPYTDLSSMIRRQRQAIDEKIRELSNCHIVYPGLDLQKKEAGVPKRPIKVEEIPGLKEAGWTPDQWGFSRYRALNSADGISNQKHLAAFMRSLLKSMYDHVDAWPFKEPVDSRDVPDYYEIIKDPVDLKTMSKRVESEQYYITFEMFVADVRRMFVNARTYNAPETIYYKCATRLESHFQSRLQSGLQSANKIQP >KGN48290 pep chromosome:ASM407v2:6:21768374:21769438:1 gene:Csa_6G454380 transcript:KGN48290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKLKKLPNFLNKSPWLWRSCTQSRTLSFRHPNNIFRTINSAYDDEEDQDYYDYDYDEDDEEETEGDDMSNDEDQIEALVRGLRVRQGKRLFLELDETNSIMTTTVAVATVVGGNYQVPFKESVAMAMESKDPYLDFKKSMEEMVEAHELKNWKGMERLLSWYLKANGKANHEFIIGAFVDLLVDLAFSASSNFSNNSSSSPSSTTTTSSLLCSSTSTFPNSSSCSSCSSFRAPNSIISSNSVETAEEIVELECSCSSSSIRVAPPCLSSLFEDDEEEDIEEGF >KGN48883 pep chromosome:ASM407v2:6:25582475:25584812:1 gene:Csa_6G504630 transcript:KGN48883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAIWQSIALLLLLSLANLTHGGITSRFMRKLEASLDMPAEAFPPPSGHNAPEQVHITQGDRNGKGVIISWLTPLSPKPNVVRYWAADSDEEHDHKVRSRITTYKYYNYTSGYIHHATINDLQYDTKYFYEIGSGDATRRFFFTTPPMVGPDVPYIFGIIGDLGQTYDSNQTFEHYYSNSKGQAVLFVGDLSYADNHPFHDNRKWDTWGRFVEKSTAYQPWIWTAGNHEMDFAPEIGENTPFKPFTHRYHVPYKTAQSSSPLWYSIKRASAYIIVLSSYSAYGTYTPQYQWLQNEFTKVNREETPWLIVMVHSPWYNSYNYHYMEGESMRVMFESWFVENKVDLVLSGHVHAYERSERVSNVRYNITNRLSSPIRDINAPMYITIGDGGNIEGLANQFTEPQPNYSAFREASFGHALLEIKNRTHAYYTWHRNHDNEPVAADSLWIYNRFWYPEDESSQ >KGN46060 pep chromosome:ASM407v2:6:3798382:3800516:1 gene:Csa_6G046380 transcript:KGN46060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWFGKTSSKVVESKSEMESHGIIFETIKFHRDRKEYELLIHLPGCAKDLIKLTYERSSGVLKLQGKQKIDFVHRFPIPIDCLATGIYGRFRGEVLHIIMPTSRQEGDQPSLCDRKSTEQVGEDRENLPASVPESLPSHQKSTDELTGGGGKDRGKSPASVLEPSSSDQKSTEKLTERGKDHDELSARVLSSLAQSDQETAEKLRQRDNELFPEISERQPSVRKPSTTVKELTENYQKNISKTSSAPSTPRTAAPAPWNRSRKEEGKDQVASSDQKNAERGDLQPENSVVQNEKKLRKGDSRCVQITLDEQREIKPELSKSDHKDAKKDGNEGSAKMEKMLDASKAKEEAKKERIVDESKDKEEAKKERIVDESKDKEEAKKERIVDESKDKEEAKKERIVDESKDKEEAKKERIVDESKDKEEAKKERIVDESKAKEEAKKERIVDESKAKEEAKKERIVDESKAKEEAKKERIVDESKAKEEAKKERIVDESKAKEEAKKERIVDESKAKEEAKKERIVDESKAKDDKREKKKPSVKGKGTLDVVVARVKEMGKTALEAAKKEENRAMVIAGAAAVLALFVIGASLRKKPKK >KGN45651 pep chromosome:ASM407v2:6:329095:331860:-1 gene:Csa_6G003430 transcript:KGN45651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNSLSFFWNATPKLTPPTTLNDVHSPLIYYRNHNDKFRLCDCSRSRVSSSVQWIVKSGSCRGGHSVRCVNVIQGVHCDVDDEVYMRRSLEIARKAIGHTSPNPMVGCVIVKNGEIVGEGFHPKAGQPHAEVFALREAGSLAENATAYVTLEPCNHYGRTPPCTEALIKAKVKRVVVGMVDPNPIVASKGVQRLRDAGIDVTVSIEEDSCKKLNEAYIHQILTGKPLLTLRYTLSLNGCFLDQVGKGAAEAGGYYSQLLQEYNAVIISPPSSSEEFEIPSSNEHGAKQPLWIILSSPDGLITVPRITDPTTKVVIFTNKEVVVSEREIETVVLDQLNMNNILNYCQSQGLNSVMWDVRAKLGVHEELIKEGIEEKLLQKVVVEVLPQWSESQSETWFKSMAENLKLKCLEPRMCGPSVVLEANL >KGN47067 pep chromosome:ASM407v2:6:11905776:11910559:1 gene:Csa_6G183190 transcript:KGN47067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGILAVLGCSDDSQAKRVRVLELSRRLKHRGPDWSGLYQHKDCYLAHQRLAIIDPASGDQPLYNEDQSVVVTVNGEIYNHEELRKKLRNHKIRTGSDCDVISHLYEEYGENFVDMLDGMFSFVLLDTRDNSFVVARDAIGITSLYIGWGLDGSVWISSELKGLNDDCEHFETFPPGHLYSSKEAKFKRWYNPTWFSEDIPSTPYDPLVLRRAFENAVIKRLMTDVPFGVLLSGGLDSSLVASITARHLTGTRAAKHWGTQLHSFCVGLEGSPDLKAAREVADFLGTVHHEFHFTVQDGIDAIEDVIYHIETYDVTTIRASTPMYLMARKIKSLGVKMVISGEGADEIFGGYLYFHKAPNKEEFHRESCRKIKALHMYDCLRANKATSAWGLEVRVPFLDKEFINVAMAIDPEWKMIKRDQGRIEKWVLRRAFDDEQQPYLPKHVLYRQKEQFSDGVGYSWIDGLKAHAAQHVTDKMMLNAEHIFPQNTPTSKEAYYYRTIFERFFPQNSARLTVPGGPSIACSTAKAVEWDAAWSKNLDPSGRAALGVHAAAYGDETNGVNNVAPPKIIDSIPRMEVSTPGVKILS >KGN48088 pep chromosome:ASM407v2:6:20345192:20347526:1 gene:Csa_6G430650 transcript:KGN48088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNGIRLSISIPTPSHLLFRILHSYSGSAHIDTVPPPSSPPFKCSISPLTISATLQNLLQPLSAPGPPPILSYAPVFQFLTGLNMLKLGHQVHAHMLLRGLQPTALVGSKMVAFYASSGDIDSSVSVFNGIGEPSSLLFNSMIRAYARYGFAERTVATYFSMHSWGFTGDYFTFPFVLKSSVELLSVWMGKCVHGLILRIGLQFDLYVATSLIILYGKCGEINDAGKVFDNMTIRDVSSWNALLAGYTKSGCIDAALAIFERMPWRNIVSWTTMISGYSQSGLAQQALSLFDEMVKEDSGVRPNWVTIMSVLPACAQLSTLERGRQIHELACRMGLNSNASVLIALTAMYAKCGSLVDARNCFDKLNRNEKNLIAWNTMITAYASYGHGLQAVSTFREMIQAGIQPDDITFTGLLSGCSHSGLVDVGLKYFNHMSTTYSINPRVEHYACVADLLGRAGRLAEASKLVGEMPMPAGPSIWGSLLAACRKHRNLEMAETAARKLFVLEPENTGNYVLLSNMYAEAGRWQEVDKLRAIVKSQGTKKSPGCSWIEINGKAHMFLGGDTSHPQGKEIYMFLEALPEKMKAAGYFPDTSYVLHDISEEEKEFNLIAHSEKLAVAFGILNTPAETVLRVTKNLRICGDCHTAMVFISEIYGREVIVRDINRFHHFKGGCCSCGDYW >KGN47115 pep chromosome:ASM407v2:6:12207121:12213851:-1 gene:Csa_6G188080 transcript:KGN47115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFVSLFNFSIRRPCEALFWIFAFLLLKNSESSSSDSNYLIGLGSYDITGPAADVNMMGYANMEQIASGVHFRLRARTFIVAEPQGERVVFVNLDACMASQLVTIKLLQRLKERYGDLYTEKNVAISGIHTHAGPGGYLQYIIYIVTSLGFVRQSFDVLVNGIENSIIQAHENLRPGSIFINKGKLLDAGVNRSPSAYLNNPAEERGKYKYNVDKEMTLLKFVDLEWGPIGSFNWFATHGTSMSRTNSLISGDNKGAAARFMEDWFEKKGGISSVFDMSVDDRIPRRVSSIIPDLFIDHHELLELAASFQSPSSSSATRILSSSRRVRGALRNAEKPQFVSAFCQSNCGDVSPNVLGAFCIDTGLPCDFNHSTCNGKNELCYGRGPGYPDEFESTRTIGKRQFRKAVDLFSNASEQLQGKVDYRHSYVDFSKLEVTIERGETNEVVQTCPAAMGYSFAAGTTDGPGAFDFEQGDDKGNPFWKHIRNFLKKPKKEQIDCQHPKPILLDTGEMTKPYNWAPSILPIQILRVGQLIILGVPGEFSTMAGRRLRDAVKTVLTDGDKSEFSGDIHIVIAGLTNSYSQYVTTFEEYQVQRYEGASTLYGPHTLSAYIQEFKKLARALVDGQAVAVGPQPPDLLDKQISFLPSVVMDTTPHSVNFGDVKSDVPKNSTFKRSDMVTVTFWSACPRNDLMTEGTYALVEILHDKKLWVPAYDDDDFCLRFKWSRPFKLSSHSHATIEWRIPKSATPGVYRITHFGAAKRLFGSISHFTGSSSAFRVM >KGN46634 pep chromosome:ASM407v2:6:8004616:8004931:1 gene:Csa_6G116670 transcript:KGN46634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKPSQINGHPQICSILHGLLKQFLGLHNSVESLSVVQNSYFWELLLLLRLRLYFVFPFSV >KGN48678 pep chromosome:ASM407v2:6:24476962:24477342:1 gene:Csa_6G497280 transcript:KGN48678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQGKYAYPYYGEGYYQGPPPPPVVAPPQYAAAPPQGPGCLEACLAALCCCCLVDQCCWCCDPWCLFAI >KGN46390 pep chromosome:ASM407v2:6:6072548:6074211:-1 gene:Csa_6G088180 transcript:KGN46390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEAITYLPFLITFLALVSIFFIQKTKKNLPPSPLALPILGHLHLLKHPIHRTLHNLSQKYGPIFTLRLGSRLVVVVSSISAIEECFTTNDIVFANRPEFVSGKYLTYGNSTLGAAPYGDHWRNLRRLSATEILSTIRLNMSARIRKEEIGILIKKLNRVLGTEFGKVKLKSLFSELTFNIIMRMLAGKRYYGEEVSELEEAKKFREIMERAFQLGSYPGDFLPFLKWVDWQYTKRVESLGKDTDWFLQNLVDEHRRNEEEGEKGNTMISHLLCLQKTQPDYYTDEIIKGLIVTILSAATETSSVTIEWAMSNLLNHPEALKKVKDELDKQIGQDRILDEEDISNLPYLQNVISETLRLYPPAPLLAPHLSSSSCSLGGYHIPADTMLMVNAWAIQRDPKVWEDSTSFKPERFESDHQGREGSNNNNNGYSFLPFGLGRRACPGMGMANRVVGLTLGSLIQSFEWKRVSEKEIDMTEGQGISMPKVEPLEALCRARPIIKKLALDD >KGN45629 pep chromosome:ASM407v2:6:196098:207012:-1 gene:Csa_6G001750 transcript:KGN45629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAASLGNPALTRATLLSPVNKQHLTSRRAQKMTLCLCAMDSKSVGVGGDVFSVTSSAKSGVDYLGQSTKGDMNVKLEHLDAFGVDGEETLEGPIEEVARVEAHEAEDLLRDLGIPSPSSRNSLHGIFCSRTLNLRSISAIGYDMDYTLMHYNVMAWEGRAYDYCMENLRNMGFPVNGLAFDPDLVIRGLVIDKERGNLVKADRFGYIKRAMHGTKMLSTRDVSEIYGRELVDLRKENRWEFLNTLFSVSEAVAYMQMVDRLDDGAIGAAIGPLDYKGLYKAVGKALFRAHVEGQLKSEIMSNPELFVEPDPVLPLTLLDQKEAGKKLLLITNSDYHYTNKMMQHSFNKFLPNDMGWRDLFDIVIVSARKPEFFQMSHPLYEVVTGEGLMRPCFKAVAGGLYSGGSAQMIENSLNIHGDEILYVGDHIYTDVSQSKVHLRWRTALILRELEEEYSALIHSRGHRASLIELINQKEVVGDLFNQLRLALQRRTQGRPAQTLAATNMNDEELTESMQKLLIVMQRLDQKVAPMLEADGELFNKRWGFLSRAGLWDKSHLMRQIEKYADIYTSRVSNFLNYTPFTYFRSQEQTLAHDSYSFYCSHEETTIDK >KGN49412 pep chromosome:ASM407v2:6:28177649:28179060:-1 gene:Csa_6G524010 transcript:KGN49412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVFSSLIFLNFSFFFSPNFSRRSASTIFFPDCRFGCFPSEGSASILYYLRNSAVFISVSVLRRGLQV >KGN49454 pep chromosome:ASM407v2:6:28454057:28465269:-1 gene:Csa_6G525405 transcript:KGN49454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSSNQPEEAKLEDSIAQTGETNLEVPVPVRSEDIVVKHPNSQKAPAVGSSSSAKRSKPSAADAAKVSSVRRGMESKTVLGSSSNVTKSTASGSTRVSGSVPVTRRKSTGGLPEKSPASSSKKVNNANNAAATRTPTSEPTRRSLPELKRSSLSSVVSKHSPRSSVPGARKSVLISSADRSLKTSIPSDTPDKAISKEAAKRSSIKSTPSISSSLTSRRLTSTSQESSGSSGSVARKTISKVSSPSARSPAVSSGSRASSLSSPLEKNSRSLGQRKASRTPESRDSRFACLPQVEIKAGDDLVRNFVNNNLMHAHLHDLQRGHIPSLLSHNVISRLRVWDTGRLDLRGHRVRSLDASGLNLSPNLEFVYLRDNLLSTLEGVEILKRVKVLDLSFNDFKGPGFEPLDNCKALQQLYLAGNQITSLASLPQLPNLEFLSVAQNKLKSLSMASQPRLQVLAASKNRILTLKGFPHLPALEHLRVEENPILKMAHLEAASILLVGPTLKKFNDRDLTREEVALAKRYPAHTGLCIRDGWEFCRPDHATDSTFRFLLEKWKDHSPPGYLLKEASVDHPFEEDPCRCDFSFDPEDNASDTQLVLTYQWFIGERIATNFAALPDATTEVYWPKREDIGKVLKVECTPILGDTKYNSIFAISSPVAPGSKIPKVVNLEVHGELMEGNIIKGSAVVAWCGGSPGKSVASWLRRKWNSPPVVIVGAEDEEYCLTVDDIDSSLVFMYTPVTEEGAKGEPQYKYTDFIKAAPPSVSNVRIIGDVVEGITIKGVGDYFGGREGPSKFEWLYENRDTG >KGN46910 pep chromosome:ASM407v2:6:10523741:10533170:-1 gene:Csa_6G150490 transcript:KGN46910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPPVVRSRGRPRKRRNNDLQDGNDDAKSALESCKRRPVALLGRYLLKEFNGSGKYLGKVVYYEEGLYRVVYEDGDSEDLESGEIRGLLIGDSYLDDGLSKRKKRLDDLADRIKAKCANGMGKNSTDTSDKLDPVASVPSKVSSEHIMQNDAEEVEADVESSSDSLESVRDRDSEFGDENLLIPPPEFPPSSGTIGIQEQHVSHLLSVYGFLRSFSVRLFLFPFSLDDFVGSLNCGVANTLLDSIHVALMRALRRHLEVLSSDGLEIASKCLRHFNWNLLDSLTWPVYLVQYLTVMGHAKGLEWNGFYKHALGNEYYSIPAGRKLLVLQILCDEVLESGELRAEIDAREISEVGLDYDAGATCLSENGPRRVHPRYPKTSACKDGEAMEIIVLNNGTKSYSDQNFSGLKGGSNGDLDVTAVDANRNSDECRLCGMDGSLLCCDGCPSAYHLRCIGMVKVLIPQGPWYCPECSINKSEPTITKGSALRGAEVFGIDPYEHIFLGSCNHLVVLKSSINSEPCLKYYNRNDILKVLHLLCSSSQSIAIYYGICKAIMQYWDIPENLLVLPEASGMDVVPADLREDTNLYAQSNPSGEERKELDMIENGNDPATCKSEVNNKLGTLHVETSQDPLSHPTDRGTMPPECVGKSVLSNGFNVDSLTSNISRPNNLTDIACPNMVDISSTTDLSSSSGNKSFSHIRNANASISLNLSRQSQNGGLLSHGKVKGDIKSTSSCAYMGSQYKPQAFVNHYAHGEFAASAAHKLDVLTSEETRVTGINASDKRNSSTASYALLQAKAFSQSASRFFWPTFDKKLMEVPRERCGWCLSCRATVLSKKGCLLNHAALTATRSAMKILSSLRVGKNGEGNLPCIAVYILYMEESLRGLVGGPFLNASYRKKWRHQLESTLSCSLIKILLLELEENIRCIALSGNWFKLVDEWFLETSMIQNAPSAVGTTVHKRGPGRRGRKQSVSEVPSHDRSNANFVWFRGGISKLVFQRAALPQFIVAKAARQGGSRKIAGIHYTDGSEIPRRSRQLVWRAAVEASKNASQLALQLRNLDFHLRWNDLVRPEQTFQDMKGQETEASVFRNASISDKKVVENKITYGVAFGSQKHLPSRVMKNVIEIEQKQDGKVAYWFSENCIPLYLVKEYEEGSLQVNVSPPKVYQNIPYHSRRRWVKSYQREIFFYLTCRRDNMGLLSCSSCQMEVLIRNAVKCSLCRGYCHVSCIVRSTISATEDVVGPITCNQCCHLKALNHSGNSTESPTSPLPLQGKGHRSSSTVRKSVKPKGSNQLPVTPVIKLDTRTEKKQATSVIKLDTRSEKKQATTRDSGSAPKSQRRNCSWGIIWKKKSDEDTIANFRHNYLLLKGGGELHHKEPVCHLCSKPYRSDLMYICCEACKNWYHADAVALEESKIFEVMGFKCCRCRRIKSPECPYMDPKPEKQDGGKKTRAKLSKQENSAVECNDLITVSDSTKLETSSTMQPKEEEDPFIFSLSRVELITEPNSGLDDEWNGAAAAGQAAPQKLPIRRQTKPEDDLDGFLEPSFSIPHETDTLLKPVEGSSPFSEWDNSAHGLDEAATFDFAGLNFEDMDFGPQTYFSFTELLAPDDDVEFGGVDPSGDASGDLNNSFSIVDNDIFNHGSGEQHEPATSIPMVVNCQICTNSDPVPDLLCQVCGLQIHSHCSPWDDAALTMEEQWSCGRCREWQ >KGN48627 pep chromosome:ASM407v2:6:24113317:24113823:1 gene:Csa_6G495810 transcript:KGN48627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAFCAYTSSSSSSSSSFLAKKNDQSEHQSVKREISSYSNNNIHCVRKPMVKPWKKPAIAPLPPTRPRVYKVDPINFRGLVQKLTGLAELRSPRLQKMAPPPLDISRRQDSCSAAVEDTVFKASSLLKVENEAVDGGGFLELNLSPFNKNWCSFPALSPETLAILDAI >KGN47707 pep chromosome:ASM407v2:6:17263033:17264793:1 gene:Csa_6G382950 transcript:KGN47707 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain-containing protein MTTDLTQLPPGFRFHPTDEELVMHYLCRRCASQPIAVPIIAEIDLYKFDPWDLPELALHGEKEWYFFSPRERKYPNGSRPNRAAGSGYWKATGADKPIGHPKPVGIKKALVFYAGKAPRGEKTNWIMHEYRLADVDRSARNKNNLKLDDWVLCRIYNKKGVIEKRYQMAMNRNTKGLEEVEEEKPKIFISGGGDGGGDVLMPSATASVGDFVHFETSDSIPRLHTDSSGSEQVVSPEFTTGEVESERKWKEMENAFSYRYNYIDDSLLDHEFATQFQNGNQMSPLQDMFMYLQKPF >KGN48206 pep chromosome:ASM407v2:6:21126013:21129818:-1 gene:Csa_6G448150 transcript:KGN48206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSNNCENGGVNQTKVVRIIWVDTSGQHRCRVIPSTRFNDVVKKNGVGLSVVCMARSSHTEIPIGKDLTGVGEIRLLPDLSTKRIVPWMEQEEMVMGDMYIRPGEAWEYCPRETLRRLSSILKNEFDLEMKAGFEIEFLLLKKAVKDGKEDWVPFDSSSYCSTFSYDAAAPFFHDIVDCLNSLNITVEQLHAEAAEGQFEFALGYTTCLTAADELIYTREVIKAVARKHGLLATFIPKYAMDDVGSGCHVHISLWQNGKNVLMAADKSSKHGMSTIGEEFMAGVLYHIPSILPFIAPLPNSYDRIQPNTLCGAYHCWGKDNREAPIRTASPPGIGVNLVSNFEVKSFDGLANPYLGLASILCAGLDGLRNHIQLPQPIDTNPSYMDLKFQRLPQSLSEALEALENNNMFTNLIGERLLTAIKEIRKAEVNHYSKHQEAYKQLIHRY >KGN48611 pep chromosome:ASM407v2:6:24023118:24026991:-1 gene:Csa_6G495650 transcript:KGN48611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTPPKTRDATFNEMKETDDFTVWQNLRAVDTIYEEDYYEFSSSSSSLSPPLSPPPPPPPNHLYSRVRQWCNVTGIKTDVCIRVNGQCFHLHKDPLASKSSYLKRQLATASDVTLTPPLKLTSKIFTLVADFCYGAPILITPFNVAALRTAAELLEMSDTENNTNDENLVDVTEKYFRRVVAVNRDYASVVFRSCLELLPEAETMAYLGSRCLEAWSLEDEGDNGDITCFEDFKCVDVENFMVLASSLNRRFKCHDLIYNIALLYLKGRGGKITEDQKVLICNFIDCDKLSPNLLLHAVQNPLMPLRFVVRAMLIEQLNTRHSIFSTTTVAAAATKPLPPRPVPKDPLTLGAILKRDAAARESAKLKAAMHATNSRIRTLESQLSSMKKKLQDSEKQRSLSQDAGRGRSASFHYGDEKSSCDNNNSKVVARGQRGSTSFSVSRMSADFKTDYFKFGGGCKPSSDRGSSSNHEGARKSGRSSSFGQRLMNGIKNVFRVSSLGETESKVQNRGDEEEGEDLFEEEGDDDGDDDIVVMRRNQLYVN >KGN49097 pep chromosome:ASM407v2:6:26616314:26617576:1 gene:Csa_6G513610 transcript:KGN49097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLQSLPLFSASHSSKTSTTNASLHIPKLPNLRISAPKLPKTSTPSVKMIEQLCLNQPILNVIPTESPLKSQLHAILEAVADRVEMHNNIRQQRDNWNSLFLNSINMITLTASVLAASAPAVGSLGAPLLALKVSSALLFSAATGMLVMVNKIQPSQLAEEQRNAARLFKQLQTQIQSLILDGAPTQVDVDSAMKKVLAIDKAYPLPLLGAMLEKFPKTVEPASWWPNSSENYESQTKNGNTHFDGKQGRDSNGWSVELEAEMREVVEIVKSKDAEDYVRLGNLVLKVNKTLAITGPVLTGIAALSSAFVGDWSSAGMAVAAVAGSLAAAVNALEHGGQIGMVFEMYRNSAGFFGLLEESIRGTLGEKEWEKRENGEVFERKVALKFGRSLSQLRQLATKSAAAREEGFSMDEFASKLF >KGN45943 pep chromosome:ASM407v2:6:2500686:2501764:1 gene:Csa_6G030440 transcript:KGN45943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLSLPFSHSFLLSRRPRCPLILSSAPSDSLLLLLGATGSGKSSLSIDLAARFPSEIINSDKMQLYRGLDITTNKLPLSHRRAVPHHLLGDFDPLAGEVSPAAFRSHASAVISGVISRGNLPLVVGGSNSFVHALVAADFDATQDVFCGSRNRVSTEFLYRCCFLWVDVSFPVLKRYLSKRVDEMLDGGMVEELAKFYDPEMADSETRVGLRKAIGVPEFEEYFRRHHPKGRDYREGDPLQARAFEEAVRKIKENTWQLTKRQLWKIGRLRRAGWDLKRVDATAAVVAVLASEVAEKRSEIWETQVVEPSVKIVKGFIQE >KGN46288 pep chromosome:ASM407v2:6:5443854:5444556:1 gene:Csa_6G080310 transcript:KGN46288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRALQEMEIQPNSFLENVFYQDSIGISLKGIEQQLEINLLIFKTIDLSPNGINGEIRKEIGMLKSLVGLNLSHNKLTGKQFDTFESSSYLGNLGLCGNLLPKCDADQNDHKPQLWHEQEEDNSLEKRIWVKAVFMGYGCGMVFGVFIGYVVFKCGKPMWIVASGRQKSSKDPNI >KGN46296 pep chromosome:ASM407v2:6:5477191:5479980:-1 gene:Csa_6G080390 transcript:KGN46296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMFLTVKPFVNKFETPTFSNQRLHSTRRISLKVCAVGKKLEPAKVVPQGDRVLIRLEELPEKSAGGVLLPKSAVKFERYLLGEILSTGADAGDLGQGKKVLFSDISAYEVDLGTDAKHVFCKASDLLAVVE >KGN46198 pep chromosome:ASM407v2:6:4926077:4931809:-1 gene:Csa_6G074020 transcript:KGN46198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMMRRLKSIASGRTSISSDPGGDFGIKRAKVDQETEQKVGGVSDDVGRAASGLGLDSSSSSLDNVASTSNISSIAGAEKSGFDHLPREMREMRIKDEKSNHDEKDLEPAVVNGNGTEAGQIIATTVGGRNGKPKQTISYMAERVVGTGSFGVVFQAKCLETNEAVAIKKVLQDKRYKNRELQIMRMLDHSNIVQLKHCFFSTTDKDELYLNLVLEYISETVYKVSKHYIRMNRSMPIIYVQLYTYQICRALNYLHHVVGVCHRDIKPQNLLVNPHTHQLKICDFGSAKMLMPGEPNISYICSRYYRAPELIFGATEYTNAIDMWSVGCVMAELLLGQPLFPGESGVDQLVEIIKILGTPTREEIKCMNPNYTEFKFPQIKAHPWHKIFHMRMPVEAIDLVSRLLQYSPNLRCTALEACAHPFFDDLRDPNACLPNGQALPPLFNFTAQELAGASTELRQRLIPEHAQN >KGN47246 pep chromosome:ASM407v2:6:13361125:13361385:-1 gene:Csa_6G235540 transcript:KGN47246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVPNSSMVFGVRSTGDDPLRADERSLGPVVDVVENSGLSGVMDKEEESTEEKQEQHG >KGN47224 pep chromosome:ASM407v2:6:12968119:12969080:1 gene:Csa_6G216920 transcript:KGN47224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSSGQSRPDLPVGPNRVKFQSETERLTADVGGLEASLLQMVTDHQYASLKLRENSEKAKKDAIQKAVRVSDLLVDAVNGGVQESFVNQKLIELEIRALGTTIARFTKQTDQWLAATHALNTAVKHCCESKPA >KGN46977 pep chromosome:ASM407v2:6:10991617:10992573:1 gene:Csa_6G156050 transcript:KGN46977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNKPFLNSTLEQHSTPTTTMGINTIPGQNIHIPGGGLVVRSTARKDRHSKVYTSRGLRDRRVRLSAHTAIQFYDVQDRLGFDRPSKAVDWLIKKAKSAIDKLSADLPPCNTNACFSVPVETQTNGIDVVVDRSECCKNHDFLFQSQFSENLIPPPPPPPIESGLGFQSCRNLGLSLQTQNSPQNVHHHHQTVFYTASSGNSGPGFDDGFEKIVAWNTNVFGSIPILNQSSTAFPQRGPLQSRLESTVPHYTWNDLSVVAAGKRHPNPIQENSSPSIPRFISNELPHLDVHGQFQNDGDGKDNGGKSSSHSSSYSRR >KGN47351 pep chromosome:ASM407v2:6:14483553:14485870:-1 gene:Csa_6G302190 transcript:KGN47351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQKGMRSVFFNSPISSSVPSTPSSSSSSHSSSRPTLPDSMVEENLEVAESFVMRWRPDSTIVGSLFQGDDRDEARKYLKAVKGLHNSMHSLASRDSSSRKLMHAHDLMKIAMERLQKEFYQILSANREYLYPESVSGIQSPMTISARSSVSDFELESEDEFRFANESIAEVERVSMSAMADLKAIADCMISTGYGKECVKVYKIVRKSIIDESLYNLGIEKLSFSKVQKMDWEVLEIKIKIWLKGVKTAVKSLFEGEKILCDHVFSGSVPIRESCFAQISKDGAEILFGFPELVAKYKKTPEKIFIMLDLYEAIADLWPEIDYIFSSTATSMIQSQAVSSLIKLGENIRTLLSEFEMAIQKESSKTPVPRGGVHPLTRYVMNYISFLSDYSGILNDIVADWSLATKLSMPESYYGTPKQEDSPITLRFAWLILVLLCKLDGKAEHYNDVALSYLFLANNLQYIVEKVRTSNLRFILGSEWVERHESKIKLYSSKYRRIGWSGVFSSLPTDVTAEISPEEARESFINFNRAFEETYRKQTSWIVPDQKLRDEIKILLAREMGALYGEFYKRNRVRVRRVSGSDHAVRLSPDDLGNYLSDLFYGHGSESVGSGSSSHSSSHSSPSSRGRLAR >KGN47578 pep chromosome:ASM407v2:6:16273054:16275430:1 gene:Csa_6G361400 transcript:KGN47578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVMNTNNVSTTPILLLVCCLVFLQSIVSLRVVKADLNYKDALTKSLIFLEAQRSGKLPPNHRPAWRGDSALDDGQLANVDLVGGYYDAGDNVKYGLPMAFTITTLAWGALAYPEEIEAAGEMENVKAALQWGTDYFLKAASRRNRLYVQVGDPVKDHECWVRPENMKTLRSVLQIDSNTPGTEIAAETSAAMASASMVFRSSNQTYARRLLNKAKLLYQLAKNHKGTYDGECPFYCSYSGFNVQESISASVAEFSWDLKYAGAQILLSKFFFEGEKGLQMYKNQADSYICSNLPDSPFHQIYVSPGGMVHLRDGANTQYVAGTAFLFSAYSDLLATYKQTAQCADKSFDSTQLMMFAKKQMDYILGTNPQGRSYMVGFGNNPPKQAHHRGASVPVLAPNVVVNCPMSFVDWFNKDTPNPNELTGAILGGPDRTDNFNDKRSDSPMTEPVIYTNSLAVGVLAKLAVHKF >KGN47168 pep chromosome:ASM407v2:6:12539073:12544595:1 gene:Csa_6G191560 transcript:KGN47168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGTLQSSGSGMTSEMDVSGGKRKLRRCFIGISHSKALSRNAICSVTSLRQSRIANGAVGQATFFLLKIIALETVRRFSKSRCPFAWRGLQALQIFCYPPFKWIQRWAPFRGLVKGMQMLSKPLLVLSIATSLSDQSESMGGSSDGINDSPSCSEMHSELSSENSSTDASTCDEAPQSCESENLLVRLSKELESQGFSLPERLNEDELQRFYTAANGDFTLLLSSIKKTIRWRENYKILSSSELDMWSHMVFWHGFDLKHRPCLIVRLGLACMSLSSEDRPRFTQAIISQVEHGVVELVDAENPQITVLVDCEGLTPFKVPMQMMRYCSSLLQDHFPNRLGCLFVIRLPPVVRLLAQTFIQILKPVTRKKLKIEGETTYQKVLSEYLETLPRYLGGKCSCTRCSQIDFHDIPRLNTTETVNRELIADGIHGNNTILPSQMVYEFDNHLHENFDQMVRTGVISVLMLWAFVAVIVSAYDPENRFFFPL >KGN47788 pep chromosome:ASM407v2:6:18083004:18083831:-1 gene:Csa_6G403060 transcript:KGN47788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASELVVHHGGCHCKKVRWRVEAPASVVAWDCNCSNCFMRANTHFIVPLERFKLLGDSSNFVSTYTFGSHTAKHTFCKNCGITSFYHPRSNPDGVAITFKCVDPGTLTHIEVRQFDGSNWEASCDQTGIASLSKLNIS >KGN49498 pep chromosome:ASM407v2:6:28686334:28691006:-1 gene:Csa_6G526320 transcript:KGN49498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSQLVCSGCRSILLYPGGATNVCCALCNTVTSVPPPGTDMAQLICGGCRTLLMYARGATSVRCSCCHTVNLAPATNQVAHVNCGNCRTTLVFPYGAPSVKCAICHYVTNVGISNVRVPIPVHRRNGTISSGMPPSSSSQSQTVVVENPMSVDESGKLVSNVVVGVTTDKR >KGN48819 pep chromosome:ASM407v2:6:25274828:25276535:1 gene:Csa_6G502080 transcript:KGN48819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRLCPNIDRDDGLETILEVPIPEEMFTSMGSNVTLRWQNMSTWMKAQTSDKWSSPIIANRFNELRFLLYLVGSPLIPLQVQLGHSVHRPVRDCSIEASTAKYIVQQYIAATGGPAALNSVQSMCVTGQVKIKASDFHLSDESIEVKKSTEEIGGFVLWQKNPDLWCLELVVSGCKVICGSNGKLSWRHSSNNQQSPISTGPPRPLRRFLQGLDPRATANLFIDAMCIGEKLINDEDCFILKLETSPAIREAQSGPNYEIIHHTIWGYFSQRSGLLIQFEDSRLLRMRTKSDEDVFWETSTESVMETYRYVDGVNIAHSGKTNVTVFRYGEHSANHKREMEETWKIEEVDFNIWGLTMESFLPPPGAAVLQLHDAS >KGN48433 pep chromosome:ASM407v2:6:22828770:22830305:1 gene:Csa_6G487540 transcript:KGN48433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSINFSAAILLASIILFLFIIFCRIWRRNFHGAVPWNWPIVGMTPSVVTHIHRSHDRITEVIQEVGSTFFFKGVWFSGMDFLLTADPSNIHHILSANFERYPKGPDFKYIFEVLGDGIFNSDSYAWKDQRKTARSLVHDEKFLQFLEKITLNKVKTGIVPVLDGVCENGSVLDLQDLFQRFSFDSTCMMVTGFDLQSLSLESPAVPFSKAMDDVEEVIFLRHFFPKKIWEFQKKLQIGQPMRLKQAWKIIDETIAKLIALKRGSLKNQVNKEGDEQGRGVDLIASYMINNTNKDDKFFRDTVLNFMIAGRDTLSSALSWFFFCLSKNPTVVKMIREELKTTIPSNEACDQLRIFSMEEVNKLVYFHATLCEALRLYPPVPFQHKVATQHDILPSGHHIKPKTKIVFSLYALGRMSEVWGKDCLEFKPERWINSENGKIKHVPSYKFLAFNAGPRTCLGKHVAFIELKIVAAAIIHNYNIIQQTGHQVVPNASIILHMKHGFKVKVTKRWT >KGN47384 pep chromosome:ASM407v2:6:14734720:14736003:-1 gene:Csa_6G308930 transcript:KGN47384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELIQDSKVEAILGPESSSQAYFIVQLGDKAEVPIISFAPKISTLSYLKSSYFFRVAQNRSSQVYAISDILKAFGLREIIAIYEDNEFAKWIVANLIDALQDIKGRVRRNIIDTTTSTNELGMMSEGYVWILTDATANMLNTFNISTLSSMQGVLGVKTYIPKAETLNNFTSQWRRKFRQDNSSIHDPQVNVYGLWVYIFVHMLWTLP >KGN45676 pep chromosome:ASM407v2:6:473143:474726:-1 gene:Csa_6G005160 transcript:KGN45676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVNWVGANVCSYNGVFCAPALDDPKIEVVAGIDLNDGDIAGYLPPELGLLTDLALFHINSNRFCGIIPSSFSKLVLMFEFDVSNNRFVGHFPLVVLEWSSAKYLDLRYNDFEGEIPSTLFTNEFDAIFLNNNRFNSLIPETIGNSTVSVVSFANNEFHGCIPSTIGQMSNLNQILFIGNKLSGCFPPEIGNLVNLTVFDVSNNGFIGQLPESLSGLQNLEIMDVSNNELRGSVSGDLCKLPKLANFTFSFNYFDGEDAACATSKGSEKLFDDSQNCLANRPMQKDANKCSTVLKKSVDCGNCGGGSSSPGVPSTFEDPYDQSPSPRYRSPPPPVVTPPSPSETSVPSSPSITETPTSSPAESPLPPVYSPPPPPVHSPPPPVHSPPPPVHSPPPPISSPPPPVHSPPPPVHSPPPPIYSPPPPVYSPPPPVHSPPPPVHSPPPPVHSPPPPVSSPPPPVYSPPPPVYSPPPPPVYSPPPPVQSPPPPIASPPPPDSSPPPSFEDVILPPNIGFEYASPPPPLFPGY >KGN47920 pep chromosome:ASM407v2:6:19074895:19075261:-1 gene:Csa_6G411240 transcript:KGN47920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSLLIFHFSFYASSSKRVSSSSFVLIWFSSFPFPSGFCLLIRLVGVGSSRLRQEERRRNNLNFGIQKLDKSCSGKYQID >KGN48513 pep chromosome:ASM407v2:6:23355488:23355759:-1 gene:Csa_6G490770 transcript:KGN48513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLVGYEGVYVECRHLKSSRSCKKCSKSIGTSPPPPPAHLKSAGNPQQFTTIKAELPQDFRPTSPGHSPGVGHHINN >KGN48802 pep chromosome:ASM407v2:6:25178571:25180099:-1 gene:Csa_6G501910 transcript:KGN48802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFSVANFCNPLKKPEQSTEVQIIFPAEPKPIFCEFDWKEHKLQELTDDLIEEEELSVDQKDAFKEFVKEKVREAMKANREEEEARVKACLEMSEERKAAFEKMRFYKFYPVQTQDSPNVSQVKTRYINRYYGKADEVF >KGN48316 pep chromosome:ASM407v2:6:21935731:21937899:-1 gene:Csa_6G476070 transcript:KGN48316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTTTVGRVAGIILPTMVDKKKKLPREFVWPRGELAGEERGELKEPLIDLGGFRRGEEEATAEAAAMVRMACMKHGVFQVTNHGVEEELIKAAYEEGEGIFKMPLVKKISVGKKPGRVSGYSGAHADRFSSKLPWKETFSFEYSNDDSQPLHVLHHFKSLFGCDFENTGWVYQRYCEEMTRTALMIMELLAISLGVERYHYRKFFEDGKSIMRCNYYPPCENASLTLGTGPHCDPTSLTILHQDQVGGLEVFANNAWLSVKPRPDALVINIGDTFMALSNGAYKSCLHRAVVNRKRERRSLVFFVCPKDDKVVRPPQDLVGREGPRQYPDFTWSELLEFTQKHYRADVATLQSFVHWLQAKPHPPKIPF >KGN47859 pep chromosome:ASM407v2:6:18672467:18686188:1 gene:Csa_6G408180 transcript:KGN47859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSYIAISAACTALSFIGLQLWTELSIDNLRSEKIIGENPFKTENAAPVIDLLLSSYVTVGLLANFALNVFVLLILFLKTLIFVELYSSESRKMVERLVNYVIYKGTFLPLVVPPTKYHIALWSIWLAVLCSLKMFQALARDRLERLNASPSATSWTYFCVYSVLLLVLIVDLSGIRFCLMIYRTLGLSLFLLLFFEPLSIAFETLQAILVHGFQLLDIWLHHSAGNNTNCQFSKFFNMTIPGLLWELKGTLIRNLGFFLDMATLLMALGHYVYIWRLHGMTFNLVDAVLFLNIRALLSAIVKRIRGFMKLRKALGALNAALPDATSEELQAYDDECAICREPMAKAKKLNCNHLFHLACLRSWLDQGLNEYYSCPTCRKPLFVGRQENDVNPRAGETFSDEQLARQISAGLNRQNATIPTLPAGIFPNQTQNPVEGSPWRSTSLDPSWLHDWASQSMDGAGPSNAARSVGLSRVQMMMRHLASVGETYAQTSIEDSAWSLWPLNSSQVVAGGSQVPRNVVGRHPGSMGGLNMNVSRASNDNLANIIAMAETVREVLPHVPDELIFQGLQFGERIQVWQI >KGN46914 pep chromosome:ASM407v2:6:10559974:10565396:1 gene:Csa_6G150530 transcript:KGN46914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETTQILLPESFQGGRGDFTEQIWLIWELIKAPLIVPVLRLMVYISLAMSLMLFFERLYMGIVIILVKLFWKKPEKRYKYEPIQDDLELGSSNFPHVLIQIPMFNEREVYKISIGAACGLSWPADRLVIQVLDDSTDPVIKQMVEQECLRWASKGINITYQIRETRGGYKAGALKEGLKRSYVKHCEYVAIFDADFRPEPDYLRRAIPFLVNNPDIALVQARWRFVNADECLLTRMQEMSLDYHFTVEQEVGSATHAFFGFNGGWKDRTTVEDMDLAVRASLRGWKFVYLGDLQVKSELPSTFKAFRFQQHRWSCGPANLFRKMVMEIVRNKKVRFWKKVYVIYSFFFVRKIIAHMVTFFFYCVVLPLTILVPEVYVPIWGAVYIPSIITILNSVGTPRSIHLLFYWILFENVMSLHRTKATLIGLLEAGRANEWVVTEKLGDALKNKAAADKKAGGKIPKVRLRCKFGDRINTLELGFAAFLFLCGCYDFVHGKNNYFIYLFLQTFSFLITGIGYVGTIIPSS >KGN46725 pep chromosome:ASM407v2:6:8842256:8842584:1 gene:Csa_6G127370 transcript:KGN46725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAPFLYTTPMSTGPLFHIMSISFLMSNSIITNELWSPCNMLISHGQSFVINKVGQSWMILQCATGKLQRILNCLEVLQNHDAICRSVLYITS >KGN47068 pep chromosome:ASM407v2:6:11910982:11917716:-1 gene:Csa_6G183200 transcript:KGN47068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLQGTGRKRKRIRRTERRLVVDEKVEVRSLEDGFLGSWHGGVVVACDNGVRLVKYDHLLRDDGSGFLVDTISVSSSLDDVNFFCGNAYVRGNIRPIPSALDFRKWDLLYGLCVDVNYQDAWWEGVIFDHEDGSEERKVLFPDLGDELTVGIETMRITQDWDEVTGNWQRRGTWSFLEFIDQCEQESYLPVSLKQIWYEVRGKVDFMKIREWTSPMNDLWKELVMEVIKENVDVTLKEMLRVLESSSSVGCELGDSSIDIVNVDALAITGESEKGNTMIRTDFNQENAFDAPDLVMEEVHTLDSLDAELLDCGPSSINFHLALGKSQLDDDTKMKTSNHLDLSCYDEALSMLPKGSSSKASDAEVLSGASGSISRQQLPIIKDKNVKKQLKCSGRGSLFKWETLSATTPLDAVSCPDAVTKYSLLGKEKPTQALVENVKKHLLYHGWKIECRKDKPTFKYTSPSGKCFYSLLQVCKILEELSVETPSPVSKNETRIMQGSGNMTLSSRLERGERSLSPNNCFPTTLDGSGVALGQPELLHKAVIDYYNTSQLGSSGEKGVVKMQSEARRHLLSLGWGMLVSQKGKGNRQRWNYTSPLGRTCTSLSTACKICLDEVGVYKSTDSPGRTMENMFLIQKAEVQLVSNKFCSAPSNVSVQECSMPSDSIRTFFGKSPGISSSKSLMEFSPDKFQRCEKLRSMTNEFDFSSHLPQSQHNLDGKACESGIQTVCKKYLRRIRTPEAVKQKLYRGRVSAGINKFSDDMEPRRSIHVSRSSKRVHEVVTPGPSHHNPRTVLSWLIDNNMVLPREKVYYCKGKSRQPMAEGRISRNGIKCCCCNKLYTINGFEIHVSGTSSRSAAHILLEDGKSLLDCQILWNKKTRSFKNQASTCGKGDYSKDENDYICSICHFGGTLILCDQCPSSFHQSCLGLKDVPEGDWFCPSCCCGICGQNKLSEHANIVDGPFLTCYQCECKYHVQCLRGTKKFGSCSKPHWFCNKHCKQIYWGLQKLLGKSIPVGGDNLTWSLLKSPSSDTNYFNPPHLETLTENQSKLNVALRVMHECFEPVREQHTRRDIVEDVIFSRRSELKRLNFQGFYTVLLERNEELIAVAAIRVYGEKVAEVPLVGTRFQYRRLGMCHILMNELEERLRGLGVQRLVLPAVPSVLKAWTTSFGFSKMTDSERSEFLNYTFLNFQETVMCQKFLLKNTVVPSSLSGKSELHDAVNKNSNSSDNICGSSVITKLNPTAHTENSILKEQEIAATNNSPSLVINLGNLKNHLQNNSTSHIEQLPTCSAGDFKRLQENTHDYLKYYRRRKKLISC >KGN46984 pep chromosome:ASM407v2:6:11030557:11036567:-1 gene:Csa_6G157100 transcript:KGN46984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLPLGPRHQPPPQPQSQPQVQLLQQPQPDNDRLNLNSHRASAMDTDKEMSAAVIEGNDAVTGHIISTTIGGKNGEPKQTISYMAERVVGSGSFGIVFQFVLSVQFLKSKCPSFQAKCLETGETVAIKKVLQDKRYKNRELQLMRLMDHPNVVSLKHCFFSTTSKDELFLNLVMEYVPQNMYHVLKHYNSMNQRMPLIYVKLYTYQIFRGLAYIHSVPGVCHRDVKPQNLLVDTLTHQVKICDFGSAKVLIKGEANISYICSRYYRAPELIFGATEYTTSIDIWSAGCVLAELLLGQPLFPGENAVDQLVEIIKVLGTPTREEIRCMNPNYTDFRFPQIKAHPWYKVFHKRMPPEAIDLASRLLQYSPSLRCTALEACAHPFFDELREANVRLPNNRPLPPLFNFKQELAGASPELISRLLPEYVRRQIGLGLQHQQAST >KGN46419 pep chromosome:ASM407v2:6:6227593:6233109:-1 gene:Csa_6G091920 transcript:KGN46419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPPTYAPPPSSFPFNLFSPSFPLMSHFEGFHNNNNNSHHHQHHLFPLQDHDSTTNQNSSTSKDLTLHDSAVVADKSWLRLSIGATTPDVVVHNHHHHHHQQPPGFRFGLTEVDLLPSDGVSKSNESSLGVVGNFSPTPALVLPPPPPPELNWTFRPISSHNSSSSNCSSSFIPFGPYFSTPFQLHPGLDLVAATAAAAAGSSSDAVRVIDPPRRPHSGIWFSLQPLELNQGKQPVLPHRSSYLRIKDGKMTVRLLIKYLMKKLRLDNELEIEMRCRGEKLEGCLTMQHIRDKIWCSKDSALTTLLPNSSTIDHIMVLHYAIIPPTPNSPNSPS >KGN45996 pep chromosome:ASM407v2:6:3208999:3215165:1 gene:Csa_6G042300 transcript:KGN45996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPCIVNESNASESGIKVEDGILATNPCIAECSGEKLASGNLSDNISFDQNRNGDHALITCQSNPDSEHLSKLQAIIVSKERALSQAAIRALIRKRDKLSHQQRLIEDEIAQCDKNMQTILRGDEDDLVLKLDSVIECCNDICPRSTAEDKSYQYFEENCSSQYVTRKRLSEAILCIQNPCLELDGICHKNNWILPVYGVSSLDGGFQANVFVKGMDFEYSSCSELCSDPRDARESAAMKMLGQLWRMANLAK >KGN46202 pep chromosome:ASM407v2:6:4962302:4965298:-1 gene:Csa_6G074550 transcript:KGN46202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEEGSVHRSSGGTDSEAESAEEWLLQAQKLVPVALEKALEVKVFPGRWKMIVSKLEQLPSRLSDLSSHPCFSKNVLCKEQLQAVLNSLKETVELAKLCVREKFEGKLRIQSDLDSLSGKLDLNLRDCGLLIKTGVLGEATLPLPLSGCSSQWESTDYGNIRELLARLQIGHMEAKHRALDSLVEIIKEDDDNVLSIFGRNNVAALVQLLTATSLCIREKTINLICLLAESGSCENWLVSEGVLPPLIRLVESGTAVAKEKAVISLQRLSMSADTARAIVGHGGVRPLIELCKTGDSVSQAAAACTLKNISAVPEVRQTLAEEGIIRVMISLVDCGILLGSKEYAAECLQNLTASNESLRRSVISEGGLRCILAYLDGPLPQESAVGALRNIVSSVSMELLLSLGFLPRLVHVLKSGSVGAQQAAASAICRVCNTPEMKKLIGEAECIPLLIKLLESKSNSVREVAAQAISSLVTLSQNCREVKRDEKSVPNLVQLLDPIPQNTAKKYAVACLVSLSSSRKCKKLMISYGAIGYLKKLSEMDTPGSKKLLEKLERGKLRSLFGRK >KGN46801 pep chromosome:ASM407v2:6:9562988:9563465:-1 gene:Csa_6G136550 transcript:KGN46801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQRSSNFEVRLSFVGSSSDPMTLLNRLGSPTGYSFYELKQAYLDLPYGFVELPLSLLRFTSRVYHKLLLGSLKIPHEVHRATIKLA >KGN46743 pep chromosome:ASM407v2:6:8991216:8993879:-1 gene:Csa_6G128040 transcript:KGN46743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQEYLEETLMQNDNSLGGDRLCLDNQIYISDAEDEASHGFDCNICLDSVQDPVVTLCGHLFCWPCIYKWLHCKKLSAQRCQQVECRCPVCKAKVSRATLVPIYGKFQTTDASKAEAPPNLGPAIPRRPLGRHACEAETPASPTPQLHSDNYSPQSHSYYAQTQNEYIASSMLSSSSITTNIIHPVIGMFGDTIYARTFGNTTTNLYTYPNSYGNVNNSSVRLRRHIMQADESLSRICFFFFCCLVICLLLF >KGN47777 pep chromosome:ASM407v2:6:17963768:17969653:-1 gene:Csa_6G401470 transcript:KGN47777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYQHGPGPSSISGSSSSSGFLNSPFGDTTYTKVFVGGLAWETQSETMRRYFEQFGEILEAVVITDKNTGRSKGYGFVTFRDPESARRACADPTPIIDGRRANCNLASLGRPRPPLSYGTGRLRQPAVYVGGMQPNRGTYIGNFGYQQPVSYAYQQGLAYPPYGYATYGPEYVYPQGGYNPFVGQQYLQIYGVPGSASPTIYHYGQLGQTVPGGHGYGAVHGYAMPSPQILQFSGPNVNALTSSPMPTIQAPYPSGIPAPVAAQPQFVVHSPSQFVQAPLDGGQVVGCQEPLPWGRSSRTTIGPRSRSKRAHGTLCSGPSGDT >KGN45740 pep chromosome:ASM407v2:6:865623:866540:-1 gene:Csa_6G008710 transcript:KGN45740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTHNPPIQTGSKPNQSLFHSFKQSLSFPEKLSNLLLLLARAGLLLCLVASISLVLRSSFTSQTHRFILPSRTQTAVHDPVKNSTSPTNISHIVFGIGASVQTWKDRSLYTNLWWNRNQNRGFAWLDSKPGETGNPVPHKVSEWCFGSGYSCRSAAVRIARIVVESYKLGLENVRWFVMGDDDTVFFTENLVTVLAKYDHTQMYYIGGNSESVEQDQMHSYGMAFGGGGFAISYPLAAQLVKVMDGCLHRYSFFYGSDQRVWACIAELGVPLTTERGFHQVFFFFYF >KGN49199 pep chromosome:ASM407v2:6:27043013:27047770:1 gene:Csa_6G517070 transcript:KGN49199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQSGDSDFILNHRVLLPDGTVTPMILTTDGWLQWSEKSQRRLSVDKEVLGFSMDGPKIRIKALVEDHGGLRCFGSSGALVRKEFVFQPLSEESRALWCLKLRECIDLIGRPKKLFVLVNPFGGKGTGSKIYRDEVKPILEDAEIDVTLQETKYQRHAEEVAYSLDFTNYDGIVCVSGDGILVEVINGLLRRDDWVDAIKTPLGVVPAGTGNGMVKSLLHSIGDPCTACNATLAIVRGHKCSLDVATISQGEAKHFTVLMLAWGLVADIDIESEKYRWMGSARLDIYALQRIISLRHYRGGVSFVPAPGFEDYGEPTRYDYETASVVEVDKSDGEPISIQRHGYEGPNINLKDLEWRKFDGPFISVWLHNVPWGAENTLAAPDAKMSDGFLDLIIIRDCSKLSLLSLMTELNNGKHVKSPFVTYIKVKAFILKPGTRVKEPSKEGIIDADGEILALGKGTLTTSQKTLMNYDELLISVHQGLATLFSPCRN >KGN49362 pep chromosome:ASM407v2:6:27874452:27877076:1 gene:Csa_6G521090 transcript:KGN49362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPLLTKKIVKKRVKQFKRPQSDRKISVKTNWRRPKGIDSRVRRKFKGCTLMPNIGYGTDKKTRHYLPNGFKKFVVHNVTELELLMMHNRTYCAEIAHDVSTRKRKEIVERAAQLDVVVTNKLARLRSQEDE >KGN46686 pep chromosome:ASM407v2:6:8495008:8495400:1 gene:Csa_6G124010 transcript:KGN46686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLILQNSSSDHYTTSTKSPSFSTPSAIRLQEQGKRKMMEKAEVENMGKKIICREKAEESEKIYRNKRLCNKKRKRRRKNRRNSQARKKDIELSMESTSSPNVDFTKLATRTPARSAKEIWALRRRSEERE >KGN46591 pep chromosome:ASM407v2:6:7535006:7535324:-1 gene:Csa_6G110830 transcript:KGN46591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRGLFVLSGFCGSKSFSSMLVDSDYVNLINTVNCEWVGVLIEFFVSLSSSPTMWLEVYVEFWMLSSAIFR >KGN45656 pep chromosome:ASM407v2:6:351773:356445:1 gene:Csa_6G003480 transcript:KGN45656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACEPGFLRKQLAVAVKSIQWSYAIFWSPSSRQHGLPGRALADDRTIWLCNAQYAESTVFSRSLLAKSASIQTVVCFPYLGGVIELGVTEQVSEDPSLLQHVKDFLLKFSKPICSKKPSSAAYKDDNGKEPMTAKSDNEIVEVLAMENLYCSTAVKFDGKSVNGIQRKNNEFGIDSLDDFSNGCEQYHPMEDTLRLEGAEGGASRFQSLQFLDDDFSYGFQDSMNPSDCISEALANQEKVSSSPRLKDANNLPLKEHQNPNHTQSGSLDPSSDEDMHYKRTIFTILGSSTQLVGSPLLHNFSNRSNFIPWKKVVAETHTPPMQQRMLKKILFAVPLLSAGSLKGLKDEEQSILKQGNNDSCTKNATLDKLKENEKFMALKSMLPSLNEINKVSILNDTIKYLKMLEARVQELETCMDSLYYEERFRRKYLDMVEQTSDNYDYEKIEGSLKPSTNKRKACEMDETDLKLKNDFPKVGRKLDVKVSMEEHEVLVDMHCPYREYILVDVMDALNDLQLDAYSVQSSDHNGLFSLTLKSKFRGMAAASVGMIKLALLKVVNKS >KGN46350 pep chromosome:ASM407v2:6:5785097:5796061:1 gene:Csa_6G087780 transcript:KGN46350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLISLVNKLQRACTALGDHGEESALPTLWDSLPAIAVVGGQSSGKSSVLESVVGKDFLPRGAGIVTRRPLVLQLHRIDDGKEYGEFMHLPRKKFTDFAALRQEISDETDRETGRSKQISSVPIHLSIYSPNVVNLTLIDLPGLTKVAVEGQSESIVQDIENMVRSFIEKPNCIILAISPANQDLATSDAIKISREVDPKGERTFGVLTKIDLMDQGTNAVDILEGRAYKLQFPWIGVVNRSQADINKSVDMIAARRREREYFATSPEYQHMASRMGSEHLGKMLSKHLESVIKSRIPGLQSLINKTIAELEAELSRLGKSIATDTGGKLYMIMEISRTFDQIFKEHLDGVRPGGEKIYSVFDNQFPAALKRLHFDKHLSMDNVRKIITEADGYQPHLIAPEQGYRRLVESTLVTIRTPAEAAVDAVFSLLKDLVQKSVSETTELKQYPTLRTEVLKAAINSLERMKEESKRATLQLVDMECGYLTVEFFRKLPQDVEKGGNPTHSIFDRYNDSYLRRVGSTVLSYVNMVCGTLRNSIPKSIVYCQVREAKRSLLDHFFAELGTKESKQLGKLLDEDPAIMQRRISIGKRLELYRSAQSEIDAVSWAK >KGN47262 pep chromosome:ASM407v2:6:13528558:13532992:1 gene:Csa_6G238140 transcript:KGN47262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFINAVMLTRMLTRNSGLQSDAGEIPFGSLLWFTYAGISCVFVLFAGIMSGLTLGLMSLGLVDLEILQRSGTAVEKKQAAAILPVVQKQHQLLVTLLLCNAVAMEALPIYLDKLFNQYVAIILSVTFVLAFGEVIPQAICTRYGLAVGANFVCLVRILMVICYPIAYPIGKILDCLLGHNEALFRRAQLKALVSIHSLEAGKGGELTHDETTIISGALDLTEKTAEEAMTPIESTFSLDVNSKLDWEAMGKILARGHSRVPVYSGNPKNIIGLLLVKSLLTVRPETETPVSAVSIRRIPRVPSDMPLYDILNEFQKGSSHMAAVVKVKGKNKALPPTLDGEEFEDNKASGTESQLTAPLLRKHDENSDSVVLDIDRTSKTSVISRQPSYRRNDASSINGPSHSSEDIEDGEVIGIITLEDVFEELLQEEIVDETDEYVDVHKRIRVAAAAAASSMARAPSIRRLTAQKGGQHQTKQAQTPKKSTEELLGTSNNKS >KGN47747 pep chromosome:ASM407v2:6:17718441:17720940:1 gene:Csa_6G399720 transcript:KGN47747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSSSSFSSFEYSLSHWDYLNLYILRPILAILFTFSLISLGWFLAWKLVLVHVPLVQEIFGLRKKPAKSKPPTRRLSKFYDSLNSQTSASG >KGN48539 pep chromosome:ASM407v2:6:23552594:23553555:-1 gene:Csa_6G491030 transcript:KGN48539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGGVPEATRKRSALDNQRLYKGIRMRKWGKWVAEIREPNKRSRIWLGSYSSPVAAARAYDTAVFYLRGPSARLNFPELLAGEGRGITAGAGDMSAASIRKKATEVGARVDALESSVGQQSRSHHSHASASPPETKGCSGFLDRVDLNKLPDPEEEDEEGDGEFEWERVERH >KGN48151 pep chromosome:ASM407v2:6:20742772:20743291:-1 gene:Csa_6G445160 transcript:KGN48151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIPFGNFVFCGCCYSPNFGVSVSDDFLALRKREDSNKLAKLYLEEAPPMAKNIMKLNSWVVVAPILISYPQKPSTIPSLEPIFEDVVEDYPDDS >KGN47730 pep chromosome:ASM407v2:6:17489202:17490829:-1 gene:Csa_6G390130 transcript:KGN47730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSTESFLRQISSRRGEGSSRSTSRRWGGEFRRTEGEERVSEGSFWHQKMEAGGVNSMHGIDNGGMSRRKRVMVVVDHTSQSNHATMWALTHLANKGDVLTLLHVITNSSTDSSSAADSASSFCASSLGSLCKASRPEVEVEVLVIEGPKLATVMNQVKKLEVSVLVVGQRRPSLFSCFCGSGGAGDLVEQCINNAECLTIGVRKQSRDMGGYVINTRWQKNFWLLA >KGN48423 pep chromosome:ASM407v2:6:22776424:22778193:1 gene:Csa_6G486960 transcript:KGN48423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSLQNPNFFFDHHQQFDQDHSSSSIMDFLNFSGYPLPDFGLEAETTTFSLSEAETGDGSGSMKATSIDNNTIDDGWFEGKGVKRKKPRENGRTNRVAFITKSELEILDDGFKWRKYGKKSVKNSPHPRNYYKCSSGECGVKKRVERDRDDSSYVITTYEGVHNHESPFLMYCNGSKLFHPHPICPNSSSPPYSSTTTL >KGN45980 pep chromosome:ASM407v2:6:3032737:3038229:-1 gene:Csa_6G041160 transcript:KGN45980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSFKNFCTKKTVIGLGLGQFLSLLITSTGFASSELAKRGINAPTSQSFINYVLLAIVYGSIVLYRKKALKAKWYFYIPLGLVDVEANYLVVKAYQYTSLTSVMLLDCWTIPCVMLLTWLFLKTKYRFRKIAGVVVCVAGLVMVIFSDVHAGDRAGGSSPLKGDALVIAGATLYAVTNVSEEFLVKNADRVELMAMLGIFGAIISAIQISIIERNELKAIRWTAKAAIPFTGFSVAMFLFYSFVPILLQISGSTMLNLSLLTSDMWSIVIRIVAYNEKVDWLYYLAFAAVIIGLIIYSVGEKEEEDQLQANVGDEEAEHEKRPYKECPSRNRVQGISASSSKI >KGN48511 pep chromosome:ASM407v2:6:23323707:23327371:-1 gene:Csa_6G490260 transcript:KGN48511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNARVRGSIQTVKALNHDKKVKKLDSGRSKTMGNDKPGTIINRRKLNRERKIALLQDVDKLKKKLRHEENVHKALERAFTRPLGALPRLPPYLPPYILELLAEVAVLEEEVVRLEEQVVNFRQGLYQEAVYVSSSSRNSDISTDTMEPISTRIAKHRRTKSYCQNEFNSANSIARLQPSLARCSSSRKLLSNDTFFDRNGNGSNRFANGKHVPGKSSSFLFLPEDGLGKENQSYANTVKNKPSPEKKVDRIISPLKKSPLKQEFLEKNSSPMKSQLEFRLERERAKDNSSNLSDDTEASSSPNKISEDIVKCLSSIFIRLSSSKDKATDSSDTSSGPAELQDPYDACSDFKPRNIGPYRHLCAIEASSVDLDRSTNAVFLIHRLKNLFRRLASVNLAGLNHQEKLAFWINTYNSCMMNAFLEQGIPETHERVVTLMQKATIIVGGHLLNAITIEHFILRLPYHLKFTCPKAVKNDEMRARSVFGLEYSEPLITFALCCGSWSSPAVRVYSGCKVEEELEVAKREYLQAAVGISKTNNKLMIPKVLDWYLLDFAKDLESMLDWICLQLPNELRIEAVKCLERKGREPLSQLVQVMPYNFSFRMLLHR >KGN46349 pep chromosome:ASM407v2:6:5772004:5781192:-1 gene:Csa_6G087770 transcript:KGN46349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIKRTMKFETPNLKRCKLEEPDSADYMYSVNSKKRRTDGYYSYGIQREVEDLSSGSGSWYNNGFYWGDEIERNPKKLNGQRAVNRSVEKLRPPLLKSSRGRIQMLPSRFSDSVLHVWKKEDSKGSFTDSSIEDNDDEEEEEGVLEESRMRNKGLTLSKQQQWKDNYRLKNSKWDSSGKSEEEKDSPFMGFSNLNGSRNCSSKTVSPLEKEEKPTRLTYMGAKTDSNSERKRDIYKPEEFALGDLVWAKCGKRYPAWPAVVIDPLLQAPESVLKSCVPGSICVMFFGYSKNGTQRDYAWVRQGMIYPFAEFLERFKGQKQLHKSKPSDFQMAIEEALLAEDGYVDASVGSMLMSLREADVSGLPDASTSNQDLEYYSEKKVVNKGSRHCDGCGLLSLCKTLKKVKGPTSATQLLCKHCHKLRQSKQYCGVCKKIWHHSDGGNWVCCDGCNVWVHAECDKISSKLFKDLAHSEYYCPDCKVKFNLEPPHVQNNQSKANSADKGAEASIPDKIIVVCNGMEGAYIPDLHLVVCNCGSCGSRKQRLSEWEKHTGCRAKKWKYSVKVKATMLPLEQWIAEFNTNGIDSSKPLKLDNQQLSTFLREDYEPIYAKWTTERCAVCRWVEDWEENKIIICNRCLTIYIILHQHIYLNENKIFFNKQTNKQTSRCQVAVHQECYGAKDIHDFTSWVCRACETPDTSRECCLCPVKGGALKPTDAEGLWVHVTCAWFRPEVVFLNHEKMEPAVGIYRIPSNSFLKKCVICKQSHGSCTQCCKCATYFHTMCASRAGYFMELQCSEEKGRQITRKLIYCAVHRAPNPDAVVVVRSPSGVFSGRNLLQKQKGCYRGSRLVTSKIEEQSKSSASETNDFEPYSAARCRAYVRSNDKRVEGQRQPIFHRLMGPNHHPLDEIISLSTRREGADPKSFSSFKERLQYLQRTEKDRVCFGKSGIHGWGLFARRNVQEGEMVVEYRGEQVRRSVADLREARYQLEGKDCYLFKISEEVVIDATEKGNIARLINHSCMPNCYARIMSVGDNESRIVLIAKTNVAAGEELTYDYLFDPDELDELKVPCHCNAPNCRKFMN >KGN45970 pep chromosome:ASM407v2:6:2921935:2923542:-1 gene:Csa_6G040590 transcript:KGN45970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDQLHLLTVLDRAKTQFYHFTAVVIAGMGFFTDSYDLFCISLVTKLLGRIYYTVEGSPRPGTLPSVVAAAVNGVALAGTLAGQLFFGWLGDKLGRKRVYGLTLILMVVCSIASGLSFSSSPTSVITTLCFFRFWLGFGIGGDYPLSATIMAEYSNKKTRGAFIAAVFAMQGFGILAGGTVAIVISLIFKTLFKAPPYSVDAVKSTVPEADYVWRIILMLGSLPALLTYYWRMKMPETPRYTALVANDNKKACADMSKVLNVEIGQNVVNETQSNTNANSNFGFFSKKFLERHGIHLLGTATTWFLIDVAYYSQNLFQKDIFSAVGWLPPAKTMSALEEVFKIAKAQTLIALCGTVPGYWATVLLIDRVGRFFIQVLGFFFMTVFMFALAIPYRHWTGNHVGFVVMYGLTFFFANFGPNSTTFVVPAEIFPARFRSTCHGISAAAGKAGAIVGAFGFLYAAQSQDPSKTEPGYPAGIGMRNSLIILGIFNVFGLLFSFLVPESKGKSLEEMSKENEVGEDGECKVQAARSVEQF >KGN49469 pep chromosome:ASM407v2:6:28560994:28561809:-1 gene:Csa_6G525540 transcript:KGN49469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVISTQVLFLSFLALTCSIGLASDPSPLQDFCVADPNNPVKLNGIVCKDPKLVEAKDFFRSGLHVPGDTNNSAGSQITPASIVQIPGLNTLGISLARLDFAPNSIVTPHTHPRATEILTLLEGTLLVGFVTSNPENRLITKTLNKGDVFVFPIGLIHFHQNIAHRPAVAIVAFSSQNPGIVTIENSVFGSKPDIPTNILAKAFQTNPAIIANIQSKFLR >KGN47692 pep chromosome:ASM407v2:6:17092523:17097260:-1 gene:Csa_6G381820 transcript:KGN47692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPHFCYNSCIEKYRRLHKHTLCRLLARLYSSGEQTVPVSDSIKIICKTMMTCHTLALETALDQTGIRISPEIAEPVLRRFENAGMLAYRFFEWASKQRNYVHSVRAYHSMIESLAKIRQYQMVWDLVNAMRNKEILNVEAFCIIMRKYARAQKVEEAVYTFNVMEKYNMKPNVAAFNGLLSALCKSKNVRKAQEIFDNMKDQFVPDSKTYSILIEGWGRAPNLPKAREIYREMIDSGCIPDEVTYSIMVDVLCKAGRVDEAVEIVKEMDYNNCKPSSFIYSVLVHTYGVENRIEDAVSTFLEMERNGVMADVAAYNALISAFCKANKMKNVYRVLKDMDLKGVNPNSRTCNIIINSLIGRGETDEAFKIFRRMIKVCEPDVDSYTMIIKMFCGRKELDMALKIWKYMKKKQFVPSMHTFSVLINGLCQIGNATQACVLLEEMIEKGIRPSGATFGRLRHLLIKEGRKDVLKFLQEKMNLLVKEPLCD >KGN46512 pep chromosome:ASM407v2:6:6911286:6918024:-1 gene:Csa_6G105170 transcript:KGN46512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDRGGGSFVAVRRISQGLERGNTCHSTSAEVVAGSAAWLGRGLSCVCAQRRESDARPSFDLTPAQEECLLRLQNRIDIAYDSSITEHQEALRTLWNVAFPEEELRGLISEQWKEMGWQGKDPSTDFRGGGFISLENLLFFAKNFPKSFQDLLRKQEGDRSLWEYPFAVAGVNITFMLIQMLDLEAVKPRTLVGATFLKFLAENDSAFDLLYCITFKLMDHEWLAMHASYMDFNTVMKATRRQLEKELLIEDISRLEELPSYGLLNR >KGN46740 pep chromosome:ASM407v2:6:8980313:8981313:1 gene:Csa_6G128010 transcript:KGN46740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTFSYLSTATSLSNIPRMLPPMGNLHLPSSQFFPNFNFNTKTSLFTLFTSTHKPQLRYKSRPRASVQCLFTGIVEEIGHVKNLGIDENGGFDLEINARRKTSLVELSPGSPVNLERAVQPISRMGGHFVQGHVDGTGEIISMDPEGDSLWIKVKTSEALLNYIVPKGFIAVDGASLTVVDVFDDEKAFNFMLVAYTQTNVVIPLKKVGHLVNLEVDILGKYVQRLLSNGAVKPIESP >KGN47006 pep chromosome:ASM407v2:6:11369248:11372240:1 gene:Csa_6G168230 transcript:KGN47006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRLRASGTSIVSSISAARLKRKAVNSWTAVQDTFYSTKDVFERHKVVFTVGTSVASVATAWIGYTLRHYHDIRVDRRLESIEEAMRTRRQLEHSELTKAVNVGKISVPACFATAGTCLIIGYCLGWRGGKQYANKQFRREQMKLLGEIKPRWPLLMRLKPKELIFPFRRSSGKAGMKESGSKIQNKTLKDAAAQEHLEKVTSPNQ >KGN46093 pep chromosome:ASM407v2:6:4040987:4044474:-1 gene:Csa_6G052680 transcript:KGN46093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVSSSRGLCDEGDWPPGFRFHPTDEELILYYLKFKICGRKLKLDIIRETDVYKWEPDELPGQSKLKTGDRQWFFFSPREHRYPNASRLSRATRYGYWKATGKDRIIQCNSRNVGVKKTLVFYLGRAPNGERTDWVMHEYTLDEDELKRCKNVKDYYALYKLYKKSGPGPKNGEQYGAPFREEDWVDDAGCLEPQVKIVDEVDPVVCERDNGQIQISSEDIEEFMKQMVNDPVLELPLVNGYHQLGSALQVDDKEETASTMIDDYTHEHILPQLDKVCHFSVQPSDLNASFDFTQSGISQLQPFEAEVSSAPKDCEEEGDFLEINDLVGSEPTPVANVNPLGNIPPSELDGLSELDLFHDANMFLRDLGPIAPETVLDPYLNALDVDVADNSNGNWQYDPYQQIQTDNVFWKNNETENAFSIQSNGHSFNQIPESHGQFVTQSNLGVGYESVSSTAAGTREIQSANDGGGSTSWFSSNLWAFVESIPTTPASASENVNRAFERMSSFSRLRLNTLNTLNTNVAVRNPETGARRRTGMNKGFFLFSILGVLCAILWVLIGNVRLSGNFISS >KGN49378 pep chromosome:ASM407v2:6:27976832:27979966:1 gene:Csa_6G522720 transcript:KGN49378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCPPAGSRLYPVKSTSPKLLQAFNLNVTVSLSPAFRNTLWNPTTCFICVEEIPPGGTEYTSSTWLPSLSPVFSTSIWNSISSVQVRSSTRTAGHSGLKVPLV >KGN49255 pep chromosome:ASM407v2:6:27357927:27360377:1 gene:Csa_6G518110 transcript:KGN49255 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SIS1 MGVDYYKILQVDKSAKDDDLKKAYRKLAMKWHPDKNPNNKKEAESKFKQISEAYEVLSDPQKRAIYDQYGEEGLKGQVPPPGAGGPGGASFFQTGDGPTVFRFNPRNANDIFAEFFGFSTPFGGMGGGGGGGGSGMGMRGGPRSFGGGMFGDDMFASFGDGQPMSQGPRKAAPIERRLPCSLEDLYKGTTKKMKISREIADASGKTLPVEEILTIEIKPGWKKGTKITFPEKGNEQPNVIPADLVFIIDEKPHSTFTRDGNDLVVTRKISLAEALTGYTAHVTTLDGRSLTIPINNVIHPDYVEVVPREGMPIPKEPSKKGNLKIKFDIKFPTYLTSDQKSGIKKLLVS >KGN46645 pep chromosome:ASM407v2:6:8088350:8093493:1 gene:Csa_6G117750 transcript:KGN46645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWKIKLLVEKFVEEVKAEVEADVENRMRKEEEKQLSDRERWNAQLSRREAEVARQELILRMEKEEFEKEKMEVLKGGTAIIQHNEDGALEIIHNGDKYRCLRFAKANK >KGN48761 pep chromosome:ASM407v2:6:24959823:24960774:-1 gene:Csa_6G500530 transcript:KGN48761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYKSLLFLSILLSFSAIAFSDDADCVYTVYIRTGSTLKAGTDSVIAATLYSADGDEVRIKDLEKWGGLMGPDYNYFERGNLDIFSGRGPCLSGPVCSLNLTSDGSGPHHGWYCNYVEVTKTGVHMPCEQTLFTVEQWLALDVSPYELTAIRNECLSGSGIRGDLKLQ >KGN49414 pep chromosome:ASM407v2:6:28186394:28187174:1 gene:Csa_6G524030 transcript:KGN49414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLTATTTMEDPHRLQNIPSFKLSRYSSSARDSDASDDLRYTSLKDILLNSPSYSGVNDFNEFNSSNISIRNELVKRAASAYLQSAAILVSRNESGFVGFWERLRIKLAALRSRWCDCFHRIFGFFSFALD >KGN48659 pep chromosome:ASM407v2:6:24341847:24344208:-1 gene:Csa_6G497100 transcript:KGN48659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISSLKWISDWEIEDASPFMDEFHIDPFEFPLDALQFDDLYDLPVLPYAVEERPAKRVKVEEDVEAGGGGINKCKTTFVGNNHKGDSSLSSSQIISFENNWNYESVKNWNCTNGKRSCSMNGREHVIAERKRREKLSQRFIALSALIPDLNKADKASILGGAIRHVKELQERLKVVEEQTTSKTSKPQSPVVCVKRTTLQPSSSDDDTSSSDENSFSGRLRSTPEIEVRFVNNDVLIRIHCHKRKGCLSYLLNKIQSFNNLTILNTSALPFSHSNLDITIVAQMDVGFHMTVEDVVKNLRQALLDFN >KGN46546 pep chromosome:ASM407v2:6:7194982:7196704:1 gene:Csa_6G108440 transcript:KGN46546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLNSQIESLWLLALASKCSSLTEQNFLFSSLLLLLISFLLSIFYWAHPGGPAWGRRRRSSALIPGPRGFPVIGSMNLMTGLAHQKIASVAKSLQATRLMAFSLANTRVIVTCHPDVAKDILNSSVFADRPIKESAYSLMFNRAIGFAPYGVYWRTLRRIASQHLFSPKQIKSSESQRRQIASQLVKILSSTATSSTHHRIRQTLKTASLNSMMGSVFGRFYNLSDSNPEVELLQSLVDEGYDLLGLLNWSDHLPFLGDFDPQRIRFRCSRLVPKVNNFVTRIIDEHRQNSNRETMDFVDVLLSLQQNENLSDSDIISVLWEMIFRGTDTVAVLIEWILARMVVHEDVQKKVEEELDNVVGKSRAVMESDIPSLVYLTAVVKEVLRLHPPGPLLSWARIAITDTTIDGYHVPRGTTAMVNMWSIARDPQIWSDPLEFMPERFLSAGPGGGGDVEFSIMGSDLRLAPFGSGRRTCPGKALAWTTVTFWVATLLHEFKWLPSPNQNQVVNFDEVLKLSCEMANPLTVKLCPRRISNN >KGN46631 pep chromosome:ASM407v2:6:7974058:7974444:1 gene:Csa_6G116150 transcript:KGN46631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSQGLDMPPDEMLGTRQSSEGRNCSSGSKRKHGGQHYEAVDVISNEMEVRNDQLKAIANWSKEKRATEVELHVEVVKQLQDISELRTRDRVKLMQIIFHMVDDIEGFLSCPTKLKLEYCRVLLEDNV >KGN49384 pep chromosome:ASM407v2:6:28003961:28006775:-1 gene:Csa_6G522770 transcript:KGN49384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAKNPQKSSSASARDSSEASSENTGLRVKRSENMKSAAKASRFNTDKRAKTIKKPNSKQKKKNDKFDVNKPKKPPTAFFYFLDDFRKEFQEQNPDVKTMRDVGKACGEKWKTMTYEEKVQYYDIATEKRAEFDKAMTEYKKRMESGIDQESEEDWAIDG >KGN48827 pep chromosome:ASM407v2:6:25318973:25320117:-1 gene:Csa_6G502650 transcript:KGN48827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNEVEISIIGPGPQGPIIARLLGLLKMKTALRNSKNFKERRKQKFSIMSSDLDGGDDDISPISLILPSEEKDAVASELLHHFIPSIDSTLSIRQLPSQGLSFQLWPAATTLVNLLDDHRSRPQTNPLTPTLTALRHASKSPTLKVLEIGSGTGIVGIAAAATLRAKVTITDLSHVISNLQFNVEANAGILAANGGCVQVAPLHWGEAIDAELIELDFDLILASDVVYHDHLYNPLIQTLKDFLLGGGNPNMVFLMAHLRRWKKDSAFFRKARKFFEVEVLHTDPPPPGSRTGVVVYRFTAKLSKKPAIGSANQG >KGN48116 pep chromosome:ASM407v2:6:20551854:20561950:-1 gene:Csa_6G434360 transcript:KGN48116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSSFHDNASGSSNSYDGYSKLSYVTPSGPRSKSGLTRPRMTKVRRQTSSQDLRSATVPETLRPFTGNSFAVPLGGGQDSVSCKSGGIGNQPFVFGENRSTSTSSNLEMSGREIFDGMKKLNIASVDEVGIARDEKFVFNGGNSRTSKTDVFDKGGKEAIESKLPDDMRKLNIEEGQGNAIPVEKTRNESSRLRSNEQAKVGLWNSNVDNPIVSELPNKLEHLNIEDSGHRDIGSAAFKADGVDMFGLDRGKGVTNSAVGSSADSLPEKIKGLNIKGTSNSTNINTHKEKFVSERTQRTSGNFVEQKDIFLSRKMEEMKLDKRTPSSGGITETTEMQNFSYLDRNPNQPLATNMKSQKLQECKDMGGNQFPSYAQKDGNDQNNVAMPSSIFHSDIQFNAVGSTFQATDTNRNKETCYFRSTTKQENPGSSFVECETSDVNPYIFSAGMTQNFQFNAQRDPTREFGPKSRSGRYNSTTVQLHIDQETQDFVSRDRDPLERDKASEPYSPMDASPYQETLASDPISPENSVTSNESLVLDHNSVEFDESVPEVLNDVIDEDLLNATESLNISEPGLSATEVEVDHGSLYHSNTNQGAEGPVDESISGADTESYKSANEELDLSGDLAAISEETEASSSLKLERQDSDGRKQFSFASNSEDASRSNFIFAASFAAQGQSSASKRQYKKKSWGKVGQDSHMSPTIGIEVPLSSSSAQFVTFSGNSSPISSQKSQKGDSSMAQHKYGVGSWVNKGPEMKQEPVSTIEATVAAQEACEKWRLRGNQAYASGDLSKAEDHYTQGVNCISRDESSRSCLRALMLCYSNRAATRMSLGRLRDAISDCTMAAAIDPGFYKVYLRAANCYLGLGEVENAIQYFKRCLQPGNDICVDRKVVVEASDGLQNAQKVSEFTKRLAELQLRSTSSDMQSALELISEALVISSCSEKLHEMKAEALFVLQRYEEVIQFCEQTLNSAEKNYPSEDIGSQTSNLDDSEISKKFYFRIWRCRLTLKSYFLLGKLEEGLASLEMQEERASAMIGNGRKFLESSIPLAITMRELLRHKAAGNEAFQQGRYAEAVEHYTAALSCNVESRPFTAVCFCNRAAAYKAQGQVIDAIADCSLAIALDEEYFKAISRRATLYEMIRDYGQAANDLQKLVSVFSKELEKTYQYATSDRSGTSTNDLRQTRLRLAEVEEESRKEIPLDMYLILGVDPSASSAEIKKAYRKAALRYHPDKAGQSLARADNGDNVLWKDIAGGVHKDADKLFKMIGEAYAVLSDPIKRSRYDAEEEMRTAQKKRNGSSTPRSHTDVHQSHQFERNSVRPQWRDLWRSYGARGSEFPRSTRYS >KGN46165 pep chromosome:ASM407v2:6:4664965:4667387:1 gene:Csa_6G061760 transcript:KGN46165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGYTGVSNKGGEVDLESGETLYPGLSYGENQLRWGFIRKVYGILAAQIVLTTIVSSVTVLYSPINDLLRGNSGLLLFLCFLPLILLWPMYIYRQKHPLNLVFLGIFTATLSLTVGVSCANTDGRIVLEALILTSAVVSSLTGYTFWASKKGKDFSYLGPFLFTALMILLLTSFIQAFFPLGPTSTAVYGGIGAIIFSGYIIYDTDNLIKRFTYDDYIWAAITLYLDILNLFLTILRMLRQGDN >KGN46091 pep chromosome:ASM407v2:6:4028926:4029108:1 gene:Csa_6G052660 transcript:KGN46091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRRKERETLGIGCGVALCAVISPACEFARAILTKLRRRPCPNIYNGSTENCGSQKECSR >KGN46839 pep chromosome:ASM407v2:6:10027245:10033822:1 gene:Csa_6G141360 transcript:KGN46839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAIAHHRESSTGSITRHLDSSGKYVRYTSEQVEALERVYAECPKPSSLRRQQLVRDCPILSNIEPKQIKVWFQNRRCREKQRKEASRLQTVNRKLNAMNKLLMEENDRLQKQVSQLVCENGFMRQQLHTVPAAATADASCDSVVTTPQPSRRDANNPAGLLSIAEETLAEFLSKATGTAVDWVQMPGMKPGPDSVGIFAISQSCGGVAARACGLVSLEPSKIAEILKDRPSWFRDCRSLEVFTMFPAGNGGTIELVYTQVYAPTTLAPARDFWTLRYTITLENGSLVVCERSLSGSGAGPSEAAAAQFVRAEMLPSGYLIRPCEGGGSIIHIVDHLNLEAWHVPEVLRPLYESSKVVAQKMTIAALRYVRQIAQETSGEVVYGLGRQPAVLRTFSQRLSRGFNDAVNGFNDNGWSLINCEGAEDVVLTVNSTKNFGTTSNPANSLTYPGGVLCAKASMLLQNVPPAVLVRFLREHRSEWADFNIDAYSAATLKANSYTYPGMRPTRFTGSQIIMPLGHTIEHEELLEVIRLEGHPMVQEDAFVSRDIHLLQICSGIDENAVGACSELIFAPIDEMFPDDAPLLPSGFRIIPLDSRTSDAKGSQRTLDLTSSLEVGSGTSNTAGDASSSQSARSVLTIAFQFPFESSMQDNVANMAHQYVRSVISSVQRVAMAISPSGGGPALGPKLSPGSPEALTLAHWICKSYSLQLGTELIKSDSLEGDSLLKNLWNHQDAILCCSLKSLPVFLFANQAGLDMLETTLVALQDITLDKIFDESGRKALCADFPKLMQQGFAYLPGGICASTMGRHVSYEQAVAWKVLEADETTVHCLAFSFINWSFV >KGN45626 pep chromosome:ASM407v2:6:178087:185545:-1 gene:Csa_6G001720 transcript:KGN45626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSVQRSPRLDQIHGEIRDSFRPIPYTNTPANKRVELFDERGINESSTDDNVQMTPSIISQELNNVGKKIMDDSDPAIESSKMVVEQTIEMGRQSAFTLEGQATATKKIIAICQSGGEFVKNKDGSLSYTGGEAYAIDIDQQTNLNDFKTEVAEMFSCSIDTMSIKYFLPGNKKTLISVSKDKDLKRMVNFLKDSVTADVFILSEEAAARNLSNMPASRSSRTTVSEAVVPVVEPVDVGVEAIITMDQIGMDISSEVPLICVPAGSSDEKHRKAAQQWENAIIGVDQRFNSFSEFREALHKYSIAHGFAYRYKKNDSHRVTVKCKYQGCPWRIYASRLSTTQLICIKKMNTHHSCEGAAAKAGYRATRGWVGNIIKEKLKVSPNYKPKDIADDIKREYGIQLNYSQAWRAKEIAREQLQGSYKEAYNQLPYFCEKIKETNPGSVASFTTKDDSSFHRLFVSFHASISGFQQGCRPLLFLDSTPLNSKYQGFFFTATAVDGEDAIFPAAFAVVDAETEENWHWFLLELKSAVKRSEQITFVADFQNGLNKSLGEIFDKSYHSYCLRHLAEKLNNDLKGQFSHEARRFMINDFYAAALATKLEDFQRCAESIKGISPDAYNWIIQSEPEHWANAFFGGARYNHITSNFGQQFYSSISEAHELPITQMIDVLRGKMMETIYSRRVESDQWVTKLTPTNEEKLQKEISIARSFQVSLSHGNIFEVRGESVYSVDVDNWDCSCKAWQLTGLPCCHAIAVIECIGRSPYDYCPRYFTVESYRLTYAESIHPIPNVDRLILGESTQAIVTVTPPPTRRPPGRPKMKQNESLEVVKRQLQCSKCKALGHNKKTCKDS >KGN47595 pep chromosome:ASM407v2:6:16410048:16411611:-1 gene:Csa_6G363020 transcript:KGN47595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESRREKLNEAAIEGNVTTLLELLQQDQLLLTRLNYLNDFKETPLHVASLLGHLTFVHELLKRIPRLAKELDSRGCSALHFAAAEGFLDIVKILVRVDPDMCSICNQDGMNPIHLAAMRGRIDVLAELVRVRPTAARTAVDGGGTVLHLCVKYNQLEALKMLIETIGVKDRDNGFINSQDNYGFTILHLAVSNKQLQTVKYLINNNTKIQVNAKTSNGFTALDILSQSHRDLKDMDIAETLTAAKAVRTTNKKPPPPPPSSSNCVEKNKRTGLRWAFSALFHGGDWWFPNETSEWLMKQESLMVVASLIATMAFQAGLSPPGGVWGDDSPGAGTSVMAAKAEETYQKYLVANSIGFMTSFIAIVMILVGLPKKRIFMRFLIMTMCAAVCSMAFTYGYSISFFTPVSPGISPAPSPQPFQAGSVTDAGYKPKSVISWISVIVAIVVTSSMGVFLIGKLFYVHSRENKSTEHPDSPL >KGN48508 pep chromosome:ASM407v2:6:23301401:23303177:1 gene:Csa_6G490230 transcript:KGN48508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDNSSKYVVVLVISFFFLNQVLVSSQLDYRFYDASCPNLTRIVRYGVWMAVSNDTRMAASLLRLHFHDCFVNGCDGSLLLDDTNTFKGEKNALPNVNSVRGYEVIDNIKAVLEKFCPSVVSCTDIVTLAAREAVYLAGGPFWQIPLGRRDGTTASESEANQLPSPVEPLEDIIAKFTSKGFNVKDVVALSGAHTFGFARCMMFKHRLFNFDGAGNPDPELDVMLRQNLQNNCPNQDDSNNKFAPLDAYTINRFDNVYYRNLVNKLGLLQSDQDLMKDNTTASLVVSYSRYPYMFYRDFGASMVKLANTGILTGQNGEIRKNCRVVN >KGN47896 pep chromosome:ASM407v2:6:18910132:18920509:-1 gene:Csa_6G410020 transcript:KGN47896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNFTKVDTQVLCHILPSLVKLRKEGLDGQEKIKSYIWWMSLGFAILEALVLSCYSLPYSVYVANHRVKHVMVTSFLLVCGAMTITWICDTISESGFGQGSSLIICVGILTGYTETLYKMLSQLSGGAVVWWPYVLALLGIFTVVTMWAVVVTEGCRKIKLQYYGFKLASAAREDSPITEVEPYIPFNINPAGMQPILTTTYLLAFPSILASLLNSTFWEHVKEILNPESSIGAEPWVYYLIYAVFVFLFNIFDIVSFLIFCLWFWSHSPSYAVKAVC >KGN46864 pep chromosome:ASM407v2:6:10234839:10235168:1 gene:Csa_6G147570 transcript:KGN46864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLFPYFFIYLLFLLLLSSPTQSMCARCVPPSAPSTIVRPLATPDNAEAYETVKPQLINRKRLVFGGNEVKSCLPKGFRRSSAPSRFVNYHTSGGCSPTTDHSINTNP >KGN46064 pep chromosome:ASM407v2:6:3824004:3828850:-1 gene:Csa_6G046420 transcript:KGN46064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASERPLLIISPRTPKTVSHDLQKPELNRPGLFFAMDSRTSNENSASTELGYRSFSRRSQSSLQSKTSIREVGSSEFGSRPVRHGSRGGDSEVFSISQKEISDEDARLIYIDDPEKTNEKFEFARNSIRTGKYSILTFLPRNLFEQFHRIAYIYFLVIAVLNQLPQLAVFGRGVSILPLAFVLLVTAVKDAYEDWRRHRSDKIENNRLASVLVDGQFQLKKWKNIRVGEIIKIGANDTIPCDMVLLSTSDSTGVAYVQTLNLDGESNLKTRYAKQETMSKMPDKEKIVGLIKCEKPNRNIYGFHANMEIDGKRLSLGPPNIVLRGCDLKNTSWAVGVAVYAGRETKAMLNSSGAPSKRSRLETRMNVEIVMLSFFLVALCTVVCVLAAVWFIRNRENLDILPYFRNKDFSKTPPETYNYYGWGLEAFFAFLMSVIVFQVMIPISLYISMEVVRVGQAYFMIRDTQMYDETSNSRFQCRALNINEDLGQIKYVFSDKTGTLTENKMEFRCASIWGVDYGGESSIPLDEQIGYSVRVNGKVLRPKLVVKTDPELLQFSRSGRHTRDGRYIHDFFLALAACNTIVPLITETSDPSVQLIDYQGESPDEQALVYAAAAYGFMLIERTSGHIVIDIHGEKHRYNVLGMHEFDSDRKRMSVILGCPDTTFKVFVKGADNSMFKVMGENLNTNIIQSTKAHLYSYSSKGLRTLVIGMKELSSSDFDKWHMMFEEASTALIGRAAKLRKVASSIENNLFILGASGIEDKLQKGVPEAIEALRTAGIKVWVLTGDKQETAISIGYSSKLLTNKMTQIIINSNSAESCKRKLEDAIIMSKTASGASLDNERSTEVVTTSIALIIDGSSLVHILDSKLEEQLFQLSCNCSVVLCCRVAPLQKAGIVALVKKRTSDMTLAIGDGANDVSMIQKADVGVGISGLEGRQAVMASDFAMGQFRFLVPLLLVHGHWNYQRMGYMILYNFYRNAVFVLVLFWYVLFTGYSLTTAINQWSSVLYSIIYTCLPTIIVGILDKDLGRRTLLSYPQLYGAGHRQESYNSRLFWLTMIDTVWQSIAIFFIPLFAFWATNVDISGLGDLWLLATVIVVNLHLSMDVVRWYNFTHAVIWGSTLATVICVIVLDSILSLPGYWAIYHVASTASFWLCLLCIIVAALLPRFVVKYLYQYYCPCDIQIAREADKFGLTRELGVVQTEMIPVLNNSSQV >KGN49105 pep chromosome:ASM407v2:6:26643872:26647952:-1 gene:Csa_6G513690 transcript:KGN49105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLNLGPFQGCARASSILLHVSDFGSGSSANSLSFRNDVIKLRKWESSAFVVLPPRAITSVEDESPAVASSQTIINRTLEDPKVSRKDLSILPKPLSATDLHSPNDGSKVRVAYQGLPGAYSEIAALKAYPKCETVPCDDFEAAFKAVELWIVDKAVLPIENSVGGSIHRNYDLLLRHRLHIAGEVQLQVNLCLLGLQGVRKEELKNVLSHPHAFEQCETTLSTLGVMRISIEDTAAAAQMVSSGGERDTGAIASARAAEIYGLNILADNFQDNDNNITRFLILAREPVIPGTDKLYKTSIVFTLEEGPGVLFKALAVFALREINLTKIESRPQRQRPLRVVDDSNEGRAKYFDYLFYIDFEASMMEPRAQCAMAHLQEFSRFLRVLGCYPVDKV >KGN48932 pep chromosome:ASM407v2:6:25885360:25888961:1 gene:Csa_6G507070 transcript:KGN48932 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit alpha type MARYDRAITVFSPDGHLFQVEYALEAVRKGNAAVGVRGTDTIVLGVEKKATPKLQDSRSVRKIVNLDDHIALACAGLKADARVLINRARIECQSHRLTVEDPVTVEYITRYIAGLQQKYTQSGGVRPFGLSTLIIGFDPYTGAPSLYQTDPSGTFSAWKANATGRNSNSIREFLEKNYKETSGQETVKLAIRALLEVVESGGKNIEVAVMTKEHGLRQLEEAEIDALVAEIEAEKAAAEAAKKAPPKET >KGN45830 pep chromosome:ASM407v2:6:1553165:1553795:1 gene:Csa_6G014510 transcript:KGN45830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLFKKLPLFFFLFTLILLISVSSSSARLLRQQSLSPALKLDIPADPAAPTMTEYESLLLGFLPKGGSIPPSGPSKGTNNFNT >KGN46970 pep chromosome:ASM407v2:6:10910852:10919554:-1 gene:Csa_6G154510 transcript:KGN46970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDQRDDVAPSEQAPSNASSWWSSDFEDKFGSVSLGPREDIVNEKEEIINSDQDVLFSPQTASQILWRTGMLCEPIPDGFYSVILDKRLKDRFHSIPSLDELRALEVEGYRNDVILVETEKDKKLSMLKQLILTLVKGLNSNPAAIIKKIAGLVSDFYKRPILESPAKGALEETSHLFEDRGIQLLGQIKFGSCRPRAILFKALADTVGLESRLMVGLPNEGATGCVDSYKHMSVTVVLNSVELVVDLMRFPGQLLPRSTKAIFMTHISAAGESDSAENDSCDSPLEPNSPLYGFSERVDPDSVEKDESLQFHRKFDATSNAHGNSLRNMMLRSSTALDRKLSLSHSEPNIANAFWRRSRRKDIAEQRTASSSPEHPSFRARGRSMLSGDRKAFRDFSDDVSTSRSDGASTSTSEARRLRRRSISITPEIGDDIVRAVRAMNETLKQNRLLRGQEDDRSFSHPSNERNSSSDVRRNDQVGSQRAISLPSSPHVYRGQTSDGIGHSAYGNDELTFKWTKVLESFSLNDKPLLPYPEWNIDYSELTVGIRIGIGFFGEVFRGIWNGTDVAIKVFLEQDLTPENIEDFCNEISILSRLRHPNVILFLGACTKPPRLSMITEYMEMGSLYSLIHLSGQKKKLSWRRRLKMLRDICRGLMCIHRMKIAHRDLKSANCLVNKHWTVKICDFGLSRILTDAPARGSPSAGTPEWMAPELFRNEPFTEKCDIFSLGVIMWELCTLNRPWEGVPPERVVYAVGTEGSRLEIPEGPLGRLISDCWAEPNERPSCEEILSRLLDCEYSLS >KGN46615 pep chromosome:ASM407v2:6:7818347:7821002:1 gene:Csa_6G113520 transcript:KGN46615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITNLNLISCNFFSPSLPSRVSKLTITHQTQTQTRNPKTIRFPIITPFKSYPNFNSSSSKMGLFRKWRSASGSQTTGDPVAANGSPVEGESGGSGGGGNGGEGRDWTTSILLFVLWAGLMFYVFNFAPNQTPSTDLYFLKKLLNLKSDDGFKMNEVLVSLWYIMGLWPLVYSMLLLPSGRSSNSNVPVWPFLVLSFFLGAYGLLPYFVLWKPPPPPVEEDDLKRWPLNFLESKFTAGITFAAGLGILFYGGLAGESAWKEFYQYFRESRFIHAMSIDFMLLSSFAPFWIYNDMSARKWYNQGSWLLPLSLVPFLGPALYLVLRPLPKVTPIPLNSAASEPK >KGN47638 pep chromosome:ASM407v2:6:16650493:16652951:-1 gene:Csa_6G366360 transcript:KGN47638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLYMPLFKASTTLRTLAQLHAHIIVTALHNDPLPSTKLIESYSQLGDLQSSTSVFRTFHSPDSFMWGVLLKSHVWNGCYQEAISLYHQMLSQQIQANSYTFPSVLRACSGFGDLGVGQRVHGRIIKSGFDMDPVVNTALLSVYGELGYLDSARKVFGEMPLRDLVSWSSIISSVVENGEINEGLDAFRCMVSEGGTPDSVLVLTVVEACGELGVLRLAKSAHGYILKRGIENDRFVDSSLIFMYAKCGSLRSAEIVFENVTYRSTSTWTAMISSYNLGGYLKEALALFVSMQKTEVEPNSVTMRIILRSCTNLSLLREGKSVHCVVIKNDLDANLDCLGPTLLELYAATAKHDLCEKILHEIGGRGIAVWNTLISVYAQKGLLKETVDLFVRMQKQGFMPDSFSLASSLSASGNEGELQLGLQIHGHVIKRPFMDEYVFNSLINMYSKCGYVDLAYMIFDQMEPKGVVTWNSMISGLSQNGYSTKAISLFDLMYVTCPEIGEVAFVSVIQACSHLGFLEKGKWIHHKLITCGVRKCIFIETALVDMYAKCGDLQTAQRVFDNMSERSVVSWSSLISSYGVHGQISEVIFLFSKMLESGIKPNDVTVMNVLSACSHAGCVKEGMLFFNSMRDFGIEPKREHFVCIVDLLSRAGDLDEAYEIIKLMPFPPGASIWGALLNGCRIHQRMDIAKNIQRELWNIQTDDTGHYTLLSNIYAAGGEWNEFGEVRSMMKGTGLKKVPAYSVVELGKKAYRFGAGDASYPQLKYTYSTFNNAQRSSREEVSSVQPHFSLYHTSSHSIRHLSS >KGN47639 pep chromosome:ASM407v2:6:16658237:16661249:-1 gene:Csa_6G366370 transcript:KGN47639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKSEVDHLEDGYRWRKYGQKAVKNSPFPRSYYRCTNSKCTVKKRVERSCEDSSVVITTYEGQHCHHTVGFPRGGLTIAHETSFGSQFSPQIPHFFYPDPPPPPTTTNNHNPPTPPIDQPLHHFPSTPSSTEQQEPPNSNLQQLPSNEGLLGAIVPHAMMRRTT >KGN48068 pep chromosome:ASM407v2:6:20217258:20217968:-1 gene:Csa_6G428010 transcript:KGN48068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSEERTFVEILEDNIPIDMAKYITFVSAPQAGAISTFSGTTRDNFEGKTVVELRYEAYVPMAIRSIKSICSSARSSWNLHSIAVAHRLGPVPVGEISVFIAVSAVHRADAMDCCRFVIDELKACVPIWKKEVYDNGEVWKENSEFMDRRLELVNKEGDDHNENETAVVRHNRKSCCGSKVKVMNEEPSKCIISSDHEEGNHQHNVKNENENENEEAQLQRNIRKSCCGSKVKLNE >KGN47204 pep chromosome:ASM407v2:6:12760419:12764245:1 gene:Csa_6G199800 transcript:KGN47204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVITSFSSCKFHAKLPRFSTSFFSDHLASTTRSSFYPARFNYRRLPFKMAAPLQVSASSTIGASDEKLETSSSGFTGAHDLLIIGPGVLGRLVAQKWREEHPGCEVHGQTFTADHHEELIQLGIQPSLKGGDVGRRFPYVIFCAPPSRSPDYPGDVRLAGSSWDGEGTFLFTSSSAPYDCNDNGPCDEDSPVMPIGRSPRTDLLLKSEKIVIEFGGCVLRLAGLYKADRGAHSYWLEKGTVDVRPDHILNLIHYEDAASLSVAILKKKLRGRIFLGCDNHPFSRQEIMDLMMKSGKFSKKFQGFTGTKDPLGKRLNNSKTRAEIGWEPKYPSFGQFLETI >KGN48722 pep chromosome:ASM407v2:6:24722131:24725459:1 gene:Csa_6G499170 transcript:KGN48722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQHQINVPLLQHSTSTFQPHHQDYLPTRIWIESKKLWYIVGPSIFSRIISYSILVLAQAFAGHLNDLDLAALSIAVNVIIGFDIGLLLGMASALETLCGQAYGAKKYYMLGVYMQRSWIVLFLCCVLLLPIFFFATPVLKLIGEPDELAEKAGVLSIWFLPLHFSFAFYFPLQRFMQSQVKVWPIVWSAVAALLMYLLASWVLVIEWKMGVEGIVLACNIGWLVMPIILMGYTVWGDCRLTWTGFSVDAFSGLWEFVKLSAASGVMLCLENWYYRILIVVSGNMKNPEIIVDALSICMSINGLEIMIPMGFFVGVGVRVANELGAGNGKGAKFATIVSSATSLIIGLVFCCLIVIFHDSFGLLFSSTPHVLQEVDKLTLLLTFTILFNSIQPILSGVAVGSGWQSYVAYINLGCYYIIGLPLGILLQWFTDLGVKGIWMGMIFGGTGVQTLILLIITIRCDWEEEAKKASLRVERWTDKKFESKE >KGN46764 pep chromosome:ASM407v2:6:9218006:9222519:1 gene:Csa_6G133730 transcript:KGN46764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASAMVLDPKSSLEPPASLPPMRSDLQGLAEQSSDEDDLYSRLKSLQRQLEFIDIQEEYVKDEQKNLKRELLRAQEEVKRIQSVPLVIGQFMEMVDQNNGIVGSTTGSNYYVRILSTINRELLKPSASVALHRHSNALVDVLPPEADSSISLLSQSEKPDVTYNDIGGCDIQKQEIREAVELPLTHHELYKQIGIDPPRGVLLYGPPGTGKTMLAKAVANHTTAAFIRVVGSEFVQKYLGEGPRMVRDVFRLAKENAPAIIFIDEVDAIATARFDAQTGADREVQRILMELLNQMDGFDQTVNVKVIMATNRADTLDPALLRPGRLDRKIEFPLPDRRQKRLVFQVCTAKMNLGDEVDLEDYVSRPDKISAAEIAAICQEAGMHAVRKNRYVILPKDFEKGYRTNVKKPDTDFEFYK >KGN48851 pep chromosome:ASM407v2:6:25426178:25426941:1 gene:Csa_6G502870 transcript:KGN48851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTVEVKAMGVYKLLQIMVKIFRFTEVLVAMGFLIWTFSRLPLVLRVSSDFISQISNYFTSPVFGFILCNIIIVSLIAKPHNFSCGKINSDKNVETVLFNELVEDGAVTSLSLAGSEPNGRLCSDTEQEEIAYEDKEVISEVTSTQTAVFSEKMEDFGLESEKLKLLWREYGGGELRRVKAEEEAIWGGGVSREGVGNGEELSNKEFERRIDAFIARELRFRWEESGAVVLRN >KGN49157 pep chromosome:ASM407v2:6:26852947:26854019:-1 gene:Csa_6G516650 transcript:KGN49157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISPQPPSSRNSIELLPFVSAKMKKTYGIVVCILIIILDVTAGILGIQAEIAQNKVNHFKMWIFECKDPSYNAFKLGLAAAILLALAHAIANLVGGCILVRSAQDYKGLSANKQLAVGSLIFAWIALVVGFSLLISGAMYNTRSRKSCGLAHNQLLSIGGIVCFVHGLFAVAYYVSVTAGQREDTKPPPQGNPAGATGHV >KGN46918 pep chromosome:ASM407v2:6:10585575:10585799:1 gene:Csa_6G150570 transcript:KGN46918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRGKLGIYSRRRSDDVDKEKRKERRIFSGRGRAIAGYSRRVGLVCFGIQNWAACRLQLVTGYGQPAIARRQFA >KGN47352 pep chromosome:ASM407v2:6:14495809:14497835:1 gene:Csa_6G302690 transcript:KGN47352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLDIFCKSRASTAVRSSFARRPLTGDAHSGDRRKGQLHFENHRKSTSCSTLNRKELNDLRRKSCADVDDLKSPVSGSSARYLLGDSPFLDWFPAVSGEEVPALMPEKRKIISDNSQKSFLLNRSLTVREYGGLKSPSSVLESPVLKTPSLTQSRDQVVVLKVSLNCRGCEKKVKKHISKMEGVTSYSVDFTTKKVTIIGDITPFDVLASVSKVKSAQFWPSPNSSSSSTPQSSSSSSTF >KGN48464 pep chromosome:ASM407v2:6:23036188:23038222:-1 gene:Csa_6G488340 transcript:KGN48464 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxygen-evolving enhancer protein 1 MAASVQAAAATLMQPSKLASRTTTSHLRSSQSLSKAFGLESSGPRLTCSLHSDLKDVSRKFADAAKIAGFALATSALVVSGAGAEGVPKRLTFDEIQSKTYLEVKGTGTANQCPTIDGGVDSFAFKAGKYQAKKFCLEPTSFTVKAEGVSKNAPPEFQNTKLMTRLTYTLDEIEGPFEVGADGSIKFEEKDGIDYAAVTVQLPGGERVPFLFTIKQLVASGKPESFSGDFLVPSYRGSSFLDPKGRGGSTGYDNAVALPAGGRGDEEELAKENIKNASSSTGKITLSVTKSKPETGEVIGVFESIQPSDTDLGAKAPKDVKIQGVWYAQLDS >KGN48829 pep chromosome:ASM407v2:6:25328914:25329444:1 gene:Csa_6G502670 transcript:KGN48829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKFFRFLKIVGVGYKARAEAAGRLLYLKLGYSHEVELTVPPAVRVFCFKNNVVCCTGIDKQRVHQFAAAVRSCKPPEVYKGKGIMYVDEVIKRKQGKKSK >KGN49471 pep chromosome:ASM407v2:6:28565140:28565805:1 gene:Csa_6G525560 transcript:KGN49471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRSTRLVEKTNVVSEGNLKAHRRSQKSTQNNEVKLNEVMFPPSFEQLEGKKRKTYNKRSMVTKATASKNQGIDKKGSGRLRKRVYYQKVVFDGGEFEAGNDVYVKRREDASSDDEDPEVEECRVCFKSGNAIMIECDDCLGDFHLKCLKPPMKVVPEGDWICGFCEAAKLGKEVQLPKPPEGKKRVRTMREKLLAGDLWAAHIESIWKEVTGNHHCKVR >KGN48142 pep chromosome:ASM407v2:6:20691458:20693412:1 gene:Csa_6G445070 transcript:KGN48142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFRSLLSTCCYSPSSTAMESSRHDRQDSESFRVYSYNELKLATNGFSGANKVGEGGFGTVYKGWLRDDRKIAVKVLSIEVESMRGEREFIAELTSLSNIRHENLVELKGFYVDGSNRYLVYDYMENNSLAYVLQGVRENRMRLRWIARKEILIGVARGLAYLHEEVEPHIVHRDIKASNILLDHNFKPKVADFGLAKLLRENSSHVSTRVAGTIGYLAPEYAVSGHLTRKSDVYSFGVLLLEIVSGRATVDFDLEHGEHHLVQRVWEHYKANELVKLIDPVLDIDFRKEEAVRFMKIGLLCVQENPTKRPRMSLAVSMLIKETDLNEHNISQPAHIIDFMDIKMGKGNSSTSFFSKVSTANSM >KGN48425 pep chromosome:ASM407v2:6:22783197:22786976:1 gene:Csa_6G486970 transcript:KGN48425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAARFGQWNLLLNHHGRKIADGNHEREIEKETNMIKEVGRLNKKGQPAFSGIIVDGGGARWLDGGDVQSAKWRHHLVAIHICEVLEDGLKFSESFPVMKTNEIRGSDSGETFAKKLIKRLAKRRGMAMMLEFDPIGPLGNWVSLAKYYCNQLPATSIVVAVHKGKTIFKRQSVDQFRGFELHLRPEFYFSEVISTSRNLKPAKGDNDELLAADASDDWDAETNSRNGNVNSHDKKALLSSISIVRRQLPESNLGWPFQQRSSQAGREVIRKGARNVSVVQWVMSLPNRSGAGIPKSQNDMTLEIPKICLQNKSEGMEETNHLVLQNFGDEAEDSDENLKGGELVNEFKHNAKMGLSVSFIVKEFQQEMPGWPLRPDALSERSDSLQESEETDIQEGDSETSISNRTIDTNLESQIGSVAKNLKEREERVIFFHSEEKSIENNIFKIASKQLEFPIKMNQSVCKCFSYAELKMATSNFSAENLIGEGGYSAVYKGCLLDGTSVVVKVLKSYKDARDNFLLELNIVSSIKHNHITPPIGVCMENEHLISVYDYFPEGSLEENLHGQSGRSKIQWEMRFKVAIAVAEALNYLHNERSSPVIHRDVKSSNVLLSEKFQPQLSDFGLAMWGPTDSPYVINTDVVGTFGYIAPEYLMHGKLSDKIDIYAFGIVLLELLSGRRPIDFGVAEGQRSLVLWAKEVLNSENPKALMDPNMDIKFNDDQVQRVVLAATLCINASARLRPNASEILKLLKGEARVDDFINFPGSKELTDHDIDDIFPKFMSKPSLSFALRDIDNDCTPSSNANTTSNTMVKKPGRLKLKDYLKEPHE >KGN46374 pep chromosome:ASM407v2:6:5979427:5984208:-1 gene:Csa_6G088020 transcript:KGN46374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAYSGSVSAVQVGSYFVEQYYHVLRQQPDLVHQFYSEASSMIRVDGDSSETASTMLQIHTLVMSLNFTAFSIKTINSMDSWNGGILVVVSGSAKSKEFNRMRKFVQTFFLAPQEKGYFVLNDIFHFIEEEEIVQHSPLPVLTENKFEADLNAPNSIPEPPVSDYVLEENAREYVDSVHIEDDPVDKYSLPEQQQQEEFESEVVVEEAPVEDLVASHQNVVNSVQEPLPAVIDEPIGEPEKKTYASILRAARAEAAQSAIPQPSFYPSASATSDWNHIPEPAPQHVNPAPSYAPEPGPDTIEEGFGVEDEGEPKSVYVRNLPPSVTEAEIEQEFKDFGRILPDGVFIRSRKEIGVCYAFVEFEDILGVQNALKASPIQIAGRQVYIEERRPNNGARGGRRGRARGSYQSDAPRGRFGSRSLGRGSSQDGSDYGRLRGNGFPQRGYHKVQ >KGN48809 pep chromosome:ASM407v2:6:25218275:25220045:-1 gene:Csa_6G501980 transcript:KGN48809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGGGCVPSKKKVPSSIADADADATDDVRPRDTGTAPPISIEEDHPQIASSGNATSEASLSVSHPVEKLKIFIVFYSMYGHVESLAKRMKKGVDGVDGFEGILYRVPETLPVEVLDQMKAPPKDPSIPEISAAELVAADAILFGFPTRFGCMAAQMKAFFDSTGQLWKEQKLAGKPAGFFVSTGTQGGGQETTAWTAITQLAHHGMLFVPIGYTFGAGMFTIDTIRGGSPYGAGAFAGDGSRQPSETELALAEHQGKYMAATVKKLFPA >KGN46650 pep chromosome:ASM407v2:6:8153903:8156155:1 gene:Csa_6G118300 transcript:KGN46650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFSNFQAGLRLSDLISKIKDASYSGNWQEALQLYHEIRISGAQLSDTWVLPSILKACSNTSFNLGTAMHGCLIKQGCQSSTSIANSTIDFYMKYGDLDSAQRAFDSTKNKDSVSWNVMVHGNFSNGSIMAGLCWFIKGRFAHFQPNISSLLLVIQAFRELKIYSQGFAFHGYIFRSGFSAILSVQNSLLSLYAEVHMYFAHKLFGEMSVRNDVVSWSVMIGGFVQIGEDEQGFLMFRNMVTEAGIPPDGVTVVSVLKACTNLKDISLGTMVHGLVIFRGLEDDLFVGNSLIDMYSKCFNVHSAFKAFKEIPEKNIISWNLMLSAYILNESHLEALALLGTMVREGAEKDEVTLANVLQIAKHFLDSLKCRSVHGVIIRKGYESNELLLNSVIDAYAKCNLVELARMVFDGMNKKDVVAWSTMIAGFARNGKPDEAISVFKQMNEEVIPNNVSIMNLMEACAVSAELRQSKWAHGIAVRRGLASEVDIGTSIIDMYSKCGDIEASIRAFNQIPQKNVVCWSAMISAFRINGLAHEALMLFEKIKQNGTKPNAVTALSLLSACSHGGLMEEGLSFFTSMVQKHGIEPGLEHYSCIVDMLSRAGKFNEALELIEKLPKEMEAGASIWGTLLSSCRSYGNISLGSGAASRVLQLEPLSSAGYMLASNLYANCGLMIDSAKMRRLAKEKGVKVVAGYSLVHINSQTWRFVAGDVLNPRADEIYLMVKKLHGVMKIDCLKLLDALFNVEFNG >KGN46590 pep chromosome:ASM407v2:6:7521173:7524043:-1 gene:Csa_6G110820 transcript:KGN46590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQASQLHRGSHMAKRLCYQPLQEVDAYYFSQFQSLGRQLYSNVGNQRGHFNVQDIGDRYCTLESSSGSHGYATHNSTSTVTFSPNGSPVSQQDYRSNPSDQHNSPDNTYGSSVSGSSITDDISDFRHKLLELETVMLGPDSDVIYSFDSIYQEGTDNPEMGTWGQVMDAITKGNLKKILIACAKAVSDNDALMAQWLMDELRKMVSVCGEPMQRLGAYMLEGLVARLASSGSCIYKSLRCKEPARAELLSYMHLLYEVCPYFKFGYMSANGAIAEAMKDEDRVHIIDFQISQGTQWVTLIQAFAGRPGGPPHIRITGIDDPASAYARGGGLDIVGKRLSKLAKLFNVPFEFHSASISGCNVHQNNLGIRRGEALAVNFAFMLHHMPDESVSTENHRDRLLRLVKSLSPKVVTLVEQESNTNTAAFFPRFVETLDYYNAMFESIDVTLPRQHKERINIEQHCLAREVVNILACEGAERVERHELLGKWRLRFGLAGFTPYPLSSLVNATIKTLLDNYSNRYRLEEREGALYLGWMDRDLVASCAWK >KGN46965 pep chromosome:ASM407v2:6:10872351:10875263:-1 gene:Csa_6G153480 transcript:KGN46965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAILKRVSASAMSSLVESIRIGASSRNFAAVAVGTDIVSAAPDVSLQKARSWDEGVSSKFSTTPLKEIFKGKKVVIFGLPGAYTGVCSQQHVPSYKNKIDELKAKGIDSVICVSVNDPYTLNGWAEKIQAKDAIQFFGDFDGKFHKSLELDKDLSVALLGPRSERWSAYVVDGKVKALNVEEAPSDFKVTGADVILNQI >KGN48537 pep chromosome:ASM407v2:6:23517569:23524606:1 gene:Csa_6G491010 transcript:KGN48537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKTKHQKSNSKRHPQNKKPGKSPDISPFRAQLDLLNLQIVQVTADGNCFFRSLADQLDGDQEEHVKYRKMVVQYILKNREMFEPFIEDDVPFDEYCDSMEKDGTWAGHLELQAASLVTHCNICIHRISSPRWYIRNFEDREARMVHLSYHDEEHYNSVRSKEDTCAGPARLIIIKGDTVPSPISLQRKVLSNSQKRGETATSPGNVKLVMAGSGCQNSKKVEKVLVQVDGDVDAAIEFLVAEQATEEHEEPSESTLCDVDSSFGNDETKYYEQLEERMEEKHVEVDSSSQNTKHSNCTRFQLDDKRVPRNKLCPCGSKKKHKACCGSVAASSSGKYIMNKTIDSKKTRKERKAAKKGGPAKVEVSTGSDGLPHDLGALCI >KGN48923 pep chromosome:ASM407v2:6:25828096:25829452:1 gene:Csa_6G506000 transcript:KGN48923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIHFFFLSFSLFFHSLSHSATITLQNNCTHTIWPAILSGAGTAPLSTTGFSLLPSASSLLSVPTSWSGRIWARTYCSTDPSSGRFSCATADCGSGSVECGGACAAPPATLAEFTLNGGGGFDFYDVSLVDGFNIAMQIVAVGGKSGNCTTTGCIEDLNGECPGELRVVMSGGNRSVACKSGCVAFGKAEYCCSGVYANPNTCKPSNYSKYFKNNCPLAYSYAFDDGTSTFTCVSANYVITFCPSSFPSLKESSEPQPQAANISGCRRRIATSSLAIFTAVWAAVASFSSLPKLSLNI >KGN47472 pep chromosome:ASM407v2:6:15406170:15407806:1 gene:Csa_6G338040 transcript:KGN47472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METRLSAALGLPSPDAVCYPSLFEFPTLFSNFDSFFAGNKPSKDRNHFPLPFSSLSLSTEEQVFTPKSPNWVKPVSKSNPKIQSLMKNLSVLERAVVGAAGGAMAGAFTYVCLLPLDTIKTRLQTKGASEIYKNTFDAVVKTFQSRGILGFYSGISAVIVGSAASSAVYFGTCEFGKSILSKFDYPSLLIPPTAGAMGNIISSAVMVPKELITQRMQVGAKGRSWEVLLQILEKDGIMGLYAGYFATLLRNLPAGVLSYSSFEYLKAAVLSKTNSDKLEPIQSVCCGALAGAISATLTTPLDVVKTRLMTQVHGEAANKVSAVMYSGVSATIKQILQEEGWIGLTRGMGPRVLHSACFAAIGYFAFETAKLAILDHYLRQKEASELASAST >KGN47917 pep chromosome:ASM407v2:6:19055070:19056095:1 gene:Csa_6G411210 transcript:KGN47917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFQVRMMIGLRLHVAILMISLLLTKTSFVSSIPTQELDAMLSVVRAQGYNLFSNAITTSDLYLDLLTAAPNASFTLFAPTDSSLFAIAMTQSASAYTATLRYHCLPRRFSLFDLNRLPSQVPIQTLLPSQYVSLTRRLRGSSSDAIFVNGVNIVLPGLYYSRHVAVHGLEGILSLHSQIQFPYYSLPPLSPFLPSAPSSFPPKENRDFTGPAADRSIGISSVFPPLSPSNSLNKTIDLPFNHTFLGPSPERSNFQFEPPVTSSVSPSTISPILPPAVSNEVTPSTPLTSTSAAWMMKPEDGLNGETVDEYDPSNWMAFGFTEENSKNVDVEDSHPRPNVL >KGN48334 pep chromosome:ASM407v2:6:22084273:22084759:1 gene:Csa_6G482230 transcript:KGN48334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSHVTTGAYGPCEDPNSLHVKRIAEWAVEEYNKGDHFLSLVSILKCESQVVNGTNWRLKLKCVDQINNRLGIYVTVVWEKLDGSLVLSDFVPLLK >KGN48262 pep chromosome:ASM407v2:6:21569868:21575965:1 gene:Csa_6G452630 transcript:KGN48262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYASMNFTLTRNPVGMLSALGGRVSMEHHSGKSYMKMPEDRNGFVGINQKSNLAKSSSNSHSVSPYQNRDSFLDLHPEISMLRGEGSNSVNSPRKENLGGITSENLEDASSSNYNEARIKVIGVGGGGSNAVNRMIESSMQGVEFWIVNTDVQAMKMSPVYPENRLQIGQELTRGLGAGGNPEIGMSAANESKEAIEEALYGSDMVFVTSGMGGGTGTGGAPVIAGIAKSMGILTVGIVTTPFSFEGRRRAVQAQEGIANLRDKVDTLIVIPNDKLLTAVSQSTPVTEAFNLADDILRQGVRGISDIITIPGLVNVDFADVRAIMANAGSSLMGIGTATGKTRARDAALNAIQSPLLDIGIERATGIVWNITGGSDLTLYEVNAAAEVIYDLVDPTANLIFGAVIDPSLSGQVSITLIATGFKRQEESEGRPLQASQLSQGDNNFGINRRPSSLNEVSSFEIPEFLKKKGRSRYPRA >KGN47061 pep chromosome:ASM407v2:6:11865333:11866310:1 gene:Csa_6G182150 transcript:KGN47061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESYKMRMSQSGGIPMMAAALPPLPPSCLGKPTSSGEKKLPFFQYNTNLNIYGNGKSILSEGEATTSLPPKQDRSQVPDSDKDLTAEAKRLRRVMQSRQYSQKYRLKQLHYITQLESELKALQAEVTITSPRIKFMDRQNSLLRAENYSIKEKLSAYTGELLFKEAQYEELKRERNMLKEIYEAYQIKLVETLKSCNNNISAASGSTFQLVENYPQIATKSNPFTMLEN >KGN47429 pep chromosome:ASM407v2:6:15063749:15064404:1 gene:Csa_6G319750 transcript:KGN47429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLSVVLESNQGAYSTSILLSNLTLNYSKSSLTKTPSFRLHSCFLCKRTLLPANDIYMYKGDRGFCSEECRRKQIFMDEEESFMKDNNSRKTPSSSSSESSSSSSMAAAAAKGKGKAASRSNK >KGN47583 pep chromosome:ASM407v2:6:16316781:16319291:1 gene:Csa_6G362410 transcript:KGN47583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPHDSSLQNPKQTSTAFNFFPNCISSFSPAFSQTPSGPSRRRQLEFAPPCNFLRHLHESFASTTESCIRLFHSLASENPFLHKLLSLPSEFHRFRYQIHGMNSMNFRALSSHNFAAVLPGDSMAGLVVANGIQNFLSLYNTLLVVRLVLTWFPNTPPAIVSPLSTLCDPYLNIFRGIIPPLGGTLDLSPILAFLVLNAFTSTAAALPAELPAPNSSLANPNPLKGSVDLTSSQKKWMKRLQGSEKNNADASQ >KGN45922 pep chromosome:ASM407v2:6:2289322:2289875:1 gene:Csa_6G022340 transcript:KGN45922 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystatin MAKTKLSVMVVVLAVALVALVEGYGGRVGGRMEVKDVRRNEEVQRLGRFSVEEYNRRMGGGGEVKFTAVVAAERQVVSGTKYYLRILGTQNGERKVFDSVVIVKPWIGSKRLLDFSPSAVFRTPIFNF >KGN46622 pep chromosome:ASM407v2:6:7876084:7876344:-1 gene:Csa_6G113590 transcript:KGN46622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASALHPFTTTTISKFSFHHQNMDVNYDDDNDYSPTTTKSRKAREFIVKDAPQAVVEWYMIALNKKAAFKKSTIKELALGHLLAMG >KGN49450 pep chromosome:ASM407v2:6:28406032:28421218:1 gene:Csa_6G525370 transcript:KGN49450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSVWAKAVDSAFGSYTPCAIDSLVVSTCHLVLLGLCLYRTWLIKKDPKVQRFYLTSKCYSYMLATIAGCCFVVPLIRLAMGVAIFSLDHHTGFAPFEVICSIVESLSWCSVLVMVVMETKIYIREFRWYVRFGLIYVLVGDVVLLNLLLPLSDYYSSADLCMIITTVSFQVLFAVLLLAYVPNLEPYPGYIALQSEDVDNMDYEMLLGSEHVCPERHAKIFSRIYFGWVTPLMKLGYRKPLAEKDIWRLDVWDQTETLIRRFQRCWAAEVQMPKPWLIRALNRSLGRRFWWGGLFKVGNDLSQFVGPIILNHLLQSMQRGDPTWIGFIYSFSIFVGVSSGVLCEARYYQNVMRVGFRLRSTLVAAIFHKSLRLTHEGRKKYPYGKITNMISTDADALQQICQQLHGIWSSPFRIIMSLILLYQQLGVASLFGALILALMVPVQTVIISKMRKQTQKGLQETDRRVGLTNEILAAMDTVKCYAWEASFSSRVQEIRNDELSWFRKAQLLYAFNGFIMNGSPIFVTVVSFGVFTLLGGDLTPARAFTSLSLFAVLRSPLNMLPNLLSQVVNAHVSLQRMEELFLIDERTLAPNPPLETGLPAISIKNGYFSWDSKVEKPTLSNVNLHIEVGSLVAVVGGTGEGKTSLLMAMLGELPPLAETNVEIRGTVAYVPQVSWIFNATVRDNILFGSEFESNRYWKAIDVTSLHHDLELLPGHDLTEIGERGVNISGGQRQRVSMARAVYSNSDVYIFDDPLSALDAHVGQQVFNSCIKEELRGKTRVLVTNQLHFLPQVDKIILISKGTVVEEGSFEELSRNSKHFKKLMENAGKLEEQLVENHYNENHYQGSSVPTEGRLGKKFPKDTSCEKKGKGRNSVLIKQEERETGIVSWKVLMRYKDALGGSWVVIILLSFYLLTEALRISTSTWLSFWTKKSTSKNYNPGFYNLIYAALSFGQVTFALASSYWLIIASLLASRRLHDTMLSSILRAPMVFFHTNPIGRIINRFAKDLGDIDRTLASMMSAFLGQLWQLLSTFVLIGIVSPISLWAITPLLIVFYAAYLYYQSTSREVKRLNSISRSPVYAQFGEVLNGLSTIRAYKAYDRMASINGKFMDNSIRFTLVNISSNRWLTIRLETLGGLMIWLTATFAVLQNTREENQVAFASTMGLLLSYTLNITNLLSGVLRQASRAENSLNAVERVGAYIDLPSEAPAIVEYHRPPYGWPSSGSICFEDVVLRYRSGLPLVLHGLSFNILPTDKVGIVGRTGAGKSSMLNALFRIVEIEKGRITIDGCDIAKIGLTDLRKSLTVIPQSPILFSGTIRFNLDPFCDHNDADLWEALERAHLKEVIVRSSFGLDTEVLEGGENFSVGQRQMISLARALLRRSKIIVLDEATAAVDVNTDSLIQKTIREEFKSGTMLIIAHRLNTIIDCDRILVLDAGRVIEYDSPEELLSNEGSAFYRMVQSTGPANAQYLCNLALGKKENNPHGENALLQDGHGRRWLAKSHWMTAAQFALSRSLAASQNNLKRPEIDTVHGNNDILVKTKDAFLTLHGVLEGKHDELIDEVLIRDAIPKYNWWSSFYRTIEGLAVMSRLHSYGKLGDSEYDEDEERTLD >KGN46971 pep chromosome:ASM407v2:6:10919673:10920494:-1 gene:Csa_6G154520 transcript:KGN46971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKVRVEAGCRREASRRKNSEPKPFFTFLLSSSFFLLSSFFLLPFPFPFPLHVILNLTPSLSIKSKSSSSSRFILNSLRSPFPHYSPTLLLSSFFLPFHTSSALKILRKP >KGN46522 pep chromosome:ASM407v2:6:7008237:7008671:1 gene:Csa_6G106740 transcript:KGN46522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVNQTLYILVCIYFADENEALNAKELNPVEDEETLNKDEAGVAEEEGVLEKDALVEAEAREKENGEKVEEVEEEKEKEPKPKEEEGLEVGLGNGEDEEVGFEEEEEEEEEDERDKGKGDDPKEKVEDPKPKPDISIDMWWKGL >KGN46385 pep chromosome:ASM407v2:6:6044266:6051829:-1 gene:Csa_6G088130 transcript:KGN46385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAGFYRRILPSPPAIDFASSKGKQLFVEAVQHGTMEGFYRLVSNFQTQSEPAYCGLASLSMVLNALAIDPGRKWKGPWRWFDESMLDCCEPLEKVKARGISLGKLVCLANCAGAKVQAFQTNEITIDDFRKYVRRCSTSDDCHIISSYHRAAFKQTGTGHFSPIGGYHVGQDMALILDVARFKYPPHWVPIELLWKAMEHVDEATGQHRGFMLISRPHREPGLLYTLSCKHEDWVNIAKYLMDDVPLLLKSKDLKDTQDVLTVILASLPLNYSDFIKWVAEVRRTEDSGQSLSSEEKARLALKEEVLKQVQETDLFKQVGEFLSREDSCCKMLTPSHENNLPEIAASVCCQGAEILNGNTGVSAGYCCRETCVRCLGANGDKPVTVVCGMVVSGNNEQEFDMLVPSSSHVRSGCCFSGMKNEIGSHPAASDVLTTLLLALPAKTWIGIKEEKVLRELQKLVCTETLPTLLQDEVLHLRKQLRLLQKYHEDKVDLDLSALPSS >KGN47010 pep chromosome:ASM407v2:6:11405489:11408874:1 gene:Csa_6G168270 transcript:KGN47010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWMNCKCCLQPQRVMQSLLNNTRRYFSYYRVAANAFEMAAKHGELRVFIVAGEVSGDTIASRLMASLRTLSPVPVNFSGVGGPMMSKQGLTSLFLMEDIAVMGIWELFSHLKSIREKLKRTVEAAIVFEPHVIVTVDSKGFSFRLLKQIRARYRRYKMDGPLHFHYVAPSFWAWKGGEERLKGLVEFVDHILCILPNEEEVCKSNRLAATFVGHPILEDALDLNVGKDASLIELKIRGSCKDFLIKNNISDDATIISLLPGSRLQEVSRMIPIYLSTMELLKESFPELITIIHVAPNQHVQDYINGVLHKWPVPAILVAGGSPQAKYDAFSASKVALCTSGTVVTELQLARLPCVVAYRAHFLTEWFIRRKANVSYISLPNILLNSPVIPEALFQDCTPAKLHSMIKELICNNGLRNKQVVAAKEVLKLLSSSKDNMKFLAKEGLKCTSSMCTPSMIAASAILFYKRTPESIL >KGN48848 pep chromosome:ASM407v2:6:25412060:25416940:1 gene:Csa_6G502840 transcript:KGN48848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTFTTIALDRLLEPGTTKSIDKSLPKPKPALTFNRAPSSKLERRNSTSVADRKVQRPQIKPALYTTPEATPLPDSPSSFPPSPYIVNHKRRGPRLLKSFSEDDVSRKKKNDKDVGNGSVKGSDGSDVKLTEGASVTVNTPIPDKDGDRNGLDCASSSSVGENGCVGGDHGATAVQLVSSHNNHESSIMTSNGIAQEKDSLKVVSNSESTGDNEDFFDPHDSLSVASNTDGEDNGFERSAKFGTPMGEFYDAWEELSSEGVLQPSISDTEPDLREMRLLMEIEKRKQAEEALNKLQCQWQRLRARLLLVGLTLPSDPTVATEEKQLDSDPAEELCQQVNLARFVSESIGKGIARAEVETEMEAQLEVKNFEIARLLDRLHYYEAVNHEMSQRNQEAVDLARRERLRRKRRQRWIWGSVATAITLGTAVLTWSYLPSGKDLPSSNNSKSEHDDVTD >KGN48767 pep chromosome:ASM407v2:6:25005999:25007014:1 gene:Csa_6G500590 transcript:KGN48767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLGKRPRLPIKRTTSMTGIRGDIPDVEFEEQPSSDQIINNPGGGYDLHHPIPPVSLPHNTTAINYSALVSPRNLRDQSPPNDHFLRTCGLCKRRLAPGRDIYMYRGDTAFCSSECREKQIKEDERKEYGGKKKEERQAAGMGVRGSRKKEAEGGGR >KGN46697 pep chromosome:ASM407v2:6:8559336:8562545:-1 gene:Csa_6G124120 transcript:KGN46697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEEDIIGWFEQVSSEAALAQSRTLRKILQQNYGVEYLKKWVGDVNIHEIPDDFTLHSIFTSSIPLSSHAHFEPFLQRIADGDSSPLLTQQPITTLSLSSGTTEGRQKYVPFTPHSAQTTLLIFRLAAAYRSRVYPIRDGGKILEFIYSSKQTKTKGGITTGTATTHYYASEEFKIKQLKTKSFTCSPQEVITGCDYKQSTYCHLLLGLLYSEEVEFVTSTFAYTIVQAFNQLEESWEEMIHDLSHATLSSRIDIPEIRKAVLKVMSPKPELGWKIRRVCEELMKEGWLGLIPKLWPNCKYVYSIMTGSMQPYLKKLRHYGGGLPLVSGDYGSTESWIGVNVDPYLPPENVTFAVIPTFSYFEFIPLFKQHATTSSADTAIHDFLEGQPIALSEVKIGQQYELVLTTFTGLYRCRLGDVVEVAGFHNKTPKLNFICRRKLVLTVNIDKNTEKDVQLAVERGSQLMSQLSGAELVDFTSYAELSNQPGHYVIFWELKGDVNDKVLGMCCSEMDAAFVDYGYVVSRKANSIGPLELRIVERGSFNKILEHYIGNGAALSQFKTPRCTTNHFLLNILNLSTLKSFFSTAYA >KGN46008 pep chromosome:ASM407v2:6:3333077:3339918:1 gene:Csa_6G042420 transcript:KGN46008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFGRGLLLSMMVSLRPQSSERKSKWVVIRRQLLQELKLAQAVVNRLQTVVFRFCGAVDQALPRLRGALPTDKSMHKALDELLNLFNYLRVWRLETNVYIDALMSPTEGYHRDIFFQVYLTKENNPGSHPEGALLAIGGRYDYLLQQMWSRSYKSSPPGGVGTSIALETIIQQSALDLKPIRCSL >KGN47963 pep chromosome:ASM407v2:6:19395808:19398395:1 gene:Csa_6G421570 transcript:KGN47963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQLKSLWFFYFFLLFLSPFAESGSIGVNYGRIGNDLPSAVKVVKLLKSHGLQRVKVYDTDPAVLKALSGSGIKVTVDLPNELLFAAAKRLTFAYTWVEKNVAAYYPSTEIEAIAVGNEVFVDPHNTTSFLVPAMKNIHQALVKYNLHSNIKVSSPIALSALQNSYPSSAGSFRPELVETVFRPMLEFLRQTGSYLMVNAYPFFAYESNTDVISLDYALFRDNPGVVDAGSGYRYFNLFDAQIDAVFAAMSALKYDDIKMVVTETGWPSKGDENEIGASVENAAAYNGNLVRRILSGGGTPLRPKADLTVYLFALFNENKKNGPTSERNYGLFYPNEEKVYDIPFTTEGLKDFEDKPSPKPVSGGNAPTAPPASGDGGVSKSQTGNTWCVASGEAGKEKLQSGLDYACGEGGADCRPIQVGATCYNPNTLEAHASYAFNSYYQKNSRKVGTCYFGGAAYVVTQPPKYGSCEFPTGY >KGN47282 pep chromosome:ASM407v2:6:13873588:13874216:1 gene:Csa_6G282710 transcript:KGN47282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLRINPPDSGLLPSIWMVLRINHLDLSLMVRRRNSICEYAGMNSITFFSLIDMCTTPKKQDADMLDVPIKPRKEEQRILRGEGPPCRDSRQRERSMNKDRGGPNRERIHGGETRVSGKE >KGN47338 pep chromosome:ASM407v2:6:14368671:14373136:-1 gene:Csa_6G301080 transcript:KGN47338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECADKKPVKENPGECPLESIEIDHMTTYEKADEQRDLNQGDLKQSNYSYAVKKTVAETSQPVFGIRRRRKPFSMLRFLDGFKDGKEEEAWKATEKCFHQQAPDGKLSRDKFGACIGMKKDSTDFGGELFDVLARRRGIMGAKGITLQELRDFWEDLTKEDLDSRLRIFFDFCDKNGDGKISREEVKSVLEWSASANNLKNLEREAETFASLIMEELDPDGNGFIEIEDMETLVKAMWTSEEKKRLQSQDSKLRTSSLILGRCKTPAGKFLKEAEEAIIANWKRIWVLILWLAINLGLFVWKFMEYRDKGVFEVMGYCVSVAKGAAETLKFNMALILFLVCRGTITKLRSTFLNSIFPFDDHIHFHMVVAVAIAVGTFLHVIMHVACDFPRLISCPNNKFMAILGSDFNYKKPSYFDLVASVPGITGIIMIIIMAFCFTLATPLFRRHKDKLPPLLQHLAGFNAFWYAHHLLVLCYALLIVHGYFIFLVEAWYKKTTWMYVAVPVLLYFIERLLIKFREFYHPVDVKKAVVYEGNVLALYLTKPTEFEYKKSGMYMFVKCPDISNFEWHPFSITSAPGDDYLSVHIQAVGDWTRELRNRFQKECDPESTRRKRGIVRQETKLSLDYIPSKSSKKYPQILIKGPYGAPAQSYKNYDILLLIGLGIGATPMISILKDLLNQIKKSDSHATDAQKVPKRAYFYWVTKEQASFEWFKGVMDDVAEYDHNEIIEMHNHLSCVHEEGDVRSVLITMLQQIQKSRGEEVDVVSGSRIRTHFGRPNWEKVFERLALAHSGSEIGVFYCGTYNLVKDLRGLCKNFSGSSRSTRFRFHKENF >KGN48330 pep chromosome:ASM407v2:6:22034895:22037579:-1 gene:Csa_6G476700 transcript:KGN48330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISDEQKVGFMGSCKRKLISVVGRIKKLGRDDPRRIIHSLKVGLALTFVSLLYYWRPLYDGFGIASIWAVLTVVVVFEFTVGATLSKGLNRGLGTLLAGALGVGAQHFASLFGQTGEPIVLGIFVFLLAAASTFSRFFPRIKARYDYGVLIFILTFSLVSVSGYRVEKILELAHQRLSTILIGGATCVFISLFICPVWAGETLHNTIASNIEKLANYLEGFGGEYFQYEDNEESIIVEDHSKLHNKLSSLQAYKSVLTSQSSEESLANLASWEPKHGKFSFGHPWKQYLKIGSLTRQCAYQIESLNGYVIPADIQVAIQFRRRIEESCKAISTESGKALRILASSIKAMTNPSSSSKTHIENAKAAIDDLKHTLKSGYLESSDLLGIIPDATVCCILIDIVKSVEKISEATDELGRSARFKSVEATVSPEKSSQLLHRGIVNPVFDSECGDGDDHVVIRVDNNNINNNNEAGEIGKCQGNLDVKPTSKGEIIVCK >KGN48378 pep chromosome:ASM407v2:6:22403165:22405035:-1 gene:Csa_6G484560 transcript:KGN48378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSHNTHSETAKVEQILTEFFPKTLQIILESRAPCISSRNFSGEQVLSSPSSSSSSSSSMRPRDKWFNLALRECSATLENIDLWRPNYHEPMVVDVILVQRQFGLDSVSASPRKDLVRNLSLKEKYPLSFNSDKDEFGSQTKSEKVVERWMVHYESRKNRDSNSGSRRSSNSTAHTYKKTILLLRSLYAFVRLLPAYKVFQDISSSGQIHPFTLAHRVSSFAEPFTRREEAEMQRFVFTPVDTSCGRLCLSVLYRSSLSDINSEPSTPMSPQVIPEYVGSPLADPLKRFPTLPVTIAPSHGSPSSLPFSRRHSWSYDRFRPSPPSVSFSPSPTHSESHALISNPAFPRLPPSSLPSQLPEMVTGHKDNMNYDEYYPSPVFSQSPSLSPPIRIRVKRLPNGLLQSESAPPSAPIAKLPHSPALSSKPNLPPSPPLKASGAIISRINRDVGPLPAGSAIGKSSSLGRDESQRISGWRVSSNNSPISRSSSRSFPDDLDDPEFPCPFDVDEDEMTDRGSR >KGN46398 pep chromosome:ASM407v2:6:6115035:6119195:-1 gene:Csa_6G089250 transcript:KGN46398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSLVVGLCLWFSLTLVIADANDSSLEGLIWSSAKQEGDLLIDHRPQEDSSAAAAASVVHDADGFDGGFSSLDSMLQWAIGHSDPAKLKDTAQDVKRLSPNEIKKRQEEIKDLIEELKLPSDAKLMQIAVDDLKNSSLSLEDRHRALQELLVLVEPIDNANDLDKLGGLAVLTRELNHVDPDVRKIAAWILGKASQNNPIVQKQVLELGALAKLVSMAKSDFVEEAIKALYAISSLVQNNLSGQELFYAEAGEIMLQDILSNSSMDIRLQKKAVFLVSDLAVTQLEKPDEAERPFFGDRLFLKSVVNLIHSTDIDLQEKALIALKNLLLLRTTKAQVLKEFCGLDAALERMRMKLKVLMEEEDHRDYAMDVEDLRSQVELTFLEKLGKRMQVPT >KGN48114 pep chromosome:ASM407v2:6:20532906:20536888:-1 gene:Csa_6G434340 transcript:KGN48114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVVVGVAVSVAVVACIVAAGVVGRRVQNRGKWRRVVRVLKELEEACETSVGRLKQVVDAMAVEMHAGLASEGGSKLKMLLTYVDNLPDGNELGTHYALDLGGTNFRVLSIQLQGNRSSILEHDVERRPIPQHLMTSTGNDLFDFIASSLKEFIDKGNDSEVLLGGKRDLGFTFSFPTKQNSISSGVLIKWTKGFSIGDMVGKDVAESLEQAMTKKGLNMRVTALINDTVGTLALGHYHDADTVAAVIIGTGTNACYLERTDAIIKRQGLLTTSGFMVVNMEWGNFWSSHLPRTSYDIDLDCDSPNPNDQGFEKMISGMYLGDIVRRVILRMSQESDIFGLGGSSRLSMSFVLTTPLMAEMHEDRSLDLRDVARILKDILGIPEVSLKARKLVVRICDVVTRRAARLVAAGILGILKKMGRDGTGGITGTRSRSDVNMKRTVVAVEGGLYTNYTMFREYLHEAMIEILGEEIAKNVILKVTEDGSGIGAALVAASHSSNYI >KGN46762 pep chromosome:ASM407v2:6:9195332:9198188:-1 gene:Csa_6G133710 transcript:KGN46762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLLLEKTLLGLFLSVVLAIAISKLRGKRFKLPPGPLPVPIFGNWLQVGDDLNHRNLTDLAKKFGDIFLLRMGQRNLVVVSSPELAKEVLHTQGVEFGSRTRNVVFDIFTGKGQDMVFTVYGEHWRKMRRIMTVPFFTNKNLRLLESKLANTKSTTNEGLKCAIDHILDAQQKGEINEDNVLYIVENINVAAIETTLWSIEWGIAELVNHPEIQRKLRNELDTVLGPGVPITEPDTQKLPYLQAVVKETLRLRMTIPLLVPHMNLHDAKLAGYDIPAESKILVNAWWLANNPANWKNPEEFRPERFLEEESKVEANGNDFKYLPFGAGRRSCPGIILALPILGITIGRLVQNFELLPPPGQSKLDTSEKGGQFSLHILKHSTIVVKPRVF >KGN48737 pep chromosome:ASM407v2:6:24833478:24836066:1 gene:Csa_6G499810 transcript:KGN48737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNQEQTLIKVKGGRGPKKRAKKAQYFGRCYPSLSHSRVKSNLSSLPFSILKDGPMSPFPCLNTNPRFLISNTMSLPFQSLSLTSPSPSSSTFCFSTFLSRNPCVSLPFPPSRFPNTLHFQILDYKFRSPFNFGSINAHHFCPRVSTSGGVGRRPGGVADFDIDSLLSATEFFCLVASLIGSVGFALNCAKTRSKSLFLAVFGDGVLVGTILFLVAGVAIGAWIRRRQWNRVFRETAKGVLEVNLMEKTNKLEEDLRSSATLIRVLSRQLEKLGIRFRVTRKALKKPVEETAALAQKTSEATRALAVRGDILEKELAEIQKVLLAMQEQQQKQLDLILAIGNSGKMWESRQEHSGGQSHVGRHDLIDEHLNGKEVQDV >KGN46628 pep chromosome:ASM407v2:6:7950525:7956258:1 gene:Csa_6G115630 transcript:KGN46628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTTKDVAADEKTALSETQSAKSETSKSEASKSETSSAEQQPDKPKPTPAAPHEGEFPPNPFDFSAMTGLLNDPSIQELASQIAKDPAFNQMASQLQKTFQGASAQQSTPQFDTQQYYSTMQQVMQNPQFMTMAERLGNALMQDPSMSSMLETFANPSNKEQLEERMAQIKEDPSLKPILDEIETGGPAAMMRYWNDRDVLKKLGEAMGFAVYGDAANAESSAADDSEEVGNDDESVVHQTASVGDAEGLKNALAAGANKDEEDSEGRTALHFACGYGETKCAQILLEAGVKVDALDKNKNTALHYAAGYGRKECVALLLENGAAVTLQNLDGKTPIDVAKLNNQHEVLKLLEKDVFL >KGN46488 pep chromosome:ASM407v2:6:6748329:6748697:1 gene:Csa_6G102500 transcript:KGN46488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSISILSCTCSPPFYQLSAMLHLQTENCRRMKAMHSVICHRNNTMTKNNNVGHKWTEYQGIHNRDGLLDPLDHHLQTEILRYGRFVEAAYDSFQYNPTSPFYASCRHSKSSLLNRTGLSK >KGN47904 pep chromosome:ASM407v2:6:18974281:18975267:1 gene:Csa_6G410100 transcript:KGN47904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLIKESIILVTVVVVGLSMSHSSLGQSGGCTTALITLSPCLTYINAAAGTTTSNTPSSSCCSRLATVLQSKPRCLCSALSGGGAAASLGVTINQTRALQLPAACRLQTPPPSRCNGVAAPTVSPVSSPENSPDEEEDGEARPTTWTTTTWDQPSFPSTIGSDENVPITGGVPSDGGHSLINFAGLVYHLITLNILFILLLH >KGN47716 pep chromosome:ASM407v2:6:17377317:17380422:-1 gene:Csa_6G383530 transcript:KGN47716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKKTEVEEKVEEEHHHHFHVLAVDDSLIDRKLLEKLLTISSCQVTCVESGDKALRYLGLLDHDLDPFSSSSFSSSQLSQHQEVKVNLIMTDFCMPGMSGYDLLKRLKGSYWKDIPVVVMSSENEPSRINMCLEEGAEEFLLKPLQLSDVKKLEPHLQKSLTRCASDQQIKEQQTTLGIGIGIEIDDENNSKLEESKNSINNNNNNNSMSKRKAATAEHCEERSRPKLKELQAGV >KGN48505 pep chromosome:ASM407v2:6:23284418:23284606:-1 gene:Csa_6G490210 transcript:KGN48505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIEEKMTAGEEVEQVISSGGYLGNQVQSVFKQGIARIRAKRIIFTEASVVEGKYQPLRCRR >KGN49193 pep chromosome:ASM407v2:6:27020125:27020763:-1 gene:Csa_6G517010 transcript:KGN49193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVPSVEDVFALGDCAGFLEQTGKPVLPALAQVAEREGKYLVELFNRIGKENGGKALSAKDIPLGDPFVYKHLGSMASVGRYKALVDLRQSKDAKGISLAGFLSWLIWRSAYLTRVISWRNRFYVAVNWATTLVFGRDNSRIG >KGN45954 pep chromosome:ASM407v2:6:2654421:2654756:1 gene:Csa_6G037510 transcript:KGN45954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFLLHLSKSHTTSSLNSCHCHHQCPSYPALTLALVSFTVCSSPLSRNPRLFHVRLFTNIKTLNSFTLCSPPSSKTFIHVGDQLSPPLYTARSYLSLTTIVGNIFLFGEVC >KGN46953 pep chromosome:ASM407v2:6:10815479:10816568:1 gene:Csa_6G152390 transcript:KGN46953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGIQESLGDTKFATPPTLHHSTMGHKKILPAVSTVEEDIQTQFRSPSQQIEPQNHHPFLLPHNSTPNLCSQGSSSIPFPLCFKSIDDIWSEIDHKDQQNPHPQHSIDVHQNPCQSRHASGEMTSEDLLVKDGVVQEASSSSSSMKQ >KGN48613 pep chromosome:ASM407v2:6:24031922:24032818:1 gene:Csa_6G495670 transcript:KGN48613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYNPRVSSSRRKSRKAHFSAPSSVRRVIMSAPLSTDLRSKYNVRSMPIRKDDEVQVVRGTYKGREGKVVQVYRRKWIIHIERITREKVNGSTVNVGIKPSKVVITKLRLDKDRKSLLDRKGKGRAAADKDKGTKFTAEDIMQSVD >KGN45794 pep chromosome:ASM407v2:6:1277361:1280216:1 gene:Csa_6G011700 transcript:KGN45794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPSRRKFSLFSSEMAAMKARKNNNLSIFAVVFSVFLFGVFMYNEDVKSIAEFPFSGSKTEDVREQTQKQSSPVHNAIETDVSENSRSQIGTKQVENSEESESETETDESVNLKSIVLKEDEEQSNQKVEQLPILEEDDDDDVELPPEECDLYNGDWVFDNTSYPLYKEDECEFLTAQVTCLRNGRKDSLYQNWRWQPRDCSLPKFKARLLLEKLRGKRLMFVGDSLNRNQWESMVCLVQSVIPPGRKSLNKTGSLAIFKIDDYNATVEFYWAPFLVESNSDDPKMHSILNRIIMPESINKHGENWKNVDYLVFNTYIWWMNTFSMKVLRGSFDEGSTEYDEVERPLAYGRVLTTWQKWVEENVNPNRTTVFFSSMSPLHIKSLDWNNPEGIKCAKETMPILNMTTPLEVGTDRRLFYIAMNVTQTIKVPVHFINITALSEYRKDAHTSVYTIRQGKMLTPDQQADPSTYADCIHWCLPGLPDTWNEFIYTRIISDS >KGN48111 pep chromosome:ASM407v2:6:20510534:20514427:1 gene:Csa_6G434310 transcript:KGN48111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKEAALTGNPRRPSNCCNPVKQLGPISLEHVLLALRESKEERDLRIRSLFNFFDAANLGHLDYAQIEAGLLALQIPAEYKYAKDLLKVCDANRDGRVDYNEFRRYMDDKELELYRIFQAIDVEHNGCILPEELWDALVKAGIEIDDEELARFVEHVDKDNNGIITFEEWRDFLLFYPHEATIENIYHHWERVCLVDIGEQAVIPEGISKYVHPFRYFIAGGIAGAASRTATAPLDRLKVALQVQTTQAWIIPAIKKIWKEDRLLGFFRGNGLNVVKVAPESAIKFYTYEMLKSMIANGEDKHDIGTAGRLFSGGIAGAVAQTAIYPLDLLKTRLQTFSCEGEKVPRLGKLTKDIWVHEGPRVFYKGLVPSLLGIIPYAGIDLAAYETLKDVSKTYILQDSDPGPLTQLACGTISGALGATCVYPLQVIRTRMQAQSSNKGAAYQGMSDVFRQTLKNEGYSGFYKGLLPNLLKVVPAASITYLVYERMKKWLELD >KGN45989 pep chromosome:ASM407v2:6:3132591:3144576:-1 gene:Csa_6G041740 transcript:KGN45989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAGIGTSRPLTLLLFLIINLLSNTINGGGGVYADNGIFSVKYKYAGRERSLSTLKAHDISRQLRFLAGIDIPLGGSGRPDAVGLYYAKIGIGTPSKDYYVQVDTGSDIVWVNCIQCRECPRTSSLGMELTPYDLEESTTGKLVSCDEQFCLEVNGGPLSGCTTNMSCPYLQIYGDGSSTAGYFVKDYVQYNRVSGDLETTAANGSIKFGCGARQSGDLGSSGEEALDGILGFGKSNSSIISQLASTRKVKKMFAHCLDGTNGGGIFAMGHVVQPKVNMTPLVPNQPHYNVNMTGVQVGHIILNISADVFEAGDRKGTIIDSGTTLAYLPELIYEPLVAKILSQQHNLEVQTIHGEYKCFQYSERVDDGFPPVIFHFENSLLLKVYPHEYLFQYENLWCIGWQNSGMQSRDRKNVTLFGDLVLSNKLVLYDLENQTIGWTEYNCSSSIKVQDEQTGTVHLVGSHYISSAKRLNTKWGVILLFLILLMHWSAHSRCFS >KGN46633 pep chromosome:ASM407v2:6:7994161:7999171:1 gene:Csa_6G116660 transcript:KGN46633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIISIMGAFDSMGLKLNNAPHYPCLSSLPSAFFCSNFSTLNFSSSISGLHDLSIPISNGLENCAVGNRSYDSLLGLHFSLRPKRTASCFRPISALPGSGESESPISVSSNAGFSIKQSEQEEYDDDDAEEEEEGEEEEEGEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEGIPFGTGSSTIISSCFVGLLTGIGVVLFNNAVHEIRDFSWDGIPNRGASWLREMPIEDIWKRVILVPASGGFLVSFLNLLRDATDVKVDQPQGDDPSTKFGVPISISNKLKAALQPFLKAIAASVTLGTGNSLGPEGPSVDIGTSVGKGISTVFEMNSRTKLSLIAAGSAAGISSGFNAAVAGCFFAIESVLWPSPADSTFSLTNTTSMVILSAVIASVVSQVGLGVEPAFKVPVYDFRSPSELPLYLLLGVLCGLVSLSFSKCTSYLLATVDKFHKEFGAPRAMFPILGGFTIGLIALAYPEILYWGFENVDLLLESRPFVKPLSAELLAQLVVIKILATSLCRASGLVGGYYAPSLFIGAATGMAYGKFIGIALSEPNSVLDFSIFEVASPQAYGLVGMAATLAGVCQVPLTAVLLLFELTQDYRIVLPLLGAVGVSSWITSGQKRKRSSQQTKKLSPGKSPSTQQSTAYDSNANNQSSNYAEDGQTNYPNDLCEIESSLCAYESDSETVELERKISVSEAMTTKYITILMGTCLVEAVNLMLAEKQSCALIVDEGNILIGILELEDIQKLSKNAKSRNEQLKDFVVSEICSLDEKMCRVPWTATPSMDILTAKMIMKNLGVTQVPVVRDQMGYVVGVLDWECIDLTCRILATRESLGR >KGN47331 pep chromosome:ASM407v2:6:14309594:14310343:1 gene:Csa_6G301010 transcript:KGN47331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQRAIRTTTDDPCTIMNSTLSQDQPQGIRLSMDDFTAAGDLLPVSDPRFWIRKRDRNSRYRFRVHWIHLIPLILLLILFILWWSSYPAIKDGGIRAVDEKDRFPEVPKYVDHTELAVLGDAGMDIASSPLNLTSVGDRDSRIIPSKID >KGN48087 pep chromosome:ASM407v2:6:20338462:20344558:-1 gene:Csa_6G430640 transcript:KGN48087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRIGFPLSFHSSQSPASSSQPPVFLLQLRRFSIDPPYPPPPAVISATPTSDRVSPTLLTTVCCSSTVATSCYSTPLQTETHGARRRNILRHRNHELHILNSSVDNFGLIQQDLTAKLGKRLWVSMDSLLGFEEVPGENEEENLSTYSRKLVPWSTWNEWLFVSQSLFSDSPDSVAAALSRISTWRSKGCLPVVIEVTASIIEIQQKDPYFIKNQSMDASVRGTENQLNLVSLSEEALAMLYCMAIMRLVNGVVEKTRKKTEVSIAVAADAIGLPRLLIDIRHEGSHRELPALQMVRHASAKALCWLKSYYWEPQEKAIPFQGDISTSIRKEIKSKLRGLAFNLEMKQNPQLESAVVKPKRLKTKTGKTLKVLIQLYSTFSSEFVSVLLEFLLKAMRSSDLAFPKNSKKSSSSVLDGWKLTVAKISNKEPELLLDLLEAVLEKIKTQVALEYESQYFLPSDHKMESCQVAQLSSLFAWLVDKLNGIKLKRKGEVFSGKHIPKGVLMELLRKCLLVSAPWNKRLLDSATNLAQLLDDDSLVKKLNKLFFVNAPISSIPDEENPNHSASEDSFNQAESLREASRKLETIKLRKRKNNLASNPVDGNVGGSSRWTVVSSWTPCPIGMLPRSIGCSGRPSVLDLNPENEPTSEELRSKEKCELNNSSQKREASSDIHHLDNSIVKKMKDTNDKSQLETEDVESPEGIKGRLLIGGIWKNVGEEELSAIQSAVRILI >KGN45984 pep chromosome:ASM407v2:6:3091756:3100102:-1 gene:Csa_6G041200 transcript:KGN45984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNGMIECSVCHSKLVSPTTKGISRAYDRHKIRVSSKQRALNTLLVVGDCILVGLQPILVYMSKVDGKFMFSPISVNFLTEIAKVIFAIVMLILQARHQKVGEKPLLSISTFMQAARNNVLLAVPAFLYAINNYLKFTMQLYFNPATVKMLSNLKVLVIAVLLKVIMRRRFSIIQWEALALLLIGISVNQLRSLPEGAPNLGLTVTMGAYVYTLIFVTVPSLASVYNEYALKSQYDTSIYLQNLFLYGYGAIFNFMGILGTVIMKGPSSFDILQGHSKATMLLIANNAAQGILSSFFFKYADTILKKYSSTVATIFTGIASAALFGHTLTMNFVLGISIVFISMHQFFSPLSKVKDEQNGMTEMVDVDNQRSKGSFINIAAGANDEASHLVGSDERKPLLPT >KGN45853 pep chromosome:ASM407v2:6:1729282:1731466:-1 gene:Csa_6G014730 transcript:KGN45853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMKGELKIIAIVSMALVHQLYMCESSNSLHPLILIPGAGGNQLEARLTKDYKSSTLFCSRWNPIMKDSQGWFRLWFSPTVLLAPYTDCFAHRMTLHYDKDSDDYRNEIGVQTRVNQFGSVQSLLYLDPNLKKITTYMAGLVNSLEAIGYVRDKTLFGAPYDFRYGLAPEGHPCEVGSKFLKDLKELVEKASNSNGGKSVILVTHSLGGLFALQFLNRNTPSWRRHFIKHLVTLSTPWGGSVEGMRTFASGNTLGVPLVDPLRVRTEQRSSESNLWLLPNPTIYKHNKPIVMTQYYNYTVEEIPRFLKDIGFEEGVYPYESRILPLIEHFEAPGVDLTCVIGGGVKTPETLLYGEKGFDEQPEMGYGDGDGTVNMVSLRALEKLWAEEKNQTLETIELPGVSHKSILDNRDALDVIIREISRINSMASRLRPHTS >KGN47390 pep chromosome:ASM407v2:6:14766299:14778418:-1 gene:Csa_6G309970 transcript:KGN47390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSMKADVQGSLVGSEIHGFHTLQDLDVENIREEASARWLRPNEIHAILCNYKYFTIHVKPVNLPKSGTIVLFDRKMLRNFRKDGHNWKKKKDGKTVKEAHEHLKVGNVERIHVYYAHGLDSPTFVRRCYWLLDKTFEHIVLVHYRETQEFQNFPSTSLNSNSGSVSNPSTLWLLSEELDSKATHVYSVGENELSEPSDTTTVMTHEQRLHEINTLEWDDLLVKDEPFKPAIHKGDKLSCFDQQNQVPINATSNLLGEMSSFSNPVESTGRANGNISFTGSANLLLGGQTNLNVEKRESIAINSTDNLLDERLQSQDSFGRWINEVIIESPGSVIDPAIEPSISYVHNSYRDSTLYHSQTLATEQIFNITDVSPSWAFSTEKTKILIIGYFHNDFVHLAKSNLLVVCGDTSVNVDFVQPGVYRCLVPPHAPGLVHLYVSVDGHKPISQALNFEYRAPNLEVPVVASEQSQKWEEFQIQMRLAHMLFSTSKILSIISTKLLPTALQEAKKLAVKTADISDSWIYLLKSITENRTPFQQAREGVLEIVLRSRLREWLIERVAEGAKKSTEFDVNGQGVIHLCAILGYTWAVHLFDWAGLSINFRDKFGWTALHWAAYYGRERMVAVLLSAGAKPNLVTDPSSKNPLGCTAADLASMNGYDGLAAYLSEKALVSHFKEMSLAGNVSGSLDTSSTITDTSDCISEEQMYMKETLAAYRTAADAASRIQAAFREHSLKQRSDRIELSSPEDEARSIIAAMKIQHAYRNFETRKKMAAAARIQYRFRTWKIRKDFLNMRRQTIRIQAAFRGFQVRRQYRKIVWSVGVLEKAILRWRLKRKGFRGLQVAPTEMVEKQQSDVEEDFYLVSQKQAEERVERAVVRVQAMFRSKKAQEEYRRMRLTCDEAALEYEVLSHPVYGND >KGN47968 pep chromosome:ASM407v2:6:19427202:19428425:1 gene:Csa_6G421620 transcript:KGN47968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERANRRRRWTNLRQRLGLKGMGCCRGSWSPTSSTLTMIEGFSLSLHGSSRSQGEDGGRSSAASGMNLAMALAAERNLRNDEGGPTGGSDVKSLMRLFEEMDGGDWKTKRKESENNGDWMCCVCMERSKGAAFIPCGHTFCRVCSRELWLNRGTCPICSRSIIEILDIF >KGN46356 pep chromosome:ASM407v2:6:5832306:5835282:1 gene:Csa_6G087840 transcript:KGN46356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELDGIDGMPSMDVMDEEDKTLQRHCQFPSISKPRINNNDNPTTTSVHELLECPVCTNSMYPPIHQCPNGHTLCSSCKTRVDNRCPTCRQELGDIRCLALEKIAESLKLACKFSTFGCQEILPYYSKLKHESACYYRPYTCPYAGSDCPIDGNIPFLVSHLRDDHKVDMHSGCTFNHRYVKANPCEVENATWMLTVFHCFGQYFCLHFEAFQLGMAPVYMAFLRFMGDETDARNFSYSLEVGGNGRKLIWEGNPRSIRDNHKKVRDSHDGLIIQRNMALFFSGGERKELKLRITGRIWKEQNKQ >KGN46138 pep chromosome:ASM407v2:6:4381677:4382431:-1 gene:Csa_6G056550 transcript:KGN46138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYLSKLLILTCLLIFAFSYMVESRPNPEVSLATRLNLESNASDCWGSLFQLEACSSEIITFFLNGKINLSPKCCQSVKIIQHKCWPALLGSLGYTADEANVLAIYCDTTGINHPTPPSTSFPPSIQPEGLAP >KGN48333 pep chromosome:ASM407v2:6:22048217:22050435:-1 gene:Csa_6G476730 transcript:KGN48333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQGDYIELHRKRHGYRHDHFERKRKKEAREVHKRSATAQKALGIKGKMFAKKRYAEKALMKKTLAMHEESSSRRKVDDDVQEGALPAYLLDRENTARAKILSNTVKQKRKEKAGKWEVPLPKVRPVAEDEMFKVIRTGKRKTKQWKRMVTKATFVGAGFTRKPPKYERFIRPSGLRFTKAHVTHPELKCTFNLEIIGVKKNPNGPMYTSLGVITKGAIIEVNVSELGLVTPAGKVVWGKYAQVTNNPENDGCINAVLLV >KGN49083 pep chromosome:ASM407v2:6:26551676:26555970:1 gene:Csa_6G513470 transcript:KGN49083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKALLTPPRSLFWFSWKLLVTFSLALCIFALVSLHSSPSTTDLASASLSRRLRPPSDSFLGRPKIAFLFLTRRNLPLDFLWGSFFENGDVANFSIYIHSAPGFVFDESTTRSHFFFGRQLENSIQVAWGKSSMIAAERLLLEAALEDPANQRFILLSDSCVPLYNFSYIYSYLMASPKSFVDSFLDAKEGRYNPKMSPAIPKSKWRKGSQWISLIRSHAEVVVDDDIIFPIFGLFCKRRPPVDESKGIMNTKLQKQHNCIPDEHYVQTLLALNELEGELERRTVTYTLWNQSTTKMENKGWHPITFTYANAGPRQVKEIKGIDHVYYETEFRTEWCRNNSTFVPCFLFARKFSQGAAMRLLSEGVVSHFDASALLDKNTS >KGN48441 pep chromosome:ASM407v2:6:22875529:22888006:-1 gene:Csa_6G487620 transcript:KGN48441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDSPRIGGLSSGLAVILNDNDNRGSSSKGRCFSYCDEFNHQSVERTLEYVFGLPNKSINPLTSPVDTAFIRSIIKNKFSELARPIAHHGVGNGICIVDNGLGSNVVCIEKVSICGDIRIVKPPLLVESFSMFSSARANACVWSGKWMYEVILETSGIQQLGWATLACPFTDHEGVGDADDSYAFDGRRVRKWNKEAERYGQSWVVGDVIGCCIDLDRNEISFYRNGISLGVAFSGVRKMGPGIGYYPAISLSQGERCEINFGAHPFKYPIDGYLPLQAPPSINDFASHMLKCLSRILEEKRIECLEINSVEKLRRLKRFVSVEELFRPVSIGICDEFFSALEVDANGIEYIGRGPFLAFMMEVFGQQPPHNHSSLDRIIDVLLRCQGSLALFEHLINALSCSCKTSPLVLTECPYSGSYSYLALACHMFRREELLVLWWKSVDFEFLFEGFLSRKNPNKQDLEYMMPSVWWPGSREDVSYESSMDLTTTALSEAINEIEEKHRDLCRLVIQFIPPTTSPQLPGSVFRTFLQNLLLKNRGTDHNASPSGVLSNSIVVSLYAVILHFLSEGFGMGSVCDWLRSNENDGPDTGFLHRGGQRTFPVYLFFKDESHRTVTARLGGSYNHISKLHPHDQEVEVIHWEEGCMDDHETRVTHSTRQKPCCCSSYDAEGMRSSKDPIKHAIRNCRGIPMHDRSAHVASECSAGNLNDEITDKPSSSEQSDAQFGYCPMQHMRIVPRETNTSSATLREEELLDFLLLFYHMGLAPDFKQASHYMSHQSQLIALLEETDKQIRERACREQIKRLKEARSTYREEVIDCVRRCAWNRISLFSQWKQRGMYAMCMWTVQLLLVLSKMDSMFIYVPEFYVEALVDCFHVLRKGDPAFVPSTIFLKQGLASFVTFVVTHFNDPRISSADLKDLLLQSISVLVQYKEYLVTFESNEAATQKLPKSLLLAFDNRSWIPVTNILLRLCKGSGFGSSKYGESSSSSITFQILLREACVTDEGLFSPFLNRLFNTLSWTMTEFSVSIREMQEKYQVLDSHQRKCNVIFDLSCNLARVLEFFTREIPQAFLLGSDTNLRRLTELVLFVLNHVTSAADAEFFDLSLRRTGQSLEKVNRGMILAPLVGIILNLWDASAELKYKEYNDIVGIFASMECLNTVNCGFRLLLDYNWAGSFRGDGYVAQLERLENFLSLLLYRMESLALDNSAFDDQTDASDSICCICYASVADACFKPCSHQSCYGCISRHLLNCERCFFCNAAVEDVIRAVTN >KGN49246 pep chromosome:ASM407v2:6:27324162:27324628:-1 gene:Csa_6G518030 transcript:KGN49246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWCSGVGHTFGRGGRARAWRPMGAESVKALKAVFFRRGDEVGTTLERLKWGWRGLP >KGN47546 pep chromosome:ASM407v2:6:16038888:16042841:1 gene:Csa_6G358100 transcript:KGN47546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLTLKPFFPTTKFSFPPPSSSSFFAFPRFSSISFPLKPRNGVSFRLRAYDSSKSDNSDASSADSKPPNGTLPKTRRDILLEYVKNVQPEFMELFVQKAPQQVVEAMRQTVTNMIGTLPPQFFAVTVTTVAENLAQLMYSVMMTGYMFKNAQNRLELQQSLEQVALPEPKDEKDDSNYAAGTQKNVTGEVIRWNNVSGPESIDAKRYIELLEAEIEELNRQVGRKSTNGQNELLEYLKTLEPQNLKELTSSAGEDAVVAMNTFIKRLLVASDPGQMKTSVTETTAPELAKLLYWLMVVGYSIRNIEVRFDMERILGSSPKLAELPPGEIV >KGN48726 pep chromosome:ASM407v2:6:24744897:24749232:-1 gene:Csa_6G499210 transcript:KGN48726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELVFTKWVLGFFLCSYLVFSKAYSVEGILGDNKVRGVNLGGWLVIEGWIKPSLFEGIPNGDMLDGAVVQLRSVTLQKFVSAENGGGTGVTVSRDVASSWETFRLWRVSASEFQFRTSLGQFLTCDGLECSAAAQSPRNSATFVVERNGNRVHLKLKNGAYLQAMITNQLTADYLGKPGWDDNAATFEMIVSNNLHGDYQLANGYGKDEATRVLQRHRNNFVTVDDFKFLYRHGINTVRIPVGWWIAFDPDPPAPFIGGSLEALDNAFSWAQAYNLMCIIDLHAAPGSQNGMEHSSSNDGTIGWPNSPAYISKTLDVIDFLASRYGKHPALLGIELLNEPSADLVPFDTVVSYYKQGYDIVRKYSSTAYVIICQRIGKADPMELYQANVGSHNLVVDLHYYNLFDPFFDHLSASENIEVIYKNRQTQIQALNSANGPLVFVGEWSNEWNVTNASQADYQNFGRAQLEVYNAASFGWTYWTLKNDRKHWDFEWNIKNNYLQFGDSPSRVIFNCYLLVALACGWFPHLLLLL >KGN49142 pep chromosome:ASM407v2:6:26803624:26807510:1 gene:Csa_6G516500 transcript:KGN49142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESFNLRKTLFFLSFFLAKLVFPTISQPPFFDKACSDKGNYTTNSPFKKNLDAVLFSLSSNNHFDSGFYNASSGENPDRATAMALCRGNVAFEKCRSCVNDSAHRIIQECPNQKEAVGWYQDCQIRYSNNSIFGVRDSSVMRAYMNLQKSPDPIGFSQTLRSLLDGLRNEAASGTSTRKSAAGQLAVPSPSVDTIYALVDCFPDLSSLDCNGCLSQLQSYIPACCNASIGARITATSCQLNYEIQPFFELSPPPPPSQPPSVAAQPPPSAVKAPSPTSPSNEGSNGKTARTVIIVVVSVVSASILIVGICIILRLRKRKHKTTLQKSESVAHGDATSEISTAVTIQFDFDTIKIATNDFSDENKLGQGGFGAVYMGKLLNGQHIAVKRLAHNSQQGDQYFVGWRNEFKDCRFWYGKIVQRRRDSRQYNLALVGVIQIIKLAYNCFIFSTSNYLAWKNWKAGTSTNVIDSTLIVGSRIEMIRCIHIGLLCVQENVANRPTIASVVLMLSSSSLSLPIPSEPAFFMDINTNESNSDGRHASYSTAKSNQISENEASSTELYPR >KGN49035 pep chromosome:ASM407v2:6:26315105:26318658:1 gene:Csa_6G511020 transcript:KGN49035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNVNGREDEDGNPSGAEEEDEEVGGRRSSLPDGLSVPPDAHLGYHAGDPPAELMGHSPPQSPRAIPSPLMFTPQVPVVPLPRPDEVHSSSQSWMHNSSWFDEVGSEQGIPTMITWSHGGKEVAVEGSWDNWKMKIPLQRSGKDFTIMKVLPSGVYQYRFIADGQWRYAPDLPWAQDDAGNAYNILDLQDYVPEDIESISSFEPPQSPESSYNSLQLVADDYSKEPPLAPPHLKTTLLDMPCPYNEILPPISRPQHVVLNHLYMQKERGGPSVVALGMTHRFLAKYVTVVLYKSLQR >KGN47456 pep chromosome:ASM407v2:6:15207494:15209763:-1 gene:Csa_6G327950 transcript:KGN47456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKRMKDHQTPQREQTTNRTSRKPQKIAKCFSATLLSLSEDKIHSISKENSSPISAVSDLNQISDIHSSFLQGVNPEFSACCDTSLFPDLSSSSVVSFDNELLDKVSADFSGSNDINEASAGSVEAEIAVNFIRRALTQVLQSTDVDHQSKKLIDASMRIIADDFLAIPQQRDQIAQLISAKYHVLCVCVFLWIIVLAKAFFVGSGVESSFTGPLPT >KGN49217 pep chromosome:ASM407v2:6:27153594:27157254:1 gene:Csa_6G517250 transcript:KGN49217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVSSMRKSFKDSLKVLEADIQHANTLASEFPGEYDGPCLQMRMSYSPAAHLFLFLVQWTDCHLAGALGLLRILIYKVYVDGTTTMSTHERKASIREFYAVIYPSLLQLQRGVTDTEDKKQKAVCMERYRRRDDEECIQRSDADIEREEECGICMETTSKVVLPNCNHALCLKCYREWRTRSQSCPFCRDSLKRVNSGDLWVFTDNRDIVDMATVTRENLKRLFKYIDKLPTIVPDSLFDAYDTHLR >KGN46373 pep chromosome:ASM407v2:6:5977299:5978866:1 gene:Csa_6G088010 transcript:KGN46373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLKSSSTPLLGSLLPPDHHHHDLHGKHSPSTFPFHCNNKPLSFSTTTASLSSSPAFSDHHRAAAPSGFRRTNSEGNLNTVSHASDVIDVHEDPFSFDSVNFKSSKKFCHGLKRSILQTIPSFSFYGSRARTEEEEEEEDREIDGKEEEDGLGNFSIDAAIVTPEVRAMEQAWSRVGAGGDGMGFVGDLRKEMYLARGLGIGGGPGDGGGGGRLQGGGGGGGGGEEYGMEEYYKKMVVENPSNALVLSNYAEFLYQRKGDLGRAEEYYSRAILMDSHDGETLSKYAKLVWELHHDQQKALSYFQRALQASPLDRYSLPTFILSFPSLLFHN >KGN48685 pep chromosome:ASM407v2:6:24510827:24513496:-1 gene:Csa_6G497350 transcript:KGN48685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSPAEQHPKKAFGWAASDTSGILSPFHFSRRETGENDVAFKVLYCGICHSDLHMLKNEWGNTIYPIVPGHEIVGEVTEVGSKVKNFKVGDKVGVGCMVGSCRSCDNCSNNLENYCPKMILTYSSKESDGSITYGGYSNCMVADEHFIVRIPDSIPLHAGAPLLCAGITVYSPLRYYGLDKPGMQIGVVGLGGLGHVAVKFAKAFGAKVTVISTSPNKKQEAIERLGADSFLVSRDQEQMQAARGTLDGIIDTVSAAHPLLPLLSLIKTHGKLVMVGAPEKPLEIPAFALIGGRKTMAGSCIGGMKETQEMIDFAAKHNITADVEMIPMDDVNKAMERMLKQDVKYRFVIDIANSLKSSS >KGN48432 pep chromosome:ASM407v2:6:22822790:22824672:1 gene:Csa_6G487530 transcript:KGN48432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIDFSSILFAFIIPFLVFIILSRIWRWDFHGIIPWNWPIVGMTPTVIAHIHRPHDRVTEVLQQTAFTFFFKGIWFSGMDFLFTVDPSNIHHILSANFERYPKGPDFKYIFEVLGDGIFNSDSDVWKNQRKTAHYLVRHHNFLQFLEKITLNKVKTGLVPILDSVCENGSVLDLQDLFQRFSFDSTCMMVTGFDLNSLSLEFPEVPFSKAMDDAEEVIFVRHFFPKTIWEFQKKLQIGQPKRLKQAWEIIDETIAKLIASKRKSLKNEMKEEDDNGKEGVDLITSYITNIENDDEFLRDTVLNFMIAGRDTLSSALSWFFFCLSNHPTVVEKIREELRTTIPTNEAYDQRRIFSIEEVDNLVYFHGTLCEALRLYPPVPLQHKVSIQHDILPSGHHIKPKTKILFSLYALGRMSEVWGKDCLEFKPERWINSENGKIKHVPSYKFLAFNAGPRTCLGKHVAFTELKIVAAAIIHNYNIIQQAGHEVVPSASIILHMKHGFKVKVTKRWST >KGN47540 pep chromosome:ASM407v2:6:16017599:16018842:1 gene:Csa_6G358040 transcript:KGN47540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDSELNSGGMSSCETHLSMYQSKQSPIAQKKVALRDVQNDNRSVIYNYPETSCALGGKLMNGSKLSGSKRSNPTCSPSSAIHQSFKGIGVNEHNVYANGEVDVKPGKKRASGEKPGNFLAVAGSNLLGISPGLEILRSDDSNGITDEQRSERLFHLQKLLKHFDKTDQKGVIEMLHGLPPSELSNFAINLEKRSMHLSVEEANRFMFFRERDPTDEGFEYSEQPSVKSERSFVTLMNNDFGDERDERAIWHFCDNCTSGQISRLVDETVCRN >KGN48242 pep chromosome:ASM407v2:6:21456602:21458978:-1 gene:Csa_6G450960 transcript:KGN48242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKIKTNRVKYPEGWELIEPTLRELQAKMREAENDPHDGKRKCETLWPIFKIAHQKSRYIFDLYHRRKEISKELFEFCLDQGYADRNLIAKWKKPGYERLCCLRCMQPRDHNFATTCVCRVPKHLREEKVIECVHCGCRGCASGD >KGN49121 pep chromosome:ASM407v2:6:26710729:26713383:-1 gene:Csa_6G514820 transcript:KGN49121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIFRSLKTIIKKNPSSKTFLTTTTCTISTPYSPLSYCPSQTLSPFGYHSTRLLSPLSKLIFPFHGPLFLSSPPWKLSQSATPLYVQGNGIILRRVEAFNSRLNLLRRTKLPLRINFGSVSPPPHLLDRLNSEKINDNWVHGFVNLPNLISLSRLISGPFLGWMISNGWYSSAMVGLAISGATDWLDGYTARKMGINSVVGSYLDPLADKVLIGCVALAMVQNDLLHPGLVALVVGRDVLLVSGAVYQRANSLGWKWRSWDDFFNLDGTSPQKVEPLFISKVNTVFQLVLVAGALLQPEFGTQETELYVTLLSWLVVSTTVASTAAYGAQFMKKSSGLIARKV >KGN46082 pep chromosome:ASM407v2:6:3954538:3958198:-1 gene:Csa_6G052080 transcript:KGN46082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKCPVYPLRSLLMLLFILSLFVPSMPLPTETQALLRFKENLKDPTGFLNSWIDSESPCGFSGITCDRASGKVVEISLENKSLSGEISPSISVLQWLTTLSLASNHISGELPNQLINCSNLRVLNLTDNEMVKRIPDLSQLRKLEVLDLSINFFSGQFPIWVGNLTGLVSLGLGQNEFEAGEIPESIGNLKNLTWLYLANAQLRGEIPESLFELKALKTLDLSRNELSGKISNSISKLQNLNKLELFVNKLTGEIPPEISNLTLLQEIDISANSLYGQLPEEVGNLRNLVVFQLYENNFSGKLPEGFGNMQNLIAFSIYRNNFSGDFPVNFGRFSPLSSIDISENQFSGSFPQFLCENRKLEFLLALENRFSGELPFALAECKSLQRFRINNNQMSGSIPDGVWALPNAKMIDFSDNEFIGIISPNIGLSTSLSQLVLPNNKFSGNLPSELGKLTNLERLYLSNNEFNGEIPSEIGFLRQLSSFHLEVNSLNGSIPLEIGNCERLVDVNFAQNSLSGSIPSSFSLISSLNSLNLSSNKLSGIIPESLEKMKLSSIDLSGNQLFGRVPSSLLAMSGDKAFLDNKELCVDENYRDRINTTLVTCTGKNSHKGVLNDEILFFSIIVSILVCVLAGLALVSCNCLKISQTDPEASWEGDRQGAPQWKIASFHQVEIDADEICSFEEENLIGSGGTGKVYRLDLKKNGYTVAVKQLWKGDAMKVLAAEMEILGKIRHRNILKLYACLMREGSSYLVFEYMTNGNLYEALQRQIKSGQPELNWYQRYKIALGAARGIAYLHHDCSPPIIHRDIKSTNILLDGDYEPKIADFGVAKVADQFQSASEHSSLAGTHGYIAPELAYTPKVSEKSDVYSYGVVLLELITGRRPIEDEYGEGKDIVYWISTHLDDRDHALKLLDIRVASEAIQNDMIKVLKIAVLCTTKLPSLRPSMREVVKMLSDADPYSSSMSLNNSSNKNIKDFV >KGN49140 pep chromosome:ASM407v2:6:26799308:26801254:1 gene:Csa_6G515490 transcript:KGN49140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSKYLLFLCLILIFMVSTTVSQTDIITHSCSNTGNYTTNSVYKQNLDNLLSSIASNTEIDYGFYNFSAGQDPDRVNAIALCLADLTVDECRRCIQNSTRRILEDCPNQKEAIGWYTVCMVRYSNRSIFRVGNDRVLGPVFVSGQTASDIDGYTRSLRTLLQRLRNEASSGDSRHKYAVGEVEATNLDNIFGFVQCTPDLSSVDCNNCLMKAAENVRNGSMGTRIFSTSCFLRYETNDIFYNTESLPPTAGGGGATPPPMSSPPLPPTPGNKTRTVIIVVVSIVSVIILVVAIFIILRLRKRKNRKSTDKFEGVVIGDATDEISSVETIQFDFETIKIATNDFSSENKLGQGGFGAVYKK >KGN46468 pep chromosome:ASM407v2:6:6614750:6618721:1 gene:Csa_6G095350 transcript:KGN46468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPGTKEPAQASRDSANDKAIEKANDKVNTTEAPITDSSSVSATSKDSKRVSPQDIEFVQNLIERCLQLYMNRDEVVKTLLTRARIDPGFTTLVWQKLEEENADFFRAYYIRLKLKKQILLFNHLLEHQYHLMKYPMPPKVPLAPIQNGIHPMPVNNNSLPMGYPVLQQSMAPVPGQPHIDTMGNGISSCHIVNGVPAPSNYHPIRMNSGNEIIVSIVKFTIYFSYILFDDLGALGNYPGSPFLPSDSDILLDSPENEDLVEEFFVDSVPGPPGSQSDEEKT >KGN48172 pep chromosome:ASM407v2:6:20881905:20882628:-1 gene:Csa_6G446335 transcript:KGN48172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWTLTEVMRLVDGIAEYGTGRWTHIKKHLFASSPHRTPIDLRDKWRNLLRASCVNIQNKKGVSPLSFVLCLSSECEFVYAINGLFNDVFVLVPTLIEGKQTHASRPLPKSLLQRVYELANIYPYPKERGPKSVKEITPPMDLIESNSLSFNWGRKKYD >KGN46982 pep chromosome:ASM407v2:6:11014241:11018415:1 gene:Csa_6G157080 transcript:KGN46982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADITHPPMEQLQDLEYCIDSNPPWAETILLAFQNYILMLGTNVMIPSLIVPAMGGDNGDKARVIQTLLFVAGLNTLLQALFGTRLPAVVGGSFAYVIPIAYIVGDSSLQRISDSHERFLHTMRAIQGALIVASSIQIILGYSQIWGLLSRFFSPLGMAPVVGLVGLGLFQRGFPVLGECVEIGLPMLILVIGLSQYLKHVRPFRDLPIFERFPVLICVTIVWIYSVILTASGAYRNKPMKTQISCRTDRANLITTAPWFKFPYPLQWGPPTFSAGHSFAMMAAVLVSMVESTGAYKAASRLAIATPPPAYVLSRGIGWQGIGVLLNGLFGTSTGATVAVENVGLLGLTRVGSRRVVQISAGFMIFFSTLGKFGAVFASIPIPIFAAIYCVLFGLVASVGLSFLQFTNMNSMRNLIITGLSLFLGLSIPQFFNEYWNPARRGLVHTNSEWFNAFLNTIFSSPVTVALVVAVFLDNTLEVEKSKKDRGMPWWVKFRTFRGDNRNEEFYTLPFNLNRFFPPT >KGN48699 pep chromosome:ASM407v2:6:24581446:24582350:-1 gene:Csa_6G498950 transcript:KGN48699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCNGHHRPLFRGSLPPYQTSVPENGAVSVVDNLRGRLEETELRLAQVRAREAELSRLLEEMKRLVSVMEILENYLKRQYSDRQEYVVRLLSPVSRK >KGN49519 pep chromosome:ASM407v2:6:28855363:28855560:-1 gene:Csa_6G526530 transcript:KGN49519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKSPFVHAPALYLSSPFFQFKCCFGFVGSLVGDIKIKQEAKEEDKKRKKHDPKSSEEAEEDVG >KGN48729 pep chromosome:ASM407v2:6:24781631:24789593:-1 gene:Csa_6G499730 transcript:KGN48729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVFYLISLPLTLGMVIVTLKYFAGPWVPRYVFLTVGYTWFCSLSIIILVPADIWTTTSHLSETGVISFFWSWSYWSTFLLTWAVVPLIQGFEDAGDFTVKERLKTSIHVNLVFYLVVGSIGLFGLILLIAMHKIWHGGVLGFAMACSNTFGLVTGAFLLGFGLSEIPKSIWRNADWTTRQKVLSHQISKMAVKLDDAHQELSNAIVVAQATSKQMSKRDPLRPYMNVIDNMLTQMFREDPSFKPQGGRLGENDMDYDTDEKSMATLRRHLRRAREEYYRYKSQYMTYVMKALELEDTIKNYERRSSTGWKYVSTLRHARSGKLGSILDTLEFIWRCILRKHLQKVLAIVLGIMSVAILLAEATLLPSVDLSLFSMLIKLVGREEVLVQAFAFVPLMYMCVCTYYSLFKFGTLMFYSLTPRQTSSVNLLLICSMVARYAPPISFNFLNLIRLGGNVKTVFEKRMGRIDDAVPFFGKDFNRIYPLIMVVYTILVASNFFNRVIDFLGSWKRFRFQSEVDDMDGFDPSGVIILQKERSWLEQGRMVGEHVIPLARNFNSIDLESGSSNSTDLLDIKAKATNNLINEDVNGKSSKSSSDEGRKYGSSREAMSNKYAVIREQIRQSTLNTKPVPNIASAKVTLLDTEDGEPSNTNEKTNSGLASKWESMKVGFQNFKANIGTKKFLPLPQVQESKTLSHHDSAQSLDEIFQRLKRPLDHGGYSDEEDGMEIKSSERIEFERRPTR >KGN47033 pep chromosome:ASM407v2:6:11629758:11638391:1 gene:Csa_6G177190 transcript:KGN47033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDQFSIYFSTLNTLPSKPNPTHSFFFFHFSSLKSNLPFFFSSFTSSHQRFVNFSSYPIFKISTTEFPNQSGEGSASSQKKMGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSTRGRLYEYANNSVRGTIERYKKAFADSSNSGLSVAEANVQFYQQEATKLKRQIREIQNSNRHILGEALSSLPLKELKSLEGRLERGISKVRAKKNETLFAEMEFMQKREMELQSHNNYLRTQIAEHERIQQQQQQQQQTNMMQRATYESVGGQYDDENRSTYGAVGALMDSDSHYAPQDHLTALQLV >KGN46652 pep chromosome:ASM407v2:6:8165674:8166514:-1 gene:Csa_6G118320 transcript:KGN46652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANINYDDEHGFNINLPSLSRKYQEAYDKMQRELVKAREGLTKILTSKDVKATLLDMVERNELNRSLLALLDENIANAQMGNQNQNNK >KGN46333 pep chromosome:ASM407v2:6:5690257:5691672:-1 gene:Csa_6G086140 transcript:KGN46333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLSRGRRKAGTDNLEGADEAEKKLMKSDYKDGLMLNQGQVIEDEKLKKVIPKNLFLKSIRNGKYLRYISKGENADGLLQYSSKNMVGPYSKFVLHGSKTKPGFFHIRCCYNNKFWVRLSEDSNYIAAKANEEEDDTSKWSCTLFEPIIFAPDRTELYYIRHVQLNTFLFMAEGDPSPYNDCLVAREEDMRTTDKDLVLSVVTDWDSIFVLPKYIAFKSNNDRYLEPYRKYLKFSASSVEDPVIVFEIIPMQDGYVRIKHVSSGKYWILDPNWICCESIDINRDDPNTLFLPVKVDNNIRSVEDVEYRVKDARVYGKKIHSVSKGVAINNTKVDDKVSMKFSYERVVERTWSSSMSSTFGIATRFNAMIPGVGRMKFELSMEASSASARQETEKEKSMVETEETITIPAMSKVKFSAVVTHAYCDVPFSYTRRDTLKDGRQVTHRFEDGLFTGVTTYDYKFETEKVESYSD >KGN48367 pep chromosome:ASM407v2:6:22294967:22299087:-1 gene:Csa_6G483470 transcript:KGN48367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTFPIEARHRLSSSIKLQRSKVKDLDKPFNLSTHERFSRCKLPLLKLVLLFAVSGTFITLLYSPEVNNHISNTSSGPKFVNRWIWGGPDIRYVSRLNIVWDDVVEVLERLGDKKEYHGIGLLNFNKSEVINWKQLNADAEYTVLHLDYAEQDVTWDSLYPEWIDEEEEDEVPICPSLPKLRAPGKRLDLIAVKLPCRNEGNWSRDVARLHLQLAAASVAASAKGNYPVHLLFITNCFPIPNLFTCKDLVARRGNVWLYRPNLNVIREKIQLPVGSCELALPLKGKEVPYSGNMLREAYATILHSAHVYVCGAIAAAQSIRMSGSTRDLVILVDETISSYHKSGLEAAGWKIRIIQRIRNPKAEKDAYNEWNYSKFRLWQLTDYDKIIFIDADLLIFRNIDFLFGMPEISATGNNGTLFNSGVMLIEPSNCTFQLLMEHINEFESYNGGDQGYLNEVFTWWHRIPKHMNFLKNFWMGDDEETKQMKTRLFGADPPILYVLHYLGTKPWMCFRDYDCNWNVDIMQEFASDVAHQRWWTVHDQMPELLQQFCLLRSKQKAQLEWDRIQAEIGNYTDGHWRIKVKDKRLKKCIDNVCSWKGMLRHWGETNWTDDEFYVPTPPAIKSAALSAIF >KGN47743 pep chromosome:ASM407v2:6:17695130:17696235:-1 gene:Csa_6G398200 transcript:KGN47743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVEQEEMQFLGIYGIFRETTKLIFTWRRIFSQITLALILPLSFLFLAHMEISDLFLRKIMFDEFVLDQTQTSTPSFDKLSDVVSSEKVYFFLFQIMYLVIFIVLSLLSTSAVVYTVASIYTGRDVAFKPVMSVVPKVWKRLLLTFLCVFISFVGYSIASLLVITFLFFFIILAFRTAGGGVFLFLYVVQILYLAGAFYLTAIWQLSNVISVLEDSYGFKAMGKSYGLVKGKLGTSVVIILCLSLPLGTVRFVFGHLVVRTSSLGILSKGTLGILCFLLFFIFFLLKLVTETVLYFVCKSYHHENIDKSALSDHLEVYLLGEYVPLRPRDVQLEKFQV >KGN49455 pep chromosome:ASM407v2:6:28468611:28481142:-1 gene:Csa_6G525410 transcript:KGN49455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFWRMAGLSTASPVETILDRENFTLEELLDEDEIIQECKALNSRLINFLRERTQIEQLIRYIVEEAPEEAEKKRSFKFPFIACEIFTCEVDIILKALVEDEELMNLLFSFLEPNSSHSTLLAGYFSKVVICLLLRKTIPFMKYVQEHQAIVKKLVDLIGITSIMEVLIRLLGADEHLYSSYTDSMKWIEETDVLEMIVDKFSSSDCSEVHSNAAETLCAITRFAPPGLLAKISSPSFVGRLFCHALEDSRPTSVLINSLSVCISLLDPRRLTAGTAYSYNRQMTHGSTVSATMETVEGMLESLGRLLKLLDVSSSDKILVTTYGKLQPPLGKHRLKIVEFISVLLTVGSKAAEELINLGAIKRILDLFFEYPYNNFLHHHVENIVVSCLESKNSSLVQHLLNECNLVGKILNAEKHFTLPVDANKPTVPAEGRSPPRIGNIGHLTHISNKLVQLANNSSEIQSHLQENTEWNDWQTNVLLKRNALENVYQWACGRPTALQDRTRDSDDDDYQDRDYDVAALANNLSQAFRYGIYSNDDMDEAQGSLERDDEDVYFDDESAEVVISSLRLGDDQESGSLFTNSNWFAFENDLIANDRTTGLLASPPPDIKETGIVNDGGDDVIVGEEDDLDDTATSSPGSQGTSENSRSVTNNSKEIVANETDKPPEWVEWRETSNDEGSSLTLPNGEVSEVTEPQATEPSPSAEIDKEAAAGQVSTPTNDHDKLDSPDPTKSDEKVDVKSKSTTSEETVNDAETNDVTVDIKSPKEAGN >KGN49253 pep chromosome:ASM407v2:6:27350881:27351222:1 gene:Csa_6G518095 transcript:KGN49253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWLPVEVIIILSILHESRVAHSLSYHIIPIVIVVVIVAVSVVAVEVIVFSLVVQRSCSVIVSGKAGIWEALSSVVVKILVVVKRVLVWLRAAIFKSLEIVVVVVLVVVVVLF >KGN46509 pep chromosome:ASM407v2:6:6901105:6903102:-1 gene:Csa_6G104650 transcript:KGN46509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRWHLENYKPALAMMGLQCIYAVLAIFSRAALVHGMSPRVFVVYRNAIATLTMAPALYFSTRISGNRIFIGFRGLSLIFVTALIGVTGNQNAYFEGLYLSSSSAASAIVNLIPAITFVMAVIAGSEKIKAKSWRTVAKIVGTIVCVGGAASMALIKGPKLLNPQILPKNISFLNILGAVEPEQDTWFLGCVLLFVSSCFWAFWIIMLVPISRHCPDPIISCTWLLFVATILSVFFTVLVEDNTKVWNLPSLLQFATCIYAGTSSALSFFVQSWCVSKRGPLFTALFNPLCTVITTFVSSLFLHEQLYMGSLMGAIAVIIGLYIVLWGKAKDVDQDMKRRRGEEVDDSSEKKDIEQPLLCDETIKYDKF >KGN46851 pep chromosome:ASM407v2:6:10153854:10154141:1 gene:Csa_6G147450 transcript:KGN46851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQPHPSSSLSFLLPTVSSKRTTMADLKRTNENGGLGANERTMMADSKRTDYSGGRYIL >KGN49385 pep chromosome:ASM407v2:6:28007182:28012803:1 gene:Csa_6G522780 transcript:KGN49385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVGEEIRAAHKRDFLEFLEQDVGKGIYMEEIKAMINHKRHRLIINISDLHSFRDLGPRVLRHPSEYIQPFCDAVTETARSIDPKYLKEGEQVMVGFEGPFVSRRVTPRDLLSQFIGSMVCVEGIVTKCSLVRPKVVKSVHFCPTTGAFTSREYRDITSNMGLPTGSVYPTRDDNGNLLVTEYGLCRYKDHQTLSMQEMPENSAPGQLPRTVDVVVEDDLVDCCKPGDRVAIVGIYKALPGKSKGSLNGVFRTVLVANNVSLLNKEANAPIYSPEDLKNIKKIAERDDTFDLLGNSLAPSIYGHSWIKKAVILLMLSGVEKNLKNGTHLRGDINMMMVGDPSVAKSQLLRAIMNIAPLAISTTGRGSSGVGLTAAVTSDQETGERRLEAGAMVLADRGVVCIDEFDKMNDQDRVAIHEVMEQQTVTIAKAGIHASLNARCSVVAAANPIYGSYDRSLTPTKNIGLPDSLLSRFDLLFIVLDQMDPDIDRHISEHVLRMHRYRSVLDGGEAGGSMYGREEEAEADTSVFVKYNRMLHGKKIDRGRKRDTLTIKFLKKYIHYAKHRIQPDLTDEASEHIATAYAELRNSTSNAKTGGTLPITARTLETIIRLSTAHAKLKLSRKVSKSDVEAALKVLNFAIYHKELTEMEEREQEREKELERKRRAEDETVENDRPERSTKRREEGSRTDTMEIDDPPAEPELDLSAERTEAFNSLFGQHMRANHLDVISIADIENVVNTAGDNRYTAAEIMLLLQRLQDDNRVMIADTMVHMIS >KGN49528 pep chromosome:ASM407v2:6:28914994:28918876:1 gene:Csa_6G532590 transcript:KGN49528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLSKLRCITIDVTGTLLAYKGELGDYYCMAAKSVGLPCPDYRRVHEGFKFAYKEMAKNYPCFGYAAKMPNIIWWKTCVRDSFIRAGYDYDEETFEKVFRRIYASFGSSAPYKVFEDSQPFLRWVREQGLMVGIVSNAEYRYQDVILPALGLNQGSEWDFGVFSGLEGVEKPDPRIYEIAIERAGNIAPEEALHIGDSLRKDYIPAKSVGMHGLLLDRFKTSDAEEWRKSGAVVLPDLVAAREWLQNN >KGN48530 pep chromosome:ASM407v2:6:23465941:23470168:-1 gene:Csa_6G490940 transcript:KGN48530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSGMVMGGRSNNYNYNCNGGFSNSYMLLNPEEVKFLDLFRLLFSSNLKKRRFVDSSHAREHNFWHRFFIFLSIVVLKLLRFFDKPLALLGFFLESSLNFLSLNGGFSGILLNFFRLRLKIPNTSSAEYLSMIGHLDSRVTLDRSIKPGDVNYFGALCMMASKLSYENEAHVAQIVKDVWKMEFLGFFNFWNDYQEKCSTQAFMMRDRKDNHDTIIVSFRGTEPFNADDWSSDFDISWYEIEGIGKIHGGFMKALGLQKCVGWPKEMERKGHERHPLAYYTLREKLKELMKENERTRFVVTGHSLGGALAILFPSILVFHEEKLLLERLEGVYTFGQPRVGDRTFGEFMVKSLAEYKIRYYRFVYGFDMVPRLPLDDKALMFKHFGPCIYFDWNYVAQILEEEPFKNYFSIVGAILMRIHACLEIGRSFTISWRRGKEYEEKVFLRIVRLFGLLLPGIPAHCPQDYVNSTRLGSTTPFHTEYDVKVE >KGN47353 pep chromosome:ASM407v2:6:14499833:14503881:-1 gene:Csa_6G302700 transcript:KGN47353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLKSLEELCPFIDSNFLTFCASHGIFTVEDFLIRDLYVLAAFAEQQPASEKLKQGITQILSIIDATERQPWVNGLELLEDARENKNILSIGFEGVDVLLGGGLREGQLTEIVGPSSSGKTQVCLRAASNVAKNYKAEVFYMDTGNSFSPQRISGFVNWKPGTALDWSEQSMLQRVMTSISCHSVFNIFALFDVLHQLEFNLRSQTCKGDRRVQLLIIDSISSLITPILGGSSSQGHALMISAGTLLKKIAHEHNIAVLVTNHTVGGDRGTSKPALGESWKSVPHVRLQLSRDAGSNVCQASILKHSSMASGMTARFVIYE >KGN48718 pep chromosome:ASM407v2:6:24692479:24693650:-1 gene:Csa_6G499130 transcript:KGN48718 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger homeodomain protein 1 MDFEDQDEHEEEEMDIAAASYDDSLPNSGTRLKIPTTTDQIMSSSPGQRKPKYRECLKNHAVGIGGHALDGCGEFLAAGAEGTLDALKCAACNCHRNFHRKETDNNLNPAVGVGLGIGEPFLLPHPGQFSPYYRTPAGYLHVAPHHRPLALPSTSGGGGTHSREEQEDMSNPSGGGGGGSSSFGKKRFRTKFTQEQKDRMLGLAETLGWRIQKHDEAVVQQFCNDTGVKRHVLKVWMHNNKHTLGKKP >KGN46698 pep chromosome:ASM407v2:6:8569517:8569933:1 gene:Csa_6G124130 transcript:KGN46698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTESNSGFHIGNAGSSTASGANSRGRPTSAHLPLLIHHKAKENHGVVVGASLVGNEKLEVSEHIYRDVERGQCVG >KGN45913 pep chromosome:ASM407v2:6:2191145:2207508:-1 gene:Csa_6G022250 transcript:KGN45913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSVGTVACATLWSEITAEQTEERYNELSPKESSNPGAAKDDSENETLDINVKSAIVFVITASSFLVLLYFFMSSWFVWLLIVMFCIGGVEGMHSCILGLILRKGQSCGKKTLDLPVLGEVSILSLVVLLCCITFAVVWALNRHASYSWIGQNILGICLMITVLQMTRLPNIKVATVLLCCAFIYDIFWVFISPVIFHESVMIAVARGDNSGGESIPMLLRVPRTFDPWGGFDMIGFGDILFPGLLVSFTRRFDKAQKKSKCNAYFPWLLVGYGTGLFLTYLGLYFMNGHGQPALLYLVPCTLGVTVVLGFIRGELKQLWNYGTENPVHREPSGEA >KGN49225 pep chromosome:ASM407v2:6:27200161:27200517:1 gene:Csa_6G517330 transcript:KGN49225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDPENKNATRKSENAIATMKHRKQIWLTWDRDREKKLVAENRGNVNVEMKKVEEGEEDRTGNRDKKKFEYER >KGN46328 pep chromosome:ASM407v2:6:5647825:5648196:1 gene:Csa_6G085105 transcript:KGN46328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVITIIIFINLVQYSIFKILFRLLFFVFKYFFTQILSRLTNIFYSLSGALHDSSNATNDRRLLSRIPHCFNSLSCSFHSSSQNVSPSFSQSFEKIHLFSFYFVFLLPSSLLLLNVVVQLKLH >KGN47377 pep chromosome:ASM407v2:6:14677318:14681584:-1 gene:Csa_6G307380 transcript:KGN47377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISVNDDQDLHFRKAEFDPENCPIDCSRPCEIVCPANAISLQEEALKELSQVAGVSGVLKGGVITERCYGCGRCSPVCPYDKINLVTYVRDAATTVKLIKRGDVDALEIHTNGRQTTYFQELWDKLGDSSKYLRLVAVSLPNIDRDLTVTTMKTMFAIMESQLHSLNLWQLDGRPMSGDIGRGATRETIAFAAQLALSNDRPPGFLQLAGGTNFHTVDGLKKERLFQSASTIKNSTIEELSSSSNALIGGIAYGGYARKIVGRVLSSMQTQNGDANIEDYPDYLLAALVEALTLVGTVKCYDPSLISSAKS >KGN46933 pep chromosome:ASM407v2:6:10679418:10682418:-1 gene:Csa_6G151700 transcript:KGN46933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLASTSEIVESTEEFDSEKAGTSYGLHVGKKFSIEDDINRLFQAIDIRSLRKRSGQQREADKDALRKSAMKRPVRVGPSHMAGIGISEPASLKQALRGLCISQAAEMAASKRLTRSVASPRISEAGTIKRLYRAVVVEANGFGVPVNESKANLVEISIVSERLMSTFQNKMPELLHKNEADIPNQGAESSSFKNTGVSEEASVDRLMSKDLIVNTATEVASIEPPGEVGKLKSPSFTPSGEKDLAANSMAISSNEDLARNSVPEKEKGTMSCLSSLSSSGSAVRPNKTAFSNTRFIKPIFRTKNFVKKKAKLETNSSPSIFDVCTVTADTNLGTIAEKSENQMPENAQLHEIKEEDIGSSVSCNITLGVEVSGNVVNTESSRPGTSLNCFNRNRPTIMASDERSRSREKGMFSQSSKSSVGECSSSPSISGESILSGSSRSGVRPHMSKDLKWEAIHNLQDQHKCLGSRNFKLLRRLGLGDIGTVYLSELCDSSCLFAMKVMDKEFLESRKKILRAQTEREILQMLDHPFLPTLYAHFETDKHLCLVMDYCPSGDLHVLRQKQPSKSFSERAVRFYVAEVLLALEYLHMLGVVYRDLKPENVLVREDGHIMLTDFDLSLRCAVNPTLLQSSFPVVESTKRTLNPCDESSCIDPFCLHPSWQVSCFTPKVLSAPYKSRRTKADYQASLLPQLIVEPTGARSNSFVGTHEYLAPEIVKGESHGSAVDWWTYGIFLFELLYGRTPFKGSANEDTLTNIVSQTLKFPDCPLVSFHARDLIRCLLTKEPENRLGSTKGAVEIKQHPFFEGLNWALIRCATPPELPKFFDGATSTMPVDSGENTKRRDLENIETDAAMFDLF >KGN45879 pep chromosome:ASM407v2:6:1946025:1946440:-1 gene:Csa_6G016965 transcript:KGN45879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLTALSASLYVGKCIMLVWDHGSPLHPCKCPLCRRSITLLVPSEVSPIQQSDPEVARVLNNIRTYNRHFGGNSTDLSQEEGRKHYSMSSENN >KGN46553 pep chromosome:ASM407v2:6:7226960:7228654:1 gene:Csa_6G108510 transcript:KGN46553 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavanone-3-hydroxylase MATFTDDEIPVISLDGIEDDAHMAAVKKKIVEACEVWGIFQVVDHGVDPKLIADMVRLTTEFYYFPPEEKLRFDMSGGKRGGFMISTRRQGDVEDWREVVTFFTYPSRDRKYSEWPDKPEGWIKKTEEFSEKLMDLASKILEVLSEAMDMEKGALKKACGELNQKILTNFYPKCSNTDLELGLPRHTDPGTITILLQDQVGGLQATKDGAKTWINIPPMEGAFVINIGDHGHYLSNGRFRSADHRALANPKSDRLSIATFQYPTPEAIVYPLKVGEGEKAVIEDPISFAEMYKKKMSNEPVLAMFNHKGQNKLNPST >KGN47483 pep chromosome:ASM407v2:6:15491968:15495724:1 gene:Csa_6G338150 transcript:KGN47483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLITAPNSALSALSSSLPILPHILFRCSSISPANLPFPFLDHRFPSTSNNSLLLRARKRNSESQPVLKQNIVQEVSEDEEDDVLFDEFEQDEIMEDDGEDYFEEEYMEDNAEVYLGDGGEGGGISLAGTWWDKQALAIAEEVILSFHGDLKIYAFKTVSNSTVQVRIEKLSTKSGSPNMEDIEAFSTTYRARLDDAELAKSVPENISLEVSSPGVERVVRIPDELDRFKERAMYVKYTNEVVTASSSSESDGVFKLVSFDIEAKCCTWGIADVKINREKAGKGRPLSKKQREWRLETPFDSLRLVRLYSDC >KGN46526 pep chromosome:ASM407v2:6:7038250:7038763:1 gene:Csa_6G106780 transcript:KGN46526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGERSQESFKDVPKGCLAIKVGHESEEKQRFVVPVLYFNHPLFIQLLKEAEDEYGFDQKGTITIPCHVEQFRYVQALIDRETSFHHNHHHLYVPCFRA >KGN47962 pep chromosome:ASM407v2:6:19389619:19389869:-1 gene:Csa_6G421070 transcript:KGN47962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSYCQRQELEFSTIAFLMDGHPIAGTQTAEELGLEDGDEIDAMKHHCGGGGDGAI >KGN48299 pep chromosome:ASM407v2:6:21810263:21812102:1 gene:Csa_6G454470 transcript:KGN48299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFLPIPFLFSIFLLLPTSSSSSTTVLPLTTFPSVSFTDPFKTINLLLSASLNRAQHLKTPQSKSNTSIQNVSLFPRSYGAYSVSLAFGTPPQNLSFIFDTGSSLVWFPCTAGYRCSRCSFPYVDPATISKFVPKLSSSVKVVGCRNPKCAWIFGPNLKSRCRNCNSKSRKCSDSCPGYGLQYGSGATAGILLSETLDLENKRVPDFLVGCSVMSVHQPAGIAGFGRGPESLPSQMRLKRFSHCLVSRGFDDSPVSSPLVLDSGSESDESKTKSFIYAPFRENPSVSNAAFREYYYLSLRRILIGGKPVKFPYKYLVPDSTGNGGAIIDSGSTFTFLDKPIFEAIADELEKQLVKYPRAKDVEAQSGLRPCFNIPKEEESAEFPDVVLKFKGGGKLSLAAENYLAMVTDEGVVCLTMMTDEAVVGGGGGPAIILGAFQQQNVLVEYDLAKQRIGFRKQKCT >KGN47201 pep chromosome:ASM407v2:6:12735729:12736110:1 gene:Csa_6G199280 transcript:KGN47201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVAKMAAKKAVVIFSKSTCCMCHAIERLFYDQGASPEIHELDRESKGKEMESALSKTLGGCSPTVPVVFIGGKLIGSANTVMTLHLNGSLKKLLKEAGAIWL >KGN45784 pep chromosome:ASM407v2:6:1189859:1194890:1 gene:Csa_6G011590 transcript:KGN45784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNRSFRALESQMQAPVKRQQVGGLRASVMKDKEEELALFLEMRKREKERNDLLSNNAEEFDAPLATKPGTSPIFNISATPAPTRKTGADDFLNSDNDKNDYDWLLTPPGTPLFPSLETESERVLMSHATPMGRPNVLKSRLANLQQEPTTRSNMVSKQPASSPGLTSSSVGIRRPSSSGGPGSRPATPTGRPTLTTTSRPSRSSTPTSRATLPSNKPVVSSAKIGAASNKLSTASAKPTVTMTKPTVSSTKSSVPTRSSTPTRSTARSSTPTSRPTIPPPKPTSRASTPTRRPSTPSSASSAPVSLVKSSSSISKPSPTVSRNQAPSRGASPTVKSRPWNPSEMPGFTLDAPPNLRTSLPDRPLSVTRGRPGAPSARSSSVEPVPNGRPRRQSCSPSRGRAPNGNIHLSGGSVPAINRMHSKANDNISPVLIGTKMVERVINMRKLVPPKQDDKHSSPHGNLSGKSSSPDSSGFGRTLSKKSLDMAIRHMDIRRSIPGNLRPLMTNIPASSMYSVRSGPSRSRTISVSDSPLATSSNASSEMSVNNNGLCLDTHEIDDEIGSERGGRSPRSMCAR >KGN46329 pep chromosome:ASM407v2:6:5654082:5656430:1 gene:Csa_6G085110 transcript:KGN46329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEESQLNTVPKYFTLKSRQNGRYLRYINDDKTMHGFLKFSGTHVVSPFAKFEVEKAKEKSNKGLVHVRCCYNNKYWVRWSEKSKYIVATANEPNEDKTKFSSTLFEPIYNHDQNVFRFKHVQLNRYIQLRQHNTRQFQDALFAGSKGFEYDESDLLTIVDWSTLFVLPKHVAFKGDNGHYLKVHSSGTKYLEFSGSDVGDPRVGNQIFTTSDGHVRIKNDYLEKFWIRDPNWIHVKASESNFDDPNTLFWPVRLGDGHGVALRNRGNDKFCKRLSIEGKDNCLNASMDSISAEAKLQIEELVISRTIYDVNFRVLDARFYDETPMTMVSKEMVNRNSEPELQKLKLRYDDTKSSTWTNSVGMKLGMKMSIESGNPELSSQEVEVSAEFKEEYTWGETKETKSTREVEHQVTVPPYTKVIAKVLATKGFCDIPYSYTQRDVLTNGKVVIQHFDDGIYIGSNCYNYTFSTEQEDL >KGN47465 pep chromosome:ASM407v2:6:15312425:15312589:-1 gene:Csa_6G335510 transcript:KGN47465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAAAAAAAAAFVHPSRSLHYLSYKLSQPSPFPWGRNPWPPRRRSKLTPPPPF >KGN46190 pep chromosome:ASM407v2:6:4838934:4842181:-1 gene:Csa_6G067970 transcript:KGN46190 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl carrier protein 1, chloroplastic MFSIAGSSVSMPSLSASLNRSQVTSGRISGLKLVPAPLGKAIFPLRRNYRLGSLQVCCAAKPETVDKVVEIVRKQLALAEDSKVNGESKFTDLGADSLDTVEIVMGLEEEFKISVEEESAQSITTVQEAADLIEDLISKDSA >KGN45650 pep chromosome:ASM407v2:6:324037:327352:-1 gene:Csa_6G003420 transcript:KGN45650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETKATTAPSQTLPPLPPKLKKRPFDRNAYIQFTKMRTVIRDLRPHFLEVIKTPDFRNCKAATEIRERIKVLQDVYTMMMAATSETLYIEKTSSNVLDGLAREQHHDGNLGDKSSTKSYESNNPSSNAPEKQRANDGQISGSYVVGGSAFGWNFIAFTGTEPVYYGITKEVFREKNPIDSSES >KGN47110 pep chromosome:ASM407v2:6:12181087:12181984:1 gene:Csa_6G188030 transcript:KGN47110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFVCCHGHAWSIEEMEMAASCPFLLVVRIVAKLVGRYMCCLVFDLTNLISFSFLSS >KGN47698 pep chromosome:ASM407v2:6:17162962:17168738:-1 gene:Csa_6G382370 transcript:KGN47698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAHNRRPSFSSSTTSSLAKRQASSASSSDNVGKVMAVPPHLAKKRAPLGNLTNFKNVSHSAAKSSGPPPVMVPCATKAVKARKSSPARTRSTNLPGTNTTTMLDVKTTNAVAPSNVTAFSRTDVTAVSSCMDVSPSKSDGVSVSLDETISTCDSFKSPDVEYLDNTDVPAVDSVERKTKSSLCISGHAPISCQPPTKGSICSRDVLTEMEIDDNIVDVDTDFMDPQQCATIACDIYKHLRASEAKKRPSTDFMEKIQKDINSNMRAILVDWLVEVAEEYRLVPDTLYLTVNYIDRFLSGNSMDRQRLQLLGVACMMIASKYEEICAPQVEEFCYITDNTYFKEEVLEMESSVLNYLKFEMTAPTPKCFLRRFVRAAQGATDQSTDEVPSMQLECLSNFLAELSLLEYSMLCYAPSLVAASAIFLAKFILLPTKRPWNSTLQHYTHYQPSDLVDCVKDLHGLCCNNTHNSSLPAIREKYSQHKYKHVAKKYCPPTIPPEFFQNQTQ >KGN49282 pep chromosome:ASM407v2:6:27508511:27511191:1 gene:Csa_6G518370 transcript:KGN49282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISILRRLSKPFLCTTRVSKLMDSSADSSGCSRRLVALAQQLRLYKPPPLLDDIEEPSSEESNGKVVSQVGFPESTTPIPRDPERFRPKRAAVLICLFEGNDGDLRVILTKRSSRLSTHSGEVALPGGKAEEGDEDDGATATREAKEEIGLDPSLVNVVTVLEPFLSKHLLRVVPVIGIMNERNAFNPRPNPAEVDEVFDAPLDMFLKDENRRSEEREWMGCKYLVHFFDYETENKKFVIWGLTAGILIRAASIVLQSSPPFLEQNPIFKVEDSKDYCTHLEPKIKFQSRGSHQYHVLRKISLRVNARNKLAAVAGITSSMNTIHSMESLSSCPLTGLASVRGFRTHSFF >KGN46327 pep chromosome:ASM407v2:6:5645934:5648243:-1 gene:Csa_6G085100 transcript:KGN46327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLFKGLGKAGTDILGGAVKGAGKAVETVGNAAEKAPVVGGIGTVVEGTGKAIENVGKATENLGEKVFENKEKKPKKDLKDTILDQINEDYYGDDFHFDQGDSKESEKAPDDILKMLNDEMARERGEEDKADEIDEAEKELMKSDINDANYEEVEEDEESGKVIPKNFSLKCVRNNKYLRYISESENTDGLLRYSSKNIVGPYSKFAIRSSKTKPGFFHIRCCYNNKFWVRLSENSDYIAAIANEEEDDTSKWSSTLFEPIFVSEKPGLCYIRHVQLNAFLCIAEGAPFPYNDCLVARVEDISTIDENLALSAVMDWDSIFILPRYVAFKGNNDKYLEPSEKYLKFSGSSSEEPAVVFQIISMQDGYVRIKHVSSGKYWIRDPDWIWCDSIDINRDNPNTLFWPVKVDNNIVAFRNKGNNRFCKRLTTDGKTNCLNAAVGTITETARLEATEIVVARSIEDVDYRVNDARVYGNKTLTVSKGVAINNTKVVDKVSLKLRYEKKVERTWSSSVSSTFGVATRFNSKIPTVGSLKFELSLEVSGEKTREETEKEKSFVESGEEIKIPAMSKVKFSAVVKQACCDIPFSYTRRDTLKDGRQVTHRLDDGIFRGVTTYDYKIETEKVESL >KGN48836 pep chromosome:ASM407v2:6:25364817:25368276:-1 gene:Csa_6G502740 transcript:KGN48836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFKSFFRELREMKNGIRIRPKRGGEGRNWRSRTRSHIAPDEVPPQPEVIQQGQWANLPPELLLDIIRRVEESETSWPARTVVLFCASVCKSWRKITLEIVKTPEQCGRLTFPISLKQPGPRDSPIQCYVRRHRATSTYLLYSGLVPSENEGDKLLLAAKRIRRATGTDFVVSLVADDFSRACSTYVGKLRSNFFGSRFIMYDSQPPVLTAGQQSNQSNRRFHAKQVSPTMSACNYSIGSISYELNILRTRGPRRMRCVMQSIPASCIQQGGNAPTPTSFSSCLNDHHHHLPLPTSNGKESDIDFSSTSITETPVQELGESLVLKNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLVADVDPALDVSVEEQEKIILQFGKIGKDIFTMDYRYPLSAFQAFAICLSSFDTKPACE >KGN45634 pep chromosome:ASM407v2:6:250237:250566:-1 gene:Csa_6G002290 transcript:KGN45634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLVKLTEFEPLLDSTYFLALYSNNNAHVKFTPGKLFLIVSNRFPNFIATLQLSPRWFTNFSVDHVHSSKVSLESFHDAMLDGGEFSTMSIHLLDKTNQMILRFDTPSS >KGN49488 pep chromosome:ASM407v2:6:28615503:28620975:-1 gene:Csa_6G525730 transcript:KGN49488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSGADTDGHEFKNAEEMWREHVGNPTKRTEWYREGVGYWQGVEASVDGVLGGYGHVNDADILGSEVFLKSILVERFSFAGKDRPLVALDCGSGIGRVTKNLLIKYFNEVDLLEPVSHFLEAARGNLAPENNGPSDLHKATNFFCMPLQEFTPDAGRYDVIWVQWCIGHLTDEDFISFFKRAKLGLKAGGIFILKENIARSGFVLDKEDRSITRSDSYYKDLFNQCGLYIFKSRDQKGFPQELFPVKMYALTTEAPKRSSRIKREQSNRPGVIKPVDIQIHSRWNWKHQASRFSYLQGDAIAIRPKALYPLLC >KGN48034 pep chromosome:ASM407v2:6:19924430:19926266:1 gene:Csa_6G425710 transcript:KGN48034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPSLVRAAFVLGVVVVAAATAASVELAITTDHYILKGKVLCLDCHASYDLSGIVVMAKCEKVGKVVTATTAVDGGFEAELPSDECEARLAGGRNQLYASRKDIVAGIVKGVGGSDEIYGISTPLAFCSSCRCRSIGASSTEAEKYCKADAGKFGSSKTFNLPLPPEWGMAPSSYYFPFFPIIGIP >KGN48475 pep chromosome:ASM407v2:6:23115911:23117661:1 gene:Csa_6G489910 transcript:KGN48475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLEIIKQASACSDSLDFQSDYPILLNPDEIITNLKSKVVEPDPISLINPIIGWKVSENDCKVIDLGKKFHENLKQKLKNRHFSKPEFINLLNAFLVKMKERVGIVVSVSSSDNCYTKVLIEKLGFLMSKDVGGLVLDTCIAFEDWELVETFVVNKLVKHASYSNLILKLVAKKRSDLLCLCIKQASDFGPADLHCILKYFLCPSKEAYASMSNVRKEWEDQALLAIENASDKNLKGKKSSLAKEAAIQLMVAHDGFSTQELCLHYLLASPNLDEVILASALSKLNREEMVHLIQYLRKWLKKYERFPQAVSCPKASVVLGLKACDWVPKLDDVVRYLGLVLDVNFSSLVLHPDFHEELKIMGELVNSLAMESKLCCFLANAAENLRNRSVM >KGN47107 pep chromosome:ASM407v2:6:12164823:12169359:1 gene:Csa_6G188000 transcript:KGN47107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNTNDSTSPFQSQRSRSSKSRNERRSGALNKRNNDSVLSYKFVKAAVNRVSRFFKSIFVGGKKDSSDPSVIGNKEANNSRAFSTGSYGRNSLALKSSGSYASCGSYGSSSSLPSEFFAAAGFTIEEVYRATGNFSAANVLGAGAFGTVYKGKLRDGSLVAVKRAKRNANERRLQTEFRNEIQTLSRIEHLNLVRLYGFLEQRDERVMIVEYVGNGNLREHLDGKRGVGLETGERLDIAIDVAHALTYLHMYNDAPIIHRDIKATNILITDKLRAKVADFGFARLVSEDSNVTHVSTQVKGTAGYLDPEYLRTYQLTEKSDVYSFGVLLVELMTGRHPIETKRDVKERVTIKWVMQKLKEGEAVIAMDPRLRRTSASTVTMEKMLKLARRCLHPSRPSRPSMKTCGEELWGIRKEYKDRLLSASYSESLRSADFPAQNAKNNLYESFGIKEDEDLYNKFISA >KGN49379 pep chromosome:ASM407v2:6:27977616:27979969:-1 gene:Csa_6G522730 transcript:KGN49379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPIMMISVLSLFFIFTANARVFPRRSLLDDPPAVNNFTFVCDPSRYDKLGLDFSSFGFCDSSLSFPERAKDLIDRMTLSEKAAQLGHVASGVDRLGLPPYNWWSEALHGVSNVGPGTQFDKVVPGATSFPNVITTASSFNEDLWKTIGQAVSTEARAMYNLGRAGLTYWSPTINVIRDPRWGRTVETPGEDPFVVGKYAKNYVRGLQDVEGSENVTDLNSRPLKVSSCCKHYAAYDVDNWLGVERYSFDARVTEQDMLETFNKPFEMCVKEGDVSSVMCSYNRVNGIPTCADPVLLKDTIRGNWGLHGYIVSDCDSVKVMVEDAHYLQDTNEDAVAQTLKAGLDLDCGQIYPNYTESTVRQGKVGMRNIDNALNNLYVVLMRLGYFDGNTGFESLGKPDICSDEHIELATEAARQGTVLLKNDNDTLPFDPSNYKTLAVVGPHANATSAMLGNYAGVPCRMNSPMDGLSEYAKVKYQMGCDSVACKNDTFIFGAMEAARTSDATVIFVGIDLSIEAESLDRVDLLLPGYQTQLVQQVATVSKGPVVLVILSAGGIDVSFAKNNSNIKAIIWAGYPGEEGGRAIADVIFGKFNPGQKDQIYPNLSIQGDK >KGN47064 pep chromosome:ASM407v2:6:11877460:11879080:1 gene:Csa_6G182670 transcript:KGN47064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAACPTDFTHDSACRDGRAAAHLKLISIFLIFITSVIGVSSPVLLTRFFHGKPLYDKAILTVKSFAAGVILSTSLVHVLPDAFDALSDCRIASQHPWRDFPFSGLVTMVGALVALFVDVTATSHVGHDQYNPVEEKGGEESGGEIGLLVAGERKSEETGGGGIIGEEESVKMKQKLVSKVLEIGIIFHSVIIGVTMGMSQNQCTIKPLVAALAFHQIFEGMGLGGCIAQAGFSFTTTAYMCFMFSVTTPMGIILGMILFSLTGYDDSNPKALIMEGLLGSFSSGILIYMALVDLIALDFFHNKLMTSNHCLKNICFIALLLGSTSMSILALWA >KGN47341 pep chromosome:ASM407v2:6:14385677:14390983:1 gene:Csa_6G301600 transcript:KGN47341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIEKNNFKVSRFDYEFSPGSKKSISSDEDELQRRTSALESDDDDEFDEADSGAGSDDYDSLEWGDTGVEFCHVDNQTCSIPLELYDLPGLEDILSVDVWNECLSDEERFSLCKFLPDMDQETFMLTLKELFTGSNFHFGSPVKMLFSMLQGGLCEPRVALYRNGLKFFQRRQHYHLLRKHQNNMVSNLCQMRDAWLNCRGYSMDERLRVLNLMRSQKSFNDERTEGLETDSSDRISGEGFPRRFKDKRMASKINFSSYNASSILDFPSGGRLTNLEALEYGKQNSKGTFKMAGSKFPSLMEPMVRLPSAYHDLDINSRPYGSMGDLPQLRKVGGYDSGPMLRIRDETRIGDANEETTYRKGTQRDRKTPFGGGMEKGALEAGKRYEALSGNIFDNFVGLPLSSKGDLYGKNKNVNLFPKRGVVAEKPASMRTSYNPSKKTKLSENAQLIGNQTKFMKGSVSQVPRKGTKVDSEDLASSLQHNKTQGKDPLLKNTDWNVRGKKWDSGMEPTDLSYGTYRSPSPQVNEGHLLSELRAKGSKKKTKGRFVQKGGSDPASSKGNNKFIRGEETESDSSEQFEDDEDSNPLLRSKLAYPSVMEISQSSLLNSGLDARKVKYAKKDIKEQIGSLDPLSYSKKMANKSPQDGYAFSGVKTMKTRQGKIQDSVSFQELSSKMSEKSYLPVLDTFSDDDEDGKKNSKMLNNGQFQKEPSKRSRKSSSKAFTAEGKQKGRGNLDLSVQSRNLPDYAVNEEDGTLEIRLFEDDYGADRFPQAVLQSESFMDVPSERPDGPLLGCNSVKKKRKVKGDITEMDRKADGELQSDTLQQIKDSTSSKKKMKKRQKADSYSSDLGTTEPPAIETVTVDMEQETKSQRNSFQLITPTVHTGFSFSIMHLLSAVRLAMITPLPEDMLEPIKEKKKRHEGDITAELSHDNKADVNSLEQAEEVNVPSLTVQDIVDRVKSNPGDPSILETQEPLLDLVRGALKIFSSKTAPLGAKGWKMLAVYEKSTKTWSWIGPVSRSSTDYEAIEETTSPEAWGLHHKMLVKLVDSFANWLKSGQETLQLIGSLPAPPSSLIQFNVDEKERFRDLRAQKSLNTISSSTEEVRDYFRREEILRYSIPDRAFSYTAADGKKSIVAPLRRCGGKPTSKARDHFMLKKDRPPHVTILCLVRDAAARLPGSIGTRADVCTLIRDSQYVVEDVSDTQVNQVVSGALDRLHYERDPCVQFDGERKLWVYLHREREEEDFEDDGTSSTKKWKRPKKDVIEQSDRGLVTVAFHASGEQSGYDICSDLNTEPSCIDDVKGMEQIYGDVRQNLEHDMDNIHQSDHDELCPGPQIMNASNPMEETKLICQENSTNEDFDDEAFGQERPIGFLSASIS >KGN48154 pep chromosome:ASM407v2:6:20746490:20749790:1 gene:Csa_6G445180 transcript:KGN48154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIINDHNKVILINGEAGRRRNSERRKEVGIISCISDEDYDHDRDHVVKGMILDPTLPILNKWNRVFLVASLVSLFVDPLFFFLPVVNAEDGCIQMSTELGVALTVVRSMADVFYIAHILIRFRTAYVAPSSRIFGRGDLVIHPSKIAANYLGFEFWLHFAAALPLPQAFIWIAIPKMRGWSCIVRLCILFQYVLRLYLIFPLSDQIIKATGVLMKTAWVGAVYNLMLFMLASHVLGSCWYLLSIGRQMECWKKVCNLGHYLDCEYEHFYCKAAQRDHPAWWFHLKASNISDLCNPTATNFFHFGIFSDSFASTSSPFITRYLYCFWWGLRNLSSLGQNLLTSSNVGEINFAIVIAILGLVLFALLIGNMQTYLQSTTLRLEEWRRRRRDTEQWMQHRQLPNQLKQCVRNYDQFRWIATHGVDEQQILKSLPLDLRRHIKRHLCLDLLRQVPLLDEMEETMLDAICERLNPYLITSNTYLIREGDPVNEMLFIIRGYLDSHTTNGGRTGFFNSSRLGPSDFCGEELLPWALVDDPRTAAVFPSSTRTVKAVTEVEGFALVAEDLKFVAAQFRKLHSKQIRSTFRFYSHQWRTWAACFIQAAWFRYKRRMKKEEEDEINVVRKMNVVHHRSLVKPLQLDFSVEHR >KGN47105 pep chromosome:ASM407v2:6:12151656:12153383:-1 gene:Csa_6G187980 transcript:KGN47105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLQLKSVSHRLSTCHRHPSKPVTGFCASCLRERLAGIDPDTQHESPVQNNHSSAELRRSKSHSAAKCEAGGIGQSEVQHRKSCDVRSGNSLSDLFCREDKPRCTNREVEIESENLGFELREVVGNGRQFRASEGIIGPGLGTIDGFSGEEAEFKTVKEFIDLEFRRKKNAGRDLREIAGSVWEAASGFSKKLGKWRKKQKRKNLSNNSYVGAVKAEDIKPRAHEIRETRSEVGEYGLGRRSCDTDPRFSVDAGRMSLDDSRYSFDEPRASWDGYLIGKTYPRITPMVSVLEEAKFSGTGFEKDDPSDEAEGSPMNVGDKIPGGSAQTKDYYMDSLSSMRRRKSFDRSCSHRKGASGDFDELKLISNAKVSPATTELFYGAKVLITEKDLNSSRPKTTGDGDLSGTDVTSKDSVPDAPVIDRKTFKKVHRWRKVLSVLGMVQKRNGESKSDDEESSVGGNVVDRPVVESWEKLRRVANGEANSCVSQKLIRSYSVSCRDPSKLAGFNGGNDSKLNVTRWRDDFTLQRNRSVRYSPNNFDNGGLLRFYLTPLRSYNRGKLGKNRPRNSPFNVKHVI >KGN47452 pep chromosome:ASM407v2:6:15168406:15170037:-1 gene:Csa_6G326930 transcript:KGN47452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKYLFFHLSLTIFNLSAIALANMQASYGGGDENLPPFGTPPICPEAEAIVFSWVQTVIAEDPRMAASLLRLHFHDCFVNESRTGCDASVLLDDNENFVGEKTAAPNVNSLRGFEVIDAIKSELESVCPQTVSCADILALAARDSVGLSGGPFWKVEFGRGDSISASKSAAQNNIPGPNSTVATLVTKFQNLGLSLRDMVALSGGHTLGKARCTSFSSRLQTNGGSPNEGANQEFIESLKQLCSAPGSSSTLAQLDIVTPATFDNQYYINLLSGEGLLQSDHVLVTGDYQTREIVETYAVDPVAFFEDFKQSMVKMGSLKPPAGTQTVIRTNCRTVS >KGN49186 pep chromosome:ASM407v2:6:26980119:26983958:-1 gene:Csa_6G516940 transcript:KGN49186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKRRKGSGDSDESNRAGSIFPDEVLERVLSLVKSHRDRSSVSLVCKDWFNAERWSRTHVFIGNCYSVSPEIVIRRFPNIRSVTLKGKPRFSDFNLVPSDWGADIHSWLVAFASKYPILEELRLKRMTVMDESLEFLSRSFPNFKALSMMSCDGFSTDGLAAIATNCKNLTELDILENDINDKSGNWLSCFPDTLKSLEVLNFASLNSDVSFEALEKLVRRSKSLKVLKVNRNINLEQLQRLLVHTPQLTELGTGSFSQEITLRQYCDLEEAFKSCKNLHTLSGLLESTVLYLQVLFPACANITFLNLSYAILHGGELAGLLSHCPVLRRLWVLDTVEDKGLKAVGLSCPLLEELRVFPAHPFADNLVHGVTESGFLAVSYGCRKLCYVLYFCHQMTNEAVATIVQNCPDFTHFRLCIMNPHQPDYLTKQPMDEAFGAVVKTCSKLRRLAISGLLTDLTFEYIGKYAKNLETLSVAFAGRTDWGMQCVMSGCPKLKKLEIRDSPFGNAALLSGLERYESMRSLWMSACKVTMNGCRVLAKQVPRLNVEVIKDDGNDECEAESVYVYRSVAGPRRDAPPFVLTL >KGN49223 pep chromosome:ASM407v2:6:27191115:27191965:-1 gene:Csa_6G517310 transcript:KGN49223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSSRTRNPAPFLSKTYDLLEEAGSFHFEEADQDQEEDEEEEEEEEKNGGNRTVSWNAEGSGFIVWSPADFSEHLLPKYFKHNNFSSFIRQLNTYGFKKTSSKRWEFKHEKFQRGKRHMLVEIVRKKCEPSVFPAFLRSSHEGGATMAVNQENGDHLLLMEENNNLRRQKLELQAQIAQFKALHIRLLDCLGRCIEK >KGN48856 pep chromosome:ASM407v2:6:25459184:25460871:1 gene:Csa_6G504380 transcript:KGN48856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVQVLHMNGSGAGDFSYANNSLLQSKVILMTKPIVEEAINNLYCSSFPTNFTIADLGCSSGPNTLMAVSELIKVVEENRQKHNKQPIEYQVLLNDLPGNDFNTIFKSLPNFLEKLKMEIGDHDIGPCLFNGVPGSFYGRLFSSKSVNFIHSSYSLHWLSKVPEGLEGNKRNIYMVDTSPKSVVEAYYKQFQNDFELFLKCRREELVKGGSMVLTLLGRRSQDPTSKECCYIWELLAMALNDMVSEGIIEEEKLESFNIPKYMPSPTEMRIEIEKEGSFVVNRIQVSKMDWNIVYKDNGNKDDNGGYNVAKYMRAVAEPILISHFGEAIIDELFIRYGQIIVDRMAKEKLEFVNLTISLTNIK >KGN46592 pep chromosome:ASM407v2:6:7542954:7544739:-1 gene:Csa_6G110840 transcript:KGN46592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVALLTKTLLVLLLLSTIHQNTGGEFEQWCVADEQTPDDELQMALDWACGRGGANCSSIQPNQPCFNPNTVKDHASFAFNNYFQSFKHQGGSCFFKGAAIITELDPSHGSCQYEFIP >KGN46995 pep chromosome:ASM407v2:6:11129960:11130298:1 gene:Csa_6G159180 transcript:KGN46995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSLSSDIDHATHDISFFMSILCTFSVPTFTTLIFDLRMFQPANVLAFALASLHFADRIVSNIFCRFPFTVLNFGLRTFRSMNVLTFTFATSQTTLSLGFVPFTTLQVRRS >KGN49280 pep chromosome:ASM407v2:6:27500486:27501451:-1 gene:Csa_6G518350 transcript:KGN49280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDDEDHHHLRKFGTRHKIMVSAIFSLFIVVIVILFLHFYLRYLQRRRRQSRLISLEQQISRAERQIHTASATAAMPPKAGLDPVLIARVLPESIFMQADHRGEVVECSICLSNIEEKATVRILPNCKHIFHVECIDMWLFSNTTCPVCRTAVEPIVIAATEHGEVPTAPPLVEEHSGSRFSSFRRTLSRERSQTVHATAPPLTEEQQSSRLSSFRRMMSRDRERSFRVHSCGEASVRSGDLERQ >KGN46383 pep chromosome:ASM407v2:6:6032315:6039075:1 gene:Csa_6G088110 transcript:KGN46383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGLLNKLVSRSLSVAGKWQHQQLRRLNIHEYQGAELMSKYGINVPKGLAVSSVDEVKSAMQAAFPDAKELVVKSQILAGGRGLGTFKSGLKGGVHIVKVDQVEEIAGKMLGQILVTKQTGPQGKVVSKVYLCEKLSLTNEMYFAITLDRKTAGPLIIACRKGGTSIEDLAENFPDMIIKVPIDVFQGITDEDAAKVVDGLAPKGADRQDSIEQVKKLYKLFCECDCTLLEVNPMAETSDNQLVAADAKLNFDDNAAFRQKAIFALRDPTQEDPREVDAAKADLNYIGLDGEIGCMVNGAGLAMATMDIIKLHGGTPANFLDVGGNASEGQVVEAFKILTSDEKVKAILVNIFGGIMKCDVIASGIVNAAKQVALKVPVIVRLEGTNVDQGKRILKESGMTLITAEDLDDAAEKAVKAAY >KGN49314 pep chromosome:ASM407v2:6:27656659:27660917:1 gene:Csa_6G519660 transcript:KGN49314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVKVALLLLFFIWVVSASDSHLSPKGVNYEVAALMSMKSRIKDERRVMQGWDINSVDPCTWNMVACSTEGFVISLEMPNMGLSGTLSPSIGNLSHLRIMLLQNNELSGPIPDDIGELSELQTLDLSNNQFVGGIPSSLGFLTRLNYLKLSSNKLSGPIPESVANISGLSFLDLSNNNLSGPTPRILAKEYSVAGNSFLCASSLSKFCGVVPKPVNETGLSQKDNGRHHLVLYIALIVSFTFVVSVVLLVGWVHCYRSHLVFTSYVQQDYEFDIGHLKRFTFRELQKATSNFSPQNILGQGGFGVVYKGYLPNGTYVAVKRLKDPNYTGEVQFQTEVEMIGLAVHRNLLRLYGFCMTPDERLLVYPYMPNGSVADRLRDAGQEKPSLNWNRRLCIAVGAARGLLYLHEQCNPKIIHRDVKAANILLDESFEAVVGDFGLAKMLDRRDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILVLELLTGQKALDAGNGQIRKGMILEWVRTLHEEKRLDVLVDRDLKGCFDAMELEKCVELALQCTQSHPQLRPKMSDILKILEGLVGQSSQMEESPVGASLYEDRPHSFSRNYSDIHEESSFVVEAMELSGPR >KGN46908 pep chromosome:ASM407v2:6:10500209:10504789:1 gene:Csa_6G150470 transcript:KGN46908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVTSSSFNLFRSTVSSNPDAFLSNLEVRASGCFKWPCSEPKGSFKLVARHHSSNVNFRTRLNCISSEAAAAAAAETTVAPGDSKVVDDFDSESRIDGDGGVGGGGNAFGGGGGGDGNGDGEDEKEFGPLLKFDDVIKEADARGVRLPMDMLEAAKATGIREVFLHRYLDLQGSGWLLGFLMNSCSMFRDRMLADPSFLFKVGTEIVIDSCCATFAEVQKRGENFWAEFELFAADLLVGIVVDVALVGMLAPYARIGQRPVSSGLLGQMQHAYSSLPSSVFEAERPGCKFTVKQRIASYFYKGVLYGSVGFGCGLVGQGIANLIMNAKRCIKKSDEDVPVPPLIQSAALWGVFLAVSSNTRYQIVNALEQIVEASPLGKKIPPVAMAFTVGVRFANNIYGGMQFVDWARWSGVQ >KGN48745 pep chromosome:ASM407v2:6:24863361:24863561:1 gene:Csa_6G499880 transcript:KGN48745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRERMKTKEYVEKNHGDGRKLRDGERRGHGGGRSWTTVSAAASLVRSIGMTHAMTKYTITKEKRKN >KGN49402 pep chromosome:ASM407v2:6:28094875:28095990:-1 gene:Csa_6G523430 transcript:KGN49402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAITTAVIAIGAVVAGWITIEIACKPCLEKGREAIDRSLNPDFDPDDEVSDIRAPLNPNPNFTPDLDHTNPNHSSSTTSEVIKAV >KGN47026 pep chromosome:ASM407v2:6:11538753:11538908:-1 gene:Csa_6G169910 transcript:KGN47026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSARSYALLTYVLSRGKNHTVVGGDCPGRRRTGRRRKKEKDEWKREKAKS >KGN47140 pep chromosome:ASM407v2:6:12375243:12378687:-1 gene:Csa_6G190310 transcript:KGN47140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLFESEGQDYSLKEQFSSHEESPLGFAPDVMAENLKQQKTIEMECPVNEVAFSSHLKSLGRNLDGGCEIMVKDKSEKVMSGVNMAMCRSPDPVVCDRNPHMWICSENGFKVPPCKDHGPQHPCPTSQEVVVIDDDNNFGCIYPTTSRNSFRTAPCIKGHRIRKILASKNWKVAAKYGVKKNKSGGYKRKFNFNKRNSFKNQRSQMNIPFKKRKLFDGCFSDCNDRRIVDRISDSNASARETSAHGKSSLVAGQQGDSRVKLRIKSFRVPELFIEIPESATVSSLKRTVMEAMGTIIGRGIHIGVIFRGKKVRDDNKTLIQTGISCDNQDGCLGFTLEPHSSQTHSSFCHKEPPSMLPCISTLEPINGCNPNPTMDYLGNNSTLLLETHASKVNNSSESAREPVPFPVDTAVVERSSDSKLLVTVPGMDVGATNVVQICRKSNQFEVGHRRMRRPFSVDEVEALVHAVETLGPGRWRDVKLRAFDNVKHRTYVDLKDKWKTLVHTAKISPHQRRGEQVPQQLLDRVLKAHAYWSLSSTPENLPSSPPTKSI >KGN45660 pep chromosome:ASM407v2:6:375444:375671:-1 gene:Csa_6G004510 transcript:KGN45660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGERLCSDGFERMLEKKKKKKKKQFDEKLYSVHFSFSRHCNRLLEDEVEGEEEVSCVNI >KGN48483 pep chromosome:ASM407v2:6:23154677:23157040:-1 gene:Csa_6G489990 transcript:KGN48483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSPKFFRIVLHRNLEDPKMMIPKKFVEDYGKLLSNSVNLKLSDGKEWRVGLRRATNGAVWLEEGWDKFSEHYCLEFGLLLVFKLFDGRRSSNFKVTIFDPTGVETKFISPSPQIKEESDSDSDESLESLTLHGDLKKRKNVSVSCSQSRRKMRKDDLFTVKTELEEEEEEEECKYIFREIPRCKERVIPKHEAKVSRKEQPSPEKVETVQRFSSKSDRKPSFEVVMRQSNVQGRFNMVIPYDFAVKCLSEEVGTIELQTTNGRSWQILYKWRRTDRAAYAYISSGWKHFAEDNRLKEGDIGLFQLINKHIFLFTKLQNNSLPADGETAATENPFFEVNISSKSYENSFLNIPLKFANKYFSPEMHSADLQVGNKKWNVMLKQYESYVRFSSGWGTFLGENGLKDGDKCLFEMVNTEHCVFKVSFSRNV >KGN47312 pep chromosome:ASM407v2:6:14087168:14094206:-1 gene:Csa_6G291920 transcript:KGN47312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDLNQTASEVGKNAYCYGNCEEGLCNCCLSSSTSSCSSNSSSTPVSSSTYLELWHACAGPLTSLPKKGNVVVYFPQGHLEQIASASPFSPMEMRTFDLQPHILCRVINVHLLANKENDEVYTQLTLRPLPELLGTGVAGKELEELALNGADGDGSGGSPTRSTPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYTQLRPSQELIAKDLHGVEWRFRHIYRGQPRRHLLTTGWSIFVSQKNLISGDAVLFLRGENGELRLGIRRAVRPRNGLPDSIVGNQNSCANDLARVVKAISTKSTFDVFYNPRAYHAQFIISCQKYVKSINNPVSVGTRFKMRFEMDDSPERRFNGVVVGISDMDSFRWPNSKWRCLTVRWDKDSDHQERVSPWEIDPSVSLPPLSVQSSPRLKKLRTSLQAAPPNNAFTGRGGFMDFEDSVRSSKVLQGQENVGIVSPFYGCDTVKRSLEFDVRSSAQQNQVSGGVEKLNIADYVKVHANSSFTGFMESDRFLKVLQGQEICSLRPPTSKPEYSLGVWGKFNLSDNSFNTFQSPNSNFYHMASNSAQKMYFPRSEMHSTGQAAMMLSNDSNFPRESALFNPSAVGANVIRTKMERTSRSLDRESLHLASAPPTLGSNMRNSKDEHVNDNATGCKLFGFSLTTETATNVQSSGKRSCTKVHKQGSLVGRAIDLSRLNGYTDLISELERLFSMEGLLKDPDKGWRVLYTDNENDVMVVGDYPWHDFCDAVSKIHIYTQEEVEKMTNGVISDDTQSCLDQAPLCMEASKSSSVGQPDSPPTAVRG >KGN46723 pep chromosome:ASM407v2:6:8816081:8820672:-1 gene:Csa_6G127350 transcript:KGN46723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCATSKLDHLPAVSLCRERCAFLNEAIQHRHTFAQAHTAYILSLQGVGKSLHNFIEPGYVYSDPPSSPKLKLPPQRKSDLDLDPSNSPLHRLSHSNSGSHLHLHSDSDDDSSSLHHSDHSSPLHPTHDDLFDYPDGNRGGGGYVQMNYMKNNSVPSVVHQQMPIASERVYHMGESSSSGYYPSYPYSNNGYSNYGGGYGGGYYGSSPPSAYGGISNMLPSPSSSKPPPPPPSPPRVSTWDFLNFFETPAVVNYYGGYTPSRDPREVRAEEGIPELEDVRYHQPEVVKKVNGEQKFIEDGGEKHLKAMVDDQLKLMNKNVAASPYQMKPNAAIDEFKKVVDMEKKLEDHENRAPAVGATLKGGGGGASRDIYKVAREIELLFKKASEFGDEIAKMLEMGQLPHQRKHAFLARPPATRRRVKSSSKAGAAEVVFIEDMGMRSGNLSSTLEKLYMWEKKLYNEVKTEEKMRMTHDRKRHQLKRLHERGAEAQKIEATQTSINTLSTNLKIAIQVVDKISETISKIRDEELWPQVSELIQGLTGMWKGMLECHHDQFQAIKESCDLGHTRSCGNPSDMDLRVTLQLDHELISWTTSFSGWISAQRNFVGSLNNWLLKCLLYEPEETADGIVPFSPSRIGAPPIFVICNQWSQGLDRFSEKKVVDSIHIAAKSVLQIWERDKQEVRHTMITNKDLEKKVKKIDRDDQKLQKKIQALDKKLILVTGDDTSNSCTLQAGLQSIFQALESFASDSMKAYEELLQRSAEEIAKARA >KGN47292 pep chromosome:ASM407v2:6:13980169:13980374:-1 gene:Csa_6G289750 transcript:KGN47292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPLVHILDRIVRVVPGMAKDLLFLLSGGHGSRIVPNYHLLLRYTITFSSSTI >KGN48360 pep chromosome:ASM407v2:6:22246570:22248412:-1 gene:Csa_6G483410 transcript:KGN48360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHDATTYIKRCLGGGEEEAILFCGQGTTSAIKRLQEVMGIAVPSILRERVIETLKEEERWVVFVGPYEHHSNLLSWRQSLAEVVEIGMDENGLLDFEMLRSQLEACKKAGNRPILGSFSACSNVTGIYSDTKAIATLIHQYGGHVCFDFAASGPYVQIDMKSGEIDGYDAIFLSTHKFLGGPGSPGILLMNKSLYKLKSSPPSTCGGGTVTYVNGFTEKDTLYYENIEERENGGTPQIIGIIRAALAFWVKEYIGYQEIEKQEHRYIERALKRLLPNRSISILGSTSTKRQAILSFIIYSSTNNSLPNCITDMLGNPNSREKVKKLYMWEETGSMRAKPLHGPFVAALLSDLFGVQARGGCSCAGPYGHKLLNIDEACSQAYRTAIAKGYEGIKPGWTRVSFPYYMSNEEFEFILKALEFIADYGQRFLPLYAFNLRNGSWTLKEKELTDLLGKENYSASHIFAFKNQCTNAEAKLAAIVYKHKSYLESAKRIANLLPKFPPERVLHEDIESSLLTFRI >KGN45941 pep chromosome:ASM407v2:6:2463425:2464354:1 gene:Csa_6G028940 transcript:KGN45941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVPVLNRITELGPNLGSLPNPSFLSRIFTSVFPSQHFWKWAALIIAFLATFPGIINRVKVFIIVCRRRTKTTSISEPLYRSLHFGDSRGLVSKNLKSPLLSSSESEDENERDREHNNDSDFRVKGSSLFSGEFDGGCRSRHRRRPCNGGGNGDLFSWPCFGLERSVVRQWGDVKLKCEFEELSGSMISLYDVNEEAEICSILSGGGSLKAAAVSPRRMVVAANEGVSANVSLKLWDTRGRSRTPVVGMEWDSPSGNIVDVYYEDVGNLYVRDNEAAGIMIGDVRRASSGWEKLTAGGGEGLWEVGQ >KGN46845 pep chromosome:ASM407v2:6:10091444:10094292:-1 gene:Csa_6G141420 transcript:KGN46845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMENQPKSPIFKRICVFCGSSPGKKTSYKDAAIELGKELVSRDIDLVYGGGSVGLMGLVSQAVHNGGRHVVGVIPKTLMPREITGETVGEVKAVADMHQRKAEMARRSDAFIALPGGYGTLEELLEVITWAQLGIHDKPVGLLNVDGYYNSLLSFIDQAVEEGFISPSARHIIVSAPNAKELVKKMEEYVPRHERVASKHSWEIEQLGYPPKCDISR >KGN48281 pep chromosome:ASM407v2:6:21690589:21692293:1 gene:Csa_6G453800 transcript:KGN48281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTGESTSIDIAPETNNSGPIGKKKSTTPLLAAPVPVTDRGTHRMKRGLSIFDFVLRIGVLASALAAAATMGTSDQTLPFFTQFFQFEASYDDLPTFQFFVVAMAVVAGYVVLSIPFSIVCIIRPHAAGPRVLLLILDSVALTLNTAAAGAAAAVVSLAHSGNSSTNWLAVCNQFGDFCQQASGAVVGSFAAVLLFLLLILFSALSLKNSH >KGN48355 pep chromosome:ASM407v2:6:22203993:22204160:1 gene:Csa_6G483365 transcript:KGN48355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKRSGDFERRPEKPYQNCEEESGGETEMANEGRRERMKERERWRRRKEKGCEA >KGN47992 pep chromosome:ASM407v2:6:19596981:19598707:-1 gene:Csa_6G423340 transcript:KGN47992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFFERATVHFFHAAIGSAIVLLYPLYESKLAMEKPSSREHQQWLTYWVLLSCLTLFELYLSTIISWIPLWPYMKLVFCLWLALPSFKGAAYVFENIATKYIKIENIEENPERDFVEEKKEKEDTKKKQKEDMKKKDDQDEDDEDEDEDSEEDDYEDMIKGDQKKVFRAWKLVDDYIEKNGADSLEKIVKAGLQGN >KGN46209 pep chromosome:ASM407v2:6:4981434:4982305:-1 gene:Csa_6G074610 transcript:KGN46209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSACVILGFTIRPSPSTSQLHSGPGLCFRIWAQPHHFGMVSASEALSRYKPTKPPNGERRNLQVRCNPNQLPTLGRVFLFTSIFQKSNVSFAAHNSKRRLVKSLVSIPDTLFYEPGLIVHISDVLHKGSPAFSTQEFPCLGVIWLCIIFRKHDRNTQLHRMQTVVSDGFCNHLLSRF >KGN47626 pep chromosome:ASM407v2:6:16570859:16583991:1 gene:Csa_6G365750 transcript:KGN47626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDGGASQPDDTVMSEAASVPPPQHDPAAQQQHQHQPPSMGMENIPATLSHGGRFIQYNIFGNIFEVTAKYKPPIMPIGKGAYGIVCSALNSETNEHVAIKKIANAFDNKIDAKRTLREIKLLRHMDHENVVAIRDIIPPPLRETFNDVYIAYELMDTDLHQIIRSNQALSEEHCQYFLYQILRGLKYIHSANVLHRDLKPSNLLLNANCDLKICDFGLARVTSETDFMTEYVVTRWYRAPELLLNSSDYTAAIDVWSVGCIFMELMDRKPLFPGRDHVHQLRLLLELIGTPSEADLGFLNENAKRYIRQLPHYHRQSFTEKFPHVHPAAIDLVEKMLTFDPGQRITVEDALAHPYLTSLHDISDEPVCMTPFSFDFEQHALTEEQMKELIYLEALAFNPEYHHQ >KGN46470 pep chromosome:ASM407v2:6:6633825:6635394:1 gene:Csa_6G095860 transcript:KGN46470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIRWPRILTPTCLSQIIRKQNNPQTAYQLFKEAKCRYPDYRHNGPVYATMINILGNSGRVSEMREVMDQMRDDSCECKDSVFSFAIKTYASHGLLEDGISLFKSFGRFNCTNRTQTFNTLLEILLKESQLHAACQLFQECSYGWGVKSRTQSLNLLMQSLCQRGQSELALHVFQEMDYQSCYPNRLSYLIVMKGLCQDGRLNEAIHLLYSMFWRISRKGGGGDIVIYRTLLFALCDNGEIEQAVEILGKILRKGLKAPKRAHYRIDLDQCRNSNLTIEEIKSLINEALIKGGIPSSDSYCAMAVDLYNENKTDQGDKVVSHMIAKGFRPPSLIYEAKAASLCKEGKVDDAVKVIEEQIVGGCVPTIALYNIVLKGLCDDGKSTVAMEYLKKMAKQVGLVANKETYSTLVHGLCLENRYIEACKVLEEMVIKSFCPCSNTFNTLIKGLCSVGKHYEAVMWLEEMISQGQLPHVCVWNSLVSSLCCDVAGIDMCSRVL >KGN46837 pep chromosome:ASM407v2:6:9990259:9991757:-1 gene:Csa_6G140850 transcript:KGN46837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKDVEVAEQGEFQSKDYQDPPPAPLIDPEELTKWSLYRAAIAEFIATLLFLYVTVLTVIGYSHGRATDADPCSGVGILGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLARKVSLVRALLYIIAQCAGAICGCGLVKAFQSAYYVRYNGGANMLSDGYNKGTGLGAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSFGAAVIFNKEKAWDDQWIFWVGPFIGAAIAAIYHQYVLRAGAIKALGSFRSNA >KGN48010 pep chromosome:ASM407v2:6:19754047:19759877:1 gene:Csa_6G424010 transcript:KGN48010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTVEEAASIASHDSEVSISELRKKQKQELENLTLVNQPFKTLKFFILGVLQYSKRSIAYILAKGGWLLLLNLIIGAAGILILTIEGPHEKHVEEIVKYFDYGLWWVILGVASSIGLGSGLHTFVLYLGPHIGFFTIKAVQCGRVDLKSATYDTIQLKRGPSWLEKECSEFGPPLFTSQVPLSSILPMVQVEAILWGIGTALGELPPYFISRAARLSGARSEAMEELDASSRESNGFIPTYLNRVKRWFLSHAQHLNFLTILLLASVPNPLFDLAGIMCGQFGIPFWEFFFATLVGKAIIKTHIQTIFIIAVCNNQLLDWIENELIWILSFVPGFSSVLPGLIAKLNAIKAKYLKAPSQAMTTNPKVKKWDFSVSSIWNTIVWLMIMNFSIKIMTSTAQRYLKKQQDKELAALTNKVPASTCSN >KGN48515 pep chromosome:ASM407v2:6:23362239:23362573:1 gene:Csa_6G490790 transcript:KGN48515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKLIISSSFVTPPKYFGNRQGIIRFNVVVAALWTIWLEQNNRVFNNKFASNVNIWEDILQVYWPLVLKTSTIFGILSCIT >KGN47613 pep chromosome:ASM407v2:6:16501012:16505591:-1 gene:Csa_6G365130 transcript:KGN47613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFQTPIGMRSSTMLETSCGYLLQELQMIWDEVGEDQFDREKVLLELEQECLEVYRKKVDGANVSRARLHQELAEAEAEFTHLLLSLGERSLPGRPEKMTGTLKEQLDSITPALREMRLRKEERVKQFRSVQGQILKISAEIGGQSECDDSSPVVIVNENDLSLNKLEEYQNELQRLHSEKNERLQRVGKYIDTVHKLSATLGMDASTIITKIHPSLDDPFGRSKNISDSILEKLKCTVESLKDEKQQRLEKLHNLGKALTNLWDLMDSPYGDRRLFSHVIRLLSVSSTEISEPGSLAVDIIEQAEAEVRRLDQLKASKMKELFIKKQKDLEDICNKSHMEIPSRSEMEKIINLINSGEIDHANLLASMDEQIFRAKEEAYSRKTIMEKVEKWMLACDEERWLEEYSMDQSRYSVSRGAHKNLRRAERARIAVNKIPALVELLLEKTKNWEAERSKVFLYDEVPLLAMLEEYNAMRQEKEEEKRRLREKKKVQTQVIIQPDNFYGPRPSTSSRRLSNASINGGYSNAIASNRRISLGIQQLESNIINSATQGISIMKEGRKSPGQTIALRHNLVSHVRDETASVVSTFSGPISP >KGN49274 pep chromosome:ASM407v2:6:27471294:27471992:1 gene:Csa_6G518290 transcript:KGN49274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLGSNAQSDLPFNENDSEDMVIYQVLNSPMSSHSTLPAADDQPNANANANANASHRLNPPARTIAKKHYRGVRQRPWGKYAAEIRDSTRHGARVWLGTFATAEEAALAYDTAAFTMRGSKALLNFPPEVVAAAAAAKTTTTSPPSKRKPNVEPCGSSSSTISLATSRTESDCKAPE >KGN48120 pep chromosome:ASM407v2:6:20580420:20580997:-1 gene:Csa_6G434395 transcript:KGN48120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIEEERANGRSSVEVTERSEGRTSKYGQAAIADSMYLVWENLSVMVPNLWNGQSKRLLLDGLNGYAEPGRIMAIMGPSGSGKSTLLDSLAGSFSSSLSVYPPFLYFSKFSVFSCSSPSAYAACGATWLDCFSQKPICLPLKLIS >KGN47491 pep chromosome:ASM407v2:6:15592301:15593670:-1 gene:Csa_6G343710 transcript:KGN47491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALDNCIQLSTAATMCAAASIPKSHYFSSTTNYPQKTSFSTLSLQRLNKSPTPTFRKPFSLTCQARGAVDDVKEVTESSWNNLVVENQKAVLVEFWAPWCGPCKIIEPVIKELAAEYAGKIVCLKLNTDLSPNVASKYGIRSIPTVLFFKNGEKRESVIGAVPKSTLTASIDKYIEV >KGN47530 pep chromosome:ASM407v2:6:15943198:15945674:1 gene:Csa_6G355980 transcript:KGN47530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTMNGIVIFSTSSGQSKKKLIEAGILVVRVKLSCSLFRRQRVNKGERAC >KGN46213 pep chromosome:ASM407v2:6:5007702:5008081:1 gene:Csa_6G075140 transcript:KGN46213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGQIENDYDMEAMKQWDIQTKLCFDSNNLHRMRVNFEPLTVKRKQQYRDTGLVHKVHEDKNTIECDIYSDFGPPRKRKPLKFCVPGSQFSCSGSD >KGN48517 pep chromosome:ASM407v2:6:23375355:23378968:-1 gene:Csa_6G490810 transcript:KGN48517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENICFFNKDTLILKPPKKSPLLLRMAVLMFAMVCSVYICSICVKQLNTHTRARFLRVRIADCPELSIGLTKVPREHYPRPKTFSRAECFNNPVRFFAIVSMQRSGSGWFESLLNSHVNVSSNGEVFSVLDRRRNITTIVQTLDRIYNLDWLNSASKNQCSAAVGFKWMLNQGLMQHHEEIAEYFNRRGVSTIFLFRRNLLRRVVSVLANSYDRYAKMLNGTHKSHVHSLEEANALSKYKPVINSTSLISELEGMEATISKSLEYFGNTRHLILYYEDIINNRTKLKDVQEFLNLPPMELKSRQVKIHKGHLSDHIKNWEDVKATLNGTVYEHLLHADY >KGN48970 pep chromosome:ASM407v2:6:26048758:26049912:-1 gene:Csa_6G507430 transcript:KGN48970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSRVRWSDLPPQLWPLIGKRLDNYIDIVRFRSVCRSWRASLPQLNAISLLSPLLVSHPFDHRIEDALVIRRIIYGTSPLRHHQTSTYPSSSSSSSRASAGWLAKVETTNLGKLRFLTPLSTDWVKSRNQVFRKEVNLLDFRIHEVAKSYILRSTIGGILFINKVVVFPDSAWIDVKKTSIIVAVNIEGKLGYTKVGDYKWTLIGSPNFCFADLIVYEGEIYTVDRLGTVFLIDSSMKLVQISPELGVISNEKHLIECGGEIYVVDRFLEQKKDPELLNSWFDEPMPRVVDFKVHRLDQEIMGKSRWVEVKNLGNRAFVVGHNSFSVSAADFEGFKENCIYFSDELQSDLGYGFSTHVLDLEERTIVKASPQIFRAPPIWLHS >KGN48213 pep chromosome:ASM407v2:6:21195699:21201128:1 gene:Csa_6G448710 transcript:KGN48213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLFFCFIYALASVVALTLAGTAVISPGPNHFLLHRAFPHFPSPHFHSLKARDRLRHSRLLRRLAGGIVNFSVKGSSNPFVGLYFTKVKLGNPAREFNVQIDTGSDILWVTCSPCDGCPDSSGLGIELNLFDTTKSSSARVLPCTDPICAAVSTTTDQCLTQTDHCSYSFHYRDRSGTSGFYVTDSMHFDILLGESTIANSSATIVFGCSIYQYGDLTRATKALDGIFGFGQGEFSVISQLSSRGITPKVFSHCLKGGENGGGILVLGEILEPSIVYSPLIPSQPHYTLKLQSIALSGQLFPNPTMFPISNAGETIIDSGTTLAYLVEEVYDWIVSVITSAVSQSATPTISRGSQCFRVSMSVADIFPVLRFNFEGIASMVVTPEEYLQFDSIEPALWCIGFQKAEDGLNILGDLVLKDKIIVYDLARQRIGWANYDCSSSVNVSVTSGKDVFINEGQLSVSSSSRKHFYQLLNIVIVLLIHLKLF >KGN48400 pep chromosome:ASM407v2:6:22569537:22577588:-1 gene:Csa_6G486730 transcript:KGN48400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFDEYDYLEKAVEEQDDRETKKPKRSDSERNEKSTRKREVDEEAGSGGREDEEVKVKRSRSEHENGKEDRDHSGRARDRHRRDREEETDGGKERDKERNRTRERGSSEKERERDRDREERDRDRHKEKERERDKDRKDKERDRERHKEKDRERDKDRRDKEREREKEKEKERERRDRERREREREEERSRRSRSRSVREREREVDVRESRRMKEKKDTTEPEADPERDQRTVFAYQMPLKATERDVYEFFSKAGKVRDVRLIMDRNSRRSKGVGYVEFYDAMSVPMAIALSGQLLLGQPVMVKPSEAEKNLVQSNTTGASGAGPYGAVDRKLYVGNLHFNMTETHLREIFEAFGPVELVQLPLDLESGHCKGFGFVQFAHLEHAKAAQSLNGKLEIAGRTIKVSSVTDHIGAQESVAKSNDLDDDEGGGLALNAQSRALLMQKLDRTGIATSIAGSLGAPVLNGSAPNQRSTSLPVNGQAAVAAPVLPANFTPPVLQSVGSPSECLLLKNMFDPSTETAPDFDMEIKEDVEEECSKYGRVKHIYVDKNSAGCVYLQYDTVEAAINAQRAMHLRWFAGRQISVLFMQPQVYEAKFIGA >KGN46763 pep chromosome:ASM407v2:6:9199380:9199771:1 gene:Csa_6G133720 transcript:KGN46763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSEVANSQKSFCNLGNSSNFTYLKKIVVKKISSHIKEIGSFAVKAPSIPRKVIQLSHGLYPPPKLGYWKINTDVLVQP >KGN47260 pep chromosome:ASM407v2:6:13502888:13507721:-1 gene:Csa_6G237630 transcript:KGN47260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTWRSALKITLLLLLLAAAVTACFTLPIEKILKDFLLWVHEDLGAWGPLVLSIAYIPLTVLAVPASILTLGGGYLFGLPVGFVADSIGATVGAGAAFLLGRTIGKSFVVSKLKDYPQFHSVAIAINRSGFKIVLLLRLVPLLPFNMLNYLLSVTPVSLGEYMLASWLGMMPITLTLVYVGTTLKDLSDVTHGWGEFSKTRWAFIILGLVVSVVLAYCVTRVAKAALEKALAENGDGVDYLGLSSQLPIVDESPVDLNQPLIIKIDSPQDDDRK >KGN48738 pep chromosome:ASM407v2:6:24839897:24840572:1 gene:Csa_6G499820 transcript:KGN48738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDLMTTMNLATVWATSLLIVLLHLLLLLSASSPPRGMFFEDKTRLGSTPPSCHNKCNECHPCMAVQVPSMPGRASRLDSPSALPMRFFDSSSQGNRYSFYKPLGWKCRCGNHFFNP >KGN49316 pep chromosome:ASM407v2:6:27667520:27669708:1 gene:Csa_6G519680 transcript:KGN49316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEKKLSNPMRDIKVQKLVLNISVGESGDRLTRAAKVLEQLSGQSPVFSKARYTVRSFGIRRNEKIACYVTVRGDKAMQLLESGLKVKEYELLRRNFSDTGCFGFGIQEHIDLGIKYDPSTGIYGMDFYVVLERPGYRVGRRRRCKSTVGIQHRVTKEDAMKWFQVKYEGVILNKSQNIS >KGN47135 pep chromosome:ASM407v2:6:12337854:12338247:1 gene:Csa_6G190260 transcript:KGN47135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEEGFFWEELRRRLSDGDFPAEEEGVCCFWDKLLSNGNFAEVLLVEPLLENIDLEEEDDEDDSFFETFHFSSIQRMREKWGSERV >KGN48716 pep chromosome:ASM407v2:6:24679339:24681183:1 gene:Csa_6G499110 transcript:KGN48716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSFYCTENANICFDENNEFDERCSISLPHRRRTRDPNVEFFGSENFLGSSVLESEERVKRMVEKEIEHLPTHDYLKRMLSGDLDLKFRREAVDWIWKAHAHYSFGPLSLCLSMNYLDRFLSVYHLPMDKSWTVQLLSVACMSLAAKMEETEVPLPIDLQVEEPKFVFEAKTIQRMELLVLSRLKWKMQAITPFSFIDYFLSKISVEQQNIPNLYFSKSSQLILSTIKGIDFLEFKPSEIALAVAISISREFQTPDMNKAILSFPYMEKERVMKCIDLIRDFSLISNVYGNTLGGGNVGSVPQSPVGVLDAACLSYKTEELLTAGSCGNGNSSSSSSHDSQDSKRRRQDRPSSNDDNTSPSSPVK >KGN48173 pep chromosome:ASM407v2:6:20886968:20902462:-1 gene:Csa_6G446340 transcript:KGN48173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVRKIIGCCVFRMLLFIVIVLSRFPTIRCVDEDDYRQNGDPALLSSVTQIVNGQITNMTRIMSNDIGKNWGFCVKDLDSDWNGAFNYQGNVGFLTSCIKKTKGDLTKRLCTAAELRFFFRSFGTRGASPGITYTYIKPNKNCNLTSWVSGCEPGWSCSVGKNKKVDLKSTNVPSRREDCQSCCEGFFCPQGLTCMIPCPLGSYCPLAKLNNTTGTCDPYSYQIPPGQPNHTCGGADLWADLGSSSEIFCSPGSFCPSTTSRVSCSSGHYCRMGSTSQQPCFKLATCNPNTANQNIHAYGIILIVALSTLLLIIYNCSDQVLTTRERRQAKRREAAARHARETAQARERWKSAKDIAKKHATGLQEQLSRTFSRKKSSRLPDQLKGLGQLPPVHPGSSGAPEQQSATSKGKKKENNLTKMMHSIDSNPNSNEGFNLQIGDKNIKKHAPKGKQIHTHSQIFKYAYGQLEKEKAMQQQNKNLTFSGVISMATDTEIKTRPVIEIAFKDLTLTLKGKSKHLMRCVTGKIMPGRVTAVMGPSGAGKTTFLTALAGKSTGCTMTGLVLINGKPESIYSYKKIIGFVPQDDIVHGNLTVEENLRFSARCRLSADMPKPDKVLVVERVIESLGLQAVRDSLVGTVEKRGISGGQRKRVNVGIEMVMEPSLLILDEPTTGLDSASSQLLLRALRREALEGVNICMVLHQPSYSLFKMFDDLILLAKGGLTAYHGSVKKVEEYFAGIGITVPDRVNPPDHFIDILEGLVKPKGVTHEQLPIRWMLHNGYPVPPDMLKLCDFDTSASGSTHGKPGDGAEEQSFAGDLWQDMKFNVEMQRDHIQQNFLSSKDLSNRRTPGIARQYRYFVGRVSKQRLREARIHLADYLMLLLAGACLGTLAKVNDETFGSLGYTFTVIAISLLCKIAALRSFSLDKLQYWRESASGISSLAHFLAKDTLDLFNTIIKPLVYLSMFYFFNNPRSSFTDNYVVLVCLVYCVTGMAYALAIYLQPAPAQLWSVLLPVVLTLIANQDKDSPIVKYLGKFCYTKWALEGFVIANAESLAVFKKPHFYFSGFDPLKHHSLPKSSLQPKLGSSPSVLD >KGN48080 pep chromosome:ASM407v2:6:20297124:20303213:-1 gene:Csa_6G429100 transcript:KGN48080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLEEPLGLDKLPSMSTIDRIQRFSSSACRPIGDDMGMGICWIQGGRSSSSNSCKEYDENGGDTFPWRRHRKNTSQNGFVNRRTTSAGSKIFASENFCESHCSPGHEYKTKSTSDNIQHMTNKFLKDIPKFVKIVEVGPRDGLQNEKNMVPTSVKVELIHRLVSSGLPVVEATSFVSPTRVPQLSDAAEVMEAVRNLEGARLPVLTPNLKSFRAAIAAGAKEVAVFASASESFSKANINCSIEESLDRYRAVTSAAKELAIPVRGYVSCVVGCPTEGAVSPSNVAYVAKELYEMGCFEISLGDTIGVATPGTVVPMLEAVMGVVPVDKLAVHFHDTYGQSLPNILISLQMGISTIDSSVAGLGGCPYAKGATGNVATEDVVYMLHGLGIKTNVDLAKVMSAGNFINNHLGRPSGSKTAIALNRVAADSSKM >KGN45766 pep chromosome:ASM407v2:6:1048447:1051884:1 gene:Csa_6G009450 transcript:KGN45766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKFRDRTEDFKDVVRHCAISLGYNESKLAAIMASFIIQKPRQRSPFIKAALKTLESIGALEEFMLKHQKDYVDMYRTTDQERDNIEHEVAAFIKACQEQLDILKNSINEDDAHSKGWLGPRTDDSNADTIAHKHGVVLILSEKLHSVTSQFDKLRAIRFQDIISKAVPRRKLNQVNKPRSANTPEYNNTELREPDNFEHQPVRAQQLLDDETRALQVELTSLLDAVQETETKMVEMSALNHLMSTHVLQQAQQIEHLYEQAVEATKNVELGNKELTQAIQRNSSSRTFLLLFLFVLTFSILFLDWYS >KGN45739 pep chromosome:ASM407v2:6:860985:863146:1 gene:Csa_6G008700 transcript:KGN45739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLNASLCCSTPKIPSRSHLLLPPSISLHSTSQVFNQQLQATRNFVLSLGQPTFLAFVSASLFLADPALAFKGGGPYGAGVTRGQDLSGKDFSGKTLIKQDFKTSILRQANFKGANLLGASFFDADLTGADLSDADLRGADFSLANVTKANLSNANLEGALATGNTSFRGSTINGADFTDVPLREDQREYLCKVADGVNPTTGNATRETLLCD >KGN48491 pep chromosome:ASM407v2:6:23195471:23196723:-1 gene:Csa_6G490060 transcript:KGN48491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQQKHFVLVHGACHGAWSWYKIKPLLEAAGHRVTPLDMAASGMDSRVIQNVHSMEEYSEPLLKYLDGLPPNEKVILVGHSLGGFNLAVAMEKYSDKIAVAVFLAAFVPDTQHKPSYVLSQYNEKTPKEAWLDTKFAPYGTEAQPSTSMFLGPNFLAKQLYQLSPPQDIALALTLLRPSTLFFEDLSKINNFSDEKYGSVKKVYVICTEDVGVSTEFQQWMVCNAGVEHVMKINGSDHMPMFSTPTQLLHCLLHIALNYA >KGN48900 pep chromosome:ASM407v2:6:25696767:25700177:1 gene:Csa_6G505290 transcript:KGN48900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSRKSKGVSWAHGVNLCQVKLFSSEDCPSRVGLKSPDQLQAKTPWMLHPYTAEINDCPPGFEVTPLGNQLADLSRIPLVKWKRPLKFGMNPNWRVGAGEESEEVESQKFREMRFLEAVYPRASAIPPGATVSSDIQDEFYDDSLTPLVPIIPVEEDECEDLGSDSAIVGNFSTSSLPMASHFHLEPSVRTIETSAVEKPIEKLPDVGVDVAVAASTAYAVLTKSMEQGSMIDTNLLIKIFSDPKMIQNLSNIHPLSTAVPGNPPPTSTGAPISLSKPVNESNRIPRVPNGFSSTVTAALPQTSSIPTPDVNLVVVPGNLPVQDPNLVKVTLRNAGNYPKLGSIPAHANMSLVSSVRKEAAQQMKDVSYYKNLVREHGDQRDFKKQKLGQQDGNNIYNHHNLNMAHEMKEENLKPKIQKQCIYFNGSKGCRNGLNCQFKHDIILNNQNKRMKLFGEVTRRN >KGN49506 pep chromosome:ASM407v2:6:28761638:28764956:-1 gene:Csa_6G526400 transcript:KGN49506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESELQLSPFPKKQTLNYSSPFFNLRPLPSDQTTPANLTPIRPPHSPSVLVIGLKGKREGERQIGSGRCKLGSSFDGVCSRTNEGSRAQAYLGSWNSTKVSGVNSADRRHGLDSAAQSGQRLLMVAGEIEIDLIRISLFAVWLISNLLESIVSSKDNPGTSHKGIVDKLEVPMANVIMKFFIASLFMWITPITLLHGFNHNWIPGSTQLNPHSLTLLSGFLAVISVNVVIAIYIIMAMKEPSSKHEPDPTFLAEAKASINQSTGKADGPSQAQNKKEE >KGN46782 pep chromosome:ASM407v2:6:9371235:9373669:-1 gene:Csa_6G134890 transcript:KGN46782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRSEETLEATSVVVDSKFNSRPVLQPTGNRVLDRRNSLKKQHPSLKPPSAAAVSPTSPKSKSPRPPATKRANDGNNPMNSSSEKILIPAAVSRPRATLDRKKSKSFKLGGNGNVICDNGGFEVAYASSLITESPGSIAAVRREQVALQQAQRKMRIAHYGRSKSARFEKIVPLDSKIKPAVEDRRCSFITPNSDPIYVAYHDEEWGVPVHDDKMLFELLVLSVAQVGSDWTSILKKRQDFRNAFSSFDSEIVANFSDKQMVSISTEYGIDINRVRGVVDNAIRILQIKKEFGSFDKYIWGFVNNKPFSPQYKSGHKIPVKTSKSETISKDMVRRGFRSVGPTVVHSFMQAAGLTNDHLTTCHRHLHCTLIAAGRRTPAPTTTTPEVEDTAAVCETL >KGN47853 pep chromosome:ASM407v2:6:18599589:18600041:-1 gene:Csa_6G407140 transcript:KGN47853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSYLQGQDLWDVVGDTKVNPLKEIVALKNWNVKAESRIRRVIIYGLKPKQKNFVAPVQGWEV >KGN46505 pep chromosome:ASM407v2:6:6857289:6863831:1 gene:Csa_6G104620 transcript:KGN46505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCTASKLDQEDTVRRCKERRRLMKEAVYSRHHLAAAHADYCRSLRLTGSALCAFAAGEPLSVSDQTPAVFLHNAQNPLQSHTPPPPPLRRGVSGTNSVRSSVPLPSPSPSLHPPPAPPSFSISPSRTIASSKLPHILSASSISSSVSHRQHRRRKQTPKLPHILSESDPSSSPRSEKSSFSASFPTAYPNSTYSSTPSQASSVWNWESFYPPSPPSSEFFQSRSQTQIQPKPHPNNDYHDYDDETEQSEYTFFHRKSESKKDDGHQFQQQKHHLDDTETEREEVQCSDWGDHYSTTSSSDIDEIDGTDADLRSEADTRSNFESSIRTESVAPEPVTPPPPAKYATQMEKFDDAGSSAGSFRTGEISDLRMVVRHKDLKEIVDALKENFEKAAVAGDSVSKMLEIGKAELDKSFRHLKKTVYHSSSVLSTLSSTWTSKPPLSVKYRLDTGSLDQPGGSKSLCSTLDRLLAWEKKLYQEVKAREGVKIEHEKKLSSLQSQEYKGDDESKLDKTKAAITRLQSLIIVTSQAVNTTSTAIVGLRDSDLIPQLVELCHGLMYMWRSMHQYHDIQNNIVQQVRGLVNQTSHGDSTSELHRQATRDLESMVTAWHSSFCRLIKFHRDFIRSLHGWLKLSYIPVNNDSLTDNKEPAEIFLDQWKLALDRVPDTVASVAIKSFINVVNVISAKQTEEIKIKKRTESASKEFEKKSASIMHLEKKFYNSYSMVGIGLPDTGPADNGHILDARDPLAEKKIELVACQRRVEEEKIKHSKAVEVTRAMTLNNLQTGLPGVFQALTSFSALFTEALESVCTHSYSIK >KGN46571 pep chromosome:ASM407v2:6:7373870:7377668:-1 gene:Csa_6G109660 transcript:KGN46571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSSFKQEHEFEKRHAEAARIREKYPDRIPVIVEKAERSDIPNIDKKKYLVPADLTVGQFVYVIRKRIKLSAEKAIFIFVDNVLPPTGSLMSAIYDERKDEDGFLYVTYSGENTFG >KGN45691 pep chromosome:ASM407v2:6:555201:557211:-1 gene:Csa_6G006770 transcript:KGN45691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFVHTNIALPLVVHARYCAGLRTRIDVGVVNEDNGENHYFANVADIHLSAKAGFYAARYKKFGNLCYVIGALQGFMGHQNRDFRIKVDDGEWELYPQVTALCIGNAKYFGGGMKIVPNVDPSNRSLEVVILQDFKWYDFILNLHKIYNGTHLTVKNVTSRSVRSIEVEEVSCSGSIYVQSDGEHLGFLPRKFHILPAAIEMIC >KGN49445 pep chromosome:ASM407v2:6:28347641:28354143:1 gene:Csa_6G525320 transcript:KGN49445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDPSHLEKMGIELKCPICLSLLNSTVSLGCNHVFCNVCIEKSMKSGSNCPVCKVPYRRREVRPAPHMDNLVSIYKSMEAASGINIFVTQNLASAKLSDGDKQVEGDGNGSKRLNAETSESTAYVQRTLKKESQKIQKSKRKNSASSPLKPSFPRKKRVQVPQHPLSETPTRPAKLASNCNEVNEPKESTVASEDKGQPVLSPFFWLRERDEEDENSNQQSDLEQSTESLTMNVLAFSDIKDSLDESPSKPQMEEVCDKPSHDLDLIDSEMFEWTQRACSPELCSSPFKLQVEDVAGTETALLEAAPNEEPGKQNPNGSYNQSGGILDELVPDVPPPEGNSVKNHTMRAKLTKRGRKKKDVALKKCSKILAESAIGNYSRPATETECLSEKQEHDVIISLGSLKSGSKRTKKKIHFGTESTDAIKATFESVPATPINLATPNENFTTKAPMFQEGEKENQFLEKRRKNDRASKTAHFGIDTSRATPKNILTDRVSLGVPDEGRKNFETETLVFPKGEKACELPENNCTKGRGRKKAQFCNNANKRILEDISAHPISLGTPNNGPENFGIELSAFLEVENVSQFPEKNSKNGGDRREQRVVQCRRKIKKQKMDSVDNILQKNPSINQNQHDNCAIPGLTTTLSAIATSTGLKREHKKQIEYNNITQEKYDGAQANRSQLSEKLQSTNGKNLDSITKNDCSEKHERLDDEFQCAFCRSSEESEGSGRMVHYFNGKPIDNDIKNSKVIHAHWNCVEWAPNVYFDGDTAINLEAELSRSRRIKCGCCGNKGAALGCYDKNCRKSFHVPCAKLMPQCQWDTENFVMLCPLHPDSKLPSQDPGHQERKSSCASNRQSNTKCIAVAREISKHGRFTFRESSKKLVLCCSALTIAEREAVDEFQRLSGVPVLQKWDDTVTHIIASTDENGACKRTLKILMGILKGKWILGIEWIKACIQAMEQIKEERFEITLDVHGSRDGPQLGRLRVLNNQPKLFAGFKFFFTADFAPSYKGYLQQLVTAAGGNILHRKPVSSNNQNVSSPSPNCQVFIIYSLELPDQCNPGEKNNILHRRRSDAELLAKSAAAKVATNLWLLNSIAGSKLTSLEE >KGN46902 pep chromosome:ASM407v2:6:10449412:10453497:-1 gene:Csa_6G149430 transcript:KGN46902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIVYAGVSVGVLVVLLQAAMVLCGFPAKLTLERAFPTNHGVEIAHLRSRDRVRHGRMLQSSGGVIDFSVSGTYDPFLVGLYYTRVQLGNPPKDFYVQIDTGSDVLWVSCNSCNGCPATSGLQIPLNFFDPGSSTTASLVSCSDQICALGVQSSDSACFGQSNQCAYVFQYGDGSGTSGYYVMDMIHLDVVIDSSVTSNSSASVVFGCSTSQTGDLTKSDRAVDGIFGFGQQDLSVISQLSSRGIAPKVFSHCLKGDDSGGGILVLGEIVEPNVVYTPLVPSQPHYNLNLQSISVNGQVLPISPAVFATSSSQGTIIDSGTTLAYLAEEAYNAFVVAVTNIVSQSTQSVVLKGNRCYVTSSSVSDIFPQVSLNFAGGASLVLGAQDYLIQQNSVGGTTVWCIGFQKIPGQGITILGDLVLKDKIFIYDLANQRIGWTNYDCSMSVNVSTATKTGKSEFVNAGQFSDSGSMQNQPDRFILNLSIFVLFVQLYIFTSFFHS >KGN45688 pep chromosome:ASM407v2:6:539807:542602:-1 gene:Csa_6G006740 transcript:KGN45688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQLHEPPRRQFFPFGNPFRMRSPKGSNLPSKLVDILNAFERSLAERLQKLHPSGEDDVLSLSWMILAMELLCETHSDVKNLIKELDLPVPDWNEKLIDVYLDISVKLLDVCNALSSELSHLNQSNLMLRCVIHNLDSADSERLARARTSLKEWRQNITTTSSRIKSCCVILDSLVESLDLPKIKNSAKGKVLMQALYGVKVQTVFVCSVFASAFLSSPKLFDLDIANTYLWGQTFSSLQNDVNSEIRSIYARGKFTPLKELEAIDQCVGKLQQMIPEKPEVEEAQLLKNSISELGGKTEKLSKDLHSLTKEVDNFFQIVLAGRDALLSNLRGC >KGN45826 pep chromosome:ASM407v2:6:1524527:1525028:1 gene:Csa_6G014470 transcript:KGN45826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSARFNMKMMGHCNRQILIGAFNRNPRSESKFRSTPSPHHNSLNQPIRFHSSPNFNCQSTKYFDFLASTNHQLPRFFPCVELEAEELPPKAPSCRIGPLKTPSSLPPLKSSMKHYSFENRFHIMNSSSKTPTHILFKFP >KGN48126 pep chromosome:ASM407v2:6:20616155:20618204:-1 gene:Csa_6G439430 transcript:KGN48126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLATVMATRRPKWQYPQPAPPTPRILHFPRRPRMRRRPSKSLPTKPTSDGRGKLEALFGQEREFLKESFPVVLMDPEREREGYGGGAAAAEEKWRFQAEMLRAECNLLRMEREITNKKLEKMKSRMERTLKSAVQALVSGRNKIYEGNDVKMVLEDEINDLAQKLERLRRGSRDKQIELKKSSNFDKQASLLQKKLEKIGEDTDELKTVAETKKTCRDQESFISNGKFNNVQVDVLKRKMEDLSKGTLLERMREECRSMLSTPSASSVRSATLPNLDSTLSQEQNQCSGHCKAIIRRITEQVKAEKDQWSQMQEMLNQVREEMEELQVSREFWKDQALESESQIQSLQSSVEEWKEKAMAHEINKMKKKGQGSGSLPDEMEKHVLICRVKEKKNNHNLVLHARDVRSRRREISIDELQQEPQQQQQQQKLHTQMKTIEKIQRLPFREIGNHLHK >KGN47738 pep chromosome:ASM407v2:6:17627419:17631165:-1 gene:Csa_6G397180 transcript:KGN47738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNGPDFGSPPSLIRKKLLNILGESGKTSSFIDDIATVKRYCSESSHAFPVTSDDEALSRAKKEAMNEKVHFIWTQFSELNSYHKKQVDDEEKLNVKLAELLSLLTCDTKSVNKKRNRAKISVELQEILARMDSRINDLYTSLPTNAMLIICTGHGDITLVQRLRKMLQEQSETSICREKIVKILEELHAQAEVALCFVCTKH >KGN47431 pep chromosome:ASM407v2:6:15073676:15078815:1 gene:Csa_6G319770 transcript:KGN47431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKVKGRTTLEVGADGVALITIINPPVNSLSFDVLFSLKESYEQALEREDVKAIVVTGARGKFSGGFDITAFGGLQGGKAEEPRPGYISIDVITDIFEAARKPAVAAIDGLALGGGLEVAMACHARLSTKTAQLGLPELQLGLIPGFGGTQRLPRLVGLPKALEMMLTSKPVKGEEAFSLGLVDAIVPREELISAARKWALDISERRKPWIISLHKTNKLESLADAREIFKFARAQVRKQAPNLKHPLVCIDVVETGVVSGPRAGLQKEIEDFQVLLHADTSKSLIHVFFAQRGTTKVPGVSDLGLTPRRINKVAVIGGGLMGSGIATALILSNYPVILKEVNEKFLEAGLGRVKANLQSRVRKGTMTPEKFERTISLLKGVLDYESFKDVDMVIEAVIENISLKQQIIVDLEKYCPPHCILATNTSTIDLDLIGEKTNSHDRIVGAHFFSPAHVMPLLEVVRTNRTAPQVIVDLVDVGKRIKKTPVVVGNCTGFAVNRIFFPYTQAALLLVEHGVDPYQIDRAIYKFGMPMGPFRLIDLVGFGVAIATGGQFVQNFPDRTFKSMIIPLMQEDKRAGETTQKGFYLYDKKRKSKPDPELKKYIEKARSMSGISVDPKLAKISDKDIIEMIFFPVVNEACRVLAEGIAVKAADLDIAGVMGMGFPPYRGGVMFWADSLGSKYIYSRLEEWSKLYGGFFKPCAYLAERAAQGSTLSSPSVVTKSRL >KGN47911 pep chromosome:ASM407v2:6:19013011:19019247:-1 gene:Csa_6G410660 transcript:KGN47911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPFSATASIRRSLFQNPPPKSLLSLRDYNGGLNCVKCGSITAHLCSWKSASNYVRLNRQALVISTQLSVSGNVFKNRRLVVRAATIEEIEAEKTLIEKDVKARMEKTIDTVRSNFNSIRTGRSNPAMLDKIEVEYYGSPVSLKSIAQISTPDASSLLVQPYDKSSLKSIEKAIVNSDLGMTPNNDGEVIRLTLPQLTSERRKELSKVVAKQAEEGKVAVRNIRRDALKAYEKLEKEKKLSEDNVKDLSSDLQKLTDDYMKKIDVLYKQKEKELLKV >KGN47120 pep chromosome:ASM407v2:6:12253689:12254630:1 gene:Csa_6G188130 transcript:KGN47120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAHHASLGRRTLEEIRQKRAAERLSKVSSGPDLSTASKSNEVSGIRKSESGSRISEIDVGSLVSQIQDMQKKNAELEEQRTVISSKLQSKEAENGMLQKRLNELVINALPN >KGN48790 pep chromosome:ASM407v2:6:25109214:25109567:-1 gene:Csa_6G501310 transcript:KGN48790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCLMVFGRKFGDEELDDRGFKAMIQEVMQLVAAPNLGDLIPFIAMFDLQGLTRRMKNINKVFDRFFERIIDEHLKSMGEKKTKDFLDVMLDLMKSEDTHEYRIDRSSVKAIILVRI >KGN48822 pep chromosome:ASM407v2:6:25286080:25286562:1 gene:Csa_6G502600 transcript:KGN48822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSSSSLLQSLPVNRPNLACKPANPRTGVKVQAMAKEGSESEGGIAETVAIAGGLVATPVIGWSLYTLKTTGCGLPPGPGGSLGALEGVSYLAVVGIVGWSLYTKTKTGSGLPNGPFGLLGAVEGLSYLSLLAILVVFGLQYLDQGYIPGPLPADQCFG >KGN48115 pep chromosome:ASM407v2:6:20544787:20549690:1 gene:Csa_6G434350 transcript:KGN48115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFFVYLQTAYVDVCDDTEYSQKAKSFRNKAIDANIPAITTAGIYPGVSNVMASELVRAVRDESKGEPERLRFYYYTAGTGGAGPTILATSFLLLGEEVVAYNKGEQLKLKPYSGMLNIDFGKGIGKRDVFLLNLPEVRTAHEILGVPTVSARFGTAPFFWNWGMVALTNLLPLEYFRDRSKVQNLVQLFDPFVRAFDGLAGERVSMRVDLECSNGRNTVGIFSHRRLSQSVGYSTAAFALAVLEGSTQPGVWFPEEPEGIAIEAREVLLRRAAQGTINFVMNKPPWMVETEPKELGLGIYV >KGN48538 pep chromosome:ASM407v2:6:23534490:23537494:-1 gene:Csa_6G491020 transcript:KGN48538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCDAFSRLTKTSPPNKTKTKILLTQTSFPKGTRKKKMWDLNDWPDVREEDECSSAKTSIEGEGDEEKGKRVGSLSNSSSSAVVMEEEEAEVEGGSDEEEPTPMVTHQFFPLEETEIPTPLPHASAPPATAPAFPRAHWVGVKFAHPDPLAALPNNSLTPTDLSHPIKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVEADINFSIEDYEDDLKQMGNLTKEEFVHVLRRQSTGFPRGSSKYRGVTLHKCGRWEARMGQFLGKKYVYLGLFDTEIEAARAYDKAAIKCNGKEAVTNFDPSIYENELNPTTESSSNLGDHSLDLSLGNSSSKQNDSSNNGSIGPQHHSSSSSSADWQRNHGFRPLQLNLENGGGGNKNYNNNVERRNRYLESETMQLLSQTHIQSPAQFSRPHTVGGAPDHHPHSQILLHNHNNQTFNYQIEFSGSSNGHGGRIVGSDLTLSLNDHLQSGPSQVLASAAASSGFAPQIRPSKNWLHNNGFHCLMRPS >KGN48565 pep chromosome:ASM407v2:6:23708310:23709938:-1 gene:Csa_6G492250 transcript:KGN48565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDTYVLYSSLSLFFLLLAFKFISRSLRLRNNLPPSPPSFPVIGHLHLLKKPIHRTFSNLSAKYGPVMSLRLGSKLAVVLSSSAAVEDCFTKNDVVLANRPRLLVGKYIGYNYTTMIGAPYGDHWRNLRRIGAIEIFSISRINKFTDIRRDEVMRIVRKLSHNSIHQFSKVEIQSAMSELTFNITMRMAAGKRYYGEDVTNEEEARRFRELIKEIVAVGGVSNPGDFLPVLNWMSKGIERKLIQLGKKVDAFLQGLIDDHRKTKEEGRNTMIDHLLSLQQSEPDHYNDQIIKGFILILLTAGSDTSAVTMEWALSHLLNNPQVLKKAKEEIDTQIGQERLVEESDISKLPYLQRIVSETLRLNPAAPMLVPHYATNDCKICGYDVPRDTMVLVNAWAIHRDSNEWEDCMSFKPERYEKSEAVEIHKFLPFGLGRRACPGSAMAHRVMGLTLATLIQCFEWKKIGEEDIDMKELSGAIMTKMVPLEAMCKARPIINNIFY >KGN49364 pep chromosome:ASM407v2:6:27889485:27894049:1 gene:Csa_6G521600 transcript:KGN49364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSIKMKAKLSPSCLREKHCLRVCQKSSVISKKDCTHLRGSEESDEFDGCIRKCLEASLNTESINSNINQKAINHHEAVDEETSELKRHGETFELKREEPFSVNAADMNGMDCTSTNSSEIEAIFSPILNNVDIQCHPIIEHDPGSKLDRDIPGMEIDEGKNSRSSHDSQTCDISDFFISDMIVANLPLCENDDICDINYFHDYKYTQSSVLSDVADQYMILPFLEDTMKFSNSDDAKCSDESAIGSGNSSLYRVIDQRNNLSLEFSVSSESDQTECFDPQLFIKNLPELSEVISNFQPSILPNEDRKRKAVTLVLDLDETLVHSTLEPQDDADFRFTVCLNMKEHIVYVKRRPYLQIFLDRVAEMFEVAIFTASQSIYAEQVLNKLDPDNCIISRRLYRESCIFSDGCYTKDLTVLGIDLAKVVIVDNYPQVFRLQVNNGIPIKSWIDDPLDSALISLLPFLETLVDVDDVRPIIAQRFGNKE >KGN47407 pep chromosome:ASM407v2:6:14907785:14909192:-1 gene:Csa_6G312590 transcript:KGN47407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIFTYENEVTSVVPPAKLFKAFILDADNLYSKIIPSHPQTEIVGGDGGPGTIKKITFSHGGESKTIVHRLDIVDEVSLTYKYTVLEGDLISETIDQIVKEIKVTEGPDGGSILKSTSIYHTKEGNQLDEGKLKIGEEKGLALFKAAEAYLLANPAEYN >KGN47951 pep chromosome:ASM407v2:6:19323605:19324090:-1 gene:Csa_6G419480 transcript:KGN47951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQDLTEILELLRHPSSVIEVVARVAEEVPQGSPRWEQQRKNSLVLENKVTTFVLRDQVKGKALVDEGVKESQNIGPGSMEKRIDVSKGKFVTKLLLTQPQNFPKLLQLEERIAILEASFETLGKQVQKESDSSGNDSMLLQGVVKANISMPARQSLFTKA >KGN48550 pep chromosome:ASM407v2:6:23608556:23613532:1 gene:Csa_6G491620 transcript:KGN48550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNGTSNSSTQSAVNNSAPTVDNQSSKVDNLTSILSTSGISSWAKSFRNPQPPEAAKNDDSNPGNSGMSAIARFSSGFGFQIPTMSSVQGDGAADTKSGMKSGVFESLTKGLVDTSQNAVKAMQVKARHMVSQNKRRYQEGEFDLDLTYITENIIAMGFPAGHMSSGLFGFLEGFYRNHMEEVIKFFETHHKGKYKVYNLCSERLYDASLFEGKVAHFPFDDHNCPPIHLIKSFCQSAYTWLKEDIENVVVVHCKAGMARTGLMICSLLLFLKFFQTSPEAIDYYNQKRCVDGKALVLPSQIRYVKHFERILTQFNGKTPPGRRCMLRGFRLHKCPYWVRPSITISNHSEILFSTKKHQKTKDLMPEDFWIRAPRKGFVVFALPGEPGLTELSGDFKIHFHERHGDFYCWLNTTMTENKVLLSDTDLDGFDKRKLPTPGFQVEVVMIDYDGSLPARLKTDSAGKGSDRRSIHNRASDHEAGQSNSNKMSEGEENDDNVFSDSDEENGDIKTSLTRSTSGNGNGNSKADHSSTTISSERIGSLAHETSHLSFGNEKNSQPNASKGPAVIQPSGLEIPNLDSVRASDFKAIAADASVFTFGDEEDFDSE >KGN46614 pep chromosome:ASM407v2:6:7814274:7816728:1 gene:Csa_6G113510 transcript:KGN46614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKGSSSPLPKFGEWDVNDPASAEGFTVIFNKARNEKKTGGMPDSPAKDETAFKNGSVLGKSQPKKWFCCLQAAES >KGN47925 pep chromosome:ASM407v2:6:19097146:19105364:1 gene:Csa_6G411290 transcript:KGN47925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHAVFQTAQIMPINTQLTTNNLWLPAVVKLGPSYRNRAVLVVKAFTASPPSSSSSGVSYGDSISFLERCFETSFPPAGVDPSLAVSSSSFGPVMKGKYGAFGAVTLEKSKLDLSQKQSKSSPELAIGGGGGDIGKKINHGGGDGGDDDGDDDDYYDEFDDGDEGDEGGLFRRRKFLEELFDRKFVDAVLNEWQKTMMDLPAGFRQAYEMGLVSSAQMVRFLAVNARPTTTRFISRSLPQGISRAFIGRMLADPSFLYKLLLEQAATIGCAAWWEIKNRKDRIKQEWDLALINVLTVTACNAIVVWSLAPCRSYGNTFRFDLQNTLQKLPNNIFEKSYPMREFDLQKRIHSFFYKAAELCMVGITAGAAQGVLSNFLSSKKQERLSVSVPTVSTNALGYGAFLGLYANLRYQLLCGFDRAMINHFDVIGVALFLSSAMRVLNVQLGERSRLAWLGIEADPLVQSRELLEKVYNRPSQGVSQSSSKWFISKNAIISGLGLLGLKQSGVDSVSEGESVPKARRKRIVRRKVTAGSS >KGN47248 pep chromosome:ASM407v2:6:13385314:13385793:1 gene:Csa_6G235560 transcript:KGN47248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKSTQFQTFLSPILAASSLKINLPHMKLMISDTSLILLPTAILFHDESSMKRIREEGLQLVALEENTNHPLPIEETTKIESVIELEPAASMQNPFLLSKEVVATLLEYDLCIWAVTRKGKPQRKGGASSNSNEKLTREVKALLGSWEREVQAAKAINF >KGN48279 pep chromosome:ASM407v2:6:21668420:21671136:-1 gene:Csa_6G453780 transcript:KGN48279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLIFAVLAGLAFMFSSSILLQILACALYNNWWPLLSALMYVLVPMPCLFFGGGSTQFLISRDGGGWIGAAKFLTGASTVGSLAIPIILRHAHMIDTGAMFIEFVSFFIFVCTVLCFHRVSLEDDW >KGN47931 pep chromosome:ASM407v2:6:19198684:19200520:-1 gene:Csa_6G416840 transcript:KGN47931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDIQGLAENVLSNGDVYIGSFKDSLPHGKGKYMWFEGTIYDGDWEDGKMTGKGKITWPSGARYEGDISGGYLHGFGTFYYSDGSIYNGAWRMNIHHGIGRKLYANLDIYDGSWKEGIPEGCGRYFWSSGNSYIGNWKGGQMCGKGIMKWVNGDHFIGFWLNGFRHGSGVYHFADGAYYFGSWSKGLKDGKGTFYPAGSKPPSLEKWDNFIGYDLDGKGFVSRTLSLNLEKEKPPKHGLKHSFSEKISVTGISSAGGLSNWPTSMEVNWGSDPAREASAVGDSCVMSHASKSVQGQNNVPYNHRMVYEREYIQGVLIQERLKEYEELLDRSKERKKTTVKEAARVSCVNFFESHRSYYLMLNLQLGIRYTVGKITPVPMREVRASDFGKRARIVMYFPRKGSQFTPPHYSVNFHWKDYCPMVFR >KGN46258 pep chromosome:ASM407v2:6:5274416:5276729:1 gene:Csa_6G078530 transcript:KGN46258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIISFSSASASTKHPIFTNNPSSSSSSSSSSLTLRTSFLALPLKSHNKNNLRFHFPTRPTTRRPSDGLSVCMSMEAGIGVMATKLGMMSFFEPDGKVVPVTVVGFKEGNIVTQVKTDATDGYSAVQVGYRRVRDRKLTKPELGHLEKAGAIPMRHLQEFRLESVDAFEPNQRLVFNELFKEGDLVDVSGTTIGKGFQGGIKRHNFKRGPMSHGSKSHRALGSIGAGTTPGRVYKGKKMPGRMGGTKRKIRKLKIVKIDEDLNVVMIKGAVPGKPGNLLRIAPAKIVGQNIPKS >KGN48205 pep chromosome:ASM407v2:6:21117388:21120973:-1 gene:Csa_6G448140 transcript:KGN48205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSNNYENGGVNGMKVVPNEVKLVRIIWVDASGHHRCRVIPSTRFNDVVKKNGVGLSVVCMARSSYTDVPIGKNLTGAGEIRLLPDLSTKRIVPWMEQEEMVMGDMYIRPGEAWEYCPREALRRLSSILKNEFDLEMKAGFEIEFLLLKKAVKDGKEDWVPFDSSLYCSTSSYDATAPFFHDVVDSLNSLNITVEQLHAEAAKGQFEFALGYTTCLTAADELIYTREVIKAVARKHGLLATFIPKYAMDDVGSGCHVHISLWQNGKNVLMAADKSSKHGMSTIGEEFMAGVLYHIPSILPFIAPLPNSYDRIQPNTWCGAYHCWGKDNREAPIRTASPPGIGVTSVSNFEVKSFDGLANPYLGLASILCAGLDGLRNHIQLPQPIDTNPSYMGLKFQRLPQSLSEALEALENNNTFTDLIGERLLAAIKEIRKAEVEYYTKHQDAYKQLIHHY >KGN45654 pep chromosome:ASM407v2:6:346522:346749:1 gene:Csa_6G003460 transcript:KGN45654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKYLNLQQYHKISQGDDGTFPVKEKMLRNRFSVDQSGSGRRRIKEHVLENSPATHWSGDRGEREIDAQACEHAR >KGN48486 pep chromosome:ASM407v2:6:23170520:23170765:-1 gene:Csa_6G490010 transcript:KGN48486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIKYGSHRRIQLLEEVKKEPSSVEGKLKKDEQQVRCGCALICTGVAKAGPSFG >KGN49438 pep chromosome:ASM407v2:6:28324801:28325451:1 gene:Csa_6G525240 transcript:KGN49438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSNSQSQKIYGSPCASCKFLRRKCDVDCIFAPYFPADQPQKFEVVHRIYGASNVSKILKASRYDEREETVKSLVFEAEARLEDPVHGCVAFIAGLQQRLQRLQTELAIVQQQLLSYMASQLPPNSSYMASELPPNSSYMLSELLPKWPRESSLSQQPMMEDQSSCRRVDGYGTTNYYGINTDDDKRHVVRQHPITTEQVLPATEQPPSKDSTDF >KGN45695 pep chromosome:ASM407v2:6:593477:598427:-1 gene:Csa_6G006810 transcript:KGN45695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAKKPADWIEKDPELLRSEVKRLEMRVSVLNSTLETQGKDIKELHEELQLCQDELKKEVGLRNDAMKQKTSMSQLLHLKSEELENTTVECKRLHERSMALAKELAAFKLISEVDLDEEEVLKLSSLGSGADNKDTIDILRKSLVLRNRSYKELMAKCNLLGRGEARSCKKLEKANEKINKLKVRVQELEKAIEEKDNEVLRGLKDAKKLGVQGSVCCKCNMVSAKNKSAAATDTLDSTASQINEPLSSRKKKIYDFPSDTRTSHLTTVDIAENTCSSMNKKTSECSQVENAKDSTMESQISEEGNRVSYHPQLTSCNLVRKKASERTTNSVNPMPSTTVSNIVRTAVPGDACMPLLVEDSAEPEAVLINIRRESSSHISLNKLGGMSFSGGLLGPSGSNMYLGKWCKRGQTNDSSAMQGSRSGELIAVGADGRGGQIKVLRSQNESSLSDATSAGTKRFKNGVKNVNMRIENFFSKC >KGN48008 pep chromosome:ASM407v2:6:19732132:19734380:1 gene:Csa_6G423990 transcript:KGN48008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSFDCLIFDLDDTLYPFNTGIATACKKNIEDFLIEKCGFPKTQAPILRTELFKTYGSTLAGLRALGYDIKADDYHGFVHGRLPYDRIKQDFQLRRLLLSIPQRKIIFTNSDMIHATKALSRLGLEGCFEKIICFETMNPNLPKATRPNEFPILLKPSLDAMRIALDVADVDPRRTLFLDDNIRNIAAGKSLALRTVLVGKTVKSKEADYVVETVHNLVQAIPEIWMNTDLDGDDEMMNRSRNDVDSIHMAATVGA >KGN46569 pep chromosome:ASM407v2:6:7367137:7367721:1 gene:Csa_6G109650 transcript:KGN46569 gene_biotype:protein_coding transcript_biotype:protein_coding description:proline-rich protein DC2.15 MELKFVKVLALVVLLNIIALACVSSVKPSCPPKVRPSPATTPATQAKCPKDTLKFGVCGSWLGLISEQIGAKPSKKCCSLLTGLADLEAALCLCTALKANVLGVVSLDVPIALSLVVNSCGKSIPQGFVCP >KGN47768 pep chromosome:ASM407v2:6:17903374:17904669:-1 gene:Csa_6G401390 transcript:KGN47768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVKGRAVSTLVSRLSSVSEQIRCESLSELRLMTKNDAQSRSLIVHAGALPYLSETLYSSSHLPQEDAAATLLNLSISSREALMSTHGLLDAISHVLSHHNSSSSSSAVQSCAATLHSLLVVDEYRPIIGSKRDIIYSLVDILKYRKSPQRSIKDALKALFGIALHQSNRSTMVDLGVIPPLFSLVVVGGHAGIVEDASAVVAQVAGCEESELAFRRVSGLGVLVDLLDSGTGSSLRTKENAVSALLNLAKWGGDRAAEDVKDLGSGILSEIADVAVNGSEKGKTKAVELLKMVASGGIDGKVFDDLQLNRLINPCSE >KGN47916 pep chromosome:ASM407v2:6:19050189:19051494:-1 gene:Csa_6G411200 transcript:KGN47916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFGRLTEALASKSYDKIADICDDLMLQAAAEGIAYKDEWPYAIHFLGYFYVDDINSARFLWKSIPSTIKENRPELVAIWKIGQKLWVRDHRGVYEAIHELDWCQEVQGLLAAFSGKSL >KGN47471 pep chromosome:ASM407v2:6:15381107:15389262:-1 gene:Csa_6G338030 transcript:KGN47471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPFNYANADRDIEQALIALKKGAQLLKYGRKGKPKFCPFRLSSDESSLIWISSKGERSLKLASISRIIPGQRTAVFERYLRPEKDYLSFSLIYNNGKRSLDLICKDKVEAEAWISGLKALIASGQGGRSKIDGWSDGGLYLDEGCELTSNSPSDSSHSVNRDNSSPEFFVSYNANISLKTSQPENNIAKLERAHVSLNQTNMQVKGSSSDVMRVSVSSAPSTSSHGSAPDDCDALGDVYIWGEIVGDNIVKIGAEKNSSYVTLRTDVLLPRPLESNIVLDIHHIACGVRHAALVTRQGEVFTWGEESGGRLGHGVVKDVIQPRMVESLAASSIGFVACGEFHTCAVTITGELYTWGDGTHNAGLLGHGSDVSHWIPKRVSGPLEGLQVTSVTCGPWHTALVTSMGQLFTFGDGTFGALGHGDRENISYPKEVESLSGLRTIAVACGVWHTAAVVEVIVTQSSSSISSGKLFTWGDGDKNRLGHGDKEPRLKPTCVPALIDYDFHKVACGHSITVGLTTSGQVFSMGSTVYGQLGNPSADGKIPCLVEDKLFGESVEEVSCGAYHVVVLTSKNEVYTWGKGANGRLGHGDVEDRKTPTLVEALKDRHVKYIACGSNYTAAICLHKWVSSAEQSQCSACRQAFGFTRKRHNCYNCGLVHCHSCSSRKALRAALAPNPGKLYRVCDSCYTKLMKAAEAINNNRKNAMPRLSGENKDRIDKTDMKISKSVPSNLDLIKQLDNKAAKQGKKADTFSLVRSSQAPSLLQLRDVVLSTAVDLRRTAPKPVLTASGVSSRSVSPFSRKPSPPRSATPVPTASGLSFSKSITDSLKKTNDLLNHEVLKLRSQVESLRQKCELQEQELQKSLKKTREAMALAAEESGKSKAAKEVIKLLTAQLKDMAERLPPGVYDAEKMRSLHLSNGLESNGGYHLSMNGERHSRSDSVSSYSCASPTASEAAAWQGSYGTTHSYRELSGTNDSAHQDRIDSRDSRLPNSGGAHPVSSSASVAAVGKDSESLQDGDNNSKAKTSPLVNATQVEAEWIEQYEPGVYITLVALRDGTRDLKRVRFSRRRFGEHQAENWWSENREKVYERYNVRNSDKSSVSGLTSQRADDAVSIASQQL >KGN48250 pep chromosome:ASM407v2:6:21502679:21503329:-1 gene:Csa_6G452020 transcript:KGN48250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSWVLIPYYIGLKPFINSVVNQIMVDLRRIRRLGVKKIVVTGLGPLGCLPIFTAPFSFKQCNQTINSFVQFHNFLLKQAVDKLNKQITKQQHYSSSSSSSKIFILDVYDAFLSIIQGRGSGRVGLLKFKTPLKPCCFGVSSEFECGSVDEQGNKKFVLCNDPKSAFFWDSVHPTQTGWAHAFSSFTSFL >KGN46543 pep chromosome:ASM407v2:6:7146798:7148792:1 gene:Csa_6G107920 transcript:KGN46543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQLPRPWFRLGSMTRPTTAPNPEQSRPVPARVRPPIIRPAALTDPAEPTTPQRSKSPPPFSRPASLTPPVKSSPSPLRALPSPSTGQGGGTAMASPAVIPSSPKEKSSSVVGSPKGRSTSSVVGSPKTINSKQPSPFPSPSIPKSIPSVPTPYQSPKPKTFVSPPSPLVLPPPQLQSVAETKDETIPQEVERKTVVFQKVMDKPSQAEEHHLQNITNYRTHTSEFDKNGKQESNKGDDGDRDEKETSSKKKGATIGGNNNYKRTAFDHDNNTRVITMAGENKGAFMEINLSSEKNNSRHQQQQQIQDNNTVVSVKDSNKSINKTKGIKTRNVLPMRAFFNSNVQGINNSILMDSKFSHHDPGIHLVFSSLPTSPDDEDDQQHQ >KGN48841 pep chromosome:ASM407v2:6:25382206:25382394:-1 gene:Csa_6G502775 transcript:KGN48841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRHSKIHGGRTRRKAKLGRSAKGDVTEPDRKRRRRRRLGFADETERFGCGNGDGTRSKTES >KGN49462 pep chromosome:ASM407v2:6:28525794:28526469:-1 gene:Csa_6G525480 transcript:KGN49462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPIKSSAAIVAFFSLIASIAAVSEIRPSEHGLEFQSPPPVGDKSSPEMRSFFGGIASPTPEVALPIPKTLNSSESPGWWNHHDGGNKRLRNALLVATAACGITGVTLLVGSTLFYIFKAKNKRSMPLSPNNNHK >KGN49195 pep chromosome:ASM407v2:6:27022830:27024396:-1 gene:Csa_6G517030 transcript:KGN49195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKILWNVDVSFVPLSVFCTHVTHVHCYFPYIRSKLKTPPNQFLLIKSLHSSGICSPSSLHNTIRRIRRKKKIAAD >KGN46408 pep chromosome:ASM407v2:6:6152800:6153548:1 gene:Csa_6G091320 transcript:KGN46408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSKGKFYLILSLLSVSVNYSISEDPVPTPWPPQFHSIYVTNFSGVLEITDLWYDWPNGRNFNIVQHQLGTLLYGIEWNNGTEFLYTLDSSKTCDTIQFEVGLLPPKWLDGAHYLGQRHVDGFLCNVWEKVDFIWYYEDVETKIPVYWLFYDVGAVLEDEKWQAPVYCFDSTGTAVNDVALHQNLPLMADVNNRLLHQNFPAI >KGN46513 pep chromosome:ASM407v2:6:6929342:6936302:-1 gene:Csa_6G105670 transcript:KGN46513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADYFRFDPSEYSLHTTVELQKAWYLFTILLDIGRPASLEELAARCELFSATACMVRYLCEIPDSPICLGDDALVYISIVAISAMGRYFSKASSGWDFSRRGFGVIDSNRFCGRDVKTYSRKRRRSVLDSEGPFYGKKILTSTSGIAVVGNESCIPITRRVSHNFAKVPADYVTLTSLNSLTVDLPFEKLEMGHLDVKVDEVPDSLSYADSPKFLMCHAREMRAGIAAQASKTIVKDNVGPLSENAYDHHMHEVQKRSSMPNLMHTDRGMSYNFDLSLGASCSSTNALFYDKNYNIQPYVQPLEVNKGICTRYLSNILEWKVEDEKNKICEMQNCLEYTKTTENHLVHLEGHTVIGEAERNFLDLKKEVSISSMVGDDIFRKEETHIPCSIAEQLCNEEPHVKTLGEVDGSQICTLSPEEILMESAVNKKVSQSVKQQNRNINFHKLMSKVQKFKKNSNGSVHIKENPLDPTSSSMKLEKTSFPQFESFIVEEEEGSGGYGTVYRARRKKDGKRIAIKCPHVNAHRHNVNNELKMLERFGGRNFIIKYEGSISSGNSECLILEHVEHDRPEVLKKEIDIVRLQWYGFCLFRALAYLHKQGVMHRDVKPGNFLFSRKQNKGYLIDFNLAMDLQQKYSVATVKEKKDVRGSVSLTGGETGKSKQPGEHEKNLKRRVYVPLKQYPNMRGGSAVRSQGADGSGVTSAKDVTSARTFSTEKMREPLPSQGRKELLSLVQNALRNADLATQNSSDLRRKRIAAPQAKEDNSIIHPSPMLVHCTGISVPAPRLLRTKGDAKRKREGSCAGTKGFRAPEVLFRSLHQGPQVDVWSAGVTLLYLMVGRSPFTGDPEQNIKDIAKLRGSEDLWEVAKLHDRESSFPGELFNIKSFPPMDLQSWVKAHTKRPDFVKLIPRSLFDLVDKCLTVNPRQRITADEALKHEFFIPCYERLRKQKMLRRGSSSTSANVLGEREKVLGQPFEVSR >KGN45872 pep chromosome:ASM407v2:6:1878523:1879014:1 gene:Csa_6G016910 transcript:KGN45872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKIKKLRKNKYNFLHIGIRSIGMNSDSLTLSFEDTIFINPIDVIFGLSIDPRLRNPTVAFVLIAWPHLARAWHILPIGTMLINISFPTSFGFEKAKDGEGRMSFFLPVAASHGV >KGN48033 pep chromosome:ASM407v2:6:19919807:19922480:-1 gene:Csa_6G425700 transcript:KGN48033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKIGGGNEELPKTIVRRVVKEKLSQCSRDQDISVNKDSLLAFCESARIFIHYLSATANDICKESKRQTIKAEDVLKALEDMEFPELVRPLKASLDEFRRKNAGKKAAASREKEAKKKRKVEEPTVEGTDGESIDDVGNDDNDDNDEDEDEDENRASDD >KGN46951 pep chromosome:ASM407v2:6:10809999:10811459:1 gene:Csa_6G152370 transcript:KGN46951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGIAVVLLIGLWLSTYTPGLLHWWVSQNWIPSTSVLEKNPIFFNERDIDILKTIPGFPMLSKRMLKEQRVFDTLRSDFMMAFGKWEFDPLELSNPYGGNESSVHIWQGCEDKVVPVELQRYVSSQLPWIEYHEVIDGGHLIIHYKGLFDTILRSLLLGEEAVSYRPKPLTPKFVS >KGN47099 pep chromosome:ASM407v2:6:12115256:12115912:-1 gene:Csa_6G187920 transcript:KGN47099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTLEQKVKKPNSSSNNRTGRSTFQKCRFPSPKSLKRLQFSPLCFLKHLADKVTRALHFMAMKRNRPLQKQHVPAAVPVASSKAVQVPATIDSHRTEAVEDCIKYINAST >KGN48054 pep chromosome:ASM407v2:6:20074501:20074659:1 gene:Csa_6G426890 transcript:KGN48054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEGTPRDSNLNSSVHRDEASDKGGNVDKASDGGGRRCETTMDGWRCFTRV >KGN49518 pep chromosome:ASM407v2:6:28840561:28852434:-1 gene:Csa_6G526520 transcript:KGN49518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEDESSSGKVISRNWVMKRKRRKLSSATDLSSKREDRSLAIESPRSISLAKGKVKSEGHRDQFSSKKKGNDGYFFECVVCDLGGNLLCCDSCPRTYHLQCLNPPLKRIPMGKWHCPTCNQKNDLPLDATSYLDTISKRARTKVISAKCKNGIKSSDTEKVSRIFGSSILAKKRSSNKRKSILAHKVKTFGRKSVTSNIDLSCNAKASHPLDGNTVKRTSSPVNIDDEKVCNASPSGSQTEEKLVPSVMEVLADSKADKLEPCDDVPDKNLDMVENEVVISCENASPSKNPVLAVPAAGKETRKRKKKINKDVGQKKPKTGKATCVTGTSKKLRCKIGASSPGNSKSVRKQKHVSHEKIPTSSLKEEVGTKNSDLEGKDEKLPEEDKDRLVELDKVVGHVDSMLTSENGLDGETLQVDRVLGCRVQGNSRESSYLTEIVVNDHPGDLLNPEEARETVDRSTSDDACDVGTENVVKDQENVGPSSDMEESLKNDVKVDKIQVYRRSVNKESKKGKALDMLSKGNIDCCTSTLTSENRDESSLMLEDQGRSIENSISEKNIGISLRSSNGNDVLKVCEKVGSFETNNMTEVETEVGISSSLENKVKDSLLPDTARKNAETTHYEFLVKWVGKSHIHNSWISESHLKVLAKRKLENYKAKYGTLVINICEDKWKHPQRVIALRSCKDGGQEAFIKWSGLPYDECTWEKLDEPVLKESPHLIQLFSDFEQKTIEKDSSMEPKKFGDSQFEIATLTEQPKELQGGSLFPHQLEALNWLRKCWYKSKNVILADEMGLGKTVSACAFISSLYFEFKARLPCLVLVPLSTMPNWLSEFGLWAPNLNVVEYHGGAKARAAIRQYEWHASKPNQLNKKTDSFKFNVLLTTYEMVLVDASYLRGVPWEVLVVDEGHRLKNSGSKLFSLLNTFSFQHRVLLTGTPLQNNIGEMYNLLNFLQPASFPSLSSFEEKFNDLTTAEKVEELKKLVSPHMLRRLKKDAMQNIPPKTERMVPVELSSIQAEYYRAMLTKNYQILRNIGKGVAQQSMLNIVMQLRKVCNHPYLIPGTEPESGSLDFLHEMRIKASAKLTLLHSMLKILHKEGHRVLLFSQMTKLLDILEDYLTIEFGPKTYERVDGSVSVADRQAAITRFNQDKSRFVFLLSTRSCGLGINLATADTVIIYDSDFNPHADIQAMNRAHRIGQSNRLLVYRLVVRASVEERILQLAKKKLMLDQLFVNKSGSQKEVEDILKWGTEELFSDSPITGGKDAVENSNSKDEAATDIEHKHKKRTGSLGDVYKDKCTDSGNKIVWDENAILRLLDRSNLQSDANEIAEADTENDMLGSVKSVDWNDEPAEEQGGTESPTGVTDDICAQNSERKDDNGLTGAEENEWDRLLRIRWEKYQSEEEAALGRGKRLRKAVSYREAYAPHPSETLSESGGEEEKEPEPEPEREYTPAGRALKEKYSKLRARQKERLAKRNALEESFSREGVTLHGSFPHPPCPHTNAAGPDQAAGSLETNKERTSVFVLEDDKLVHSADAPKSRIDSTLRLGRMSRHKVSNNLDLAVGPIGYLPADNCLPSQHFAGTSHANSVPINLLPVLGLCAPNAHQLETSRRNSSRSNGKQSRTVAGPDFPFKLSPCSGTISGTDIGGGEPVPDKELPASSAERLHSHLLFAQEKMTPPNFPFDEKMLPRYPIPSKNLSSARLDFLSNLSLDSRVEAVNGCLPTIPLLPNLKLPSLDIMRGNPQDEEEAPSLGLGRMLPAFSAFPENHRKVLENIMMRTGSGSANYFRRKPKGDGWSEDELDFLWIGVRRHGKGNWDAMLKDPRMKFSRYKTSEDLSSRWEEEQLKILDGSACQMPKSAKQSRLQKSSPFPSLPDGMMTRALHGSRLVAGPKFHTHLTDIKLGLGDLVPNLPRFEASDRLGLQNEQFATIPTWNHDKYHTYFPGESSAGASDRSGANSTMPIENPFMFNSLGTSHLVSLGLNGSRGFDTQGKENDEPGLDNYGKLPNLLDRSLKLFHESPSNLESGSGVLPDPSKGISVANSKEEVTDSNSSKDKLPHWLREAVNVSSKPPDPNLPPTVSAVAQSVRLLYGEDKFITIPPFVNPGPPPSLPKDPRRSLKKKRKRKSVIFRHSSADVVGSSSQQEELEGGSSHKDATVSCSISLVSPNAMHHPQPQEMAGTSTSRLPGLESDLSIPALNLNMNPPSSSLQTNQKKTNMGLSPSPEVLQLVASCVAPGSNLSSISGKLNSSILEKTLPLSTSHDPEDLLGSKGSPGKGKKQRLSFSSLDFYNQDKPDSLESDDSSKTQSDPSRSKRPDGEEISSEGTVSDRHASDQEL >KGN46541 pep chromosome:ASM407v2:6:7137128:7138598:-1 gene:Csa_6G107910 transcript:KGN46541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLILSPSSSSSSSSLHHRLRFLLHSQPLPWSYAIFWQTTTDDNGSVSLSWRDGHFQFPSQHPLSPPLLPDDPTDLDWFYMMSLTSSFPAADALPGKSFTSSSVVWLTGSEELHLHDCHRVKEAKSHGIQTFLCVPTSYGVLELASQQIIPEDWGLIQQIKSLFDSDFVNFSTTTDTPLPFLDQDFNFEDIGFISEVAEEEMETPLRKKTKTGEWELSDSDSPVLKTGVMKKTGQKRGRKPNMSKENAMNHVEAERQRREKLNNRFYALRSVVPNVSRMDKASLLSDAVSYINALKAKVEEMELQLRESKKSRDEGGDNQSTTTTSEELMKGNSGGGVTTPTITTTTTTMTRFDVEVKIIGRDAMVRVQSHNLNFPSAIVMGVFRDMEFEIQHASITNVNDIMLQDVLIKLPHGFSTDEALKAAVLSRLH >KGN48740 pep chromosome:ASM407v2:6:24846243:24848173:1 gene:Csa_6G499840 transcript:KGN48740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKAVANKPHAVCIPYPEQGHTLPLLQLAKLLHSTGLHITFVITEFYHDHIRQSHGPNVVKDLYDFQFRTIPDGLPPSERKASPDVPTLCDSTRRNFLSPFKELVAGLNSSVEVPSVTCIIADGVLSFAIKAAEELGIPEIQFWTASACSFMGYLHFDELIRREILPFKDETFLCDGILDTSVDWIPGMRNIRLRDLPSFIRTTNIDDTMFDFMGSEARNCMRSSGIIFNTFDELEHDVLEAISAKFPQIYAIGPLSITSREASETHLKPLRLSVWKEDQQCLPWLDTQAPESVVYVSFGCLTTMTDQKLREFAWGLAESKQPFMWVLRPDIVLGESAILPEDFLEETKNRGFLTSWCPQEQVLAHPSVGAFLTHCGWNSTLEGICGGVPLICWPFFADQQPNTRYACVNWGIGMELDDDVKRTDIVAILKEIMEEDKGKELRQNAVVWKKRAHKATGVGGSSYSNFNRLIKEHFHASLSDLIN >KGN45711 pep chromosome:ASM407v2:6:689354:691096:1 gene:Csa_6G007450 transcript:KGN45711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAPHVLFVALATHGHFNPGLHFANILSHGGLHVTFATSSSVFRRVPKLPSSPRLSFAFFSDGQDDGFKPGDDVVPFLSQFELQASRAIHDIILKSKASGKPITFVLYSLLTPWMANVARSFDLPTALFWNQSAAVFAIYYHFFNGYREVIQNCFSHPCININLPGLTSLNSKQLPSLCNPVNSNSFILKLFESHFQVLKQEPHLKILINSFDELEHDVFRANNMGNLIPIGPVLPIKCIEQMNNEIFLDAFRVAPISFSLHNSQDESKYHSWLNSKPRSSVVYLSFGSIAAVSKAQLEEIGRGLLDYGGEFLWVMRKMSHGNERDMLSCLDELEAKGKVVAWCSQLEVLSNPAIGCFLTHCGWNSSMESLVCGVPVVAFPQWTDQGTNAKIIEDLSKSGVKLRVNENGIVERGEIKKCLEMVMGKGDEGEGFRRNGKKWKELAKKAITKGGSSHLNIRNFIDHL >KGN49499 pep chromosome:ASM407v2:6:28693301:28697698:-1 gene:Csa_6G526330 transcript:KGN49499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLNIFRKKTSPKDALRTSKREMAVATRGIEREISSLQLEERKLVAEIKQTAKTGNEAATRILARQLVRLRQQITNLQGSRAQIRGVATHTQALYASTSISTGMKGATKAMVAMNKQMAPAKQAKVIKEFQKQSAQLDMTIEMMSESIDETLDKDEAEEETEELTNQVLDEIGVDIASQLSSAPKGRIAARKTENTVASSESPDVEDLEKRLASLRRD >KGN45778 pep chromosome:ASM407v2:6:1152013:1158333:1 gene:Csa_6G011040 transcript:KGN45778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSKQGEGGMNNQSMNESIERDEEEKGNQSPLSFKSLLWHGGSVYDAWFSCASNQVAQVLLTLPYSFSQLGMLSGIIFQVFYGIIGSWTAYLISILYVEYRSRKEKENVSFKNHVIQWFEVLDGLLGPYWKAVGLAFNCTFLLFGSVIQLIACASNIYYINDKLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLGMTTYTAWYLTIASLLHGQVEGVQHSGPTKMVLYFTGATNILYTFGGHAVTVEIMDAMWKPRKFKLIYLIATLYVFTLTIPSATAVYWAFGDQLLTHSNAFSLLPTNGWRTTAVVLMLIHQFITFGFASTPLYFVWEKVIGMHETKSMCLRALARLPVVIPIWFLAIIFPFFGPINSAVGALLVSFTVYIIPSLAHMLTFRSASARQNAVEKLPIFLPSWAAMYVVNSFIVIWVLVIGFGFGGWASMANFIKQVDTFGLFAKCYQCPPQGPAIPHH >KGN48734 pep chromosome:ASM407v2:6:24814246:24816185:-1 gene:Csa_6G499780 transcript:KGN48734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGGGYGDANQKIDYVFKVVLIGDSAVGKSQILARFARNEFSLDSKATIGVEFQTRTLVIQHKSVKAQIWDTAGQERYRAVTSAYYRGALGAMLVYDITKRQTFDHIPRWLEELRSHADKNIVIILIGNKSDLEDQRAVPTEDAKEFAEKEGLFFLETSALESTNVENAFMTVLTEIFSIMNRKNLAAGENQGNGNSASLAGGKKIIIPGPAQEIPAKSKMCCST >KGN49252 pep chromosome:ASM407v2:6:27350469:27351761:-1 gene:Csa_6G518090 transcript:KGN49252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPTLFTSILILTLFFLQIHARESKYFFSKIPNNNVDNNFDAKETQITNDEKVDPLTNPEKTTTSSQNNQQPNFIPQTQDNGYGLYGHESGQLPPNSNSKFFSQNGRENGRSFTTTTTYNDDDDNNKFKNDVVSNYKSESEEYYNYDEDNNNNNFQTFENSGSKPYKNSFYYNKDLYDNGRQSFPNARLSRDDYTTTPLYDQGKYDNFYSNNGDRNDNNNNDNGNNVVRQGMSDTRFMENGKYYYDLNREPHHYSRSSRGYFGNNNNNNGNSYQYGNSMGRYQNQNDEAEFQEEPDEFVP >KGN49464 pep chromosome:ASM407v2:6:28539773:28540963:-1 gene:Csa_6G525500 transcript:KGN49464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHPISQANENSPYGELTREEFYKKHNVTHHESFILNAQKMKIFTQSWQPDSDSKLKGVVAMVHGYTCDSGWIFELTGIAIAKLGFLVCSLDLQGHGRSEGAPGSIRDIELLVLDCTQFFDSIREQHPNLPAFLYGESLGGAISILISLKQEGVWNGIVLNGSMCGISAKFKPIWPLEKLLPIAASLAPSLRLVISKPVASKSYKEEWKRRLVARNPNRRFSGKPPMATALEFLRVCEYIKRNCHEIRVPLLMVHGEDDVVCDSWSARYVYEAAESEDKTLKVYPGMWHQLIGETKENVEVVYGTIFNWLVDRAEKADNTTTTKNNIK >KGN46525 pep chromosome:ASM407v2:6:7018086:7018759:1 gene:Csa_6G106770 transcript:KGN46525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTWQLGPRSPRPWLDSHSKLSCPELALVVRLGSKLCGDGDGDGEGDGDGDGDGDGDGDGDGDGDGDGDGDGDGDGDGDGDGDGDGDGDGDGDGDGDGDGDGDGDGDGDGDGDGDGDGDGDGDGDGDGDGDGDGDGDGDGDGDGDGDGDGDGDGDGDGDGDGDGDGDGDGDGDGDGEEMSFRHGGVMVLKLLF >KGN46751 pep chromosome:ASM407v2:6:9063393:9065160:-1 gene:Csa_6G128610 transcript:KGN46751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNFKSNLSLTTTDLDMNQDQMDTNHNEFHQCSFPRQQGNPTSLSPLPFKKTPDPENHHPIHPFHSISQHSFPFLTPPSSVSSPASTRPLFPFALEPSQFKTDPLAPMVHQPPSLLPHHNQFVAPPLASTQNGFGYPPYFMGEFASFQQQQQKQQQHHQFLQYWNESLDLNSKAGFRPQAFKLRGENARLNFPELLLNKDKEAEEEEEEASQASAPQQDVDNNNHDNDIELESNNEGVTEENRQEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEGISKTQEMVWREMAEAWLNAMPAGWGPGSPVWDDLDTTNNLLLQPQLQFVNPIQQQSFDLTSASPSSSSCPMKPFFLKDED >KGN46178 pep chromosome:ASM407v2:6:4753289:4756014:1 gene:Csa_6G067360 transcript:KGN46178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGLMQRQWVDYTKSLFLEDFLDSQFIELQKLQDEGNPDFIVEVVSLFFEDSERLLNDLTAAFDQPDVDFQKVDGHVHQLKGSSSSIGAQRVKNVCIAMRSFCEEQNIDGCLRCLQQLKQETCLVKNKLENLFRMEQQIVAAGGSIPSTELIF >KGN47214 pep chromosome:ASM407v2:6:12886355:12887043:1 gene:Csa_6G211860 transcript:KGN47214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPICTSCLDAYMMYLHTIMVQGQSLNLFKFMDVGSESYSSYKQSRAQLLNARLLGAEYDQVILFPYNSGNHWTLVVVNPTKGAAYWIDPLKNRIDGDMSEVLQMSFDISKKKKPS >KGN49040 pep chromosome:ASM407v2:6:26338871:26341932:1 gene:Csa_6G511070 transcript:KGN49040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIPSKNVWIRRQQCPCGDWKCYVSYDGEGEETSVAAQLVKSERVSSEAMVAPYVGMVFKSDNDAFEYYGNFARKNGFSIRKERSRLSPQLGVYKRDFVCYRSGFAPAKKKPIGEHHRDRKSVRCGCDAKMYLSKEVSEGVAQWFVVQFSNVHNHELLEDDQVRLLPAYRKIHEADQERILLLSKAGFPIHRIVKVLELEKGIHGGQLPFLERDVRNFVQNRKKIVQEHDAMLNEKREIDTMELLEACKATKESDEEFVYDFTVDANDKVEHVAWSYGDSVNAYGMFGDVVYFDTTYYSITYGLLLGVWLGIDNHGRTIFFGCVLLQDETSRSFAWALQTFIRFMRGAFPQTILTDLDPGLRDAIRSELPGTKHIISRWNILSKVSSWFSLPLGSRYAEFKSEFDLLYSVESSEDFEIRWNQMVAMFGLVSDKHVDLLFSFREYWVPSYIRGYLLAQMATPTYFKAVDTFLKGIFSAQMCLRSFFEQVGISANFQSHEHQVMQYLQVKTNIPIEEHAQSILTPFAFNALQHELVLAMQYAASEMADGSYLIHHFKKMDGERLVMWIADSEQIHCSCKEFESSGLLCRHALRIFIIKNYFQLPDKYYLSRWRRESSLGLGDGHGIESNDGDWFHEYQRLTEALFAESSITKERSEHVRRELMKEITRLLNDIRRMPESEGIAAMDLTESLNG >KGN46486 pep chromosome:ASM407v2:6:6742747:6743452:-1 gene:Csa_6G102480 transcript:KGN46486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKMMGVLLICIVIMAVLEFSIVNGEEKEDKYESKFDARYKSCYESCEKECLTNGKNGQSYCEVKCDEDCDEKEVADKLHVEVN >KGN49502 pep chromosome:ASM407v2:6:28738743:28739313:-1 gene:Csa_6G526360 transcript:KGN49502 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polymorphic antigen MGIWELLKGRRLGKWLSPNAYTPKYPSASQGMRNEKREEQNLFLPLSPPPIASSLSPPLPSLFVSSDLGHRFQAFVPPPSSLLPVAGICRRGL >KGN45892 pep chromosome:ASM407v2:6:2037675:2039717:1 gene:Csa_6G017080 transcript:KGN45892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHARHLFDHFPDPKVELWNAISRGYFHNAFYREVVFLFGKMKSMDVRPNCFTFPLVLKSCAKIGAFVEGEEIHCEVIKGGLEGNQFVATTLIDVYSGGRAIGSAYKLFVGMLERNIVAWTSMISGYILCNRVALARRLFDLAPERDVVLWNIMVSGYIEIGDMKAARKLFDTMPYRDTMSWNTMLNGYANNGDVEACEQLFEEMPERNVFSWNGLIGGYAHNGCFFEVLRCFKRMLIDGLVVPNDATLVTVLSACARLGALDLGKWVHVYAATIGFKGSIYVGNALIDMYSKCGLIENAMEVFESMDLKDLITWNSMICGLATHGCGADALTLFHQMKINGEKPDGITFIGVLCSCTHLGLVEEGTSYFNSMVNEYSIAPQIEHYGCMVDLFGRAGLLDRAIEFVKRMPMEADAVIWAALLGACRIYKNIDLAELALQKLIVLEPKNPANYVLLSNIYGDLGRWKDVARLKILMRDTGSKKLPGCSLIEVNDSVVEFYSLDERHSQSKEIYGVLKGLMKLLRSFGYEPNMMELLQGS >KGN47202 pep chromosome:ASM407v2:6:12744729:12752443:-1 gene:Csa_6G199780 transcript:KGN47202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPSKRQASSDEAMSNGTSSSEEEEQTNDQINEEEDEEELEAVARSAGSDEDEAADDSDNDGSPVENGEEEDGSNDGQDDKTDIGRREKARLREMQQMKKQKIQDMLDAQNAAIDADMNNKGKGRLKYLLQQTEIFAHFAKGEHSSSQKKTKGRGRHASKLTEEEEDEEYLKEEEDGLSGTGNTRLLSQPSCIQGKMRDYQLAGLNWLIRLYENGINGILADEMGLGKTLQTISLLGYLHEYRGITGPHMVVAPKSTLGNWMNEIRRFCPVLRAVKFLGNPDERRDIRENLLVAGKFDVCVTSFEMAIKEKSCLRRFSWRYIIIDEAHRIKNENSLLSKTMRLYNTNYRLLITGTPLQNNLHELWSLLNFLLPEIFSSAETFDEWFQISGENDQQEVVQQLHKVLRPFLLRRLKSDVEKGLPPKKETILKVGMSQMQKQYYRALLQKDLEVVNAGGERKRLLNIAMQLRKCCNHPYLFQGAEPGPPYTTGDHLITSAGKMVLLDKLLPKLKERDSRVLIFSQMTRLLDILEDYLMFRGYYYCRIDGNTGGEDRDASIDAFNKPGSEKFVFLLSTRAGGLGINLATADVVILYDSDWNPQVDLQAQDRAHRIGQKKEVQVFRFCTEYTIEEKVIERAYKKLALDALVIQQGRLAEQKTVNKDELLQMVRFGAEMVFSSKDSTITEEDIDRIIAKGEAATAELDAKMKKFTEDAIKFKMDETAELYDFDDEKDENKFDFKKIVSENWIEPPKRERKRNYSESEYFKQTMRQGGPTKPKEPRIPRMPQLHDFQFFNTQRLSELYEKEVRYLMQTHQKNQLKDTIDVEEPEEVGDPLTAEELEEKERLLEEGFSSWSRRDFNTFIRACEKYGRNDIKSIASEMEGKTEEEVERYAKVFKERYKELNDYDRIIKNIERGEARISRKDEIMKAIGKKLDRYKNPWLELKIQYGQNKGKLYNEECDRFMICMVHKLGYGNWDELKAAFRTSPLFRFDWFIKSRTTQELARRCDTLIRLVEKENQEHDERERQARKEKKLAKSMTPSKRSLARQTESPTNIKKRKQLSMDDYVNSGKRRK >KGN49212 pep chromosome:ASM407v2:6:27124030:27131913:1 gene:Csa_6G517200 transcript:KGN49212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKSVVYHGDELLGDVEIYPEEKNGYKNIEVKEIRITHFSQPSERCPPLAVLHTIAASGICFKMESKTSQSQDTPLNLLHSSCIMENKTAIMMFGVEELHLVAMFSRDLDKQYPCFWGFNVAMGLYNSCLDMLNLRCLGIVFDLDETLVVANTMRSFEDRIEALQRKISSEVDPQRANGMLAEVKRYQDDKIILKQYAENDQVIENGKVIKSQSEVVPALSDNHQPVVRPLIRLHEKNIILTRINPQIRDTSVLVRLRPAWEDLRSYLTARGRKRFEVYVCTMAERDYALEMWRLLDPDSNLINPKELLDRIVCVKSGSRKSLFNVFQDGFCHPKMALVIDDRLKVWDEKDQPRVHVVPAFAPYYAPNAEGNNAIPVLCVARNVACNVRGGFFKEFDDILLQKISDISYEDDVNDIPSPPDVSNYLVSEDEYSIANGNKDMPTFDGMPDMEVDRRMKDAFLASSTINSADPRVSSLQYTMASASCSVPLPPKQVTMPYFPNMPLPHVNSVAHVAPNEPSLQSSPAREEGEVPESELDPDTRRRLLILQHGQDTRERLSSEPAFPARPPPLQQVAAPRAQSRGNWSPMEEEMSPRQLNRSARKDFPVDAEPMPMREKHRSNHPSFFAKVDNSILPDRIPHDNQRLPKEAFYRDDRMRVSRRPSSYPAFSGEEIPMNQSSSRSRDDDIESGRSIWSETPVGALQEIAMKFGTKVEFKPGLVPSTDLQFSVEAWFVGEKIGEGIGHTRRDAQRQAAEGSIKNLANIYVSRCKADPSSANDMNKFPSDNGSGKRMKLDFHRHLPKTK >KGN46646 pep chromosome:ASM407v2:6:8093346:8098028:-1 gene:Csa_6G117770 transcript:KGN46646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYRRIKDQEKDGNGDGSGVGVAVADDIESLRAGKPISGSNVAKLGANSFDRSKWQQKSIVTLALTVLTSSQAILIVWSKRAGKYEYSVTTANFMVETLKCVLSLAALSRIWGSEGVTEDNRLSTTYDEVIVYPIPAALYLVKNLLQYYIFAYVDAPGYQILKNFNIISTGILYRIILKKKLSEIQWAAFILLCAGCTTAQLNSNSDHVLQTPFQGWVMAIIMALLSGFAGVYTEAIIKKRPSRNVNVQNFWLYVFGMAFNAIAMVIQDFDAIANKGFFHGYSFITVLMILNHALSGIAVSMVLKYADNIVKVYSTSVAMLLTAVVSVFLFGFHLSLAFFLGSTVVSVAVYLHSIGKLQR >KGN48628 pep chromosome:ASM407v2:6:24115745:24120633:1 gene:Csa_6G495820 transcript:KGN48628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWLARSIANSLKLDDDDEDEPNAVPNPKSPLKSDSLSNQYHRTESPSSPSSSSSTPTARGVKEDLSELKNTLTRQFWGVASFLAPPPEHSASHSQLSDLKPNEPIDKSTTDNSSNSKLSEEDLIAGIRSDFAEISGKFKTGISKLSNTKAVSDITKIASNFLQFGSEDSLENYDVGNAVGVTEEVLLFVRNIVQHPETWLDFPLPYDEDSDDLELSDAQQEHALAVEHFVPRLAALRIELCPQYMSEDCFWKIYFVLLHPRLSKHDAELLSTSQVLEARAQFHELQQLTKEKIEPQISRNISSSSKGSSDSSNEELLSVPHRDQCEPPIVQNSPDRTAPSSLVTDVETDKHPIKNVEIQVVDSPIIEEMPLQTGVEHSHSGPSKVFDDIDVDDADDWLKEETLEIDGDSGTNIPIGNDEDVSFSDLEDDDQEVPAYHKKGTSGSDSSTKDSRDWVQLSRTSGDSDKEISTLEIKHAGSGHVGTRKESSDWLNVDDIDSM >KGN49059 pep chromosome:ASM407v2:6:26421592:26422380:1 gene:Csa_6G511750 transcript:KGN49059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKIQRPACDEYMPSQHKGRYNLYNLYTLKVIKRKRKIKLNIRIRIPTVFIQEIDLRCFFILKLFLYCSSFLLHFLRLPFHPFHIHHKPHQVSLYSIFSTSFFLLGNFLLRVLLRIYRE >KGN49277 pep chromosome:ASM407v2:6:27481172:27483957:-1 gene:Csa_6G518320 transcript:KGN49277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMRLEKLSLLITLMGLSFCPSLMEGKKENSNDFIGEVNVKQLRKLAWKYNVTSLLVFGDSSVDPGNNNFLSTTMKSNFPPYGKDFFNARPTGRFCDGRLATDFIAEALGFGETVPAFLDRTLKPIELLHGVSFASASSGYDDLTANYSNVLSLPKQLEYLMHYKLHLKRQVGGEKAEKIIKNAIVVISMGTNDFLENYFLEPLRPKQFSLDQYQNFLVSSMYRNVQVMHRLGVRRLVVVGVPPLGCMPVVRTITNQNTTCSEVFNQAAYAFNAKMKLKLAGIKASLGMLTSFVDAYAIVQAAVHNPTAYGLRETAKGCCGTGLVEYGETCKGSPTCSDPENYLFWDAVHPSEKMYKILAAQAIRSVQQNILS >KGN46180 pep chromosome:ASM407v2:6:4760592:4765920:-1 gene:Csa_6G067380 transcript:KGN46180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIEQHKSFENGDSNGLQALEESLLVSHSSDCEGCEKAVKRSESSLWMVFICTLVAVCGSFEFGSCVGYSAPTQSVIREELHLSLPQYSMFGSILTIGAMVGAVTSGPIADFIGRKGAMRVSATFCITGWLAVYLSTGALSLDSGRVLTGYGIGVFSYVVPVFIAEIAPKNLRGGLTTLNQLMIVTGASISFLLGTVITWRNLALTGIIPCVFLIVGLWFVPESPRWLAKVGNERGFLVALQRLRGKDADISDEATEIREYNETLQSLPKAKLLDLFQSKYIRPVIIGVGLMVFQQFGGINGIGFYVSETFALAGPSSRKSGTISYACLQIPITIVGAMLMDKSGRKPLIMVSAGGTFLGCFLAGVSFFLKSHGLLLEWIPILTIFGVLTYIAFFSIGMGAVPWVIMSEIFPIHVKGAAGSLVVLVNWLGAWAVSYTFNFLMSWSPSGQFVLAKPLDF >KGN47490 pep chromosome:ASM407v2:6:15584105:15591725:1 gene:Csa_6G343700 transcript:KGN47490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTSGPPTPMGGGAQSVSPSLLRSNSGLLGVQGGMLPSQAAFSSLVSPRNQFNNMNMLGNMSNVSSLLNQSFGNGAPNSGLPCPGNNHPGAEPDPLSAVGNGMSFNNPSSSFVASNMANPVSSVQGQNPQFSNLSSNQLLSDQQQSQQLEPQNFQHSQQSMEQFSALQSNQQPQFQAIRGLPGVGPVKLEPQVTSNDQHGQQQQQQQHLQTLRNLGSVKLESQRLQSMRGLAPVKMEPQQSDQSLFQQQQQQQQQQQQQQHQHPHPHQQQQQSQQFLHMSRQSSQVAAAQINLMHQQRILQLQQHQQLLKSMPPQRPQLQQHYQQQNLSLRSPVKPGYEPGMCARRLTHYMYHQQHRPEDNNIDFWRKFVNEYFAPHAKKKWCVSMYGSGRQTTGVFPQDVWHCEICNRKPGRGFEATAEVLPRLFKIKYESGTMEELLYLDMPREYHNASGQIVLDYAKAIQESVFEQLRVVRDGQLRIVFSPDLKICSWEFCARRHEELIPRRLLIPQVSHLGAAAQKFQSAIQNTSSNLSTPELQNNCNMFVASARQLAKALEVPLVNDLGYTKRYVRCLQISEVVNSMKDLIDYSKETGIGPMDSLAKFPRRTSSSSGVTNQAPISDEQQQQQSSIAQRSNNNQSSVQASAVQQLTASNGVSSVNNTANQPSTSNSASTIAGLLHQNSMNSRQQNSMPNASNSYGGSSVQIPSPGSSSTVPPTQPNPSTFQPPTPSSSNSLSQPSHAVAKNPNQMSAANSPANISMQQQPALSGDADPSETQSSVQKILQEMMMNNQMNGPNSLVGVGSVVNDMKNMNGVLPTSSTGLNNGNCIGGNGAANGGSGMGGGGYGSMGSGLGQPVMVNGMRTAMGNNTIMNRRIGMASLALEQSMNGQPQDMGNQLLGGLGAVNGYSNLQFDWKPSP >KGN47802 pep chromosome:ASM407v2:6:18172021:18176011:1 gene:Csa_6G404170 transcript:KGN47802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDDQNPASTAAESPETLPGGENEELDNPAEPTQPAATSVIPPSIVPAIAPIPPPIIRPLAPLPSRPPLFRPPVTQNGELRTSDSDSEHDELAPSRTAPGSTAEYEISEESRQARERHEKAMQEFLMKRRASALAVPTNDMAVRARLRRLGEPITLFGEREMERRDRLRSIMARLDAEGQLEKLMKVHEEEEAAATGGTEEAEEEVLQYPFYTEGSKALLDARIDIAKYSILRASSRLERAKRKRDDPDEDVEAEMDWALRQAESLVLDCSEIGDDRPLSGCSFSSDGKFLATSSLSGVAKLWSMPQVRKVSNFKGHTERVTDVMFSPVNECLATASADRTARLWSAEGSLLKTFEGHLDRLARIAFHPSGKYLGTTSFDKTWRLWDVETGVELLLQEGHSRSVYGIAFHHDGSLVSSCGLDALARVWDLRTGRSVLALEGHVKPVLGVSFSPNGYHLATGGEDNTCRIWDLRKKKSLYIIPAHSNLVSQVKYEPQEGYFLVTASFDMTAKIWSARDFKPVKTLSGHEAKVTSLDIISDGQCIATVSHDRTIKLWSVNSKDIQTMDVD >KGN47919 pep chromosome:ASM407v2:6:19068401:19074653:-1 gene:Csa_6G411230 transcript:KGN47919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSLKEISLEKQPSSRVVMERQKSIRGFMEKQKSFRMVMERQLSFMGGERKKTKESPGKRGDSPLHLAARAGNVVRVKEILQNSNDKNESNSLLSKQNLEGETPLYAAAENGHDFVVAEMLKYLDLETSFMAARNGYDAFHVAAKHGHLKVLQELLDVHPNLAMTTDSVNSTALHTAAMQGHIDVVNLLLETDSELSKIARNNGKTVLHSAARMGHVEVVKLLVSKDPTLGFRTDKKGQTPLHMAVKGQNDSIVMELLSPDPSVLTLEDNKGNTALHIAVLKRRTENVRRLLSVNGININAINKNGETPLDIAEKFGSSELVNILKEAGAVISKDQGKPPSAAKQLKQTVSDIKHDVESQLQQTRQTGFRVQRIAKRLKKLHISGLNNAINSATVVAVLIATVAFAAIFTVPGQFVEQKSNDETLGQAHIATNAAFIIFMVSDSMALFISLAVVVVQTSVVVIEQKAKRQLVFVINKLMWLACLFISVAFISITYVVVGSNHKWLAICATVIGSTIMLTTIGSMCYCVVQHRMEESKMRSIKRGESRSRSYSMSVVASESELLNNEYKRMYAL >KGN47882 pep chromosome:ASM407v2:6:18826307:18826614:-1 gene:Csa_6G409390 transcript:KGN47882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVVAFLSFIGDVVIITDSLLLRDDISQLKTRLARLIDSKFVPVAAACSISACWCLASTKRLGHC >KGN48000 pep chromosome:ASM407v2:6:19654605:19659083:-1 gene:Csa_6G423420 transcript:KGN48000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTDHRKKNSAELDFFSEYGDANRFKVREVIGKGSYGVVCSAVDTLTNEKVAIKKIHDIFEHVSDAARILREIKLLRLLRHPDIVEIKHIMLPPSRRGFKDIFVVFELMESDLHQVIKANDDLTKEHYQFFLYQLLRALKFIHTANVYHRDLKPKNILANANCKLKICDFGLARVAFSDTPTTIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEVLTGKPLFPGKNIVHQLDLMTDLLGTPSLDTISRVRNEKARRYLTSMRKKQPIPFSQKFPNADPLALQLLQRLLAFDPKDRPTAEEALADPYFKGLAKVEREPSCQPISKVEFEFERRKVTKDDIRELIFLEILEYHPQLLKDYLNGTERSNFLYPSALDQFKKQFAHLEDNGGKSGPVYPLERKHASLPRSSVQSNTIPPKVTSNIVSFKDRYAPTAPFGSQLYKDSAAQRIAAAQAKPGRISGPVVPYDSGSIIKDAYDPRMLIRSAFPSHAIHPTYYYQQSCGQNEERSATGAEKDTSMQCKQSPQCGMAAKLAGDTAAATGAFSNSFFMARVGMPKMGNNDRAAHLQVRAQYDAGAVAAATTTTHRNTGVVDYGMTRMC >KGN49141 pep chromosome:ASM407v2:6:26801278:26802024:1 gene:Csa_6G515500 transcript:KGN49141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLFEVDETQGNTSRIVGTYGYMAPEYLMHGQFSIKSDIFSFGVLILEIVSGKKNSCFRNGEKIEDLSSFAWKNWKAGTSKNVIDSSLSVGSNVEMLKCIHIGLLCVQENAADRPTMATIVLMLSSMSLSLPVPSEPAFFMHSNFDESTTQSKTNQWLEMNEKANDHSESIPLQSLADSSIRDFSPR >KGN47270 pep chromosome:ASM407v2:6:13603930:13604966:-1 gene:Csa_6G239690 transcript:KGN47270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLKGGKLFSIANNNGIWLAKCTGIEPCTVVMDLEGNDGRERGEIVELFGDFASKV >KGN47795 pep chromosome:ASM407v2:6:18123150:18126657:1 gene:Csa_6G403610 transcript:KGN47795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRKCQVCNEAPSKYKCPLCLAPYCSLVCFKKHKEVPCAIKPVSEGDQSTACNGTFVDRPICVGDQNEVLEKSQLEAIASSSEIHNILNDEKLQKFILAIDSSPDPETEVDKAMEDEAFRIFSSKISSIINS >KGN46963 pep chromosome:ASM407v2:6:10858145:10859221:-1 gene:Csa_6G153465 transcript:KGN46963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMFSTLFQVILIISIGRVNGCICNQNIGVYELKKGNFSVKFTNYGASIISIVVPDKYGNLDDIVLGFDTTQDYVRRRGNFGAIVGRVANRISNAQFILNGTLYRLEPNDGNNTIHGGSRGFSHVIWEVNTKSTQDPNPQIHFTYRSFNGEEGFPGDLIATVSYYLLSETQLAVEMKAIALDQPTPVNLAQHAYWNLGGHNSEDILSHQIQIFGSRITPVDTHMIPTGEIMPVQGTPYDFLTPKIIGDNMKKLPNGFDIYYVLDGLKGRENRKAATLRERKSGRVLELWTNADGLQFYTGNWLRNLTGKGGFVYEAHAGLCMETQGFPDAVNHPNFPSHIVTKESPYEHSMLFKFSTE >KGN46253 pep chromosome:ASM407v2:6:5232358:5234867:-1 gene:Csa_6G077990 transcript:KGN46253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSMRLLSTYPRTSKLQSPNYPRTRVSVPGLVACFYDTYVLHGHLHHQCCSFYVTKPSVLKNFKKMALSIRGSVEGNPFDPPSSNSNGRTRLIRIIQAIQTKLNARINELRKNLPLKILFFLVGFYSATAFATVIGQTGDWDILSAALAVVVVEGIGALMYRASLPLLNKIKDLITMFNYWKAGLSMGLFLDSFKFEMDDIFGLHNLFHLYLHNVIPIIY >KGN47475 pep chromosome:ASM407v2:6:15422719:15423156:-1 gene:Csa_6G338070 transcript:KGN47475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYPGREGRKQVLQISKDSQTIKKPPLAPVIRYKYEMDPKIINVKTSVDFTYIVQLLTGDPNHPPPIYPCNCHQRRLHLSPPPNDFTPPPALPLYSLLNPPSLPPPSAPNFKVPPSPFRTLNTEIPPRFNSTPQFYPPNHFPPNN >KGN46823 pep chromosome:ASM407v2:6:9874737:9876089:-1 gene:Csa_6G139230 transcript:KGN46823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMGYGSPLTAFNANSTAVETAALFPPYNSTLPMDSFPKATAVLPTSTGVVMKSDSGITYNLPIPARKRPRDHHHHHSSTSTLNRFVSYPSSQLHNNSQKNCGCNLYFLGEDISIQIQQQQMDLDLLISQHMEKVRMEVEEKRKREARRIMEVIEVGMMRVLRSKEEEIEKMGKLNWELEERVNCLSMENQIWRDVAETNEATANALRRNLEEVLLLQETKTTVVVVEEEVAESCCEGGGGGAEEEDDERRMRKKKRKQEEENEEEEEAEEEERRCKKCGKEESCVLLLPCRHLCLCTVCASSLHNCPICNSTNNASVRVILP >KGN46273 pep chromosome:ASM407v2:6:5360075:5361249:1 gene:Csa_6G079180 transcript:KGN46273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLNLGSASHFVDQLQQQRPDLHLDSPPSSDHVNHFNGSGGSGGSGDVMVRRPRGRPAGSKNKPKPPVIITRESANTLRAHILEVGGGCDVFEAVAGYARRRQRGICVLSGSGIVNNVSLRQPAAAGSVLTLQGRFEILSLSGSFLPPPAPPGATSLTIFLAGGQGQVVGGNVVGALIASGPVIVIASSFSNVAYERLPLDEEEMPMQAGGGDGDGGEGGGEGHNNPFPDASSGLPFLNLPMNMPNQNQFFG >KGN49403 pep chromosome:ASM407v2:6:28110414:28112233:1 gene:Csa_6G523440 transcript:KGN49403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTASPSPLLDHLGFLNSTKSNFPNFNINNLKPITSQNSIIHSALHSPSVLHFPNQTTTSTTTTSTTPNFHKSLSLKHQKSPTAPNWNLLQKTASMALDMVESALVSHELQHPLPKTADPRVQISGNFAPVPEQAVKHYLPVAGTIPDCINGVYLRNGANPLFQPTAGHHLFDGDGMVHAVSINHGSASYACRFTQTQRLVQERRLGRPVFPKAIGELHGHSGIARLLLFYARGVFGLVDHKKGTGVANAGLVYFNDRLLAMSEDDLPYHVRITPSGDLQTVGRYDFDKQLHSTMIAHPKVDPISKELYALSYDVVRKPYLKYFRFSPNGIKSKDVEIPLETPTMMHDFAITENFVVIPDQQVVFKLQEMVKGGSPVIYDKNKKSRFGILPKNATDSKDLIWVESPDTFCFHLWNAWEEPETEEVVVIGSCMTPPDSIFNECEENLKSVLSEIRLNLRTGKSTRRAIIKEEKEQVNLEAGMVNKNRVGRKSRYAYLAIAEPWPKVSGFAKVDLVTGEVKKHIYGGRKFGGEPFFLPKEENSKEEDEGYILAFVHDERTWKSEVQIVNAKDLKVEATIKLPSRVPYGFHGTFVQSNDLQNQA >KGN47443 pep chromosome:ASM407v2:6:15132664:15135533:1 gene:Csa_6G324870 transcript:KGN47443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTLTLFSPSSSSFHSSLKSPNLFLLRNGSRSFSDFPNVCSSFPTLNLSTPFSRKSIILAAKKPNAQPNKDDAHRSLPKPDESIGFFPEAVLLKEKKVAEDGQFLPEFADAEEEKLYEYLNLQLESESKVEQMRHYEIVFLIHEKNAEEVESVIEKVQGFLREKKGKLWRLSDWGMRRLAYKIKKAKYAHYILMNFELEAKWINEFKSMLDMDERVIRHLVIKRDTAITEDCPPPPEFHTLRAGIDDDDDNEEDDMDDYNSDDEMEWDDEAELDDYDEGLDDGIIIVDSDNDTDDNSISTTSKSTAAGNRGLRNRTKK >KGN46909 pep chromosome:ASM407v2:6:10507940:10522699:1 gene:Csa_6G150480 transcript:KGN46909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSGMRGLAVFISDIRNCQNKEQERLRVDKELGNVRTRFKNEKGLTPYEKKKYVWKMLYIYMLGYDVDFGHMEAVSLISAPKYPEKQVGYIVTSCLLNENHDFLRLAINTVRNDIIGRNETFQCLALTMVGNIGGREFAESLAPDVQKLLISSSCRPLVRKKAALCLLRLYRKNPDVVNIDGWADRMAQLLDERDLGVLTSSMSLLVALVSNNHDSYWSCLPKCVKILERLARNQDIPQEYTYYGIPSPWLQVKTMRALQYFPTIEDPNTRRSLFEVLQRILMGTDVVKNVNKNNASHAVLFEALALVMHLDAEKEMMSQCVALLGKFIAVREPNIRYLGLENMTRMLMVTDVQDIIKRHQAQIITSLKDPDISIRRRALDLLYGMCDVSNAKDIVEELLQYLSTAEFAMREELSLKAAILAEKFAPDLSWYVDVILQLIDKAGDFVSDDIWFRVVQFVTNNEDLQSYAAIKAREYLDKPAIHETMVKVSAYLLGEFGHLLARRPGYSAKELFRIIHEKLPTVSTSSIPILLSTYAKILMHTQPPDQELQNQIWGIFNKYESCIDVEIQQRAVEYLALSKKGEALMDILAEMPKFPERQSALIKKAEDTEADTAEQSAIKLRAQQQSSNALVLTDQRPVNGTPTSSQLTLVKVPNMSSYPDSTDHELSQTNGTLSKVDSSPPEPDLLGDLLGPLAIEGPPSAVAQAPQSVMSNVDGVPNAVESGAIVPVGEQTNSVQPIGNISERFHALCMKDSGVLYEDPYIQIGIKAEWRAHLGRLVLFLGNKNISPLAFVKAIILSPSNLKMELSLVPDTIPPRAQVQCPLEVINVHPSRDVAVLDFSYKFGNDLVNVKLRLPAVFNKFLQPISVSAEEFFPQWRSLSGPPLKLQEVVRGVKPLLLLEMANLFNSLRLMVCPGLDPNPNNLVASTTFYSESTQAMLCLVRIETDPADRTQLRMTVERVYQGTVDKHTYASFNNSAINRHTTGSTTRSSCYTITNRSWGNVSRFAVGQRNDSSADFIQYTFVNMIIQPAYPYSGDTKANEGHHDARACGVLA >KGN49039 pep chromosome:ASM407v2:6:26331623:26335368:-1 gene:Csa_6G511060 transcript:KGN49039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNYYEEHVGREEACVVHPDPVVLELNRLHNLLSEKNRELGTARCEIKALKATEAQKDKALEELKSEVDKLDDKLRATENLLEHKNLEIKKLTTEKKDAVAAQYAAEATLRRVYANQKDDDSLTLASVVAPLEAEIKIYKNEVALLQEDKKALERLTKSKEAALLEAEKILRSALERALIVEEVQNHNFELKRQIEICQEENRILEKTNRQKVIEVERLGQTIEDLEEAILAGGATANMVRDYKRQIEELHEEKRTLERELARAKVSAHRVATVVANEWKDENDKVMPVKQWLEERKLLQAELQRVKEKLAISERTAKAEAQLKEKFKLRLKTLEEGLKQIQISSLNSNAICGSPKAERSNNILGFLTSNGRKRSTSLSRPSTITKNSNILVQTADYEKATAPELSRSNSLKKKYASARENVIKKSMWATGRSRVVDIDEKEGNEMRGNKENRNATITDSKETNDGEELDNKEPINLENEDTVSGFLYDKIQKEVINLRKLCEVKDGNLNAKDEEIKMLMKKVDALTKAMEVESKKMKREAAVKEKEAAAAAGKSEENKNTRTLYAKSRRLST >KGN45607 pep chromosome:ASM407v2:6:40882:41290:-1 gene:Csa_6G000070 transcript:KGN45607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILTPEDKIHKPKLVFEKVVLFSLLVFSEGRDEMVEMFRQRIAQAMALAGGLLGFHPKLLISYLFVMEV >KGN47765 pep chromosome:ASM407v2:6:17887089:17889707:-1 gene:Csa_6G401360 transcript:KGN47765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVPLGFNNVFKYRTSISPLSHCPNKIFEFLLCCSQNCCILKNTRTFNYGSEKTPLSNPGSDPKPSPSFFRNMGSVSKHVMFLEKWNSSFNGVYGLTSVVGYRWIHSTKSVEPLEKSEGKSAIGFTDGSGIEEEEKKEKKTRKKLKGKRAVVRWLKLFRWKKKKEYERMTSEEKILFKMNKARKKEKRLVEALEKIEPADSSDTTHDPEILTPEEHFYFLKMGIKGKNYVPVGRRGIYQGVILNMHLHWKKHQTVKVVVKTFSPEEVKEIAAELARLTGGLVLDIHEEDTIIMYRGKNYSQPPTEIMSPRVSLSRKKALDKSKYRDGLRAVRKHIPKLEQELRFLQSQAKLNCKSNGESVEHMQETVDDTNKSKAISSLNLENLDDSSNAMRSVSKDWSDDSSPIDTGMSSDSEDLSDMFETDIDSEADEKMEKPLFLKEFENFAAETEDEIEDLSDQLRQISMDSKQAKILENDVNSPEFDEVDRLFLRSASLLKKKRR >KGN45949 pep chromosome:ASM407v2:6:2603385:2604980:-1 gene:Csa_6G032470 transcript:KGN45949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKLDALLGRNFRASKFRPLLNLSLSRLSILTAQRRVGCSQANSDVLQLLQLSHHHRALLRVEKVIKDQNALDAYVLIEGYLNLLLERTTLLEQQSECPEELKEAVAGLLFAASRCGDFPELHEIKSVLTTRFGKEFTARAVELRNNCGVNLSLMQKLSTRQPTLETRMDALKSIASENGIVLQIDQLPSSKQEKVGRNGRQSEAEGQSEEFSNEVASGSKTTYKDVADAAQAAFESAAQAAAAARAAMELSRSHEGPSSPSKPGSGTTSDNKQKKEKREVESKVKQEMEEYGNGRKGEGEGEEEEGDGMDEERTSNGLKMETKVEKTEVSEKGSFRLNLEKKPISVRTRRVGGY >KGN46255 pep chromosome:ASM407v2:6:5241072:5252788:1 gene:Csa_6G078500 transcript:KGN46255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATTEAAPKKEEVDGTTSYDDDDEYESKERVIQKYFLQEWKLVKSILDDIVSNGRVSDISSVHKIRSIMDKYQEQGQLVEPYLEIIVSPLMSLVCSKATALGVESDKLLEVIKPICIIIYTLVTVCGYKAVIRFFPHQVSDLELAVSLLERCQNTNSVTSSRQESTGEMEAQCVILLWLSILVLVPFDISSVDSSLSNTNDLAEFEPAPLVLRIISFCKDYLSSAGPMRTMAGLLLARLLTRPDMPKAFISFTEWTHEALSTTTNDIMNHFRLLGAAGALASIFKTGDRKLLLDVVPQVWNDTSMLIKSNTAVRSPLLRKYLLKLTQRIGLTCLPHRAASWHYVSRTSSLGDNISATMSVGTHKCSPINAVELSNVCQGSTSLEDEDMEVPEIIEEIIEMLLTGLKDTDTVVRWSAAKGLGRVTSRLTSTLSEEVLLSILELFSPGEGDGSWHGGCLALAELARRGLLLPCSLPQVVPIVVKALHYDIRRGPHSVGSHVRDAAAYVCWAFGRAYHHTDMREILKQLAPHLLTVACYDREVNCRRAAAAAFQENVGRQGNYPHGIDIVNSADYFSLASRVTSYLKVAVCIVQYEGYLLPFIDELLCNKICHWDKGLRELAADALSALVKYDPEYFASYAVEKLIPCTLSSDLCMRHGATLAVGEVVLSLHQCGHILPSDIQKRVAGIVPAIEKARLYRGKGGEIMRAAVSRFIECISLSHLPLLEKTKRMLLDALNENLRHPNSQIQNAAVKSLKPFVPAYLVAADTGKSGNIITKYLEQLSDPNVAVRRGSALALSVLPYELLANRWKDVIMKLCCACAIEENPDDRDAEARVNAVRGLVSVCETLVQGRECSNEDGIPLLCLMKDEVMTSLFKALDDYSVDNRGDVGSWVREAAMNGLEKCTYILCARGSCGFTKTVNEFGSEPETLHCEKAEKDQTTTSFFDSTMATSLVGGICKQAVEKLDKLREAAATILQRILYNKIVHVPHIPFREILEKIVPDNQDMKWGVPAVSYPRFVRLLQFGCYSKTVMSGLVVSVGGMQDSLSKASMSALMEYLEGDAIGDQDESSRKGMLFTDILWILQRYKRCDRVIVPTFKTIEILFSKRILNMEVHISSFCNGILGSLDVELKGSKDFSKLYAGIAILGYIASLPEPVNSRAFSYLLTFLSHRYPKIRKASAEQVYLVLLQNGNFVPENKIDEALEIVSNTCWEGDLENAKLQRRELYDIAGIETDIHSKTNMVPPPEKEVKNRFSGADENASYSSLVESTGF >KGN47401 pep chromosome:ASM407v2:6:14856914:14857490:-1 gene:Csa_6G312040 transcript:KGN47401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKLFGFSVTASTTSTDGATNLGAPCPNHNKRFECQFCGREFANSQALGGHQNAHKRERQLAKQLLPLQPTKHSRNFLASTPPSSTVGIWSAGRAPPPKYGIQVQARPAVVGGGEVNRESNGVDLHLSLAPSSGRIFC >KGN45790 pep chromosome:ASM407v2:6:1239983:1244661:1 gene:Csa_6G011660 transcript:KGN45790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISTHDHRRTTSDSDSNSDDDNGGSESLRHLDLSDSIFKAYFEFTGRSTTTADLSKIQSFLTSSSSGALSCLICLERIRLSDPTWSCSSLCFAVFHLFCIQSWARQASDLAAARAATRSLISPDKPDEHSVWNCPKCRVEYSKSSIPKRYFCFCGKLENPPSDDPWILPHSCGEVCGRPLKHNCGHHCLLLCHPGPCPSCPKIVQASCYCGSVRDARRCGFKNFSCNNVCSKVLDCGRHKCAEICHEGSCPPCRIQGVFKCQCGKTEEKRECWDRSFRCEDECNKLLGCGKHSCNKGCHSGECGQCPFKGKRTCPCGKKAYEGMPCDVAVPLCGATCDKMLACGLHRCPERCHRGPCIGTCRIVVFKSCRCGSLRKEIPCYQDLACERKCNRMRDCGRHACRRRCCDGDCPPCSEICGRRLRCKNHKCPSPCHRGPCAPCPVMVTISCACGETHFEVPCGTEMDQKPPKCPKRCPVSPLCNHRLSQKPHKCHYGACPPCRRICEEEYSCGHKCQLRCHGPKPPPKPEFTLKPKKKKGFHPEEPAPGSLCPPCPELVWRPCIGQHIGADRMMVCSNSTQFSCDNLCGNPLPCTNHYCTKTCHALKSQHPSPSLRDLSEPCEKCNLPCEKERKPKCAHPCPLKCHPGDCPPCKVLLKRSCHCGSMVHVFECMYYISLSLEEQITIRSCSGPCHRKLPYCTHLCPETCHPGDCPSPENCSKKVTVRCGCQTLKKEWLCKDVQAAYRKAERDPKDIPKTQFGYGLLPCGSDCKSKLQAVESELTQRKPEVNGVKEPDTKKQASKRKRRRDSAQQVKQISRLQKFFAALKYILLIIVVLIAVVMISLYGYKGLLWLNDWMNEVENQRPRRRYPRI >KGN46287 pep chromosome:ASM407v2:6:5441417:5443542:1 gene:Csa_6G080300 transcript:KGN46287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDHRFLACSWDGVECDDKREGHVVGLHLGCSFLNASTLHPNNTLFTLSHLKTLNLSYNHLAGSPFSPQFGMLSNLRVSGSFGVIFQSFSNLVMNQLVDNITNLRELGLAETNLSCILPTSTFLNFSLSLESLDFFSSELSGNFPDHIFCLPNLRVLKLRWNTELNGHLPMSNWSKSLQILDLSFTNFSGEIPNSIGEAKALRYLDFSYCMFYGEIPNFESHSNPMIMGQLVPNCVLNLTQTPSSSTSFSSPLHHGNICSTGLSNLIYVDLTLNSFTGAIPSWLYSLPNLKYLDLSRNQFFGFMRDFRFNSLKHLDLSDNNLQGEISESIYRQLNLTYLRLNSNNLSGVLNFNMLSRVPNLSWLYISKNTQLSIFSTTLTPAHLLDIGIDSIKLEKIPYFLRNQKYLSNLNLSNNQIVEKVPEWFSELGGLVKLDLSHNFLSLGIEVLLALPNLRSLFLDFNLFNKLPVPMLLSSFMEDFIVSNNKVSGNIHPSICQATKLTFLDLSNNSLSGELPPCLSNMTNLSHLILKSNNLSGVITIPPKIQYYIASENQLIGEIPLSICLSLDLAILSLSNNHMNGTIPPCLTNISTSLSVLNLKNNNFSGSIPTFPSTGCQLSSVDLKNNQIEGEFPKSLLNCEYLEVLDIGNNNMTVYV >KGN47386 pep chromosome:ASM407v2:6:14741826:14742170:-1 gene:Csa_6G309440 transcript:KGN47386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVFYQSRINRSLLKFDAMVGDITIRANRSGYIDYTLPFTESGVSMVVSMKSSKNTSMWAFLKPLTW >KGN45731 pep chromosome:ASM407v2:6:827930:829402:1 gene:Csa_6G008620 transcript:KGN45731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSETIDLTGNGGVLKTIVKHAKANADAPTDDLPLVDVHYEGTLAESGEVFDSTREDNTVFSFELGKGSVIQAWEIAVKTMKVGEVAKITCKPEYAYGVAGSPPDIPPDATLIFEVELVACKPRKGSSLGSVSEERARLEELKRQREATAALKEEEKKKRDEAKAAAAARIQAKLESKKGGKGKGKAK >KGN47147 pep chromosome:ASM407v2:6:12415481:12417734:-1 gene:Csa_6G190380 transcript:KGN47147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTGSFFSGYRLIQAFRRTVSSTPSAVSTIPFRFNFLCTQIPGNLSPNVLESELEEPKCLSLRIERIPKGELVGYAFRSWMGDGFPIHRGDIFHAINRLRKLERNKRALEVMEWVIREKPYRINELDYSYLLEFTIKHHGISQGEKLFSNIPVEFQGELLFNNLVIACLDKGAIRLSLAYMRKMREVGHSISHLVFNRLIILHSSFRRRKIIPKILSQMKADKVPLHVSTYNILMKIEANEHNIEGLMRVFSDMRRAKVEPNEVSYCIVATAHAVAKLYTVVEAYVEALEKSIAGNNWSTYDVLIILYGYLNKEKELERTWGIIQGFPHIPSKSFILAIEAFGRIGLLSRAEELWLEMKTKRGIKATDQFNSILSVYCRHGLIKKATEIFRKIEANGCKPNAITFRHLAFGCLKAGLVEEALKTLDLGSNTTSSTKIVRKSTPWLETTLSMIEILAERGDIENTEKLFKELKEAKYTRYTFVYNTLIKAYVKAKIHNPNLLRRMIVGGARPDSETYSLIKLSEQFQS >KGN48870 pep chromosome:ASM407v2:6:25515442:25518386:-1 gene:Csa_6G504510 transcript:KGN48870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESANLQHQLQELFVGYSSLVSAPRTQPIATHECSSPNILLEGNSYNNPYLVEQSLQCSSRDNENDQNVWFNFSSTYQQQQPNEQQQLSALYSSIQSPASYGVLPGISAGSNTNYYNNNLFSSSLRNNNNNNHNSSSTSTLDLLSSSSFSSTMGYNLHAVDRHHHLDFLSTPNYNSSAFNKNRALFGYAHQQQQHHHHHVQDSINNNNSPSNSSNKTSSSSVARAKRPMNSMSEPKKSNNPESKKSCSTSRTSCPPLKVRKEKLGDRISALQRLVAPFGKTDTSSVLTEAIGYIQFLHDQVETLSMPYLGSSQSKPYQKQQPGSIQEEGTKPRQDLRSRGLCLMPLSCASFIHGYD >KGN49515 pep chromosome:ASM407v2:6:28825682:28832789:1 gene:Csa_6G526490 transcript:KGN49515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPMAVVGFDANAPLTPQQQPPQQLLLQQPPQALLERLKDYGQEDVFALWDELSHEERDLLVKDIESLDLSRVDRIIRCSLRSQGLPAAAIEPVPESCVSTLEERTLDERERWWKTGLKAISDGKLAVLLLSGGQGTRLGSSDPKGCFNIGLPSGKSLFQLQAERILRVQRLAAQAATDNSISSAPIHWYVMTSPFTDEATRNFFESQKYFGLEANQVTFFQQGTIPCISKDGRFVMETPYRVSKAPDGNGGVYAALRSSHLLEDMSSRGIKYIDCYGVDNALVRVADPTFLGYFIDKGVSAAAKVVRKAYPQEKVGVFVRRGKGGPLTVVEYSELDPSLASAINQVTGRLRFCWSNVCLHMFTLDFLNQVANGLEKDSIYHLAEKKIPSIHGQTMGLKMEQFIFDAFPYAPSTALFEILREEEFAPVKNANGSNFDTPDSARLLVLRLHARWVVAAGGFLTHSVPLYATGVEVSPLCSYAGENLEAICRGRTFHAPCEISF >KGN47791 pep chromosome:ASM407v2:6:18101453:18108188:1 gene:Csa_6G403570 transcript:KGN47791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSRPSQSSSNSGRSRHSTRIIAQTSVDAKLHADFEESGNSFDYSSSVRVSSDVSGDHQPRSDKVTTAYLHHIQKGKLIQPFGCLLALDEKTFKVIAYSENAPEMLTMVSHAVPSMGDYPVLGIGTDVRTIFTAPSASALLKALGFGEVTLLNPILVHCKTSGKPFYAIVHRVTGSLIIDFEPVKPYEVPVTAAGALQSYKLAAKAITRLQSLPSGCMARLCDTMVQEVFELTGYDRVMAYKFHDDDHGEVISEVTKPGLEPYLGLHYPATDIPQAARFLFMKNKVRMIVDCRAKHLKVLQDEKLQFDLTLCGSTLRAPHSCHLQYMANMNSIASLVMAVVVNEGDEDAEGPALQQQKRKRLWGLVVCHNTSPRFVPFPLRYACEFLAQVFAIHVNKELELENQIIEKNILRTQTLLCDMLMRDAPLGIVSRSPNIMDLVKSDGAALLYKNKIWRLGMTPSDFHLRDIASWLAEYHMDSTGLSTDSLYDAGYPGALALGDEVCGMAAVRITTNDMIFWFRSHTASEIRWGGAKHEHGEKDDGRKMHPRSSFKAFLEVVKTRSLPWKDYEMDAIHSLQLILRNTFKDTDTDEINRKSIQMTLGDLKIEGRQELESVTSEMVRLIETATVPILAVDVDGLINGWNTKIAELTGLPVDKAIGKHLLTLVEDTSVEVVKKMLYLALQGQEEQNVQFEIKTHGSHIEVGSIRLVVNACASRDLRENVVGVCFVAQDITGQKMVMDKFTRLEGDYKAIVQNPNPLIPPIFGSDQFGWCSEWNAAMTKLTGWSREEVIDKMLLGEVFGAHKSCCRLKNQEAFVNLGVVLNNAMSGQDPEKVSFGFFGRNGMYVECLLCVNKILDKDGVVTGVFCFLQLASHELQQALNIQRLCEQTALKRLRALGYIKRHIQNPLSGIIFSRRLLERTELGTEQREILLTSLHCQKQISKVLEESDLDQIIDGFIDLEMVEFTLHEVLKVSISQVMIKSKGKAIQIVNETVEEAMSETLYGDSLRLQQVLADFLLLSVSYAPAGGQLKISTNLTKDQLGKSVHLVHLEFRITYAGGGIPESLLNEMFGSEEEASEEGFSLLISRKLVKLMNGDVRYMREAGKSSFIITVELAAAHKASM >KGN47879 pep chromosome:ASM407v2:6:18807087:18807907:1 gene:Csa_6G409360 transcript:KGN47879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKNSKSSTPNTPFCEKLLKAFGMSHRPKINKLSSSISHHEHHHHQQQRNSSQHIYVHHEPAVMEKTHSSKNNKYAGGDEDSVNAAFSNYINRAKRRLENMASKKHSNDSSSRGKENNLKAEDRRFSDYIVRARNKLRSTSSSVGLAKTKSSSSVK >KGN49458 pep chromosome:ASM407v2:6:28505741:28509554:-1 gene:Csa_6G525440 transcript:KGN49458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSVHDYSDNGEADEQRKYSESQNHSSSVINGLNQSTPNQYVASPQVGAGHSMVPPAYPYPDPYYRSIFTPYDAQPYPPQPYGGQPMVHLQLMGIQQAGVPLPTDAVEEPVFVNAKQYHGILRRRQSRAKAESENKALKSRKPYLHESRHLHALRRARGCGGRFLKSNKNENHQNEVASGDKSQPNINLNSDRSDLASSEN >KGN49459 pep chromosome:ASM407v2:6:28516303:28519783:1 gene:Csa_6G525450 transcript:KGN49459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHSTFSQVAVAVPVGSDLSVKRSVFKTRSLNFHDKSWSSPVIALNSNLRGTRARGWQTVCMSVQQASAPKVSVAPLDLEDAKEPPLNLYKPKEPYTATIVSVERLVGPKAPGETCHIVIDHGGNVPYWEGQSYGVIPPGENPKKPGNPHNVRLYSIASTRYGDSFDGNTASLCVRRAVYYDPETGKEDPSKNGICSNYLCNSKPGDKVLITGPSGKIMLLPEDNPNATHIMIATGTGVAPFRGYLRRMFMESVPTFKFGGLAWLFLGVANTDSLLYDDEFSKYLKDYPDNFRYDRALSREQKNRNGGKMYVQDKIEEYSDEIFKLLDGGAHIYFCGLKGMMPGIQDTLKKVAEQRGEKWEEKLSQLKKNKQWHVEVY >KGN47165 pep chromosome:ASM407v2:6:12530977:12531315:-1 gene:Csa_6G191530 transcript:KGN47165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMNNKLANRRIPATKGKENLSKICMNQLIRRNIDKKRKELTITYGIRESRETKRDFNLKMGIQILKITASFYTAEKQTTLISFPKSNPKPTGAEKERSDKEFEENNDNGVR >KGN45738 pep chromosome:ASM407v2:6:858424:859164:-1 gene:Csa_6G008690 transcript:KGN45738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANWGGIGNLPTTPLEFQKTEQQHSTKNYEDGDGVKPKRRPRGRPRGSKNKPKPPILIAKITPNTLQTHVFEIATATDIADSIFTFTQRRRRGVSILSATGLVTDITLRQPPGVITLHQRFEILSLSGAFLPTPSPHGTSALTVYLAGDQGRVVGGLVAGPIIAAGPVVVVAASFTNAMYEKLPMEENEEKTEEDKQLEENINGNKNSMGESSSLAAASSLGVHNLIPNTQISQETFWAPPPPPSY >KGN48038 pep chromosome:ASM407v2:6:19955498:19958708:1 gene:Csa_6G425750 transcript:KGN48038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSWAEQKTEKKKCKIRKRVCLSSPSSSTFVRKYRFKKPPTWKMSTKSKSHSSKLSTTDDIVNRSPSCSVNKGKEEEEGGGGGGSVSRILKKNSEVVEDKSRELVSEISETNLSDPDRSVKNTKTTEKDEIGTMKRVHRRRRSAATEPCLRIGNGEMVGGSNFHGNDCLTMEIENGNVEKTTRRKTKTTVKTRLKEVSNCLTTSKELLRVLHHILLHEDHLPSSTSSLISALKSELDRAKTRVDHLIKDQTFNVDEIEVLKRRLAEEKAAWKYRERARFGSAISSMAEEMEIEKKLRRQAERLNKSIAKELAEAKVSVSKAMKEVEREKRAKEILEQICEELAKGIGEDRAEFEELKKESAKVREEVEKEREMLHLADVLREERVQMKLSEAKYQFEEKNAAVERLKHQLQGYFVIGNEEQNAGENREYSCNEFEKIKELEAYLKKINFGSCQDTEKMGKKEENGDCSDEEEEEEEEEEESDMHSIELNMDNNNKSYRWSFVEKADNNQIQINNGRKSVSEKIQWGSICLNTSNNNTHQQNSNSFDWDTFSELFTRKNLEELHDQLDDDDGGDNHQIKSVKCLRDILFPELEQNHNGVMKMDDEASSMVRKG >KGN48574 pep chromosome:ASM407v2:6:23764866:23766562:1 gene:Csa_6G493330 transcript:KGN48574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEILAHNKLKENAEQVQTTGEAEHVDRIITQRHEHIIRLEKSRSCGSVPIVDTNIIPNYIRKAQMSSLSTISSNKNFINSMKLENVKLVVTPEKLNSDDRNHLPTSSHSTFVKSSDGVSQNGDELDFGGLPVTSSENRPNVTLDEKSQVHDIVLSDTNAKPTFSLVRSRDAEDTTSTLSSIESAGRTRDASEIVKLESPASATPAFLQVEPELPPRLLVERKSDIIEQQAHKLPEIVSSSVADHKSELGSVESGIQKLVEDLNSNSLETIRAAIAELRSLARHNTENRILIAKHGAITFLVKLMYSTDAITQEHAVTTLLNLSIQSDHKVAITEANVIEPLIHVLVTGSPEARENSAATFFSLAMVVENRVKIGKSGAIGPLVELLGNGTPRGRKDATTALFYLSMLPENKVKIVQAGAVKHLVELMDPSVGMVDKTVAVLANLATIQEGKVEIGRMGGIPVLVEAIELGSARGKENAAAALLRVCSTSNRFCIMALQEGVIPPLVALSQSGTRRAKDKAQELLNLLRKHVRSNVEKY >KGN47189 pep chromosome:ASM407v2:6:12661494:12661826:1 gene:Csa_6G197215 transcript:KGN47189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTPFSRNQVSSLGNTSLLLLLLLLLLHGLTTTALSSILNFSMLISKFSVGLTFGQPRRDGLIIEFPSRNGSLCFRFCLTSNNPKALASSALVVGGRFRLLTLLEFILSC >KGN46717 pep chromosome:ASM407v2:6:8740460:8746518:1 gene:Csa_6G127290 transcript:KGN46717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLKAQTDQKPRFEAVLCTHPVPFGKWFHVFLTLFPSVSGCLGRGTVPRLVPCAATSQRCLRTNDVENVGRTARHHTFFEMLGNFSFGDYFKKEAIKWAWELTTVEFGLPANRLWISIYEEDDEAFAIWHDEVGVPIDRIKRMGEDDNFWTSGITGPCGPCSEIYYDFQPEKGYSDVDLGDDTRFMEFYNLVFMQYNKKDDGSLEPLKQKNIDTGLGLERMARILQKVPNNYETDLIYPIIQKASELANVTYDTADNRSKTNLKIIGDHMRAVVYLISDGVVPSNIGRGYIVRRLIRRVVRTGRLLGIKGDGRGNIDGAFTPVIAEKVIALSNHIDEDVKGRAGRILEELKREELRFVQTLERGEKLLEEMLADALASANDGGRIPCLAGKDAFLLYDTYGFPVEISTEVAQERGVVVDMEGFDIEMDNQRRQSQAAHNVVKLEVGEGADIMENISDTEFLGYDTLSAKAIVESLIVNGNPVLQVSEGNDVEVLLNRTPFYAESGGQIGDHGFIYISEGENSQNVVVEVKDVQKSAGSIFVHKGIIKQGILEVGREVEAAVDAELRQGAKVHHTATHLLQSALKRIIGQETSQAGSLVAFDRLRFDFNYHRPLVDAEIVKIEELINGWIGDAVLLQTKVMALTEAKKAGAIAMFGEKYGEEVRVVEVPGVSMELCGGTHVFNTSEIRGFKIISEQGIASGVRRIEAVAGDAFIEYVNARDYHMKRLCTMLKVKAEDVTTRVDNLLEELRMARNEISNLREKTAVAKASSIANKAFVVGTSKEIRVLVEYMDDADADSLKSAAEFLMDNLQDPVAIVLGSCPGEGKVSLVAAFTPSVVDLGVQAGKFIGSIAKLCGGGGGGRPNFAQAGGRKPENLLDALENARSELTRILSEKAS >KGN49272 pep chromosome:ASM407v2:6:27456068:27458240:-1 gene:Csa_6G518270 transcript:KGN49272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSNRHWPSMFKSKPCNSHHQWQHDINTTSLSSSTPTSCLRSAPYTTVGGCEERSPEPKPRWNPKPEQIRILEAIFNSGMVNPPRDEIRKIRAQLQEYGQVGDANVFYWFQNRKSRSKNKLRHIQNSKSNHNSQTTTTTSITATAATTTATCSSSSSSDKSSPKKPPIKTLTSNNSVTQNYLHQPTNEILPDPFFFPVSSTQTGSGGGSCNLSQGFCFSELCSVVQPVAEHGVGPCTSLLLSEIMSPTEALKKDLDQDKINMVKSQPQFMNFHPSNLTSSITDHTLSQSTISTPLTTLPSPTNTIPQGVGEVCGVGKSTVFINGVGFEVSSGPFNVREAFGDEAVLIHSNGQPVLTNDWGLTLHSLQHGSYYYLI >KGN47758 pep chromosome:ASM407v2:6:17806138:17806556:1 gene:Csa_6G400810 transcript:KGN47758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQASELSSSSWWMEAKDTDGFKEEIEDRYKDENKDSQRLRGGDFWNWKRE >KGN47240 pep chromosome:ASM407v2:6:13194525:13194878:1 gene:Csa_6G227030 transcript:KGN47240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIFERLNNSSGAQVSRCNVRGRLVPTSTWDVDKDLLPTEWIPWRNSADILSDMCMCVGIACPNAFGIYIEASQWRKTLNFL >KGN47422 pep chromosome:ASM407v2:6:15023697:15024194:-1 gene:Csa_6G318690 transcript:KGN47422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLREREIRGSRGDLAKIGREGFQIIDEYFGRASSTGARRVAAKTARPALPQPPRKAEQPPRIRKEKPVAAVTSDQVAKHGGGTVITTWRPYAK >KGN47723 pep chromosome:ASM407v2:6:17441149:17441829:1 gene:Csa_6G385070 transcript:KGN47723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMDTLYDYHIWQPEEGEDDLNVTISNSHLLPCNCFLFHLHFRHILQSNHSQQFHYLLNSSLSSFLIPCDVFFATASSILIAVFSGTGVAQDFLDTAVPDVLSVAADIACNPLNAGRTILPLAVSVLVVSPYNERQEIGRVLRESSPQAFKTLPASEMAIEGLKKVEIDVGGELLIGECRICLDELMNGMEVTRLPCAHLYHRDCIVKWLETSHLCPLCRYAMPLS >KGN47209 pep chromosome:ASM407v2:6:12790028:12798540:1 gene:Csa_6G205830 transcript:KGN47209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRYTGVLKKKLKEPLDVLESWVLELFGDVKKGVQAKPKFTVKDPIWQSGKLYKLEAVEDVHILDLAWTLPCLQHNYLKKPEDYVAHLLGHEGNGSLHFSLKAKGWATSLSAGVGDEGMCRSSMAYVFGMSIYLTDSGKEKIFEIIGYVYQYLKLLRQISPQEWIFRELQDIGNMDFRFAEEQPQDDYAAELAENLSFYPAEHVIYGEYVYKIWDEDLVKHIIGFFTPENMRVDIVSKSFSKLEDFKIEPWFGSHYSVDDIAPSLMDLWRDPPEIDASLHLPAKNQFIPCDFSIRASKVCNNLPLEYSPICILDEPLMKFWYKLDNSFKLPRANTYFHINLSGGYSSVKNYLLTELFVLLLKDKLNEIIYQATIAKLETSVAISGDKLELKVFGFNDKLPNLLSKLLATARTFMPSEDRFKVIKEKMERNLKNTNMKPRSHSSYLRLQVLCERFYDADEKSDVLNDLSFVDLKAHIPKLLSQLYIEGLCHGNFSEEEAISLSNIFKDNFSVQPLPLGMRHYERVMCLPPGANLVRDVSVKNRLERNSVLELYFQIEPEVGMEESIRQKALIDLFDEIIDEPLYNQLRTKEQLGYVVQCSPRSTYRIYGFCFSVQSSEYNPIFLQERFENFITGLQELLLGLDEASFENYKNGLIGKLLEKDPSLYHETNRLWSQIVEKRYAFDFLQKEAEELKNIQKNNIIDWYNTYLQESSPKCRRLAIRVWGCETNMIDAETPVKSVVAIKDVEAFKTSSMFYPSLC >KGN46162 pep chromosome:ASM407v2:6:4632581:4636969:-1 gene:Csa_6G061240 transcript:KGN46162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTIKSCRDTSKSYSNLRSPTPPPVTFSTSRFETVNGSHEFKINGYSLNKGMGIGKYIASDTFMVGGYAFAIYFYPDGKSVEDNASYVSVFIALASEGTDVRALFELTLLDQSGKENHKVHSHFERRLESGPYTLKYRGSMWGYKRYFKRTVLETSDFLKDDCLEIHCVVGVVKSHTEGPKIYSITPPPSDIGQHFGKLLESGKLTDVNFEVDGETFSAHKLVLAARSPVFRAQLFGPLKDQNTECIKVEDMEAPVFKALLHFIYWDALPDMQEIVGLNSKWASTLMSQHLLAAADRYALDRLKLLCEAKLCEDVAINTVATTLALAEQHHCFQLKAVCLKVIALPENLRAVMQTEGFEYLKESCPSVLTELLEYVARVTEHAVITCSGYGNGTVLDGSYVNGRRVRQRLY >KGN46387 pep chromosome:ASM407v2:6:6056331:6064228:1 gene:Csa_6G088150 transcript:KGN46387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMGGLFYIWGLTIAQLLLILIILIIHPITAQEGFVSLACCAETNFIDKNTSIEWTQDSQWLFPNSSSTCANINNDNNINNNEKSRIFGSTLLGWKRYCYHFDTIKGEEYLIRGTFLVNESTNSNRRYSSSLFGVYIGNTLLSRVKTFQDSIVIEASFKAERKYIDFCLEKDDEGDEAYISYLEIRPLQNFNYLSRFPSRVFKLIARLNVGESTLDIRYPNDPIDRIWKASPSFLNGSRFLLDSNINISSKFNSNASLGVPLEVLRTAVTHSDQLVFLHDELDTATYEYRIFFHFVELNQTVESGQRLFDIFINNDKKATNFDILAHGSNYKWEFYDVLANGSLNLTLVKASVGSELGPICSAYEIMQVRPWNQESDENDVDVILKVRDELLVANQQNEVLGSWSGDPCLSIPWGGLACDSINGSSVITKLDLSEHKFKGLFPVSLPKLAHLQTLDLNNNDFTGNIPSFPTSSVLISVDLRHNDFRGELPESLALLPHLITLNFGCNPYFGKELPPDFNMSRLTTDYGTCDNLDSTFPKKGIVIGTVATGAVLFTIIFGVIYVYCCRQKFVFRGRYDLKRELVMKDIIISLPSTDDAFIKSICIQSFSLKSIEAATQQYKTLIGEGGFGSVYRGTLSDGEEVAVKVRSATSTQGTREFENELNLLSTIRHENLVPLLGYCCENDQQMLVYPFMSNGSLQDRLYGELAKRKTLDWATRLSIALGAARGLTYLHTFAGRCVIHRDVKSSNILMDHSMSAKVADFGFSKYAPQEGDSGASLEVRGTAGYLDPEYYTTHHLSAKSDVFSFGVVLLEIICGREPLNIHKPRNEWSLVEWAKTNIRESKIEEIVDPSIKGGYHAEAMWRVVEVALACIEPYSAYRPCMADIVRELEDSLIIENNASEYMRSIDSFGGSNRFSMVVDRKVVVQPPTPTPTEPSPVSHELTPPEPR >KGN45611 pep chromosome:ASM407v2:6:55942:59565:-1 gene:Csa_6G000110 transcript:KGN45611 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2c MVAEADIKCPKSLPLMKINYHLRVADDRDLQTDLPHPSSSPVFSQAVNCSDIVEDVHIKSASIDFVPNIRSGSYADIGARDSMDDEHVCIDDLSAHLRSMFKCSMPKGFYAVFDGHGGPHAAAFVKRNVLRLFFEDADWLKMQDIDSISLKDLENSHRRAFQQADLALADEQSVSSSCGTTALTALVLGRHLLVANAGDCRAVLCRKGIAVPMSEDHRPSNLLELKRVEGMGGFVDDGYVNGYISVTRTLGDWDLKLPNVSSSPLIAEPQVEHVILTNDDEFLILGCDGIWDVMSSQYAVSLVRRGLRKHNDPHQSSQELVQEALRLNTSDNLTAIVICFSSSSCASQPRICKSCNLTEDATNRLRSLLEGN >KGN46566 pep chromosome:ASM407v2:6:7322282:7329150:1 gene:Csa_6G109620 transcript:KGN46566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTLSFPDFDCFKVAPLSFSSPCACPLRLSSSKPLPLPPAHRLHFIFLHGQKGPLRINNRSGLARRHIVAVAHAEPDRIDGKEPQQEVEGGQQLSFQEDPITEHQHKKNQLKKRVVFGIGIGITGGIVVLAGGWVFTVAVAACIFVGAREYFELVRSRGITAGMTPPPRYVSRICSVICAFMPILSFYFGQLDVAITFSAFILAITLLLQRGNPRFAQLSSAIFGLFYCGYLPCFWVKLRCGLAVPAVNTEIGAGWPFLLGGRAHWTVGLVATLISMSSIIAADTFAFLGGKAFGKTPLTNVSPKKTWEGTIMGLGGCIATSVILSKILSWPSSMIRYVILQLQLSTFGFLNFLGSVFGDLTESMIKRDAGVKDSGSLIPGHGGLLDRVDSYIFSGALAYSYIKAFMPLYGV >KGN48684 pep chromosome:ASM407v2:6:24507202:24510794:1 gene:Csa_6G497340 transcript:KGN48684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALLFFFFGLALAMAVPPQQDSSQQILEENNNKAMLSRDVTLELQNHGQVIIDNGIVRVTLSRPDGDVIALKYNGIDNLLEIRNVQSNRGYWDVVWNRPNKASATDRVIATNFKVITSNSDQVELSFAKTWDPSDPSSLPSNIDKRYILRRGDSGFYSYGIFERLNGWPQIEVDQIRIAYKLLGDKFHYMAISDNRQRMMPTAEDRLTGKPLDYPEAVLLTRPRDPSFRGEVDDKYQYSLENMENKVHGWESSDPHVGFWMITPSDEFRTAGPVKQDLTSHVGPVTLSMFVSTHYAGKDVSMRFQEGEAWKKVFGPVFTYINSDPTGTGKPGSLWDDAKNQMRIETSKWPYNFVESTEFPSSEQRGSLSGQLLVRDGFAKYPQMWGDSAYVGLAAPGELGSWQRESKGYQFWTRADDHGYFSIDNIRSGTYNLYAWVPGVLGDYKYDNQITITPRSSTNLNLLVFHPPRQGPTLWEIGIPDRKAAEFYIPSPRPTLTNCFYNDSKLHIQDDNFRQYGLWERYAELYPHGDLVYNVDTDDYHRNWFYAHVTRATGSGTYEATTWQIVFHIQRPKQIGNYMLRLALASSTDAVIDIRFNNPSTKQPHFTTGYWSSGKDNAIARHGIHGLHWLFNFQVPSQLLVEGQNVIYLTQRRHTGEFQGVMYDYIRFEDVE >KGN49491 pep chromosome:ASM407v2:6:28651616:28652742:-1 gene:Csa_6G526250 transcript:KGN49491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAMEVTASSAVTTYTSASTTSDNHPHYPLLLPAPPSPPTPALSRYESQKRRDWNTFGQYLKNHRPPLTLSRCSGAHILEFLRYLDQFGKTKVHTSSCPFFGHPQPPAPCPCPLRQAWGSLDALIGRLRAAFEENGGQPDTNPFGTRAVRLYLREVRESQAKARGIAYEKKKRKKSGMAPLKINHHQPMPS >KGN48168 pep chromosome:ASM407v2:6:20861506:20865615:1 gene:Csa_6G446300 transcript:KGN48168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQLLKRALRSQSGQIFSLSSSLNSSSPFPFRAFSSAATPIRATLFPGDGIGPEIAESVKQVFRTADVPIEWEEHYVGDEIDPRTQSFLTWESLESVRRNGVGLKGPMATPIGKGHRSLNLTLRKELNLYANVRPCYSLPGYKTRYDNVNLITIRENTEGEYSGLEHQVVRGVVESLKIITRQASLRVAEYAFHYAKTHGRERVSAIHKANIMQKTDGLFLKCCREVAKKYPEIKYEEVVIDNCCMMLVKNPALFDVLVMPNLYGDIISDLCAGLIGGLGLTPSCNIGEGGIALAEAVHGSAPDIAGKNMANPTALLLSAVTMLRHLKLQNKADRIQDAILNTIAEGKYRTADLGGSSSTTEFTNAICDHL >KGN47912 pep chromosome:ASM407v2:6:19020436:19021539:1 gene:Csa_6G410670 transcript:KGN47912 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mavicyanin MGSVKMPSPFFWISTMALFTLSAAATVHQVGDSPGWTTLIPVDYAKWASSQKFHVGDTLLFKYNSTFHNALQVTQEQYKACNSSSPVASYSSGADSIVLKRPGTFYFLCGFPGHCQLGQKVEVKVTSGSSSHLPAPSPSPGPSPSPMGGPSASAPTPSAASSLSHFFSMLICLSLEFALLYSVFV >KGN46580 pep chromosome:ASM407v2:6:7422033:7424050:1 gene:Csa_6G109750 transcript:KGN46580 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucose:flavonoid 7-O-glucosyltransferase MNNTTPNPNPRHVLLVTHCAQGHINPTLQLAKRLTRHGDLHVTFLISLSAYRRMGHTPTLPHITFASFSDGYDDGFKPSDDIKLYISELERRGSDALKNIIQESRNKGQPFTCIVYSILIPWVATVARSLDVASVHLWIQPAVVFALYYYYNNGYYDEIQRIASGDDPSSTSIKLPGLPLLSARDLPSFFGASDGYSFALPMFRKQFELLEEESNPKILINTFEELEKDAVKAIKKFHLMPIGPLIPSVLVDGNDPSEASSGCDLFRSTSSYMEWLNSKPKASVVYVSMGSISTVSKQQKEEIARGLSLTKRPFLWVIRNIEEEEDFLSFKEKLETQGKIVSWCAQLEVLSSPATGCFLTHCGWNSCLESLACGVPNVAFPQWSDQATNSKIIEDLSETGVRLEVEEEGVVKGEEIERCLELVMGDSKKGEEIRRNALKWKKLAKEAASEGGSSFANLKAFVDHVCS >KGN46345 pep chromosome:ASM407v2:6:5757586:5759982:1 gene:Csa_6G087740 transcript:KGN46345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQQRQFHNINSSNNSSSLSLGGQYNDTTFTKIFVGGLAWETQRHTMRRYFEQFGEILEAVVITDKNTGRSKGYGFVTFKDPDAAIRACQNPSPVIDGRRANCNLASLGAHKPHPPTPQHGVGGRFRGASGIVTPPAYHVSSSSYIHQPTSQYSFPLSGYGFAGYSQDRIYPLNYYGVYGGQQFSPYYSANGLSGPAGMFQNLYPYYAQSSQGYGFGMQYPHLMQYPYLPQQHSSTGILSLPGSNALGTTTSGTTATTITAVGSGPSQASPAVASEPNSSELISTG >KGN47184 pep chromosome:ASM407v2:6:12633460:12634770:1 gene:Csa_6G194680 transcript:KGN47184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLMSLEMCVESAEDLKKFDDDLSSKMKVYVVVSVTSGVFSEQRAQTNVDMEGGENPRWNFPMKFLIDLNAAKQDLKSLLTFTIKSETPQVHKSIGETKVRIVELLETVGEQKSMRYISRPIMDTLGQTTNARLNFIIKFNKIELHGSVTGEKISHLNEKVDQNENVKNNTASSEVAQDVAMKLTMCVVEGGVTGVSNVVAENLMKEFVEGSSSDTTATSIVETETFSDTSVVDESIDDSSSFLDIIYFFFFC >KGN46393 pep chromosome:ASM407v2:6:6097112:6100087:1 gene:Csa_6G088710 transcript:KGN46393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPSVMSTPVTGLVAALVVIGLTYLVHRWRNPKCNGVLPPGSMGFPLIGETLQLIASGYTLDLPPFIKKRVHKYGPIFRTSLVGRSIVVTADPEINSFIYNQEGRTVELWYLDSISKVFKQDGEVKTTAGGAIHKYLRSITLNHFGSESLKSKLLADIQRYVDKVFTQWSNHPSVEMQRGTLTMLYDFNAYIMFGYDPEKSNENISESLITLADGFMSFPVNVPGTKYNKCLKAQKRLVNTFKALVKERRQASVAAARGDFLDQALRDIENEQFLTEEFVSNLLFGVLFASGSISGSLTLMFKLLAENPSVVKELTAEHETFLKQRKDPKSPITWEEYKSMTFTLYVIYEVFRLSNAMPFLLRRTTKDVNIKGYTIPAGWTIMVANSALHLNPQTHKDPLDFNPWRWKDHDQYSISKTLQPFGGGTRQCAGADYTRVFMAIFLHTLVTKYSWKKVKGGEVSRSPILKFGDGIHVSFSAKA >KGN46282 pep chromosome:ASM407v2:6:5417318:5420268:-1 gene:Csa_6G079760 transcript:KGN46282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METDVGVSTSEEANGSTVQKKPTVVFVLGGPGSGKGTQCACIVEHFGFTHFSAGDLLRAEIKSGSENGLMIKSMIGEGKIVPSEVTVKLLQKAMEESGNDKFLIDGFPRNDENRAAFEAVTGIEPAFVLFFDCPEEEMERRILHRNQGRDDDNIETIRKRFKVFLESSLPVVQFYESIGKVHKIDAARPVEEVFESVKAVFTSVNEKDD >KGN47336 pep chromosome:ASM407v2:6:14353366:14354731:1 gene:Csa_6G301060 transcript:KGN47336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSSITPSRWCPTPEQVMILEEIYRNGLKTPNATQIQHITSHLSFYGKIEGKNVFYWFQNHKARDRQKLRRKLYKQLQQHHFFMKRQRFDDHQHDHHHFFQYFLPHHVPQLLPQLPSPSSLQREVGEEEAATEVEGGGGRRWMGGDEGTTVEEEDGETTCGNGTLRTLELFPVRASWVKEEEGTSGNGGWGN >KGN46344 pep chromosome:ASM407v2:6:5754046:5754462:1 gene:Csa_6G087730 transcript:KGN46344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWVIRSRADRAVGVENYHYRKASPPMEAAGSLGEVERERQGAGVSFEGHRSLETEKWPTEERRCRRTVLRSSITAGVFHPQIWCQAAARRRSPSPDKMAVETVGVR >KGN46500 pep chromosome:ASM407v2:6:6799564:6804664:-1 gene:Csa_6G104080 transcript:KGN46500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGARNLQPSSSSSSSSSSSSSFFSRFCSSALRTKPLISPSDASSNRTNSGDGLVRRLGVIDLVLLGVGASIGAGIFVVTGTVAHDAGPGVTISFTLAGVSCILNALCYAELATRFPPVVGGAYLYTYAAFNELTAFLVFAQLMLDYHIAAASIARSLAGYIISFLEIFPLFKDNIPNWLGNGQELLGGVISINVLAPVLLALLTFILCWGVGESSTVNSIMTSLKVIIVVCVILTGAFEVDVSNWSPFTPNGFHAVLTGATVVFFAYVGFDAVANSAEESKNPRRDLPIGIIGSLLICIALYIGVCLVITGMVPYYLLGEEAPLAAAFTSKGLKFVSFLISVGAIAGLTTTLLVGLYVQSRLYLGLGRDGLLPSFFSDVHPKRHTPIISQVWVGIIAGVLAGLFNIHSLSHILSVGTLTGYSVVSACVITLRWKDKTTRQVSSSTWREGVICLIVVACSGFGAGVFYRYGSLWVSVVAAVLALLASIALYLRHTYGDVAGFPCPGVPFVPALCIFVNMFLFAQLHQEAWVRFVVVSIIMIIVYAFYGQHHANPIPQGSDLYFQVPG >KGN48243 pep chromosome:ASM407v2:6:21459487:21464505:-1 gene:Csa_6G450970 transcript:KGN48243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGTCEIVEASEEIKPVQSKSGSRHSDFVSDKDRRLSALKIGFKGSLEDDINQLFESISIKNASKSLGHSQVDVNTLRKSTLKKPITVGIPRSPGIGTSDSGSLKQALRELCLSKASEMAATKRSSKLSSSSRISEAGRIKTLYNSVMVEATESGSSSDNGKGGRVVEISLVPEEKKLIFEKRLEHVRASAVKSPGASAHSSQLPVAKTQKHIEVATVESNIKPTLPKKVGSQTLKAELEKEEESITPPSISSCTIGKVLEKEKKILAGSRVANKVTSSKAGRKGRLQTASSSKLGSGNKVTKLSRSASRSVKPVIRNKGLAKKKVKQDLSSPTCSSSTYNAVNGDNDPSKKKLICERCHCALNSAAKDSKKGSASQFSAFSSDVNITNPKSGGNKTGINVDSKASDVKVKRNARVRDKGEFSQSSKSSQGEYSSSTTSIISDDSNGHGSSTGNRPHMSKDFRWEAIRNAQLQHGVLSLRHFNLLKKLGCGDIGTVYLAELTDTQCLFAIKVMDNEFLARRKKMPRAQTEREILRMLDHPFLPTLYVQFTTDNLSCLVMEYCPGGDLHVLRQKQLGRVFPEPAARFYVAEVLLALEYLHMLGVIYRDLKPENILVREDGHIMLTDFDLSLRCTVNPTLLKSSSFNADLVKTSGPCTDSSCAEPFCIEPSCQVPCFSPRFLPAAAKTRKSKPDLTTQIRSLPQLVAEPTDARSNSFVGTHEYLAPEIIKGEGHGAAVDWWTFGVFLYELLYGRTPFKGSNNDETLSNVIMQGLSFPDTPIVSFQARDLIRGLLVKEPENRFGTEKGAAEIKQHPFFEGLNWALIRCAVPPELPDLCDVDITSMIAQQNKFRYLESKSTGEHLEFELF >KGN47038 pep chromosome:ASM407v2:6:11685763:11686256:-1 gene:Csa_6G179450 transcript:KGN47038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAACGAVVNLYGGICKLLCLDLLISVLLHSSFFCCLNYFSVSLLSQLCILKLVGWFVLLFDWSLLHSVVHLGILWTCVMINLWALTTILNGKEKERTLLHNCLLLLSPTTNLVCSCLHCHGGVYSSSLILNDAL >KGN47261 pep chromosome:ASM407v2:6:13519423:13521649:1 gene:Csa_6G237640 transcript:KGN47261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKISLSTSCKQIAVEPPLVNFQGTNNTLNLEPFFRRKDKVVFVMGATGTGKSRLAIDLATRFPAEIINSDKIQVYKGLKVLTNKVTEKECCGVPHHLLGIADPNSNFTVADFRRHASSTINSIVVGKRCLPILAGGSNSFIESLVEEEPEFRYRYDCCFLWVDVSLPILNSFVSERVDRMLENGLVNEVRSLFDPNGLGNDYGHGIRRAIGVPELDAFLRAEMDSATDDKTRSRILKAAILKIKENTIKLACRQRQKIHRLQSKWRGWNNLHRIDATEVFLQLDSEDYSSDNAWETLVVKPSFKIVDQFLSENSFKLTEKVFSAVF >KGN45917 pep chromosome:ASM407v2:6:2239847:2241060:1 gene:Csa_6G022290 transcript:KGN45917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQGNDPISCFLNLPQALNSFQNHCSALLHNLSNPLPLKTQLQSTFSTLLNPKPNPPLHSTISPSDSVPKKSPLWARLPETAKTQFTLPSAPSSLSLSTEAIEKRLAGIPVYALSNASEEFVLVSGASAQKSLGLFCFKKDDAETLLQHIGTMDPSARYGSKVVPVALNKVGKSHSMVEKAVLLGNCELLTWEI >KGN46357 pep chromosome:ASM407v2:6:5836084:5838896:-1 gene:Csa_6G087850 transcript:KGN46357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAGVIALCPVRNEHLVYHKRLITPSALESNESIWIYVSVSGSMSPMPIFASESIESVKLRIQSCKGFVVKKQKLVCGGRELSRNNSLVRDYGVTDGNVLHLVLRLSDLQVINVKTYCGKEFTFHVERDRDVAYVKEKIATKVKEFVDVVDEHEVVCDGNPLDDHSLVDDICNRQDAVIHLFVRKSAKVRGRPVDKNFELSIVASNFEEQCKSEFSRENNQKEYNEDKEAYRTKYEYDKESAPRPYPNGGSVMEPIVVNPKVELPKPIWDMVNSTVDGLDCGHFPVSSLEGTGGAYLMLDRSGKKYVSVFKPIDEEPMALNNPRGLPLSLDGEGLKKGTRVGEGAFREVAAYLLDHPISGRRSMFGDKNGFAGVPPTALVQCLHDGFNHPSDKSVKIGSLQMFMENNGSCEDFGAGAFPTKEVHKISVLDIRLANADRHAGNILLGKEREGDQVVLIPIDHGYCLPTSFEDCTFDWLYWPQSQQPYDAETLDYINSLDAEEDIALLKFHGWDLPLECARTLRISTMLLKKGAKRGLTPFDIGSFMCRETLTKKSMIEECVEEAIDSLVPGTSEATFLESVYEIMDLRLDQIAASLS >KGN45926 pep chromosome:ASM407v2:6:2312498:2318791:1 gene:Csa_6G022370 transcript:KGN45926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRILSGQIWGQVKFSDLYLLLLLLFLLLLPPLTFLNLIPFAWFLPFFVVDHQLTSSFDDLAVGGSAMLSPEKSVEVRALDCLGRGFDLTNDFRLKFVKGNGGGGRRLVVVDDVNKRDMVFPDGAVVRGVSQDIRCDKGDRIRFKSDVLQFNQMSETLNQKSSIQGKVPSGYFNSMFDLSGDWFHDAADANYLAFDGYFIALYYLHLTASPLVLQDEVKKAVPSNWDPASLARFIQTYGTHIIVGTAIGGQDLISVRQRPSSPIPPAEVRRHLEDLGDILFSDKRSPSTLQRRRDGKVPEIFTRILQSSSIQLTSISETSSKDGITLICSKRGGDPFLHSHTSWLQTVSSKPEVILFKFVPITSLLTGVAGSGYLSHAINLYLRYKPSLGDLQYFLEFQIPTQWAPNFCELPLGHQRKKASCPTLQFTFMSPRTYVTSTQVTSSTKPLVGLRLYLEGKRSNWLALHVQHLTSLPKVMARLMGSSDVSSRSRWLGSDDSHSNSQFLEAVKWKSYSNVCTSVVRHDPNWLQQDGDGVYIVTGAQLLTKGKWPKTILHLRLQFTHLPNCAIRRTEWAAAPETGRKSTFLTNLSTTFTFTQRAAGDKKKQVPGALNSGVYGEAPPVPVRSKKLLKYVDTAEVVRGPHDAPGHWLVTAAKLVTEGGKIGLNVKFALLDYNS >KGN48885 pep chromosome:ASM407v2:6:25599240:25601517:-1 gene:Csa_6G504650 transcript:KGN48885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGFFTLGGGGGGRDEEQNNNRPNQFIHPPPDSSLFWYKAGNVELWQQQQQQQHHHHHQEQPLFQTQSRTTTTTTPYSAPLAVRNSDESSSRSGNFMMISSGSGGGGISCQDCGNQAKKDCSHMRCRTCCKSRGFHCETHVKSTWVPAAKRRERQDKLAALQTHHHHHHQQQLQLHGGDNNPKRHRDYNSSSLACTLIPTNNNTSGLEIGNFPAELNSPAVFRCVRVSSADDTDDQYAYQTAVNIGGHVFKGILYDQGPENNYIPPGETSSGGGGSSSGVQPLNFIAGAADATTGSGGSTAALPLLDPSSLYSTPLNSFMAGTQFFLPPRS >KGN46023 pep chromosome:ASM407v2:6:3549043:3550684:1 gene:Csa_6G044530 transcript:KGN46023 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 77A3 MASSSSSSSFSDHRLFFSFLAFTLSAFIFFLAHKRTSKPRLNLPPGPRGWPIVGNLFQVARTGKHFFEYIEDIRHNYGPILTLQMGARTMIILSGPDLIHEALIKRGPAFASRPPENPTRIVFSNNKFSVNAALYGGVWRSLRRNMVENMLSSTRLKEFRDVRKKAMDKLVQRIRTDAEANGGAVWVLKNARFAVFCILLAMCFGLEMDEESVEQMDQVLKTVLITVDPRIDDFLPILRPFFAKQRKRAMEVRREQIEFVVQFINRRKKALQNPGSDNKATSFSYLDTLFDLKVDGRKSTPTDAELVTLCSEFLNGGVDTTATAIEWGIAELITNPNVQKKLYEEIKQLVGDRKVDESDVQKLPYLQAVVKELLRKHPPTYFSLTHSGIEASKLGGYDIPMEASVEVYLAGMSNDPKVWKNPEKFEPERFMSGEEEVDMTGIKGMKMIPFGVGRRICPGLGMATIHVHLMMAKLVQEFEWSSYPPNSELDFTKKYEFTVVMKNSLRAIATTRA >KGN46109 pep chromosome:ASM407v2:6:4146043:4155125:1 gene:Csa_6G053330 transcript:KGN46109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVNFATALSVLFILFGATVSGLEYVQWEIWAVGSGPDVDQIRRGKYTARTTFLSVARQIRYNLASLHKSPSREMKQADVPTLGLFLVVLLAAATFEPISSLPSTIPAFLWSPHQRHGFSNNILEKYVDYQTISPQELAKSVLNEGGWSQLLCTGKEVKQHVDLAIIFVGSELQSDFTSSRHVDPNLMDLLKVSFSRSNFSMAFPYVAAPEKGAVEKLLISEFKQSCGHDLRISSSAFQELSSVEDESFQKLSLLPHSINDYMVSRMENKREGETELVIFSHGDFSSPEEGNPWTSESKTLSEIMTSAEHVGAKYEILYISDPFRSIRHSYVELGRFMAEGSSVNESAKSESFCDEVCQIKSSLLEGLFVGIVLLIILLSGLCCMMGIDTPTRFETPQDS >KGN47620 pep chromosome:ASM407v2:6:16543254:16544914:1 gene:Csa_6G365200 transcript:KGN47620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVNGLGIVRLFGKHKSNSRSCGENLLLTWSASIPILLVALVSAISSPRFLRLLMNLAGEPLLFNNPLGGGTVESSLLPIFIVRIWDFTSLSRGCWDTCCNFVHACITCLFMRTFSFPFFIWKLWGANLASSMALTGILTMHRGRDSPIKLQNITHTATSSKHKREFGMDFESDWTRHVKSSAFAAPVIRSATSFMRWSCRIPISEGYHEFL >KGN46125 pep chromosome:ASM407v2:6:4305696:4306885:-1 gene:Csa_6G055950 transcript:KGN46125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTTTIVSEACTFVGIANYGKSIGLDEKIKVDVIVISSVVVDPKTSALLDKGECISQKGLCSRFDYASLIFQGKEKKERR >KGN46156 pep chromosome:ASM407v2:6:4571513:4571848:-1 gene:Csa_6G058700 transcript:KGN46156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPLAYLFLAILLAVASFSPSSLSIAATAAPSYGIPYPPPLPRPLLFHNDHSSLPPSPAAEEYPAKSPRRVNGERKDKCSEAPRNGNKDAKPNKDPWPKCPVPKSPPPPF >KGN47969 pep chromosome:ASM407v2:6:19435577:19436822:1 gene:Csa_6G421630 transcript:KGN47969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEKMTRDHDKKCMEERFKETVLSKGLEAAQAEVNDMDWESTFFLRHLPESNISQMSDLDEEYKKIMKEFAKKLENLAEELLDLLCENLGLEKGYLKNAFYGSKGPTFGTKVSKYPPCPKPDLIKGLRAHTDAGGIILLFQDDKVGGLQLLKDGDWIDVPPMRHAIVVNLGDQLEVITNGRYKSVMHRVLITQTSGTGRMSIASFYNPGSDAVIYPAPALVEKDEEKKEVYPKFVFEDYMKLYLGVKFQAKEPRFEAMKANANLGPMATA >KGN46358 pep chromosome:ASM407v2:6:5842597:5846352:-1 gene:Csa_6G087860 transcript:KGN46358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNWSLSFKSMAVPSNFTSFPPNSPRPLSFFFKASVFPLPFYNSCTSKSISISKHNAFTGFTRTRPMSIQSVSSSSSSSSSSSSFGSRLEESVKTTITQNPVVVYSKTWCSYSFEVKALFKRLGVQPLVIELDELGPQGPQLQKVLERLTGQHTVPNVFIGGKHIGGCTDTVKLYRKGELEPMLSEANAKNSET >KGN45621 pep chromosome:ASM407v2:6:133555:136287:-1 gene:Csa_6G000690 transcript:KGN45621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPDLNTTLSKKTFVFGMKVWVFTGILVGVFIVIVLVVLSICVVTSRRKSRRVSGMLPLSRISIASKEIKEIGVDHVSAIQHGPVPHDGFDTESEKVLLYSNKAYNYSISSSVDNTEIYAIGSGEGSKEASVLHRPSDSIPPDPSPLAGLPEFSQLGWGHWFTLRDLELATMQFSNDNIIGEGGYGVVYRGHLINGTPVAVKKLLNVGQAEREFKVEVEAFGHVRHKNLVRLLGYCIEGTHRMLVYEYVDNGNLEQWLHGALCHHGYLTWEARIRILLGTAKALAYLHEAIEPKIVHRDIKASNILIDDEFNAKLSDFGLAKLLGSGKSHITTRVMGTFGRPAHEVNLVDWLKMMVGSKRSEEVVDPNIERKPSISELKRVLLTALRCVDPDADKRPKMSQVSRMLESEEYPIRRQDRRPRKGHATATKKEPEKQERGPRRHR >KGN48687 pep chromosome:ASM407v2:6:24523869:24524834:1 gene:Csa_6G497370 transcript:KGN48687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPEKLPSGGSRQPKPISDHNQHPLKCPRCDSPNTKFCYYNNYSLTQPRYFCKTCRRYWTKGGALRNVPVGGGCRKNKKLKSSSSSPSLRLPSSSNDDSGSSNSEIGRLGFFVNGFSSAQLSGNRNSSFSSAPTMGLYDQFGGFSLDQSRSLNNSFINPSTGFVNSLNVDTSLASSIESLSSMNQDLHWKLQQQRLAMLFGSGHNNTAIDKNRGVSSPIALEDHGQELNPGSFRNLEISKPEACNNSSDFSNARKETVVDAGARAGGESAVADEWFFGDSYTAPSMTTTGAAAAGYNSGDSAARCDGVQEWHDLHPYTHLP >KGN46292 pep chromosome:ASM407v2:6:5464741:5468045:1 gene:Csa_6G080350 transcript:KGN46292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLYQLQVCILLHFLFLISVLVNSHHLCHPKESSALLEFKNTFWKQDLGDEFVGQPSYRPYSTWNDSTDCCLWDGVECEDDEGEGSHVVGLHLGCSSLQGTLHANTTLFTLSQLKTLNLSYNNFSGSPFSPQFGILTNLRVLDLSYSSFQGHVPLQISHLSKLVFLDLSYNYDLSFSNVVMNQLVHNLTNLRDFGLAETNLLDITPISNFMNLSLSLASLDLSSSYLSGNFPNHILGLPNLKVLRLDDNPDLNGHLSMSSWSKSLEILDLSRTNFSGEIPSYIGEAKALRYLDLSFCNFNGEIPESIENLTQPPNLQIHSNSSHCFLNLNQQVSSNPFQNNVCLHTLSNIIHLDLRNNSFIGGIPSWPYSSPSLKYLDLSNNQFFGFVRNFRSNSLEYLDLSNNKLQGEISESIYKQLNFTYLDLGSNNLSGVLNLDMLRIPSLSSLDISNNPQLSIFSTTVTPANLLFIRMDGIKLEKFPFFLQNQNNLSYLDLSNNQIVGKIPEWFSELGGLSVLLLSHNFLSSGIEVIHTMPKLMMVYLDFNLFNKLPVPMLLPSVTTYFSVSNNEVSGNVHPSICQATNLNYLDLSHNSLSSELPSCLSNMTNLDTLILKSNDFSGVIPIPPRIRNYIASENQFDGEIPHSICLALNLQILSFSNNRMRGGTIPSCLTNITSLSVLDLKGNNFVGMIPTFFPTGCQLSSLNLNDNQLKGELPQSLLNCENLQVLDLGSNKITGHFPYWLKAASNLRVLILRSNRFYGNINNSFNKDSFSNLRIIDLSHNSFIGPLPSNFFKNMRAIMQVENKKYSSYDENEVGDYYQDSIVISLKGLDQKLERILLIWKTIDLSCNNFNGEIPKEIGMLRSLVGLNLSHNKLKGGIPTSLGNLNNLEWLDLSTNQLVGRIPPQLIGLTFLSYLNLSQNQLSGPIPQGKQFGTFRSHSYLENLGLCGFPLAKCDAHQNDHKSQLLHEEDVSNLEKGIWLKAVLMGYGCGMLFGIFIGYLVFQCGKPDWIVRIVEGRRAQKIQTCRRSYRHRKRNN >KGN46943 pep chromosome:ASM407v2:6:10746925:10747884:-1 gene:Csa_6G151800 transcript:KGN46943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVNMIIMAMVAHELQPSNQTIYHVGSSTRNSMRYIDFKRFNYQYFTEKPWINKDGNAVKVGKVTIFNNMASFRRYMNVRYLVFLKGLEFANKAFCHSFQDMYVDTKRKFNLVMRLIELDRPYLFFSAVFDDTNAERLRRNIQNKDTETETFFLDPKDINWEDYFMNVHIPGLVKHIFK >KGN46340 pep chromosome:ASM407v2:6:5725230:5729028:1 gene:Csa_6G087690 transcript:KGN46340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKGSWIAAIKRAFTPNSKEKLGNEFEKRKKKEKNKGVGKLRSNGESNSFIPLFREPSSVEKIFLDFEREQQRVTFRPSSPPITPPFVTPRNNASPRISSARRPSPSVSPPRNASPTIINRPKEFRFRPEPTLRNHHASATKIQAAYRGYVARRSFRALKGLVRLQGVVRGQNVKRQTMNAMKQMQLLVRVQSQIQSRRIQMLETQSLHHGPNHKDIIDSTALGKLNFTQASEAAGNQEADWDDSLLTREEIEARLQRKAEAIVKRERAMAYAYSHQLWKASPNSAQTAMADIRGTSGFPWWWNWLERQLPPSSSNDNNNNNNNISNSEPQTLKNFLLAPQTPQQNQATTTPTNNKNSNIDHHQPMTLTPKSTKSAILTTPKPSRPSPNMFRTPPPGTSRSFSRARGSTDHSSPLFFDMGIKDDESLTSCPPFSVPHYMAPTVSAKAKLRECSTPTPITTTTNNNNSQSKTRISFPFRWKNDKPNLFSNKSSNKNLSPNINSQRGLDYNNNNNHNNNQSMGNLSVDSSISLPAGIGRKPFNRFV >KGN46017 pep chromosome:ASM407v2:6:3457939:3460940:-1 gene:Csa_6G043490 transcript:KGN46017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGDSTTSVLGGGAGGDSAVPATTTHRQDGLIVDMDENNNNSGEDERGRSSGGGGDDGDRGFGGNRWPRQETLALLKIRSEMDVAFRDASVKGPLWEQISRKLGELGYHRSAKKCKEKFENVYKYHKRTKEVRSGKPDSKTYKFFEQLEALENHPPLNFHSHLSKPTPPPPLPPPPTTVISHIPSTTVPSTTTTTLPHLLNISFSQPNPTIHLPSPPPPPAPLPLNNPTSLPTTVPPAVPFQINVSSTGVGMGFQSIEADLISNSTSDDVNSSTSSDEASRRRRRKRKWKDFFERLMKEVIDKQEEMQKRFLEAIEKREQERVVREEAWRMQEMAKINREREILAQERSMAAAKDAAITSFLQKITESQHNNNNNNNNPSQLSPPPPPPPSQQQQIPTSNPSPVVHPQQQPQLQPQLQPPPPPAPQASTLQVVVPNSTPQKVGNNNELLQMEIMKMDHNGGENYSISPASSSSRWPKVEVQALIKLRTNLETKYQENGPKGPLWEEISSAMKKLGYNRNAKRCKEKWENINKYFKKVKESRKTRPEDSKTCPYFHQLDALYREKSNNNNNMITSSTPIMQHQQQPLMVRPEQQWPPQQEMARPDSGNEEMESEPMDRDDKDDDDEDEEEEEEDEGGGNYEIVASKPATVSAAE >KGN45919 pep chromosome:ASM407v2:6:2253048:2274830:1 gene:Csa_6G022310 transcript:KGN45919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFSLHQRPKPPIVDGEDGDDINIDVYNAGTPIRYLSLDHVYSTTSPFVSTSGSSNVMSKKVKARRLMVNHFDDLNFKPPRLLHVYSRRRKKPRHSSASSSMYDSLVEQVELGSTTVMESEACETDEMVNGVDGHAEEFEVDRTPKNKKKKNDKFGCNELVKLEVDSSVIRTMNGPRLRDCRTHSNNNNNSGQSKKRNSSQISEKTTFKSPTAKRWVRLSFEDVDPKVYVGLQCKVYWPLDAQWYCGRVVGYNSETSCHHIEYEDGDREDLVLSNEKVKFHISGEEMQTLNLNFGVDSVDSDAYDYNEMLVLAATLDDCLEPEPGDIVWAKLTGHAMWPAIIVDESLIGDRKGLRNISGGRTVPVQFFGTHDFARIKVKQAISFLKGLLSFFHQKCKKPHFMRSLEEAKMYLSEQKLPPSMLQLQNGIEVDDFASASGEEEGTTDSGEECLNEGGGVRCALNGYRSPFKVGDLEIISLGKIVKDSKYFQNDGSVWPEGYTAVRKFSSLTDPNVCTLYRMEVLRDFESKFRPLFRVTLDNGEQFKGSSPSACWNKIYKRMKKIQHTSDASTETKGEFVYKSGSDMFGFSNPDVKKLIQGISKSGLSSSRSLSKVASKKYKDFPIGYRPVRVDWKDLDKCSVCHMDEEYENNLFLQCDKCRMMVHARCYGELEPVDGVIWLCNLCRPGSPDCPPPCCLCPVIGGAMKPTTDGRWAHLACAIWIPETCLSDIKKMEPIDGLNRINKDRWKLLCSICGVSYGACIQCSNNTCYVAYHPLCARAAGLCVELEEDDRLHLLAADEDEEDQCIRLLSFCKKHRPPSNERLMAEDRIGQAGQQCSNYTPPCNPSGCARTEPYNYFERRGRKAPEAVAAAALKRLFVENQPYIASGYSQHLLSGNLLPSSGVLGMKFSLQHLKTCQLDPRNILSVAEKYKFMRETFRKRLAFGKSGIHGFGIFAKHPHRAGDMVIEYTGEIVRPPIADRRERFIYNLLVGAGTYMFRIDDERVIDATRAGSIAHLINHSCEPNCYSRVISVNGDEHIIIFAKRDIKRWEELTYDYRFFSIDEQLACYCGYPRCRGVVNDTDEEERVSKLHVSRTDLVDWRGE >KGN46237 pep chromosome:ASM407v2:6:5151168:5154282:1 gene:Csa_6G076850 transcript:KGN46237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGEGGVAHGGGPCGACKFLRRKCVKGCIFAPYFDSDQGAAHFAAVHKVFGASNASKLLHRIPPPKRLDACVTLCYEALARVRDPVYGCVSQIFSLQQQVVNLQAELAYIQAKLSTLQRLFPPPLHTPSPTTCNTPLPEAIPDSDMGLFPNVPMLLDPLQTQLGCNEMTNSFLNPVDYQDQMDEDGGLQSLAREFVSRCLPGVRIRPPCSQI >KGN47080 pep chromosome:ASM407v2:6:11989762:11991093:-1 gene:Csa_6G185290 transcript:KGN47080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTGVVTVYGNGVICEATKKSPFSVKAGLAQMLRGGVIMDVVNAQQARIAEEAGACAVMALQRVPADIRAQGGVARMSDPQLIKEIKQAVTIPVMANVRIGHFVEAQILEAIDIDYIDESEVLTPADDKNHINKHNFRIPFVCGCRNLGEALRRIREGAAMIRTKGEAGTGDIVEAVRHVRSVMGDIRMLRNMDDDEVFCYAKQIGAPYDLVMQTKQLGRLPVVHFAAGGIATPADAAMMMQLGCDGVFVGSGVFKSSDPARRARAIVQAATHYSDPDVLAEVSFGLGEPMAGINLSDQNVNVERYANRSE >KGN48721 pep chromosome:ASM407v2:6:24717372:24719592:1 gene:Csa_6G499160 transcript:KGN48721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDDHQIISVPLLEESTPILQSHDRDDDQNSEDLVRRVWIESKKLWYIVGPAILSRVSTHSVMVTSQAFAGHLGDLDLAAISIALNVIIGFDLGLMMGMASALETLCGQAYGAKRHYMLGVYLQRSWMVLFMCCVLLLPVFIFASPILKAIGEGDELAELAGVLARWLIPLHFSFAFYFPLQRFLQSQVKARAIMWVAVVGLVVHVAASWVFVGFLKMGVVGIAVACDISWWVLPIGLMGYSAGGGCPYTWTGFSLEALSGLWDFLKLSAASGVMLCLENWYYKILIVMTGNMKNAKIEVDALSICMGINGLEFMIPLAFFAGTGVRVANELGGGNGKGAKFAAIVASTTSLVIGLFFCCLIVIFHDKFGLLFSSSDIVLQEVNRLSILLAFTILFNSIQPVLSGVAVGSGWQSYVAYINLGCYYFIGLPLGIFTLRFTHLGVKGIWLGMIFGGTGIQTMILLIITIRCDWEEEAKKATLRIQKWTDQKFLPKQ >KGN49271 pep chromosome:ASM407v2:6:27450303:27452544:1 gene:Csa_6G518260 transcript:KGN49271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTESNLPSDPSPSQPKMSNEVGTGAPKSEFTGHKVRFPNPPEIQNPDPATLRDQWRFAIKQYSKWYSHAWGTAILAGISFFALGWVIKGSNPLPSRQDDSNSPSSSASTSAPEARP >KGN47523 pep chromosome:ASM407v2:6:15887299:15891955:-1 gene:Csa_6G355420 transcript:KGN47523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRLDLLLITLALILSPVLSDLIFTKVDRRIDLTSQIVRVSSTIRVENEGTDVVSEVLLAFPEEQAKYLAHIQATLKEGKGKTKGPAINFPVDVVYPKEIPPALKFYSVSLPKGLNKGDSLTFDVLAVFTHVLRPFPETITQGDVQFVVFLDSAYFLSPYTVKVQSLSVKLPEARIESYTKLENTKIHGSEIKYGPYENLPPYSFTPIRFHFENNKPFPVAQELVREIEISHWGSIQITEHYNLVHGGAQSRGEFSRLDYQARPYAKGASAFRNLVVKLPPRTHSVYYRDEIGNISTSHLWGDSKKTELEIEPRYPLFGGWRTSFTIGYSLPLQDFLFQDQGKRFLSISFGSPINEVVIDRLVVMVVLPEGSSDISVSVPFSHKQWYETKFSHLDISGRPVVVLEKEKVVPEHNQHFQVYYKFNSISMLREPVMLIFGFFILFVSCIIYMHSDMSISKSSASYLAKLQWDEVQTVIQQVQNVINRCLTTHDKLEASLRDLSRTGDVQACKAARKTVEASLKELSKELKPLISFLQSSQQATQILPKVEELVAKERELQERLVAKHTTVVDCYEKKLGGREIENKVTSQQQRITTLRQEVDDLLEFIDEI >KGN48523 pep chromosome:ASM407v2:6:23417166:23419487:-1 gene:Csa_6G490870 transcript:KGN48523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQRSLPAPFLTKTYQLVDDPATDDVVSWNPSGSTFIVWKTADFARDLLPNYFKHNNFSSFVRQLNTYGFRKIVPDKWEFANDNFQRGHKDLLIKIRRRKAILITTPIRTLHTLKSGAAAPNSSPSNSGEDIGSTSTSNPGSVDMGTIAQFADLTEENDKLRKDNEMLNSELVQTKKQCDELVAFLTDYLKVAPDQINRIMKQEANNRVCSEGGADNGRSEDEEEEEEEEEEEEGETLKLFGVWLKGKKEKKMKRGREEKKGCSNGPHAKAMKSADEMHAPLMRSSNVCN >KGN48943 pep chromosome:ASM407v2:6:25931426:25932956:1 gene:Csa_6G507170 transcript:KGN48943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRITKALLKEATSGFTNTLSQILVCPLSKQPLRYCAASNSLISDTIGVSFPIRDGIPCLVPKEGRIIENDDALKDEDTVEITREK >KGN45635 pep chromosome:ASM407v2:6:251608:252600:1 gene:Csa_6G002300 transcript:KGN45635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSLENLDPFLDAIYILSRFGENANIECSPSMFSLIVPHHNLELNVAFQMMPQFFNYFFSNRTHSSKIPIQPLFNTIKRMKEYQITSLSFFVLKLLDRLVLKFSSPRNELPLIRKFRMRCAVKEDMGNIDLETFVSIDSQQFRRVVTGCRDYFVRVTTTHSHVRFSNEIKEFIFAREGGECIMEGVGKGKGTEFLIPIYPTHVFYNITFRAKRVWLFKSIDKLGTFIVAPVGLFARFVIYFPLG >KGN45727 pep chromosome:ASM407v2:6:804354:808081:-1 gene:Csa_6G008580 transcript:KGN45727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCDDSYSLALKRRRFSYTSNSMADQSSKAKLLSVKEKYGREIRVFETMPPSSSTEELPNAGEEPDGFYEFTAEDYYRVLATKKDDKYLKTRKLREAEEAARRSKITKVSVRVRFPDNHTLEATFHPSERMQTLVDLLTKVVAQPELPFYIYTTPPKKQIKDLMQDFYSAGFVPGAIVYFSYDLPEGESVGSVSYLNEDAMLLKDLEIVSEEEESTSAVAEPETAASPPAPTPVVKETKPTDKKAIKPKWLKM >KGN48356 pep chromosome:ASM407v2:6:22205710:22206072:1 gene:Csa_6G483380 transcript:KGN48356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSAGGTGSNRRVQSLSSPLKTTIIIYRVWFYGMKGKLNLLPQQAAMLCTKSNEKVEKSILFWPLISQQYFPSANKITDSLRSCRKNRLRTNSKESILTSRETLKPPEQKQTSLKLLVG >KGN48982 pep chromosome:ASM407v2:6:26095683:26096793:1 gene:Csa_6G509020 transcript:KGN48982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTTPSPNERDNEVGNLISAPMFNEMFKYQKDPKCESQGFYCYEAFIIAARYFSGFGFATTGKPSVRKREVAAFLAQTSHQTTGGWLTGPDGPSFWGYCHIKETTNDSYCDPKWPCAPAQKYYGRGPMQLRYNFNYIKAGKALGLDLLKNPDLLATDPVVSFKTAIWYWMTSRGLNIPSCHDVIIGKWRPTKADIAARRLPGFGVITNIINGGEECGHDADISVFDRFEFFIRYCKMMGIKTGKHLHCFFQDPFTRM >KGN49386 pep chromosome:ASM407v2:6:28013452:28015984:1 gene:Csa_6G522790 transcript:KGN49386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLFLPNAITSSFFNFNSQRLHSCPLCNSFLSLSFSSNRLTSISILNSNKMEGNEITEETAQTQSGENLNVKRKIFVAGASGSTGKKIVEQLLARGFEVKAGVRDVSKAKTTLFPANPALQIVKADVTEGSAKLAEAIGSDSEAVICATGFRRGWDLFAPWKVDNLGTVNLVEACRDLGINRFILISSILVNGAAMGQILNPAYIILNALGLVLIAKLQAEKHIRKSGIDYTIIRPGGLKNEPPTGNLVMAPEDTLYEGSISRDLVAEVAVEALLHSQASYKVVEIVSRDDAPKRSYEDLFGSVKQH >KGN46638 pep chromosome:ASM407v2:6:8025405:8026695:-1 gene:Csa_6G117200 transcript:KGN46638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIEREITHLMHPRHKLRLEYTEIPYNCDGCKEAGIGYKYKCQQCGFNLHKVCAVGAPRITHPFYEKCEFKLYYYPPGKGKRVCDACRTYVHGFVYHCNSCDFDLHPCCANLPQVLDDGKHNLYLCNKLSSSCHSCGGKGLGWSYRSQCKTYNLHLSCVKEMLVESWQAIYFNVDKNKVRQMHTSIPSLKGSLQNRPGARGTVKKYGQMAGTAARAIISAILGDPTAIVAAVIGGIISK >KGN46197 pep chromosome:ASM407v2:6:4918343:4924539:-1 gene:Csa_6G074010 transcript:KGN46197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLGHAEGLSQRQHSKDHLLSDLVCELDIDEAADCSEQILYEASFKEFGRYSVQYDTIIWLSISLLLVLAWGFGIIMLLYLPYRRHVLQKDFSSRKLYVTPREIVYKVSRPSFIPFWGTTKIEKHVPLSLVIDIIIEQGCLQSIYGIHTFRVESIARGKASPVDDLQVQGISNPGLLRKMIVREASKVIQDFGRSWNRTSITAEGESILASISMEGSTVLKSPSRGFKTTRSSHYVLREQRSILSQELLLQKLEEVNRSVKKIEQLITAPTYPQKSPEKKKHQAGL >KGN46538 pep chromosome:ASM407v2:6:7122233:7125669:1 gene:Csa_6G107880 transcript:KGN46538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKGGSSWLTAVKRAFRSPTKDDDEKRRDKRRWIFRKPTNQQPEPLAAHQPPSKPAPALPIDSVALEDQKHALAVAEAAMVAARAAAQAVHLTRPARPGLDRHRLAAIVIQTAFRGYLARRALRALKGLVKLQALVRGHNVRKQAKTTLQCMKALVRVQEKVLDHRMRLSLEGSRRSTFSDTNSVYESRYPQDVSERKTICVSREGSSITEDWDERAHTVEEVKAMLQLRRDAALNRDNILSHSFSQQIWRTGKSPSIGNQTELEEGHKWLDQWMAKKPWESRARASIDHRPQPLKTLEIDTSRPYSYLSPNNLHTTNYQPQSQRSNSLSSSSPLHRAHQHQFSITPSPSKSRPVPQVRSASPRYSREDRNQINHHHVTSQTPSLRSKYQHQKGGGGGGTSMPNYMAATESAKARVRSQSAPRQRPATPERERVGGGGTARKRLSFPVPPDPYGRIAGGSVYGNSMKSPSFKSACGRYGGLEEQSNYSSCYTESHGGEVSPSSTTDLRRWLR >KGN45876 pep chromosome:ASM407v2:6:1910404:1910724:-1 gene:Csa_6G016940 transcript:KGN45876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIRAKKGMNLRSERVNAGEMNPRITGLDNETLEKVNPRSKKCMIVSRRWWKSQVYHETLVKRISSLGDERIPSSVEEL >KGN48977 pep chromosome:ASM407v2:6:26072232:26072507:-1 gene:Csa_6G507500 transcript:KGN48977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGTLSSSGILTKNFFAMDFHFIQIHAPFGEDVCSVFLLCALHMFDKTPDSTSSHVSLMASLKD >KGN47883 pep chromosome:ASM407v2:6:18831296:18831671:-1 gene:Csa_6G409400 transcript:KGN47883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDFKSASFFALGRTRAKLGCLWPKRLVDAKHQHAEIEQAAATGTNLESISLANRVFNWEISCMMH >KGN47659 pep chromosome:ASM407v2:6:16785088:16788459:-1 gene:Csa_6G366570 transcript:KGN47659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGGVRIQLNRWQQAAVALGSAVGALLDPRRADLIAALGETTGKPAFERVLERMKKSAEGRAVLMERPRVLSSEVGHAWDLPSNTFGGAYANFMGSRNFSPDDRPPVRFMDTEELEYVAMRAREVHDFWHTLFGLPTNLIGESALKVIEFEQMHLPMCMLSVLGGTARFNEKQRKLFFQHYFPWSIRAGMQCTDLMCIYYERHFHEDLNDVRAKWGIIPAPPSSQV >KGN48196 pep chromosome:ASM407v2:6:21016423:21019868:-1 gene:Csa_6G446560 transcript:KGN48196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFCSTPIVFFFILLYFIHSSSISAQEVEDEREFDYAEGSQKGPGHWGEIKKEWEACNNGDLQSPIDLSSQRVKIVPQLGELKRSYYPCNATVKNRGHDISVYWYGKPGSIEINGDVYDLQQSHWHSPSEHSVNGRRYDLELHMVHQSSDPTVKNKIAVVGQLYTIGQPDPFITQLSREILGMVDRKHEKKVGVMNPADIKFGGKKYYRYLGSLTVPPCTEGVIWTMNKKIRTVSREQVRLLREAVHDYAEFNARPLQPLNSREIGLYRPIQRTRS >KGN46573 pep chromosome:ASM407v2:6:7387101:7387454:-1 gene:Csa_6G109680 transcript:KGN46573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTTGKNLVAVLAFSTLAMMFMENVEGERKMGLKEATKAYNGQVLNEKMEYNPNEDEYDCDGYKYKDKDCYDYGNCDKSPYDHSDDDDGQYNWNSYKNPVPKPKEVDEKYKVHKNFP >KGN46430 pep chromosome:ASM407v2:6:6336968:6337901:-1 gene:Csa_6G092520 transcript:KGN46430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKKRKKKKKIMMMMILKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKRQAWWRRM >KGN47250 pep chromosome:ASM407v2:6:13403868:13404203:-1 gene:Csa_6G235580 transcript:KGN47250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKKAKNDGKISEAKRIEAQGGAIVRLTQVINDLTNRLDRMEVALRNHHQGEVLNEGVENEEDEDNETFLAGQNPRGRRVLGQGRRRERRDVRGMLPERRVEVEHQNERRD >KGN48283 pep chromosome:ASM407v2:6:21702632:21717549:1 gene:Csa_6G454310 transcript:KGN48283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFELQGTSFLPSSSSTPRLPRNSSRTYFSCKKAAQLDGLLSSWGNSRKRCLIRAVFSEKSYSNLNHSFIGFRKSYLQLCRKRNVSPLASADESVTVNGSPQASASSDVGKMRIRLDDSRKQDYNDGLVQSLHDAARSFELAIKEHSASSKTTWFSTAWLGIDRNAWIKALSYQASVYSLLQAASEISSRGDSRDRDMNVFVERSLLRQSAPLESLIRDQLLAKQPEAYDWFWSQQIPVVTTSFVNNFERDPRFAAATALDGRGLTVDPGNTRDTSLLMLALACLAAITKLGPAKVSCPQFFSIIPEISGRLMDMLVEYVPISEAFQSIKSIGMRREFLVHFGSRAATCRVKNDGGAEEVIFWVDLVQKQLQQAIDRERIWSRLTTSESIEVLEKDLAIFGFFIALGRSTQSFLSANGFDLVDDSLGSFIRYLIGGSVLYYPHLSSISSYQLYVEVVCEELDWLPFYPSNPSYLKPSHGHASKREGPPNVEAIPQALDVCAHWIECFIKYSKWLENSSNVKAAKFLSVGHTKLTECMEELGILKNEMLERNTNISVGKTGSSNSSTTECETESFDKALESVEEALKRLEQLLQELHVSSTNSGKEHLKAACSDLEKIRKLKKEAEFLEASFRAKAAFLQQDDDESLAQSSSSSQHEYPKGKSKKRAKTVSNRSNRSRRLWNFLVPSTWQPDPELGLDEPEDIIGRHTSDIGVMNTELNEFHRFELLRNELMELEKRVQRSSEESETDEDLKDADDTASTFRNSENSQLVQIQKKDNIIEKSIDKLKETGTDVWQGTQLLAIDVAAAMGLLRRVLIGDELTGKEKKALRRTVTDLASVVPIGVLMLLPVTAVGHAAMLAAIQRYVPSLIPSTYGQERLNLLRQLEKVKEMKTSEVNSDENTEEEVE >KGN48939 pep chromosome:ASM407v2:6:25917428:25920206:1 gene:Csa_6G507140 transcript:KGN48939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIPNYLDFFFCFILLLCFCHAGAAARSKFLPENEEVSAIIVFGDSIVDPGNNNYLKTLVKCNFPPYGRDFNGGIPTGRFSNGKIPTDFVAEEFGVKELVPAYLDPHLTTQDLLTGVSFASGASGYDPLTSKITSVLSLSDQLELFKDYIKKIKAAVGEEKATAILSKSVIIVCTGSDDIANTYFITPFRRFHYDVASYTDLMLQSGSSFFHQLYALGARRIGVLSLPAIGCVPSQRTLFGGAARGCSEAANSMAVLFNSKLSSLIDSLGNEYSDAKFVYLDVYTPFLALIQNPAEYGFEEATKGCCGTGSIEVSVLCNPLSSKLSCPSPDKYIFWDSYHPTGNAYKALTSRILKDSIPKFF >KGN47055 pep chromosome:ASM407v2:6:11819312:11823346:-1 gene:Csa_6G181600 transcript:KGN47055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGATATTSEPNRKSISINVKFTGKSIPITLPPDSTVKDLKSLLQPLTNVLPRGQKLIFKGKVLADEMTLAASEVANGAKMMLMASQGLHQGDGPILREARTRPRERSVQNASKLVDEKQRVPVDKSRFERWKATGVIALSDCNLKVIPNEVWSCETSARVLDLSNNSINRVPSQVGSLSKLQKLLLNVNEISDESISWNGFPFLKHLTVLSLSHNLLTTLPSALGSLTSLKQLHVNNNKLMSLPDEIKFLTRLEVLKVGHNRISVVPSTIGECSSLTEVDLSSNLLSELPETLGCLLNLKALHLNHNGLTSLPSTLFKMCIQLSTLDLHNTEITIDLLRQYEGWKAFDERRRLKHQKQLDFRVMNQADFDEGADKH >KGN48220 pep chromosome:ASM407v2:6:21252949:21253429:-1 gene:Csa_6G449270 transcript:KGN48220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTAEDVAIGRFFQYGGSMQNYYMYHGGTNFGRTSGLFENYTDIQITRVKKVCAKPYAGSTLELSCHDRTIKDIDFVSFGNPRGNCDNFQKGTCDSSTALCH >KGN46117 pep chromosome:ASM407v2:6:4233632:4238155:-1 gene:Csa_6G055380 transcript:KGN46117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSSKADKKAAVDAAAWMFNVVTSVGIIIVNKALMATYGFSFATTLTGLHFATTTLMTVILRWLGYIQASHLPLPELLKFVLFANFSIVGMNVSLMWNSVGFYQIAKLSMIPVSCLLEVVLDKIRYSRDTKLSIAVVLLGVGVCTVTDVSVNTRGFVAAIIAVWSTSLQQYYVHFLQRKYSLSSFNLLGHTAPAQAGSLLVVGPFLDYWLTTKRVDQYDYNLASTIFIILSCSIAVGTNLSQFICIGRFTAVSFQVLGHMKTILVLIMGFFFFGKEGLNMQVVLGMIIAVIGMIWYGNASSKPGGKERRSHTLPTARQQKHGGLTDSAEHDGKV >KGN49540 pep chromosome:ASM407v2:6:28975419:28977152:-1 gene:Csa_6G538690 transcript:KGN49540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVMLVNLLLNPSCRHFAFSAKGVNSWALRIRNAPSLHKALAFYSQMHRQSVPHDSFSILFMLKACASSNNLSILHHLHAHITKLGFTTHVFVATSLLHSYVLHSFQLARLVFDEMPHKNSVTWNTMISGYSKAGDVHTARQLFDRMPSRDLASWSAMIAAYINNRNYRGALLLFQDMIINGINPDQMAAGSILNGCAHMGSLGLLAGKSVHGFVVKNRWELNLELGTVLVDMYAKCGFLKYACQIFNLMSERNVRTWTALICGLAHHGCCKEALVLFETMRHEGVEPNEFTFTGVLSACVHAGLVQEGRKYFNMIEECGLEIRIQHYGCFVDLLGRSGLLEEAYGVIKSMRLEPNVIVWSSLLSACKQHKSFDLAERVIEQILEKIEPDNHAGVYSLVSDLYVLQDKWDDAENIRNLLNQHVRKGRAYSLIRSGL >KGN47592 pep chromosome:ASM407v2:6:16395433:16396325:1 gene:Csa_6G362990 transcript:KGN47592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPDPMSNFYDALCVGIDWFENLNTDGFFQLSPDNSEDDEMEQPTPDCQNEPPEQPEEQPPRRRPSLAAQRQRTGRMTVNDVWEYLHLPISEASKKLNVCNTVLKKICRRSGLSRWPYRKIRSYERRIAALRATMNSSYGDTRVRAEAEIERVQKELTDFCARIRI >KGN46793 pep chromosome:ASM407v2:6:9503693:9507765:-1 gene:Csa_6G135980 transcript:KGN46793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYSGAVDRIIGRPPPKTGDVVLVADGTEKPIGWGLYNSVSMFSVRLMQLEEEAARDPSCTLNVEKMIETRVHTARELRKNLGLPSASTNAYRLVNSEGDRLSGLIIDVFGDLAVVASSAAWVEKYKAEIEDCVRGIEEINHVKWRPSVDILKEEGIDVSELKDTSPSTCPERTKVMENDIFYAISLEGQKTGFYADQRENRHFISTISEGQKVLDLCCYSGGFSLNALRGGAINVTGIDSSLPALELAKENVVLNSMDPERISFLKADATAFMKDALSRNESWDVVILDPPKLAPRKKVLQTASGMYRNLNSLAMQLTRKGGFLMTCSCSGAMTQSGMFLQILQGAASTAKKKITVLRQAGAACDHPIDPTYPEGAYLSNILLRVL >KGN48919 pep chromosome:ASM407v2:6:25815598:25815862:1 gene:Csa_6G505960 transcript:KGN48919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLQGGVRVKYNHRILTKNAHKLEIRVTEELAVGSPPPPPPPPTFPEKLRRWIIIHIVIE >KGN48559 pep chromosome:ASM407v2:6:23672922:23673267:-1 gene:Csa_6G491710 transcript:KGN48559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSITNIFIGFILVAALLGNGGLVTVTDARPLTLMRLGSGSAATIAEDFFEGLSLGAIKQSGPSAGGDGHKFVNYDTLGGIKDSGPAPGDGHRHITSSHH >KGN46339 pep chromosome:ASM407v2:6:5720908:5721933:1 gene:Csa_6G087190 transcript:KGN46339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFRRKPWYQRAMEIVGTQWKTTSSKAREITIATANGAFNSKANNGNNNNNSSKYNKMRKCTSLKIATSFTRVCLCAPISSYNEIFQQAEIPPRRSHSYPRSKPFPTNNNNNNGNNTTNHIITHERVLLPSARLSGESSRRFFRGKSLTDDVLMRRFVVEEEAMMQVRRRNQMEVIRKRNLMRRRKKLGPSPLSKMVIAEEEQCHTFNI >KGN48762 pep chromosome:ASM407v2:6:24966418:24968645:-1 gene:Csa_6G500540 transcript:KGN48762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNNPNVVISESKSSINMAITVTVSNSSIFQATAQKPPAGPSSTTGGGYISISRSTKLVQQPDGRARIKTWVDSMRASSPSRIKPTPSLSDHDHDNNSSWMLHHPSALDMFDQIIDASKGKQIVMFLDYDGTLSPIVDDPDRAFMSDAMRKTVKTVARSFPTAIVSGRRRDKVYGFVRLGELYYAGSHGMDIKGPTKDSNYKKCTQSVLFQPASEFLPMIDQVYKELVEKTKSTPGAKVENNKFCLSVHYRCVEEKKWSELAQQVKSVLKDFPQLRLTQGRKVLEIRPTIKWDKGKALEFLLESLGFNNCSDVFPVYIGDDRTDEDAFKIIRERGQGFGILVSKFPKETSASYSLREPSEVMNFLQRLAEWKKRSILPLPGQCQM >KGN48803 pep chromosome:ASM407v2:6:25180127:25182456:-1 gene:Csa_6G501920 transcript:KGN48803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKGTMGIAAENENVQFLENENRKEYTSRTAPTRAKRVEASKPLSVPEYFQDKRNLEDLWKEVFPVGTEWDLLDSVYQYNWNFSNLEEAFEAGGKLYGEKVYLFGSTEPQFVPFRGEYKVIYIPVVVAVVSPFPPSDKIGINSVQREVEEIIPMKLMKMDWVPYIPLEDRNSQVDKLKFQIFILSCTQRRAALNRLKIVRIKKYEYCLPRKLSSIYFYEEEAQLGESAK >KGN46757 pep chromosome:ASM407v2:6:9141215:9147719:1 gene:Csa_6G133660 transcript:KGN46757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEQKKVAIIGAGVSGLAACKFTLSKGLIPMVFEARGDIGGVWTETLETTALQTPKEMFQFSDFPWPKSVTEEFPRYDQVLDYLRSYAQHFGLLNHIRFNSRIVGIDYEGCSDEEMKGWTLWGGSGEAFDERRKWRLNVVDARTNIPLQAIVVDFVVLCTGRFCDIPNIPEFPPNEGPEAFKAGQVLHSLQYSAMDFHTASNLIKDKRVTVVGFQKSALDLAMECANANGPNKPCTVLYKTEHWNLPNHHPWGIPLSLLYMNRFAELLIHKPGEGFFLYLLAVLLSPIRWLFSKIVETYVIRKNRLAKYGMVPSHSFLQSISACVIATLPEKFYDKVDEGSIILKKSQSFSFCEEGIMIEGETEPICSDLVILATGYRGDQKLKQIFTSSTLRDYMTFHNSTISLYRLCVHPRIPQLAVVGFTESSSNLFTSEMRCRWLAEFMDGTFKLPSIKEMENDIAKWEKTFKHYSGPFVKRACIAILHIWYCDQLCKDIGWNPRRKKGFFADLFLPYGPLDYVSP >KGN49284 pep chromosome:ASM407v2:6:27514323:27516147:-1 gene:Csa_6G518390 transcript:KGN49284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVTVSATTPVLPSRPTFAHNHVLFLSPLDTDPNLRVNFRYLRAYVSTNTPQSPSPDPFDVIATALSSALLHYYPLAGTLRRHSHRLELFCAHGQGVPLIRATVDCELASVDYLDNPDETFVEQLVPDPDENEGLNHPCILQVTLFECGGFTLGASIHHSVCDGLGATQFFNAVAELARGARTVSIEPVWDRANLLGPRNLPRIEAPIGEFLSLEHGNLPYSQEVGEVVRECFPVSDDQLEKFKSILFKQSGSRFTAFEALGAYIWRAKVKASAIAGSEKVKFVYSTNIRKQLKPPLPVGYWGNGCVPIYVTVTAEELREQPIWETAMKIQKSKININDGYVRSFVDFQEIHREDGITGGKEVSAFTDWRHLGHSTVDFGWGGPVTVLPVSRFLLGSVEPCFFLPHSCATSSEAGFKVSVTLRKTAMPSFREEMKKFGADHFGVVDLNSRH >KGN46681 pep chromosome:ASM407v2:6:8464688:8472981:1 gene:Csa_6G123470 transcript:KGN46681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNFQYDSEFLKNFLIPNYILRAEAEVESVPCAPNCPILVFVNSRSGGQLGGSLLSTYRSLLNEKQVFDLGEEAPDAVLRRFFLNLEKLKLNGDEVAVDIQKKLRLIVAGGDGTAGWLLGVVCDLKLSHPPPIATVPLGTGNNLPFAFGWGKKNPGTDPNSVVSFLNQVLKAREMKIDNWHFLMRMRAPTEGSYDPIAPLELPHSLHAFHRVTEGEHNVEGCLTFRGGFWNYFSMGMDAQVSYAFHSERKLHPEKFKNQLVNQSTYAKIGSTWFFAPLFHPSSMNVSQMAKVEIMKCHGDWKTLHIPHGIRSVVCLNLPSFSGGFNPWGTPNNRKQRDRDLTPPYVDDGLLEVVGFRDAWHGLVLLAPKGHGTRLAQAHRIRFEFQKGVADHTYMRIDGEPWKQPLPANESVVVEISHLGQVNMLATSNCRSQSVNDPSTPSRYGEDSDEEDFEEDSTQSGEEFRKFGAADTFKIPDEIDISHLS >KGN46446 pep chromosome:ASM407v2:6:6434088:6435277:-1 gene:Csa_6G094150 transcript:KGN46446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAITLSSHFFFQQWDFKSYKEMGKGQNQNGKRRQEEEKGKGKKEEQKKITEVVVKVYMHCEGCKHKLSKCLKASRHGVEEVAADMENKKVVIKGNDLNPERIMEEIKKKYSKNVELISPKELPDTSKQNKPEPKKEEVQMKTVELKMNMHCEGCENDIKQTIGKMEGVMRVETDRESSKVIVKGMIDPPKLMECIKKRMGKKVEIFNKKSEDKSSNNKDSNVQHKLDHFTFKYPPQYSLLHIYPNQTFSDDNVFSCSIM >KGN47208 pep chromosome:ASM407v2:6:12781764:12785694:1 gene:Csa_6G205820 transcript:KGN47208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTRITFSSDDLVVKSPNDRRLYRFLQLDNGLSALLVHDPEIYPDACPKPSEDEEDEEDESEDSEEEEEDGDEEDEEEEGEEEEGNGTDNGEKSAVQTKKAAAAMCVEIGSFSDPFEAQGLAHFLEHMLFMGSTDYPDENEYDSYLSKHGGFSNAYTETEHTCYHFEVKPEFLKGALKRFSQFFISPLVKTEAMEREVLAVDSEFNQVLQDDSCRLQQLQCYTSVPGHPFNRFFWGNKKSLVDAMEKGINLRDQILKLFSDYYHGGLMKLTVIGGGKIHPPPPPLPPIPIPTPTPTTHTHSTFS >KGN49451 pep chromosome:ASM407v2:6:28424173:28427394:1 gene:Csa_6G525380 transcript:KGN49451 gene_biotype:protein_coding transcript_biotype:protein_coding description:Global transcription factor group MADRRNGNSQPSHGKASGAGNTYDIDLVNFSTRLKSLYSHWGEHKSDMWSSSDVLTIGTPPASEDLRYLKSSALHIWLFGYEFPETVIVFTKKQIHFLCSQKKVSLLDVVKKSAFDAVGADVVMHVKAKNDDGSSLMDSIFRAIRAQSKADGMENPVVGYIAREAPEGKLLETWSGKLKNANFELVDITNGLSDLFACKDDTEIMNIKKAAFLTVSVMNRVVVPKMENVIDEEKKITHSSLMDETEKAILEPTKAGVKLKTENVDICYPPIFQSGGVFDLRPSAASNDELLHYDPASVIICAVGSRYKSYCSNIARTFLIDANTLQSKAYEVLLKAQEVAISMLRPGNKVNAAYTAALSVVKKESPELVPNLTKSAGTGIGLEFRESGLNLNAKNDRIVKAGMVFNVSLGFQKLTPTDKLQSSAGKTKNQNFSLLISDTVIVGKEKTEVLTAPSSKSFKDIAYSFNEDEEEEEKLKVKSEANGKEAVVSKTTLRSDNHEISKEELRRQHQAELARQKNEETARRLAGVGNGAGDNRSSMRTAADLVAYKSVNDLPPQRDLMIHIDQKNETVLLPIYGSMVPFHVATIRTVSSQQDTNRTCYIRIIFNVPGTPFSPHDANSLKFQGSIYLKEVSFRSKDPRHISEVVQLIKTLRRQVVARESERAERATLVTQEKLQLAGNRFKPIRLPELWIRPAFGGRGRKLPGTLEAHLNGFRYATTRSEERVDIMFGNVKHAFFQPAENEMITLLHFHLHNHIMVGNKKTKDVQFYVEVMDVVQTIGGGKRSAYDPDEIEEEQRERDRKNKINMDFQSFVNRVNDLWGQPQFGGLDLEFDQPLRELGFHGVPYKSSAFIVPTSTCLVELIETPFLVVTLGEIEIVNLERVGFGQKNFDMTIVFKDFKRDVLRIDSIPSTSLDGIKEWLDTTDIKYYESKLNLNWRQILKTITEDPQSFIDEGGWEFLNLEATDSESENSEESDKGYEPSDVEPESDSEEDDSDSASLVESEDEEEEDSDGDSEEEKGKTWEELEREASNADREKGDESDSEEERKRRKMKTFGKFRAGPSGNAPKRPKMR >KGN49425 pep chromosome:ASM407v2:6:28250981:28253524:-1 gene:Csa_6G524630 transcript:KGN49425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFIINFYFKFGRKQIRFHELNYLNKFQRGVANSDFVLNGVVYKCFDHFCLHPLACTEFGAFFSSSSWRDSSFGRSLRTYCTDIYGRNNGSDAANDEFQKTDLEDTGDSSFFGSPSEEHGKERHFKFGDDIEAEESNDEEEEDGDLGDAADLLGSNLSNRDPGQGNDCKKVEIGEDVFRHSLVRDTCKLIQLSSSWNRKFEGELRYLVRSLNPLQVCAVLLSQEDERNALRFFYWADRLWRYRHDSSVYLVMLEILSKTKLCQGAKRILRLMTRRRIQLCPEAFGFVMVSYSRAGRLRDAMKVLTLMQKAGVEPNLSICNTAIHILVMGNELKKALRFAERMVLIGIAPNVVTYNCLIKGYCNVHQVDQAMELIDQMPSKGCSPDKVSYYTVMGLLCRDKRLNEIRELIKKMQTDSKLLPDHVTYNSLIQMLSKHGHGDEALEILQEAEKLRFKVDKVEYSAIVHAYCKEGKIQKAKELVSEMFSKGCDPDVVTYTSVLDGFCRIGKLDQAKKMMQQMYKHHCKPNAVTYTTFLNGLCRNGKSLEARKMMNMSEEEWWTPNAITYSVVVHGLRREGKLNEACDVVREMIGKGFFPNPVEINLLVHSLCRDGKPREANQLLKECMNKGCAVNVVNFTTVIHGFCQKDDLEAALSLLDDMYLCNKHPDTVTYTALIDALAKTDRIEEATELTMKMLRQGLVPSPVTYRSVIHQYCRKGRVEDLLKLLKKMLLKSRFQTAYNLVIEKLCKFGYLEEANSLLGEVLRTASRTDAKTCHVLMESYLNVGIPMSAYKVACRMFNRNLIPDLKLCEKVSKRLVVEGKLEEADRLVLRFVERGHVSAQEPKHLHN >KGN46587 pep chromosome:ASM407v2:6:7502158:7506295:-1 gene:Csa_6G110300 transcript:KGN46587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTDSGKLFVGGISWDTDEDRLKEYFNAYGDVVEAVIMKDRTTGRGRGFGFIVFADPSVADRVIREKHNIDGRMVEAKRAVPRNDQNIVGRTSGSINVSPGPGRTRKIFVGGLASTVTESEFKNYFDQFGTITDVVVMYDHNTLRPRGFGFITYDSEEAVEKVLIKTFHELNGKMVEVKRAVPKELSPGPSRSPLGGYNYGQSRVNSFLNGYTQGYSSSTIGGYGVRVDGRFSPVSSGRSVFTPFGSGYGMGVNFDIGLNPGYGGNSNFASNLNYGRGLSPYYTGNSDRFGNIGYESGNGGNSSFFSSETQNLWGSGGLNNGTNSANSNAHLGSATGGSVGGTGFANSGVSWGSSGISSQGGGNNVFSNSGNLNYGGVDSSYSLGAGGYGRNSGTVLPPTSSFPTSTVGFDGPFADFYSAGYGDPTWRSSNFERDGSGPFGYGLGSAASDVSARSSPGFIGGHSVNRRQSNRGNTT >KGN48545 pep chromosome:ASM407v2:6:23585396:23587118:-1 gene:Csa_6G491080 transcript:KGN48545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDLISHLSPLPTMEPNAVQEATSGLESVHKLIRLLSIPNPHSLPSSTQSPIDFPTDCRAAADAAVSKFKKVISLLGRSRLGHARFRRAPLPQQPHYVTPIQQIPPHPHLNNNNNINDESLNFSAHNSFISSLTGDADTKHPSSSSSPFLISNLSQVSSAGKPPLSSSSLKRKCSSENLRSGKCAAASSSSRCHCSKKRKLRVKRVVRVPAISLKMADIPPDDYSWRKYGQKPIKGSPHPRGYYKCSSVRGCPARKHVERAVDDPAMLVVTYEGEHNHTLSLPETSTLILESS >KGN46886 pep chromosome:ASM407v2:6:10346661:10357313:-1 gene:Csa_6G148270 transcript:KGN46886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVPNSSSVRVLIRPPPLSTPTSSSSSPSPTPLPPHSASPEPSTSFPPSSPLPSLPRFSDTVVVVGFIGRRPDDSIQLINRVIDSNVFGSGKLDKKLDVEKEEVREWFKRRRISYYHEEERGILFLQFSSHRSSVFDVEADYDSEIQEHDFGDLQGMLFMFSVCHVIIYIQEGSRFDTSILKKFRALQSAKHVLTPFVKSRATPPLPSRLQSSSASRSVASAPVSNNSSPIRSGSILTRNASGISVMSGLGSYTSLFPGQCTPVILFIFVDDFLDGPMISSNVEGIDTASLNQSPSSDSISRPNVPVKGSGSVVVLARPVSKSEGGFRKKLQSSLEAQIRFLIKKCRTLTGSDTSHAGSRGGGASSSAPLFSLDASKAVVLVDRSTNNTAESLEFATSLVEDVLNGKTTSDSLLLESLGQSASKEDIVSLKEFIYRQSDILRGRGGMVHSASSGSAGGVGMVAVAAAAAAASVASGKTFTTPELPSMEIWLSSSQQILQGILSAKGGCIDEVEINKRKPRHRHIHSSSIEGNALKGMDPLDIAVSWLESGKGLNMKFSTSWCERALPAAKEVYLRELPACYPTSQHEAHLEKALLAFHSMVKGPAVQRFAKRLEEECKSIWNSGRQLCDAISLTGKPCMHQRHSTENGGSPLETMPKNHSSGYVFLHACACGRSRRLRSDPFDFESANVTFNRFADCDNFLPIVQFPGVSMTEPIQPSSWTLIRVGGAKYYDPSKGLLQSGFCLTQKFLLKWKISTRIRKTPIDFTDNIMLHGSLIKSLVDSKVEPNVNVNTKMADVAQLKSRDLQSGIHNERNFTGNIKAEDKKSTSGRILPNFTLRKPFSEVVAGSSGVDVRFPPLQQRKHSSSDIDKRIKQSKVVNSHERGYVTVDNLGSRNLENVIKLSKNSNEISNNEHLDSNAFLQVGTNVVPMNANSLEKTKNPLLKQTLVYIGFEHECPHGHRFLLNPDHLKELGSPFATIKESHTHAQGAACNMVDPLKYGKSDRHGKARDSVSVANATGSSKQRSLDKLKDAVSGGSMYSDDQSNNIRRMTANNLTSVSATVSNSMKDLEKGVKSIGSEDNGSGFFMLNRDLPIFMNCPHCKLSKNEKDPPNVKFSGTISQLQRIFMVTPPFPIVLATHPVIQFEESCLPPSVPERQQKLQFTFGCQLVLPPDSFLTLRLPFVYGVQLEDGSFHPLNPLQHQPEATAWIIGGTTLQILSKSGNLDEGSQT >KGN48947 pep chromosome:ASM407v2:6:25948745:25950178:-1 gene:Csa_6G507210 transcript:KGN48947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATNLSENNPAMATGVHHSRHSGQNISSPKSRRSPRPVSSPWTQIVRGELEIPAVVPSSPSNVTSSAAIVEPRSPSPSSSPSSSLAVEEPAGAERSDSGNESLTNAGNKPAWNKLSNGAVEVGPVMGAVSWPALSESTRFTNKSSLDSPKDSADGSVGPACEGTGIQPSSPYKKSISASPNPSPNPTLTPNPIPTPTPTATPTPAATPNSNLNSNSSSEIDEA >KGN47628 pep chromosome:ASM407v2:6:16590149:16590939:1 gene:Csa_6G366260 transcript:KGN47628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLHRKTKTQPIMENTTENGGRKLSSHVVLVVFAYPKHGHMSPMLQFAKRLASKGLRVTFLTTSSVNQTLQINLIPSYQIDLQFISDVRTEAILSLKDKHESFEAVVSKSFGDFLDGVLRTADNSDYDSTPLRYFVVFDSVMPWAMDVAAERGVDSAPFFTESCAVNQILNQVYEGSLCLSSVPPSVGAVSIPSLPVLEVEDLPFFPYEREVVMNFMVRQFSSFKKAKWIFVNTFDQLEMKV >KGN46312 pep chromosome:ASM407v2:6:5573548:5577805:-1 gene:Csa_6G082010 transcript:KGN46312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMHSPLTVPDTSSSRRTCRTLAAFAPARDSLPAASLSLPFSTFRLAVFLPPSLASSFQSARRTPRRTTRRCPPPKTMGLRLFDGSDSDNDNSKIEINQDYAKRFEHNQKRQDLQRLEELKKKGLIEDSESESSESESSSSEEEDSQNFRKDLKFFNALIKVKKKDPTLKQKEAKLFDSDDDSHAKESDDVKGSLTEKKKSSMYLKDVVAKHLIEEGPEFNDENTKNVKVYDKEQEEIRKAFLEAAEVQNENDEEELLKVKETGSVEEEKNEEFEKKLDEYFGDGDDQLDENSKFLKQYFKNKLWIGEDATVKEEELNMLSEDEEEIEKQEEYEYRFQEXXXXXXXXXXXXXXXXXXXXXXXXXXXXKKHFISKILNLNFFFFFFILIRIGML >KGN49410 pep chromosome:ASM407v2:6:28172060:28177254:-1 gene:Csa_6G524000 transcript:KGN49410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVDNIQEQPRKFPRLANGRSSDSNEIGLKGVENIHTGDEKDKESKRDGGITAGVGGGGLGGADDETNRLRGWHHSRIIRVSRASGGKDRHSKVWTSKGLRDRRVRLSVATAIQFYDLQDRLGFEQPSKAVEWLIKAAADAIKELPSLNASFPETPKQLSGEKISVTDRPGPLDSVEQKQSQLHVSLSKSACSSNSETSKGSGLSLSRSEVRVNRLKARERAKERAQKEKEKEQDSSRITDHNLSSMTRNSSFTELLAGGAASVSAHRDAGVAAERQWQSSTVAMDYFSSGILEPSTSRTHHSSGFSDQMNLGTSLPQTMSSTPLFSSVSTGDSNAEQLHQFSFVHDGNIVPVATTQPGGGNDYSLNFTISSNLPGYYRGTLQSNSSLLPHLQSFRLHLTAVCNFAMEAGTGSRNRKEKERTEFFVQHIPLGLSSSWNIKGKEGKTRS >KGN47391 pep chromosome:ASM407v2:6:14792633:14797768:1 gene:Csa_6G309980 transcript:KGN47391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANGYSAVAMVVVIVAAAVALGVPAAEGAAAFACDKRNVGTRNMGFCQESLGIEERVKDLIGRLTLGEKIRLLVNNAIAVPRLGIRGYEWWSEALHGVSNVGPGTKFGGTFPGATSFPQVITTAASFNQSLWLLIGRVVSDEARAMYNGGTAGLTYWSPNVNIFRDPRWGRGQETPGEDPILAAKYAANYVQGLQGNDGKKRLKVAACCKHYTAYDLDNWNGVDRYHFNAKVSKQDLEDTYNVPFKACVVEGKVASVMCSYNQVNGKPTCADPDLLKNTIRGAWGLDGYIVSDCDSVGVLYDSQHFTPTPEEAAASTIKAGLDLDCGPFLAVHTATAVGRGLLKEVDLNNALANLLSVQMRLGMFDGEPAAQPYGNLGPKDVCTPAHKHLALEAARQGIVLLQNRAGALPLSPTRHRTVAVIGPNSDATVTMIGNYAGVACEYTTPVQGISKYVKTIHAKGCANVACVGDQLIGEAEAAARVADAAVVVVGLDQSIEAESRDRNGVLLPGKQEELVRRIGLACKGPTVVVLMSGGPIDVSFAKNDGKISGILWVGYPGQAGGAAIADVLFGATNPGGKLPMTWYPQSYLAKVPMTNMGLRPDPSTGYPGRTYRFYKGPVVFPFGFGLSYSKFSQSFAEAPTKISLPLSSLSPNSSATVKVSHTDCASVSDLPIMIDVKNTGTVDGSHTILVFSTVPNQTWSPEKHLIGFEKVHLIAGSQKRVRIGIHVCDHLSRVDEFGTRRIPMGEHKLHIGDLTHSISLQADLQDIKF >KGN45726 pep chromosome:ASM407v2:6:794899:795111:1 gene:Csa_6G008575 transcript:KGN45726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKFGEKRVRKKVFGDGLKRDLAGNQRRKKEVIGRGLKREKGSGVAIIGNRESCEFRKKEESGVVSLMDY >KGN47650 pep chromosome:ASM407v2:6:16731548:16734844:-1 gene:Csa_6G366480 transcript:KGN47650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAEKERETQVYMAKLAEQAERYDEMVECMKNIAKLDLELTVEERNLLSVGYKNVIGARRASWRIMSSIEQKEESKGNENIVKLIKGYRQKVEEELTKICGDILSIIDKHLVPHSSSAEATVFYYKMKGDYYRYLAEFKTDQDRKEAADQSLKGYEAASGTANTELPSTHPIRLGLALNFSVFYYEIMNSPERACHLAKQAFDEAIAELDTLSEESYKDSTLIMQLLRDNLTLWTSDLPEDGGDENFKAEESKPAEPEAQQGK >KGN46231 pep chromosome:ASM407v2:6:5112237:5117153:1 gene:Csa_6G076790 transcript:KGN46231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGKGMRRRERNYRAAHGGYDRLPPPPDTSQPDTLPSKLRKLMSFTSSRSQEPEKVSEDIQRKRKREAVNTDKKSNQKDASGSKANGGNSQMPQRMGSDDDNNVHSKSSEKKNKKRKRKQVTDLRFEDSLEESSRRLKKRERWKKYQEAKKNKHKKAKTEEYLDFPKHEKIKFGDVVEAPLKLLAVPKAFKSAQVASQERKRLQAINEYRNRKGWTSRPGIQIPSMTISPAD >KGN48350 pep chromosome:ASM407v2:6:22175127:22179793:-1 gene:Csa_6G483320 transcript:KGN48350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLGWVKKLSRNKDHHNQNHPTSLNLFKSSSSSSSSSSPKTQPNNTITHKPKSFDEVSALIFSRNSPRSSRDLGSSGTASSGFSGFDSDSGHKSLPLPRPATSGLGIDHGAGNGSGSSSVSSDISSGSSDDQPSAQEQLQFGAYRGFADNRIETRARSPGPGSKGPTSPTSPLNPRFCGMSLESPPTHKLPLPPSAPTSPSSLTSMRAINIGDNNAAVQSKWKKGRLLGRGTFGHVYLGFNSVSGQMCAIKEVRVISDDSTSKECLKQLNQEITVLSQLSHPNIVRYYGSEMGEESLSVYLEYISGGSIHKLLQEYGAFKEPVIRNYTRKILSGLAYLHGRNTVHRDIKGANILVDPKGEVKLVDFGMAKHITNCTSMLSFKGSPYWMAPEVVMNTNGYSLAVDIWSLGCTVLEMATSKPPWNRYEGVAAIFKIGNSKDIPEIPDSLSSDARSFVQLCLQRDPSARPSAAELLDHPFVQDAVTPRASDVNLSVDAFPFSFDGIQTSPLLDRHPNRKSISICDGDYVTNPTFSSRAPSPRGNGRLITSLPVSPCSSPLRSYGPTHQSCYLSPPHTSYMGVGQSGYNLNEYAYNTRPNTLFTLDPSRESSLLKVQTHLGSPRRPL >KGN45969 pep chromosome:ASM407v2:6:2912177:2914393:-1 gene:Csa_6G040580 transcript:KGN45969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGQKNQAKLTRTQSSLLRSSPTLRSIQSLSSVTEEDVTETESEDRNRKNKKLRRSRSSARNWSMCFANPVLGLLLCFTLFSISCFFFFSYARKEEIATSENLLLALVFVAIALFFANKNKVLIQQTVSILKHSWDENARRFGFTSTNAKPVKWFIGNPNPNFEAKKKRQIIREGVEFYSNGDFYEGEFHKGKSNGSGVYNYFANGRYEGDWIDGWYDGYGIESWARGSRYRGQYRQGLRHGFGVYRFYTGDSYAGEWYNGQSHGIGIQTCSDGSCYVGEFKHGAKHGLGCYHFRNGDRYAGEYFGDKIHGFGAYHFANGHCYEGSWHEGQKQGFGMYTFRNIESRCGEWDAGHLKNPLPSLTDLVLGAVQAARKTAQNAIKIEPVDEEVNKAVVAANRAANAARVAAVKAVQNRM >KGN47528 pep chromosome:ASM407v2:6:15928195:15930129:-1 gene:Csa_6G355960 transcript:KGN47528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRKPRFTGLIFSVAFFLLFAFQFSRKVFFFGADLNISSSSSNLLPSRSANSVVHYALHETNLDFPQQLTHRTRHVSSILDPIPTVSLLLPDWEVLLISSIDTPLSSPDSFRDFLCLFQNNATSSANFSGVLDFTGRVTFKCLMPESVRRLRPFFQPLLTKSPDKEFSSSLSSSSPAPELMRWTFFAYEAFETEEDVVLFVKGVNNRQGSNRQPTDLNCVFGDGDDAIRTAVTSSVQEVFRCRHPNLTTSEDHDKFKITLEILDARGKNILVPSVAYYSPRRSGDGGGLVETEAQSMICACTMVYNVGKFLREWVMYYSRIGVEKFILYDNGSEDEISAVLKELKQEGYNIEIVFWIWPKTQEAGFSHSVEYSKKSCKWMMFVDIDEFVFSPSWLNSLKPSKNMLNSLLPTKNSGIGMVTVMCNDYGPSDRISHPAEGVTQGYNCRRKVEERHKSIVLLEAVDRSLLNVIHHFKLRKEFQSRQMRVEEAVVNHYKYQAWPEFRMKFRRRVSAYVVDWKNSANPTSKDRAPGLGNTAVEPPEWPRKFCEVRDDRLRLLTQRWFGYETADGYRMAWQSL >KGN45961 pep chromosome:ASM407v2:6:2798944:2801758:-1 gene:Csa_6G039520 transcript:KGN45961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRNRSSRHIDGYRVSRDVPRSYIERVPAPLPIHPAALEEELELQRREMQRIISDNRMVIDDNTVLQRELSAAKEEIHRLNQVIPKIVSEKESQSRELLERGLKLEAELRASEPLKSEVLQLRAEIQKLNTLRQDLSAQVQSLTKDVNRLQAENQQLNSMRADMDGLHKELIEARRAYEYEKKANEEQIEQKQSMEKNLVSMAREIEKLRAEKLNIERARGLGAENYGILNRSPEMRYAGGAYGSSYGSSWAPYEKRTRR >KGN48318 pep chromosome:ASM407v2:6:21955997:21957398:1 gene:Csa_6G476090 transcript:KGN48318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILPYSASDEKKEKVTVKEKDDIWDPEENPSDSHAKKNKLPLCRSAKISHHRHAAPAGFFIAQTNSSCFFSLILSPSDVSCAGSGYV >KGN49219 pep chromosome:ASM407v2:6:27160364:27169410:-1 gene:Csa_6G517270 transcript:KGN49219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQVKIIAKNFMDMVASLPAMKLDQLYENAFICEAILRSLPPLAKKFVLQMLYIDGPVSAKSMEEWVLPDGVSKYKVAVDRLIQLRVFIETADRKRETTYRLNPTFQANLQKLLIHGEVLAREPMPSNITVRLPSLEDLEAYALDQWECFLLQLINSGQAEKPSNISSSVMKVFQKGLLSQRDKEAPRLTESGFQFLLMETNAQLWYIIREYISNAEERGVDPADLISFLLELSFHVTGEAYDIDTLSDEQRYAIKDLADLGLVKLQQGRKESWFIPTKLATNLSMSLADSSSRKLGFVVVETNFRMYAYSTSKLHCEILRLFSRIEYQLPNLIVGAITKESLYNAFKNGITAEQIVTFLQQNAHPRVAERIPSVPENVTDQIRLWESDLNRVDITPAHFYDEFPSREVFEAACDYAREWNGLLWEDSKNLRLVVKADIHTHMREHLRRQK >KGN46154 pep chromosome:ASM407v2:6:4524341:4538622:1 gene:Csa_6G058190 transcript:KGN46154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADMDFVEGVGESSSPPRSFAAGFCPAHDVRNDVYTRLVECGHEEAVSNPQFRENLDAHFNRLPPSYGLDVNMEKVEDVLLHQKLLSLAKDPEKCPVYHIRFLEHISTKSDGNDDHVFLDSILLSGSSNEAADRRLPLSHKRTRGNIIDFEACSKLEGLNLDVRKNSKPMDRRPGNIGHVLIHEVIFSTVDKPKLLSQLSALLSDIGLNIREAHVFSTTDGYSLDVFVVDGWPIEETDGLYEAMEKAVARYEGSWSGSSHSHPVVKKTLDAQVKSADWEIDRRLLKIGERIASGSCGDLYHGFYLGQDVAVKILRSEDLNADLEDEFNQEVTILRKVQHKNIVRFVGACTSSPHLCIVTEYMPGGSLYDYLHKNHCVLKLLQLLKFSIDVCEGMEYLHLNNIIHRDLKTANLLMDTQQVVKVADFGVARYQSQGVMTAETGTYRWMAPEVINHLPYDQKADIFSFAIVLWELVTAKVPYDSMTPLQAALGVRQGLRPDLPKNVHPKLLDMMQRCWDAEPVNRPPFTEIKVELKSLLEEVEMCTKYEENCAAVNGS >KGN48061 pep chromosome:ASM407v2:6:20153676:20154337:-1 gene:Csa_6G426960 transcript:KGN48061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPEDKKRRRRKRVEQEKDEGNPYGLRTTRKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKNRNPRDERFG >KGN49486 pep chromosome:ASM407v2:6:28611177:28611830:1 gene:Csa_6G525710 transcript:KGN49486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKYFPELGLTESDCSERKWVESTLFWYNSPKGNSIDFLLERPNNGSNFFKSRSDYVKKPIPKEGISAIWQTMVGFKNTNLVMQWNPYGGRMWEIEESATPFPHRAGNLFLIQYPLSWVEEGAEAANFYTNMSKSLYDFMTPFVSCSPRESFLNYRDLDIGANLGSGMDEGIAEIYGRKYFKGNFDRLVKVKTMVDPDNFFRNEQSIPPLPKEYLGA >KGN45742 pep chromosome:ASM407v2:6:880476:884550:-1 gene:Csa_6G008730 transcript:KGN45742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSDSLSNGDSFRFPSLFKILSFLFLALTFFYFGKHWSDGYQQLIFFSTTATTQTSSSSSSSSVSLSPNYNKHFDISNLIDNNDTQTIPDHTLNLDPTPSPFNPPPPPSDSVQRFGIVDENGTMSDQFEVGDFDPEYVDNWGNSTQVDDGDGGTRSFRITKFGLCPQNMSEYIPCLDNADAIAKLESTERGEKFERHCPDAGRAFDCLIPPPNGYQTPIPWPRSRDEVWFSNVPHTRLVEDKGGQNWITRDKDKFRFPGGGTQFIHGADEYLDHISKMIPDIAFGLHTRVVLDIGCGVASFGAYLLSRNVITMSIAPKDVHENQIQFALERGVPAMVSAFATHRLLYPSQAFDLIHCSRCRINWTRDDGILLLEVNRMLRAGGYFAWAAQPVYKHEEVLEEQWEEMLNLTTRLCWEFVKKDGYIAIWRKPLNNSCYLNREAATKPPLCDQNDDPDRVWNVNLKPCISRLPEDGFGGNISDWPARLHTPPGRLQTIQYDAYISRNELFKAESKYWNEIIDSYVRAFHWKSFRLRNVMDMKAGFGGFAAALIDLKLDCWVLNVVPVSGSNTLPVIYDRGLIGVMHDWCEPFDTYPRTYDLLHAAGLFSVERKRCNMSTIMLEMDRILRPGGRVYIRDSVAVMDELQDIGKAMGWHVNVRDTSEGPHASYKIMMADKILLKA >KGN48102 pep chromosome:ASM407v2:6:20420019:20422697:1 gene:Csa_6G431770 transcript:KGN48102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVDANQSEEQSTVETTMNDIEDLVDAARYNDLDDVKRLASAGVCLDSTDSESRTALHMAAANGHLSIVEFLIDRGVDVNALNAEKNTPLHWACLNGHIEVVKKLILAGANLSLLNSHEKTPVDEALTRGKMDVIDAINTVAAQIELTGINVSTVEI >KGN49182 pep chromosome:ASM407v2:6:26962888:26963504:1 gene:Csa_6G516900 transcript:KGN49182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKATISIAIFLLASSLLIFNISVKGRNLFREVVVEEASLELQCNKAYGVKSGDTCFAIAQAFKLTTDHFDFINPNLNCSALFVSQWLCVNAFLT >KGN47651 pep chromosome:ASM407v2:6:16736759:16741809:-1 gene:Csa_6G366490 transcript:KGN47651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGKNFQSEVILEEYNGSSSSELRKTAIISASPSLLIQRSGSRFRLVWRRFFDAFVPEGFPSSVTPDYVPFQIWDSLQGLSTYIRTMLSTQALLSAIGVGEQSATVIGATFQWFLRDLTGMLGGILFTFYQGSNLDSNAKMWRLVADFMNDLGMLMDLVSPLFPSAFMLIVCLGSLSRSFTGVASGATRAALTQHFALQNNAADISAKEGSQETVATMIGMAIGMLLARITMGCPLAIWLSFLSLTMFHMYANYKAVCCLSLTSLNPQRCSIALQHYIQTAEVLSPQQVSMMEHVLPAWASSWSLRSIKLIHTRVRLGARISSLHHTEIKELLHLAGANNNDKQEKYLLVERKETISVIVQKDATANDIFQSFVHALVMAYVPDQESRYFESMSWMDKHYECFIEKLKISGWKTDRLLSPSVCWRANWGSRKID >KGN48384 pep chromosome:ASM407v2:6:22434715:22437730:1 gene:Csa_6G485110 transcript:KGN48384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFSKAAFVSGMNTYIFLFYRQAFGSIVLLPLTIYFARKEMRSLSKADVMKIFLQGLLGFTIGLNVYGLGVKYTSATLGAAAFNCVPVTTFFFALLSRMEKVNIRKASGMAKVGGIILCIAGVAVLAFYKGPYLKPLFNFHLFQTQQSHVSSKKEWILGCFLLFLTCLTWGLWYVLQAWVLRSCPSPLVLTFGQTFSSAIQSFVVAIAIERNPSEWKLGWNISLAAILYCGTFVIPVGNYLSSWVVKKKGPVFQAVTTPFNLIFTLIGSEFLLNDGISLGSIIGAILLVLSLYSVLWGKKKEASCFDTENNTNVVPTETKTLNNI >KGN47199 pep chromosome:ASM407v2:6:12716187:12723329:1 gene:Csa_6G198270 transcript:KGN47199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVPKDQISTLLDQGLYSSAQMLGCFLVSSPAANAESTPHLKSESLVLLGDALFREREYRRAIHTYKQALQYYKIIPKQNSTTTRSSLQSNRSSSPNSFNVSAINENEVKFKIASCYCALSDNRAALVEMEGIPSKARNLQMNLLLGKLYRYARHNRAAIAYYKECLRLVSENIWAELGTTAKDIISLFPQTANRSGKTPFDHFDSNRWLPRYVEAQCCIASNDYKGGLELFLDLLQRFPNNIHLLLEVAKVEAIIGKKDEAIMNFEKARSIDPFIVTYMDEYAMLLKIKSDYSMLNKLVHDLLNIDPTRPEVFVALSVLWETKDERGALAYAEKSIRIDERHITGFIMKGNLLLAMKQPDAAVSAFRNAQELRPDIRSYQGLVHSYLALSKMKEALYAAREAMKAMPHSAKALKLVGDVHASNSGGREKAKKFYESALRLEPGYLGAALALAELHVIEGRNGDAVSLLERYLKDWADDSLHVKLAQVFAATNMLQEALSHYQAALRMNPQNEAAKKGLERLEKQMKGVDPDAPEDDEDNEVDDADGDQEEAELL >KGN49390 pep chromosome:ASM407v2:6:28032336:28037319:-1 gene:Csa_6G523320 transcript:KGN49390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGDEPPTTSSVADSILSSPPPQDPVGSPSLDVPDIQPLISEDDHDQGSRESHDQGHEPAVRAAVLPEDLKQRIVKQVEYYFSDENLPSDKYLLGLVKKNKEGYVPIAIIASFKRMRKLTHDRSFITAALRESSVLVVSSNGKKVKRLHPISLPETRDPKLFTILVENLPEDHSEENMKRIFGVAGRIRSITICDPHVVDKLGKSSKGDVLISNKLHALVEYETLEASEKAVATLNDEQDWRNGMRVKPLKLMSKHGQRKQHWRGPEPDKTSSGRIADQNGPDKNIPGRVTDQNGNEEIPNVVNEHHDDVPDEEEGDHQHKDKHGHRGQNQGRTRRQKYKGVNGMGHGTTTSTHHIELSKPPPGPKMPDGTRGFTMGRGRPPTSTQSI >KGN46849 pep chromosome:ASM407v2:6:10134202:10138116:-1 gene:Csa_6G146940 transcript:KGN46849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKWRKVKMALGNGLCLHLPQVAGDSTTSSTQSLSPLPPNSNCRQSTPTHSFSTPRVSKSGSRSSKRTCAICLTTMKAGNGQAIFTAECSHSFHFQCITSNVKHGNQICPVCRAKWKEIPFQNTSSDCARPRTNPAGLAPDDPWMTIVRRMPPPRSNTTRQITSIFHGPEPVAYDDDEALDQQPHATERNTTNDGASVHSCTDKVEVEMYPEISAVPRSVSHDHFSVLVHVKAPLSIATVNNSRNQASLPPLNQPSRAPVDLVTVLDVSGSMAGTKLALLKRAMGFVIQNLGPSDRLSVVSFSSTARRLFPLCRMTDAGRLQALQAVNSLRSNGGTNIAEGLRKGAKVLVDRKVKNPVGSIILLSDGQDTYTFNGPSSARPQIDYQSLLPVSIHRSNRSGMQQIPVHAFGFGADHDAVTMHTISDTSGGTFSFIETEITIQDAFAQCIGGLLSVVVQDLQVEVRCIHPSLQLGLIRAGSYQASISERARLGSIFFGDLYAEEERDFLVTLNIPVDEFGGEMPLLKVKCVYNDPITKEPITLANESEVQILRPDTVGEQVVSLEVDRQRNRFHASEAISKARVAAERGDLAGAVSVLDNCYRTVFESASAQAGDQLCAALCAELKEMQERMATRHIYESSGRAYVLSGLSSHSWQRATARGDSVDMGSAVQSYQTQSMVDMVSRSQTMILGPPPSQRTLRPTHSFPVRAPQPSPPQ >KGN45877 pep chromosome:ASM407v2:6:1922431:1924734:1 gene:Csa_6G016950 transcript:KGN45877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSFPFFSFIFQIFPFLFLSVSSLQTLSISETANQTLICSLNARFSLNCSSFPSGIQIPFNPNDSYSGIVAGDGFFCGLRNFPTTSNSIVVCWRFSVNGTNMETKRVYDGPNLKELRAGNSHICGVVNATNQLECWQWRRFDRNFTFDFSSIAVGSDFICGLSNPGVIRCEGTGNSTVAVDGGGRYTAVTAGFRHVCAINLRNELECWGMGVGERPAGEFKMLALGDDRSCGLRLNGTAVCWGEKNFTLPERLKGEFFTVIEAKKNVFCGSLRGNFSLLCWGNEILDRNLLPIFDFVSPGPCRSQCPCGPFPYTQQFCTPPTMICKPCENRAQFPPPPPPSSPPPEISHHSSGQRWSGGMVALLVVGCVGSLSLVLICSFFVYKYCKNRVCRVHDSGPMEDPVGTTDGVDHRETVVGRPGNGKRVLEKRLSHLISLGNGGQFGKLEDFPLSVLVEATNNFSEEHKIGSGSFGSVYKAVLNDGREVAIKRAEFSSISSSAWGTKRQEDKDNAFLNELESLSRINHKNLVRLLGFFDDTHERMLVYEFMSNGTLHDHLHNLPSSSLATSWARRIAVALDAARGIQYLHDYLSPPIIHRDIKSSNILLDNRWTAKVSDFGLSLMGPDDGESHLSLRAAGTVGYMDPEYYRLQQLTTKSDVYSFGVVLLELLSGCKAIHKNEIGVPRNVVDVMVPYIVRDEIHRVLDVKVPPPTPFEIEAVKYVGYLAADCVITEGRHRPSMTDIVNCLERALAACLAPTTLSRSSTESSM >KGN47144 pep chromosome:ASM407v2:6:12396246:12396821:1 gene:Csa_6G190350 transcript:KGN47144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVWVFDKNGVARLISNPTRESFECKDPPHPGTATAPGARPRVLVYLPTNQVIRSYAELEQRLAELGWTRYPNLAEPDLLQFHRSHDSAHLISLPKSFAKFKPMHMYDIVVKNRHFFQVRDPTSSPTSFN >KGN48916 pep chromosome:ASM407v2:6:25795853:25801333:1 gene:Csa_6G505930 transcript:KGN48916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIVTYNVNGLRPRITQFGSLAKLLDSFDADIICIQETKLRRQELRADLVIADGYETFVSCTRTSEKGRTGYSGVATFCRVKSAFSSNEVALPVRAEEGFTGLLESSQDGKRTMAAVAEGLEEFSKEELLKLDSEGRCIVTDHGHFVLFNIYGPRADSDDSDRVLFKLNFYNVLQKRWEHLLHMGKRVFVVGDLNIAPTSMDRCDAGPDFENNEFRRWLRSLMVACGGRFIDIFRAKHPDRRDAYTCWPQSTGAEVFNYGTRIDHILCAGPCLHHDNNLPGHNIVACHVMECDILSQYKRWKDGNSYRWKEERTVKLEGSDHAPVCASLLEIPDTPQHSTPSLSARYNPKIHGLQQTLVSMLLKRQAAEDSAPCKKSNSSSLGNLGNCSQGFNGSFDNGDQSGDLPSESCSLTNLETEDSLLETGECSGGSYAKEAACKTLTTHEPLHAKALPENPTRKRVRRCSQMSLKAFFQKNSVVSNDADSSNADSSISKGDTSESNSIEIPRSNTQISDSGRQLEAYQGQSQINATPEKEKSGVAMLEWRRIQQVMQNSIPLCKGHKETCVARVVKKQGPNNGRRFYVCARAEGPASNPEANCGYFKWAASKSRHK >KGN45716 pep chromosome:ASM407v2:6:740180:740754:-1 gene:Csa_6G007990 transcript:KGN45716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSWKITGCPHGLTSEECRPPPKFDVYGHEGEVEPSRLTHSRQRSRRYISLPDKFPFILRNDAAPDAAGRHLHSTSFLLRKLHRVYVGNSFTKSLIDTWCTQYSLGEFSRHLDSQSHTWEDEV >KGN45818 pep chromosome:ASM407v2:6:1476024:1477304:1 gene:Csa_6G013900 transcript:KGN45818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSREHKKAAALHDNLQLLRSITNSHSLNKASIIVDASKYIEELKQKVERLNQDISTVQNSNPLSHQYSPMQVTVERVVKGFSINVFSEKSCQGLLVSILEVFEELGLNVIEARVSCTHTFQLQAIGEIEEEGEEGIDAQTVKEAVVQAIKSWSQNGEQD >KGN48837 pep chromosome:ASM407v2:6:25372227:25374660:-1 gene:Csa_6G502750 transcript:KGN48837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTLNAESPLQSTWALLENCSNMKQLKQIQAQMIKTAIITEPKLATKFLTLCTSPHVGDLLYAQRVFNGITSPNTFMWNAIIRAYSNSDEPELAFLSYQQMLSSSVPHNSYTFPFLLRACRNLLAMGEALQVHGLVIKLGFGSDVFALNALLHVYALCGEIHCARQLFDNIPERDAVSWNIMIDGYIKSGDVKTAYGVFLDMPLKNVVSWTSLISGLVEAGQSVEALSLCYEMQNAGFELDGVAIASLLTACANLGALDQGRWLHFYVLNNGVDVDRVIGCALVNMYVKCGDMEEALSVFGKLKGNQKDVYIWTAMIDGFAIHGRGVEALEWFNRMRREGIRPNSITFTAVLRACSYGGLVEEGKELFKSMKCFYNVNPSIEHYGCMVDLLGRSGRLDEAKELIKKMPMKPSAVIWGALLKACWIHRDFLLGSQVGAHLVEVDSDHSGRYIQLATILAAEGKWKEAAEVRLKMKSLGVPISPGKSSVTLNGIVHEFLAGHQDHPQMEQIQLKLKQIAERLRQDEGYEPATKDLLLDLENEEKETAMAQHSEKLAIAFGLINTKPGTTIRVIKNLRICRDCHTVAKLVSQIYSREIIMRDRVRFHHFRDGSCSCKDYW >KGN48551 pep chromosome:ASM407v2:6:23613664:23618862:-1 gene:Csa_6G491630 transcript:KGN48551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKIATAEKKVLVEMVRLTQKRGMTGSEGDWKEFLNSRDPKFGASMSDPSKRPNDLLIAFLKTFDKEEDLMFLDKVFQCNEKRNFVKQVSQITPENESPEQKLVRLTLEHPQYPLEYSFPSYDKEWVVTKFSQKSKGPNSNLIYAIDCEMVLCEDGTENLVRVCMVDRDLQVKIDELVKPRKAIKDYRTDITGISPGDLDGVSCSLADVQKSITKFLSHGRTILVGHSLNNDLQALKLDHSRVIDTSFIFKYSNGSIYRRPSLSKLCKSVLGYDLRKEGAPHNCLDDAQAAMKLVLAKLESKADDGIAIVDEDTPQVGMEKLLLHRIPINVPSEALAKAIHGDFTIELKPPKKGQGGDIYSALAIFQNPKEALQAFEEVEGNAYEDSSGRPQKLIRFQHSGSIVSIYVRKMGQKDFMDNFSSKKRDLEVIENIVMSKKQKTANKMEIETISNSSRCCNHVEEVERLKQELKQKEDSNHCCDHLNEVESLKEELRRKDYELSILRKAITIVKKDSKKRKGKKRH >KGN46489 pep chromosome:ASM407v2:6:6750536:6751106:1 gene:Csa_6G102510 transcript:KGN46489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFTRFRHISNIFVEEAHLFLPLGLPIPAYVSSFNSNGIRHNRYDQVLKASHAFNILDSRGFIGVTERARYFGRMRSLARQCAQLWLITRESLGHPLGVASDPVDLVMS >KGN45804 pep chromosome:ASM407v2:6:1354287:1354535:1 gene:Csa_6G012290 transcript:KGN45804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKRHHQDLYEGLLHQNNNNMDATVTEVFINKTPIQTKDLILTMVANTPQFGTRASINVKSASKVISNLKEQISSLVVAVK >KGN49076 pep chromosome:ASM407v2:6:26506612:26507597:1 gene:Csa_6G512910 transcript:KGN49076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIPSPSPSTATFPTSPEFEFWMVRNPSFPQTNLLSADELFVDGVLLPLHLLPNHSPSPSTDPNQKPHLEPPPSEPDPSDGPKLTPNSTDSGSSSKRWSIFKKSEKKNTSGNQEDRDKEKKKEKKTTNGSTSAELNINIWPFSRSRSAGNAFTRPKLFPGAQPGSRKVNSAPCSRSNSAGESKSRKWPSSPSRGGVHLGRSSPVWQVRRGGSVPKTPETFSRNADKPARKEPSEVHRSKAATAAASSSASRVRVLNLNVPMCIGYRNHLSCRSDETSALGHKKPFH >KGN45923 pep chromosome:ASM407v2:6:2294883:2301829:1 gene:Csa_6G022350 transcript:KGN45923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDYDSRYEGNGEDADNYGDGFSPQARAASHGGHDTHTDSKSQHGSRDYERESSKSREKERDKGRDRERERDRDRGRDRDREKSKDGERDREKDRDRDRDRDKDRDRDRDRHHRDRHRDRGERREGGRGRDDDDYYRGRDYDRRRDYDKEREDRHRRRSRSRSKGIHEHRSRSPSPTRSRSRSKSKRISGFDMAPPTTAILSGATAAAAGQIPGTTPAIPGMFPTMFPLATGQPFGALPVMPVQAMTQQATRHARRVYVGGLPPTANEQSVATFFSQVMAAIGGNTAGPGDAVVNVYINHEKKFAFVEMRSVEEASNAMALDGIIFEGAPVKVRRPSDYNPSLAATLGPSQPNPNLNLAAVGLTPGSAGGLEGPDRIFVGGLPYYFTEAQVRELLESFGPLRGFDLVKDRETGNSKGYAFCVYQDLSVTDIACAALNGIKMGDKTLTVRRANQGANQPKPEQESVLLHAQQQIALQLMLQPGAVSTKVLCLTQVVTPEELINDEDYEDIMEDMRGEGGKFGTLVNVVIPRPRPNEAAPGVGKVFLEYADIDSATKARAGLNGRKFGGNQVMAVFYPENKFAQGEYDA >KGN48374 pep chromosome:ASM407v2:6:22366258:22379910:-1 gene:Csa_6G484520 transcript:KGN48374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRDGVQFDAAKRSYRNAKAEGNRHEEAKWANVIGNILKNRGEYVKALKWFRIDYDVSVKYLPQKHMLATCQSLGEVYLRLEHFKDALIYQKKHLELAKNANDLVEQQRANTQLGRTYHELFLKSDDDHLSVRNAKKYFRAAMELAKFLKDHPPKIGCSFLKEYVDAHNNLGMLEMDLDNLEEAKNILTEGLEICEEEEVDQDDDGRSRLHHNLGSVYMELRMWDQAKKHVEKDIIICKNIGHCQGEAKGYINLGELHYRVQKYDEAIHCYRKALHLAKSMEDEDALARQIDQNINTVKEAMQVMVELRKEEQNLKKLMREMVTARGTPRERKCLLQQNASLDRLIEKSSTIFAWMQHLEFAKRKKRVASELCDKEKLSDSYLAIGESYHKLRKFTKSIKWYVKSWEVYKSIGNLEGQALAKINIGDVYDCDGKWTEALDAFEESYRIAVEAKLPSVQLSALENMHYSHMIRFDNAEEARRLQCQIDQLKEKTKSGNDTRAEDCCSETDTEANDALSDSSSDECSLSETRKSCKSNFNSSKSLADLEEPNDAVTFISSFKRHERSPTIKSFDMEKCNASSNPSEFSPKSLSKSAGSQQTTIGRKRTRVVLSDDDEDEDEMMDFSKTRPHLCRGENSATSDDNKNKQYSGNLAAEVKEGSTTTSKHASRSCEDIEESTGSYKYKSRMIRTQNDKTFGTPNADEIFPSDSAASGSKFEVDISENLLHRYKATKSKPSEQGECVTFKIDNELIQVGVALFSNMLSIESAKEELACMYYLQLPLEKRSEGLLPVIQHISHDGRTLETLEFSKTFDHGRNLLFEAVINGWVSKPLIKLYIDYCKELSETPNMKLVKKLYNLEASDDEIAVSDCDLQDLSISPLLNALHTQKTFAILDLSHNFLGNGTMEKIQQVFKQSSQTHDLTLDLHCNRFGPTALYQICECPILFARLEVLNISGNRLTDACGSYLSTILKNCKGLWCLNIERCSITSRTIQKVADALEVGASLEKLYIGYNNSISGNALSSLFVKLTVLNRFNSLGLSGLKLSKPVMEGLLQLVKSLGLSGLMLGGTGIGDDAALGITESFSGSEELVKLDLAYCGLTSKYLVKFGGCISIIQRVHELNLSGNAIMQEGCHAVSSLIANPLCGIKFLLLNKCQLGLTGVAQIIQAIAGNHCLEELNLADNIDLDKHPLQCNIIDKENKELVQPCHDISKPHGLTCSSKEFDLAQQNLEETNTEYDQLEVADSEEPIREAPASGIDDSCASSCERKSTSFDCQFILSLSTAIGMAKTLRLLDLSNNGFSSQETETIFGAWSTSRTDLAQRHIKDNIVHLYVKGTKCCVRPCCKKD >KGN47053 pep chromosome:ASM407v2:6:11798846:11802193:1 gene:Csa_6G181580 transcript:KGN47053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATALECWSSRASTDEDMVEQVLMRTQDRSEGSKPDSSFAVGEKESSAMHRRLQRFSRNVSEAVASLKNSLNLDSIRDPSPTRTEGSKKAVWGTVVRNLTQLYPGSQLPEKLVSNIRKHYDSLPLSYALAGFDMKDVFLHIKLMEQASVYDHPAILFQEVTNRDVQKPIIKFTFACNSSVSWSAMSGALETAAIRCEKIQIFEKKKFTLGVILFVNLDLQEKLFKSKVENALKLAIKKPKTTAVKLPFGFCGCQEGNTGGKDLRETEEDGVEPNCRSGFENSNLSENLQIEMPLCTSSFAVTVDEWQTIQSGGNELGKWLLSSENLEFVDQMGPNSFKGVYKGRRVAIEKIKGCEKGVSYKFELRKDLLELMTCGHKNILMFYGVCIDENHGLCVVTKLMEGGSVHELMLKNKKLQTKEITRIAIDIVEGIKFMNDHGVAYRDLNTQRILLDKNGNACLGDMGILTACKNLGEAMEYETDGYRWLAPEIIAGDPESVNETWMSNVYSLGMVIWEMVTGEAAYGAYSPVQAAVGIAACGLRPDVPKDCSSTLKSLMIRCWNNCPSKRPQFSEILSLLLDSNNNNHR >KGN46482 pep chromosome:ASM407v2:6:6730149:6730581:1 gene:Csa_6G101460 transcript:KGN46482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRGRSGATLSSSSLAKAELHYRLPLEAAPLSSFWRGGVAALMKVFKLEYKGGVKLRLRA >KGN47683 pep chromosome:ASM407v2:6:16951863:16952238:1 gene:Csa_6G376240 transcript:KGN47683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKHGAPSLDAHQEMMQEEAALETMIVFKNGVNQYNNIKYYTHLILCTASTNSIPGGCFVAGTALRLPTDLAENNAGARVLVVCVDVTTICFHGPSNLIF >KGN49496 pep chromosome:ASM407v2:6:28673101:28674110:1 gene:Csa_6G526300 transcript:KGN49496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMSKSSKMLQYINYRMRVTIQDGRQLVGKFMAFDRHMNLVLGDCEEFRKLPPAKGKKTNEERDERRTLGLVLLRGEEVISMTVEGPPPAEESRAKAVNAAAMAGPGIGRAAGRGIPTAPLVQAQPGLAGPVRGVGGPAPGMMQPQISRPPVPQLSAPPMTYPAAPIIRPPGQMPMFPGQAPPPIGRGMPPPVPPPQFSGARPGGAPPQPFPGPPQFAQRPMGPPPTGQVMRGPPPPPRPGMPAPPPRPGMPPPPGGAVPVYGPPRPGMPPPPNPQNQQQNQQQ >KGN46585 pep chromosome:ASM407v2:6:7468296:7469762:-1 gene:Csa_6G109800 transcript:KGN46585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSNSVFLLHNKKPNLPQNSHQMDNLPHDVLFQILSRLPISSLIQFHSVSRSCRLLAQYTQLFDPNHDHFRCLIFHSDFPIRNHLYFVDFPSLTQHKFSVKRIFTPFAATMPEYDVVGSCNGFLCLSDSLYNENLFIYNPFTRDYLELPKSKDFSNPDVVYGIGFHPQTKRLKILKIVYSKGFRRIQRRFHHSEVQVFTLGTSNWRSIGRIFHHLAQGQSPAAINGRLHWVSLPRRHYVGRTIVSFDLASEEFIDIPKPDYGSLSRCNFQLMNLNDCLSAVVYCSYGKMEIWVMEQYGVKESWVKSFNIGSYMPKGLKQEGTEMCFKVSKIVVKGRIVRVVCVLKSGEILLEYRNRALVVFNPSSGKFKDVSFEGMPNWFQTIVHFGSLNRIDALLE >KGN47923 pep chromosome:ASM407v2:6:19085502:19089582:1 gene:Csa_6G411270 transcript:KGN47923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRDLSRSRSPLYRRRLSPSPVGHRYTRRSRRDRSRSPYSSYSRRKSRSISPRRNRSRSRTPRHHRSRSPTSRSYKKQRRRSSSSSLHRRSSSSSLGSIEQKSTSEKLKKEEERKRRQQETQGKLLKEETTKRVEDAIRKEVEERLNSDDVKLDINKKLEEGRTRLNEEVTAQLEKEKEAALVEARRREEQARKEKEELERMVEESRRRVEEAQRREALERQKREEERYRELEELQRQKEEAIKRKKQEEEEQRVNQMKLLGKNKSRPKLSFAIGSK >KGN47154 pep chromosome:ASM407v2:6:12446585:12449574:1 gene:Csa_6G190450 transcript:KGN47154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNGIGKLGVCFTGINDGRRRPDISVLLTNPLDEGLGHSFCYVRPDPLRLSLSKVHSEETTTFRSISGASVSANTSTPLSTALIDLYSYNSIDRAAAFESSTSFASIPLQPIPRNMINSGPISGNFSGIPCSGPLERGFLSGPIERGFMSGPLDRGIFSGPLDNNSTSNEFQRSFSHGAGFNSRSRSRKSRLIRILRTAISKSLHRGQNSIVAPIKPVKEPDWIVLPEKHNENLTVSSLNFSSEGSLDDDDSMESQNLQWAQGKAGEDRVHVVVSEEHGWVFVGIYDGFNGPDAPDYLLSNLYSSVHKELKGLLWDEKLDSAIVAAPATSPVQSENPLSETRDLGRNRVSDACSQCVEQENHPCVSGDGNSGLNSRKRKGKNLRGKFRGAAEKWEDNQRRWKCEWDRERLELDRRLKEQLNRSGSNGSRLINHSDVLKALSQALKKTEEAYLDIADKMLDENPELALMGSCVLVMLMKGDDVYVMNVGDSRAVLAQKAEPDYWLGKIRQDLERINEEPVHDIEALDGDGAFTSANLTAFQLSMDHSTSVDEEVQRIKNEHPDDAGAVMNDRVKGSLKVTRAFGAGFLKQPKWNNALLEMFRIDYVGRSPYINCLPSLYHHRLGPKDRFLILSSDGLYQYFTNEEAVSEVELFIALQPEGDPAQHLVELLLFRAAKRAGMDFHELLEIPQGDRRKYHDDVSIIVISLEGRIWRSCV >KGN48053 pep chromosome:ASM407v2:6:20058828:20072520:-1 gene:Csa_6G426880 transcript:KGN48053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVDSSMVPEPDHDPAVQNHSTVSSTAAGVDREQPQAGGGTSPQSMSLPQQQQTTVQMQQTTVVGPRLAPAYSVVNAIIDKKEDGPGPRCGHTLTAVGSVGEEGTPGYIGPRLILFGGATALEGNSAASGTPSSAGSAGIRLAGATADVHCFDVLANKWSRITPLGEPPTPRAAHVATAVGTMVVIQGGIGPAGLSAEDLHVLDLTQQRPRWHRVVVQGPGPGPRYGHVMALVGQRYLMAIGGNDGKRPLADVWALDTAAKPYEWRKLEPEGEGPPPCMYATASARSDGLLLLCGGRDANSVPLASAYGLAKHRDGRWEWAIAPGVSPSPRYQHAAVFVNARLHVSGGALGGGRMVEDSSSVAVLDTAAGVWCDIKSVVTTPRTGRYSADAAGGDASVELTRRCRHAAAAVGDLIFIYGGLRGGVLLDDLLVAEDMAAAETTSAASHAAAAAAASVQPGRLPPRYGFTDERARQTMPEAAPDGSVVLGNPVAPPVNGDMYTDISTENAMLQGQRRMSKGVEYLVEASAAEAEAISATFAAAKARQVNGEVELPDRDRGAEATPSGKQISSLIKPDSTGSNSIAPAGVRLHHRAVVVAAETGGALGGMVRQLSIDQFENEGRRVSYGTPENATAARKLLDRQMSINSVPKKVIAHLLKPRGWKPPVRRQFFLDCNEIADLCDSAEKIFSSEPSVLQLKAPIKIFGDLHGQFGDLMRLFDEYGAPSTAGDIAYIDYLFLGDYVDRGQHSLETITLLLALKVEYQQNVHLIRGNHEAADINALFGFRIECIERMGERDGIWAWHRINRLFNWLPLAALIEKKIICMHGGIGRSINHVQQIENIQRPITMEAGSIVLMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVMEFCNNNDLQLIVRAHECVMDGFERFAQGHLITLFSATNYCGTANNAGAILVLGRDLVVVPKLIHPLPPAMTSPEASPERHLEDTWMQELNANRPPTPTRGRPQVTNDRGSLAWI >KGN48319 pep chromosome:ASM407v2:6:21957547:21958088:1 gene:Csa_6G476100 transcript:KGN48319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCLYKAHVPTPPTEILDNEDVDFFIGENLVDDHGRRTPLCITIKRRESLNQEKEVHYPVPFDSGSVSNQTSGFAPTAQNSQFPPFLALTEIEEQNTTAISCGPQADMNCDDEMQNADQHQHDNCNDNRVPDNEKRHPRICNT >KGN47329 pep chromosome:ASM407v2:6:14290344:14290672:1 gene:Csa_6G299000 transcript:KGN47329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKGPHLRRRGRKPPSNMKRERKARLTLPDLKEGNKAPKLGIAKEVKVEKDFFPFNGAPREFLDVPVKSL >KGN49418 pep chromosome:ASM407v2:6:28202367:28205041:1 gene:Csa_6G524070 transcript:KGN49418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLIAGSYENFIWGFKLRPSEPQSLTLTPFFSYHSHISAIKTVAAAGPVAASGGNDQTIHLYDLSTTSSLGSLHDHSAYITSLAFYTPPNLSFPRNLVSAAADGSVCIYDTDPFVHLKTVLPHRKAVNDLSIHPSGKLALTVGHDECLAIINLVRGRRSFYCRLGNEASLVDFDVGGDKFFMVMKEKVSVHEAEDARLLCEFENKKRVSCITPCENGILLTGGDDRCLTAWDVKSGKVAYTIEEAHPARVRGIVVLTKNSSGAFTDDDPHVVASASTDGVIRVWDVRMTAIKDKPNPLAEANTKARLTCLAGSALKSLRRPQTGKNTSDEGQVVPSVIET >KGN47637 pep chromosome:ASM407v2:6:16649796:16650227:-1 gene:Csa_6G366350 transcript:KGN47637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFYGVHMRSTVSTAMLENQSLLSIEVNLPLISSVCSNPSIIRTTYKIGRWNKLKCCLSVYCLWSLESKTELLPRYIKTQQTCLNLDYVNMPKN >KGN48573 pep chromosome:ASM407v2:6:23763668:23764717:1 gene:Csa_6G493320 transcript:KGN48573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDMVTCEGELLSVLTGCRPNKAKAYRIQSNNCSLSHLTHEPSFWQSSAKRIIKFEIYRSNRDLFLRLVGSRYPE >KGN48852 pep chromosome:ASM407v2:6:25427438:25430901:-1 gene:Csa_6G503370 transcript:KGN48852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHVNGGMGNTSYANNSRLQREIISMTCSIAKEALTNFYNQHIPTSITIADLGCSSGQNTLMLVSYLIKQVEEIRQKLHQRLPLEYQIFLNDLHGNDFNAVFTSLPRFLEDLGTQIGGDFGPCFFNGVPGSFYARLFPTKSVHFFHSSSSLHWLSRVPVGIENNKGNIYIGSTSPKSVGEAYYKQFQKDFSMFLKCRAEELVMGGGMVLTLVGRTSEDPSKSGGYYIWELLGLALNTMVAEGIVEEKKADSFNIPYYIPSPKEVEAEVVKEGSFILNQLKASSINLNHTVHKTEEESSTPLINNSLADATDYDFAKCIQSVSEPLLIRHFGEAIMDELFIRHRNIVAGCMAKHRIMECINLTISLTKKIS >KGN47396 pep chromosome:ASM407v2:6:14820306:14820524:1 gene:Csa_6G311010 transcript:KGN47396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRQIVKTANRQVGSRKKLRVASRDCEFAPRKLHGQSPESAPTRLQPHHVDIQVPASTSILRSHIHSSRLSK >KGN47457 pep chromosome:ASM407v2:6:15212005:15212929:1 gene:Csa_6G327960 transcript:KGN47457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMMKRVLLLFAALLLLLMADAVIVEKKGARKLAQGFSERRTPKAGVFNDANESDLENTDVAPDDVVANNNVNDDNEDDDANLGYKNYGKGSDTETHRYFSSDKPYRP >KGN47726 pep chromosome:ASM407v2:6:17449447:17453800:-1 gene:Csa_6G385090 transcript:KGN47726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVVATAAALSLPISFSKSPRLNFKKSVKGGFRVFAVFGEEGAIERKSGWETLFDVEDPRTKVPQCKGKFLDVNQALEVARYDIQYCDWRARQDVLAIMLLHEKVVEVLNPLARDYKSIGTMKKELAGLQDELAEAHRQVHISEARVATALDKLAYMEELVHDRLLQDRNTAELDSPSTLPGTSTQPLDSINRSSPKKSLNVSGPVEPYHPRLKNFWYPVAFSSDLKNDIMIPFDCFEEPWVLFRGKDGNPGCIRNTCAHRACPLHLGSVNDGRVQCPYHGWEYSTDGKCEKMPSTRLLNVKIKSLPCFEKEGMIWVWPGNDPPAANLPSLKPPPGFQIHAELVIEIPVEHGLLLDNLLDLAHAPFTHTSTFAKGWSVPSLVKFLTPASGLQGYWDPYPIDMEFRPPCMVLSTIGISKPGKLEGQSTRECSTHLHQLHVCLPSTRQKTRLLYRMSLDFAPVLKQIPFMHYLWRHFAEQVLNEDLRLVIGQQERMVNGANIWNMPVSYDKLGVRYRLWRNAVEEGAKQLPFSETT >KGN46376 pep chromosome:ASM407v2:6:5985728:5996246:-1 gene:Csa_6G088040 transcript:KGN46376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSETVVAQTSEAMGYASAGYVSSGYADSSTNLIPHPGAFQSVITGDFSVSHTSADMGDGNAYATDPNSVQQGNHVVEVDETKADVAVTDHSQNAAVSEISAMETAASVGHDSSVNGSIATESVHASSVENGTVVENANEGPEEQHFVDGSVPPLSAEEDRLWNIVRANSLDFNSWTSLIEETEKVAEDNILKIRRVYDAFLAEFPLCYGYWKKYADHEARFGSTDKVVEVYERAVHGVTYSVDIWLHYCIFTLGTYGDPETIRRLFERGLAYVGTDYLSFPLWDKYIEYEYMQQEWGRLAMIYTRILENPNQQLDRYFNSFKELAASRPLSELKSSEEAVVDVQSEAGDQVNGEEGHPEAAEPSSKTVSAGLTEAEELEKYIAIREEIYKKAKEFDSKIIGFETAIRRPYFHVRPLNVAELDNWHSYLDFIEQEGDLNKVVKLYERCVIACANYPEYWIRYILCMQASDSMDLANNALARASQVFVKRRPEIHLFAARFKEQNGDIAGARASYQLVHSEISPGLLEAIIKHANMEHRLGNLEDAYSVYEQAIAIERGKEHSRALSLLYAQYSRFQNLVCKNEGKAREILDKAVEHGELSKPLIEALIHFEAIQSTGKRIDYLDSLVEKVIVPNTENATVVSASMREELSSIFLEFLNLFGDVQSIKKAEDRHVKLFISHKSTSELKKRLADDYLASEKAKMAKTYPSVASPAQSLMGVYPTSQNQWAASYGVQPQAWPPVAQAQGQQWAPGYTQSASYSGYGSTYTNPQVSTSVSQASTYATYPPTYPAQQQAYSAQTYAQPTAQATVAPSQQPASVAQPYYGSYYMNG >KGN46361 pep chromosome:ASM407v2:6:5868554:5883772:-1 gene:Csa_6G087890 transcript:KGN46361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTTGLVVGSNVWLEDSEEAWIEGEVLEIRGEEIKVQCTSGKTVAVKAANVYPKDSEVPPCGVDDMTKLAYLHEPGVLHNLKLRYDINEIYTYTGNILIAVNPFTKLPHLYDSYMMAQYKGAAFGELSPHPFAVADAAYRLMVNEKKSQSILVSGESGAGKTESTKLLMRYLAYMGGRAASEGRSVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDQGGRISGAAIRTYLLERSRVCQVSDPERNYHCFYMLCAAPPEEVKKYKLGNPKDFHYLNQSNCHALDGIDDAKEYIATRKAMEVVGISSEEQDGIFRVVAAILHLGNIEFAKGKEADSSVPKDEKSWFHLRTAAELFMCDEKALEDSLCKRVIVTRDETITKWLDPNSAALSRDALAKIVYSRLFDWLVDKINNSIGQDPDSKFLIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYTKEEIDWSYIDFVDNQDVLDLIEKKPGGIIALLDEACMFPRSTHETFAQKLYQTFKNHKRFSKPKLARSDFTIAHYAGDVTYQTDLFLDKNKDYVVAEHQALLSASKCSFVACLFPQLAEESSKSSKFSSIGSRFKLQLVSLLETLSATEPHYIRCVKPNNLLKPAIFENKNILQQLRCGGVMEAIRISCAGFPTRKTFDEFVDRFGLLAPEVLDGSSDEVAACKRLIEKVGLKGFQIGKTKVFLRAGQMAELDALRTEILGRSASIIQRKVRSYLARRSFVLLRRSAIRLQSACRGQLSREVFKGLRREASSLMIQRNLRMHLCRKAYKEKYSSAVSIQTGMRGMAARDELRFRRRSKAAIIIQTYCRRYLAQLHYKKLKKAAITTQSAWRGRVARKELRKLKMAARETGALQAAKNKLEKQVEELTWRLQLEKRMRADLEEAKTQENEKLQSALQDMQFQLKESKAMFEKEREAAKKAADIIPIVKEVPVLDNATIEKISSENEKLKALVNSLEKKIDETEKKYEEANRVSEERLKQASEAETKIIQLKTAMQRLEEKFSNIESENQILRQQTFLKTPVKKMADHLPIAAAEKLENGNHLVEDNRIDEQFVTPVKSLKRISSESEIKLSRSHFEHQHENVDALVSCVMNNIGFSNGKPVAAFTIYKCLLHWKSFEAEKTSVFDRLIQMIGSAIENQENNDHLAYWLSNTSALLFLLQRSLKAPGAPRKPPPSTSLFGRMTMGFRSSPSSNSLGSALKVVRQVDAKYPALLFKQQLTAYVEKIFGIIRDNLKKELTSFLSMCIQAPRISKGVLRSGRSFGKDTQTNHWQSIIESLNLLLCTLKENFVPQILIQNVFVQNFSYINVQLFNSLLLRRECCTFSNGEYVKSGLAELELWCCQAKEEYAGASWDELKHIRQAVGFLVIHQKYRISYDEITNDLCPILSVQQLYRICTLYWDDNYNTRSVSPDVISSMRVLMTEDSNNAVSSSFLLDDNSSIPFSVEDLSNSLQEKDFSGVKPADELLENPAFQFLHE >KGN46427 pep chromosome:ASM407v2:6:6298338:6302792:1 gene:Csa_6G092000 transcript:KGN46427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAFWGTRVLEIVKKHDSGGLVWKRIKLTTTRKANAKKRLHRVWQNEAVLKACSEPPPPKSSGDNISQVEKNE >KGN45838 pep chromosome:ASM407v2:6:1598790:1604092:1 gene:Csa_6G014580 transcript:KGN45838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSLTVFGIFTSGSRFRRILFRGAPTNSPTPAIPPFPKSTDGFTTEKCDSSYKTCHDLKDLIACLLSAEQAEVEQYLLIQNNGETSLKVNVTVSDTKYKEIQVPEHHAKKVNISDFPGNSMIILDAGNGKCIVHLGSLTKNGSIFKQISSYVTHLNLVSGSYLLLSIVFIVGGIWACCKMKTKERHANGIPYQELELAEHDTSPTNDLEAAEGWDQGWDDDWDESKPSNKSSSDMKANGINSRTSDRNGWENDWDD >KGN47190 pep chromosome:ASM407v2:6:12661326:12666334:-1 gene:Csa_6G197210 transcript:KGN47190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVKENYQDIDGNEDGSPEQSVSQENSEICDEFSDPEISPRVGEEYQVEVPPLLLKSDINWLQSFKEAEIQGSSLHDFFVGLPVQVMWISEEAHWMERKLREDTVEKCSRKEDLKGESFQDEQKDDSAKLIIEATKMTTSSTIKVSKAADLALPKETVLAIDTDKKDNINGCHLVPGVSGQPWTNIEEASFLLGLYIFGKNLVLVKKFVGSKQMGDILSFYYGRFYRSEKYCRWCECRKTRGRKCIYGQRLFKGWRQQELVSRLLLHVAEDNKNALVEVTKSFGDGKFSFEEYVFALKATVGLEAFVEAVGIGKEKQDLTSVSMDPVKSNHGASLRPEIPSGKACSALTPLEIVNYLTGDFRLSKARSSDLFWEAVWPRLLARGWHSEQPSNGFTAGMKHSLVFLVPGIKKFSRRKLVRGNHYFDSVSDVLGKVALDPGLLELDSNVDKDGKSNEENGWTDDSKVDQEEFPSQQRHCYLKPRTPANTDIVKFTIVDTSLANGSASKIRELRSLPVDLLTVSSSRSYFENHALCSSSESMEKSDSEEDRCVDKAETADTSHALRKNKKQKVISNGHYSPSDVSKSNQVLPVSCEPDSMDSPAEVLKDHSCVKLDSTRSQNGIMHPFSQKSRLDNKRKPTNATKKRRKLNTFGLKCTSNISVPSKPKEEDACCKPKEDACEDSCCKPKEEDSCCKPKEEACEDSCCKPKEEDSCCEPKEEDSCCTPKEEDSCCEPKEEDSCCTPKEEDSCCEPKEEDSCCTPKEEDSCCEPKEEDSCCTPKEEDSCCEPKEEDSCCTPKEEDSCCEPKEEDSCCTPKEEDSCCEPKEEDSCCTPKEEDSCCEPKEEDSCCKPKEEDACCKPKEEDACCKPKEEDACCSKDGSDSSKNILPIADPLQEKSSSSSGCSPISSLDGNPKEIGLNQSRALIDLNLPVPLDAETDEPVIMHIRQERPDQRSKEPNDPSIAKNSEVVSNVSDQQLNMNSRRVSSRNRPPTTRALEARALGLLDVKQKRKHKDPFLDGNSIIKPSRRGCPKVRPTENLEISIEKFKIEDRAVVVSPCNSNSNSNSNSEVLPKLET >KGN47718 pep chromosome:ASM407v2:6:17399675:17400808:-1 gene:Csa_6G383550 transcript:KGN47718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREALNILTDEDIINQLNSLKHENIATNEWHGIQIGFVYVIDGREKTSKKFMEANTKARATLPFTHRGYTTTFLFHKKTKESEDGKKLNDMEFFQLTHSSAKKGWVVEAEEKYDVANLLALSLV >KGN49181 pep chromosome:ASM407v2:6:26959113:26962081:1 gene:Csa_6G516890 transcript:KGN49181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAVEDQKSTSDGKVWGFLKQTFKHSTGGATTSSRTSHQTHPPVEGTNPHTSASVSSVARSLLPTRRRLKLDPSNKLFFPYEPGKQVRSAIGIKNTSKSYVAFKFQTTAPKSCFMRPPGAILAPGESIIATVFKFVEVPESNEKPVDQKNNRVKFKIMSLKVKGQMDYVPELFDEQKDQVSIEQILRVVFLDPERPNAALEKLKRQLAEADAALEARKKPPEESGPKIIGEGLVIDEWKERRERYLAKQQVEGVDSV >KGN46579 pep chromosome:ASM407v2:6:7419301:7420788:-1 gene:Csa_6G109740 transcript:KGN46579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNHHFLIVCFPSQGYINPSLQLANKLTSLNIEVTFATTVTASRRMKITQQISSPSTLSFATFSDGFDDENHKTSDFNHFFSELKRCGSQSLTDLITSFRDRHRRPFTFVIYSLLLNWAADVATSFNIPSALFSAQPATVLALYYYYFHGFEDEITNKLQNDGPSSLSIELPGLPLLFKSHEMPSFFSPSGQHAFIIPWMREQMEFLGQQKQPIKVLVNTFHALENEALRAIHELEMIAIGPLISQFRGDLFQVSNEDYYMEWLNSKSNCSVVYLSFGSICVLSKEQEEEILYGLFESGYPFLWVMRSKSDEDEEKWKELVEGKGKIVSWCRQIEVLKHPSLGCFMSHCGWNSTLESLSFGLPMVAFPQQVDQPTNAKLVEDVWKMGVRVKGNLEGIVEREEIRRCLDLVMNRKYINGEREETEKNVEKWKKLAWEAMDEGGSSILNLANFVDEIDVGDELADS >KGN48488 pep chromosome:ASM407v2:6:23182894:23184063:1 gene:Csa_6G490030 transcript:KGN48488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSDAGDHRKAPTKPLASGSGVCPPPEQEHLPCPRCDSTNTKFCYYNNYNFSQPRHFCKSCRRYWTHGGTLRDIPVGGGSRKNAKRSRTCIPTSAAIVSSSSSGSFSNSVSRLDHHPLPATPVLVPLGGGVGGDLKVGGGNMCGSFTSLLNTHAPGFWSLGGFGLGLGSGFEDVGYGTASSRVAWPFLGLGDGGAGVGGHGGTPNTWQFENGDAAGFVGSADCLSWPELAISTPGNGLK >KGN48443 pep chromosome:ASM407v2:6:22900080:22901312:-1 gene:Csa_6G487640 transcript:KGN48443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSLNFTNPLIQSSSVFTNSHKFKSNPFFLLHPLTSPISLLISSKSTKNSSSRRPISAALTTEQTELHPQLEQNDVQNPPFRFRDYMIQKSNLVNQALDEAVSLQDPLKIHEAMRYSLLAGGKRVRPVLCLAACDLVGGSESAAIPAACSIEMIHTMSLIHDDLPCMDNDDLRRGKPTNHRMFGEDVAVLAGDALLSFAFEHMAATKAEVSPERVVRAIGELAKATGTGGLVAGQVVDIDSEGADDVGLELLEYIHVHKTAALLEAAAVMGAIVGGGSEEEIEKLRIFARRIGLLFQVVDDILDVTKSTEELGKTAGKDVAAEKATYPKLMGIEKSKEFAEKLREEAIEALAGFDPVKAEPLIALSNYIAYRQR >KGN47503 pep chromosome:ASM407v2:6:15689715:15689915:-1 gene:Csa_6G344810 transcript:KGN47503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIREQDVISHSSIKCSLWAIEEQFMFKRLVSRNQNLDLRNLLIVIIPFKSSHSQTKLSMRVHKGVL >KGN46929 pep chromosome:ASM407v2:6:10662536:10662851:-1 gene:Csa_6G151660 transcript:KGN46929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVEQPFRPREKLVEKQKIFQSIHKHTYLKGPMDKITSVAIPIALAASSLYLIGRGIYNMSHGIGKKE >KGN48388 pep chromosome:ASM407v2:6:22454812:22457918:1 gene:Csa_6G485140 transcript:KGN48388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGACATKPKDLKAESGDAPEELPAETKPDHVGDEKAKEIVVDDDKVDSKPPSLTDLFIHQKEGNKEKTEDADPPEKQETNPPETEKQINPLPEEKPSENNPPEPVVSDSVTENKTVTEMETPDEAIKVEVTIENDVPPEKTESAEKPTETEKEVKVTN >KGN49058 pep chromosome:ASM407v2:6:26416747:26420300:-1 gene:Csa_6G511740 transcript:KGN49058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLKSFIEVQPDSHFPIQNLPYGVFRTAPDLPPRPGVAIGEYVLDLSEIASAGLFDGPLLKNSDCFNQPNLNKFLSLGRPAWKEARATLQKLLSSTEPTLRDNEALRLKSLIPLKQVNMVLPVAIHDYTDFFSSMHHAKNCGTIFRGPVDPIVPNWFHLPIAYHGRASSIVISGTEIVRPRGQSRPVGDSPPPFGPSAKLDFELEMAAVVGTGNLLGKPVDINEAEDHIFGLVLMNDWSARDIQAWEYVPLGPFLGKSFGTTISPWIVTLEALEPFKCDAPKQDPAPLPYLTEKESKNYDISLEVRIRPAGESDAHIVSKSNFKHLYWTLPQQLAHHTVNGCNLQPGDLLGTGTISGPEPESLGCLLELTWNGEKPLELANGLHRKFLEDGDEVVFTGQCEGDGYTVGFGTCAGKILPSAEEKDLINYKS >KGN47477 pep chromosome:ASM407v2:6:15439973:15440821:1 gene:Csa_6G338090 transcript:KGN47477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSPPMSDHQQLPPPPPPFPSHLQRKWKPHLEAAPNCPRCASTNTKFCYYNNYSLSQPRYFCKSCRRYWTKGGSLRNVPVGGGCRKSRRAKSSKSSAISRPSKPDLSSQTTDSSSSASDIDLAAVFARFLNSEPQTLTSSPESVDPLEISEIFLEGLSDMLLDDENQREEEKQGIPGSYENVSFGLETELGIDEEVWASPEMQEQVQELDSFSCNYYANDLRVSDQFCQVGDNWSSFDFAAVNNVEYF >KGN46576 pep chromosome:ASM407v2:6:7405265:7407171:-1 gene:Csa_6G109710 transcript:KGN46576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCDMDGSSNYKTPQISQMFQKFALAFKTKTFEFFADDDAPDDSDGFSLLDSAEEIITDQKVVVIKPDSAFDFFPTVPSNLIPPKSNHVVESKVEGGGTTGKIVDVEMMQTLVSSIFATVSSFEASYIQLQTAHVPFVEEKVTAADRVLVSHFKQLSDLKFFYKDFRTNPEEDISIPVGSCLEAQVQENQSKLRVLGTVSDRAQSEIDRKDSEVMALRKKLGELQKSNLRLSKKLSASLNAPCDVLLSVRVFDSILHDACRAAYNFSKVLMELMKKASWDMDLAANSVHCEIRYAKKAHIRYAFLSYVCLWMFRSFDSEVYGVTETESFCTEQSQNFDGISISLKQLLEHVSSNPMELLSVNPQCAFAKFCEKKYQELIHPTMESSIFSNLDRKEAILNSWRSVSVFYKSFVKMASSVWMLHKLAFSFDPIVEIFQVERGAEFSMVFMEDVTRRYIPPFKSRAKVGFTVVPGFKIGKTVIQSQVYLEELCALGKG >KGN49287 pep chromosome:ASM407v2:6:27527465:27527842:1 gene:Csa_6G518910 transcript:KGN49287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSALKYYKNLPHLNTLLLNTSLRKLLLIDIQIQIQLPLILITSNMAKYFRLWVCLILLASYYFAASNSRLLQSADFEAKSYKPALLGSKHNFQLALVAVVEDFGTIHQREAARQSPGGPDPHHH >KGN48403 pep chromosome:ASM407v2:6:22594296:22600877:1 gene:Csa_6G486760 transcript:KGN48403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCRFFRRLWLFLLLISRFRSLTAESRTYDNLKFSQLGSYGENSDELAQIRGKSLLPLSLKSKGNTALIAASGGDIYLVDSDSKKIIWSFASGTPIYSAYQSPTNYNKENASGSTRSPFFFDCGDDWELYIHTEHGRTKLPRTIDEVVRSTPYIFEDGSVMTGSRKTTVYEVNPVTGKLIRNHSSELSPSGLSNDEFSVLNGNSSTNKLENRDLIQPGLMKPIEQRLYITRTDYFLKSSFAGSEEVSWSLNVADIGATLVCPDGENPTNSVPLDSQNNGSFEFDFTLPLSCQSEVLVYRERSHVLTESSGHKMLSDSHNTDIMLPASASSLMLPSQPSVKHSNIHPERLMLPGPAANIASLLEPNAISQLNDDSQALIPMPLMKINDSSAVLGHNIGTTNVDFIAMVLNGPLGLFIALFITMFLGLINRGGALVAKLKQFLLKEKQPSAVSSKIVSSKKKKARKLGKNGNFDKKDASASSENEDMVRSEGDFNNWFPPNNLIDTSGNGRQIGKLMVTNKEIAKGSNGTIVLEGVYEGRLVAVKRLVKTHHDVAFKEVQNLIASDRHQNIVRWYGVEYDQDFVYLSLERCTCSLDDLIQICSDPSLNSLLSLDEDAGPMIDYKLRLESLKNVISDLNLWKKNSRPAPLLLGLLRDMVAGLEHLHELGIIHRDLKPQNVLITKQKSVRAKLSDMGISKRLLKDMSSLGHHATGCGSSGWQAPEQLLHGRQTRAIDLFSLGCVIFFCLTGGRHPFGDHFERDVNIVRNQMDLFLVEGIPEAMDLISQLLNPNPDLRPRASVVLQHPLFWSSEVRLSFLRDTSDRVELEDRETHSDLLEALESTAPLALGGKWDEKLDPAFITNIGQYRRYKYDSVRDLLRVMRNKLNHYRELPKEIQELIGSVPEGFDNYFASRFPRLLTEVYRVISQYCREEEGFWKYFKSHVE >KGN46814 pep chromosome:ASM407v2:6:9765854:9792087:1 gene:Csa_6G139140 transcript:KGN46814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVAKEEFLREFGRDYGYPGGPKTIDEIRATEFKRLSGMAYLDHAGATLYSELQMEAIFKDLTANVYGNPHSQSDSSFATSEIVRSARQQVLDYLKASPKDYKCIFTSGATAALKLVGEAFPWSHQSSFVYTMENHNSVLGIREYALEQGAQAYAVDIEEAEHDAFTGNVASVQATKHQILTRNEAKFLDKDHTGSAYNLFAFPSECNFSGSKFCLELVKIVKEDLIRYSDGSPSLKGRWKVLIDAAKGCATEPPDLSKYPADFVVISFYKLFGYPTGLGALIVHTDAAKLLKRTYFSGGTVAASIADINYVKRREGIEELFEDGTIPFLSIASLCHGFKVLNSLTIPAISRHTSSLATYLRNILVALRHPNGTSICTIYGSRSSKTLCNEMGPVVSFNLKQPDGSWVGHREVEKLASLSGIQLRTGCFCNPGACAKYLGLTHSDLATNIEAGHVCWDDCDIINGKPTGAVRVSLGYMSTYEDIKKFIDFVATFFVSTQTYAKDVRSQFCGRSIHCADTGFENRYSASQLDLKSITVYPIKSCAGFSMDRWPLSSRGLLHDREWLLQSLTGETLTQKKVSVQHLLCLDIFLSNV >KGN49204 pep chromosome:ASM407v2:6:27078093:27080818:-1 gene:Csa_6G517120 transcript:KGN49204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKKIQFQLLENNRSGAVWKSVAARIILKPELLLASVLDGFLHRSSHPPEQFLELLSTVVIVSGYLRKIIKDSQTQRQTIAVAI >KGN49397 pep chromosome:ASM407v2:6:28058141:28059953:-1 gene:Csa_6G523380 transcript:KGN49397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHFSFDSVEDFFQALNNFYQKFNVQTKYGPRAGATASTFIVSGVGLVLVYFVTRILKKKNSQRVFTRSISIGALHGGKIAMKRLLQFQKMRANPENKDKFMKKLDSRIKLDTKIESEHPNFTKIQNIVTKLEMLGQEDKAIEKLKNAAEEAKKKSLPLYEHEYQMLLVELYIYKGDLVKAEELPCLKNDATSDVRRPLYKAIIKVLQNETQEAIKEWEEFREMRSAFLLPPDVKDSHFYALLADFDSFKRVVQVLREDIFKKPRAKF >KGN47408 pep chromosome:ASM407v2:6:14911945:14913909:1 gene:Csa_6G313090 transcript:KGN47408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVFTYENEVTSVVPPAKLFKAFILDADNLYSKIIPSHPQTEIVEGNGGPGTIKKITFSHGGELKTIAHRLDVVDEASLTYKYTVLEGDLISETIDQIVKEIKVTEGPDGGSILKSTSVYHTKGDNQLDEGKLKIGEEKGLALLKAAEAYLLANPAEYN >KGN46347 pep chromosome:ASM407v2:6:5766743:5770758:-1 gene:Csa_6G087760 transcript:KGN46347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKLSIASARDRVVTRSQSISMERAKMTKRSSPKNPVVAAEPEGMVKRMRRDIKPIHSCSSPRSAFLTAHSSASSSASSSSCWYDADVWTEVAKFLDGRSLMMLAATSRWFYRSVMEDSIWKYVCLRDLQVPAPQHTAFKWIKLYVSAFDGSHSYIFRQPEKHLDWMRIGAFFLDSSVALLSERLGSQMKIPKQDSTDNTLESGCCVLHNVKTGIWIADLQLVRCPVCDLNTCDGTMQTLDARHIELFLNEGYQDGSWEYQIVGSHDIRKPTESASGAIFDVKHLEDSSTSGLLDLKSWTGNRTDWQPKAMITLNAVAVNTYLQDNEGVHVKYQAMRAGANGEVVSIRISQQLL >KGN48646 pep chromosome:ASM407v2:6:24254620:24261051:-1 gene:Csa_6G496980 transcript:KGN48646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPQSAGLTLSSGKGLSLTPGSRVLQTPLADEAIWRRLKEAGFDEESIKRRDKAALIAYIAKLEAEMFDHQHHMGLLILERKELASDYEQMKSKAETAELMYRRDQAAHLSALTEAKKREDNLKKAIGIKEECVASLEKALHEMRLESAEIKVAAESRLAEARIMMEDAQKKFVEAEAKLHAAESLQAESNRCNRAAERKLHEVEAREDDLRRRMACFKSDCDKKGEEIVLERQSLSERQKALQQEHERLLDGQALLNQREEYILSKTQELSRSEKELEELRASIENERRAVHDEKSKMQLYEASLSKREEAVNRMEIMMNRRQQELLVLEEKIATKETNEIQKVVANHESTLRTKISDFDAELQIKQKAVEDEIESKRRAWELREMDLKQRDEQILEKEYDLEVQSRSLVAKEKEVEELSKSLDEKEKNLKALEQELELSKVLLQKEKDECSKMKRDLQCSLDSLEDRRKQVDCAKDKLEAFRSETNELSLLEMKLKEELDSVRVQKLELMDEADKLMVEKAKFEAEWEMIDEKREELRTEAEILAAERLAVSKFIKDERDGLRLEREVMRKQFKNDRETLSREREEFLNKMTCERSEWLNKMQQERKDLLMDVEAQKKELENCLEQRREELEGQLREKLKNFEQEKKNELDKINFLKDKATKDLEEVALETKKLETERMEINLDRERRNREWAELNNSIEELKVQREKLEKQRELLHADREEILADIERLKKFENLKVALDNMAVAEMNQSDLDVAQPISYPRRRPLVRDAEHQIDTQKITNGFDSPSVLKVDGDLPPTSTRFSWIKRCSELIFKQSPERERAPTRYPVKNPINQADQSSSISGQLFQSPEFEMDRGNEKSQRTITERQDVKYAIGEPKVIVEVPPANKNMNGVPVLESEIVDDVTLSDHRVLTGKKRRATNITHPDSLGQLEFENNNKKQRQEEISGDPTEDDSSCPEEATQMNMPEDPKAFVSSTDNQENAKEAEVVIVSTDINIIEVTTYKQKNSDMSSDHQETISEKC >KGN47145 pep chromosome:ASM407v2:6:12404866:12407085:1 gene:Csa_6G190360 transcript:KGN47145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCFHFTNGERRDDEDGVASRTSKVSWARSLSMASSTFDTRRSEFDSDYSRDFSDSIGFYEFLTHRRANDLRVFSFSELRSATRGFSRALLIGEGGFGCVFKGVVNGDSNSKSDLKMEVAVKQLNRNGFQGHKEWINEVNFLGVVKHPNLVKLVGYCAEDDERGMQRLLVYELMRNRSLEDHLLVRVSPPLSWLARLKIAQDAARGLAYLHEEMDFQLIFRDLKASNILLDEEFNAKLSDFGLARQGPPEGISHVSTSVVGTIGYAAPEYVQTGRLTAKSDVWSFGVVLYELITGRRAVERNLPRNEQKLLEWIKPYISDPKKFHLIVDPRLEGECNIKSAQKLASLANKCLMKQPKNRPKMSEVVEFLGNIISESCQDIETAVQPVDRTVETKEESVEEAEVEPATTRHRNSHLKKVFDFKDMVSLRNRSFGKLDWRNWTPGLVRTW >KGN48117 pep chromosome:ASM407v2:6:20564307:20565864:-1 gene:Csa_6G434370 transcript:KGN48117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSNSKIDPSEFEAVPSELKTLERELEEKMKKMEEMKKKIEGKKVCLEKKKDGVKFSRKEMEVFKGLCGKYKSLRKEYNEAWEKERRES >KGN49018 pep chromosome:ASM407v2:6:26248304:26250746:-1 gene:Csa_6G510360 transcript:KGN49018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGQPSRQICNIHIYDTTPKQPSKCSCGRTLPPSTSHTLPPSTSRTLPPNMSSGPSFQNPSHKINGDDFESLEQVTEALVKAGLESSNLIIGIDFTISNEWTGTKSFMGRNLHDLAGAFLNPYEQAISIIGRTLEKFDDDNIIPCYGFGDVATGDREVFSFHSGDRPCHGFEEVLFCYREIVPHVRLAGPTSFAPIIRNAIRIVNDSGGQYHILLIIADGQVTRSVDTRKGHLSPQEQATIDAIVEASKYPLSIILVGVGDGPWDQMSECDDKVPGRQFDNFQFVNFTEIMSKGTSPCKKETEFALQCLMEIPPQYKETTRLQLLGQKRETQEHLPFPLPRPPPITNIPRYHYTPIYRRTDSDITSSFSGSTSPAHSVLSRSSTWSSSMSFYRICPKCYTNEKELAFGCGHQTCSDCGKDLLFCPTCQTQITKSIKLRNF >KGN49317 pep chromosome:ASM407v2:6:27670980:27672392:-1 gene:Csa_6G519690 transcript:KGN49317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPTQPSPFSFPFFLFLFLFLFPITSSVSPTAAPTSLPSPSSSSSTLDPKQLRALQSLNIPTSRDPCFPSSLHNVTLCDSSAPFRHLLSLRLANCSDDVALSFTALKSLSTLQSLQFLNCPISPIHFPSDLATSLRSFTCIRSLRKLTGVWLSRLRNLTELTVSDVSITASGPYVILGNMKSLTSLTISHANLTGFVPKHLNLNLTHIDFSGNKLRGKIPTSVTLLENLETLNLSLNWLKYEIPTSIGDLISLRNLSLASNSLSGPIPESFSAIPGLIHLDLGSNQLNGTIPRFISEMKSLKYLNLENNMFHGVIPFNESFIKRLEVFKIQGNNNLCYNHSILSSKLNLGIAPCDKHGLPVPPPPDKDADDGDSVEDDSGSDYDGSDENDVNHKENNHHHGPNKVVLGVAIGLSSLVFLIVFSVLLSKCCR >KGN46456 pep chromosome:ASM407v2:6:6484975:6493933:1 gene:Csa_6G094740 transcript:KGN46456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPFGKITILVGAGIVGSVLAKEGRLPYVQDFVSGAFKIALRRISRDDSSTSKTKPRNDSLMAQVKSLREELQMLASNRQMTIVTTGGRGGRKYGVIILVVVVGYGIIWMKGWKLPDMMFATKRSLADACTSVARQLENVYSSIAATKRNLSSKMDSVDKSLDETLDVTTDTQEQVSELRGRSETFGRDIKSVHHAVQTLENKLCTFEGKQDRTYEGVKKLCNYAIDLENKRTAERTQAIPSGPSRQVLELPPTPSPKLQNRSLPIGFSPEALSPSESNGSSDQAQVQRRSLQNTVSDPGLMSIAPTDSTAPSNSSLDTMSNGTSSSEATKSEAGNSGLSGLGFLTRTRSAMSAVFRHSRSSVQS >KGN46636 pep chromosome:ASM407v2:6:8019818:8020225:-1 gene:Csa_6G117180 transcript:KGN46636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFPILFFNTFLWIPLFRINQAILGVVATVFGLPSSPEHGTDLLVVRSYDEDGGSSEEICSICLTEFGRSGDSVCKLPNCEHLFHFNCIQEWIDRNRFTCPLCRCFFFFEDNRKMDTKWSFLVPDNPSSLYYFLQ >KGN47141 pep chromosome:ASM407v2:6:12378786:12380619:-1 gene:Csa_6G190320 transcript:KGN47141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNIVMTSLTPSSNVNKAGSEMLFRLTQIWGLSEGWQILKRVLVFSQRVEIKIKLQKKLTKGTTRRRVDDKQLHAFI >KGN48023 pep chromosome:ASM407v2:6:19849474:19856703:-1 gene:Csa_6G425110 transcript:KGN48023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLAHLDTDLSSLDHLHSTRSLISALNLLSRNLPLPPDLLEAVSSIYSAPQPQDPTPFNHSEEVDDSVQEDLLTDLGDALAKQRSNFVSGSGLERSREERYGGCVRRRLNDLEELPSSRGEELQTKCLLELCGLKLLDLQKKVRSAVSSEYWLQATCAYPDKQLYDWGMMRLHRPPYGVGDAFAMEADDQLRKKRDAERTSRLEEEEKNQIETRKRKFFTEILNAVREFHLQIQASIKRRKQRNDGIQAWHGRQRQRATRAEKLRFQALKADDQEAYMRLVKESKNERLTTLLEETNKLLVNLGAAVQRQKDSKLADGIETLDESDVDLTELDSSKNATPQDLLIDEDLDAIDSDRNDESGDLLEGQRQYNSAIHSIQEKVTEQPSMLQGGELRPYQIEGLQWMLSLFNNNLNGILADEMGLGKTIQTISLIAYLMEYKDVTGPHLIVAPKAVLPNWIHEFTTWAPSIAAVLYDGRQEERKAIKEELLSEGKFCVLITHYDLIMRDKSFLKKIHWYYMIVDEGHRLKNRDCALAQTLAGYQIKRRLLLTGTPIQNSLQELWSLLNFLLPHIFNSVQNFQEWFNAPFADRSDVTLTDEEELLIIRRLHHVIRPFILRRKKDEVEKYLPEKSQVILKCDMSAWQKVYYQQVTSIGRVDTGSGKSKSLQNLTMQLRKCCNHPYLFILGDYNIWRKEEIIRASGKFELLDRLLPKLHRAGHRVLLFSQMTRLMDILEIYLQLHEFRYLRLDGSTKTEERGALVKQFNAPDSPFFMFLLSTRAGGLGLNLQTADTVIIFDSDWNPQMDQQAEDRAHRIGQKKEVRVFVLVSVGSVEEVILERAKQKMGIDAKVIQAGLFNTTSTAQDRREMLEEIMRRGTSALGTDVPSEREINRLAARSEEEFWLFEKMDEERRQKEKYRSRLMEEHEVPEWVYSVPEGNEEKNKASEIFGIAGKRKRKEVIYADTLSDLQWMKAVENGEIPSLSMKGNRRETPSREGSASTSNVTSTRAEDKLIEFDDNMPVMSEGTSEDNSGLEGTPKRQKCEGVSSRKHEFLAESGSEWSRCVITWKTHKKKRSSYVQGSSDSRHNSNGRGNGWA >KGN45772 pep chromosome:ASM407v2:6:1107782:1109486:1 gene:Csa_6G010000 transcript:KGN45772 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anthocyanin 5-aromatic acyltransferase MPIPTPSSSPLLLSKCIVFPDQPSSLPDLQLSVSDLPMLSCHYIQKGCLFTTSNNLDATSIIQRLKCSLSRALSRFPPLAGRLVTDDDGYVYIKCNDAGVDFIHTNAGEFFVRDLLAPGDVPDCFKEFFAFDRTVSFAGHFNPIMAVQVTFLADGIFIGCSVNHAVTDGTSFWNFFNTFAEECKSTTTTKKLAITPSPDFLRDCVLVSPTVLRLPSSGPKVTFSGDVPLRERIFSFSREAILKLKAKTNEKKLIDNGELTVTAVEIMGKQSNDKYCQNNGKVGTIKDSWNRNDTVSKENANCEEIPNTTISSFQSLCALLWRSVTRARKLPPNKMTTFRMAVNCRHRLEPKLDPYYFGNAIQSVPTYASAADVLSRDLRWCAEKLNENVMAHDNGMVRRFVEDWEGNPRVFPLGNADGASITMGSSPRFPMYENDFGWGRPLAVRSGRANKFDGKISAFPSRESGGSVDLEVVLAPETMAGIESDWEFMQYVSSTSSN >KGN47669 pep chromosome:ASM407v2:6:16849576:16851884:-1 gene:Csa_6G367150 transcript:KGN47669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIDMNFSHDIDDEYVKLIRRMNPPRVVIDNDACKDATVIRVDSANKHGILLEVVQVLTDLNLIVTKAYISCDGCWFMDVFNVTDQDGNKVTDEGVLDYIKRSLESDSCFASSMRSVGVKPSVDYTVIELIGNDRQGLLSEVSAVLTHLKCNVVHAEVWTHNTRAAAVMHVTDDETGSAITDLERLSRIKGLLSNVLRGSNSRSKGAKTVVSHGVTHIERRLHQMMFADRDYELLDEDVMEDQQKPNVKVVNWCDIDYSVVTIRSKDRPKLLFDTVCTLTDMQYVVFHANVVAEGTEAYQEYYIRHIDGSPVKSDAERQRVIHCLEAAIRRRVSEGLKLELCTTDRVGLLSDVTRIFRENSLTVTRAEVTTKAGKAINTFYVRDPSGYPVDSKTIDSIRELIGQTILKVKGSSSPKEQKQASQDSPTRFLFGGLFRSRSFVNFGLIRS >KGN46778 pep chromosome:ASM407v2:6:9332354:9336413:-1 gene:Csa_6G134360 transcript:KGN46778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPWWISPTAVPFPSQTQLISHPYQHHSHIRLRKLSSSPSTSTAPRAVLLDEIVQLTHNKVLVAAGVSAAIGQLAKPFTSVVFYGREFNIRTAFEAGGFPSTHSSAVVAAATILGAERGLADSIFGITVVYASLIMYDAQGVRREVGKHSKALNKLSQTERPMNSSFPYKDEDLRVDSQLEKRISSSLNRNLEIGSPMLSEESTKALTVPSPVKQDVTTSSVANDLEGGSRMEASSSWKPFKESIGHTEIEVAAGALLGFTVSLITNSLL >KGN48235 pep chromosome:ASM407v2:6:21398338:21406814:1 gene:Csa_6G450400 transcript:KGN48235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMPGRRSDYSLLSQIPDEEVGTGVSTSFYDSIAAGGNVIKGRTDRVFDWDGIGDHRLNTQAYRTGNLYSWIGLQRHSSGSSYDDSSLSSDYYAPTLSNAAANEINALEYIHDDDFRVMKAVGSGGSSGKSWAQQTEESYQLQQALALRLSSEATCADDPNFMDPLPDEAALRSLSISAEAISHRFWVNGCMSYFEKVPDGFYLIHGMDPYVWSLCTNLQEDGRIPSFESLKTVDSSIASSIEVVLIDRHSDASLKELQNRVHNIASSCATTKGVADHLAKLVCNHLGGSVSEGEDDLVSSWKECSDDLKECLGSAVIPLCSLSVGLCRHRALLFKVLADSIDLPCRIARGCKYCTRDDASSCLVRFGLDREYLIDLIGRPGCLCEPDSLLNGPSSISISSPLRFPRLKPIESIIDFRSLAKQYFLDSQSLNVVFDEASSGNVVSGKDAAFSVYQRPLNRKDGDRKIIVVTGDKDRNSQLLNKKAAQLNTQDGKSEQFRSCVTSQYSVQSTPLVENVVPLNHISPIGSKDSEHLLALSHPRVDHANNLPFVDGSQLIRKPNDLSLGLEDLVIPWKDLDLREKIGAGSFGTVYHADWHGSDVAVKILMEQDLHAERFDEFLREVAIMKCLRHPNIVLFMGAVTEPPNLSIVTEYLSRGSLHRLLHRPGAREVLDERRRLNMAYDVAKGMNYLHKRNPPIVHRDLKSPNLLVDKKYTVKVCDFGLSRLKAHTFLSSKSAAGTPEWMAPEVLRDEPSNEKSDVYSFGVILWELATLQQPWGNMNPPQVVAAVGFKGKRLEIPCDLDPRVATIIEACFASEPWKRPSFYEIMESLKPLIKPATPHQVRSNVSLVTQ >KGN48427 pep chromosome:ASM407v2:6:22789460:22791803:1 gene:Csa_6G486990 transcript:KGN48427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEVWWSLLGAAIPAVVAGQVYKMKRRHSEEQRIKTARGREKNSDDIFVCERVCTSKRMLKKVGSFSKDPIPDTCVTVCGLSELDACTDACARTVCVNQHQVPNWNDVCLRRCQSECLKLSASYSS >KGN46275 pep chromosome:ASM407v2:6:5374303:5376370:-1 gene:Csa_6G079200 transcript:KGN46275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKLYALIVSKQKYRIFLRKVSERCMIAPTKTTEEILWSKFLSQHASFMLQNVQQKGNSHLNPSQNPNIHPLIPIHQTCSLNPNNGDGHSKRKPSSFEAYHLPYYGLGNPTSFMTNFTGCPLPITNQNYNAQAFNHSGAQFPNLNHLEDPSYLGCSSFCGYNNYNKFPVGFGEGVPMATQMGIPSNGFDGNGEFGQYGIGFGGSHNSYNWGLMCNNNGVNFGGDSQITMRHSSHPLGNKNPVDNSIFHQQQQQPNGGFMKDQSQQLCNIVSTAAEETPTTDPLSIDEQVNPS >KGN48002 pep chromosome:ASM407v2:6:19677379:19680754:-1 gene:Csa_6G423440 transcript:KGN48002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPPKKHIFLFYCEECEELAQKVAAQSDSITLQTIKWRTFDDGFPNIYINNAQELRGNHVAFLASFSHQGAIFEQISVIYNLPRLFAASFTLVLPFFPTGSFERMEEEGDVATAFTMARILSNIPISRGGPTSVVIYDIHALQERFYFGDNVLPLFETGIPLLKQRLHQLPDADDVVIAFPDDGAWKRFHKLLDRYPVVICTKVREEDKRIVRIKEGNPAGRHVVIVDDLVQSGGTLIECQKVLAAHGAAKVSAYVTHGVFPKQSWKRFLHKNGLEMAFSYFWITDSCPHTVKAIADKAPFEVLSLAGSIADALVI >KGN49025 pep chromosome:ASM407v2:6:26274385:26278185:1 gene:Csa_6G510920 transcript:KGN49025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIERMYKFDEPIIEQSSKKTTTIRFDRHSHSVPGSCRSAGGMSSVEISTEPQLLSSANARNLFDSVEAFLFDCDGVIWKGDKLIDGVADTLEMLRSKGKKLVFVTNNSAKSRKQYAKKFHSLGISVSEDEVFSSSFAAATFLKVNNFSPEKKVYVIGESGIIEELELAGFTGIGGPEDAKKTADLYLDCSIEHDKSVGAVVVGLDRHINYYKLQYATFCLRENPGCLFIATNRDATGHLTESQEVPGAGCMVSAVCASSEKEPIVVGKPSTFMMDFLLKKFEFGCSKMCMVGDRLDTDILFGRSTGCKTLLVFSGVTTQSNLQDSSNHIQPDYYSSKMSDLLELLGP >KGN46331 pep chromosome:ASM407v2:6:5671501:5672127:-1 gene:Csa_6G085130 transcript:KGN46331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWYPLLKLCGIQIPRDILLEILGPSKVYKQVIKEVINFTVAAYVEQEALKVRKDLRIDQSYEDLEDQSEPDGKFFFESIIQLKESN >KGN48132 pep chromosome:ASM407v2:6:20649965:20651779:1 gene:Csa_6G444970 transcript:KGN48132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIVNFMTTMFFLFLLAATPSLSSSKGRASENSNGGIDDIVYEIDYRGPETHSSHHISPPDHSHGRRPWIIHHHRHQLLQPKQPNSLDPTPHP >KGN46554 pep chromosome:ASM407v2:6:7233604:7241540:1 gene:Csa_6G108520 transcript:KGN46554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSHPLQKTMSRARSRRIVLPPVQEHIIKIEDVIDTGDYYGAQQMYKSVSARYVAAERYSEALDILQSGACTQLKHEQITCGSELAVLFVDTLVKGKVPYDDNTLDRVRKIYKNFPQIPLPQHLGEDDDMQQLSEALGAAKTRVEGCSSFLKAALKWSMEFGSQRSGSPEIHIMLATYIYSESPEVDMTRVSYHFIRGDNPKKFASILVNFMGKCYPGEDDMAIARAVLMYLSLGNLRDANVLFDELKKVEEREELELPDSELIEFIVYLLLTLQRDALPLFNMLRANYKSSLEREPVLNELLDEIAEKFYGVRRRNPLQGIFGDFLKMMG >KGN46056 pep chromosome:ASM407v2:6:3764518:3765636:1 gene:Csa_6G046340 transcript:KGN46056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGVGGKFMKKLKSIKPAIAYLNQDRILQAIAPDGYCDFFTRNENFKPNIPIQKTIKKIASNLGKKNGDGFEDGETEETGFDEKENIEPFVEYRNKDSLCSSSGNCLAGSKRETPLSEIDISSFRPPDMNSGSLFDPNLLEVFQQAVMEYMKIREEEIECSIKFEEEEEEEEKRNPLFCFEEKCPPGGSDSVILYSTTLRGIRKTFEDCNSIRFLLETFKVKFHERDVSMHTEFKEELWRVLETNRALPPKLFIRGKYIGGAEEVLGLHEQGKLRALFEGIPIDQFSGIPCEGCGGVRFVLCYKCNGSRKVVDDESDEQRKCSECNENGLIICPYCC >KGN48387 pep chromosome:ASM407v2:6:22450571:22451041:-1 gene:Csa_6G485135 transcript:KGN48387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSPIPFKLTQITLGWFLIMNLKFLIRHASIKPCIDGGGNLHPHLSEAFSSETQSKLMATALVLEAIDATEGLSDRDVETEMGNGEKSNGDPAMAAFEARGKRLGQENESEEYEEELEKLVKLFLLEIYGAFLLEGLLEMELDNGVESLESCFLGD >KGN48147 pep chromosome:ASM407v2:6:20712210:20714288:-1 gene:Csa_6G445120 transcript:KGN48147 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aquaporin MAKDSDAGAFAAKDYHDPPPAPFIDPHEFTQWSFYRAIIAEFIATLLFLYVTVLTVIGYSSQSDIKHNGQICGGVGILGIAWAFGGMIFVLVYCTAGISGGHINPAVTFGLFLARKVSLVRAVLYMVAQCLGAICGCALVKSFQKGLYTRYGGGANSLAEGYSTGTGLAAEIIGTFVLVYTVFSATDPKRSARDSHIPVLAPLPIGFAVFMVHLATIPVTGTGINPARSFGAAVVFNESKPWNDHWIFWVGPFIGAAIAAFYHQFILRAGAVKALGSFRSTQSV >KGN48804 pep chromosome:ASM407v2:6:25188710:25191519:1 gene:Csa_6G501930 transcript:KGN48804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGRGSSGRTPTWKERENNKRRERRRRAIAAKIYTGLRAQGNYKLPKHCDNNEVLKALCNEAGWVVEEDGTTYRKGCKPPPIDIGTSANMSACSSLQPSPQSSCFPSPVPSYHASPSSSSFPSPTRFDGNPSSYLLPFLQNISSIPANLPPLRISNSAPVTPPLSSPTSRGSKRKPDWESIPNSYVTSFRHPLFAVSAPSSPTRCHHLTPATIPECDESDASTVDSGRWVSFQTVAPSVAPPSPTFNLMKPVSQQNSLQDAVDRHGAMGWGATSDRGRGSEFEFEKFESGTVKPWEGERIHEVGVDDLELTLGGGKARG >KGN47508 pep chromosome:ASM407v2:6:15730603:15734261:-1 gene:Csa_6G349850 transcript:KGN47508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPTAKKLVPLIIAIVVNIAIFSAAVSSSPVPSLAKLGCESKCGDVEIPFPFGMSDNCYLNINFSITCNHTHFTPAKPFLMNSNVEVTNISLHGELHVLNYVARACYSEDGSADIKNRPSIRVPMFTISNTKNKFTVIGCDTYAYISGELDGESYRSGCMALCGTFRKNIKDGSCWSGCCQLEIPKGLQKLALEVGSFHNYTEPENKSNLNLSQCGYAFVIEQNIFNFKKSYINNYTEEKVPLVLDWKIKHENCSTDKCKCGQKSEKILEDGSKYYRCKCPNGYHGNPYLDEGCQDTNECKLGTHQCVSNDMCENAPEGTYTCYCPENYEGDGKEGGTGCRKKHSNSKFIKIATGTGVGITVLLIAISWLYLGYKKWKFIQRKEEFFKKNGGTMLQQHLSQWQSPTDTVRIFSQEELEKATNKFNESTVVGKGGYGTVHKGVLDDGSVIAIKKSQLLDQSQTSQFINEVIVLSQVNHRNVVKLLGCCLETQVPLLVYEFITNGTLFDHIHDRTKYSNHIPWEARLRIASETAGVISYLHSSASTPVIHRDIKSTNILLDHNFTAKVSDFGASKLVPMDQTQLSTMVQGTLGYLDPEYLLKSELTEKSDVYSFGIVLLELITGKKAVCFDGPEAERNLAMYVLCAMKEDRLAEVVDKEMVMDEGKLNQIKEVSKIAKECVRVRGEERPNMKEVAMELEGLKVMQVQHSWIKNNLSNSEEMISLLGETSNSTQFLVSSRMNSTSNSITTDILTAHVPDAR >KGN45722 pep chromosome:ASM407v2:6:765218:771939:1 gene:Csa_6G008050 transcript:KGN45722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLGRMVWAQPVLPAIWHSPFGFRLDKKERSDRFDRIELPFQFLLSKILFPATVQLQLRIPPTMGTVEVLVAQIQGLSSTAGDISRLHTLLKQSEELLHAETSRLPSALAQLDASKHSLGYLYILEACTSVPISQEQSSSILLTISRFISCCNPEQIRLAPEKFVSVCKRFKDQVIQEAPIRGVAPLLTAVRKLQTSSEHLTTLHPEFLLLCLLAKSYKTGRSILDNDILEVDQPRDLFLYCYYGGMICVGLKLFHKALELLHNVVTAPMQSMNAIAVEAYKKYILVSLIYNGQFSTSLPKYTSSVAQRNLKNFCQPYIELANSYSTGNVEELDTVFQTNRQKFESDNNLGLVKQAVSSMYKRNIQRLTQTYLTLSLQDIASTVKLNSPKEAEMHVLQMIQDGEIFATINQKDGMVRFLEDSEQYKSCRMIERIDSSIQRIMTLTKKLTAMDENISSDPLYLAKAGRERQRFDYDDFDSVPQKFNI >KGN48867 pep chromosome:ASM407v2:6:25503362:25504844:1 gene:Csa_6G504480 transcript:KGN48867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPQSVSAVFSAYASFATTMMLIRSLTNELLPAKFISLLSSIYVYFFGSLSSQTKFVIDESSGLSPNEVFQAADIYLRTIISPSTDILKVHKTARQQNITLSIYKDQEISDYFQNIHLQWQLVCSNDSHDTTTEKRHFELSFPKKFRERVVDFYLPYVLKTAKEVEEKNKVVKIFSQECNDYDDYAGAATWGSVNLDHPSTFDTLAVDPELKQWIIDDLDRFVRRRDFYRKVGKAWKRGYLLYGPPGTGKSSLIAAMANYLKFNIYDLDLTTIYSNSDLRRNLLATRNRSILVIEDIDCSVEIQNRDSGEEYGGYNNKFTLSGMLNFIDGLWSSVGDERIIIFTTNHKEKLDPALLRPGRMDVHIHMSYCSRKGLKVLASNYLGEEATEHDVYREIEELIGDMEVSPAEIAEELMKGEETEAVLGGLLNFLKHKREEKRKEKDEEEEEEEENVEKMVTEK >KGN46528 pep chromosome:ASM407v2:6:7060375:7061360:-1 gene:Csa_6G106800 transcript:KGN46528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQNHNTSGRVLDEGYEDLLPVMAQKLDVEVFVAELCSGFRLLADATKGLITAESLRRNSALLGMEGMNENEAESMVREGDLDGDGALNEMEFCILMVRLSPGMMEDAEAWLQKAIDEELGKSSC >KGN46733 pep chromosome:ASM407v2:6:8904698:8904979:1 gene:Csa_6G127450 transcript:KGN46733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFIFCNFKSALNLAMNLSALPGRNWNRFDEEAPLHEQKPEYFPTLYRMHEEFEGLKRTKLEAIRV >KGN48079 pep chromosome:ASM407v2:6:20294059:20296734:1 gene:Csa_6G429090 transcript:KGN48079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEFEFHRLLQLFPVVRSRDYHAETELSRQSTSKSPKSEINERQEPWNEDGEKPKDQGSALHHAFWTKLKLAAEQKVGKVEAERFFNSFQQIHNKLVNEELSLDAARSFLDSFSCSSTEE >KGN49116 pep chromosome:ASM407v2:6:26683645:26684013:1 gene:Csa_6G514040 transcript:KGN49116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDSCGRLPTRVFKCQRQPPNVSLTCIPRNPTPSSYRNSSVTDINPSAVVAGQRILFAEFLPFRLHAIHHRPNILFAQFRRVTPGGALVMIVGIATEKSLAPTRRQFPTFSHYSRKMISIKQ >KGN45833 pep chromosome:ASM407v2:6:1568264:1569402:-1 gene:Csa_6G014540 transcript:KGN45833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSSLLSSLSVFFLIFLPSISADYGHATFYGGGDASGTMGGACGYGNLYSQGYGTNTAALSTALFNNGLSCGSCYEITCNSDPKWCLPGKIIVTATNFCPPNFALSNDNGGWCNPPLQHFDLAEPAFLQIAQYRAGIVPVSFQRVPCMKKGGIRFTINGHSYFNLVLITNVGGAGDIHSVSIKGSKTGWQAMSRNWGQNWQSNNYLNGQSLSFQVTTSDGRTVTSYDAVPANWQFGQTFEGGQF >KGN48937 pep chromosome:ASM407v2:6:25905526:25911290:-1 gene:Csa_6G507120 transcript:KGN48937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKKENNPGLLSSDEEYCGGRSSSSKRRYRKTKSQSPLPRKSTRQAKKARLLGSRSCLQKDSRNVDEFNSFCEDFDQVFSTFKVSAGSGSVCRNELWIDKYRPHSLEELAVQKKKVDEVKVWFEDRLRTPMDANGSNVILITGPAGVGKSATVHVIASHLGARLCEWDTPTPVIWREHLHNLTAGIQYTSKLDEFESFIGRMRKYGVIPSCFSIDSKQPVILLIDDLPLTNGKAALRRLQSCLHLYVQSTQVPTAIVITDCAKAETTDFTVQYLEEIQLCLENAGACKVAFNPITNNSIKKTISRICSCEQYDLAVEQIDAIAKSSGGDVRHAIMSLQLFCLKPSQICSSSSSAQESLKDEEMPHTLVDDRFSFQFGRDETLSLFHALGKFLHNKRHSVNELVLDSEFSVQESLLRRPLNMDPPEKVLCQAHGQARPIADFLHENVLDFMNEEAIDDAWVVASYLGDADTLLSSYDGMLARHNDAENILHLAAASVAVRGVLFGNSHPLSSRWHAIRRPKLWQIEGSSLSNKKMVKQRFVPYGGISLAHFSVVATEYVPALKWLGNSVSEDHEKLWALTEENTGFDMVISGDQESHTSEEEIEDW >KGN48886 pep chromosome:ASM407v2:6:25612927:25616874:1 gene:Csa_6G504660 transcript:KGN48886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGRPRSSKRSSSSSSYASTVTTVVFLALCVLGVWMLTSNSAVPPQTTTRTSSDSSTSSTSTIATTTDFVSSSEEPQLPKSEDKESTPAFEDNPGDLPLDAIKSDDSSNIVSDNDAKSREDRPKDNANDVQEPRDNNEAQLSEESTMTQNQQVEATQKIEEKLDLGGNQEQNTNLPDQSNESTEHVESDNSKSNDTPNDTSLEINGQEQQQQQQQQPEEPENNANPQDIQATIANIEQQQATDIPEISGDSQNDQPKLGTEGEKITQEPEIHNQDDDKAQQQTQQQQEQDSSNTNNNNEETPSLEQNQPRKRHRGKNKSTEDQESQQTESKESQEVPKDSKTEIKVEETTTAGSLETSGIPKESKESKKSWSTQAAQSENEKDRRREESSSDGSIYGYTWQMCNVTAGPDYIPCLDNEKAIKQLRTTKHFEHRERHCPEEGPTCLVSLPEGYKRSIEWPRSRDKIWYHNVPHTKLAEVKGHQNWVKVTGEFLTFPGGGTQFIHGALHYIEFLQQSVPDIAWGKRTRVILDVGCGVASFGGFLFEKDVLTMSFAPKDEHEAQVQFALERGIPAISAVMGSQRLPFPSMVFDTIHCARCRVPWHVEGGMLLLELNRVLRPGGFFVWSATPVYQTLEEDVEIWKEMSALTKSMCWELVTIQKDKLNSVGAAIYRKPISNECYDQRKHKRPPMCKNDDDPNAAWYVPLQACMHRAPVDNTVRGSSWPEQWPQRLQAPPYWLNSSQMGVYGKPAPQDFSTDYEHWKRVVNKTYMNGLGINLSNIRNVMDMRSVYGGFAAALRDLKVWVMNVVNIDSPDTLPVIYERGLFGIYHDWCESFSTYPRTYDLLHADHLFSKLKKRCKLQPVLAEVDRIVRPGGKLIVRDESSTIGEVENLLKSLRWEVHLTFSKNQEGLLSAQKGDWRPDTYAESS >KGN45661 pep chromosome:ASM407v2:6:375934:382116:1 gene:Csa_6G004520 transcript:KGN45661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSSGEDLVYVAVGNDINECKLNLVYAIKHSGGRRICILHVHEPAKFIPILGTKFLASSMKEEEVNAYRELERQDADKILDVFLLLCHQAGARAEKLYFESDKIKKGIVELVSLHGIRSLVMGAAADKYYSRKMTKIRSRKAMYVHLNAAASCQIQFVCKGQLIRIREACPQETHADISPSSPQSQNINGVSWRTEQFGLFNGRISNSPTIVMERLTISETISNASGSPFERSYATSPSSGYMDVASSRTEEDAYGLGLNSPLLLTNFATKTSPPHFSGFQQDGSADDSLYIQLEKAIADAANARREAFREALKRAKAEKELDEAICWAKVSETLYAEESRGRKDAEEELSKEREELDDVKNQVNEMMKELQIARNNGLKLENQIAQSDEMVKELEQKILSAIELLHNYKNDRDELLKQRDEALKELDDIRTRQVEAMSQHSAQLISEFSFSEIVEATRKFDPSLKIVTDANGSMYKGLLYNTEVSIKMLCSHNLQNPVDFQREVDALSKLRHPNIATLIGVCPEACILVYDYFPNGNLEDRLACKDNSSPLSWKTRILIATQLCSALIFIHSNKICKTVHGDMKPSNILLDANYVPKLAGFGTCHFLTHDEKLSYNENLSVGYDAKRNHEFPLTTELDIYSFGTVLLSLLTGKSYLRMKEDVQIAIKKRKLKDVLDPRAGDWPFVQAEQLAQLALRCCDTDSMYRPDLVSDVWRVLEPMRASCGGSLSVCLSFGDQQVQPPPYFICPIFQEVMEDPHVAADGFTYEAEALRGWLDSGHDTSPMTNLRLDHQNLVPNRALRSVIQEWLQQHQ >KGN46281 pep chromosome:ASM407v2:6:5410314:5415671:1 gene:Csa_6G079750 transcript:KGN46281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKPGILTDWPWKPLGSFKFVILTPWVIHSSYLYFKGGEKRDLSYILIFPFLVLRMIHNQIWISLSRYQTAKGTKRIVDKPIEFEQVDRESSWDDQILFNGLLFCLGRMVVEKGENLPLWRTNGVVIAALLHAGPVEFLYYWFHRALHHHFLYSRYHSHHHSSIATEPITSVIHPFAEHIVYFLLFTIPLLVTVLTETASIGSFVLYVMFIDFMNNMGHCNFEIVPKSLFFIFPPLKYLIYTPSFHSLHHTQFRTNYSLFMPIYDYIYGTVDKNSDSLYENSLLREEEVADVVHLSHLTTPQSIYHMRLGLATVASQPFTSKWWLTLLWPFTSFYVLATSFYGHIFVYERNTFKALKLQSWVIPRFNLQYFMKGRREAINKLIEAAILDADKKGVKVLSLGLLNQGKELNEYGEFYIHKYPNLRIKLVDGSSLAAAIVINTIPKATTKVLLRGNLSKVAYAIADALCQLGFQVATLYENEHKKLKSKVTTNSNNLVLAKITTHKIWIVGDGLEEFEQLNAPKGTIFIPYSQFPPQRLRKDCYYHITPSMRVPSSFQNIDSCENWLPRRVMSAWRMAGILHALEGREGHECGETMLSLDDAWRASLENGFLPLEIPSI >KGN46096 pep chromosome:ASM407v2:6:4055271:4059122:-1 gene:Csa_6G052710 transcript:KGN46096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAMGLMRRMPPKHSETALSALLTLLPHHSSDLLSQVDQPLQVICDVDNGKEFILCEYNRDADSYRSPWSNKYHPPLEDGAMPSIELRKLEIEANEVFTIYRDQYYEGGISSVYMWEDDEESFVGCFLIKKDGSKTGHGRRGFLQEGAWDAIHVIEVRLEDEGTASYCLTSTVMLSLTTDNNAAGTFSLSGSIRRQMKMKLSVAEGHLCNMGRMIEEMESKLRNSLDQVYFGKTKEMVCTLRPPSEVVHMKLPDK >KGN49356 pep chromosome:ASM407v2:6:27860020:27861328:-1 gene:Csa_6G521030 transcript:KGN49356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAIAAPILFFNFCICVVIFGIGGWVMNHTIDNGFVIGAGFDVPNYFSPIFFQIGNSATGFFIIFALIAAVAVVASAITGSFYFRFPETANQPPAASTALVACFLTFLAMGFAWKEIAMTVTSGHLIALEAFVIVLSITQFVYTAIIVWISTSQ >KGN46297 pep chromosome:ASM407v2:6:5480775:5482456:1 gene:Csa_6G080400 transcript:KGN46297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRKLCAFECFMLCSFLSAVLVSSKFHGNPANDLVDIINRNRTAAKISHLSDSAGLGCMALQFVNFCKGNCTSNNTVNCNPSSDNFTEIFAPDCGVELPTFDTITGQIVACQHEYLEPTEAFSRALVSDKKTLSLMKNKSHTEVGVGIVGVHKGPFFWCVLFSSGKTNSTFVLENHGLGIKQKRGCFSGGSVECSRGHSDRAFWNNFSFILYSVLFYHLL >KGN47112 pep chromosome:ASM407v2:6:12196168:12201967:-1 gene:Csa_6G188050 transcript:KGN47112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPQSQTDFSFFQIFLCSAFAACIAELCTIPLDVAKVRLQLQKRAAAADGAGQSKYRGLLGTITTIAREEGLPALWKGVIAGLHRQCIYGGLRIGLYDPVKLYLVGNNFVGDIPLHQKILAALFTGALAISVANPTDLVKVRLQAEGKLPAGVPRRYSGTLDAYFTIIRQEGLIALWTGIGPNIARNAIINAAELASYDQVKQMILKIPGFLDNVFTHLLAGLGAGFFAVCIGSPVDVVKSRMMGDPTYKNTIDCFVKTLKNEGPFAFYKGFLPNFGRLGSWNVVMFLTLEQARKLFGL >KGN47060 pep chromosome:ASM407v2:6:11861626:11864183:1 gene:Csa_6G182140 transcript:KGN47060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKWSSKATQIRSDEYGRKGDEDIDWEIRPGGMIVQKRRIGSNPNSECFITINVSHGSNRHQITVDSHSTIGDLKSRLQRQTGLEPTEQRLLFKGKEKENEEWLHMAGVNDMSKLILMEDPASKERKMEEMKKNNSVAAGEALAKVAVEVDKLSEKVAAVEGGVNGGKRVEEKELNLLIELLMMELLKLDAIHTTHPDSKIHRRTQVVRVQKLVDRLDNLKATISNSMKRDSSSSGRAKWEAFECGFGSLIPPTSKLTISSTKITHDWELFD >KGN47238 pep chromosome:ASM407v2:6:13124784:13125326:-1 gene:Csa_6G224020 transcript:KGN47238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKASTFEIGNKLDQLETHILEMEGNDELPSHAYVNDVTLESRKIFNDKDDVNPSSDHVSLSAFHTLESLETLEKHGNFNEIDDLSIFLDFITSINEEHALVGNVGIHEQHALDNDFASSIEHDLGRNININDEHFFIGIDIENNLHTNLIEIVSKLDDEKHLENDIGTFDELALDFDFEF >KGN45792 pep chromosome:ASM407v2:6:1266953:1269433:1 gene:Csa_6G011680 transcript:KGN45792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTAVSLARQCLAPDAAHALDEAVAVAHRRGHAQTTSLHAISALLSLPSSALRDACARARKTTAYSPRLQFKALELCLSVSLDRVPSTQISDDPPVSNSLMAAIKRSQANQRRQPENFHLYHQLSHQSSIACVKVELQHFLLSILDDPVVSRVFGEAGFRSSEIKLAIIRPFPQLLRYTSRSRGPPLFLCNLMDCSDPNRRGFLFPLSGFRDGDNNDNNRRIGEVLGRNRGRNPLLVGVSAYVALKGFTNAIEKRNDNFLPEELAGVRTICLENDFSRYLSENSEMGSLNMKFVEVVQMVEQSPKPGLIVNFGDLKAFVGENSTDDRASHVVGQLKKLVDVHGDKVWLIGAASSYETYLSFVTKFPSIEKDWDLHLLPITSLRPESYPRSSLMGSFVPLGGFFSTPSDATIPLNVSYQHPSRCLQCDKSCEEEVIAASKGVFTPPLSEQYQSSLPSWMQMTELSNFDAFDAKVSVCFQIQLSVYKGVQYSDAMTVLFML >KGN46817 pep chromosome:ASM407v2:6:9824114:9825404:1 gene:Csa_6G139170 transcript:KGN46817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTSPPDPDMDDYQFPLPGFRFHPTDEELVDYYLRRKVDKKSVTLELIKQIDIYRHNPWDLPYGGAATGEKECYVFVKRGRKYKNSVRPNRVTGAGFWKATGIDKPIYSQEGEGNRCIGLKKTLDFYKGSAGRGVKTEWMMHEFRLPPITSSSSHFSKTEQEAEIWTLCRIFKRNVTCRRYNWKEIPGSNRPEITKITKTCSNIVNHDQSSYDGVDQRATYISFSSNSYNGFELEKKPFLASEEKKEWEEFTMKKKMKRNNSELAAEVLSVSPPLSVNQSPASSGFSNFDENGTQFFGSSDDWEELRSIVDFGFDPFSNNL >KGN46716 pep chromosome:ASM407v2:6:8733768:8734067:1 gene:Csa_6G127280 transcript:KGN46716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFVTSGICFALFPPPVRPYLRIFVLPSAVYSIKKDFCNLFGISQPIDPSFGFPSPCANGKAFLQLP >KGN46461 pep chromosome:ASM407v2:6:6561147:6563908:1 gene:Csa_6G095280 transcript:KGN46461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYQSHLQGRNESPIDNDLQGTSESLIDNDAKDHVSDETILVSLKKLLQTIQSQVEEPNFKKLDITQMVQLENQLESTLDKIKSQRIEAMIENDDCWTYDMDMAMGMINSPPFN >KGN47573 pep chromosome:ASM407v2:6:16221701:16231667:1 gene:Csa_6G361340 transcript:KGN47573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEEKWCVVTGGRGFAARHLVEMLIKYNMFSVRIVDLGSSIELETSEEQGTLGEALRSGRAQYVSADLRDKAQLLKAFEGVEVVFHMAAPNSSINNYKLHYSVNVEGAKNVIDSCVEQNVKRLIYTSSASVVFDGINALINTDESMPYASKHNDFYSATKAEGEALVLKANGRNGLLTCSLRPSGIFGPGDRLLVPSLVANARAGKSKFIIGDGNNTYDFTYVENVGHAHVCAERALAAGGVISERAAGQAYFITNMEPIKFWEFVSLILDGLGYERPRIKIPACIVMPIAHMVHWTYKLLGPYGMPVPQFTPSRIRLLSCCRTFNSSKAKDRLFYTPIVSLQEGIQKTIEAYSHLKAEHEHKREGPSKASVYLRGGTAADILLWKDKRKTLIALLVLMAIYYNFITPECTMITALSKLLMVALIFLFIHGSLPEKICGYRVEKLSASCFSLSEERSRSIAITLTSFWNSFVRNFKSLSRGNDWMLLLKVAVSLLALSFIGLVSLQTLYKTVVLFAFTGFFIYEKKEREIDSLCQRFQVWMRSKCSVVGKVSTSKE >KGN48581 pep chromosome:ASM407v2:6:23815231:23816859:1 gene:Csa_6G493890 transcript:KGN48581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPVEEAHNVNVLGSGQQVVVLGHGFGTDQSVWKHLVPHLVEDYRIVLFDNIGAGTTNADYFDFNRYSTVEGWAYDLLAILEHLQITSCIYVGHSLSAMIGVIASIIRPDLFFKLILLSASPRYLNGVDYYGGFEEEDITQILEAMQSNYKAWCSGFAPLAVGGDMKTGAVQEFSRTCFNMRPDIALSIMQTIFEIDTRPMLGLVTVPCHILQSAKDMAVPVVVSEYLHQNIAGHSIVEVMETEGHLPQLSSPDVFIPVVLRHIHHDIAV >KGN47852 pep chromosome:ASM407v2:6:18598798:18599067:-1 gene:Csa_6G407130 transcript:KGN47852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITPHPNSNHVELENVSYVPRVKKNLVSVSQLTSIGNFSVFRPDDVKVYHNLKVSDTSLLEGQRMDFIYAMLVEVAYVNKTQKNETTDF >KGN47369 pep chromosome:ASM407v2:6:14622006:14627993:1 gene:Csa_6G306310 transcript:KGN47369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGARTSNRKRDDECLSVNRSYSSLRSPDFHVSKKPKFSTMSTDRPVVSSNSTVARLSRYPEETSLLRREVHGPCRLFKFGLSRSINRFWESKNSDLSEQDEVGNVLSYNYQVAKSRAIGSLRSFPRDVIELDSDSQTEKDVSGDSKNEDDVEVIEDENQEHRSHEVVTMEELDTKVMDVHQPSSSLEVVDLTNDDSKVENAEKMLGALSLNPDMSSVLAYKKLLQSVEKRTSRLKSLDFEIELNEKRRSVLQSLTPKKKPVDEIPQELFTPLTKEEEAEVERAFSSNRRRILVAHENSNIEITGETLQCLRPAAWLNDEVINLYLELLKERERREPEKYLKCHFFNTFFYKKLNGRNGYDYRSVKRWTSQRKLKYELIDCDKIFVPIHREIHWCLAVINKKEKKFQYLDSLKGMDSRVLKTLARYFVDEVKDKSGKEIDVSSWAQEFVEDLPEQENGFDCGMFMIKYADFYSRGLNLCFKQEHMPYFRLRTAKEILKLRAN >KGN48327 pep chromosome:ASM407v2:6:22023735:22024432:-1 gene:Csa_6G476670 transcript:KGN48327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNGTAETGGFLSSSNIGGFGYGIGVSLGLLILITTILLASYYCTRNGLSSSVVQRTPPNRSPPQAVGEMDSVVVDIGLDQETITSYPKLLYSEAKLQKNDSTASCCSICLADYKNSDVLRLLPDCGHLFHLKCVDPWLRLHPTCPVCRTSPIPTPLSTPLAEQVPLASRRD >KGN46490 pep chromosome:ASM407v2:6:6751496:6752179:1 gene:Csa_6G102760 transcript:KGN46490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGRGLPPHIVGEILCRVVISNLPNLRLLSKAWNHFILHNAHHFFFTNANDAFLLSTCDRTPNNKDLYPKMHCIRFDTTKHLGLDLESEWTKSPSLTLDGDWLFIYMNDNSCNGLVFICKCAFRSRCDGIFNPLTNEFLQVPRGEFDGDNYYFGLGFSPTTKQYKLFRVTDSFFIDGNFDNNSSIMDVLHFSRRSETNHNYNQWRQLHSIPPIICSHGAYLNGIIY >KGN48065 pep chromosome:ASM407v2:6:20205631:20209662:1 gene:Csa_6G427980 transcript:KGN48065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWNDWYGHRYQIHYSKAFWILKFREPQRMMEGMVLKSPSFHSQFHFQFMNPNRCISCFSSTLRASSSPLLRFRNPRLPSHFSVSASASTAKETDSLHLNSLSLGHTTRPDFPILHQEVNGSKLVYLDNAATSQKPISVLNALQNYYQAYNSNVHRGIHFLSAKATDEYERARKKVASFINAGDAKEIVFTRNATEAINLVAYSWGLANLKSGDEIILTVAEHHSAIVPWQLVAERTGAVLKFVNLGEHDVPNLKDLKEMFSTKTKLVVTHHVSNVLASVLPIGEIVGLAHRFGAKVLVDACQSVPHMVVDVQALDADFLVASSHKMCGPTGIGFLYGKIDLLSAMPPFLGGGEMISDVFLDHSTFAQPPSRFEAGTPAIGEAIGLGAAIDYLSGIGMQKIHSYEEELANYLYENLRAVPNIRIYGPAPTAHVQRAALCSFNIGDIHPTDIATFLDQQHGVAIRSGHHCAQPLHRALGVSSSARVSLYFYNTKEDVDYFIQALNDTVSFFDSFK >KGN47485 pep chromosome:ASM407v2:6:15509457:15511407:-1 gene:Csa_6G338660 transcript:KGN47485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTLTSNHLPLTRNIPHFRLNSPPPLYRLKSPISAIAAPPLVKHRRMHSMPPEKIDVFKSLEGWANDNVLPLLKPVDDCWQPQDFLPDSTLPYGEFVEEVRALRDRTAELPDEYFVVLVGDMITEDALPTYQTMINTLDGVKDETGASQSPWATWTRNWTAEENRHGDLLRTYLYLSGRVDMTMIERTVQYLIGAGMDPGTENNPYLGFVYTSFQERATFISHGNTARLAKHNGDPVLARICGTIASDEKRHENAYSRIVQKLLEVDPTGAMLAIADMMQKKITMPAHLMYDGQDPRLFDHFSAVAQRLGIYTANDYADILEVLIQRWGLEKVEDGLTGEGRRAQDYVCGLAPRIRKLQERADERAKKLKPHGVKFSWIFNKEIPILS >KGN48466 pep chromosome:ASM407v2:6:23043410:23046585:-1 gene:Csa_6G488360 transcript:KGN48466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEIQAAPAENPSSKRQAWFCTTGLPSDIVIEVEDMAFHLHKFPLMSKSRKLHNLITEQEANCSTPTRENQNKTAEAEQQEEEDEDEEEDDEGEEDHCHIVLSDFPGGSDIFEMASKFCYGVKIDLNSSNVAPLRCAGEFLEMTEEYSVENLISKTEKYLSQTVLRSIKESIKTLKSCEPVMPLAETLSITQRCIDSIASKAPSADPALFGWPVSDGANSAQDQSKQMLWNGIETGGRKKSGGRGSKGGSWFEDLAQLSLPLFKRLIFSMRDRDVSSEIIESCLMNYAKKYIPGISRSNRKPSLPSASSSSMPTETDQKELLETIISNLPLEKSSKTPTATRFLFGLLRTANILNASEVCKAALEKKIGSQLEQATLDDLLIPSYSYLNETLYDVDCAERILSYFLEGLEEKNAEVAEVNQAVVEDDGTRSPALMLVGKLIDGYLAEIASDGNLKAERFYNLAISLPEQARLFDDGVYRAVDVYLKAHPWISEAEREKICGVMDCQKLTLEACTHAAQNDRLPLRAVVQVLFFEQLQLRHAIAGTLMAAETVPADTGRFSGVSRRETEDVERGVGEELEEEEEEIPAGAIVHENGTWRETVRENQMLRLDMDSMRTRVHQLERECSTMKKVIEKIDKAGSPQGNGGRWRASLIRRLGCKFKTQVCDSHESAVLDGRRGRNHQHQHQHHHHHQP >KGN49263 pep chromosome:ASM407v2:6:27392743:27401464:-1 gene:Csa_6G518180 transcript:KGN49263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISYFRGKGNSADVSTPQSASSLSSSSTGTGPARPIRLVYCDEKGKFRMDPEAVATLQLVKEPIGVVSVCGRARQGKSFILNQLLGRSSGFQVASTHRPCTKGLWLWSTPLKRTALDGTEYNLLLLDSEGIDAYDQTGTYSTQIFSLAVLLSSMFVYNQMGGIDEAALDRLSLVTQMTKHIRVRAAGGRTTSAELGQFSPIFVWLLRDFYLDLVEDNRRITPRDYLELALRPVQGSGKDIAAKNEIRDSIRALFPDRDCFTLVRPLNNENDLQRLDQISLDKLRPEFRSGLDAFTKFVFERTRPKQVGATVMTGPILVGITESYLNALNHGAVPTITSSWQSVEEAECRRAYDHAAEVYMSTFDRSKPPEEAALREAHETAVQKSLAAFNSSAVGVGPVRKKYEGLLEKFYRKAFEDYKRNAYTEADLQCTNAIQSMEKRLRVACHASDANINNVVKVLGALLSEYEASSHGPGKWQKLATFLHQSLEGPVLDLIKRLIDQVGSEKNSLALKCRSIEDQLNLLKKQLEASEKYKSDYLKRYEDAINDKKKVADDYMNRITNLQGDCSSLDERCSSLKKTVEQAKQESLDWKRKYETVLSKLKAEEDQANSDIAILKSRSSAAEARLAAAREQSQSAQEEAEEWKRKFEIALRDTKAALEKAALAEERTNKQTRLREDDLRKEFSNILSVKEDELKDKATKIKQVEEHLTTLGLELKKYLSEFQRFDEVQERCRFAEHEAKKATEIADKARNEASAAQEGKNEMQRLAMERMAQIERAERQIENLERQKKDLVEDLQRIRESEMEAVSRVASLEGRVEEREKEIESLLKSNNEQRTSTVQVLQGLLDSERSAHAEANNRAEALSLQLQSAHAKIDLLQQQLTEVRLNETALDGRLKTASHGKRPRADDGDMGMESVQDMDTSERILRVNKRSRSTSSPMKYTQPEDGGSIFKGDEDNNHSQQTNQDYTKFTVQKLKQELTKHNFGAELLQLKNPNKKDVLSLYEKCVLKL >KGN46254 pep chromosome:ASM407v2:6:5235481:5239434:-1 gene:Csa_6G078000 transcript:KGN46254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSAQDPFYVVKDEIQESIDKLQSSFHQWERISSDSGERVQQTKELLASCESIEWQVDELDKAIAVAARDPSWYGIDNAELEKRRRWTSTARTQVGNVKKVVGAGKEQIGTASASGMRRELMRLPNAHETDRSNLYSAHQANDDFITSESDRQLLLIKQQDEELDELSASVERIGGVGLTIHEELLAQVYIS >KGN47783 pep chromosome:ASM407v2:6:18035542:18039744:1 gene:Csa_6G401530 transcript:KGN47783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFSKRSSLSPPQPAVAANHDLKQRVIACLNKLEDRDTLAMAANELESIAKALTYDSFSSFLSCIHNTDASSKSPVRKQCVYLIGLLSQSHGDALSPFLSKMISTVVRRLRDSDSTIRSACVDATALMSSQITKPPFSVFLKPLMETLTLEQDLNSQIGSALCLAAAVEAAPDPDVSQLRKNLTKLGKLAKNEGFKAKAALLVLIGSIIAVGGATSRSVMDWLVPCIVEFLSNDDWAVRKAAAETLGRVAVAERDLAADYKASCIISLDSRRFDKIKVVRETMNQTLELWKEIPDASGDISTDNGNGGCFPPPSTCSPEQNLRTPLKKTVPTSRSSPLDVSRVTNSKKISPKNIGKNSSTPISKLERQKSSNWSVEIAVSNSPSSKFASENNAPGGGSENIDFQENENSRLNAKRVLYNNVRDEKVNKSSNLRSGSRVVPFEEHDNIQEDESRDSDVTVGSSSEETFGSHKEFEDISLIRDQLRQIENQQSSLLNLLQNFIGSSQSGMNSLEKRVHGLEMALDEISYDLGLSSGRVPNSSFAENSCCKLPGAEFLSSKFWRRAEGRYSSSKFCSTTQVSSPNDPHHTLDRDSVTEPLKQNNQIFRTERRGGLVMNPLADIDGEFRENMGLYPKRLLKTMIQENDNVHIYNASGTD >KGN45902 pep chromosome:ASM407v2:6:2143193:2144021:-1 gene:Csa_6G020160 transcript:KGN45902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGSTEKSKKQDDNESDLHPIPHCSIILTIFDSILQPFISILRFFGFKRDAPPYRPASSSLEDCYTAGSNPPSTAVDPPHDDSEEESEYYRPHVPPRPPWSTGGGGQID >KGN46936 pep chromosome:ASM407v2:6:10697207:10698359:-1 gene:Csa_6G151730 transcript:KGN46936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVVAAYLLAVLGGNTSPGADDVKSILNSVGAEIDEERITLLLAEVKGKDITELIASGREKLASVPSGGGAIAVSAAAGGATGGGAAAAPAEQKKEEKVEEKEESDDDMGFSLFD >KGN45822 pep chromosome:ASM407v2:6:1497481:1498896:1 gene:Csa_6G013940 transcript:KGN45822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASILRLFFHDCFVNGCDGSILLDDTSSFTGEKNANPNRNSARGFDVIDNIKTAVEKACPGVVSCADILAIAARDSVVLLGGPSWNVKLGRRDSTTASQSQANNDIPGPTSSLSQLSSRFSALGLSSTDLVALSGGHTIGQARCTTFRSRIYSNSSNIESSFARTRQSNCPNTSGTGDNNLAPLDFTPTSFDNNYYKNLVQNKGLLQSDQVLFNGGSTDSVVQNYANAPARFLSDFAAAMVKMGDIAPLTGSNGQIRKNCRMVN >KGN46122 pep chromosome:ASM407v2:6:4271697:4274239:-1 gene:Csa_6G055920 transcript:KGN46122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIRWLPPATGEIKLNVDASIHSSERFGKVFEGWLRVVGRCQLQLLKFKIWLQNFIFIRIRENVKPAYVWIMKDYLVEISRNLEL >KGN48345 pep chromosome:ASM407v2:6:22154650:22159027:1 gene:Csa_6G483270 transcript:KGN48345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFQVRKATTKIIPHFRNGMGFFLGPLEMGFFNSYSTHLRNTSFSAHKIGTRILGSENMPTHLFSRRFESIKVGKQLNCVNDDRDDQVLEFPGGKVKFTSQLSFIPDREEERIPCFRVLDDNGRPCMYSNFTQVSKEVAMKIYHDMVTLQTMDTIFYEAQRQGRISFYVTSLGEEAINVASAAALSLDDLIVPQYREAGVLIWRGFTLKEFASQCFGNKYDYCKGRQMPVHYGSKRHNYFTVASTIASQIPHAVGAAYSMKMDKKDACAVTYFGDGGTSEGDFHAALNFAAVLEAPVIFICRNNGWAISTPISDQFRSDGVVVKGQAYGVRSIRVDGNDALAVYNAVRAAREMAIQEQRPILIEALTYRAGHHTTSDDSTRYRPAQEMEWWRVAMDPVSRFRKWIESNGWWSNEAEAELRSRLRKQLLEEIQLAERSEKPPVADAFTDVYDVPPSNLQEQEKWLRKTIKTHPQDYPSNFPL >KGN47812 pep chromosome:ASM407v2:6:18237396:18238128:1 gene:Csa_6G404270 transcript:KGN47812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPSFFLHLSLLLLHLSFKFEFGRSDPDPLQDFCVADPKASTSSFFNGIPCINPNLATVDHFKTSALSLPGNTKSNRFGFSVTVTNPQNLPGINTLGLTLARIDLDPRGLVPLHSHPRASEVTICLIGQMVVGFVDTSNRVFTKKIGPGESFVFPKGLLHFMYNEDFKKSAVAVSGLNSQNPGAQLLALAVFTSKPEIPEEVLKKSFQISECEIAHIRKSLGG >KGN45762 pep chromosome:ASM407v2:6:1014121:1016569:-1 gene:Csa_6G009410 transcript:KGN45762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKLCPNFDREYGLDTVLEVPIPEEMFSSNTTKTHAISWQAMKSWVKSNDKSSHATSITSLFGGRNAEIQLLLGVVGAPLIPLPITFDQQQPINRNNIKDNPIEASMAKYIVQQYVAAVGGEHALNCIESMYAMGKVKMAASEFWSGEGKAAVKGKNNGKGGGGGGGGGGGGEMGGFVVWQKRPELWCLELMLGGSKISAGSDGKVAWRQTPWHHSHASRGPPRPLRRFLQGLDPKSTATLFSNSTCIGEKTINDEDCFILKLEAESSVLRARSSSSVEIIRHTVWGYFSQRTGLLVQLEDSHLLRIKPAGSRNDNIFWETTMETLIQDYRTIDGVNIAHAGKTTVSLFRFGETAEGHSKTKMEEYWEIEEVDFNIKGLSMDFFLPPSDLKKEEEGVGLITTSKGKFPLTMRCSGESRGFSSRVAAIDQSEDEESEGSNESDNEDEDF >KGN47484 pep chromosome:ASM407v2:6:15499366:15504790:1 gene:Csa_6G338160 transcript:KGN47484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLFGLMDRGRTTTLGAIWGLLFVAFWVATTDGFPAQDLVDRLPGQPTVGFRQFAGYVDVDVNAGRSLFYYFAEAQQDPHLLPLTLWLNGVGGGAFTELGPFYPKGDGRGLRRNSMSWNKASNLLFVESPAGVGWSYSNRTSDYTCGDDSTARDMLTFMLKWYDKFPAFKDRSFFLTGESYAGHYIPQLADAILDYNIHSKAFKFNIKGVAIGNPLLNLDRDAQATYEFFWSHGMISDEVWFAITRDCNFDDYVLTNPHNVTKSCNEAIADANGIVGEYINNYDVLLDVCYPSIVEQELRLKKLATKISMGVDVCMTYERRFYFNLPEVQKALHANRTNLPYEWSMCSDTLDYNYDDTNINMLPLLQRIVRNHIPLWIYSGDEDSVVPLLGSRTLVRELAHDLKLKVTVPYGAWFHKGQVGGWAIEYGNTLTFATVRGASHMPPPHNPIAPPSPPLFPPHKPISPPSPKPSTPPPPPPPPPHKPVTPPPPPPRKPIAPPPPQKPTAPPPPRKPLVPPPPPQRPTAPPPPRKPIVPPPPPPQKPTAPPPPRKPIVPPPPPPQKPTAPPPPRKPIVPPPPPPQKPTAPPPPRKPIVPPPPPPQKPTAPPPPRKPIGPPPPPQKPTAPPPPRKPIAPPPPKKPNAPPPPPKKPVAPPPPHKPIAPPPPYKPISPPSPMLPPPPPPPHHHPTVIIIVFVSLGGLCLLGFMAAALFCFVKKRKEKSVEETEIIHIDEHRKIKEAIVEGPHGSCQTVVLSVEDDIHVNEEIIRCEKIGGKRTLHSTNEAGDPSSIEDQPQPPIPSLTHQKHS >KGN45779 pep chromosome:ASM407v2:6:1159491:1159818:1 gene:Csa_6G011050 transcript:KGN45779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEMNRGKFGSVFALLTDRLKSSLFQHRPRGSIRIHFPPQHWTFFDSSHSDTATSTSEKLSASASHLLTSALTASTSEK >KGN49372 pep chromosome:ASM407v2:6:27946152:27952491:1 gene:Csa_6G522660 transcript:KGN49372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLEGINPGQVSFLLGVIPIFVAWVYSEFLEYQKSPLLSKVHSDNNLVELAEEKGDKVKDDEAALLEGGLARSASVKLNSSSIKTNLIRFLTLDESFLLENRATLRALSEFGAILFYFYVCDRTNILGDSTKNYNRDLFLFLYILLIIVSAMTSLKKHTDKSAFSGKAILYLNRHQTEEWKGWMQVLFLMYHYFAATEIYNAIRVFIAAYVWMTGFGNFSYYYIRKDFSLARFAQMMWRLNFFVAFCCIVLNNDYMLYYICPMHTLFTLMVYGALGIFNKYNEIRSVMIGKIIACFLVVIAVWEIPGVFYIIWSPFKFLLGYTDPAKVDLPRLHEWHFRSGLDRYIWIIGMIYAYYHPNVEKWMERLEEAETRKRISIKTSIVTVSVIAGYMWYECIYKLDKVTYNKYHPYTSWIPITVYICLRNFTHQLRSYSLTLFAWLGKITLETYISQFHIWLRSNVPNGQPKWLLSIIPEYPMLNFMLTTAIYVIVSLRIFELTNTLKTIFVPTKDNRRLLHNFIAGAAISVCLYSMSLVILLIPH >KGN49377 pep chromosome:ASM407v2:6:27972808:27976404:1 gene:Csa_6G522710 transcript:KGN49377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFMQSDLNFYLKKATHPTATQGDDNHMQIPLSSAFPSVLPPGRKNLGPFNTVEFQPSEVCPQNFIIFDHTDNRSQIMFHPALANKLSGPTANMCSKYIQKNFCVNDKHHEDREISSPLMEDLDDIDALLSLENENHEDLDGSEDDEVSTARSHLNYGNQSPDSSSSSTYSSKPRKNHSFNPVHKSSSSGSSCNSDVKQLKLKKMVRKLREILPGGYQMTTVAVLDEAVKYLKSLKDEVQKLGVGGLEN >KGN49529 pep chromosome:ASM407v2:6:28922806:28925242:1 gene:Csa_6G538090 transcript:KGN49529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDLILGVSDPQKWHEKNIRLNKDHYASWMVYLGGGKLVTKVADAIGVGVHFNPYVMWNDKMLKYGVARMHDLIQDIQYWKTFYLSGRLQKPVNILVDSLDVQQLNSVNLRSAVSAALLLLPPKFTEVDLYAKICSLSYMGDLRMLFAEDRDKVNKIVRGQFPLFQSMYRPCLEQYKTKELLQFSPRGDDQANISQDCGLSATEYLVSSLPTTVWNTLGLRLGEKRSLSGPGRVTGRNQVLIQSRKEVADCMQRVLRRKVMVSSARQAVSGVLAVGGVKAAKYLGSKMCKAWRSWR >KGN49077 pep chromosome:ASM407v2:6:26509690:26517057:1 gene:Csa_6G512920 transcript:KGN49077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVLNRISRTARAWRILASFKLKESSSASQSFYLRHFGHQPEHFKPGSLSNGREPCFLYPAIVAGMVGVGAMEIAYAEAEESTSTPPPPRDLSTHADMEDIAKKERLRITEQLKRNKGTKYGACPRFTVGVKGQKVSIKFQVPPSCEVSHLIANLVSNLGLKVEETAGGSDMLLRAWDSPVAWQLTLSRPKSQKEAGENKGNSIEMDADDGDLTVLIFHSLITSDKTEIEFIKQGSLSTKELDSLVSVLQLAGGRLGESRSFERKSKEESTQMPSSEKSISSLEAMGVKVYGLDGPHLNSTKNEISWDNIAGYDQQKREIEDSILMTLHNPELFDDIAHGTRRKFESNKPRAVLFEGPPGTGKTSSARVIANQAGVPLVYVPLEVIMSKYYGESERLLGKVFSLANDLSTGAIIFLDEVDSFAISRDSEIHEATRRVLSVLLRQIDGFEQDRKVIVIAATNRKQDLDPALISRFDMMITFGLPDERNREEIAAQYAKQLTQPELKEFARNTEGMSGRDIRDICQQAERSWASKIIRGKVSKTGEHGILPPLEEYIECAMNRRKALQTIDDHEIKDPNRTKKTQLA >KGN49112 pep chromosome:ASM407v2:6:26666624:26668238:-1 gene:Csa_6G513760 transcript:KGN49112 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase gamma chain MACSKLAMWVSSKPSSSDSSALSFRPVNPFRVPSHGSASRSSSVTPIQCGLRELRERIDSVKNTQKITEAMKLVAAAKVRRAQEAVVNGRPFSESLVEVLYNINEQLQTEDIDVPLTKVRPVKKVALIVVTGDRGLCGGFNNMIIKKAESRIAELKTLGLDYTIISVGKKGNSYFFRRPYIPVDRFLEGGSLPTAKEAQAIADDVFSLFISEEVDKVELLYTKFVSLVKSNPVIHTLLPLSPKGEICDINGVCVDAAEDEFFRLTTKEGKLTVERDAVRTKTSDFSPILQFEQDPVQILDALLPLYLNSQILRSLQESLASELAARMSAMSNATDNASELKKTLSIVYNRQRQAKITGEILEIIAGANALT >KGN47330 pep chromosome:ASM407v2:6:14303888:14308313:1 gene:Csa_6G301000 transcript:KGN47330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFSHIRKQKKRLSGSKLESIQPASSGNAVKVKRDLKVKTREEAKEVVYENEHRLGSNIKKEVCGEAKTSNEEVKTFTFHELAEATRNFKSDCFLGEGGFGKVYKGYLARINKLVAIKQLDWSRGQGIREFMVEVVTLSLANDPNLVKLIGYCVEGDQRLLVYEFMPLGSLDKHLHDVPAGNKVLDWNTRMKIAVGAAKGLEYLHNKMKPAVIYRDLKCSNILLGEDYWPKLSDFGLAKVGPSGDNTHVSTRVMGTYGYCAPDYAMTGQLTFKCDIYSFGVVLLELITGRKAIDHTRPSKELSLVAWARPLFRDRKRFLVMADPRLDGQFPIRGLYQALAIAAMCLQEQPTMRPTISEVVAALNFLASQNYSHTTD >KGN48307 pep chromosome:ASM407v2:6:21857990:21858444:1 gene:Csa_6G465010 transcript:KGN48307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLERTATVGDRRPLSRKGDALVIRLTKWAVREYNNKGHHLIFIRLLKESVAHTMHGHDYHILLYAKNEDNNHQEEKYETRFLYSPWENQVITLINFNGPLFESTF >KGN48944 pep chromosome:ASM407v2:6:25934864:25939039:-1 gene:Csa_6G507180 transcript:KGN48944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRNGSSNSYNSSLPQQSAPSSPVIDTAPNNSPKDQTQRTTFVSSQSHSDHGHQQPRNSYRSRGGGSHPRGDNSHHHNYGSRRDHDRGNQDWNSNRNYNAQPHRVVHRFIRPSPPPPPNTTGYISSPTMRAIGGPLPFPDFAPPVVYIGPPPPEALRGVPFVAPISPNAMFFHGPDPQLYAMIVSQIEYYFSGENLIKDTFLRQNMNEEGWVPVKLIASFSKVQRLTENIQTILDALQSSTVVEVKGDTVRRRHDYDRWIIRPGQVPNISGPLSPLSPGSSKQDMLAAGVKGIALENINHRVSEGGQGDVHVDEASHGDNNTKAGSEHSSSERI >KGN48046 pep chromosome:ASM407v2:6:19990215:19991818:-1 gene:Csa_6G425830 transcript:KGN48046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNKPVKQRDEIFVKVAPPLDQAFVRWLARDLERIHGFPPRNPRAVKPPDHYIEFMRLYGWLDVSLDDPDLARLLK >KGN45939 pep chromosome:ASM407v2:6:2437979:2439185:-1 gene:Csa_6G027440 transcript:KGN45939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMAIDVCSEISTVGISPRISFSHDLNQTDLLPSSNCDRDRDRLDLSLLESDFDFCIGNLLLQDLSSADELFSNGKILPKSIQPNRQLLSKPNKSHRLIPPIPPDPSRNSVSSEKKSLKELLSASFDGDEKPQSKSFWQFKRSSSLNCESSKSRGLIRSLHFLSRSNSTGSVLNPKQQSNSKDCQRPNLQKQGSSSSSRRSSSSSSSSSFSNSYFANTCSQKPSMRKNFGWNNGNGVGSSSPLLNLPPPYISKVTVSFFGFGSLFCNGKTKKKKK >KGN48993 pep chromosome:ASM407v2:6:26130475:26134257:1 gene:Csa_6G509620 transcript:KGN48993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCSSSSGSEDDEGIDSYRKGGYHAVRIADHFAGGRYVAQRKLGWGQFSTVWLAYDTRTSKYVSLKIQKSAPQFAEAALHEIEVLSVISDSDPSSSKCIVQLIDHFKHAGPNGQHLCMVLEFLGDSLLRLIKYNRYRVLELNKVREICKCILVALDYLHRELNIIHTDLKPENILLLSTIDPTKDPVRSGQAPILERPEGNPNGGTTMNLIEKKLKRRARRAVSRISERRVSMGGATPKPEDRKLDGIDLRCKIVDFGNACWADRQFMEEIQTRQYRAPEVILQSGYSYSVDMWSFGCIAFELATGDMMFTPKGGQDYSEDEDHLALMMELLGKMPRKIAIGGARSKDYFDRHGDLKRIRRLKFWSLDRLLVEKYKFTEADAQSFAEFLSLVLDFAPEKRPTAQQCLQHPWLNPRNLPQTEMKNKTEVEKVNVGMSKLQIRVGK >KGN48543 pep chromosome:ASM407v2:6:23569414:23573024:-1 gene:Csa_6G491060 transcript:KGN48543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPNLPTFLPSPSLFCSFLLFFPFFTSASGFDFQIPPEDLLLPSDAVSLLSFKSKADLNNKLLYTLNERFDYCQWQGVKCVQGRVVRLVLQSFGLRGTLAPNTVSQLDQLRILSLHNNSLEGPIPDLSRLFNLKSLFLGRNSFVGSFPPSILTLHRLQTLDLSYNRFTGPLPVRLSSLDRLITLRLEWNGFNGSIPPLNQSFLEVLNVTGNNLTGQIPVTPTLSRFNTSSFFWNPDLCGEIVNKACHSPAPFFETSNATPPPSIPSVQSAQSQDVLFSPVTHAKHKETGMILGLSVGAAVLVAGVLCFYVAARTQRSQTTSKRAMPQFETETNFSTASAMNDRLEGKGEFIAKVKGSEEMQKTHKSGNLIFCEGEAELFNLEQLMRASAELLGRGTMGTTYKAVLCNQLIVTVKRLDATKTATTSSEVFDRHLGAVGALRHPNLVPVRAYFQAKGERLVVYDYQPNGSLYNLIHGSRSARAKPLHWTSCLKIAEDLAQGIAYIHQASRLIHGNLKSSNVLLGAEFEACLTDYGLSALAEAYEDPDCSRYHAPETRKSSRNATQKSDVYAYGVLLLELLTGRHPAHHPFLEPTDMPEWVRVVREDDGGDSNQLGMLTEVASICSTTSPEQRPAMWQVLKMILEIKESVMTEDSESSGF >KGN47932 pep chromosome:ASM407v2:6:19217109:19219844:-1 gene:Csa_6G416850 transcript:KGN47932 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pollen coat oleosin-glycine rich protein MRRGDGAHGKIFGRGGKATGEILGRGGEALGEIFGRGGRAMGEILGRGGETLGETMGRGGKAIGEIFGRGGRAMGEILGRGGETLGETMGRGGKAIGEIFGRGGRAMGEILGRGGETLGETMGRGGKAIGEIFGRGGRAMGEILGRGGETLGETMGRGGKAIGEIFGRGGRAMGEMLGRGGETLGETMGRGGKAIGEIFGRGGRAMGEILGRGGETLGETMGRGGKAIGEIFGRGGRAMGEILGRGGETLGETMGRGGKAIGEIFGRGGRAMGEILGRGGETLGETMGRGGKAIGEIFGRGGRAMGEILGRGGETLGETMGRGGKAIGEIFGRGGRAGGGGGGGRGVGGGGGGGRGVGGGGGGGRGVGGGGGGGRGVGGGGGGGRGVGGGGGGGRGVGGGGGGGRGVGGGGGGGRGVGGGGGGGRGVGGGGGGGRGVGGGGGGGRGVGGGGGGGRGVGGGGGGGRGVGGGGGGGRGVGGGGGGGRGVGGGGGGGRGVGGGGGGGRGVGGGGGGGRGVGGGGGGGRGVGGGGGGGRGVGGGGGGGRGVGGGGGGGRGVGGGGGGGRGVGGGGGGGRGVGGGGGLGDGGGGGGRGVGGGGGLGDGGGGGGRGVGGGGGLGDGGGGGGRGVGGGGGLGDGGGGGGRGVGGGGGRGDGGGGGGRRGNGKGGSLIGGRGTIGGNGGKRIGGKVIGGKVKGGKRIGVIGGKIIESFLGRLEKDCEFLG >KGN48195 pep chromosome:ASM407v2:6:21009529:21015391:-1 gene:Csa_6G446550 transcript:KGN48195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKPSSLLIFQPSLNLRLKPFIFSSSSLAFSIILRFPFPKPSFFLNRNFHSSLTAVSSAQSVSDGGTTGGRSGALSPAPTTEELQRIDVNPPKGTRDFPPEDMRLRNWLFNNFREVSRVYGFEEVDYPVLESEALFIRKAGEEIRDQLYCFEDRGNRRVALRPELTPSLARLVIQKGKSLSLPIKWFAIGQCWRYERMTRGRRREHYQWNMDILGVPGVTAEAELLSSIVTFFKKVGITASDVGFKVSSRKVLQDVLKCYGIPQNMFGKVCIIIDKIEKIPLDEMKKELKVVGVSEDAIEELLQVLSVKSLTKLEEVLGGGGEALSDLKQLFSLAEKFGYSDWIQFDASIVRGLAYYTGIVFEGFDRGGKLRAICGGGRYDRLLSTFGGDDIPACGFGFGDAVIVELLKEKKLLPELNAEVENIVCALDPDLQGAASKVATILREKGQTVDLVLENKPLKWVFKRATRINARRLILVGSSEWERGMVGVKDLSSGEQRDVKLDELD >KGN48310 pep chromosome:ASM407v2:6:21884487:21884795:-1 gene:Csa_6G473520 transcript:KGN48310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDLVPGGYTPVENPQSSRMKELAEWAVAEHNKKAGTHLMFIGILTCESQIVDGVNYRFTLTAKDEKDNCEIESYMAVVFEQPWEHIKELVYFQKLLLAEQ >KGN46030 pep chromosome:ASM407v2:6:3598801:3604038:-1 gene:Csa_6G045090 transcript:KGN46030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFAAGKCGFEELRPLIHLLLPLCVHWIAEEMTVSVLVDVITNALCSQNTTCPQAIYFNGTEQTIVGIFKMVVLPLLGQLADEYGRKPLLLLTVSTSIFPFALLVWDQSKGYIYAYYVLRTISKILSQGSIFFISVAYAADTVQESRRAAVFGWITGLSSASHVVGNLLARFLPEKYIFVVSIVLLMFCPIYMYFFLHETVKPIPKNDEEPNWLSKTVNVLNRRFRTMRDAIEIVIDNPTLRSITYVSFFLNLGMTGITNVLMFYLKAVFGFDKNQNSEILMLVGIGSIFTQMLVLPLINPLIGEEAILCLGILASVAYALFYGLAWAAWVAYLAASFKVIYVLARPAIYAIVSKASSSSNQGKAQGFVAGVESIASFLSPLVMSPLTSWFISSDAPFDCKGFSIVCASICLVKHF >KGN46924 pep chromosome:ASM407v2:6:10630063:10634724:1 gene:Csa_6G151120 transcript:KGN46924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSLSLTPAAHHLHLLSSGYFPARILISSFTVRSSSSLQEVEKSSESSPDGKDLSSELYASVPLPPIKSAKRVVLVRHGQSTWNAEGRIQGSSNFSVLTKKGEAQAETSRQMLIDDAFDVCFSSPLVRSKRTAEIIWGDREEVILTDSELREIDLYSFQGLLKHEGKEKFGAAYRQWQVDAANFQIDGHYPVRELWARARNCWDRILAHESRSVLVVAHNAVNQALVATAIGLGSEYFRVLLQSNCGVSVLDFTPHAEGGSPIICLNRLNQTPNSPVASGSSGGRKATKRIILVCHGVSEDNKASSSFLEDKPMNILGVIQSQKVAELLLDLKVSAVISSPKKACVETAVAISRVQEAADCLGADCVPRYVEMKQTNKLDVENIPDHFNQDVGDVNVFEPGWLNKLNDGVITEVWNQSGEAWKSLLNEMADEKDPEKIVVVVGHPAILLGLVGQCLNLTKDWIGSFHLDAGSISVLDFPDGPSRKGVVRCINYTAHMGRWSIPITRPTVDDEEF >KGN49037 pep chromosome:ASM407v2:6:26328629:26329900:1 gene:Csa_6G511040 transcript:KGN49037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLKVDLECDRCYKKVKKVLAKFPQIRDQVYNEKQGLVIIKVVCCTPEKIMKKICSKGDGSIKSIEIKEPEKKKEEKKEEKKEEKKEEKKEEKKEEKKEEKKKEEKKDEKKKEEKKEEKKEEKKEEKKKEEKKEPEKPKVVAHPVQGYPPPPYSVHGGSFYEGQPCYQYHGYGIPAAPPCYVGRPIYDSYGGGYGGGYGGGRGYYAGPSSDYYNPENPNTCSVM >KGN46267 pep chromosome:ASM407v2:6:5317328:5320376:1 gene:Csa_6G078620 transcript:KGN46267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYPPNHAPFRLFFNHYSPQASAMKIKQRKTQQVPLLPFKKQRRMMNQPGKWVVLVAAIWIQAFAGTNFDFPSYSSDLKAALGMSQVELNYLAVASDLGKAFGWCSGVALLYFPLWVVMFMAASMGFLGYGFQWLLLQRIISLPYSMVYLLCLMAGCSICWFNTVCYVSCIQNFPANRALALSLIVSFNGVSAALYTLIANAIDPSDASLYLFLNALVPLIISVVALLPMLHKPPVQPSSDDAIRHDSLIFICLYMTAIITGLYLITFNSMPSNKYGSQILLAGAFALLIVPLCLPGVLSTHRWLVRIISTTLNCLIHSRFSLVDHELHQELITIESERNSMKGIVPFESKEKESISRKVMEKENLVVLEEEHSAKMLMRQLDFWLYYAAYFCGGTIGLVYSNSLGQIAQSLGYSSSTSSLVTLYSSCSFFGRLISAAPDFMRERGHFARTGWLAIALVPTPIAFILLAASGSKIALQVGTSLIGLSSGFIFSASVSITSELFGPNSSGVNHNILITNIPLGSFLYGVLAAMAYDSNAGSSHQTSALGDAVVCIGQNCYLMTFVWWACISIFGLACSFLLFRRTKSAYDRHYDSNPSNMQPF >KGN49008 pep chromosome:ASM407v2:6:26199395:26202255:1 gene:Csa_6G510260 transcript:KGN49008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNASFCCNNIQQHPQLFKVQHPSSSLRGRNPIQWSSAVIQTAESEVATEEAASQSESVARRLILLRHARSSRQKLSVRDHDRPLSKDGKVDAIKIAHKLQELSWIPELILSSDAKRTRETLKLMQEQVSGFLEAEVHFISSFYSIAAMDGQTADHLQQVICNYSRNEIVTVMCMGHNKGWEEAASMFSGSSIKLKTCNAALLEASGKSWDEAFALAGLGGWKLHGIVKPNSRS >KGN47479 pep chromosome:ASM407v2:6:15465628:15467083:-1 gene:Csa_6G338110 transcript:KGN47479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYGDEKYRSLLTGDEEKNTKWRYGVPPNYDIVNKLFEEERTTVWPVGSLEERVQSLVKNFEMEMFHKISPSDFKTIDVNKYTFSLNGRKPLAVGQVSKLGGYNPFLQTSLPDEYRYYNAEKETAESSHRAFTATFLRGFALEIIQVYSGPPNIVFKFRHWGYMEGPFKNHAPTGEIIEFYGVAIFKVNEKDKIEGVEFFFDPAELVGKLLKGPDLDGSVEKAMSSCPVLRNTG >KGN48845 pep chromosome:ASM407v2:6:25395357:25398567:1 gene:Csa_6G502810 transcript:KGN48845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSAGGGSSANMGFPRIRLNERILSSLSRRSVAAHPWHDLEIGPGAPSVFNCVVEIGKGSKVKYELDKASGLIKVDRVLYSSVVYPHNYGFIPRTICEDSDPMDVLVLMQEPVLPGSFLRARAIGLMPMIDQGERDDKIIAVCADDPEFRHYTDIKEIPPHRLAEIRRFFEDYKKNENKKVDVEDFLPAEAAIDAIKYSMDLYAAYIVESLRQ >KGN49123 pep chromosome:ASM407v2:6:26715714:26719401:-1 gene:Csa_6G514840 transcript:KGN49123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWCESMPKVELHAHLNGSIRDSTLLELAKDLGEKGVLVFSDFEHVILKSDRSLVEVFKLFDLIHMVTTDHTTISRITREVIEDFASENVVYIELRTTPKKNKSIGMSKRSYMEAVVDGLKSINSVDVAFMPHDVDAQSPLNSMSIDNTCNVIPRKRIYVRLLLSIDRRETTEDAMETVKLALELKDVGVVGIDLSGNPIVGEWTTFWPALQFAKENGLAITLHCGEVPNPKEIQAMLDFWPQRIGHACFFEGDNWEKLKHLNIPVEICLTSNIRTNSISSLDVHHFDDLYKANHPLVICTDDSGVFSTSVSKEYSLAASAFGLGKKEMFQLARDAIEFIFADNEIKKILNQVFDSFVTNLAL >KGN46020 pep chromosome:ASM407v2:6:3531515:3533973:-1 gene:Csa_6G044010 transcript:KGN46020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFVHQKPLQTVMCVRLDVWIERKWSKDGGGDKKRLMMNKAICNLSIYIDFPSLGKTEVPNINQDKKSLRIYSQKSKKKNQGTKAYEGFYREL >KGN47381 pep chromosome:ASM407v2:6:14702080:14714556:-1 gene:Csa_6G308410 transcript:KGN47381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAPDRSPATSNSMQRVKVYRLNDDGKWDDQGTGHVTVDYLERSEELGLCVVDEEDNETLLLHRISSDDIYRKQEDTIISWRDPEYSTELALSFQETTGCSYIWDHICNAQRNLHFSSLNNDTFHSMNSELRELPAIELSTLPLIHKIVVESGITDQMRLVELIVANQDFFKKLMDIFHICEDLENLDGLHMIYKIVRGIIMLNSSQIFEKIFGDELIMDIIGSLEYDPELSHVQHHRNFLKEHVIFKEAIPIKDPLVLSKIHQTYRIGYLKDVVLARVLDESTIANLNSIIHANNALVVSLLKDDNTFIQEFIIFSLCYVVATCNLLCFSPVHFLHEFCSLSKNLQMVQQLRLFRDLMNEGIFDVIADVLQCPDKKFVLTGTDILILFLNQDPNLLRSYVVRKEGIPLLGLLVEGLITDFGEEMHCQFFEILRCLLDSYSLSGAAQRETVVEIFYENHFGQLIDVIAASCPSEGLEQSGVPKNRSVVKPEILSSICELLCFCVLHHPYKIKNIFLLNNVIDKVLLLTHRKEKYLVVAAIRFVRTILSRHDDDLTSHFIKNNLLKPIIDVFVANGNRYNLLNSAVLDLFEYIRKENLKSLVKYIVDSFWNRLVQFEHMASIQSLKVKYEQCLDDIGTSGTATNVLDPRKRIEERALEKEEEDYFNEESDEEDTATASVSNVQRAQSPPLLCNGVPASYPPSSSRPGGGLVDYDDDEDDDDFIYRPPPRKQSETAEEDDGAFHTLRLKRRPLHKDKDPEKGKKQRPVSSQSRSPPTDGSKSSEHESPKETDENALRSSTDDGSSSLDEDSHTEKQSISSKKCSDPTHKPSDNTQLSGEECSLIPPNSSPEMTVKGS >KGN46412 pep chromosome:ASM407v2:6:6195761:6198242:1 gene:Csa_6G091850 transcript:KGN46412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQQLSLGPTPVDGVTNGVDVRPMSTDGGDDGSKTPRLPRWTRQEILVLIQGKKVAETRVRGGRAASLAFGSGQVEPKWASVSSYCKRHGVNRGPVQCRKRWSNLAGDFKKIKEWESQIREDTESFWVMRNDLRRERKLPGFFDREVYDILDSGSAPSPSPALALALTPLPIPVPPPALNSDDGKPDAEPEHVFDSSKTAAADDGLFSDFEQDETCRSPLKEVAGKDVPPPTADGGIPAPTPLSEKLYRPPGHDCPDQGTTNEKEAAANPEIGSTSSQEGRKRKRVALDGDEETILQDELIGILEKNGKLLTAQLEAQNMNFQLDREQRKHHADGLVAVLNKLADALGRIADKL >KGN48596 pep chromosome:ASM407v2:6:23907498:23909119:-1 gene:Csa_6G495010 transcript:KGN48596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQFSHTPQRFHPTTTATTPIPSSFSDISMSNKNQITTTTGPFRNRRPWPGLFPCSSKALGTCLGDANCMEQLLVHCANAIESNDATLAQQILWVLNNIAPPDGDSNQRLTSAFLRALITRATNTGNCKILAAITTAFSSSITTHTFSLMDLAAFVDLTPWHRFGFTAANVAILDAIEGYSAVHVVDLSLMHCMQIPTLIDAIATRFEVPPLLKLTTVAVVAVKEASPMLELSYDELGAKLVNFARSKNVTMEFRVVPSCHTDGFARLIEQIRVQHLIYGPENNEALVFNCHMMLHYIPEETLNPNPNPSPNFDISSSSSSIRSMFLKAVRSLDPTIVVLVDEDADFTSTKLVTRLRSAFNYLWIPYDSMDSFLPRSSKQREWYEADICWKIENVIAHEGVQRVQRLEPKGRWVQRMVNAKFRGVPFVEEAVSEVKTMLDEHAAGWGLKKEEDHLLLTWKGHDVVFATAWVPC >KGN45789 pep chromosome:ASM407v2:6:1233415:1238388:1 gene:Csa_6G011650 transcript:KGN45789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGGCSSYKVLCFSRKFKSTEAEPPPDVRNLFSSFSDGRDYMSPDQFLRFLVDQQGDVECTPSEADQILQQVLHKRRSSDNPSDDLVQGLTLDDFFHYLFMDDFNGPIKTQVHHDMSAPLSHYFIYTGHNSYLTGNQLSSDCSDAPIIKGLKSGLRVVELDLWPNSAKDDVHVLHGRTLTSPVTLLKCLKSIKEHAFEKSPYPVIITLEDHLTPSLQAKVAEMVTGIFGDTLFYPQAASLSEFPSPESLKYRIIISTKPPKEYLEKDKVLSDGKESSEEETSGLETPDLEAEEQSESDQDDDESIVGELKTFQQGALEYKRLITIHAGKPKGGCLKDALNVQGDKVRRLSLSEQGLEKAVVSSGSDVVRFTQRNILRVYPKGTRVTSSNFRPNIGWTHGAQMVALNMQGYGRSLWLMHGMFRANGGCGYLKKPDFLLLKGPQNEVFDPKRPLTVKETLKVTVYLGDGWSSDFSQTHFDNYSPPDFYTKIRIVGVPADAVKKKTKVVENNWVPVWNEEFKFPLTVPELGLLQIEVRDFDRSEKDDFGGQTCLPISELKPGIRAVPLYDKKGEKFKSVRLLMRFQFLY >KGN48794 pep chromosome:ASM407v2:6:25125435:25126685:1 gene:Csa_6G501340 transcript:KGN48794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALIFAIISTLALLALTLLFKFYPFKAQKLPPGPIGFPFVGSLHLLGKLPHRDFHILSQKYGPIMHIKLGLVPTIIVSSPKAAELFLKTHDLVFASRPLLEASKQMNYGQKNLVFAPYGPYWRNMRKMCTLELLSNLKINSFMPMRKHELGLLIEYLKEAAHNKAVVNLSAKVTSLTTDLICLMAFGKKYGDEEIDERGFKATIQEGSQLAATPNLGDFFPFIARFDVQRLNNRMQCVHKVLDGFLERIVNEHLEAKGDKKTKDLVDVMLELMNFQEETDYQIDRSAIKAIMLEKQSRNDICKSSKSFLSFFF >KGN45721 pep chromosome:ASM407v2:6:760191:764361:1 gene:Csa_6G008040 transcript:KGN45721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTCDFVEADNAEAIITRIEHKSRKIESLLKQLKPVEALKTALEGSPPNTRDERCKSANWIVVHRALMAIKDVDGMFSSLDPEYYDILMKYLYRGLSTGDRPTCDQCLRIHEKLTERAGLGCILRSLADTVNTV >KGN48059 pep chromosome:ASM407v2:6:20124897:20130768:-1 gene:Csa_6G426940 transcript:KGN48059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLDMMASERVCYVHCNFCNTILAVSVPCNSMFTLVTVRCGHCSNLLSVNMGASLQVVPPQDSQQGHKQQQVNAGDSSKDRASSSSSTKSTKIGSLDSSAERDQHRIPPIRPPEKRQRVPSAYNRFIKEEIQRIKAKNPDISHREAFSTAAKNWAHFPHIHFGLKLDGNKQTK >KGN49103 pep chromosome:ASM407v2:6:26632211:26635431:-1 gene:Csa_6G513670 transcript:KGN49103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALASSSSASAITGSSFSRSISASEPKAPQIGSVRLFSRPNVPASSVNYSQRRCSIEPLHAEPKRNDSVAPLAAASTAPEVVEEVEVEDYEGLAKDLESASPLEIMDKALEKFGNDIAIAFSGAEDVALIEYAHLTGRPYRVFSLDTGRLNPETYKFFDEVEKHYGIHIEYMFPDAVEVQALVRSKGLFSFYEDGHQECCRVRKVRPLRRALKGLRAWITGQRKDQSPGTRSEVPVVQVDPVFEGLDGGIGSLVKWNPVANVGSKDIWDFLRSMNVPVNTLHSQGYVSIGCEPCTRPVLPWQHEREGRWWWEDANAKECGLHKGNLKQEDPAQLNGDANGISTDADIFESQNLVSLTRGGIENLARLEGRKEPWIVVLYAPWCRFCQAMEGSYVELAEKLAGTGVKVGKFRADGEEKEFAQQELQLGSFPTILFFPKHSSRPIKYPSEKRDVDSLMAFVNAFR >KGN49176 pep chromosome:ASM407v2:6:26931134:26935860:-1 gene:Csa_6G516840 transcript:KGN49176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRYLMLGNIKKTAGSLITSQRLSHLEAKKSGKIVAVWPLFRNQIFSYCSFLSRGHPSSPSHCTWRSFYGTCNTRSFGVFTASNAIKQHAQLVSKRFSYKFSSDGRAFPPINKAAQAFILSISRSYLIVPGIFAFACGELAAQRTFANAGHYPSPNSFYVRAEDGHAIMVSLLRSTLEVLILLLRALYLAILFSPCILMAPFMDTFGYNFRRLWLRVVHRSLEKGGPAFIKWGQWAATRPDLFPRDLCTKLSELHTKAPEHSFSYTKKTIERAFGRRLSEIFEKFEEAPVASGSIAQVHRAYLKFRYPGQQVKPMLVAVKVRHPGVGESIRRDFIIIDLVAKISKFIPTLKWLRLDESVQQFAVFMMSQVDLAREAAHLSRFIYNFRQWKDVSFPKPVYPLVHPAVLVETYEHGESVSHYVDELEGNERLKSALAHIGTHALLKMLLVDNFIHADMHPGNILVRVPHGKSRRKRLFNSKPHVVFLDVGMTAELSGNDRVNLLEFFKAVARRDGRSVAECTLRLSKQQNCPDPEAFIEEVTEAFSFWATPEADLVHPAEAMQQLLEKVRRYRVNIDGNVCTVMVTTLVLEGWQRKLDPEYNVMRTLQTLLLKADWAKSLSYTIEGLMAP >KGN45921 pep chromosome:ASM407v2:6:2286125:2286808:1 gene:Csa_6G022330 transcript:KGN45921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILSNFLNSSSPLQSVTTATTIESLESSIHDVVKLYERRRKWRALFFSPIPNNFDAFSSWRVHLITFLESTPAHIITIFLLVMDLIITVLELSSSLISCGSHGKDEEKASYFHWVSISILSFLSAKTAALMLGLGRSFFRRPGCVVDGVVAIVALVLEVVAERKGGGVIMVASLWRLVRVVESAFEISDDTIEVKIEGIVWELEKMKEEIRREKEKDTIEMLQGKS >KGN48037 pep chromosome:ASM407v2:6:19945711:19946559:1 gene:Csa_6G425740 transcript:KGN48037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPPSFSSGEDAIAALHSDILQTHILTRLDGAALTSTASTSSRFRSLSSEDQLWRRVCSTSWPSITHPKLQQLISAFPSKHQSFFSDVFPVLDCCSLRCDLDYRYSTTVELISAVDIHYKNKLLFSKVHSIETETNWFLCSPFRVDLIDPKDSIPSPIRRSEKYEDWLGHLEENLTVSWVIIDPIKNRAANISSRQPVKVRRHWLSGEIQVQYTTVMGGDRRAGSAVEMVECAVVVSCGEKEEEGMEMSVTEIVWGF >KGN49547 pep chromosome:ASM407v2:6:29013697:29014735:-1 gene:Csa_6G538760 transcript:KGN49547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAMASAIVPLSVGTSSRRYEFVEDVVIEVSRQLSAPNSAYSSPRLAGKKKDRDGLNRSQSCGEGRGKAAPHGLIENKVMVWEKGDKHKTEEGKGRRFRCCGALCLLLPVLGFKVGKGRMKGKEEKREEAEEGECISISISRRVSLEKFECGSWASSGMVVHEDGESGSLYFDLPMELIRNSVSAQTQSPVGAAFVFNGRGVWNKPKLAEESGAASPCIITPRLRKARQEFNALLEAHTHVL >KGN46961 pep chromosome:ASM407v2:6:10850330:10855926:1 gene:Csa_6G152960 transcript:KGN46961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSNFKEQAIEYVKQAVHEDNAGNYAKAFPLYMNALEYFKTHLKYEKNPKIKEAITQKFTEYLRRAEEIRAVLDDGGPGPASNGDAAVATKPKTKPKDGEGGDGEDPEQAKLRAGLNSAIIREKPDVKWNDVAGLESAKQALQEAVILPVKFPQFFTGKRRPWRAFLLYGPPGTGKSYLAKAVATEADSTFFSISSSDLVSKWMGESEKLVSNLFQMARDSAPSIIFIDEIDSLCGQRGEGNESEASRRIKTELLVQMQGVGHNDQKVLVLAATNTPYALDQAIRRRFDKRIYIPLPDLKARQHMFKVHLGDTPHNLTEADFENLARKTDGFSGSDISVCVKDVLFEPVRKTQDAMFFIMTPDGMWVPCGPKQQGAVQISMQELAAKGLASKILPPPITRTDFDKVLARQRPTVSKSDLEIHERFTKEFGEEG >KGN48252 pep chromosome:ASM407v2:6:21511043:21514379:1 gene:Csa_6G452040 transcript:KGN48252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNNLLFSFLSLLLFSLHLGQGSSSPVHAHFYPLKLFVFGDSYVDTGNINVNTSSARNFPYGITFPGFPSGRFSDGRVLTDFLANYVGLKRSPIPFTAWKKTGSKLRAKCGINFAFGGTGVFDTLYPFPNMTTQINFFQNLIANSIFTSYDIHSSIALVSPSGNDYSFYLATNGSPEGVKPFVISVVNQISVNLKRIYKLGVKKIVVVGLGPVGCYPSPTAPSFKKCNETMNSLAVFHNTLLKQAVEKLNSETKLGGSPNFFILNMYDTVLSIIKNKGNPKVGATFQTPLKPCCFGVSSNFSCGSVDEHGNKMYTLCKRPDLALFWDTVHPTQKGWFATFNSLISTLKRI >KGN45955 pep chromosome:ASM407v2:6:2679528:2680019:-1 gene:Csa_6G038510 transcript:KGN45955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHHSPNKRLRVDSLDSESDSPEVKRLRDDLLGLLDDSDPDPTVQDLDSLIQSFADEISPVSSPPPPPAAPSELGYLLLASDDELGLPPSHASTSHGGQTEVVELARESSDSSGIGQIWGFEDAVPSYETLELVGDGERFYNEMEYVAFDGLFEHSDVYSVFS >KGN45988 pep chromosome:ASM407v2:6:3125517:3130311:-1 gene:Csa_6G041730 transcript:KGN45988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCLSGDRNKRTIPYSEIASTSRDDGVITRRNSKRKITRKGSSPSNDSSREMSLHRIPGRMFLNGTTDHASLFTRQGKKGINQDAMIVWENFGSKEDTIFCGVFDGHGPFGHMVAKKVRDSLPLKLNAQLELDATRKEGQARCQVSPPTLVQKDSGTSHCDPDHRGSYGNIYITLKESFLKAFKVMDKELKLHPYIDCYTSGTTSVALVKQGRHLIIGNVGDSRAVLGTRDKSNSLAAVQLTVDLKPNHPRRIFALQNEPEIFRVWLPNNDSPGLAMARAFGDFCLKDFGVIAVPDVSYRHLTEKDEFVVLATDGVWDVLSNEEVVAIVASSPKSSAARVLVDSATRAWRLKYPTAKVDDCAVVCLYLDSNKSYAMTAKEQEFANDMET >KGN48901 pep chromosome:ASM407v2:6:25701988:25704636:-1 gene:Csa_6G505300 transcript:KGN48901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLQTTICLRISTIIFFLVFLLSEKSHCIRIPNRIVEPIKTTSSHQSLKTAVFALGSFWRSEAVFGCLDGVVRTTVGYAGGTKHNPEYRSLGDHAESVRVEYDPKLISFRQLLEVFWSSHDSRQVFGQGPDVGNQYRSIIFTINDTEESRLATVSKEKEQLKSRGSAVTTQIQELGAFYPAEPEHQKFELKRNPFFIQLMGNLPEEELEMSTLAANMNGYAAELCPPKTQKQIDAKINDIIKKGWPILREK >KGN48472 pep chromosome:ASM407v2:6:23068261:23094600:-1 gene:Csa_6G489880 transcript:KGN48472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFLSLDYVSQSSFSYPKCYFCQVIGNLSSAISYLGSCSWYPQEFMKQNSMLTKPMPKFEYVKEASISCHTSSMIHGAFKIKRIDAVLHNSRRSDTFNSFEIFSNSQKMAEINSPDCGLWMSVDQGRVKVTCEEDRVDIITDISNINSFIFRYHKSDIDQSVPKSLQTQLLNCNLYFYHQISLSDFMLKLSLSSRSGSSSEGLRNINHSSISRNNGLNVENSDMAVDSEGPGGRSVFVQDLDFVSQFSKFQLLVNIAISRILITRCSVYDILIEAHQLSKLSSDLSVGEDFRWKIQGGVLFLETLSLAFFINCFHKYYHAIGSLLSLLQFSDQQDKKGQEMAEITRLEENATDNMVDKTTDYLLRVKGKLLEAFILNVSNISLVLVVNDESGVIREFVIEVDANLKFQLGDNKKELRVSLSHLSILSQQIKGTLQNSIQIPHFFSNLFSHPVAGELDASSQHAKRAHIDSDASSSKHPVSHKFFSGNSHFTGPFCFSCRHYLLENLIASLSIEKTCRDHVGILSKAWAGKGSLSGLDLILSHSEIQAIFLLVSSFSGLYDKEKTNKHKRQWSGGQQVDANNTETFTTDLVPDGAIVAIQDIHQHMYFRVEDCDGYNLVGVMHYSLAGDQALFRVEYKKGRRFSSSVWFSLISLYTKNAADKQLRLNCYPGSGVVNISDTDDRDTTLWTIFSSTPRGQNGDTDWEAYNQFSKRSFYLVNKNNDCGVAFVDGFPKFVRKPGNPFKFKIIRDFSTIHGVTDTNHYLTGTTDTSREQNSNLDERLSGTNGMFPRIVISSYFSLTIVHDISDTSDILPLICGCLSNMELTLQISSNKTRVFCTSTAELHYFDARRHLWQWILSPVDFCLYYRFSAKSSSTETILRGVPVQIYCRMKKLDISLNETSLDVVLFVIGKLNLAGPYAVRSSIIRPNCCKVENQFGVDLHCQYDNKKSRTIGKFDHDFIYLRQGSPDLSLESGPIISFQLCETENFTTPIHIDKLQAQTFAWRTRIESSKDSKTYPGPLIVVDISHHPEDGLSIVVSPMTRIHNESGLTMELRFRRNQPNEDESASVLLKSEDVIDDSMAMFDALNSSGGSRKALNSLSIGNFLLSFRPMLHEESMNFKNSSSVDWSDDFKGEKAVHLSGIFDKLSYKVRKALMVGLEKYSFSTASCKLLADDGREDYLHFLIQCIGKDVHTMLPDKSGHRFDDSHSSDVLQVQKQIFLLPTVRVFNSLYSNIHVHLTGTDPSTIIENSLIGCRATVSSESEANFYVNPAIILFTVTLTEISSTCKPVDTGDFVKKLLKQKSKVPYIDIDLDFGAGKYFASLRLARGDRGILEVTVFTPYALKNDTNFKLHFLISNKILYRDVEENGFCPPHLGITLPAHSSCSWFLKSKKVLVESENYTSESLLDFDALSGFTELSLQTQGDGTVSCIKLGVSLGSLLRNMVVPSQLVTIVPRYVVINESKENITVRQCYLQSDEGSLIQVDSKQKATLKLQDGIQKRRGFSLLEKFVKKHSRSMDDSSKFIQFYLTGSDLIRSGPICIASLGRFYLKFKKQQEAKVEFAAVHVVEEGSTLNLHFYKPPNTNLPYRIENRLHNFPITYYQKDSEEPEVLGSGCSVDYVWDDLTQPHELVVQISVSFREINLDKLRTWKPLFKSRLQGGLTHRTISRNFGDPEIMKVGYEIYADGPTRILRICLKSDCHKGDSVISSSQKFQLRISNITVHLLECWRQEGYGSEPSECKPLVAAKLRDISLNSVFTEQQKYNQITLQSLKLEEKREGATFAAMLRRHRLDYSDSNDCVLKIVCVLNSTSFQVKQVKYFSVVLQPIDLNLDEETLMRIAPFWRTSLTNSKTESQQYYFDHFEIHPIMIFTNFLPDESYSSYSSTQETLRTLLHSVVKIPKMKNVVVELNGVLVSHALITVRELFLRCAQHYSWYAIRAIYIAKGSSLLPPDFISIFDDLSSSSLDVFFDPSNGFMGFPGTLKFIKKFIDVKSGSGTKRYLGDLGKTFSTAGSKVMFAAITEISDSVLKGAEASGFNGMVSGFHQGILKIAMEPSILGSVLMQGGPQRHIKLDQSPGVDELYIEGYLQAMLDTLYKQEYLRVTVVNNQVTLKNLPPNTRLTDEIVRRVEEFLVSKELLKGDSGMSSRPFQDLQRESEWKIGPTLLTLGEHLLVSFAIRILRKGVKQIVVRIPRNKESKSDSEKTDLALVPTDRKPKCKFIWTMGIGKFMLNGILAYLDGMLCRCIPWPILRRIVSGFLLTLLDNNDKE >KGN49293 pep chromosome:ASM407v2:6:27550391:27552093:-1 gene:Csa_6G519460 transcript:KGN49293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METPVRFSSCRGVAFEVKPHEHHFSISKPISPSSHPSASSNITTNTPFWPRPNSFQIFPSPSAPSSLLRSLSRPSSHFCDVSDQEQQEYDLEEGDPHTPVQNPKPSHPPKNNPKSRLSVILLDQGLFTVYKRLFLLCLALNITALVLAATGYFPYARANPSVFSIGNILALSVCRSEAFLRVVFWLAVTVLGRPWVPLAFKTAVTSLLQSLGGVHSGCGVSSIAWLVYALVLTLTDPFNRSTPIIAVASSILALLCLSSLAAFPLVRHLHHNVFERIHRFAGWTALALLWAFLILTLTYDPITNSYNKDVPSRLFQTQEFWFTAAITFLIILPWVTVRRVPVQISAPSGHASIIKFSGGVKPGLLGRISPSPLSEWHAFGIISDGEKEHMMLAGAVGDFTKSLVSNPPSHLWVRGVHFAGLPYLVNMYERALVVATGSGICVFLSFLLQRSRADVYLVWVAKGIEENFGKEIKGMVNGYPKEKVIVHDTAVLGRPNVAELSVMAAGKWKTEVVIVTSNPEGSRDVVNACKSAGIAAFGPIWDS >KGN46027 pep chromosome:ASM407v2:6:3580901:3583930:1 gene:Csa_6G045060 transcript:KGN46027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQCRRHEDYYVREPENMELHVQDRLHLDHGRYGMPRRETLDRSPRLRRSLSPHRFGGSRREVGLVHRVDNTERRGGDWHLRTGRNNDIELSSHSYGQSRKVLNYEEGFLHNDHRQHSDLQQVSPEPRRFSADNDEVVDYKHDVRYRHGDLRIRKEREIIEGRWSDGRGQRLTDQKLLAIEEGNGMGSYNSHPGIGSTAVHKDFFPSPLSLAVDMRSLDNERLQFRNHGVSDKPQVTDSQEAQEGQRFNSRNIGYAASSGFCSRGNESSSSGPLTSQCLESYRDGHYFQISDEFSTRNHGDIVDPVEFNSYGKRTLVDTAIDLQGGKRNLTHQRGKNSPRGEHGSYFYSKPERTVNNSNEDPSRVVQKITQTRGYVDYASTVVSDHGDFSRTKVANTSMLRLQKADDSYANYRTGIALDHYRLRKQTALDYPDIGPSTEEINDDNEYAGAGSIYPDVGRVTQDYERSHINHSQYGQTSYAITDHGPEREVGSYYLKERLHRSNMSKCDGEVYRSTERVQRMTKGVRTYNLREDHMQKRKYFEEDMNLLDHRIATSRENAPSRLVDLYDSGEQWRDDGNDRRYISKKAGFDHNKYKKPNTKYNRHNFADSHESYSDHAQKYKSGSKNMKGNKKYGPSSWIKSQNVDHRNSLHKPFKSWKKTEGNDYTRVNDDGLSDDLVITTESEPPEDSEEFKQLVHEAFLKCSKMLNMNPSVRKKYKEQGNAGSLYCIICGRRSVFFPIS >KGN45903 pep chromosome:ASM407v2:6:2152921:2153475:1 gene:Csa_6G020170 transcript:KGN45903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDDDDVHDHCPFFLSIFKLVFQITMAFYRFLGFCVPSSTLPSSEDSYPVDPHPPPKTDDPPIENSGEEEREFARRNPPPPPPPSNGGGGRTN >KGN49075 pep chromosome:ASM407v2:6:26491554:26497205:1 gene:Csa_6G512900 transcript:KGN49075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISFISNGEAAVKSSSPPLSGRVSSVYSEVQSSRINHNLPLPSVLRSPFTIVDGPPSSAAGNPDEIAKLFPNLFGQPSAKLVPSDSNKGQPDKKLKIGVVLSGGQAPGGHNVISGIFDYLQDHAKGSVLYGFRGGPAGIMKCKYLELTSDYIYPYRNQGGFDMICSGRDKIETPEQFKQAEETAKKLDLDGLVVIGGDDSNTNACLLAENFRGKNLKTRVIGCPKTIDGDLKCKEVPTSFGFDTACRIYAEMIGNVMIDARSTGKYYHFVRLMGRAASHITLECALQTHPNITIIGEEVYAQKQTLKSVTDYIVDVVCKRAELGYNYGVILIPEGLIDFIPEVQQLIAELNEILAHDVVDDEGLWKKKLTSQSLELFEFLPQAIQEQLMLERDPHGNVQVARIETEKMLIQMVETELEKRKSEGAYKGQFKGQSHFFGYEGRCGLPTNFDSTYCYALGYGAGALLQSGKTGLISSVGNLAAPVEEWTVGGTALTSLMDVERRHGKFKPVIKKAMVELDGAPFKKFASLRDDWAFNNRYISPGPIQFVGPASNAVNHTLLLELGVEA >KGN49192 pep chromosome:ASM407v2:6:27017161:27017852:1 gene:Csa_6G517000 transcript:KGN49192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTRINAINHGNLSRKCSDRLIPKRGQVKLGIIVGIAHSVTSIFSHGSRK >KGN49328 pep chromosome:ASM407v2:6:27722608:27725713:-1 gene:Csa_6G520260 transcript:KGN49328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIFRFAGDMTHLISILVLLLKIYATKSCSGISLKTQELYALVFLTRYLDLFTDFISIYNTVMKIIFIASSLAIVWCMRVHPIVRRSYDKDLDTFRYYFIVAGSFILALLVNEKFGFQEIFWAFSIYLEAVAILPQLVLLQRSGNVDNLTGHYVFFLGAYRALYILNWIYRYFTDIHFNRWIACIAGLVQTALYADFFYYYYISWRNNSKLQLPA >KGN46098 pep chromosome:ASM407v2:6:4076342:4080089:1 gene:Csa_6G052730 transcript:KGN46098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQSESEIREVEETNSKSELQPLLETHNPSSNSKSVKTKVPEIEIHLFRQGKGPIDVFKSSLGGWDQDQLEVRDILDKYGFKSVFAFKPDSGRGVPIRFNPRNGRSILTYRDGAEIFIDGEPKDSLIKPVTRIIFGVAIITILITFLSRDSQGWMKKLNITGNFPPWILACVVIVFTRSRKRTKDLLKKYGW >KGN47554 pep chromosome:ASM407v2:6:16082575:16083429:1 gene:Csa_6G358670 transcript:KGN47554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFARGKWVSNWYFSFEFSLKFGPPYSLLLTPYSLLLTPYSLLLTPYSLLLTPYSLLLTPYSLLLTPYSLLLTPYSLLLTPYSLLLTPYSLLLTPYSLLLTPYSLLLTPYSLLLTPYSLLLTPYSLLLTPYSLLLTPYSLLLTPYSLLLTPYSLLLTPYSLLLTPYSLLLTPYSLLLTPYSLLLTPYSLLLTPYSLQQ >KGN45652 pep chromosome:ASM407v2:6:335293:339641:1 gene:Csa_6G003440 transcript:KGN45652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLACCIPVAEGVYCIACARWLWLKFLYNAGHESENWSLATTEEFEPIPRYCRLILSVYEDDLRNPLWAPPGGYGINPDWVLLRKDYEETLGRVSPYMIYLDHDHGDVVLGVRGLNLAKESDYAVLLDNKLGQTKLCGGYVHNGLLKAAVWIFESECEVLRELVEKNPGYTLTFVGHSLGAGVVSLLTIVALQKQDRLGNIERKRIRCFAIAPPRCMSLNLAVRYADVINSVVLQDDFLPRTTTALEDVFKSLVCLPCLLCVMCLKDTCTMEEKMLKDPRRLYAPGRLYHIVERKPFRIGRFPPVVKTAVPVDKRFEHLVLSCNATSDHAIIWIERESQKALDIMMENDKALEIPVQQRMQRQASVERGHGEEYKAALERAAALEIPDENLPLSYGTFSELEEGENSSQSIKDISVASSTKQRDSWDKFIKRFFDEDQSGRMVFKKS >KGN48971 pep chromosome:ASM407v2:6:26051983:26056591:1 gene:Csa_6G507440 transcript:KGN48971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLKMIVDVCRPRDFLDRIMDRYIRFFPCLSDPVRRSSLGLKVALVMLHLVYAGLLFAFDRHLIEEAKIKPWYAASYFLLFVATLIQYFVTSCSSPGYVLEAMRAAIEKDNAFRKASKQPASSKNVSVVVTIDRNPAEKTVQADVTSWTKMVMDMYPPGTLLRNFTCSYCHVEQPPRTKHCHDCDRCVLQFDHHCVWLGTCIGQGNHCRFWWYIFEETALCLWTGIWYISYLKADMARAWWKDAIVIVLLITLSIALIFLLLLLLFHSYLVLTNQTTYELVRRRRIFYLRSIPERVYPFSKGVCRNLYDFCCQRGNIYNFEPLPSAQVLEERSRPYTCSDIYRCRCC >KGN47846 pep chromosome:ASM407v2:6:18533033:18549943:-1 gene:Csa_6G407070 transcript:KGN47846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEREGLQTVGALQDVRSELTKLRGVIFYKVLEDLHAHLYNKGDYSSAVSIMQERDDDVPTAEAVALSLNSSQSLSRRTRSQRGDSQFGSHVDGSFRTGSVDDGSSYDGHEEASTLELNDEAVSDGQSTFSRVNGGDGGLKEAKLVTRQLPTWLSNSIPDEFLEIIKKLDAPVHVKYLQTMIECLCMLGKVAAAGAIICQRLRPTIHELITSKIKAYAEQRNSARLGFGQAVRSGTAAHFTKGQLESFHVPKHKCQNGISLAGTLIAVSPVSPVMAPMGKAQTSARDLLDSVLETIVRVFENHVVVGELLEAKVLRHADMNTPKSMPTDDSWNPDSEASQATGGYTIGFALTVLQSECQQLICEILRATPEAASADAAVQTARLASKAPSKIKRDGADDGLTFAFRFTDATISVPNQGVDLIRHGWSRKGPNVSQEGYGSAAVLPEQGFYLAAAIYRPVLQFTDKVAKMLPEKYSQLGNDGLLAFLDNFVKDHFLPTMFVDYRKSVQQAISSPAAFRPRAHAAAIYNSSVERGRPVLQGLLAIDFLEREVIGWAQAMPKFSSDLVKYVQTFLERTYERCRTSYMEAVLEKQSYMLIGRHDIDKLLRLDPASACLSNLSSQSDLENNTSDAETAEIELELSNLLLNLPPIKQEYLIRDDHKLILLASLSDSLEFVADSIDMLGQTTFKPSYQAEVNGGHHHTRTNSALTRDLASFSEEYRKLSIDCLKVLRIEMQLETLFHLQEMTTREYMENQDAEEPDDFIISLTAQITRRDEEMAPFVSGLRRNYIFGGISGTAANAFIKAVADIKSINLFGVQQICRNSIALEQALAAIPSVNSEVVQQRLDRVRTYYELLNMPFEALLAFIMEHEHLFTAAEYANLLKVQVPGREIPLDAQDRVSEILSR >KGN49396 pep chromosome:ASM407v2:6:28054930:28057860:-1 gene:Csa_6G523370 transcript:KGN49396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKTGWSTPLLFQSKFFCFSLFYLSSSIFLALYTSLSSSKCLFRSSPFDPIQFSLFSYPSSYGEHKYAVPTLRSSCSSPVFFSDYWMVLNEIQAMLSNSSSASSNLSYLLANSDSFAGNFTAHKRFSFFDYRDYDNATVPIPCGFLKKFPVSDSDRIAMESCNGVVVVSAIFNDHDKIRQPRGLGSKTLDSVCFFMFVDEITVKGLENHKLVSGKNTSPDITIGAWRIVRVSSKNLYENPAMNGVIPKYLVHRLFPNSKFSIWVDAKLQLMVDPLLLIHSLIITKNADMAISKHPYYIHTMEEAMATARWKKWWDVDSLKQQMETYCENGLKPWSPNKLPYTTDVPDSALILRRHGRGSNLFSCLLFNELEAFNPRDQLAFAFVRDNLTPSIKINMFEGEVFEQVALEYRHNLKKTRYTGPELDPQISKPKRTKRAGPDLLYVNGSCCSKCLDYLLLMWGETENDLS >KGN46167 pep chromosome:ASM407v2:6:4675818:4681227:1 gene:Csa_6G062270 transcript:KGN46167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGHDWINTVLPDELIVEIFRCLDSKLSRDACSLVCRRWLKLERLSRTTLRIGATGSPDLFVQLLARRFVNVRNVHIDERLAISFSLHPRRRRRKEATRLPYHGADNTGAEGVLDSSCLSDAGLIALSVGFPNLEKLSLIWCSNISSHGLTSLAEKCRFLKSLELQGCYVGDQGVAAVGEFCKQLEDVNLRFCEGLTDAGLVALARGSGKSLKAFGIAACTKITDVSLESVGVHCKYLEVLSLDSEVIHNKGVLSVAQGCPHLKVLKLQCTNVTDEALVAVGSLCPSLELLALYSFQEFTDKGLRAIGVGCKKLKNLTLSDCYFLSDMGLEAVAAGCKGLTHLEVNGCHNIGTMGLESIAKSCPQLTELALLYCQKIVNSGLLGVGQSCKFLQALHLVDCAKIGDEAICGIAKGCRNLKKLHIRRCYEVGNAGIIAIGENCKFLTDLSVRFCDRVGDEALIAIGKGCSLHQLNVSGCHRIGDEGIAAIARGCPQLSYLDVSVLENLGDMAMAELGEGCPLLKDVVLSHCHQITDAGVMHLVKWCTMLESCHMVYCPGISAAGVATVVSSCPSIKKILIEKWKVSERTKRRAGSVISYLCVDL >KGN49177 pep chromosome:ASM407v2:6:26943146:26943955:-1 gene:Csa_6G516850 transcript:KGN49177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIDPVTHNPRLDLLDLSSILGSSFYNNNSPNSQMNNFSRLIGIHNSTVNPEVLRFANSFIASNNLSQNPNFLLQNIDQNQEQYSQMIISQLQLQQQQQSHHQIDQSSALPPLHEVSAGCSPSTTTSYGGEPPYYHSSGHQLLFPSSSNFTTDFYSQNCQHPSDKMPSINNNLNGFNYSSLEEFQSYDLYGSHEEREREQEQKQFHEQIMEASPETSTLNSSPTPLNSNSTYFSTANGNGTDQDDRESYCSQIFKFEFSDFLDVNPAFM >KGN47964 pep chromosome:ASM407v2:6:19404495:19406710:1 gene:Csa_6G421580 transcript:KGN47964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSKLRKALYAVKDQTSIGLAKVSSSAGGPNATSLEVVVLKATTHDDSPLDHRYVTEILTLISANKSNAAACAHSIAKRITKTRNWTVALKSLNLVLKIFQDGDPYFPREVLHAMKRGAKILNLSNFRDDSNSSPWDYTAFVRTFALYLDERLDCFLTGKLQKRFTQRKAESYHATTRRINEPIRDMKPAMLIDRITYWQKLLDRAIATRPTGPAKGNRLVQHSLHAVVQESFDLYRDISDGLALLLDSFFHLQYQSCVNAFQACVKAAKQFEELGSFYDLCKSIGVGRTSEYPSVQQPSDELIETLQEFLKDQASFPCHGNRSPPQPILPGSITKFTDDLDQSESSDKNSERGSDFNSVGDIMSATGSWTSPANSVEQDGEGYSDYQSEKQSRLGDFANELNLSPNFAFFEESGMAFEEESQFGVAPSMRFGDWELVLAESATDSPKEWPDFFSPSIGDELYAKPFSPQHHYKNPFLQDSDDLFTAPPTFKAEKEMGPTFRAENSVAPTFRAETATLSSQNENCGFDELGFGNDPFAGPLNGNDPIFDEESLLQQQKLWKEQQNKIIAKHTL >KGN46409 pep chromosome:ASM407v2:6:6153477:6158289:-1 gene:Csa_6G091330 transcript:KGN46409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACGCLCASILSSPKLPSLNYSALTKTKLLRRSPVSFPPPSKLSAFKCKAAGQTSPSPTVYQGIYGPWTVDSSDVREVILYRAGLVTAATSFVIASSVAFLPDSSSLGDTLKQNLDLLYVLGGGGLGLSLFLIHIYVTAIKRTLQALWVLGVAGSLVTYLNLSQPAGESLVQYVVDNPSAVWFVGPLYAALTGLVFKEGLCYGKLEAGILTFVIPTLLLGHLTGLMDDGVKLALLGSWMALFVIFAGRKFSQPIKDDIGDKSVFLFNALGEDEKKALIAKLEQQEVSQNAD >KGN46668 pep chromosome:ASM407v2:6:8291225:8292570:1 gene:Csa_6G120140 transcript:KGN46668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCILVHAVKRQPYELSLEERISCALVEVGPSITLASLSEILAFAVGTFVPMPACRVFSMFAALAVLLDFILQLSAFVALIVLDILRAEDHRVDCFPCIKVHPHSDEPNQGFNQGRHGLLSRYMKDVHAPFLGFWGVKIVVVVIFVGLTLGSIALSTKIEVGLEQKIVLPRDSYLQDYFDDLAEYLRIGPPLYFVVKDYNYR >KGN47289 pep chromosome:ASM407v2:6:13951071:13951781:1 gene:Csa_6G289720 transcript:KGN47289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSEGDEKILSYNDVVLRKSDLEILSGPYFLNDRIIEFYFSYLSTTHPSNGILLSPPSIAFWMMNCPDVESLNSFLEPLNLPHKKLVIFPVNDNIDVSKPEGGNHWSLLAFYREANIFVHHDSNKGMNKYAAKRLYNAVARFMNDGSISTLNPSYVECVESPQQVNGYDCGVYVTAIARSICKWYEERREVEKDGLWFSAVVEEISPSLVANMRREILGLIRSLMAVKEYQPATK >KGN47928 pep chromosome:ASM407v2:6:19167153:19168816:-1 gene:Csa_6G416810 transcript:KGN47928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGCSWNFTYGIVETAGESSESEPTIPPKGLILVTHEPSFVTTAPGPHIRGRPLKAYSLGDREVDVLLPGTARFRVQLGVNMPAHASQKLREARSESAEVELFEFYDVVLYMGIIDILQEYNVMKKLEHAYKSFRFDPVSISVVEPHLYATRFISFLEKVFHELP >KGN48638 pep chromosome:ASM407v2:6:24189007:24189822:1 gene:Csa_6G496410 transcript:KGN48638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGCTLLIFGLLASAAFFPLSSSDPNDEACLVNLSQSLEDPTNSLHNWTQSNLANPCNGFNSYIHGATCNSGRIYKLSLNNLSLRGTISPFLANCTNLQALDLSSNFLTGPIPSDLQYLVNLAVLNLSANRLTDQIPQELAFCAYLNVIDLHDNLLTGQIPQRLGLLVRLSTFDVSNNRLSGPIPSTLGNRSGNLPKFNASSFEGNKDLYGYPLAPLKNRGLSVIAIVGIGLGSGLVSLVLSFTAVCIWLKITERKMVVEEGKISQLMPDY >KGN46584 pep chromosome:ASM407v2:6:7465886:7467746:1 gene:Csa_6G109790 transcript:KGN46584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLFNNSAPAVTRSGDRVYVAAVPLRATKGPAQLLASAAYSFNFWDFQHFMVIISPPSSPTSHSRALVFDFQPKDPEDIQVALAALSGKPVPGVVRERKLSRLPKNKCSYVGYSNVNAVEVARKFNETWDTNLRIGHHDCRDYTNGLVEVLLGEENVLERLRQNSLNI >KGN47455 pep chromosome:ASM407v2:6:15199093:15200375:-1 gene:Csa_6G327940 transcript:KGN47455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSVLSNSDRARESSSRKQKKKKSHGNRDHHQNQNHHIKWKSQAQQEIYSSKLVRALNQVRLGPSNEAPPRRGRAVREAADRVLAVAAKGRTRWSRAILTNRLKLKFRKAPKRQRSTSTAGNNRSKKPRVSVLRLRGKSLPAVQRKVRVLGRLVPGCRKEPLPVILEEATDYIAALEMQVRAMSALAELLSASTSAAGSSSSPPS >KGN45952 pep chromosome:ASM407v2:6:2620609:2625564:-1 gene:Csa_6G032500 transcript:KGN45952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLLAAPSAASLPDRATIAAAPCGFVSWYEVFVSSDRGRREVHYYLKSGDGASDLAVVGKEKSLRHMSYHYALQNRFLNSLGLFTSLTKLKSRREVVEWLSSVVSDSQRKTSQPSDRMMDIEGEDACPSNSGSKKDVQYIKLGQYTRDFSWVGCPWTCKRKRRHYPSFSRNGVKISVHDFVYVLAEEGKRLVAYLEDMYEDSRSNRMVVVRWFHKIDEVDIVLPRNFNDREIFFSLCLQDLSIECIDGLATVLSPHHFQKFQNEAKHTRLEPYVCEKQFDNDDIKTFDITQVKGYWKQEILRYMYALSSKAHGHSQQSEDDTSAEMRPRKRHRRSRNDDLQNAEKRQPGNTSSSLELRSSGNYSVDLKNSDVVFSPKGGCASKTFMGKEMNNSSSSQFAVASEIEVLSQDSGIRGCWFRASIIKKRGDMVKVQYHNLQDADDESTKLVEWLSASRVAAADQLGLRISGRLVIRPHPSKGSNAPLIYNVGAVVDVWRHDGWWEGIIVQKESDDKFRIYLPGEKQELVLGTDDLRHSQEWLGNRWMHLQERPDIAMSIMSRISNDGLPDKVSTMSSQVALCDQKQPGEGGSQPSELRSNSLVDKAKESCTIPDLSKDGLLTKLRWTGSKKRSQPSSSSSGKSFPSPVASSSPCESSFMIPSSMKMDHDNCKYMGDSLFNSSVVPPLSSLVMSR >KGN48707 pep chromosome:ASM407v2:6:24619352:24637456:1 gene:Csa_6G499030 transcript:KGN48707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEQRNRWNWEVTGFEPRKPSSSSFEQDDQLKSGAPLIRRYSISSSSASPRFELSKHSMVTKVQRLNDKVKLAKEDYLELKQEASELQEYSNAKLDRVTRYLGVLAEKTRKLDRVAIETQARIGPLLDEKKRLFNDLLTAKGNIKVFCRTRPPFEEEGPSVVEFPDESTVRIITGDDTISNPKKDFEFDRVYGPHVGQAELFRDVQPYVQSTLDGHNISVLAYGQTFSGKTHTMEGSSHDRGLYARCFEELFDLANSDSTSTSRFKFFVTVCELYNEQIRDLLAESVIASNPHVDSPELFAGLVQEKVDNPLDFSRILKAAFNARGNDLSKLNVSHLITTIHVYYTNLITSENTYSKLSLVDLAGSEGSITEDDSGERVTDLLHVMKSLSALGDVLSSLTSKKEVVPYENSVLTKLLADSIGENSKTLMIVHLCPNASNLSETLSSLNFSARARNAVLSLGNRDTIKKWRDIANDARKELYDKEKEVQDLKREVLELKNALKDANDQCVLLFNEVQKAWKVSSTLQSDLKMENISLAEKLKTEKEQNAQLKNQVAQLLHLEQEQKLQIQQRDSTIQTLQSKIKSIESQVNEVRSSLSTEPSKATGDSMDSSAVSKKLEEELKKRDALIERLHEENEKLFDRLTEKASLVGSPQLPSTLPQGSGNVQPQDPGRNDTNDKSKGSSMAIVPSPSAVDKAEGNLALVKSGSDKVKTTPAGEYLTSALNDFDPEQYDSPAAISDGANKLLMLVLAAVIKAGASREHEILAEIRDAVFSFIRKMEPRRVMDTMLVSRVRILYIRSLLARSPELQSIKVSPVECFLEKTSTGRSRSSSRGNSPGRSPVRYMEEQIQGFKVNLRPEKKSRFSSVVSKIRGLDQDSSRLQVTAGKLREINEDAKSFAVGNKALAALFVHTPAGELQRQIRSWLVENFEYLSVTEDDAAGGATGQLELLSTAIMDGWMGGLGAAIPPSTDALGQLLSEYTKRVYSSQLQHLKDIAGTLAMEEAEDAPQVTKLRSALESVDHKRRKILQQMKNDIALLMLEDGGSPIQNPSTAVEDARLASLISLDGILKQVKDIVRQASVNALSRSKKKALLASLDEFTEQMPSLLEIDHPCARRQIAEARQIVEFTPEEDDIYQATAHNRRLSVDSSSGAETDVAQWNVLQFNTGSTTPFIIKCGANSNSELVIKADARVQEPKGGEIVRVVPRPSVLENMSLEDIKQAFSQLPEALSLLALARTADGTRARYSRLYRTLAMKVPSLRDLVGELEKGGVLKDVRS >KGN48708 pep chromosome:ASM407v2:6:24638489:24647013:1 gene:Csa_6G499040 transcript:KGN48708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSLTCVRLTLFTVAAVAFQIIGLSFFVFGFFPVKPALSGDSGSESFHAPTCYSMGNESVKDLPPYDLQSLYQELSGLPPLFDRLILMVIDGLPAEFVLGKDDRPPNKALMEAMPYTQSLLANGIAKGYHAKAAPPTVTMPRLKAIVSGAIGGFLDVAFNFNTQALLDDNLLGQLSKVGWKMVMCGDETWLKLFPGLFMRHDGVSSFFVKDTVEVDKNVSRHLSYELSKNDWNLLILHYLGLDHVGHTGGRNSPLMAPKLMEMDEVVKMMHASAVMNPDDKRRTLLVVASDHGMTENGNHGGSSYEETDSLLLFIGSKSHATDFPSIISNDVNQVDIAPTLALLFGVPIPKNNVGVMIPGVIDFLKDTQQLRALQLNSWQLLRLLQKQVPGFPCGSFPCDGFSGDQGYNSNDIMEKFCRLYLRSAFLHDSWISTELSRSDSREDKSEIIAAYYEFLINANQWLSHKATDKPSTVIVFGVMSMILSFLIFSISIYSIIQESYSGEKQLSNGIFTQHLDEGFSLCVIFILVISMGSSSMVEEEQYIWHYLISTLNLLFLRKTMQLLQKESTCRFFTLFNGHGKVCIRISSIFTLLITGRILRGWHQGGVNWTHLPDISKWLEQSGIDLHLIQLTAVILTIILILFSLSLLGRGMKIVLVVGFNFLMSGLLVLYHILRYQHNASLPSSNAATSLAQIIYATLGVSTVGTVLAVPWIMPIQISKACCSDRNQNSAVSHPLKIGSQSQYPELIYSLFIIGWVYIGSWCLLQLLLQQPVNSVVTLLILMQIFASFLFFSQRMLQQKQWVEVAVLYYIGMAGHFALGNSNSLATVDVAGAFIGISNYSALLSGILMFIITYASPTLLLLSLVMYISIKNLEIAASPQNVDSGHVLKRILGLPCLVPLTINSILLMAYTIVLILMRNHLFVWSVFSPKYLYACATTVCVLIGVFVVATTVSYAYMVLALRKKYEKLHW >KGN49261 pep chromosome:ASM407v2:6:27385951:27387043:1 gene:Csa_6G518160 transcript:KGN49261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHHQKLAYYFILALCSSSFIALLSVLLFFLCRRNHSHRQLSDESPPPAKPSARPHTLIDIYTATEGFNHRRVIGEGRLGTVYAALSETGELIAVKRIYPWLVLSNNNAGFGFSSVIKSLSSAQHPNLVSITGYSEAPGERIIVMEYAGVVNLDMYLHQNADGAFLLNWKHRVKIAAGAARGLQYLHESMAPSVIHGCVKPSNILIDAQFIPKLSDYGLNYLAAREKRGLVGYVDDEYWKGKSGQGNCKENDVYGYGVVLLELLSGRGCEEGWLVKWALPLIKEMRFSEVLDPRIEYPSDLKPLMRMGKVALACVGNCRKSRPAIGQVVAILNNLETQVCV >KGN47672 pep chromosome:ASM407v2:6:16865065:16870077:1 gene:Csa_6G367180 transcript:KGN47672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTLVVATTFDPASINPANELLAMPGWSPGPPLQEMKSFANRNVRLLQHDRSIVVEDDLDNRWEELTGEVVDEVIFFSRHTAVSNRPALTVHPIGVPHLRDGEVPPQGGKPGWAAPPSPRIGPWLRLLKTIAQSHNLVPEFEITLEGTHHGPVTNKPTLFLEIGSTDEYWRRPDAARVIALLVWEGLAIGGGNDVGNWNREHNKNNKVLLGIGGGHYAPRHMDIVLKDGVWVSHLLSGYSLPMEDPNQSNGGTNNKDIGGSWKEAIKAAYEATKLAFPGGEIIAHLDHKSFKGWQKNAITGFLGEQGIKIGKPNDFY >KGN46094 pep chromosome:ASM407v2:6:4047424:4050040:-1 gene:Csa_6G052690 transcript:KGN46094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYFNLLLQKCSSFSQIKQLQANLIINGDFHFSSSRTKLLELCAISSFGDLSYALHIFRYIPYPSTNDWNAVIRGTALSSDPANAVFWYRAMAASNGLHRIDALTCSFALKACARALARSEAIQLHSQLLRFGFNADVLLQTTLLDAYAKIGDLDLAQKLFDEMPQPDIASWNALIAGFAQGSRPADAIMTFKRMKVDGNLRPNAVTVQGALLACSQLGALKEGESVHKYIVEEKLNSNVQVCNVVIDMYAKCGSMDKAYWVFENMRCDKSLITWNTMIMAFAMHGDGHKALDLFEKLGRSGMSPDAVSYLAVLCACNHAGLVEDGLKLFNSMTQRGLEPNIKHYGSMVDLLGRAGRLKEAYDIVSSLPFPNMVLWQTLLGACRTYGDVEMAELASRKLVEMGFISCGDFVLLSNVYAARQRWDDVGRVRDAMRRRDVKKTPGFSYIEIKGKMYKFVNGDQSHSSCREIYAKLDEINLRIKAYGYSADTSNVLHDIGDEDKENALCYHSEKLAVAFGLTCTEEGTPIQVIKNLRICGDCHVVIKLISKIYIREIIVRDRTRFHRFKEGLCSCKDYW >KGN46384 pep chromosome:ASM407v2:6:6040564:6043854:-1 gene:Csa_6G088120 transcript:KGN46384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAGFYRRVLPSPPAVDFASSEGKLLFTEALGDGTMEGFFRLISYYQTQSEPAYCGLATLAVVLNALSIDPGRKWKGPWRWFDDTMLDCCEPLAKIKTDGITFGKVACLARCNGAKVLAFRTNESTIDDFRKHVISCSSSEDCHVITSYHRGVFKQTGTGHFSPIGGYHAGKDMVLILDVARFKYPPHWVPLTLLWDAMNTIDGATGLTRGYMILSKLTRGPSILYTLSCQDDGWNDTIKYLTEEVPLLLKTENVKSVEELLSEVFKLPPQNLKNFIKWVAEVREQEDGNVKLNAEEKGRLAVKEEILEQLRATELFKHIKQWLASGTLCEGSESLFNKDELSEIAATVCCQGAETLAAKSCSADQRLSKTDIHLLNAENEKSAVVMSGTVVTNAIKEGVDMLVPLCKTESSHISDECCCQWPSVIDVLTILLLSLPQHIWFNLKDEKLLADINRLVGENYLPALLQDEVLHLREQMHFLMTDLSS >KGN45743 pep chromosome:ASM407v2:6:890037:893305:1 gene:Csa_6G008740 transcript:KGN45743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASISKQLLLIVAALLATAEMATSITRCPDCGGAAVPYPLSTNPTCGDQLYKIRCDAGSLKFDTLNNTYPILSINPLTQRLVIRPSNFIPNTCVTADIAHEEIKLNNTLPFNVTSGNTILYFNCTDLLLRSPLNCSSTSLCHSYIKGSRGEATACEMAPLCCTFRAGGSSNSYMIRVRESGCRAYTSFVNLDPSLGVGQWPEPGLELQWLLPREPVCNTEADCDGNAVCGGDPNGTGLRRCVCNSGFVWDAVAGICSQNTCHDPDGCNHHRTALIAGVVSGVGAAVVVSIIAMLLYNRHRRAKEAQDRLTKEREAILNSGSGGGRAAKIFTGKEIKRATHNFSADRLLGVGGYGEVYKGVLEDGTAVAVKCAKLGNAKGTDQVLNEVRILCQVNHRSLVRLLGCCVELEQPILVYEYIPNGTLLDYLQGKNDTKPLSWEERLRIAEGTAEGLAYLHFSALPPIYHRDVKSSNILLDHKLIPKVSDFGLSRLAETDLSHISTCAQGTLGYLDPEYYRNYQLTDKSDVYSFGVVLLELLTSEKAIDFSRDADDVNLAVYVQRLVEEERLVDGIDPWLKKGASDVEVDTMKALGFLAVGCLEQRRQNRPSMKEVVEEIQYIISIAIAKP >KGN46141 pep chromosome:ASM407v2:6:4402237:4404260:-1 gene:Csa_6G056580 transcript:KGN46141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVHKPYIAMLFVQCVYSGMALFSKAAISQKGMNPAIFVFYRQAFATVAMAPLAFLFERKKEVPLSFKFHSKVFVVSLIGVTLSLNLYYIAINHTSATFAAATTNTIPAITLLLALLFRYESICIRKVEGMAKLVGAIIGFSGALVFAFVKGPPMKFMNWYPQTKNITNSFQPYSTLEWIKGAFTMLSANIAWSFWLVLQGSIVKEYPAKLRITTLQCFFSLIQSALWALVMERNPQAWKLGWNLQLFSVAYCGVIVTGMTYWLQIWCVEKKGPVFTAMFTPLALIITAIFSALLWKESLHWGSVGGGILLVLGLYFVLWGKKREEGAAAKAKIIDEQRHDTKDETILECITTHQ >KGN49208 pep chromosome:ASM407v2:6:27108321:27109969:1 gene:Csa_6G517160 transcript:KGN49208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMVKFQEHGDSDKEERVSLSHHRIPKTNNDFNETRRYVLTCAIFASLNSVLLGYDVGVMSGAIIFIQEDLKITEVQEEVLVGILSIISLLGSLAGGKTSDAVGRKWTIAFAAIVFQAGAAIMAFAPSFGFLVVGRLLAGIGVGFGVMIAPVYIAEISPTAARGSLTSFPEIFINFGILLGYISNYAFSGLPVHISWRVMLGVGIIPSVLLGFALSMIPESPRWLVMQNRIDEARIVLSKTNEPGVDIEERLMDIKKAAGIANNVNKYESKAIWQDIVRPTPSVKRMLIAGCGIQCFQQITGIDATVYYSPTIFKEAGIESNSRLLAATVCVGFTKTLFILVAIFLIDKVGRKPLLYFSTIGMTACLFCLSITLVFLAHGKLGIVLSILAVCGNVAFFSVGIGPVCWVLSSEIFPLRLRAQASAIGAVGSRVSSGLITMSFLSVSHTITVAGTFFLFSLISMVSVVFIHKFVPETKGKSLEQIEMVFQGDEQGIRILEMSDTDRLVHK >KGN47886 pep chromosome:ASM407v2:6:18858301:18866923:1 gene:Csa_6G409920 transcript:KGN47886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRQLSLDQFENESRRMIPSNDLSYPTKKFTRQKSPQGLHKKVISILLRPRNWKAPANRRFFLDSYEVGELCYAAEQIFMHEQTVLQLKAPVKVFGDLHGQFGDLMRLFDEYGFPSTAGDITYIDYLFLGDYVDRGQHSLETITLLLALKIEYPDNVHLIRGNHEAADINALFGFRLECIERMGESDGIWAWTRFNQLFNYLPLAALIEKKIICMHGGIGRSIQTVEQIEKLERPITMDAGSIILMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVTEFCKRNKLQLIIRAHECVMDGFERFAQGQLITLFSATNYCGTANNAGAILVIGRGLVVVPKLIHPLPPPLQSPETSPERMIEDTWMQELNIQRPPTPTRGRPQPDLDRSSLAYI >KGN49158 pep chromosome:ASM407v2:6:26855312:26856470:1 gene:Csa_6G516660 transcript:KGN49158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEVWCTLKRSLSCTKSFLCDDVHEPEPIRDSNSKERTERDSSGCLSSKSNLRDIICGSKRHSQKPSPRSSSRSLAMTEVLHTMIHEIESQIKRDNFFVPHEEKPRLKLHKISVTRNNHAYSATSHTQFNDDHELICQECGGVFKNSDALESHHLSKHAVRELLQGDSSRKVIELICKRNWHMSKSHHIEKVFKVHNSPRTQSLFEEYREMVKTKARELENENTRCLVDGNELLRFHGATIACSLSAANSSQILCNLVNCGVCQILRHGFNGAFTCATSGKAFEGIAINEEDVRLRRALVVCRVIAGRIEEDEENENSGLDLSGGKRGRSSNREELYVFDSKAVLPCFVVTFNR >KGN48773 pep chromosome:ASM407v2:6:25027059:25029975:1 gene:Csa_6G500650 transcript:KGN48773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHILHLWGPTVRHIYPKCAFIQTTASNKHHTVTTYHCHLHFIQASHTPQSDHTKMALSPLTFTTPRPQASQIFSPKLSSTVKPTSTSSSSSSSSSSSSSSSSSSSSSVSATKKAISNMGIGLLAASVLALSPLDANATRIEYYATVGEPLCEFNYVPSGLGYCDIAVGSGEEVPYGELINVHYTARFADGIVFDSSYKRGRYLTMRIGVGKVIRGLDQGILGGEGVPPMLVGGKRKLQIPPHLAYGPEPAGCFSGDCNIPGNATLVYDINFVGVYSGNRK >KGN49497 pep chromosome:ASM407v2:6:28679404:28685758:1 gene:Csa_6G526310 transcript:KGN49497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIIHCTRLLCVVVCFGVFVCASCLDEFGDSTAVYIVTLKEPPSTTHYYGQLRQNTTSFSTSGGLSIHKARNISRKHRRYRSYIARVHDSLLKKVLRGEKYLKLYSYHFLINGFAVLVTEEQANKLSKRKEVANVVMDFSVRTATTHTPQFLGLPQGAWSQDGGFESAGAGIVIGFIDTGIDPSHPSFADDLTDNPFPIPAHFSGICEVTPDFPSGSCNRKLVGARHFAASAITRGIFNATQDYASPFDGDGHGTHTASIAAGNHGIPVIVAGHHFGNASGMAPRSHIAVYKALYKSFGGFAADVVAAVDQAAQDGVDIISLSITPNRRPPGIATFFNPIDMALLSAVKTGIFVVQAAGNTGPAPKSMSSFSPWIFTVGAASHDRSYANSISLGNNITIPGVGLAPGTYNDTKYKLIAAIHALNNDTSVSEDMYVGECQDSSNFDQNLIEGNLLICSYSIRFVLGLSTVKQALQTAKNLSAAGVIFYMDSFVIGFRLNPIPMKMPGIIVSSPEDSKMLLQYYNSSLEVDGLTKKISKFGAVASICGGLKANYSSSAPQIMYYSARGPDPEDSSLDDSDIMKPNLVAPGNFIWAAWSSVATDSIEFLGENFAMMSGTSMAAPHIAGLASLIKQKYPSFSPSAIASALSTTASLYDKTGGPIMAQRAYANPEQNQSPATPFDMGSGFVNATAALNPGLIFDSSYSDYMSFLCGINGSSPVVFNYTGQNCGLYNSSITGADLNLPSVTIAKLNQSRVVQRTVTNIAGPEFYSVGWSAPYGISLKVSPIRFTIGSGEKQELTIFFNSTMNSSVASFGRIGLFGSAGHIINIPLSVILKISYNNTTN >KGN47001 pep chromosome:ASM407v2:6:11273475:11273811:1 gene:Csa_6G161210 transcript:KGN47001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRTIMLDSWTTDSTKTRTNTATFEGARDAYHRASQMSDSDLGRASTSPSGAKKEELIHPLFNFYPLIESVGPDRVSLSLM >KGN47442 pep chromosome:ASM407v2:6:15126474:15131174:1 gene:Csa_6G324860 transcript:KGN47442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKGNQQKTGSERHASNSKKKGSDLQSKGQGRAREIKVFPGEELPNDNQHSRPFEEGMMNSDSGEGLKNLKKSAKSLRKEKQGIEGLHGPEEPNFPSEESENCDGNNGGSSVGEQYKGSSGDKDQVQVDGSFSFFLNGEHIRSVMANLNFSDNVLVKSSVESMSSIFEASHVFLEQHRPLLNSLKNNLLNTSDYVVKKIMTAYPIVLKWMMHFGNIILLFSIVWLDCALRGIDSFIRMGTTSFFAVIWFSILSTIAMVGFLKFLVVLVAAASLGIFVGFAFAILVIAISGAAFLWFYGNFWMTMLIIFLGGLAFILSHERVALSITTLYSVYCAWVCTGWLGLLLGLNLSFISSDALIYVLKNNMNEHRRSNRYPEQTTGMQDQSSFSHDDPMQTSSSEFSGTGFAADRCPGTPSTSGADSEISSEDEVVRLLNCSDHYAALGLSRYENIDTSLLKKEYRKKAMLVHPDKNMGNEKAAEAFKKLQNAYEVLLDSIKRKTYDDELRREELLNIFRRFQSDSQKSGPFGFPRSATNREDPFGESRRIACKKCNNFHLWIHTRKLKSQARWCQECKDFHQAKDGDGWVEQSSQPFLFGLLQKVDAPCAYVCAESRIYDATGWYVCQGMRCPANTHKPSFHVNTSVTSKQNTTRGSSSSQRGGQMPASNIEENMTEEEFFEWFQNAMQTGAFDNVGGSATESPPSKAGGSFSKSSNNSGSSGNKKKKKGKKQW >KGN49485 pep chromosome:ASM407v2:6:28607831:28608710:-1 gene:Csa_6G525700 transcript:KGN49485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMQTASEENGQKTGKATLVALFLGPPEKLVEIVNQNIPSLKLQRQECIEMSWIESTLFWANFPNGTAPDALLKRDKPTGSYLKRRSDYVRDVISKKGIEDIWKVLIEIGVGGLTCNPQGGKMNEISETATPFPHRAGVKFMIQHSSNWKEDGVEKEKIELSRKLYEAMTPFVTKNPREAFLNYRDIDVGSSGNWSLAEGKVYGDRYFKGNFERLVSVKTKVDPQNFFRNEQSIPTR >KGN47850 pep chromosome:ASM407v2:6:18585376:18587742:-1 gene:Csa_6G407110 transcript:KGN47850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVDSTDDTLSCITYYVCNAIFTSIMLHAGDMNHYDIRKKCEGSLCYDFSNMEKFLNQQSVREALGVGDIEFVSCSPTVYKAMLAIQDGCMQCNDPVRRSSWLLLRSPSWLMVQKQACLKDMAPSVHDAGHMVPMDQPKAALEMLKRWTRGTLYEKSSDPQILVVDM >KGN47652 pep chromosome:ASM407v2:6:16745033:16751726:1 gene:Csa_6G366500 transcript:KGN47652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFLGFVCLGILWILATLHQVKSHGNHPFSKIAIRKTTFALNKHANVKASPSVLGLKGENTEWVTLEYSSPDPSSDDWIGVFSPANFSSSTCPVENPRVYPPLLCSAPIKFLFANYTNANYKTTGRGLLKLQLINQRADFSFALFSGGLSKPKVVAISNRVTFANPDAPLYPRLAQGKNWNEMTVTWTSGYGIDEAEPLVAWSQNGKDLMQSPAGTLTFDRNSMCGAPARTEGWRDPGFIHTSFLKELWPNQEYTYKLGHKLNNGTYIWSSTYKFKASPYPGQNSLQRVVIFGDMGKDEADGSNEYNNFQRGSLNTTRQLIEDLKNIDIVFHIGDICYANGYLSQWDQFTAQIGPIASTVPYMIASGNHERDWPGSGSFYDTMDSGGECGVVAQNMFYVPAENREKFWYATDYGMFRFCVANTELDWREGTEQYKFIEHCLSSVDRQKQPWLIFLAHRVLGYSSCTFYAEQGSSSEPMGRESLQSLWQKYKVDLAIYGHVHSYERTCPIYQNICTNEKKHYYKGPLNGTIHVVAGGGGASLSPFISLQTKWSIFRDYDYGFVKLTAFDHSNLLFEYKKSSDGKVYDSFRISRDYRDILACAVDSCPRTTLAS >KGN47830 pep chromosome:ASM407v2:6:18352364:18354766:-1 gene:Csa_6G405920 transcript:KGN47830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGENIAEEIDCGNFFDNIEDLLEDLDHDVDFNTNSAAFPPIWSEHSDSLPSDPVVDPVLFSVNTAPDSALSPDLCVPYDDQMEWLSNFVDDSFSGAETLTINASNLSPPSQFHISSPVSVLDSSSSSSSSDEKKPLSTKDGRRGRARSKRPRPTTTFIPRTPELTSPTNSGIKVSSESENYAESCPPLPLPKKTKKIKLTFRRDQNDTLNPQGVRKCLHCEVTKTPQWRAGPLGPKTLCNACGVRYKSGRLYPEYRPAASPTFVPCLHSNSHKKVLEMRIKQVEKGVELRAEESPAELIPNTDSGIILGYIRPEKSMLNLTSTSIP >KGN48965 pep chromosome:ASM407v2:6:26033527:26033890:1 gene:Csa_6G507380 transcript:KGN48965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISKNPSHACQKLMDLVTQLQEASMFKTSQEEATSSKPEKAKSLISNLLSNGYYPFHSSKRELNSMKKPKPTVLVVP >KGN46274 pep chromosome:ASM407v2:6:5367802:5369562:-1 gene:Csa_6G079190 transcript:KGN46274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDIHQNPFPPPHAPTPTTVDFPPHFRCPISMELMQDPVTISTGVSFERANIEKWFFTYNKKTCPATMQTIVNFDITPNYTLKRLILSWKMKDQSCSSTSSLLPSSSMEYHDVVADLLKNIESSPFKASSLKKLRLLMAVDDVAAVGAKPVFVRVNGFEVLIGIVTQVAICESSDFANFEACEEALGVLSQFPFSKTEKPFELLSEPEAIKSMAIVLQRGSIEGRFYAMEMLQQISKKGYDWNSLTKHQIIDLFKSILELASDEIIKKASAIKTTDSSIVTHCRYGTLFSSALELLIEIMESSKKSRLLSIEAGAVCVMIDLLPDSNRSKCEKILHILKLLSECAEGRSAMGEHIMGIATVTKKMSISNTATKIGMKILWLICNYHPSERVLEEMMGCGTVKKLLGILNNGDGRSSTKEKAKKVMKLHGSFWRRFPCFPYEYRDYLKLINQ >KGN47149 pep chromosome:ASM407v2:6:12421521:12421799:1 gene:Csa_6G190400 transcript:KGN47149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLDRHSAFDFSIIGLNSKEMPRSWELVFKLRSTCTSQSSGQKPPFQWRGAEERNQELKGRLSVGGSKLSGERAVNRLASGKGQVAIAALLT >KGN47615 pep chromosome:ASM407v2:6:16514980:16525897:1 gene:Csa_6G365160 transcript:KGN47615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRLNSDDATGFKLLLCLAVMYGLMSMLVYSIVHMKFVKPLAIDAPLHQFSEARAVEHVRILSQEIDGRQEGRPGIKEAGRYIKGQLETMKERASDKFRIEIEETVVDGSFSMIFLGHSIAFGYRNHTNILMRISSVDSEDTDPSVLINGHFDSPLGSPGAGDCGTCVASMLEVARLIVDSGWVPPRPVIFLFNGAEELFMLGAHGFMERHRWHDTIGAFVNVEASGTGGLDLVCQSGPGSWPSRVYAQSAVYPMAHSAAQDVFPVIPGDTDYRIFSQDSGNIPGLDIIFLFGGYFYHTSYDTVERLLPGSVQARGENLFSIIKGFTNSSMLQNFYKLASSEITIHQEKDDGAIFFDYLSWFMVFYSRRLALILHKVPLAVFVVMPFLLNLRKFSMTSCLATFSDLTKGFLLHALGVFLAIVSPIMFSILRLLFTTFSMHWFSHPYLAYLMFIPCSLVGLLIPRAFWSCFPLSRDVPVLQASKEELSDEATFWGAFGFFSSLTMAYLLAGLSGGFLTFFACISMLAAWLSFSMAAKYYGHRSLRSILFYVLPMVPYLAYSVYFGGFLAQFLIEKTGMMGSIPPPYGYFIPDIVVSATIGVVTSLCIGPLIPVCGHWLARSSILRFLLQIIVVGFAVSSQFFPYSMAAPKRVVLQQTYLTSGPNHLEESSYELSVVDSNSLRFLFKHAPDVANALQTDSHLTFETAHLSGQENWLALFPVSFMFSRSLKFPAKESTSRKDLHFPYLIDSKPQTISDDGTRRVYLELSLGSVEEVWVTVLNITGPLSNWSFADNKLPAPEKLNGGPPSYICRLSGASDENWRFWLEAKSQEKLRIDIAVLDQKLTNEVKWVKSLFPDWVDVIAYSSFMSTYTF >KGN46250 pep chromosome:ASM407v2:6:5221290:5221684:1 gene:Csa_6G077960 transcript:KGN46250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASNQQPIKLRKRQSNKPPKSHFRRSLSMKKETNAKGSKKRANGTSAMHGQLYFQFYSISSPSLITLNSNLRFPSNFSINQQWNHFFFRAMESKPDLKSNDELQVR >KGN47410 pep chromosome:ASM407v2:6:14925325:14931829:1 gene:Csa_6G314100 transcript:KGN47410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKSQQNSKLKKRKQISGNKGSSHGSISKKPKFVDNKSSKSLSRGTVGKPFKPPKQKENQHFKSNVEKAEARKDNSVPATNRDRRVQAKELAEARKKKRKRHYDLEHELARLWEEMRKRDITKEDRSKLISKALENMKGKIPEIAGSHVSSRVLQTCVKHCTDTERDAVFEELKPHFLTLACNTYAVHLVKKMLDSASKKQLAVFISSLRGHVASLLRHMVGSLVVEHAYHFANAAQKQTLLQELYSLELQLFKDLVSVKESRLVDIISKLDIQKASVSRHMTSVIQPILEKGIVDHSIIHRVLVEYFTVADKTSAADVIQQLSSSLLVRMIHTKDGSRIGILCIKHGSAKERKKSIKGMKGHMKKIAHEQHASMVLVCIISVVDDTKLIRKIIISELEKDLKELILDKNGRRVLLQLLHPNCSRYFSPDDLASLNSSIPSLCNKGESGDEKAEEKVENETGEKESEADGSKVSAEGSEVVEGGKKDPLIRRHELLVDSGLAEKLVDVCINDAGEILRSNFGREVLYEVATGGADGILQSKLGEKLSALYEAIASLAAEPKSEDAASGDEHVFENFHSSRTIRKLVLDCPAFALTLWNKALEGKSKMWAQGHSCKIVQAFLESSDSSVREIAGVELQSLIAEGVLKIPDSKMSANKS >KGN48608 pep chromosome:ASM407v2:6:24005063:24007008:-1 gene:Csa_6G495620 transcript:KGN48608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTLFRLVNLQSDQQSYNSSRSTNSSSSRSSRQNQYHHYHQQEDEECYNLLMDDEDFSSSSNSRQYYNSNPYHPPHPSSTTTPTPPLDQFSFLSPSPDFNFEFSGRWAPDILLETARAISDRNSARVQQLMWMLNELSSPYGDTDQKLAAYFLQALFSRMTDSGDRNYRALASASEKTCSFESTRKVMLKFQEVSPWTTFGHVSCNGALIEALEGESKLHIVDISNTYCTQWPTLLEALATRTDDTPHLRLTTVVTTKPSGGTGAAASQKVMKEIGTRMEKFARLMGVPFKFNALYHSGDLSELDMAKLDIKEDEALAINCVGALRSVAAINNRRDFLISSFRSLRPRIITVIEEEADLDVGVDGIEFMRGFQECLRWFRVYFETLDESFSRTSNERLMLERAAGRAIVDLVACSAAESVERRETASRWAQRLHGNGFGPVTFSDEVCDDVRALLRRYKEGWAMTQSSDVAGIFLTWKEQPVVWASAWRP >KGN49120 pep chromosome:ASM407v2:6:26707310:26711430:1 gene:Csa_6G514810 transcript:KGN49120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQAVEEWYKQMPIITRSYLTAAIATTIGCSLDIISPHHLYLNPILVAKKYQFWRLITNFLFFRKMDLDFLFHMFFLARYCKLLEENSFRGRTADFFYMLLFGATVLTGIVLVGGMIPYLSESIAEVIFLSNSLTFMMVYVWSKQNPFIHMSFLGLFTFTAAYLPWVLLGFSVLVGASAWVDLLGMVAGHAYYFLEDVYPRMTGRRPLKTPSFIKALFADEAVVVARPADLRFAAPAAEDIHQD >KGN49508 pep chromosome:ASM407v2:6:28772174:28773049:1 gene:Csa_6G526420 transcript:KGN49508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASSFMEPSPSPSLNPSPSVSITDPHEHVLGGNFNLIVLVVAIVCAVVCTLGLNTMLICILQCANHSLRQTVQWVALRGLNSGMKKQDMVALPTSTYTNSGSPISPSSTSACAICLIDFSNGDKVRVLPNCAHRYHVSCIDKWLLSHSSCPTCRHQLKSKDSIDHIV >KGN48049 pep chromosome:ASM407v2:6:20003602:20009394:-1 gene:Csa_6G425860 transcript:KGN48049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESRMDQYEIMEQIGRGAFGAAILVNHKSEKKKYVLKKIRLARQTERCRRSAHQEMALIARVQHPYIVEFKEAWVEKGCYVCIVTGYCEGGDMAELMKKSNGMHFPEEKLCKWFTQLLLAVEYLHSNFVLHRDLKCSNIFLTKDKDVRLGDFGLAKTLKADDLASSVVGTPNYMCPELLADIPYGFKSDIWSLGCCMYEMAAHRPAFKAFDMAGLISKINRSSIGPLPSCYSPSLKTLIKGMLRKNPEHRPNASELLKHPYLQPYVEQYRPSISPNVDFSPDKPRLTRDSRRSMAESQNSNSSNSDRDSLFSSDKNTPAMTANCDEKVTDSDMASVDDHEGSEPLLPCEEQTHNVCSLKVNDPMHPKPSQEDQSNSESRRPKTIRNIMLALKEGKARENYSPVRGNRAKSGVASNQKAFADVPPKVIKPPMAVPGSKSSPDTPITVSTKANIESSRRNQAVSTPKHQLPVVDPTPKTRPRHDSSPPLCRPVKPVEDGVSSKSRQKTPPSLLRRPSFPVRTRQVGQQDVPDAVSSTERIRQKETLPEERTCHKVHSSHQDHVVSVELTKETQHTSSEHCSKGMQTDSSNSVSSSVSIQGFEICDDAATPFADIAEPVFDHQNIEHDEIEEIHPPVCSPSLQYEISEHNLLEDKGNHGVYDKSTTKCSFETSSDNVNLHNTEAGIKKESPSVELDHPLRSEGRFIFKDDIPISMPTNGSNMVSEQITISSHGDDKFTVRELLSSVAEPATPLVASPSSSTHKCLLPDKVTTLHTSSAEKLAGSHLPAAFDDVIHVIRHSSFRVGSEQPVTENLEVGVQNVDVGKLVTVVKDELELKNGTTTTPLTLKSSSCSDALCSKPNTSEHSAIKESTGANPPMLPTTNSDSTEHTKPVTPVVEEEVPAKETLDVKSFRQRAEALEGLLELSAELLQHNRLEELAVVLKPFGKDKVSPRETAIWLARSLKGMMIEDNARSS >KGN46537 pep chromosome:ASM407v2:6:7114644:7116219:-1 gene:Csa_6G107870 transcript:KGN46537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKMSISVNGQSQVPPGFRFHPTEEELLQYYLRKKVSFDKIDLDVIRDVDLNKLEPWDIQEKCKIGTTPQNDWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKVIYSNCRRIGMRKTLVFYKGRAPHGQKSDWIMHEYRLDENSTSESNNMMKATSVVVIGDGGQEEGWVVCRIFKKKNHHKTLDSPMSTTTNDTTSSLLLDSCNDGALDQIIHYMGRTCKEIIEEDQEDEDGMGMGMGAGRLLHPIDTSSVVINGSGSYLDGRFSKLPSLESPNSTSTHNCYQPINNHLGPADPMIVSGYQLDSSSVVSSAPHNWAAFDRLVASQLNGQVEVSNMIYYSDQLPTTTTLRATTSFSSKSSSSSYNAVVAAPTAPQDYNNVDTELWSFARLSSTDPLCHVSDTTI >KGN46324 pep chromosome:ASM407v2:6:5633165:5634034:1 gene:Csa_6G084580 transcript:KGN46324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIKSITCFILLRRADLLRKENMTASISANHFLFLFLFLILSSSIAYTQQDEAFFINKSMDKKLLGLETEQLSHLRVYWHDVLSGNNPTSIEIVPPISDKFISGFGYIRMIDNALTEEQDRSSKLLGRAQGLYASASQDKVALLMAMNFVFTSGKYNGSSISLYGRNPWMEDVRELSVIGGSGLFRFARGYAKLHTVELDIAKGNAVVEYNIYIFHYADSIALF >KGN47690 pep chromosome:ASM407v2:6:17080243:17086174:1 gene:Csa_6G381800 transcript:KGN47690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRSLPASLIHSYLSPFPLLFPSSNHARFLGFQCHTWNPIRRRLNFAVTADLSKSSPSLHSASDFQLPWVGPVPGDIAEVEAYCRIFRTAERLHSVLMDTLCNPFTGECSVSYDVSPGENPLIEDKIVSVLGCLVSLINKGREDVLSGRSSAMNSFRGANLDATEDNLPPLAAFRSEMKRCCESLHVALENFLIPGDERSLNVWRKLQRLKNVCYDSGFTRGEDYPCHALFANWNPVYLHNSKDETSAKNSEIAFWSGGQVTEEGLKWLIERGFKTIVDLRAETVKDEFYSASLHDAIGSAKVKVIKIPVEARTAPKMDQVEKFASLVSDASNGLIYLHSKEGVWRTSAMISRWRQYATRSGSQIVSNQTIVPVDIDTSSKLELNQNGAKESLEISIIGETFPCAEDSQSFLLDSAHHSSINRKNYAEVSQNVNGAYNGPSPTQDTTSLRAVVNGGIEIDPLKAQIPPCNIFSRKEMSNFFRTKKISPQNYLHRRMKTKEKFSTEVTASRVQRSSVNNSDKSGIVEAGNFNGSPSVKDSSSKTQYASTTKMNYGNGDSHVSANPVLEGLEVEGRNPLTTVASAAVGGKVPSKSEINDLKSNGQATSVSSNGNVESVEGNMCASATGVVRVQSRKKAEMFLVRTDGFSCAREKVTESSLAFTHPSTQQQMLMWKSTPKTVLLLKKLGQELMEEAKEKMNVLVEPDIHDIFARIPGFGFVQTFYSQDTSDLHEKVDFVACLGGDGVILHASNLFRSAVPPVVSFNLGSLGFLTSHAFDSYRQDLRQVIHGNDSLDGVYITLRMRLQCEIFRNGKAIPGKLFNILNEVVVDRGSNPYLSKIECYEHDRLITKVQGDGVIVATPTGSTAYSTAAGGSMVHPNVPCMLFTPICPHSLSFRPVILPDSARLELKIPEDARSNAWVSFDGKRRQQLSRGDSVRISMSRHPLPTVNKSDQTGDWFHSLIRCLNWNERLDQKAL >KGN48656 pep chromosome:ASM407v2:6:24314558:24318042:-1 gene:Csa_6G497070 transcript:KGN48656 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein kinase 3 MISVTNIQPSNIICKQIWLLLLVFLILHFHFSPSFSAFLPESQALLSLKSSISDDPHSSLSSWNPAAVHAHCSWLGVTCDSRRHVVALDLSSLDLTATISPHISSLRFLTNVSFGLNKIFGGIPPEIASLSSLQLLNLSSNVLNGSIPSEFSRLKNLQVLDVYNNNLTGDFPRVVTEMPNLRYLHLGGNFFTGRIPPEVGRLQFLEFLAIHGNDLEGPIPPAIGNLTKLRELFIGYYNTFVGGIPATIGNLSELVRLDAASCGLSGKFPRELGKLQKLTELYLQQNALSGSLMELGGLKSIEELDISCNMLVGEIPISFAVFKNLRLLQLFDNKLSGEIPEFMADLPKLEILQLWNNNFTGSIPRNLGKNGMLRTLDLAFNHLTGTIPPEICHGNKLEVLIAMDNSLSGLIPESLGNCLSLKRILLWGNALNGSIPRRLLGLPNITQIDLHDNFLSGELPIINSVSVNLLQISLSNNMLSGSLPPTIGSLVAVQKLLLDRNKFSGQIPSNIGRLQQLSRINFSQNKFSGSIVPEISECKHLIFLDLSGNELSGEIPNHITNMKLLNYMNLSRNHLVGPIPASIVNMQSLTSVDFSYNNLSGLVLGTGQFGYFNYTSFLGNPYLCGPYLGPCKDGLLASNQQEHTKGSLSTPLRLLLAFGFFFCLVAVTVGLIFKVGWFKRARESRGWRLTAFQRLGFSVDEILECLKKENLIAKGGYGTVYTGVMPSGDQITVKRLPKTSNGCTRDNKFDAEIQALGRIRHRHIVRLLGLCSNHETNLLVFEYMPNGSLYEVLHGKKGGHLLWETRYKIAIGTANGLCYLHHHCSPPIVHRNVKSNNIMLDTNFDAQIANSGLAKFLQDSGASDISATEPEHTYTQNADEKWDVYSFGVVLLELVSGRNPDIELSNSVDLVQWVRNMTDTKKEEIHKIVDQRLSSVPLDEVIHVLNVAMLCTEEEAPKRPTMREVVRILTEHQQPSFSKENRDT >KGN49005 pep chromosome:ASM407v2:6:26191093:26194227:-1 gene:Csa_6G510230 transcript:KGN49005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDKVEKSGKEKHNMMKFGNSYYNGKKLFGFLVRGRNLIGVASTTVAAAFLSSSSASSGSKIAAMDDLGLQNLRTKLCIIGSGPAAHTAAIYAARAELGPILFEGWMANDIAPGGQLTTTTEVENFPGFSNGVLGMDLMDRCRNQSLRFGTQIFTETVSKVDFSTNPFKIFTDSKTVLADSVIVATGAVAKRLSFAGSGDAPGGFWNRGISACAVCDGAAPIFRDKPLAVIGGGDSAMEEAIFLTKYGSKVHIIHRRDAFRASKIMQQRALSNPKIEVVWNSVVVEAYGDGDKGVLGGLKVKNVVSGKVSDLKVSGLFFAIGHEPATKFLDGQLQLDSDGYIVTNPGSTRTSVRGVFAAGDVQDKRYRQAITAAGTGCMAALDAEHYLQEIATEKSKL >KGN47094 pep chromosome:ASM407v2:6:12090759:12092861:1 gene:Csa_6G187390 transcript:KGN47094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVKVATTCLQWSQPIVHHSSCYSQTLASIVPYPSSTTRRNRRNGGGRCVYSLSRPGLFGIQLTKFQRSRSCCDYKPRIRTIRTACSAHMEDGFSDEEFSKQIQELALRFQVSSDVNSSHFNAVSSDSVSDSSVDHEFNTAECSLQNQIQITPPQLVSTESPWPEIYHEPSEWTQESEIIPDDIERKANSVDLPLSLRILKRKMQWHDGIREARESAYCSVKKAFSSMVFMIRELHSYSLKLREILFYEDLQGILNRVEKEMHASFVWLFQQVFSHTPTLMVYVMILLANFTVYSMGNNLAIASSSSPSPSITQTAEESFQIQNQKPQKFHSSTIKTFSVSGKTNSIGGGNGGGGGKVRPIASGTDGDGFNRSVNYPTVMPDGTSQLSSIGASAEEETSITGGIIREEEVSLWNSILKEASEMRSAMRNEAIDEETVRRLVSPVIANIESDNYAEYFRTELLYQTGLSQDPNNPLLLTNYAQFLCLVAHDYDRAEEYFKKAVAVKPPDADAFHKYATFLWRVRKDLWAAEELFLESVSAESGNPFYAAKYASFLWTNGAEETCLENL >KGN46087 pep chromosome:ASM407v2:6:3992021:3994920:1 gene:Csa_6G052130 transcript:KGN46087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFHTHLFPCFIAFAFAVSFSEAAITLGSSLRASDPNQAWNSSNGDFSLSFTPLGSSSFKAGIVFTGGVPTIWSAGGGATVDASSALHFQSDGNLRLVSGSGAVVWESHTTGLGVSSAVLEDTGNLVLLNSSSQPVWSSFDHPTDTIVPSQNFTLGMVLRSGQYSFKLLDVGNITLTWNGDEGDVIYWNHGLNTSIGGTLNSPSLRLHSIGMLAVFDTRIPAGSFVAYSNDYAENAETTFRFLKLTSDGNLEIHSVVRGSGSETTGWEAVSDRCQIFGFCGELSICSYNDRSPICNCPSANFEPFDSNDWKKGCKRKLDLGNCSNGINMLPLENTKLLQYPWNFTGIQQYSMQISGCQSNCRQSAACDSSTAPSDGSGFCYYIPSGFIRGYQSPALPSTSFLKVCGDVDLNQLESSDVSRPGDKVKVWVLAVVVLVTLFAMIAFEAGLWWWCCRHTSNFGGMSSQYTLLEYASGAPVQFSYKELHRVTNGFKDKLGAGGFGAVYKGVLTNRTVVAVKQLEGIEQGEKQFRMEVATISSTHHLNLVRLVGFCSEGRRRLLVYELMKNGSLDGLIFKGEEGQSGKFLSWEDQTNHKRFSLWAYEEFEKGNLIEIVDKRLVDQEIDMDQVSRVVQVSFWCIQEQPSQRPTMGKVVQMIDGVIDIERPPAPKVTSMVSTSGTTSTYISSNLSNFSTTPTTETPASFSSSHAALDMTPGGSKIEKTSSSLLQSRYD >KGN49291 pep chromosome:ASM407v2:6:27543314:27545456:-1 gene:Csa_6G519440 transcript:KGN49291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLCRPNFLFFWISKQRLNFHFFSTLPNPRLPPPFSSLPPTPGITQIKQAHARILVLGLANDGRITSHLLAFLAISSSSLPSDYALSIYNSISHPTVFATNNMIRCFVKGDLPRHSISLYSHMCRSFVAAPNKHTLTFVLQACSNAFAIREGAQVQTHVIKLGFVKDVFVRNALIHLYCTCCRVESAKQVFDEVPSSRDVVSWNSMIVGFVRLGQISVAQKLFVEMPEKDVISWGTIISGCVQNGELEKALDYFKELGEQKLRPNEAILVSLLAAAAQLGTLEYGKRIHSIANSLRFPMTASLGTALVDMYAKCGCIDESRFLFDRMPEKDKWSWNVMICGLATHGLGQEALALFEKFLTQGFHPVNVTFIGVLTACSRAGLVSEGKHFFKLMTDTYGIEPEMEHYGCMVDLLSRAGFVYDAVEMINRMPAPPDPVLWASVLGSCQVHGFIELGEEIGNKLIQMDPTHNGHYVQLARIFARLRKWEDVSKVRRLMAERNSNKIAGWSLIEAEGRVHRFVAGDKEHERTTEIYKMLEIMGVRIAAAGYSANVSSVLHDIEEEEKENAIKEHSERLAIAFGLLVTKDGDCIRIIKNLRVCGDCHEVSKIISLVFEREIIVRDGSRFHHFKKGICSCQDYW >KGN46012 pep chromosome:ASM407v2:6:3367344:3368786:-1 gene:Csa_6G042460 transcript:KGN46012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLPLKPPLQKPPGYKDHNTTATSSSSASTATHLPPPLRPKPRPPSSYKPKKRKRNCCRTCCCIFCFLILFLIVVAALALALFYLLYDPKLPVFHLLAFRISSFKVSTTPDGSFLDSQVSIRVEFKNPNEKLSIKYGKIEYDVTVGQATEFGRRELAGFTQGRRSTTTVKAEAAVKNKMLAVEDGGRLLSKFQSKALEVKVEAETEVGVVVQGWGLGPITVKLDCESKLKNIDGGDMPTCNINLLRWINIRG >KGN48175 pep chromosome:ASM407v2:6:20904964:20906719:1 gene:Csa_6G446360 transcript:KGN48175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGFEPSGGPVKNYPRELTFYVLMTCIVAAMGGLIFGYDIGISGAYWVTKTYGRKKSMLIGGFVFLVGAIINAAALNVAMLIVGRIFLGIGVGFSLQSVPLYVSEMAPSKYRGSLNGWRVRLGGAAVPALFITVSALFLPDTPSSMLERGGVEKARVMLKRIRGVSENDVDAEFQDMVAASMAAKAVKHPWRNLRERQNRPPLVMSILIPYFQQLTGINAVMFYAPVLFKTIGFGDNASLLSSVITGGINVLATYVSIYGADKWGRRILFLLGGIIMFIFQVLVAVFIAWKFGVFGDVAYLPKWYAGVVVLSICIYVQAFAWSWGPLGWLVPSEIFALEIRSAAQSITVSVNMLFTFIIAQIFLIMPCHMKFGLFFFFAFFVVVMTLFIYFFLSETKGVPIEDISCDRRQHWFWNRYMLLPQQLVKVRPQVKD >KGN46216 pep chromosome:ASM407v2:6:5016776:5016949:1 gene:Csa_6G075170 transcript:KGN46216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLEDMVERSDPAIGLDPPQHKSDGHGKLGRVHHMRVTPTFPTNEILSGLRKKPIHV >KGN47973 pep chromosome:ASM407v2:6:19467844:19469629:-1 gene:Csa_6G421670 transcript:KGN47973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYNVFLCFLTIIVPFHLSSSSKTITEAKVSAVVVFGDSSVDAGNNNFIPTIARSNFFPYGRDFTGGKATGRFSNGRIPTDFISEAFGLKPTIPAYLDPAYTISDLATGLTFASAGTGYDNATSNVLSVIPLWKQLEYYKEYQAKLIAYQGSSTANETIKEALYVMSLGTNDFLENYYTMPGRSSQYNIQQYQDFLVGIASGFIEKLYSLGARKISLGGLPPMGCLPLERTRNLFGGNNCLESYNNVAVDFNNKLKALTVKLNKDLPGIQLVFSNPYDVLLSMIKKPSLYGFDVTSTACCATGMFEMGYACNRDSMFTCTDANKYIFWDSFHPTQKTNQLVSSYVVKNVLSQFL >KGN48480 pep chromosome:ASM407v2:6:23144677:23146011:-1 gene:Csa_6G489960 transcript:KGN48480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPKKFITDYGKFLSNPICLKTPDGLEWKVESTTTPNGTVWLQNGWQEFSNHYGLKSGSLLVFRLDGNSTFHTLIFNQNCSEIQYSSNYIGHVESNQEEYTTRPQTEETEAKPEKIGFKIVVKKSYIEGRYNMLLPKYFARRHLNEEFGKIEIENSDGMGWPMIYKWSQSRKVGFAYISSEGIISPPSPILANNKNVESTTPNYDHFFKVNIHMKSYKNCVLNIPLTFAHNHLSSTMHTAKLMVGKKQWNVKLKHYERCIRFSGGWAEFFKQNDLKPGDSCLFKLLTKNESYCVFKVKIFSKNLNLSDQ >KGN45884 pep chromosome:ASM407v2:6:1976014:1985216:1 gene:Csa_6G017000 transcript:KGN45884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWGAKDRAKHSSNRREAVDLCLCLSFRMTEPSKNDLLQLFQPFGVITKLVMLRAKNQALMQMQDVPSAVNALQFFANLQPSIRGRNVYVQFSSHQELTTADQNAQGRGDEPNRILLVTIHHMLYPITVEVLHQVFFPHGFVEKIVTFQKSAGFQALIQYQTRQCAVSARTALQGRNIYDGCCQLDIQFSNLDELQVNYNNERSRDFTNPSLPSEPKGRSSQPGYGDTGGMYPLQPSGARPVGFSQMANAAAVAAAFGGGLPPGVSGTNDRCTVLVSNLNPDRIDEDKLFNLFSIYGNIVRIKLLRNKPDHALVQMGDGFQAELAVHFLKGAMLFGKRLEVNFSKHPNITQGADTHEYANSNLNRFNRNAAKNYRYCCSPTKMIHISSLSQEVTEEEIVSLLEEHGPIVNSKLFEMNGKKQALIMFDTEEQATEALVCKHASLLSGSIIRISFSQLQTL >KGN46931 pep chromosome:ASM407v2:6:10667430:10674053:1 gene:Csa_6G151680 transcript:KGN46931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSKDRISYFYDGDVGSVYFGPNHPMKPHRLCMTHHLVLSYELHKKMEIYRPHKAYPVELAQFHSADYVEFLHRINPDTQHLFANELSKYNLGEDCPVFENLFEFCQIYAGGTIDAARRLNHQLCDIAINWAGGLHHAKKCEASGFCYINDLVLGILELLKYHSRVLYIDIDVHHGDGVEEAFYFTDRVMTVSFHKYGDLFFPGTGDVKEIGEREGKFYAINVPLKDGIDDGSFNRLFRTIISKVVEMYRPGVIVLQCGADSLAGDRLGCFNLSIDGHAECVRFVKKFNLPLLVTGGGGYTKENVARCWTVETGVLLDTELPNEIPDNEYIKYFSPDHLLRIPNGHMENLNTKSYLSTIKTQVLENLRFIQHAPGVQMQEVPPDFYIPDFDEDGQNPDERMNRHTQDKQIQRDDEYYEGDNDNDHDMDDA >KGN46779 pep chromosome:ASM407v2:6:9339050:9341527:1 gene:Csa_6G134370 transcript:KGN46779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLRPILFRPIIIHVVPKPTLFHSYHSRTNPIATSIEVSTIIETLDPMEDGLKVISSRIRSYTITSVLQEQPDTRLGFRLFIWSLKSWHLRCRTVQDLIIGKLIKENAFELYWKVLQELKNSAIKISSEAFSVLIEAYSEAGMDEKAVESFGLMRDFDCKPDLFAFNLILHFLVRKEAFLLALAVYNQMLKCNLNPDVVTYGILIHGLCKTCKTQDALVLFDEMTDRGILPNQIIYSIVLSGLCQAKKIFDAQRLFSKMRASGCNRDLITYNVLLNGFCKSGYLDDAFTLLQLLTKDGHILGVIGYGCLINGLFRARRYEEAHMWYQKMLRENIKPDVMLYTIMIRGLSQEGRVTEALTLLGEMTERGLRPDTICYNALIKGFCDMGYLDEAESLRLEISKHDCFPNNHTYSILICGMCKNGLINKAQHIFKEMEKLGCLPSVVTFNSLINGLCKANRLEEARLLFYQMEIVRKPSLFLRLSQGTDKVFDIASLQVMMERLCESGMILKAYKLLMQLVDSGVLPDIRTYNILINGFCKFGNINGAFKLFKEMQLKGHMPDSVTYGTLIDGLYRAGRNEDALEIFEQMVKKGCVPESSTYKTIMTWSCRENNISLALSVWMKYLRDFRGWEDEKVRVVAESFDNEELQTAIRRLLEMDIKSKNFDLAPYTIFLIGLVQAKRDCEAFAIFSVLKDFKMNISSASCVMLIGRLCMVENLDMAMDVFLFTLERGFRLMPPICNQLLCNLLHLDRKDDALFLANRMEASGYDLGAHLHYRTKLHLHDH >KGN46884 pep chromosome:ASM407v2:6:10345812:10347318:1 gene:Csa_6G148260 transcript:KGN46884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKASIVGDAVLYVKELQMQAKKLKSEISVLESSINETQKVHRDQTKKKIIQTSYSDQFLPTKIIQLDVFQVEERGFYLRLVCKMGERVAMSLYKVLESLTSFIIQSSNLTSASDRFILTATINVRDCEVDMNLPNLKLWLTGALLNHGFDSSEVRNVGQQHGVGEFSQEHCWNGHCTVVFLREWKFIIWEEESTAMGNGSALEISLNQCNCVEQLNVQALETKVKSISLNQTSLNFTLAHFNSKVVQFCHKSQGSKTNDDQVQYGKPILTSSRNV >KGN46381 pep chromosome:ASM407v2:6:6019058:6021013:-1 gene:Csa_6G088090 transcript:KGN46381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFFACFGSSKNSKRLKNHHPSTVIQRNAIAKTHQSSVHTLLDDSNNTLFHPVEAGNKNNEAYDHRNRIDGLEVASVKSHEDEHIVLPQQDAVSVHGKKQGQKEEEDSEEKLSKSESSSEDFVVPLNANFKSSCPRIHRYGNCKDSDDEDEDEVFDTHLDSDENDELGIVESMKEDSSVDESSMDVCRLNPTNVRHRTAYVSSVLNPVENLSQWNAVKSKKEFPPKLQKENAKLEQESSVDGFPYSSEPSGEPCVDASLSNWLPSSQATPVKITPTMALEATMTPLKSGTLQGSSSLKGSGHSKMSEVGTAGMYHRQGVSHKDCDSASSFKGIPNTTSKYREDKTVKWHSTPFETRLERALNSRGVAA >KGN47040 pep chromosome:ASM407v2:6:11689997:11690319:-1 gene:Csa_6G179470 transcript:KGN47040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDETRTQWKRKVGVAVGDGKEASGLTHEIRFISSPPPSKQKGDTLSKTQYRNKVNDL >KGN48058 pep chromosome:ASM407v2:6:20103002:20113359:1 gene:Csa_6G426930 transcript:KGN48058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALSEDLSSSSLNLHSDTDQRVYFVPYRWWKDAQDSLTGDADGKRGHSFLASPGSSYAGPMKIINSIFSSDLVFNLRREEDSSQNSENGEVGISGRDYALVSGEMWVEALKWHSDSKSSTRNGGSFSVAEDNIADVYPLQLRLSIQREANLLGVRISKKDNVVELFRRACKLFNVESELLRIWDFSGHTISLFSNSNKQRQSDQEVLLELQVYGLSDFIKCKEGKKDELANSILGNSSATSLMMNGASGTGSASCFRVNSSVFSGSSREAGSLGLTGLQNLGNTCFMNSALQCLVHTPKLVDYFLGDYGREINHDNPLGMNGEIALAFGDMLRKLWAPGASPVAPRTFKSKLARFAPQFSGCNQHDSQELLAFLLDGLHEDLNRVKKKPYVEAKDGDGRSDNEVADEYWQNHLARNDSIIVDVCQGQYKSTLVCPICKKVSTTFDPFMYLSLPLPSTTMRTMTLTVVSTDGSTPAPYTITVPKSGKWEDLIKALSIACSLKADETLLVAEVYNNRIIRYLEEPADSLSLIRDGDRLVAYRLAKDEEQVPLAVFMHQRIEEQYINGKRTTSLKAFGFPLVAKLPGGFNGSDIKDIYKKLLSPYQVSAPDALEENHTSDVDTTEKTEVENGTSSTSACVIDPLTIEDGVNCNSPSDADLQFYTTDDKGIIRGSEIEVGEFVVGSEKSKRLYVLVSWPEKQIERYDTHLLTSLPEVFKSSFFAKRPQESVSLYKCLEAFLQEEPLGPEDMWYCPSCKKHCQASKKLDLWRLPEILVIHLKRFSYSRFMKNKLEAYVDFPVDDLDLSMYVAYKNGQSCTRYVLYAVSNHYGSMGGGHYTAFVHQGGDQWYNFDDSNVYPIGLDKIKSCAAYVLFYRRVVV >KGN48553 pep chromosome:ASM407v2:6:23627234:23627921:1 gene:Csa_6G491650 transcript:KGN48553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLVLSALQWKMHPVTPISFFHHIIRRLPLKNDMLWELLGRFQNHLLSIIADHRFLCYLPSILASATILYTISEIAPYNFLEYQNEFLSVLKINKNRLDECYKFILDSLGSHDSLQNLSDQSQQLCELGSPCDVLDGYFISDSSNDSWPMVPSISP >KGN45714 pep chromosome:ASM407v2:6:708392:719530:-1 gene:Csa_6G007970 transcript:KGN45714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAMALPFTPTQLSLASYDCTRPLHFLFSHNGSHLLQNCVESGARRSAIRDCRVFCQSETETLQLRRYSPLLESSFVPGISATVSDEWQAVPDIWRSSAERYGDRIALVDPYHNPASKMTYKELEQSILNFSEGLRVIGIKPDEKIALFADNSCRWLVADQGIMTMGAINVVRGSRSSSEELLQIYNHSESVALVVDNPELFNRIVETFHLKTSMRCVILLWGEKSSLANEGVDGITVFDYNDIMDMGRESRKVMLGSHDAKQCYTYEPINSDDIATLVYTSGTTGNPKGVMLTHRNLLHQIKNLWDIVPAKVGDKFLSMLPPWHAYERACEYFIFTFGVEQAYTTIRNLKDDLRHYQPDYLISVPLVYETLYSGIQKQILASSNLRKLIVLAFINVSLAYMELKRIYEGTYLTRSNVQPTHLVSALDWLFARMTASILWPIHMLAKIIVYSKVQSAIGIWKAGISGGGSLPSHVDLFFEAIGITVQNGYGLTECSPVVAARRPTCNVLGSVGHPIRHTEFRIVDMETGDALPPGSRGIVEVRGPQVMKGYYKNSSATQQVLDKEGWFSSGDIGWIAPHHSRGRSRRCGGVIVLDGRAKDTIVLLTGENVEPTVIEEAAMRSTLIQQIVVIGQDQRRLGAIVFPNKEEVLSAAKKLSAEDSSTSDVSNETLTNLIYSEVRKWTSECPFQIGPILIVNEPFTIDNGLMTPTMKVRRDKVSAYYKKEIENLFK >KGN46503 pep chromosome:ASM407v2:6:6825551:6836689:-1 gene:Csa_6G104600 transcript:KGN46503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRYEVPRLAFINKLDRMGADPWKVLNQARSKLRHHSAAVQVPIGLEEQFKGLVDLVQLKAYYFLGSNGEKVTAEEVPADMEALVTEKRRELIEMVSEVDDKLAEAFLSDEPISPEDLEAAVRRATVARKFIPVFMGSAFKNKGVQPLLDGVLNYLPCPVEVSNYALDQTKNEEKIALSGSPDGRLVALAFKLEEGRFGQLTYLRIYEGVIKKGEFIVNVNTGKRIKVPRLVRMHSNEMEDIQEGHAGQIVAVFGVDCASGDTFTDGSIKYTMTSMNVPEPVMSLAVQPVSKDSGGQFSKALNRFQKEDPTFRVGLDPESGQTIISGMGELHLDIYVERIRREYKVDATVGKPRVNFRETVTQRAEFDYLHKKQTGGQGQYGRVCGYIEPLPPGSTAKFEFENIIVGQAIPSNFIPAIEKGFREAANSGSLIGHPVENVRVTLTDGASHAVDSSELAFKLAAIYAFRKCYTAARPVILEPVMLVEVKVPTEFQGTVGGDINKRKGIIVGNDQDGDDSIITAHVPLNNMFGYSTSLRSMTQGKGEFTMEYKEHSPVSNDVQMQLVSNYKGSKPAE >KGN46458 pep chromosome:ASM407v2:6:6509944:6513210:1 gene:Csa_6G094760 transcript:KGN46458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYWELRGIGNMDERSMDDMKGTRVALIESSSSRLMKRSRAPGSGAQVPSCMVDGCSSDLSKCRDYHRRHKVCELHSKTPKVTICGQEQRFCQQCSRFHSLVEFDDRKRSCRKRLDGHNRRRRKPQPATMTLNAGRFLYGNQGPRFLPFGNQLLTASSDVSSSWIGMIKPENNVPLCGGNSQFDFTDRRKSMLPGSLSSDYKEKQLAISCIPIGLLPKSSDTQPFLNVGSSNGGNVQKVLGNGSNRFFDSDCALSLLSTPVEPGEINLSSMSQSNLIPPAHFIHSDGLGLEGDPISSGLVSDGSSDANIRCYSTFQDGPDGSSVDLFRF >KGN47537 pep chromosome:ASM407v2:6:15995483:16002201:-1 gene:Csa_6G357030 transcript:KGN47537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSSCVLPSTAVASLSLSAKKIIISDSRNQWPSLRQQTELNFWSRMSHHRQMNPFVFPYSTYKRVHSPAISSVMTEDTSTVSSTDESMENIGILSHDPGLKPFKDHFKYRVGRYTDLLNLLDKHEGGLDEFARGYLKFGFNREEDGIVYREWAPAAQEAQIVGDFNGWDGTNHCMEKNEFGIWSIKVYDLGGKPAISHNSRVKFRFKHGNGVWIDRIPAWIKYATVDPTKFAAPYDGVYWDPPPLERYEFKHPRPAKPNAPRVYEAHVGMSSSEPRVNSYREFADFVLPRIKENNYNTVQLMAIMEHSYYASFGYHITNFFAVSSRSGTPEDLKYLIDKAHGLGLRVLMDVVHSHASNNVTDGLNGFDVGQSSQDSYFHTGDRGYHKLWDSRLFNYANWEVLRFLLSNIRWWLEEYQFDGFRFDGVTSMLYHHHGINMGFSGNYNEYFSEATDVDAVVYLMLANNLTHSILPDATVIAEDVSGMPGLGRPVFEGGIGFDYRLQMAIPDKWIDYLKNKSDEEWSMGEISWNLTNRRYSEKCISYAESHDQSIVGDKTIAFLLMDKEMYSGMSCLENASPVVERGIALHKMIHFITMALGGEGYLNFMGNEFGHPEWIDFPREGNGWSYDKCRRQWNLPDTDHLRYKFLNAFDSAMNALDEKFSFLASSKQIVSWTGEEDKVIVFERGDLVFVFNFHPVNTYDGYKVGCDLPGKYRVALDSDASDFGGHGRVGHDIDHFTSPEGIPGVPETNFNNRPNSFKILSPARTCVVYYKVDESKEKEKDDLVGSANEDVFARHVEEDSEGLAGCKEENDIAVGEISKTEDDDIDTSKPEDDDVDSNKIEDLPVRGE >KGN46501 pep chromosome:ASM407v2:6:6807309:6815976:-1 gene:Csa_6G104090 transcript:KGN46501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIEQKQTEFIDHFVKQASSLKGSALGSVVTDATSHPSLFAFSEILAVPNVVELEGTEHSIYLDVLRLFAYGTWSDYKSNSSRLPELSSDQALKLKQLTVLTLAETNKVLAYDQLMQELDVTNVRELEDFLINECMYAGIVRGKLDQLRRCFEVQFAAGRDLRPGQLGNMIRTLSNWLTTSDNLLVSIQEKIKWADNMSELDKKHRKDVDDRVEEVKKSLSLKANIDIREHEEIYSEPGGVMDYEEDRSRPKRRRHPIS >KGN48320 pep chromosome:ASM407v2:6:21959575:21971455:-1 gene:Csa_6G476110 transcript:KGN48320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGSIFNSGMADSTTSRKKPLSLNDRHYRLLQDLSAPPKPSLATAADHREGDEDVKPSRIGLENQHLFSEVSSNVDEFNDDKVPQSSGVNVEEKPTKVKINGRRRLCKLSSRENDCLDNPEGFYFNAPNFSGITDFDSPSPPPPLPVENRVNKGSEIRDILNDLSARLELLSVEKRREKPKKVDSIEDFSASSGGKGNEEANKADDREVESLKFSTKPSNSLLGESVKVEKAVKTLNVGGSGEYGEEILPNKVKVDVFDEGIHKVDTCGKDSEQLLNLEHGNKHDKGRDKCRSQDVQKTYNSLGKSPVLIDEGEVEDEDDCVVLNHETRDFNEVRRQDGKYEEKDDGSDGLDKSCEDFILEGKSSAGRNSTFKLQGRIATMLYPHQRDGLQWLWSLHCLGKGGILGDDMGLGKTMQICGFLAGLFYSRLIKRVLVVAPKTLLPHWIKELSVVGLSEKTREYYGTSAKLRQYELNYILQDKGVLLTTYDIVRNNSKSLQGNCFSEDEETEDGTTWDYMILDEGHLIKNPSTQRAKSLLDIPSAHRIIISGTPLQNNLKELWALFNFCCPDLLGDKHWFKEHYESAILRGNDKKASERDKRIGSVVAKGLRERIQPYFLRRMKSEVFNEDNDQAATKLSKKNDIIVWLRLTSCQRQLYEAFLKSDLALSAFDGSVLAALTILKKICDHPLLLTKRAAEEVLEGMETVLSPEDAGVAEKLAKRLADVVDRDFYEVYDDNVSCKISFIMSLLDNLVPKGHSILIFSQTRKMLSLLEKSLLSNDYEFLRIDGTTKAMDRVKIVNDFQEGRGASIFLLTSQVGGLGLTLTRADRVIVVDPAWNPSTDNQSVDRAYRIGQKKDVIVYRLMTCGTVEEKIYRKQVYKGGLFKTATEHKEQIRYFSQQDLRELFSLPEEGFDTSVTQQQMHEEHDQQLAMDESLRSHIKFLETQGIAGVSHHNLLFSKTAPEPVYALEEEDTSFRRNREFGFRDRPTSSSSSDHDINGARYAFNPKDVKLNRSTTNSSSPGKPTVNELKYRINRLSQTLENKVLISRLPDRGERIHKQIDELNLQLSELRRKEHESEVIEIADEFQEILNV >KGN45960 pep chromosome:ASM407v2:6:2785440:2786450:1 gene:Csa_6G039270 transcript:KGN45960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEPRLLQLSNQKSFGKKSKSRPEDLKMSRKIRVICNDPDATDSSSSEDEGEIQTKPLKLKRIVREIHLPPFPFHPSKSMDVTTSTPSSSQDSNNGGKNQELKKKRVLAKTLSTRRSASQYRGVRQRKWGKWAAEIRDPFKGARIWLGTYNTAEEASQAYESKRLEFESALAAAPKSSNNIVITSSSSSSEENEEESDSVVSQTSPAAAILEMETSSSVLIKEDEEEMIDTNLINELQVPDLGFVDEGMEINLGLPELDPFFMDDIGQFLDDFSAMDDIQIYGFDDDVPSCLPDCDFDDFGNDDISCWVDEALNVPCS >KGN46627 pep chromosome:ASM407v2:6:7941468:7944457:-1 gene:Csa_6G115620 transcript:KGN46627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSRKPSICRVLLVFLVLAYHCDAFYLPGSYMNVYSSEDPIFAKVNSLTSIETELPFNYYSLPYCKPPGGVKKSAENLGELLMGDQIDNSPYRFRMNVNETVYLCTTEPLNEDQVKLLKHRTRDLYQVNMILDNLPAMRFTEQNGVKIQWTGFPVGYTPSNSEDDYIINHLKFTVLVHEYEGSGVEIIGTGEEGMGVITQTERKKSSGFEIVGFQVQPCSIKHDPEVMKKYQMLENITGVDCPKELDKSQIIREKEQVSFTYEVQFIKSDIRWPSRWDAYLRMEGSKVHWFSILNSLMVIFFLAGIVFVIFLRTVRRDLTRYEELDKESQAQMNEELSGWKLVVGDVFREPDCSKLLCVMVGDGVQILGMAVVTVVCTAFGFMSPASRGMLLTGMIILYLFLGIIAGYVGVRAWRTIKGTSEGWRSVSWSVACFFPGIVFVILTILNFILWSSKSTGAIPISLYFELLALWFCISVPLTLLGGFFGTRAEEIQFPVRTNQIPREIPARKYPSWLLILGAGTLPFGTLFIELFFILSSIWLGRFYYVFGFLLIVLSLLVIVCAEVSVVLTYMHLCVEDWRWWWKAFFASGSVALYVFLYSIHYLVFELQSLSGPISAILYLGYSLIMATAIMLSTGTIGFLMSFYFVHYLFSSVKID >KGN48975 pep chromosome:ASM407v2:6:26065344:26066210:-1 gene:Csa_6G507480 transcript:KGN48975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKYHARSISLPSRSHPSTLKVEEELAKVKTWVSSTTSSSSSSVCGGLLGLQDLYDSIDELLKMGSTQKVLSCPQHKQFVEELLDGSMKLLDVCSLAKEVTLETQQHVGALHSAVRRRKGDSAVKTATVAYNCYRKRMKKEAKKLITSMKKMNEKFNTTPMENPDHHLSSVIGALRQACSTNNLIFESVLVYLTPLTKSKARGWSLVSKWVHKGAIACESNSGLNEFENVDVALSSVVQEMEVEKSQIAQKRLESLEMAAQEIESGLDGVFRRLIKTRASMLNIISQ >KGN49242 pep chromosome:ASM407v2:6:27300668:27305204:1 gene:Csa_6G517990 transcript:KGN49242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPARKRAYDSLDVDLLPPRLHNTFNNERSQDEQSQESDRSSSSSQGDEDEFIAVKLSDIRKEVQCPICLGIIKKTRTVMECLHRFCRECIDKSMRLGNKECPACRTHCASRRSLRDDPNYDTLIAVLYPDIEKYEEEELAFQEEEKARNKQIQTSIAQTLQRQTESLGRKRSKPSRRLSSRGPKSFQNHIESLCFDENEDENDYDVSKNSSSADERMDTRPKRPRRCGPVRFSQSSSATGADGADGGGIEHEYEVNKEKVGASLGLVGSSEKLSWGKGGIRSHTRYGGTNGGAGKISRNNRIAKLSDYVRNSENVSEEELDIHVLLVSMDRTIPALQRPYICCRPSVMIGHLSQYVALETSLSVDVVEICVAKELQVKLDPSTSEATKNPCKESVQILNEQETLSTAKLKAHRLACGYLLLAYKKKG >KGN49074 pep chromosome:ASM407v2:6:26491231:26491527:1 gene:Csa_6G512890 transcript:KGN49074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLKSCSHLRLQRLRASQLYPNISSEKFCWKTLHYERSFLLTDPSPFRMGQIWAFLYLGRESGPGDEMKTRTSRNTTHRINLGTLKQPQVIEPIAERI >KGN47680 pep chromosome:ASM407v2:6:16932329:16932526:-1 gene:Csa_6G375720 transcript:KGN47680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSILFSLSANIATKLGSFSLLELGLLWIGFHEELDKLKDTLFAIQVVLLDAEHKQYKSYAVKE >KGN48655 pep chromosome:ASM407v2:6:24310745:24313962:1 gene:Csa_6G497060 transcript:KGN48655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPIPDVNQRIARISAHLHPPKYQMEESSVLRRANCRAKGGAPGFKVAILGAAGGIGQPLAMLMKMNPLVSVLHLYDVVNAPGVTADISHMDTGAVVRGFLGQQQLEAALTGMDLVVIPAGVPRKPGMTRDDLFKINAGIVKTLCEGIAKCCPTAIVNLISNPVNSTVPIAAEVFKKAGTYDPKRLLGVTMLDVVRANTFVAEVLGLDPRDVNVPVVGGHAGVTILPLLSQVKPPSSFTQEEINYLTDRIQNGGTEVVEAKAGAGSATLSMAYAAVKFADACLRGLRGDAGVVECAFVSSQVTELPFFATKVRLGRNGIDEVYSLGPLNEYERIGLEKAKKELAGSIEKGVSFIRG >KGN48057 pep chromosome:ASM407v2:6:20096086:20099372:1 gene:Csa_6G426920 transcript:KGN48057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQQGQYSDSGLGAYSVSQMHHVPSQMVEQSHPDPFEGRLEAFTPEREHSYVASKNEDQWRWERDESKMPNSMTSHMFNEGQGQGGDATRSYFQGQRPNPKLGLEKGSNNDPRSQSHGKNMESRFGDGPLPQNFDGLEQKFIDDIIKLTKEQNDAEDEENARHRERILAINAQYEEQLAALRVRHAGRRDELLRRESTARQHQYQKGIMDHYPNGGIGPGDPRGNSGVTNLAASGQAHQNYESEHFDSFRERARFLGNSARDPNLDPRGSYPGGRVYDTASRYY >KGN46435 pep chromosome:ASM407v2:6:6370294:6370960:1 gene:Csa_6G092570 transcript:KGN46435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGRGLPPHIVGEILCRVVISNLPNLRLLSKAWNHFILHNAHDFFFTNANDAFLLSTCDRTPNNKDLYPKMHCIRFDTTKHLGLDLESEWTKSPSLTFDGDWSFIYMNDNSCNGLVFLCKGAFYSRHDGIFNPMTNEFFQVPRSEFDGDNYHFGLGFSPITKQYKLFRVTDSFFIDVMVLI >KGN47496 pep chromosome:ASM407v2:6:15636451:15640982:1 gene:Csa_6G344250 transcript:KGN47496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRDSNVLFSGGGISFLNENHTGKFSYGYSSFKGKRASMEDFYETRISEVDGQMVAFFGVFDGHGGSRTAEYLKRNLFKNLSSHPNFIKDTKTAIIEVFKQTDADYINEEKGQQKDAGSTASTAVLFGDRLLVANVGDSRVVASRAGSAIPLSIDHKPDRSDERQRIEQAGGFILWAGTWRVGGILAVSRAFGDKLLKPYVVADPEIKEEELEGVDFIIIASDGLWNVISNEEAVALVQHNQDAEMASRQLIQEAFSRGSTDNITCVIVRFGLS >KGN46151 pep chromosome:ASM407v2:6:4506317:4508282:-1 gene:Csa_6G057170 transcript:KGN46151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATSGAVLTGLGSPFLRGSSRTRTLLAGARGSVNVVSSSKLVIVAAAQPKKSWLPGVRGGGNLVDPEWLDGSLPGDYGFDPLGLGKDPAFLKWYREAELIHGRWAMAAVVGIFVGQAWSGIPWFEAGADPGAIAPFSFGSLLGTQLLLMGWVESKRWVDFFNPESQSVEWATPWSRTAENFANATGEQGYPGGKFFDPLGFAGTLKDGVYIADTEKLERLKLAEIKHARIAMLAMLIFYFEAGQGKTPLGALGL >KGN49015 pep chromosome:ASM407v2:6:26237742:26238235:1 gene:Csa_6G510330 transcript:KGN49015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERHNTKRPRESSSLSSPPDGIYDQSSLPEIANVKRQHSHDNAQESSPGTSFDFDLLSFGVFDFPWLKDGLIYSKSDDWKFEDVFFTSVYNGASTADIATPLTIGTAFTEFLPDPWEKDYEAQAPPPPPPSLDGGEQGGEAMDCIWRSVLNQPLQQGSSAL >KGN48185 pep chromosome:ASM407v2:6:20952892:20953269:-1 gene:Csa_6G446450 transcript:KGN48185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLRLVIALLQKSLSPLLSKESRHESFYEEFEAAATVPKDVEEGHFVVFAVDGDERKRFVINLEFLSNPEFLRLLELAKEEYGFQQKGALTVPCRPEELQKIVEERRKQKNGEWIASSVHIITSF >KGN46834 pep chromosome:ASM407v2:6:9969986:9974739:1 gene:Csa_6G140820 transcript:KGN46834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSIEETLPTQPQKTSDDHLQTSMDAQDDTENLPVTTALQPESLTDQSDLQSNGASVAGEKRKRTDPDSDAAKPNPDPSTNPLWKTSLCSYFRRHSGSCSHGIECRYAHGEEELRQRPDKSWDPTSERAKKVMKVGEEVKEEEVMMTEVVVDDDDDEVGNDGRDNELTKCLVHLPTKWNSDNLRNYLNELSVLFKSAKKKKGMTVGFVSFESTEQLRSSVEELQGKIIGKKNLKVADVIPRSFEKKIKSSIDCDNSSVSSAAFSLSSNDGEDAGNINDGSSTPNDSVLKGKSAREVVAPLAHMSYSDQLDHKKNSLLHVLKKLTRNARKACPHGVSLPEWILKSRDIGGIACSFEGIIESPIINGYRNKCEFSVGYSLEGKPTVGFMLGNFREGVTAVEEPENCPNVSRISCKYASTFQEFLQSSSLPIWNRFKNIGFWRQLTVREGRAPGKLIDSDNSDASISEVMLIVQVCSVGVESELMTSEFKRLAQAFAEGSVANSPPLPLTALVIQHHQGISNAAPADTPLQPLTIPKVHSTELEATNDAVEPRIHDYISNLKFCISPTAFFQVNTLAAEKLYSLAGDWAELGPDTLLFDICCGTGTIGLTLAHRVGMVVGIEMNASAVSDAQRNAEINGINNCKFICAKAEDVIGSLLKEYLKGTKKEEENVCHSNGNDGTSSASNQNEEISGAPEKNEETPGASEKSGENQGAKEKSDEVSETGERNALMSDKDQDPVVNHVPENDANGLETSEVASEKNGSKHNCCTSESGTKQFKNVVAIVDPPRGGLHPIVTKVLRTHTHLKRLVYISCNPESLMANAIELCTPSSEKIEKGNKNNRGWRNMGCAGLARHRVKSMPISEPFRPVKAMAVDLFPHTPHCEMVMLLER >KGN45823 pep chromosome:ASM407v2:6:1501376:1502808:-1 gene:Csa_6G013950 transcript:KGN45823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRERELQEVDEIGKKIKREIESSNLFYDPNLMGRRNNNNNNNLILSSSSSSQSSGISNLNTITPCAACKLLRRRCAQECPFSPYFSPHEPHKFASVHKVFGASNVAKMLMEVPENQRADAANSLVYEANLRLRDPVYGCMGAISALQHQLHTLQSDLNAITTQIFKYKFTHHPINIPSSPNFMAPSPAATPPVAPPTPPHSQPPPLSLPPPLPPSSSSSSVFSPPIITTATSTTVVELINPIVPTSTQHISYFG >KGN47344 pep chromosome:ASM407v2:6:14408561:14409051:1 gene:Csa_6G302120 transcript:KGN47344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVCISNCINDARLPVRPTYINLYKWPESDAEFIRSVSSKLNRTSRVVDSISCRQMYLRSYTFSREEEHAPETKTNKCFAKVGMRQRISRRKKKKTAASESSDGDEKIRKSSRLKKAKEISCAALTSVFRRLLSCTAKVDVADTMREVH >KGN47977 pep chromosome:ASM407v2:6:19487454:19492930:-1 gene:Csa_6G421710 transcript:KGN47977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQISIPISPLRPPQVFPFHQPLLHTPKPPGVALQSHLNPVNSFRDSGLLSTIGVEEEYRRARSQVNRKGVDLEGYSIEGISVGGQETCVIIPEFKCAFDIGRCPSRAIQQNFVFITHAHLDHIGGLPMYVASRGLYSLSPPTVFLPASIKEDVEKLLEIHRNMGQVELDVDLVALDVGETYEMRNNLVCRAFETHHVIPSQGYVIYSVRKKLKKQYMHLKGKQIEKLKKSGVEITDTILSPEVAFTGDTTPDFMLDPRNADALRAKILITEATFLDEAVSIEHARQHGHTHIFEIIENAQWIRNKAILLTHFSSRYHIEDIRKAVSKLQSNLTAKVVPLTEGFKSEY >KGN46635 pep chromosome:ASM407v2:6:8005254:8017752:1 gene:Csa_6G116680 transcript:KGN46635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKQGMSTTKPTDKWMAFDSESNTTNTPGNESKEDKKSLQSSSRVSKEANIAERAAEWGLVVETNVEEGSFKAIVGRASGEGGGSKRSSEKISGSGRTSSFSNETSGVFPRVSQELKDALASLEQTFVVSDATKPDCPIVYASSGFFGMTGYASEEVIGRNCRFLQGSETDQKEVDKIRYAVKNGKSYCGRLLNYKKNGTPFWNLLTVTPIKDDNGNTIKFIGMQVEVSKYTEGINDKALRPNGLPKSLIRYDARQKEKAMVSITEVVQTVKNPRSHGRAMSHDTTRKKEDFEKFNLDYVLPKPVEAATNTPGRHTPLHDLKDDGLGKKPRLSSRVSLMGFKGKSLSSARKLEVTDFEPEILMTDEIERSDSWDRAEREKDIRQGIDLATTLERIEKNFVITDPRLPDNPIIFASDSFLELTEYTREEILGRNCRFLQGPETDQETVSKIRDAIREQREITVQLINYTKTGKKFWNLFHLQPMRDETGELQYFIGVQLDGSGHVEPLQNRLSETAELQSAKLVKATAENVDEAVRELPDANLRPEDLWAIHSQPVFPRPHKKHSSSWTAIQKIIGRGEKIGLKHFKPIKPLGCGDTGSVHLVELLGTSELYALKAMEKSALLNRNKVHRACIERQIIALLDHPFLPTLYTSFETPTHVCLITDFCSGGELFALLDKQPMKMFKEDSARFYAAEVVIGLEYLHCLGIIYRDLKPENILLQKDGHVTLADFDLSLVTSCKPQIVKYPLLQGRRRSRSQPPPVFVAEPITQSNSFVGTEEYIAPEIITGEGHSSSIDWWALGILLYEMLYGRTPFRGKNRQKTFGNILHKDLTFPSSIQVSLAARQLINALLQRDPARRLGSSTGANEIKQHPFFQSINWPLIRCMVPPPLESPLQLTGKDGTTKAVNWEDDGVLSSMDMDYF >KGN46388 pep chromosome:ASM407v2:6:6064791:6066749:-1 gene:Csa_6G088160 transcript:KGN46388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSILQYSAFSILSIAVVVLAIIKYLLKFNSNKNLPPSPPSIPIIGHLHLLKLPVHRTLQTLSQKYGPVLSLRFGSRSVVVVTSLEAAEECFIKNDIIFANRPNFAVSHCLSYNETTLGAAPYGDHWRKLRRVSTLEILCSSRLNANYEIRRDEVRRAMKKIYEVSRDGFGKVEFKPLVKELTMNVTMRMVAGKRYFGEEAAKSSEARTFQAIVHELFEFTLSSYPADFLPILKYIDIQGFMKRAKKLITRVDAFWQGLIDEHRRGEISNEEMKNCMVAHFLKLQETQPDYYTDDIIKGLILTMILGGSDTTAVTIEWAMSNLLNHPSVLKKARAEIESQLGGDKLIEETDLSKLNYLRYIILETLRLYPAGPLLLPHKSSADCRISGYDIPRDTMLLVNAWAIHRDPVLWEDPTSFKPERFENNNGEGDGNNKLMIAFGLGRRACPGTGMANRVMGLMLGTLIQCFDWKSIDGKEVDMNEGKGVSMPKAQPLEALCKAREIATKLL >KGN47233 pep chromosome:ASM407v2:6:13092666:13093105:-1 gene:Csa_6G223480 transcript:KGN47233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQEREVGWEQGVVNWGEERDSHVLVKRDACGLERASTAGCAVDDGASSKLDGEAWPANDKHGGTHMEQMKWDELGFGQLSVKCSTNDCSRLGGSSRLRLSKQRTKKTNGEDYRRRVATCD >KGN48858 pep chromosome:ASM407v2:6:25463996:25466050:1 gene:Csa_6G504400 transcript:KGN48858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASELIKAVEIIRQKLKKKPIEYQVLLNDLPGNDFNTIFKSLPNFLQNLRREIGGDVGPCLFTGVPASFYGRLFPKKSVHFVHSSYSLHWLSKVPEGLEENKRNIYMTGNSPRSVVKAYYNQFQKDFSLFLKCRAQELVDGGRMILTLLGRRSQNPASKECSYIWELLGLALNDLVDQGIIEEEKLESFHIPKYMPSPIEIRIEVAKEASFVIDSIKVSQVDWNVSDNNEMNKAKSVDVSLKGSGYNVAKYMRAVAEPILISHFGEEVMDELFIRYREIIADRMAKETTQFFNVTVSLTKPR >KGN48164 pep chromosome:ASM407v2:6:20849447:20851813:-1 gene:Csa_6G445770 transcript:KGN48164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAQLEQFAHQNGSMESFCTSHVVDPLNWGVAAEALKGSHLDEVKRMVDEYRRPVVKLGGETLTISQVAAIATRDNDVVVKLAESARAGVKASSDWVMESMNKGTDSYGVTTGFGATSHRRTKQGGALQKELIRFLNAGIFGNGAESNHTLPHSATRAAMLVRINTLLQGYSGIRFEILEAITNLLNENVTPCLPLRGTITASGDLVPLSYIAGLLTGRPNSKAIGPNGETLDAEAAFKQAGIPSSFFELQPKEGLALVNGTAVGSGLASIVLFEANILAVLSEILSAIFAEVMQGKPEFTDHLTHKLKHHPGQIEAAAIMEHILDGSSYMKAAKKLHEIDPLQKPKQDRYALRTSPQWLGPLIEVIRFSTKSIEREINSVNDNPLIDVSRNKALHGGNFQGTPIGVSMDNTRLAIASIGKLMFAQFSELFNDFYNNGLPSNLSASRNPSLDYGFKGAEIAMASYCSELQYLANPVTSHVQSAEQHNQDVNSLGLISSRKTAEAIDILKLMSSTFLVALCQAIDLRHLEENLKSTVKSVVSQVAKKVLTTSSIGTLHPSRFCEKDLLKVVDREYTFAYIDDPCSATYPLMQKLRQVLVDHALTNGENEKNMNTSIFQKITAFEEELKVALPKEVENTRLAYESGNSKVANQIKDCRSYPLYKFVREDLGAKLLTGERVISPGEECEKVFTALCQGKMIDSILECLKEWNGAPIPIC >KGN46783 pep chromosome:ASM407v2:6:9380937:9382641:1 gene:Csa_6G134900 transcript:KGN46783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFMAMASSFALFFLLHLHFIVSLPAIQPVLTVASASRAPPSSAASLLSHNFYHRTCPDAEGIIHRKVLAWINKDFTLAPALIRLHFHDCAVRGCDGSILLNYRRSERDALASKTLRGFSVIDDIKAELERKCPKTVSCSDILTAAARDATILAGGPFWEVPFGRKDGKISIAAEAEKVPQGHENVTALINYFQYLGLDTLDLVALSGAHTIGRAACHTFQDRLYNFNRTGRPDPVLKPRFLNMLRRQCKKGMDLVFLDATTPKMFDTAYFTNLEKKLGLLVTDQALVSDERTSSFVDLMANQPFLFDSQFSASMVKLGNVGVLTRKNEGEIRVNCNFVNHRRKK >KGN47440 pep chromosome:ASM407v2:6:15117620:15122543:1 gene:Csa_6G324840 transcript:KGN47440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLVALFLYATKIAGVLVTLTVAANAFSFHRYRRKNLRPFLSPIDDSSDVLADFTLTEGEREFFFGLATAPAHVEDRLNDAWLQFAEEQPCDTSESQQGMQPADALMASAAGDGGSQQAAYSEKKSDKGKPLKIAMEAMIRGLKKYVGEEEGVVTSDECQHNVAAWHNVPHPEERLRFWSDPDTELQLAKNTGSSVFRMGIDWSRIMTQEPVNGLKASVNYAALERYKWIINRVRSYGMKVMLTLFHHSLPPWAGEYGGWKLEKTADYFLEFTRLVVDNTVDMVDYWVTFNEPHVFCMLTYCAGAWPGGQPDMLEVATSALPTGVFQQAMHWITIAHLQAYDYIHEKSNSSSSIVGVAHHVSFMRPYGLFDVAAVTLANTLTLFPYIDSISEKLDFIGINYYGQEVVSGTGLKLVESDEYSESGRGVYPDGLYRMLLQYHERYKHLSLPFIITENGVSDETDLIRRPYLIEHLLAVYAAMMKGVPVLGYLFWTISDNWEWADGYGPKFGLVAVDRANDLARIPRQSYHLFSKIVNSGKITREDRIQAWSDLHLAAKQKMTRPFYRAVNKHGLMYAGGLDEPIQRPYAKRDWRFGYYEMEGLQDPLSRLYRSFLMPFSVLRKKKKKTAKDKTRLLLRPLEL >KGN45666 pep chromosome:ASM407v2:6:417402:420277:-1 gene:Csa_6G004570 transcript:KGN45666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEEDTKATRRTTRSSSAAVLRDSGEIKKKCGPHIPTFNDLVFGEDNISKEDLLSNFPGRHTQILELLNLLGPLNSPMLPLFVYGGTSTGKTSVILQIFRHLNRPFVYSSCRTCYSLRTLFESILNQLLLHEKDADNGYLSAKRCEKTSDFVNSLREALVKVVKTLQGNPGKIGTKKLTGQGHGHMIYLIFDNLELVRDWDKSSSILPFLFNLHEVLNMNEVGFLFISNTSPDTYYSNMGYLDPIPVYFSEYTEDDLRKIFIRNQTNQEMYSSFLSVVLGPFYRITRHVNELSIAFSSLYKTYCEASDDLNDVPNESSKRARFSRFQPHISPALNQIFKISSPPSEVNNLKEAKRKAGSKKFGGCDSSEHLDFHMSTSAKYLLLSAFLASRNPATLDASLFDSTGGSSSRKRKKRPSEKSIEQKEICEQELLMKGPGTFPLERLLAIFQCITSVAEISLEDDQGNVAMDSQNEDSELMSDVLLQLSSLCNANFVVKGGSCPLEGSTRYRSTVSEDMALKVARSIKFPLSKYMYRR >KGN45689 pep chromosome:ASM407v2:6:548417:549443:1 gene:Csa_6G006750 transcript:KGN45689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSFRVAVDDMKVLIDSLITLGLVDVMADAIFSPDMFCILADSDVSLQSAFGLQLWPPFFDSFYSDNLRQIFWFRLTHLFPLALELLESGCTSLTFSIERFRHNYAQFKFEGPNGLLREVNFRLTPIVRPLRIGQIDLSAFVTMDSQEFSYIISQYNMFDYVEVIITSRRVSFSSSTIQETTISAEDGRCIVGGVRAPVQVQFIITMSQPNAFFHFASQSKRIWLFKEVNSTKGIITAPLGLYGRLVSFFCDDSTLPM >KGN47525 pep chromosome:ASM407v2:6:15901809:15904791:1 gene:Csa_6G355440 transcript:KGN47525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYNLQAELEDLHQDFEDLSMSKRLMRSMSQKLRRKNNKGVAEEEDISRGISLRCLTLYGRGGGCKVGADTGEEIGDPGSRRRSSASEEGKGYKSLFGVEENGVDCFSYGVKERFRKKQSPKHSGLRDSARNSDVHIFLPDDILEMCLMRLPLTSLMNARLVCKKWRYLTSTRRFLQMRRECLYQTPWIFLFGAVKEGYCSGEIHALDVSLKQWHKIDADILKGRFMFSIASIQDDIYVIGGCSSLTNFGKVDKSSFRTHKGVLVFSPLTKSWRKIASMKYARSMPILGTSEVNSDFSVVQSHHNRQDRRYMRSRAGGSLDVYEDPHRLSLRRQVRNPVEDNDISMLSNRKSYKFIRQKSDQSRAKGHRRFVIIAIGGLGSWDEPIDSGEIYDSSSNKWTEIQRLPVDFGIICSGVVCNGIFYVYSETDRLAGYDIERGFWIGIQTSPFPPRVHEYYPKLVSSNGRLFMLSVSWCEGDGQIGQRNKAVRKLWELDLVYLAWTEVSVHPDAPMDWNAAFVADRNLIFGIEMFKIFGQVLDFLTVCDISNAVSDWNHISRTRVTHEMDASSCLTKSMAVLHL >KGN46229 pep chromosome:ASM407v2:6:5105022:5109259:1 gene:Csa_6G076770 transcript:KGN46229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLMDGQENILEAIYEDDKLENDDVEMADVEEGEFIDDNINIEKSSFTDVQAPSTDQRSKNRKHRTKKKKNKRKSSEPGSNGTDINRFVLNACRLLKEKKSYMVYTAVGCLGVSALSELIKEVNAVQACGGQMTADGRRFRTGGGILWSIIKTREPNAYKEIMKRAKEFEKQFKQPNVRREATETEKESSQKVPHLFSEGSSRNLPDHVQSFSSELNQSKESNSEEKLSSIHDRLRVPVSYDDDLLQENPKEEDTTDCR >KGN47258 pep chromosome:ASM407v2:6:13474663:13478940:1 gene:Csa_6G237610 transcript:KGN47258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMDHDYMTARLSAIWNIICLKRSFKEDRIPCQIEEGLFLGSVGAAHNKDQLKKLSITHILTVACSMPPADPNDFVYKVVRVLDTRDVDIKQHFDDCFTFIDEGRNSGGVLVHCFAGISRSVTITVAYLMKKRGMNLTQALEHVKSRRPQAAPNVGFMVQLKDFETALQASRVDEMKLSNV >KGN45950 pep chromosome:ASM407v2:6:2612480:2613886:-1 gene:Csa_6G032480 transcript:KGN45950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSELKQFCMVMKINVDCNACCRKLRRIVKKMKAIETYMIERERHRLIVFGRFKPSDIAIKIRKKMNRRVEILDVEEMEPLQATDQNSPPPENIQGLGSGPGPGPNVDQHHMPMFPSLEQDHGRPSMFPSLAANQCRSHPSCRSDFAITCFPKPDMEERFWQYGYDYELVGDREERPTISIHNYYHY >KGN48561 pep chromosome:ASM407v2:6:23691256:23693322:-1 gene:Csa_6G492220 transcript:KGN48561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECCSLLYLFLSIISLLFAFNLRTRPTNLPPSPPSLPIIGHLHYIKIPLHRTLHKLSAKYGPLISLRFGSRLVVVVSSLPAVEECFTKNDIVLANRPRLLFGKHIGYNHTTMVGSPYGDHWRNLRRIGAIEIFSASRLNKFAGTRKDEVERLLKKLSRNSMHGYSKVEMQSSISELTFNISMRMAAGKRYFGDEVRDVEEARQSRELIKQIVSMGGVSNPGDFIPMMNWVPNGYKRKVSRLGKRMDRFLQGLIDEHRSNKEEERNTMIDHLLSLQETEPEYYGDEIIKGIVLVLLLAGTDTSAVTIEWALAHLLNNPEVLKKAREELDNQIGEKQLAEELDVSKLPYLQGIIYETLRLNPAAPMLVPHLTSNNCTISEYEIPRDTIVLVNAWAIHRDPSQWEEPTLFKPERHQNLESSDHHQIPKMIPFGVGRRACPGSSMAQRVVGLTLATLIQCYEWERIGEEKVDMTEGRGVTMPKVVPLEAMCKARPIVHNIFN >KGN48580 pep chromosome:ASM407v2:6:23813593:23813859:1 gene:Csa_6G493880 transcript:KGN48580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSPLLTLQREVWNEMIAAPIVDLCALAANKRAFHTCCEMHCVMLLVECGDVVTIP >KGN49270 pep chromosome:ASM407v2:6:27446332:27449285:1 gene:Csa_6G518250 transcript:KGN49270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKYKSKPTGRRQFSTPEDMLAGTSTRPRTFKQVEAEHKEEEDSEEGSEQESGDESDDQGDKPRRKGTQGIIEIENPNLVKPKTLKARDIDIGKTTELSRREREEIEKQKAHERFMRLQEQGKTEQARKDLERLSLIRQQREEAAKKREEEKAAREQKKAEARK >KGN48981 pep chromosome:ASM407v2:6:26090192:26091124:-1 gene:Csa_6G508520 transcript:KGN48981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLLLGSTSAEQHGRYDTVESIITESLFNQMLKYSTDSQCPSNGFYTYNAFITAAQSFPGFGTTGNIVTRKRELAAFFGQTSHETTGRGWASAPDGPYAWGYCFIRERNQDVNYNYGLVGNALGLDLLSNPDLVATDAIISFQAAIWFWMTPQGNKPSCHDVITGNWQPSSIDRAAGRVPGYGVITNIINGGLECGHGPDSRVEDRIGFYKRYCDMLGIGYGRNLDCNNQRSF >KGN47930 pep chromosome:ASM407v2:6:19190547:19190840:-1 gene:Csa_6G416830 transcript:KGN47930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKHPQYSPQSFNWRLNHVEQPLKSKIHRLLDVQSKMVGILASVAEIQRAIELLTQDKGKSTAAPVQAPNSNPLPLNPFGQIFFWVWKDNETLDLNL >KGN47205 pep chromosome:ASM407v2:6:12766139:12766387:1 gene:Csa_6G199810 transcript:KGN47205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGHRKAGFGVPDGSRSETTTKTTGRFCDLIVRFVAMILTLAAAVVIGVDKQTKMVPLQLATSLPPVHVPVTARWHYLSALV >KGN46508 pep chromosome:ASM407v2:6:6892272:6892948:-1 gene:Csa_6G104640 transcript:KGN46508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTNSHHSTTSSSAVSCLTATSRKRQRSSTSSATESESDQESSTPKFRGVRLRAWGKWVSEIREPRKKSRIWLGTYPTAEMAARAHDVAALAIKGHRAFLNFPQLKHQLPRPASLSAKDIQAAAAQAAALKHEPETPTSCLEEGLEEEEEESTWFDLPDLIVSNGFLLGLDENYSSSCSSSSSSSSSWQFLVDDHEDNHFQWHSDFSHTIFPV >KGN47686 pep chromosome:ASM407v2:6:16987657:16991196:-1 gene:Csa_6G376270 transcript:KGN47686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFLNNEVAREPFQVDSARTVLVGMKLDSHSRELLTWALVKVAQPEIVNQDGKSSLLSLVKAFDTVLAVYEGFCNLKQVDLKLKICRGESARKILVREAKSYRATNLIVGTARKHHKIRSSTSVAKYCAKKLPKDFWVLAVHNGKVIFEREGCPVATGDCHGNEEQRHSNLLAAVYGSAGSSPKVQSGESFGSLLARDRDNLGIGKNSDQEFEKALSVGTDKQNCSICGSESSFVEQSAEISSSDGEKHDESLALVPVQIVEVASSSITKLIKQLPEVKPGWPLLRHVDQSCESGRQASSDRSLAKQISVVQWAMKLPSRSPLYPAALDYKSNTSDQSLGLDGENGAMVLVGSEPVPSPLSSDSDTETLPKELEGFHEKYSSTCRLFNYHELLTATSNFLPENLIGKGGSSQVFRGCLPDGKEVAVKILKTSEDVLKEFVMEVEIITSLSHKNIISLLGFCFENSKFLLVYDFLSRGCLEEILHGNRKNPNTFGWSERYKVAVGVAEALDYLHLDAQHVIHRDVKSSNILLSDDFEPQEPSGFYLAPEYFMYGKVNDKIDVYAYGVVLLELISGRKPISTKYPKGQESLVMWARPILIDGKVSRLLDPTLGGNYNQDEMERVVLAASLCIRRAPRARPPMSLVLKLLQGDADVTKWARQQINALGDSNTLDDEVCPRSDIQSHLNLALLDVDDDSLSLSSIEHSISLEDYLQGRWSRSSSFD >KGN47703 pep chromosome:ASM407v2:6:17233660:17238530:1 gene:Csa_6G382910 transcript:KGN47703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIFEYNGSAVVAMVGKNCFAIASDRRLGVQLQTIATDFQKIYRIHDKLFLGLSGLGTDAQTLYQRLVFRHKLYQLREERDMKPETFASLVSAILYEKRFGPYFCQPVIAGLSDEDKPFICTMDSIGAKELAKDFVVSGTASESLYGACEAMFKPDMEPEELFETISQALLSSVDRDCLSGWGGHVYVVTPTEVKERILKGRMD >KGN47954 pep chromosome:ASM407v2:6:19345343:19345692:-1 gene:Csa_6G419510 transcript:KGN47954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRFNKILAPPLMAVLFMAMLVTAATDPHATALLWTVEEATERISSSSNMVMRKFGYSKRQMEHLRRLSSSSTERYIPGGPDSQHHSQPPRLP >KGN47413 pep chromosome:ASM407v2:6:14948389:14951287:-1 gene:Csa_6G314130 transcript:KGN47413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDRLALQFARPSPSLGLSASNRIPIPSKCQFQLPFPFSFSIAHSPLCSGSGLLQRISPINVLSNSLVNEAEPKELRDESDFEAIFSDSDYISVCGFGSLLSERSARSTFPDLINFRVARLNGFRRIFGNVAPVFFERGIAKPETKEISSLCAEPCEGENIIVTVFEIKKSEVPAFIQREIEFRFLAVFPETLHGKAYKKPAVLCSRSTDEEFFQVRCKGNKDIFFHHYGRHNIDKIWRDDIFPCRVYLRHCILAAKNLGDKAYNNFLDHTFLGDRSTTIREYLTGYGLGIMEEEPPESLKFRYGG >KGN49422 pep chromosome:ASM407v2:6:28223294:28228376:1 gene:Csa_6G524600 transcript:KGN49422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRSSGHSKTRVGRYELGRTLGEGSFAKVKFARNCETGENVAIKILDKERILKHKMIGQIKREISTMKLIRHPNVIRMYEVMASKTKIYIVLEFVTGGELFDKISCKGRLKEDEARKYFQQLINAVDYCHSRGVCHRDLKPENLLLDASGVLKVSDFGLSALPQQVRGDGLLHTTCGTPNYVAPEVIDNKGYFGAKADLWSCGVILFVLMAGYLPFEESNLMQLYKKIFKADFTCPPWFSSSAKKLIKRILDPNPLTRITIAEVLENDWFKKGYKAPSFKNDEISLDNIDDIFNESEATDSLVVERREDRSASLASPVTMNAFELISKSRGLNLATLFEKQMGIIKRETRFTSNCPADEIISKIKNTAVPLGFDVKINNFKMKLQGEKTGRKGHLSVATEIFQVAPSLHMVELRKAGGDTLEFQMFYNNLSTGLKDIVWKYGDEVEQESKGTN >KGN47782 pep chromosome:ASM407v2:6:18008889:18025311:1 gene:Csa_6G401520 transcript:KGN47782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHNLGWFIGLNYQVRSVKKPPDAKPRLAKVKPVAMLDTVQEIAIYIHRFHNLDLFQQGWYQIKLTMRWEDSEYTSVGTPARVVQYEAPDLGSGNSYGVWKIDDTDNSFSTQPFKIKYARQDILLSIMISFNFPLVKYEAPSTSAVILKFELMYAPILEAGPELQASLDASPAAVHEFRIPSKALLGLHSYCPVHFDAFHAVLVDVSIHICLLRSYTPGKKSSEPHKENLAARHFDPQTQVGASRDEKDVTLIKALLTARDILLEEFQNLSKAIDQTVDFTDFISAMDDTKYVDVLIPSKRDNVKGEAAGQGNPQNSLKRTNGGDQFHQRADSHMSHRFHSLGDQLLYLWSTFLKFHRANKTKILEYLRDGWAKDRRAEWSIWMVYSKVEMPHHYINSGSEEPSNLAIRRSTVHKRVSSLWKLADDPAQTAAMRAELHRRSILQMRINNRCIQDLHIFRDPSRIPIVIIERVMNAPRRSISENSYLRRFDMIDAIGKESGASSEAIDKLPGSVTERSGRILKIVVFVHGFQGHHLDLRLVRNQWLLIDPKIEFLMSEVNEEKTSGDFREMGLRLAQEVISFVKKKMDKASRYGSLQDIKISFVGHSIGNVIIRTALSESIMEPYHRHLYTYVSISGPHLGYLYSSNSLFNSGLWLLKKLKGTQCIHQLTFTDDPDLQNTFFYRLCKQKTLNNFKHIILFSSPQDGYVPYHSARIELCQAASIDNSRKGKLFLDMLNDCLDQIRAPSSEQRVFMRCDVNFDTSAYGKNLNTIIGRAAHIEFLESDFFARFIMWSFPELFR >KGN48134 pep chromosome:ASM407v2:6:20659824:20662080:1 gene:Csa_6G444990 transcript:KGN48134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANGENLEESSPLLGKVVANADHKDSLPTSTAPPTPDSLPPPPPPPLPKHMDEDNRDGRSDYAWTADGLPVHGSVLGEPIGRAQWETDLCACIGRHDEFCSSDLEVCLLGSVAPCILYGTNAERVVSSTPGTFANHCMSYSGLYLIGTSFFGWNCLAPWFTYPTRTAIRRMFNLEGNCEALHRSCGCCGLCVEDEVQREHCESVCDFATHVFCHTCALCQEGRELRRRMPHPGFNARPVLVMIPPGEQSMGRGV >KGN45878 pep chromosome:ASM407v2:6:1925949:1939484:-1 gene:Csa_6G016960 transcript:KGN45878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRGEALASMTYVGHVTVTTITKGQLHGYRVSYRDGVMEHEPKPCAAVKGTQITVENLFYNMTARRKTLQNASDDYTKIVDLLSRFAIHHINISFSCRKHGAARADVHSVGPTSRLDAIRTVYGASVARNLMKIEVSENDEACSGFKMDGLISNSNYVAKKITMVLFINGRMVECSALKRAIEIVYAATLPKASKPYIYMSIILPPEHVDVNVHPTKKEVSLLNQEVIIERIQSAVESKLRSSNDTKAFQEQDVESSEAYQMLLSNDDSQNFSKFGSKSQKVPVHKMVRADSTDPAGRLHAYVQMKRPGLPESTLTAVRSFVRQRRNPKESANLTSIQDLVADIDKNCHAGLLNTVRHCVYIGMADDVFALLQHGTHLYLANVVNLSKELMYQQVLRRFAHFNAIQLSNPAPLFELLILALKEENANSECENDDFNEKVAETSTKLLKLKAEMLEEFFCIHIDRNGNLARLPVVLDQYTPDMDRVPEFMLSLANDIDWEDEKTCLQSISAAIGNFYAMNPPLLPNPSGDGLQFYKRIKLSGNSDGENIETIWAQREWSIQHVLFPSMKLFFKPPHSLGENGTFIRVASLEKLYKIFERC >KGN45846 pep chromosome:ASM407v2:6:1669970:1673507:-1 gene:Csa_6G014660 transcript:KGN45846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFSLFICQSRAQNPNKYQTSPLTARSGTSKTPSREKSHHFEMAVAFPDVKSASGLKKLDEYLLSRSYISGYQPSKDDVTVYEALSKPVSSEYVNVSRWSNHIEALLRVSAVFGEGSGVKLDAVATPPAADAKAADDDDDDDDMDLFGEETEEEKKAAEERAAAVKASAKKKESGKSSVLMDIKPWDDETDMKKLEEAVRSVQMEGLLWGASKLVPVGYGIKKLQIMLTIVDDLVSVDNLIEEYLTVEPINEHVQSCDIVAFNKICKCSFNFVAPAHKLDCANFELFRQTQELVASKMLNSGRCFIEASFIRFLSSPALPRQPNPPLAGHHHHLTTIFESSLCSLLLRCLHYRSPVRLSIRFLYFSFITATLLPGPAELHLERFATLNHGVEFESLNVDSFGAQMPSSLGFIAADF >KGN48999 pep chromosome:ASM407v2:6:26154971:26159962:-1 gene:Csa_6G509680 transcript:KGN48999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCRFFCLLLLLILRFWSFLVSSNSELSVLNLYGGNSEGVTRIGGRSLLSLPLKGKSSTALIAALDGAIHLVDSNSMKIIWSFSSGPPIYSSYQANINHEPNQENASGVGSSFFFDCGDDWELYIHTEHGKMKLPSTIDEVVRNTPYIFEDGAVMTGSRKTAVFEVDLVTGELIRNHMSKFLSSGLSNEEQVSYKSKHNMDIKDLMQSMNSVEPRLYITRTDYSLKSSFSNSEEASWSLNVAEIGATLLCPDVENPIEGIPWTLQNNNSFGIDYGVPLSCQSKALVFRDRSHFLSGPSGYKILSSEAHDSDNMSGSFLPSQLKIGKHINAKSGKFMFHGLVNNTSYAVDPLPSMKINESNIIQKQKMGILPEAFGLFFVFLLTMLVGLMRYGRTLTEKVKQFLLKEKLSLGTSNSRDNSSKKNKPRKLKKSSGKREVSISSEIEDMLLQRENNLNSGFHGNNLISGRHIGKLWITNKKIATGSNGTVILEGIYEGRPVAVKRLVKTHHDVASKEVQNLIVSDRHPNIVRWYGMESDQDFVYLSLERCTCNLYDLIQIYSDLPKNFVLGLDRDSGHMDGYNIHLESIKVALPNLKLWNENGRPSSILLKLMRDIVVGLKHLHELGIIHRDLKPQNVLILKQKSICSKLSDMGISKRLPANVSSLGHHATGCGSSGWQAPEQLLHERQTRAVDLFSLGCVLFFCITGGRHPFGDSLERDVNIVNNKMNLLLVDNIPEVVDLICRLLNPNPGLRPKASKVLQHPLFWSPEMRLSFLRDTSDRIELEDRESNLLKALESTAQIALGIKWNEKLEPIFIANIGRYRRYKYDSVRDLLRVMRNKLNHYRELPKEIQELVGSIPEGFDDYFTTRFPKLLIEVYKVTSCFCRHEECFKKYFKSHVY >KGN49326 pep chromosome:ASM407v2:6:27717848:27719595:-1 gene:Csa_6G520250 transcript:KGN49326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METIKPKPSKKSKTRFAKTFQKVISLRNATRIASSNGICVLVSHNKFKEDSSIHGGKSQIFERTEEDVKARNRAVMEALVAKLFASVTSIKAAYAELQMAQSPYNSDAIQAADQAVVDELKVISELKRSFLKKELDLSPQVTLMLSEIQEQQSLMKTYEITIKKLQAESEQKDSGIVALKKKLGESISFNKSLEKKLNASGSLSMFDNLQFPLLNPTHFAQFLHYTLRSIRNFVKLMIREMESASWDLNAAVQCIVDSDTKFPEPTHRSFAFESFVCKTMFEGFTADANFILHNDSLTHDKQLNHQMFEKFKKLKPVNPKIFISQNPNSIFAKFTRSKYLQLVHAKMECSLFGNLNQRKILNSGGVPDTTFFAAFAEMSKRVWLLRCLAFSLHNDVTIFQVRKNSRFSEVYMQCVTEETLFSPADMNDSAVGSGSEPRVRFTVVPGFKIGETVVQSRVYLSPPSR >KGN48032 pep chromosome:ASM407v2:6:19913077:19919196:1 gene:Csa_6G425690 transcript:KGN48032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRGKGNASSTARPVEPEKQNEPEKPGDSDERVDLDVDNDPEEVMEEDVEYEEVEEEEEVEEIEEVEEEEEEEEDEEEGDEEDVEEVEDDGTNDNGINSQNETINDEDETKKHAELLALPPHGSEVYVGGIPQDSSEDDLRRFCESIGEVTEVRVMRSKESNENKGFAFVTFRSVELASKAIDELNNTEFKGKKIKCSSSQAKHRLFIGNVPRSWGEEDLKKVVTEIGPGVTAVELVKDMKNTSNNRGFAFIDYYNHACAEYSRQKMMNPKFKLDDNAPTVSWADPKNADSSAASQVKAVYVKNLPKNVTQEQLKKLFDHHGKITKVVLPPAKSGQEKNRIGFVHFSERSSAMKALKNTEKYELDGQVLECSLAKPQADQKSGGSNSQKSGLLPNYPPRVGYGFVGGAYGAVNAGYGGSGFGQPLIYGRGPTPAGMAMMPMLLPDGRIGYVLQQPGSQMLTPPPHPRSGGGGSGGSGGGSGSKNGGSSSRGRHSHDSGHGGGHGGHGRRYRPY >KGN48504 pep chromosome:ASM407v2:6:23279825:23281969:1 gene:Csa_6G490190 transcript:KGN48504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSLDLSLDFSPKTIPQILLQLSSISDSFTKRSKLDDYLKRLEDEMRKIDAFKRELPLCVLLLQDAILRLKEEVLQFKDQPVIQDFIPSNPVSDQTDEDNLKRKTSKWLSSAQLWSTNFNFVDDEISDPKSTINLNGDEDDRSVPQTPIENWNCAKRRRAFELFKDQSNFVKRATKEDVAFSEVPKLTLMTPISDPFPVNLTVKNGGNGGRNGRAAVSGLSSPAGQMKGQPKLSQQQQTIRKQRRCWSPELHRRFVDALHRLGGSQVATPKQIRELMQVDGLTNDEVKSHLQKYRLHVRKLSPAEGSSGENELKTSVTHAGSPDGPLHGGGSGKALSTTEGESMEVEEDAKSDGHSWKGRIQKHGDM >KGN46547 pep chromosome:ASM407v2:6:7205047:7208387:1 gene:Csa_6G108450 transcript:KGN46547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNRDLTDSEHDEETPNSSTTHSKKKLRGSMNGRNVKDVPEYEKQRISRIAENKKRMEALGLAKMATSFLDSSKNLRKTDIKGKRKLGEAADDDYKPGNDSSSSEDEDDSEEGDEDFGSGKVSGSRGTKGKNRGSETKRKVSVKKSNNFNHEDDDDALQQAIKLSLQDSGENSDAQVQGSFENVRRKNLKNQEARGGMKRKGLFTSRMQMNEDELIMNFYCFDESWKGGITVRDLKRVADAHDFTWSEIELRDMIDCFDNDGDGKLNLDDFRRIAGRCNMIKESIT >KGN47335 pep chromosome:ASM407v2:6:14343171:14344923:1 gene:Csa_6G301050 transcript:KGN47335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSGRTSPPFIALLLFSLLSATHCLLHNDKKNCMYAVTVETSCTKGADTSNHVSLRFGDTNSNDIVVRRLNLKHVRRVDPLEPQVLDDVSRKPFQVCMVDQFQVTGKCVTSPICYLYLKLSGTDDWRPGFVQVRSLKGPHLSSNYFYFRRVLPRHVWHGFDTCPGEVTPFGMKRNRKV >KGN48727 pep chromosome:ASM407v2:6:24750689:24751882:-1 gene:Csa_6G499220 transcript:KGN48727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCQSNCAPITPSHFQVCTLLCSPKCSSICFQLPKAPIVDLPRPPPPPPLPLPQLPSSIVPPQNHTPERSTLLLLSLITTATLVAAIFLLCLLFKKFREYRNSRHNRLPVLFDVQAENLPDNDEEEPVIDHHVWYINTVGLQQSAIDSITMFKYRKDEKLIDGSDCSICLGEFQDDESLRLLPKCSHAFHVPCIDTWLRSHKNCPLCRAPVLSDPATPSLAPIEPITNQPAPIENIQRETEAIEEENREDENSGEVGSNSGENSVIPIKRLSRTLSNLTENQNREAVEDEGQGIRRSVSMDSVSAMAIYQATAKIQIPEEGCSSSGTLMEVKNSVSSRNLPFPKGGIGNQSLYNLVKGISFGCSMQKGGISMKRFSSGRRKLQWARHYRSQSSVLPM >KGN46594 pep chromosome:ASM407v2:6:7549076:7558093:-1 gene:Csa_6G110860 transcript:KGN46594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRGCSGGAGVFLKLSRYLLRMSLSCKFSSANGKFPAGFKLKKAKEHLGLLNSTGKWKKKLLCHRIFVLIIVLSSWFSFRWYNVNNGTKQKASNLFDEETRTLLRHFNVSKNQLQALASLLSDSDRMSSIGCTNDFGSDTSQLNGIACALRLLYWEQGLHKEYVWAEGSEDSNVGECPIPTKKITENSSQLFSDNITVPFATNLSVSLLSTGNQLCRKITEQAGVLSCLLRKHLKNFSSLLIGCFCVLLEVIVFQKISGFHLKLWNKKHPKSNQPLDHQQWVLLRRKQHQQVKESPKGAGKWRKVLLRIFIVVGIVGSVWLFRYLNKTAILRREETLANMCDERARMLQDQFNVSMNHVHALAVLTSTFHHGKQPSAIDQAMLFLFAISFVSPLSYSFYTTNEKTFGEYTERTAFERPLTSGVAYALKVNHSEREHFEVMHGWTIKKMETEDQTLVQDCNPENLEPAPIRDEYAPVIFSQETVAHIVSIDMMSGKEDRENILRARASGKGVLTSPFKLLKSNHLGVVLTFAVYSTDLPLDATPEQRIEATVGYLGASYDIPSLVEKLLHQLASKQTIVVNVYDTTNESAPINMYGSDFTDTGLLHISKLDFGDPLRRHEMHCRFKHKPPPPWTAINSSVGVLIITLLVGHIFHAAISRIAKVENDYHKMMDLKSLAEAADVAKSQFLATVSHEIRTPMNGVLGMLKLLMDTNLDSKQLDFAQTAHESGKDLISLINKVLDQAKIESGSLELESVPFDLRDIVDKVVSPFSLKSNEKGIELAVYVSDLVPEVVIGDHGRFRQIITHLVGNSLKFTHNKGHILVSVHLADEVRATVDFMDIVLKQGSYIVGDTSNNSCTTFSGLPVVDRWKSWEDFKKFGRTDVVEESKMIRILVTVEDTGVGIPQNAQSRIFTPFMQADSSTSRTYGGTGIGLSISKRLVDLMDGEIGFVSEPGIGSTFSFTVSFQKGETSILDTRQPQYDVGVREFQGLRALIIDNSCIRAEVTRYHLQRLGISVDITLSAQSAYQYLSNTSHTRASTQLAMILIDRDIWDKKMGLKFHHLFKEHVDRSGTDVQMNGPKLFVLATPKSSNEHNELKSSGHVNNVLSKPLQLDALVSCFREAFGIEKRNQVIIKKPSTLRNLLKEKHILVVDDNAVNIRVAEGALKKYGAIVTCVKCGKDAVALLNPPHNFDACFMDLQMPEMDGYEATRQVRAVECGVNAKITSGEVSIENNKIHWHTPIFAMTADLIQDMNEECLKCGMDGYVAKPFEEEQLYSAVARFFETA >KGN46095 pep chromosome:ASM407v2:6:4051540:4054543:1 gene:Csa_6G052700 transcript:KGN46095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRHPTLRWAQTSDRLFITIDLPDAQDVKLKLDPEGKFCFSAVSGTEKIPYEVDIDLYDKVDINESKASIGMRNILYLIEKAEKKWWSRLLKQEGKPPVFVKIDWDKWIDEDEEKGDNSGNDMDFSSLDFSKLGLDPGGGMGADAFGEDDEDDNDIDDEGENKEGEKVDQTPLAGPINESGSSSKEPDAKA >KGN45752 pep chromosome:ASM407v2:6:949921:951313:-1 gene:Csa_6G008830 transcript:KGN45752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYKVDHEYDYLFKIVLIGDSGVGKSNILSRFTRNEFCLESKSTIGVEFATRTLQVEGKTVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKRQTFDNVQRWLRELRDHADSNIVIMMAGNKADLNHLRAVSAEDAQVLAEKEGLSFLETSALEALNVEKAFQTILLDIYHIISKKALAAQEATSNPGLPHGTTINVANISGNYNKRSCCSN >KGN45883 pep chromosome:ASM407v2:6:1969489:1971952:-1 gene:Csa_6G016990 transcript:KGN45883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGAAPPRQLSQKEADIQMMLAAEVHLGTKNCDFQMERYVFKRRNDGIYIINLGKTWEKLQLAARVIVGIENPQDIIVQSARPYGQRAVLKFAQHTGAHAIAGRHTPGTFTNQLQTSFNEPRLLILTDPRTDHQPIKEAALGNIPTIAFCDTDSPMRYVDIGIPANNKGKHSIGCLFWLLARMVLQMRGTIRAGHKWDVMVDLFFYREPEEAKEPEEEEALPPADFGIADYSAAPLTSDQWTSQIPDAQWGAADAIPSPAPVVPASGAEWAPEPVALAADGWDAAAAPPPPPAVSAEGTAPSSASWF >KGN45965 pep chromosome:ASM407v2:6:2871630:2873276:-1 gene:Csa_6G040540 transcript:KGN45965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGRVQEVASSLLFSGTLPSQFVRPDTEQPGITTVKGQVLAVPVIDFTNPDDDSVLTAIHDASLNWGMFQIINHQIPNEAITHLQAVGKEFFQLPPEMKEIYAKPPASKSVEGYGTNLQKEIDGKRGWVDHLFHIIWPPSSINYNFWPQNPPSYRAANEEYRKHLHGVVEKLFRSLSLGLGLEGHELKERVGGDELVYLLKINYYPPCPRPDLALGVPAHTDMSAITILVPNEVQGLQAFRDGHWYDVNYIPNALVIHIGDQIEILSNGKYKSVLHRTTVNKEKTRISWPVFLEPPPEFEVGPHPKLVDEKIPARYKTKKYSEYAYCKLNKIPQ >KGN48457 pep chromosome:ASM407v2:6:22987500:22990508:-1 gene:Csa_6G487780 transcript:KGN48457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIRLARLEQGQTKIKNVPIAVTPEGFWCCPSPVVFQKTLKSQNPLNKPKPASPPSKIPVEKKSTPVTDRKPPLSRSRSAAVSDDDRKGNADASGYSAPEVVHRTSRPKVENMPRKIAIEFGEPGTSNIKVVLLGKQGFSVKLSVHKNVLIDNSSFFANKLADQEGPSMEIGDCEDVEIYVETVGLMYCKEMKQWLMKQNVSRVLRILKVAEFLGFKSCMHSCLEYLEAAPWVGDEEEEKVVTSILRLQSEGIGVSPVLKRVSADVSKPHKDTLSHIIELVLRSNEERGRREMKLVVLRLLRENQSVSSNASSTDICNEIIYSSCRSCLASLLFLFQQAAETDFTDRSVDRKEPVLKQITLEADNLSWLLEILADRQAADEFAVMWSKHQELATLHAKLPIVSRYHISCITARLFVGIGKGELLPAKDTRKLLLHTWLEPLINDYSWLKHGCGSFDRKVVEEGIGRTILTLPLEDQQSILLTWLGSFLKVGDSCPNLQRAFEVWWRRTFVRPYVETQGSIHQQDSSITSHLEP >KGN49159 pep chromosome:ASM407v2:6:26857203:26857463:1 gene:Csa_6G516670 transcript:KGN49159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRLGPFAKVEAHIRGPHKPSRKRTHNILYGVVASPSPFRTITSSMAPKSLFRSLGCTTSSFRYPLFRPSSSKLLTQSISSLRKL >KGN46828 pep chromosome:ASM407v2:6:9921134:9923537:-1 gene:Csa_6G139770 transcript:KGN46828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNEGHDHEKEEDHPYYPQFDPFTHNFHHQKPFEVPPPLTNPYYEAFDIAPSSVGFYSDFSHASSQYDYHNIFSTPIEMSCSSSEVISSVDDALKKSSSLGRDLSSVVTGEHPSTPNCSSTTCSSDEVVAGGGDSSKSGEVKGFDDKKGENSKKVDEGKKKEKREKGPRFAFLTKTEIDNLEDGYRWRKYGQKAVKNSPFPRSYYKCTSQNCSVKKRVERSSEDPSFVITTYEGKHNHYCPITLRGHNPTGVLPPSVTPPLFPPSPNFFSAESFCENLHQQYQYGGLFQDFINNPSFNNSNQHPSN >KGN47226 pep chromosome:ASM407v2:6:12991094:12992243:1 gene:Csa_6G216940 transcript:KGN47226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIIHSLLLCFIVLSASLSHTHAQLTPNFYNNVCPRALSTIKSVVSKAIRREPRMGASLLRLHFHDCFVNGCDGSVLLDDTATFTGEKTAFPNANSIRGFDVVDQIKTQVNKVCKENVVSCADILAVAARDSVAILGGPNYKVLVGRRDARTASANDANRNLPPPFFSFSQLLSNFQSHGLELKDLVLLSAGHTLGLARCTSFRSRIYNDTNIDSKFATTLQKNCPQSGGDDNLKGLDKSPNFFDNAYFKALLTNKGLLHSDQELFGGGNNDSDDLVKYYSRYPNDFKKDFGSSMIKMGNMNPLTGTNGEIRTNCRFVN >KGN45997 pep chromosome:ASM407v2:6:3219776:3222143:-1 gene:Csa_6G042310 transcript:KGN45997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFFQRSFATAASLIVPMQPPSKMETHIWYIVPDEVKSKHLQKHYLNLLSPNEKESVMKIQEEELQKRALLARALVRSTISRYATQFQIDPQALKFKKNMFGKPELDFQNSSQLCLPPLQFNISHSSSLIACGVTMHSPIGIDVEAKTRKIKNNIIAFAKRFFSPNEIEFLSAISDPESQRQEFIKLWTLKEAYVKALGRGFSATPFNTFTIQFNKTARGSCLPGCKTSEEAYEISVESCDDLENCSRSMTFALMELSGSHYAAVCMEKDTSFRGSLPMKLNVWRTIPYVEDECVSGTEAALPINGFAQANVKSSKT >KGN46449 pep chromosome:ASM407v2:6:6445061:6445882:1 gene:Csa_6G094670 transcript:KGN46449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRRSMETSSPSSSVSGKKFSKRVSKKSDAPRAGSHSACVHAPPSLTCFDCYMSYWDRWNSSPNGELIHQAIEAFEEQLAKGEKSSKNVKGKRKDKISRRSLDKSLNIVSPPLLPVPETHPLQIDEGSSTAQATSGSIDVEVEEKTADGSLDPPRTEEDSVESRGALVVIPSPPPNSHKGFARKVWPDVLGLFNSRLWSLWSPN >KGN47801 pep chromosome:ASM407v2:6:18170206:18171954:1 gene:Csa_6G404160 transcript:KGN47801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVFNWLHLLEGVRVLCRVAVVTADEVMFEKRIGEGKAVPALLLPHSRGDYPFFTPVPSLTHLLATAAVVFYCYTFGTPVIPCPVQSSPVHEGNTVSSPSTGNVLTK >KGN48294 pep chromosome:ASM407v2:6:21790405:21790881:-1 gene:Csa_6G454420 transcript:KGN48294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSSAQLTYPPPSPSLRRTTFLPSSPMPPPNPAVSPPPPPSPINLALLSFKSSSQSYTSLKDILPSASASAAAVNSPTAASPANSPYEISIRNRLVKQAAWAYLQPMSASSYSAGPNFFHRFCLRFSTGNPIYSCFGFIRSSIVPAIIRAFRFCICF >KGN46811 pep chromosome:ASM407v2:6:9718588:9720070:1 gene:Csa_6G138620 transcript:KGN46811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFPHNFYPDLPYPNNFSDLQTLLMAAGDTGMCGIRNNHNNDNIDLFVSREETFVSSFDQTVSPDQSSSDTSLSPFLTMQHPDLYGPVSDMTVPSLPEFNMSTYDIHSLINCQPQVPGTCNYGDQLIGFVPELKPLYRDTWGNQSRYEIHAVEETNIKVASRYSEEERKERIVRYLKKRNQRNFNKTIKYACRKTLADRRTRVRGRFARNNNELCDNDHEIPLKTNQFSTPNHNLIKQIDQTNKEEEESWLQELATSLMYLPYVANYGV >KGN47710 pep chromosome:ASM407v2:6:17294281:17294760:-1 gene:Csa_6G382980 transcript:KGN47710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSISHDCCASILPATILIISFSKQRQFLISNNDGEFLLVFNDDVVCPLTMIFSSMFVASLLVVALFVILTQESIFVRCSPFPQDTCTLSVIEESVNSPSSTWVSLRVIRLPARSLLLVDT >KGN48297 pep chromosome:ASM407v2:6:21803696:21805938:1 gene:Csa_6G454450 transcript:KGN48297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTGRFSSANMLTPRTAVLRSPSLQTKIRIGGLRAHLREDDDPLFLSAKEAASLRFMESQQPDPLFFDEYAGCWATPNPQINTNSHHYCVVTKFLDDNLIKKVNNVNGVKQVVLLTDGMDTRPYRIRWPMSTIIFDISPDNVFKRAAQDLLGSGAKISRGNFFCHVPLESPHVQLEICSRGFRGDQPSIWVMQGLPIKTLVDFEDVLFLVSSLATKGSYFLGELPSWLAETEIKSKSSTSTIKWMDKLFMGNGFRVETIAIAELARRLGKELTLEPYKNIPFVAEQLRFSDYEMETWKKEFERIENEGDEEGFEEL >KGN46577 pep chromosome:ASM407v2:6:7408461:7413092:-1 gene:Csa_6G109720 transcript:KGN46577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKLRTMPSKRSSVVHQPRALQAGFHLPCKRPKTTLPQPHPEEHASNFFAKDIRIKRVFSPNLQNHSSVSSREPISDRERLITVNGTCSNEDGGVGNTHVECDEGRRCNGKSEEPVHSTPPDVDILTRGFVSASSSGCPRSSNGGVLGDTCVKSDCRFDSVARTGSVLKPCSKRNLFKAPGSIAYKRLLPFLMDNDNYKLQVDPKSKSENNLVKKLNNESDLRNHVKGSSFLGSDTCVKNAIFASGMSCKTTKLNLPPPDNGDTSNFQNGGGFNNSQNTIKEDSGLKKDNAVCASSLDEGLTEQSKNPGIDTLDSGSIFVSEVDNVMSHVSEDSKRDGHFNELRMSSLNSNIVDRPLNEERRDGKLGSSTVGENHCSIATASNKKNGACVRNKLVRNPLVQLKSKYSQFSFSYRRMRPFLEDLFKDNPENCDSGNINSSVPEKEFPTMNLQPPSSNSHNSQDKSEGLVSCNMPVDGNSYTPSMHVLTSKKETDCETDEVLLPAGVDDKLLSPPNLTLHTEQEMLDECNLKTDPQLPGATFLNDQAVLPLYPAASYETLIGEGFRMTSEQSPITSEDCTSLKDRVSGGANIDERNSLAPNSSSVEGGILPGIHINHRKGILKRSTRGCRGICNCLNCSSFRLHAERAFEFSRNQLQDAEEVASDLMKELSYLRGVLEKYSDVAKGDAEYHHSNKVKEACRKASEAELTAKDRLQQMNYELNIHCRITCSQRPNVSFSCEVEKLDIEDVK >KGN46330 pep chromosome:ASM407v2:6:5667999:5670157:-1 gene:Csa_6G085120 transcript:KGN46330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLFKGLGKAGTDILGGAVKGAGKIVETVGDVVEKAPVVGSVGTVVEGTGKAIENVGEATEDFGERVFEKEENKPEEGPKQSENYDDLMKQYEAELDRREEDYKEDVDDSIAGQDDEDDDDIDEAEKKLMKSDIDDSNYEEEEENEELTKVIPKNLSLKSIRNGKYLRYISESENADGLLRFSGKNIVGPYSKFSVHASKTKPGFFHIRCCYNNKFWVRLSEDSNYIAAVANEEEDDTSKWSCTLFEPIFVPEKTGLYYIRHVQLNTFLCMAEGDPSPYNDCLVARVEDITTIDENLVLLAVTDWDSIFILPKYVAFKSNNDRYLEPSGKYLKFSASSVEDPAVVFEIISMQDGYVRIKHVSSGKYWIRDPDWIWCDSIDINRDNPNTLFWPVKVDNNIVAFRNKGNNRFCKRLTTDGKTNCLNAAVGTITETARLEATEIVVARSVEDVEYRVNDARVYGKKILTVSKGVAINNTKVNDKISLKFRYEKKVERTWSSSVSSTFGIATKFKTKIPTVGSLKFELSLEVSSENTREETEKEKSFVETGETITIPAMSKVKFSAMVTQAYCDVPFSYTRRDTLKDGRQVTHRLEDGLFTGVTTYDYKFETEKVESL >KGN47926 pep chromosome:ASM407v2:6:19107437:19108523:1 gene:Csa_6G411300 transcript:KGN47926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQILSVLRYWLVNHPIILNFEWIQGQTFASTPLFLIVTVFTYLFLTFLLSHIPIPSISSHFLKSISALHNFVLLILSFIMALGCTLSSIYHVPHLHWIICFPPRTPPVGPLFFWAYVFYLSKILEFIDTLLIILTGSFQRLTFLHVYHHSTVLIMCYLWLHTSQSLFPIALVTNATVHVIMYGYYFLCTFGIRPKWKRLVTDCQILQFVFSFVVSGQMLYDHFGGSAGGCSGFLGWCFNAVFNGSLLALFINFHLKSYAANRKKKIESKTQ >KGN46718 pep chromosome:ASM407v2:6:8754469:8756699:1 gene:Csa_6G127300 transcript:KGN46718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEVVKSRFKRVCVFCGSSTGKRDCYRDAAIDLAQELVSRRLGLVYGGGSIGLMGLVSQAVHHGGGRVMGIIPRTLMNKEITGETVGETRPVDNMHQRKAEMARHSDCFIALPGGYGTMEELLEVITWAQLGIHDKPVGLLNVEGYYNNLLTFIDQAVDDGFIKPSQRKIIVSAPNAKDLVQKLEEYVPVHEEVMGKPRWEIEQPQPQQPKNQVGFEPKTFHAQIAL >KGN47871 pep chromosome:ASM407v2:6:18755072:18763841:1 gene:Csa_6G408790 transcript:KGN47871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAQLLCLRFSSLPPLLSTTPILPKLWTSPSSHRCSSGLVLRRSLSKEEPLIDGLPKEYYDDEWQARQREKTKELHRRRQEEDEEEDRKVEEYREIGMRLKEFPEEDVRKARKLVSSFIRAAEEVEEKIEEAAEKGELTELVLLVIWNRLDLARCDDEKDAVRSLDLLYRRVETEILKREATPAMRLLNELLNMYDGFDNEGWLKACRKCMVDTFPREDPFSILVPAGFDIDKHQGPLRPSLAVESDNTLLRVDFVREVDELLQEVGAVQSDVQNGNADSFDAESVASRLKQQEKQRTIRLVEALLDLAMNLKW >KGN45973 pep chromosome:ASM407v2:6:2951990:2952217:1 gene:Csa_6G040620 transcript:KGN45973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDISLDKLHLALFPLILHNETKQWANALEEEEATTSDNLIEKFMKKFFPPIENAIRRQDLMTFEQSNSENLIDA >KGN46051 pep chromosome:ASM407v2:6:3733090:3734050:1 gene:Csa_6G046290 transcript:KGN46051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKPQDTTQYLEHADAAKDCCGDDLESDVHSPEDFSLSVDQLNQDFNKSLVLKGSSSIELKDSSSQTMMKSDTDKKETKRRYAVETGTAANQNDAYLDLKLSPPGVYSRGKSSNESKSSSPRSQDSCISAEVESNLNLEDNLRVESSPLIVMGCTFCLLYVMVTDADPRCPKCKRSGLLDAFRGNQVKRSRKN >KGN49441 pep chromosome:ASM407v2:6:28334876:28335169:1 gene:Csa_6G525280 transcript:KGN49441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELASMIRKREAPARNWLELPAEVLLVILQKLGAVEILTTAQNVCSLLYKICKDPFLWRVINFDYWNRVHNFEDWNRLFYWKNVCKRAVDRGCDRST >KGN48924 pep chromosome:ASM407v2:6:25836747:25838491:1 gene:Csa_6G506010 transcript:KGN48924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLCYLRHSSPLFSTLIVFLLLREVSSATFTFVNKCDYTVWPGILASSGSPKLETTGFELKAGNSRSLQASTGWSGRFWGRTNCKFDDSGRGNCNTGDCGSGEIECNGAGATPPATLAEFTLGSGSQDFYDVSLVDGYNLPMIVEGTGGSGTCASTGCITDLNQLCPAELKAEAGGACKSACEAFETPEYCCSGEYNSPATCKPSMYSQMFKSACPKSYSYAYDDATSTFTCNGADYTITFCPSSPSRKSSKDSPSTIPESDTETESEPGSESEPGTIQGAALSSSWLANMAIGGDSSIGTKPSLAFQYASTLIFNLVLCLGLLS >KGN47663 pep chromosome:ASM407v2:6:16826074:16826481:-1 gene:Csa_6G367100 transcript:KGN47663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKESPDEEYRQNEMEKRKQIYIVRSPVKQAHLAKAKNERNTKLKIQPKEDKEEMADLKLVVDLGPLSPLSDEFLSSSDLFTPSLSPTSPTQPYIVKGNIAPMMISDQEEKEKSDKEEEETTFKQKLEAFTETDP >KGN49416 pep chromosome:ASM407v2:6:28193875:28198288:1 gene:Csa_6G524050 transcript:KGN49416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGVKGPRYRWGLLMGGALIAVFLAVAMTSRTAPMIALFGRTNKPCPCANDTPKYSGMVEGCCCDYETVDRLNEEVLYPSLQELVKTPFFRYFKAKLWCDCPFWPDDGMCRLRDCSVCECPDNEFPESFKKPHILSSEAFVCQEGKPQATVDRTLDRKAFKGWTEIDNPWTNDDETDNAEMTYVNLQLNPERYTGYTGPSARRIWDAVYSENCPKHPSEELCQEERILYKMISGLHSSISVHIAADYLIDEAKNLWGQNLSLLYDRVLRYPDRVRNLYFTYLFVLRAVTKATEYLEHAEYDTGNLNEDLKTQSLMKQMLFNSKLQAACPLPFDEAKLWKGQKGPELKQQIQKQFRNISALMDCVGCEKCRLWGKLQVLGLGTALKILFSGDDHQEHLGLDLQRNEVIALMNLLNRLSESVKFVHEVGPSVETTMEGQIAATITESCPFHRMWASIFNS >KGN49302 pep chromosome:ASM407v2:6:27595376:27596262:1 gene:Csa_6G519550 transcript:KGN49302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSCAIILVSALCFLSFLDIALSSKDRFFIEGKVYCDTCRIQFFTRVSKYLPGAKVKLVCREEANAGNETFTGEGVTDKNGVYKIEVDGDHEEEICEVSLLESADTGCGEIPTDGYGHFARVSITGNNGIINPVRQANPLGFMKKDALPQCKEVLRELGFDDAGILV >KGN49264 pep chromosome:ASM407v2:6:27402820:27403662:-1 gene:Csa_6G518190 transcript:KGN49264 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin, grx MHQAIPYRTWIPAAASSSCKAHEPSGDGVEKLVSKNAVVVLARRGCCMSHVLKLLLLGHGANPAVVVVGEEDEVDTAGEIGKFASGGGDGRVQFPVVFIGGKMFGGLEKVMAAHISGELVPALKDAGALWL >KGN47737 pep chromosome:ASM407v2:6:17617215:17618808:1 gene:Csa_6G397170 transcript:KGN47737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGSTRKLLSSPTESPDFRALNTTMEFSSPTRSSRPALNHDIFRSWNGKQIHLRDDHPFEYGFRLTSPQRSPQFYRSNYHTLSPPSKALAIATGQKELMEIVNNMPESCYELSLRDLVEQPMVLGQREDTGVDEKDSYLGGDREVFSRENRKSRKETRALVGRTSMENEGLYLKMGFPKSIGTTTRKKKKKNDSSLNMSAKVSPKPPQLVEKDWWKRRLSVSSESESLSYGSNVNNGSIKSSSSSSSDGSNKNRTKSTGRESSLLCTILSSERFSSSPSSRNIGKSDELMMNDFLSEMDTQSHNSIELSLLCIVLGVRMEVAGHGFIPNTMNGMNEQEAALPCTQTK >KGN47009 pep chromosome:ASM407v2:6:11390726:11397877:-1 gene:Csa_6G168260 transcript:KGN47009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALFGSIDIRELLSAQDISDPTAPLSAPDLRLLVNRLESHSLQIKTKIRDYLLSHHQEFLNLFSLCNDAVCQYQEISKDVSNVLELITDPPIEAKTREIIDDMKEKTKAAREKRELLQLVKVIVEMDDRLKGLREATRKGMLKFGAEEVRELKHALRIYNDDDCKDGEPLVYGLLKREWHQCFEEIQDMLVKILEHAVRFDQQSIILEVKYWLSIDEIDGIELCTVLEAMDVVGILDYGLAKVADLIIKFVVSPALTGSSPISYVEEINHDGEGKCIAVLKLVPSIEKIENIDGETIYSEVTQIVKFICKHICYQNNSWIQHFGRLTWPRMSELIISGFLSKVVPKDASKLAGFQKIVESTSKFEGALKEMMFISPSDANDERLSNFAENVEVHFASGKRKEILAKARNLLLKCDFSVPKELTIKGDKQKWNEMAKSSSNQVVDLLFLSERCVVSEAAAQLMELVHQTLQDVCLSSTRVALEFYHAARDAILLYEVVVPVKLERQLDAVNHVAVLMHNDCLYLSQEILGFAFEYRSDFPDFLKEHAVFVDMAPRFREMAEETMQKQLQLITCNLKEAIDGADGFHNTHRRQQFESAKFSIDQVLFILEKVHIIWEPLLLPSKYRRCFSTVLESVLSRITKEILLLDDIAVEETLELQKLIHLMLDSISPLLETLITKHQEKSEESSLYSHDIFIPSLRKLRKLAELLDMSLVSITTEWETGELLISGFTASEVEDVIKAIFADSPLRKECLWRIESTVS >KGN45947 pep chromosome:ASM407v2:6:2585426:2588145:-1 gene:Csa_6G031960 transcript:KGN45947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAMIASQPLLSNHSFPVIKPYTLTSPLSPFRFFRPQQPLLSPLISTTTPFSLSPNRRNCSLTRPAARRKPTFVDSTDFSNDGDSNVRRLLQVLLWGAEAVYILWLFLLPYAPGDPVWAISSETVNSLLGLSLNFFFVLPAMNSVGIRLIDAPVLHPMSEGLFNFVIAWTLMFAPLLFTDRKRDQYSGSLDLLWGFQMFLTNTFLIPYMAIRLNEASEDSAPQPQSKLGTLMTNGAPVVGVIGGAMCIISIIWSFVGRADGNFGGVAERWEFLIQYLSSERLAYAFIWDICLYSVFQPWLIGENLQNVKESKIGVVSSLRFVPVVGLIAYLLFLKLDEEL >KGN48812 pep chromosome:ASM407v2:6:25239005:25241548:1 gene:Csa_6G502010 transcript:KGN48812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRNRYPITTPSHPIPITPFYHAQPSSLAEAVPLLAPPSSSAVLCIPTLPASAAGSQPPHSPSHTHLIGFCEARGFLSQPQILDLDFERSRIRNSQPLIFQSTTYIQTRLPPGLPHHSSQTFLRHGSRLVIEIIRTRDCYLIIVEL >KGN46042 pep chromosome:ASM407v2:6:3678586:3685961:-1 gene:Csa_6G046200 transcript:KGN46042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSANREMVVYCFDTLLAHYNGEEAPPPAFDGGQHPLFVTWKKVVNGGEPRLRGCIGTLEARCLINGFKDYALNSALRDRRFPPIQPKELPYLECTVSILVDYEIATNYLDWEVGKHGIIIEFNDPDYNARRSATYLPEVAAHEGWTKIEAIDSLMRKAGYNGVITESLRKCIRLTRYQSTLFTMHYGEYVSYVKTIRGSTPCVVGAKA >KGN49299 pep chromosome:ASM407v2:6:27581284:27583156:-1 gene:Csa_6G519520 transcript:KGN49299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQNSNGLDWFINVFNKMEGRCLEMCDVLEKEWLKYAKGQPNSVGSEDHFQHPHLGLGEDQSPEKEHWANQDASSAGALSKATEEHLVDQGRIQSSDKQNHPPIESNHFSANQEVCGALKIENKNVKANISRPSRKQSQKAKEIVKFSPSTIQNDEFSDWEII >KGN48006 pep chromosome:ASM407v2:6:19711196:19713957:1 gene:Csa_6G423480 transcript:KGN48006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPLLFLLVLLHPHFCTAAQPFFHPLDPLNPTELDEIRLAIKKSHLGKLPNLTFHFVDLEEPEKKDVLSWLSSGEQNNPLHRPPRHAKVVVRAADSTHEIVVDLDTHSVKSDNIYNGHGYPPLTFVELFQASKLPLNFPKFKASIHKRGLNLSHVSCIPFTVGWYGEKTTKRLLKVACFYREGTSNVFSRPIEGIITLIDVDAMKIINYSDRFTAPLPKSEGTDYQSQKTEPKSSNCKAAKRKFTIKGHQVKWENWVFHVGFNARAGVIISTASIFDEVKKKFRRVLYRGHISETFVPYMDPTNEWYFRTFMDIGEFGFGRTADTLQPMVDCPETAEYLDGYMADANGRAQKVSRAICIFERHSGDVLWRHTEINIPGKVIRRGEAEKSLVVRMVATVGNYDYVLDWEFKRSGSIKVGVALTGLLEVKAAPYENTMDITQQTYGTLIADDTVAVNHDHYLTYYLDLDVDGVPNTFVKSNFVTATVEDINATSPRKSYWKIVRRAIQTESEAKLQLGSDRPGELLFVNPNKKTKIGNPVGYRLITGQPVNSLLTDDDYPQVRAAYTKYPLWVTPYNKTERWPAGFYADRSRGDDGLAVWTKRNRRIDNRDIVLWYTVGFHHSPCQEEFPAMAALHGGFELRPANYFDRNPLLK >KGN49460 pep chromosome:ASM407v2:6:28520690:28521660:1 gene:Csa_6G525460 transcript:KGN49460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHIHSIPLFSKLQTAPFSLPLTKKILKKGGGGWKKRVLQISIIHINGVLKIQIFPAQSPFFLFPFLIIGFLQSSISLGFVG >KGN47809 pep chromosome:ASM407v2:6:18219880:18223064:1 gene:Csa_6G404240 transcript:KGN47809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLQCLSSSFLPTFNPELSPETLSNPRLCTLNFSRPLPTLTTTRKPTSKCQYSWNTQQHQQSAFEPAPHTDSLSPQSRAGAAAAAAALPPRFFVGHSIYKGKAALTVEPRPPEFTPLDSGAFKISREGLVMLQFAPAAGVRQYDWSRKQVFSLSVTELGSLIALGPREACEFFHDPYKGKSDEGKVRKILKVEPLPDGSGHFFNLTVQNKLINVDESIYIPITKAEYTVLVEAFKFILPHLMGWHTIANTMTKPEDSSRGNTANPRYGGDFEWNR >KGN48536 pep chromosome:ASM407v2:6:23508062:23510174:-1 gene:Csa_6G491000 transcript:KGN48536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHSVPNNCDRNACSSSTWTLPAPALSSSSSSPPDDISLFLQQILRRSSSSAPHFSLLSPSPSIFSELTCNIRAFTPPTHNLPPPYGPPNAVPDEISAVDSSEQFANSPSSGVLHDPLRTFPTSIPPNASSTSVGASDHNENDEFDCESEEGLEALVEELPTKPNPRSSSKRSRAAEVHNLSEKRRRSRINEKMKALQNLIPNSNKTDKASMLDEAIEYLKQLQLQVQMLSMRNGLSLHPMNLPGSLQYLQLSHMRMDFGEENRSISSDQERPNQIFLSLPDQKAASIHPFMSDIGRTNAAETPFELVPPIQAHLVPFYLSESSKSKEICSRDLLRDDHQAVNVNVSQSETTPLVSCPYNIPETPDLQGLQNGLSVEPCIIEGNRSGVLLNYTPEHSLVFPSPFNGIKQEDRLKQ >KGN46223 pep chromosome:ASM407v2:6:5065911:5067779:-1 gene:Csa_6G076720 transcript:KGN46223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQATIERYRKRAKAKEALDPPFVNNIVQLEHLNHEEAASLIKKIEQLEVSKRKMLGEDLGSCSLDELQQLEHQLEKSVCKIRARKIEVFEEQIKQLKQKEKVLQDENAKLLQKWESEGGDGGVNNEGGEKMLNYAESSSPSSEVETELLIGPPRRFLSIH >KGN48332 pep chromosome:ASM407v2:6:22047097:22047483:-1 gene:Csa_6G476720 transcript:KGN48332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEKNYNKRKFGVRQYNLDKIPNRRHLSHKRRLSQSVIHPSFFRIFHNETLKRFPIFSSNHIAPPHSPLSPSPPSPIFIFRPGVSSFHTLPESFRKTPPKISTLTSLLSSFFVLLNSGLSSFSRNFFS >KGN48898 pep chromosome:ASM407v2:6:25684860:25687158:1 gene:Csa_6G505270 transcript:KGN48898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMESIESSCVPPGFRFHPTDEELVGYYLRKKVASQKIDLDVIKDIDLYRIEPWDLQEKCWIGYEEQNEWYFFSHKDKKYPTGTRTNRATLAGFWKATGRDKAVYDKNKLIGMRKTLVFYRGRAPNGQKTDWIMHEYRLESDENNPPQEEGWVVCRAFKKRTTGQSKTSVVERWDSSYFYDEPSGVCSAIDPLELISRQALSPHGLLEHNNIMCKQEMEQTENVKFIQYNDSFVQLPQLESPSLPLVKRSSSISLVSECNEEEDPPAKRRYNNNNNNNINSKVTDWRALDKFVASQLSQGDAFEGEGNSRFGAQSSNNNNNTNNNIIISNNNINSADMAILLMQNRGDQDEENLYKGFLSSNSESDFGICIFEK >KGN45618 pep chromosome:ASM407v2:6:118220:126835:1 gene:Csa_6G000660 transcript:KGN45618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQTRFCYECLKSRIKFDFSDRLIVSYAVCDSAFPFTSTAVVQATNGETSGSQFMIVYLPAHDHNCITNYVNEYLLDNVNSLSEESFHTMSPVSADQEDSIRAGSLHLSQIGEKSATESPNYNHSSRLSCSRIISSLAPVARVCVSSPSIFEEVASNLLSGSLEDHVLHSLCLLIEGRASGRDSVNFLSLLGIPFFQENVFQNCLRHPNVVPVLSMLRTSGYTNAILPSTPYTLENILHYSPDALKSEWHIRFLLYQLLSALAFIHGLGIFHGKICPSNVMLNDMCWSWLHICDMPGLVCDLNRKENNCSMTTSEQINCYAKDCSSKALYADFKLSSSIDWPSDFFRWWRGELSNFEYLLALNRLAGRRWDDHKFHTIMPWVIDFSTKPDESSDVGWRDLSKSKWRLAKGDEQLDFTYIASEIPHHVSDECLSELAVCSYKARRLPLSILRMAVRSVYEPNEYPSNMQRLYQWTPDECIPEFYCDSQIFYSMHDGMADLAVPPWAGSPEEFIKLHRDALESDRVSAKLHEWIDIAFGYKMSGEAAIDAKNVMLPLSEPTVPRSMGRRQLFSRPHPKRQVLTKRSCQSPVISVVNRGHASEMEDKNSIMSEIMYLEELEVASSFLEEGRHLSALYGYFAKKPEDMSSKELSSAKSFNRCLSNSSDIFAQHEQRTNITLNYLLEHVEVESKDSIGYQELLSWKEKMFHLQFSDGVASDIFSIGCILAELHLKKPLFHSTSLAMYLESGILPGFIQELPPDIKILVEACIQKDLTRRPSAKNILESPYFPATIKSCYLFLAPLQLLAKDATRLRYVANFAKQGALKAMGDFAAEMCAPYCMPLILTPQTDDEVEWAYVLLKEFLKCLMPKAVKTLVLPGIQKILQVTGYSHLKVSLLQDSFVREIWNRVGKQVYMETIHPLVISNLSVAPHKSSAAAASVLLIGSCEELGMPVTINQTILPLINCFGKGICADGMDALVRIGGLFGDTFIIKQMLPLLKNVVRCCIKFSSVSKPEPMQSWSSLALIDCFTTLDGLVAYLPGEVVLNELIEGQKCLHVMVLIQKNLDVSVLQVAASSLMTICQLIGSDMTALHLIPQLREVFDELAFSQEAAYRSTSIGRNMKSSKPSIDGDVLNERRMDLVLILYPTFASILGIEKLRQCCTTWLLLEQYLLRYHNWKWECTGMSSRCSSEKLISKRNEFSKSSTSEYSPAKLLLNGVGWSIPQSQRAQGAKNLMPLRHDVHGGSMQMHASTSHSIKAEPWFWFPSIASCWDGPDFLGRAVGLKEEHPWKIKASVIYSVRAHQGAVRSLAICPDEFNVFTAGIGSGFKGMVQRWELSTVNCVSGYYGHEEVVNDICVLSPTGRIASCDGTIHVWNSRSGKLISVFAESSVDSAHLASPLSSVLKPNMDHVNLISSNSLSSGILTSAFDGSLYTYMHHLEFAEKLVVGTGNGSLRFIDVAQGQKLHLWRGDGVESGFPSLVSAIGSCGFDKMVADGASAMPTWIAAGLSSGYCRLFDVRSGNVIATWRAHDGYVTKLAAPEEHMLVSSSLDRTLRIWDLRRLSPSKPIIFRGHNDGVSSFSMWGQDVISISRNKIGLSSLTKSADEDGQYRVIPQNLASNDQGTRNLSVLSSISILRYSRLFIVGTEDGYMKICS >KGN47014 pep chromosome:ASM407v2:6:11443534:11445960:-1 gene:Csa_6G169300 transcript:KGN47014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRCSKRTRTEEDRNDWISQLPESVLVDILSYLPTRDAVKMALISRFRNLWTYIHHLSFDECAYHDHNIYDGENYDGPHYDERFLNLIRHVLILHERTIIDEFHLKFAFNLFNAIHDDQYNSDGYASKERRMASELTTWIKFSLRKQVKVLDIDLLGCGLSEPEVNYELPTSILTNKYLKELSLTGCGIEEKGRIQLTSLSKLSLKEIMLSDKIMGEILIGCPMLEELSLDGCCGLHKLKLTTSNIKRLKIIVGWRNEMSNSRLEISCPGLKSLELAGAIHLVQLKYSASIFDASLYYSRTFMCERRIYEKVQMLLWKLAEVSVFIPCTWTTLIFTIWDLTYVPIPVAGWKSVEFRLLFTKWHMPGICSILRNSHWLETLTFYIYPGSYSTFLTEEAKWMEAYDFDGKSYWKSQNGDFRGGLRKYLKTVKIYGYVTEPYVLELIEFLLKNALVLEKMVISTKKTLQPIHQYELFKDAVFDQEDRFTPKELLQFSQKLLTLPRASKSAVIQFC >KGN47219 pep chromosome:ASM407v2:6:12923670:12926084:-1 gene:Csa_6G212900 transcript:KGN47219 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting protein kinase 2 MENKASVMVQRYELGRLLGQGTFAKVYYARNTGSNQSVAIKVIDKEKITKASLIDQIKREISIMRLVRHPNILRLYEVMATKTKIYFVLEYAKGGELFDKVAKGKLKEDVAWKYFYQLINAVDFCHSRGVYHRDIKPENLLLDENENLKVSDFGLSALAESKRQDGLLHTTCGTPAYVAPEVINRKGYDGAKADIWSCGVVLFVLLAGYLPFHNSNLMEMYRKIGKAEYKCPSWFPREVRRLLSRMLDPDPNTRTSIALIRQSSWFKKGLRSKNARSGVESNAVAPEDATAGSGPSEGSVAADGNQEPDRPPTLNAFDIISLSAGFNLSSLFEDNSKKKETLFTCRKPASVILSKLEEMAKLLRFRVQKKEAGLLKFEALTEGKKGALSIDTEIFEVTPSFYLVEMKKSNGDTLEYQKVLKEDIRPALKDIVWVWRGEQEQQQQDPQPQPLQPQDQVRDPYPEQDGASQPQ >KGN48022 pep chromosome:ASM407v2:6:19843798:19847896:-1 gene:Csa_6G425100 transcript:KGN48022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNTISPLFFFFFLFLSFFPSSHSLSGYFIDCGSTSVTTHDGRTWQPDSAFVFSGINKNITDPVLDPTLSTVRSFPRALNRKFCYVVGPVFRTRRYMVRTTYYYGGVNGVQSPPVFDQIVDGTVWSMVNTTDDYDRGLTSYYEGVFEAKGKSISVCIGSNTYTDSDPFISALEVVLLGESLYNTTDFVNYGLRLVARHSFGYSGSNLRFPDDQFDRFWQPFGSSNLNVTNRTVSASGIWNLPPSKIFETELRTDQLEPLELNWPLISLPEANFTYYIALYFANDHPSSSDNSRVFSISLNGITYYHDLNATSAGHVVFASRWPLHGSTKITLTPSPQSKLGPLINGGELFHIVPLEARTLVRDVINLERVKSSLNNPPTDWIGDPCFPQQYRWTGITCSEGSRIRVITLNLTNMDLSGSLSPSIANLTALSGIWLGNNSLSGPIPDLSTLKLLEIVHLEDNNFSGEIPSSLGNLARLQELFLYNNNLTGEVPQSLTNNERLDLRIFPGNHLLGPPPPS >KGN49067 pep chromosome:ASM407v2:6:26450395:26451769:-1 gene:Csa_6G511830 transcript:KGN49067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSCFGSLRIRKSKGKTLSTPSSLKSQMNSEMENMERRRFDSLESWSMILESENVETWETSKEDQEEWTADLSQLFIGNKFASGAHSRIYRGIYKQRAVAVKMVRIPNQKEETRAKLEQQFKSEVALLSRLFHPNIVQFIAACKKPPVYCIITEYMSQGTLRMYLNKKEPYSLSTETILRLALDISRGMEYLHSQGVIHRDLKSNNLLLNDEMRVKVADFGTSCLETQCRESKGNMGTYRWMAPEMIKEKPYTRKVDVYSFGIVLWELTTALLPFQGMTPVQAAFAVAEKNERPPLPASCQPALAHLIKRCWAANPSKRPDFSDIVAALEKYDECVKEGLPLAHHRRLVNKNAIIERLKACA >KGN47559 pep chromosome:ASM407v2:6:16117739:16118684:-1 gene:Csa_6G361210 transcript:KGN47559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKENIINEEVHELESDDSNNSHTSNDEVYPRKRQNCSHDFVEFGFKRCMSIKGFKTYNSRKNYSPAGRALPERPKRKHKSDNSFIVKKIRSTRAKLCRFLFNICS >KGN47734 pep chromosome:ASM407v2:6:17563827:17566841:-1 gene:Csa_6G396650 transcript:KGN47734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQVLSSACRALNLLLFVILTSNLVNVAFVSAKSTIEPCSNSDSCNALLGYTLYTDLKVSEVGSLFQVDPISILTANAIDVSYPDVENHILPSQLFIKIPISCSCVDGIRKSVSTRYKTRPSDTLSSIADSIYAGLVSSDQIREANSISDPSLLDVGQTLVVPLPCTCFNGTDNSLPAIYLSYVVQPEDTLTGIAFRYSTTITDLMDVNAMGNPAIKAGDILAVPLPACASKFPSYASDFGLIVPNGSYAITASHCVQCSCGPGNLNMYCMPAPFAVSCSSMQCKNSNLMLGNVTAQQSSAGCNVTSCSYGGFVNGTILTMLSSNLQPRCPGPQQFPPLKAPPTTVSRDKNFAPAPAPQFDGSGSPAPSSGLIPSTTGSLPGLPPASGPIGSTSGMNSAPSTASSLVNPLASFPAAFLLFILVNYIMSFSL >KGN47731 pep chromosome:ASM407v2:6:17521148:17527364:1 gene:Csa_6G395130 transcript:KGN47731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRFARSSSRNLFHRVLNRKFQYCSDSSMAVQCGRRRKDQSSGFFWPTCRFESTEAARHVDQLYLHNHDDQELDFPGGSVPFTKEMRFMSESTEKRVPCYRVLHENGDAITPPNFNQLTKDVAIKMYKDMITLQIMDNIFYEAQRQGRISFYLTSSGEEAIAIASAAALLPDDVVLAQYREPGVLLWRGFTLQEFANQLFGNKGDYGKGRQMPIHYGSNHHNYFTISSPLGTQLPQAVGIAYSLKMDKKEACVVAYFGDGTTSEGDFHAALNFAAVLRAPVIFICRNNGWAISTSIEEQFRSDGVVVKGQGYGIRSIRIDGNDTLAVYSAVRKARSMAIRENMPVLIEALTYRVGHHSTSDDSSKYRTTDEIQYWKMERNPMDRFAKWISNNGWFSQEDELNHKTTVKRQLLEAIQNAEKTEKPPLSELFNDVYDNLPKNLQEQEEVLRQTRKRYPQDYPSDVPL >KGN48775 pep chromosome:ASM407v2:6:25035462:25039293:-1 gene:Csa_6G500670 transcript:KGN48775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGALFIMQDKDLNEYRPSSSSTSKHISCSHNLCDSGQSCQSPKQSCPYVIDYITENTSSSGLLIQDVLHLSSGCENSSNCTIQAPVILGCGMKQSGGYLSGVAPDGLFGLGLGEISVLSSLAKEELVQNSFSLCFNEDGSGRIFFGDEGPASQQTTSFVPLDGKYETYIVGVEACCIENSCLKQTSFKALIDSGTSFTYLPEEAYENIVIEFDKRLNTTSAVSFKGYPWKYCYKISADAMPKVPSVTLLFPLNNSFVVHDPVFPIYGDQGLAGFCFAILPADGDIGILGQNYMTGYRMVFDRDNLKLGWSHANCQDLSNEKKMPLTPAKETPPNPLPADEQQSASGGHAVAPAVAGRAPSKPSAATPCFIPSRFYSIRLPHLLLLALCLVSSCV >KGN47922 pep chromosome:ASM407v2:6:19079107:19083042:1 gene:Csa_6G411260 transcript:KGN47922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYWRMILPSFLLILTFLVTDLVRPTHAIWLNLPSSGTKCISEEIQSNVVVLADYVVISENYTHSPTISVKVTSPYGNNLHQKENQTSGQFAFTTAEAGNHLACFWVDGHHQGGGEVSLNVDWRTGISAKDWESVAKKEKIEGVQLELMKLEGAVKAIHENLMYLKNREADMRIVSEKTNARVAWFSIMSLGICIFASALQLWYLKRFFHKKKLI >KGN48625 pep chromosome:ASM407v2:6:24097622:24100853:-1 gene:Csa_6G495790 transcript:KGN48625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELKLSESRDLTRIERIGAHSHIRGLGLDSSLEPRAVSEGMVGQTAARKAAGVILQMIKEGKIAGRAVLLAGQPGTGKTAIAMGMAKSLGLETPFAMIAGSELFSLEMSKTEALMQAFRKSIGVRIKEETEIIEGEVVEVQIDRPAVAGAASKTGKLTLKTTDMETVYDLGAKMIEALGKEKVQSGDVIAIDKASGKITKLGRSFSRSRDYDAMGPQTKFVQCPDGELQKRKEVVHCVTLHEIDVINSRTQGFLALFTGDTGEIRAEVREQIDTKVAEWREEGKAEIVPGVLFIDEVHMLDIECFSFLNRALENEMAPILVVATNRGITTIRGTNYKSPHGIPIDLLDRLLIISTQPYTEDEIRKILDIRSQEEEVEMSEEAKRLLTTIGVETSLRYAIHLITAAALACQKRKGKIVEMEDINRVYHLFLDVKRSTQYLMEYQNQYMFSELGDGEEDDSNAMNP >KGN49254 pep chromosome:ASM407v2:6:27356576:27357494:-1 gene:Csa_6G518100 transcript:KGN49254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSILLPMKETMEEAPWPQKLQALTHILLCPTTAPPLASQFFIATKIPCYLNWDYPPLLCPKSSIFPFLSLHLQWGFSLFLKKLSRFGLPKTSWRSKCPYQLPPPLILAKGVHPAQWDDEQRINYARMRLRRKRLGSDVHPLIPIMIPNMLLFTLLLWNPFSSTD >KGN46045 pep chromosome:ASM407v2:6:3694379:3696056:1 gene:Csa_6G046230 transcript:KGN46045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMRSVLTRSSLTTSTARAGGFRFLSDGKGRVLSEEERAAENVYIQKMERERLEKLRLKAEKEKAQKEKEQSEKKHEGTQ >KGN47665 pep chromosome:ASM407v2:6:16828385:16835548:1 gene:Csa_6G367110 transcript:KGN47665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLSVRSSSNNDKKGKKKKKKSSGPKALTMKVSAPKANPFESIWSHRKFDVLGKKRKGEERRIGLARSLAIEKRKKTLLKEYERSRKSTEFSDKRIGEWDEELGEFDKAILRSQRELKRKLSKSSKFNLSDGEEDDYFGTQNLGALPANDDFEDEIIPDDDEDEAAAAETNKGAYRDTQQKGRLLEGEDAKRKSKKEVMEEVIAKSKFFKAQKAKDKEENEQLVEELDKKFETLVQSEALLSLTGSGNSNALKALVQKSVSNEHLKKDNLPAAGKTETFNQEKPDAFDRLVKEMAMEIRARPSDRTKTPEEIAQEERERLEVLEEERQKRMLAPDNSSDEEDDDAETAFVGKQNYISGDDLGDSFTLDDERNHKKGWVDDILRRKDADGTESEGDDSAEDSDDSQDDEDGDSDDESEEDDSNHGVKQSLKDWEQSDDDILDTESEDDDEASEGGKQQDEVHPKGKVDHEGPKKAHKRSIAKSSKDDGISEDAKKSKKDTKHQSKPELPYIIDAPESFDQFLSLLANCSNSDIILIIGRIRASNAIQLTDKNLEKMQRFYGILLQYFAVSANKKPLNVELLNLLFKPLMEMSMEIPFYAATCARMRISHTHQHFCVQNKSPENSLWPSSKTLILLRLWTMIFPCSDYNHVVITPTILLMCEYLMRCPILTCRDIAIGAFLCSLLLSVARQSSKFCPEAINFLRTLLAAAVSSSSSSQNPQICHLVDSQALGKLLHIQNPTNEITPLDFFFIMNLNEDSLVFSSDNFRAGLLSTVTETLDGFVNIYGQLKSFPEIFLPISTILHELAQQENMPDVLQNKFRKVAEAIEAKTEEHYMGRQPLRMRKQKTAPIKLLNPKFEENFVRGRDYDPDRERAERRKMQKLLKRETKGAARELRKDNHFLSEVKARDKAKQDEERAEKYKKARTFLEAQEHAFKSGQLGNGRKRRK >KGN46006 pep chromosome:ASM407v2:6:3303057:3317625:1 gene:Csa_6G042400 transcript:KGN46006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHEVLIPGLDSILTSDVAEGDDHGSESEASEWSFASFSNEQESQTTDRDIMMVHLLHLACAPKGPLADALPKLASELCNLGVLSKAALDLASKPSSTFHKKFKTAFQEQMNATSFSQFWTSDFGGSASSQLSSRYLNDFEELKPLGHGGFGHVVLCKNKLDGRHYAVKKIRLKDKILPVNDRILREVATLSRLQHQHVVRYYQAWYESGVSDSYGEAAWGSMTPLSSTFSYKGASATDAEHENKTESTYLYIQMEYCPRTLRQDFESYTRFDKELAWHLFHQIVEGLAHIHGQGIIHRDLTPSNIFFDARNDIKIGDFGLAKFLKLEQLDQDVGVPLDTTGVSIDGTGQVGTYFYTAPEIEQGWPKIDEKADMYSLGIVFFELWHPFATAMERHLVLSDLKQKGELPTVWVAEFSEQASLLRRLMSQSPSERPSASELLQHAFPPRMEYQLLDKQPPSAIAAIDDDSCF >KGN47077 pep chromosome:ASM407v2:6:11979727:11981144:1 gene:Csa_6G185270 transcript:KGN47077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTFQVALRTLGGGLSRGLKQPSVLRNLSTNAARGSGFDDDNKGKFDSFETADDFERRIFGGGSMVDSGNDAFFQKFDRLGKPRERIGSKLSGGNNFHALYDREDNFDTLSDGMDGKLKKASTYFKFDPEEIEKDDYTFRADMSFSPGSTYNIKDLDLTKPGFRKPPKRVEFQVTTEEALRKADFRVSIPAFHLPFRFTNPVSHFIMDT >KGN49102 pep chromosome:ASM407v2:6:26628173:26632810:1 gene:Csa_6G513660 transcript:KGN49102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRFIYKEEQFLPKSESYIGQRVVEGDDIKPPRVCNWHHVWRHGQSIRCKIKYWDINWSWPGGKDRYQLLKGSEFNVINSSDDTIEISFRKDFDLSTRGNKLPLGVDIRYIMRTGIPGFYCYAIYEHPSECRAFDLAQTRMVFKLRQEKFHYMAISDEKQRIMPMPEDLRPGRGEELIVPESVLLVNPINPDLKGEVDDKYQYSEDNKDGGVHGWISSSPNNIGFWIVFPSHEFRNGGPTKQNLTVHTGPTCLAMFHGTHYIGEDILTHIKEGEAWRKVFGPILVYLNSTSDVSEAHNLWIDAKEQRMQEETAWPYNFVASSFYLMARERGSISGRLLVRDRFVSSSPIPARDAHIGLSAAREEGAWQIESKEYQFWVKTDSNGDFTIRNIIPGVYGLHGWVPGFIGDYLHKSLVTVSAGSYNHLGILTYSPPRDGPTVWEIGFPDRTANSFYVPDVNPMYVNKLFLHSPEKFRQYGLWEGYSDLHPRNDQIFTVGINDPKKDWFFAQVCRRGEDGKYVATTWTIKFNMTSLTDGTYRLRLSIASATRSDLKINVNSMGSESSLVFQLMNLGMDNTVCRHGNHGLYRIYSIDIPSSMLVKGDNCIFLTQARNGDPLCGILYDYLRLEAPDATP >KGN46497 pep chromosome:ASM407v2:6:6789299:6792386:1 gene:Csa_6G104050 transcript:KGN46497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSASSPPQAYEVLSKRLRSIEDIPLKVSAVQPLDSAFRYTSVYPPEPHPLAEEKASDRRTLKTFAPSCIKPLEVMIQLEGSGNWPTDEVAIEKTKTAFLLKIGESLQNDWGMTCIASEDSVNVLVSGYAFRLKIWHERGLSLLSKESGNDLSNRTSLTDKQLFIQSQHSSMISGLQARHSIYGPVVRLAKRWIASHFFSACLVEEAVELLVASIFLKPLPFHAPLSRITGFLRFLRLLSEYDWTFSPLVIDINNDLGANEEKEIAVRMC >KGN48159 pep chromosome:ASM407v2:6:20807729:20815381:1 gene:Csa_6G445230 transcript:KGN48159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTTLHTTHQSGAIHRFIPFIAPALLVSISYVDPGKWAATVEGGARFGFDLFVLVLLFNLAAILCQYLSASIGVVTGRGLAQICNEEYDKCTCFFLGIQAEASVILLDLNMILGISNGLNLLLGWDLFTCVLLTGVAAALFPPFADLLEDGRAKFLYICMAGFVLLSLVLGVLISQPEIPLSMNLMPTRLNGESAFTLMSLLGASVMPHNFYVHSSIVQQHQSPPNISKEVSCYNHLFAIFCIFSGIYVVNNVLMNSAANVFYSSGLALHTFTDALSLMEQVFGSSVVYVLFLLVLFLSNQITALTWSLGGQLVLTNFLKLDIPGWLHCATIRIIAIIPALCCVWSSGAEGMYQLLIFSQVMVALLLPSSVIPLYRVASSRTIMGALKISQLVEFIAIGIFIGILGLKIIFVVEMIFGNSDWVVNLRWNMGSGMSIPFVVLLITACSSFCLMLWLAATPLKSATTIAQLDAQVLNWDMAEVRPDSSEERENIDLGKSSYSAEPIESHSDLSSTKFDFNLPENIMEPDQVLGSVNQNENRSSTVVPSSPKYVQEELESTEELVSSSIVTHDVPDSTLADKKVLKIESVEAVEKTVGLDGDLRSEKDDYEVDNWEAEESLKEISGNIPSSTSEGPGSFRSIGGRSEEGGNGTGSLSRLAGLGRAARRQLTGILDEFWGQLYDFHGVPTQDAKVKKLDLLLGFTSLKLDAVGKDFPHSSPIGCKTSDPISSSLYDSPKSQRVQSGLEPPYGIQKGHQPLWSNHMQHWDAYVNNSSHNALDSGVKRYSSLRSLPSTESWDYQPATVHGYQLTYLSRMAKDRSSGNSNGQLDSSGSKYHTLGGGGAGLRDSVAFAMGQKLQNGLGACQQAAPPGFSNITVSRKPSSESERKYYDHSLSGTGENLVSVSNTKKYHSLPDIHRDQHTSDKSSQWDNVSGYGTSIGRITARGVSTNSGSRLVSPLAFDELSPANVYSGALSPQMNPHLDSGSFWHRQPSEQFGLDKNSNSESKGIGRLHSISHEASFVVNSEARLLQSFRDCIVKLLKLEGSDWLFGQSDGADEELIDCVAAREKFLYEAEAREMGRVVRMKESPSFSPDRRPGSGMKNDTNFSNVSISSVPHCGEGCIWRSDLIVSFGVWCIHRILDLSLMESRPELWGKYTYVLNRLQGIIDPAFSKPRIPMPPCFCLQIPQAFQQRSSPQIANGMLPPAAKPGKGKCTTAAMLLDMVKDVEIAISCRKGRTGTAAGDVAFPKGKENLASVLKRYKRRLSNKPVATHEVSSISRKISATSVPYSS >KGN49538 pep chromosome:ASM407v2:6:28968986:28971448:-1 gene:Csa_6G538670 transcript:KGN49538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSMRSKREKRLRAIRREMVDPFYDKKEAAKLAAQEAALAAPKLPVRSSPLTSNVSTMDVAPPSTSSAADAAMDVDVDDCSRSDVLKPVGGVGKKSKRKFKVGKAKRRGKCKVKRNRHI >KGN48914 pep chromosome:ASM407v2:6:25785845:25791040:1 gene:Csa_6G505910 transcript:KGN48914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVCFSASKVSGSNSNTNNTVVNHHRRGSTANPQPSTTDTSQNQKRPNDNPKRNSQQLKIKEKNVSRRQSGVIPCGKRTDFGYHKNFDERYTIGKLLGHGQFGYTYVAIDKGNGDRVAVKKIEKNKMILPIAVEDVKREVKILQELTGHENVVQFHNAFEDDSYVYIVMELCEGGELLDRILSKKDSRYTEKDAAVVVRQMLKVAAECHLHGLVHRDLKPENFLFKSAKEDSHLKATDFGLSDFIKPGKKFHDIVGSAYYVAPEVLKRKSGPESDVWSIGVITYILLCGRRPFWDKTEDGIFKEVLRNKPDFRRKPWPSISPSAKDFIKKLLVKDPRARLTAAQALSHRWVREGGDASEIPIDISVLSNMRQFVKYSRLKQFALRALASTIGEEELADLRDQFDAIDVDKNGSISLEEMRQALAKDLPWKLKESRVLEILQAIDVNTDGLVDFTEFVAATLHVHQLEEHDSVKWQQRSQAAFEKFDIDKDGFITPEELRMHTGLKGSIDPLLEEADIDKDGKISLSEFRRLLRTASISSRPHI >KGN48674 pep chromosome:ASM407v2:6:24457868:24460825:1 gene:Csa_6G497250 transcript:KGN48674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPITNSIASSVDIKTLRRSPRFLPSTQQQEFSTTPRSLRFLRRNEISSPTTPTFSRAQCPIRQVHSSHASLKPSKNVSLKTPKSVRVNTPKRTSKSGVVSSKNKDSSTGSKKYSIFENGFKEKRSPRRSPRLSSAPKIDNALEGRNAKVSKSSISSGGCSNDLKNPSPNVRRSPRFSNGVGGNRSFGNSHSFSGQQAGLEKSSRKRENHSGSRRTTGSLRDLNVDASVSSHGKKVAAAERKKGNSADHEDIATKAEEKQVVDGEMEKKSVGTRKRKREDGVVGIRQGWTKEQEVSLQRAYYAAKPTPHFWKKVSKLVPGKSAQDCFDKVHSDHMTPPQPRPRFRTRSTKPSPMELLFSECELLNVDGAKSRKPRGKSQKSHNAQKAVRYLLEKNFQGAINYEADLFSQLEPNINLSNRTPLPSKQLSSIMDLQGNQGFLHGRSLSNHKKPLSRFSTSVERVVSPPVLKQVKNRVLHEKYIDQLHSREAKRKSMSKCRKSCISKEVGSSKEIHATRTNDLRAAKNALISDARDAIQQLQHLETNAMNNIPGFEDDEDFCDNVDYDDEDDP >KGN49546 pep chromosome:ASM407v2:6:29009450:29011680:1 gene:Csa_6G538750 transcript:KGN49546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKDRERRMYVGVIFNYAAELKLFLSALLLLCALATLLQFLPSRFTLSISDLRSCSATQDSPSSALSATLHSSTPTPTPTPSPSPYLPTDQLLPNGVLRRSFHPYGAAAYNFITMGAYRGGLQNFAIVGLASKPLHVFGHPTYQCQWIPRLQPSNPINASAFKILPDWGYGRVYTVVVVNCTFSHPVNADNQGGKLLLYASTSGGGDRNFNLTDTIEVLTESPGGMNASLFTSRPKYDYLYCGSSLYGNLSPQRVREWLAYHIRLFGVRSHFVIHDAGGVHEEVLQVLKPWMELGYVTLQDIREEERFDGYYHNQFMVVNDCLHRYKFMARWMFFFDIDEFIYVPPKNTIKSVLDSLSEYSQFTIEQMPMNSKTCLTEDAGRTYRKWGFEKLVYKDVKRGIRRDRKYAVQPRRVYATGVHMSENVDGKTTHKTEGIIKYFHYHGTIAQRREPCRTLSNLTQFNLEDTPFLMDTTMRLVAPAVKRFELKMIGTRLQSTRQ >KGN48171 pep chromosome:ASM407v2:6:20880825:20881282:1 gene:Csa_6G446330 transcript:KGN48171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFLVLILSMMILSYTCSATSRRIALGGLHGQGNQYFFKQAQKVEENNSRKSNFKHPESGVSNHHSLPREYFNNSQGNDNDNGNGGSG >KGN48101 pep chromosome:ASM407v2:6:20415435:20418731:-1 gene:Csa_6G431760 transcript:KGN48101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSGISSQSSELTDAVQGLVLCDQSDKDRRAMATSSGISIQSAEISDAVQEQVTCDQLEMGKKVEIQGTGHGSHDGACAICLNKIALQETALVRGCEHAYCATCILRWASYTKKPTCPQCKHPFEFLIVHRSLDGSIHDYMFEESVCLLLRASWYKPPIIEEREETYDDPEDDQYYPYEDDDEELEDAYLGESPSLRIGNRRWGYNGYVRAGRQEARPVLRQEFTGPSSSREPVTKQASSKDKTGRRAKRALKREAADKAAAAKHQEHLARFGRK >KGN47944 pep chromosome:ASM407v2:6:19304173:19304766:-1 gene:Csa_6G418920 transcript:KGN47944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAVLRLEPFSLALPRTRWYSKTGWLSISFGSLFLLYYVHREKTRIVHSILRVLHINCQTFKIPALLTLLHSHSLNILFDVCGYFGLST >KGN48882 pep chromosome:ASM407v2:6:25575315:25576928:-1 gene:Csa_6G504620 transcript:KGN48882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAEATEGVVIRCITIDSWEHQIQQGNGSKKLIVVDFTASWCGPCRFIAPFLDELARKHPNVTFLKVDVDELESVAKDWGVEAMPTFMFLKEGRILDKVVGAKKEELQQTVAKHLATASA >KGN47350 pep chromosome:ASM407v2:6:14460346:14462588:-1 gene:Csa_6G302180 transcript:KGN47350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRIRSQGKTSIRWETLLTIFTCSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSRFNQECYILSYQCYKPTDDRKLSTEFCGEIIKRTKNLGLNEYKFLLKAVVSSGIGEETYGPRVMFAGREDTPTLDDGISEMDEFFHDSIGKIFQKSGFSPSDIDVLVVNVSMLATIPSLSARIINYYKLRSDVKVFNLTGMGCSASLISVDIVSRVFKSHKNINALVITSESLSPNWYSGNDRSMILSNCLFRSGGCAILLTNNRALKNRAMFKLKCLIRTHHGARDESYGCCIQTEDDKGYTGFHLGKNLPKAATRAFVDNLREIAPRILPARELLQFLILSLVKKLNTNPKQGSGAKTSAVNFKTGVDHFCIHTGGKAVIDGIGLSLGLEKYDLEPARMTLHRFGNTSASSLWYVLAYMEAKKRLKKGERILMISFGAGFKCNSCLWEVVRDLEDGNVWEDCIEHYPRESISNPFLEKYGWIQQEDIATFKLPE >KGN48215 pep chromosome:ASM407v2:6:21208907:21212091:-1 gene:Csa_6G448730 transcript:KGN48215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFWPREQPRGGRAGDGGRHFQDQWEHQEGRGRGRPDLGFHFQTPNPWEQQPRPTTQRDQHATVVDPKNNETITIKIPVVSWKELQYPSGKLGAIPKRPEVIDEQKQMEYLKKILSSKVYDVASESPLHFAPNLSKGTGVNIWLKREDTHPVYSFKLRGAYNMMSQLSKNDLEKGVICASAGNHAQGVALAASKLKTQSLTVMPRSTPPNKIEAVKKLGGNVVLFGDTFDDALEHAKQVCKEQNLKIIPPFDNEDIIVGQGTVGMEIGHQMREPVDAIFVPVGGGGLIAGVASYYKLVYPKVKIIGVEPYDANSMASALYNDQVVQVQDVGTFADGVDIKRVGDETFRISRELVDGIVLVDKHEMAAAIKEVYEDTKSMLEPAGALAVAGAKAYYKYNNIKGVNVVAITSGANMNFDQLSSIAGKVDSEATFASILPEKPGSLKSALSHLVGSRNITEIKYRHNSEKDAIVFYSVWLSEISELEDMKKQIESSTFETFDLTNNEVFKDHFRYMVGGRSNVPNEVFYRFTLPDRPGSLSQCLDALSPRWDISLIHYRRQGTISGDVLIGLQVRDSEMDELNESAKKLGFDYAAVSYNDPASKLFTNI >KGN47891 pep chromosome:ASM407v2:6:18883308:18884144:-1 gene:Csa_6G409970 transcript:KGN47891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNKSSETKQAFFVFGALAMGWLAIEMAFKPLLGKARSAMDKSDPARDPDELLDHTSSDDDRNATGTA >KGN48490 pep chromosome:ASM407v2:6:23192064:23193089:-1 gene:Csa_6G490050 transcript:KGN48490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQMKHFVLVHGACHGAWCWYKIKPLLEAAGHRVTMLDMAGAGVNRRAIQEVKSFEEYSEPLLKTMACLGPNEKVILVGHSFGGMSLALAMENFPHKISASVFVTAFVPDTHHPPSYVLEQFLESLPREFWRDTELGENREDGGSSSWFLFGPKCMANKIYQLSPTEDQALGSSLVRPAKLFIENLGKAEKFTEENYGSVKKVYVIGGEDRTIPKQLQKWMIQNSDKRIQNVMEIDEADHIEKIKD >KGN47174 pep chromosome:ASM407v2:6:12582067:12583288:-1 gene:Csa_6G193600 transcript:KGN47174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSLHSYGIRLEANEIKKFARRISLCWCMESLPAFYMNPLSSLPQLRGLHLRYNTITVEGQYQVFILLSASLAT >KGN46035 pep chromosome:ASM407v2:6:3648747:3649147:-1 gene:Csa_6G045140 transcript:KGN46035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGKKTATDRIRLLYAGPSIVAIGYSDYFSHDRDTNSTTPIRRESDVLELQRPGPSKIATVLLPVDDLK >KGN49530 pep chromosome:ASM407v2:6:28929139:28938270:1 gene:Csa_6G538590 transcript:KGN49530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRTRLDLTFHHSVSTQSEESALDLERNYCSHLHLPSSSPSPSQCFAPGSQLSETNAAYFSWPTSSRLNDAAEDRANYFGNLQKGVLPEILGRLPTGQRATTLLELMTIRAFHSKILRRFSLGTAIGFRIQKGMLTDIPAIIVFVARKVHRQWLSDVQCLPAALEGPGGIWCDVDVVEFSYYGAPAATPKEEVYTELVDGLRGSDPTIGSGSQVASQETYGTLGAIVKSRTGTRQVGFLTNRHVAVDLDYPSQKMFHPLPPSLGPGVYLGAVERATSFITDDVWYGIFAGTNPETFVRADGAFIPFAEDFNMNNVVTFVKGVGEVGDVNKIDLQSPINSLIGRKVIKVGRSSGLTRGTIMAYALEYNDVKGICFFTDFLVVGDDQQTFDLEGDSGSLILLTGQDEEKPRPVGIIWGGTANRGRLKLKVGQPPENWTSGVDLGRLLDLLELDLITTNDGLQAAVHEQRNNSVGGIDSTVAESCLDRIPLKYRLKENSELLGLSVQQISPEGESSQGMISPFKHAFQIENGFEVTPSIELQFIPRLTSNSPLDQKNEQIQELKNLSALRNGYDSEVSVSLQLGEHEPEAKRRKHLDCLSSIKESSS >KGN49374 pep chromosome:ASM407v2:6:27962433:27963709:-1 gene:Csa_6G522680 transcript:KGN49374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTMALSSPTLAGQAVKLSPNAPEIQGNAKFTMRKTASKSVSSGSPWYGPDRVKYLGPFSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGCVFPELLSRNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLVHAQSILAIWACQVVLMGAVEGYRIAGGPLGEVTDPIYPGGSFDPLGLADDPEAFAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAYATNFVPGK >KGN49311 pep chromosome:ASM407v2:6:27637477:27638621:-1 gene:Csa_6G519630 transcript:KGN49311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKGSTSDQAASERCFLEWMKIQEDSQKELFQALKAIENRPNSNHEETERQLTQLVDKSIEQFQDYIDRRMQLAKNDVSLFFAPVWCSTREASLLWIAGCRPSVFIRLAYSLTGYELETRMAEFLQGMKSMEELAGELTPQQMEQLDSLQMRTIKEEERLTSELARVQEEMADQTVVGIAMRSMKEEGGSEELERALEKQDGEMVRLIQQADKLRIRTLNELTEIFRPLQAVLFLAFSKKLHLSIREWGQRSDRRHGRFRNS >KGN45946 pep chromosome:ASM407v2:6:2576892:2579734:1 gene:Csa_6G031950 transcript:KGN45946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSFENPEQFYAHSSSSSIFLRGDDSGRFHTRFLPDIEELQQSAVAIAAFHQDDAVDLSSSSVFGLKSTHNTAFPIHLPYGNTDVVSIGRTGYLDTGQELMRLKRVAPPHSLAVTVAASSSLGNGSFENWGESAMADNSQQTDTSTDIDNDERNQFQGAVHGALMAVDSMDQSKAKSADQKTLRRLAQNREAARKSRLRKKAYVQQLENSRQRLAQLEQDLHRARQQGIFVASGVGDHCASMAGNGALAFDLDYARWLDEHQRLINDLRALANSQLGDDELRFLVDGVMTHYDELFRLKSVGAKADVFHILSGMWKTPAERCFMWLGGFRSSELLKIVGSHLEPLTDQQLMGICNLQQSSQQAEDALSQGIEALQQSLVETLSSASLGPASSGNVADYMGQMAIAMSKLTTLENFLHQADLLRQQTLQQMHRILTTRQAARALLVISDYISRLRALSSLWLARPKE >KGN46305 pep chromosome:ASM407v2:6:5521553:5523347:1 gene:Csa_6G081460 transcript:KGN46305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPLFYEILEKPATSGIIGICCAIWFYIQKKNIGYSHVGLSYETAVEGHHWRIITSAFSHISVIHLVFNMSALWSLGAVEQLGHIGLGVPYYLHYTLVLVILSGVLVLGMYHLLIQKFKLEYFRRVTAVGYSCVVFGWMTILSVRQPSSKLDLFGFLSLPISFAPFESLIFTSIIVPQASFLGHLSGIIVGYAIAWGLIHGMNNFWAISMLGWVVLVFIFSLKKSNTYNFDFLEIESVTDPSLPSVRFLSSGNGRTLQMNALPTGDVEIV >KGN48884 pep chromosome:ASM407v2:6:25586864:25592744:1 gene:Csa_6G504640 transcript:KGN48884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKRDLAILMLSAFAIFFSLQHEGDFSFREAWMHLTDEYPIKYEGDRLPPPVVADLNGDGKKEVLVATHDAKILVLEPHSRRVDEGFSHARVLTEASLLPAKVRISSGRRPVAMATGVIDRHPRQGQPVTQVLVVVTSGWSVLCFDHNLNKLWEANLQEDFPHNAHHREIAISITNYTLKHGDSGLIIVGGRMEMQSHIFMDPFEEIGIAEKNAEQHRRSATEKEASENSGSIDLRHFAFYAFAGRSGLPRWSRKNENIEAHSSDASQLIPQHNYKLDVHSLNARHPGEFECREFRESILGVMPHHWDRREDTVLELAHFRRHKRKALKKTSGKSVNYPFHKPEENHPPGKDSSKRIPKIIGTAANIAGSAKTKKPLPYVPTITNYTKLWWLPNVVVAHQKEGIEALHLASGRTICKLHLQEGGLHADINGDGVLDHVQAVGGNGAERTVVSGSMEVIQPCWAVATSGVPVREQLFNASICHFSPFNYFQHGELSRFGRTPDMASLEVATPILISRKDGHRHRKGSHGDVVFLTNRGEVTSYSPGLHGHGADWQWQITTGATWSNLPSPSGMMDAGTVIPTLKAIDLRVGATQEMVLAAGEQEAVVISPGGSVQASIELPASPTHALITEDFSNDGLTDIILVTSTGVYGFVQTRQPGALFFSTLVGCLILVMGVIFVTQHLNSIKGKPRPSATR >KGN46415 pep chromosome:ASM407v2:6:6205409:6206792:-1 gene:Csa_6G091880 transcript:KGN46415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKFFSVQQIHTHCESSHSIKLVSGPPSCGKTSLLFQFAFNLGLEGNVTFICNRRKLENKPPYLSQGVDPTSETFQRIQMKYLEDDDGIKKYFSAFHLHSTLPVAVVIDDFGDFFEERRCQEKYANPRGRDLAMVRTLALCQNAVSLANQCRPCQLVLSDTHHGESPRLIFIYKRWVPTIFTIRGDGAGWFILRSINNCGIDCCLRTKRAKYSIALQFLSLEEISEDSREQ >KGN48496 pep chromosome:ASM407v2:6:23213743:23215445:-1 gene:Csa_6G490110 transcript:KGN48496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNNNNLHFVLVHGAGHGAWCWFKLLSLLRSAGHHATAIDLASAGTNPKKLDNVASIEEYVEPLMELIEGLPLQQKVVLVGHSYGGFAISLAMEKFSHRILVSVFVTAYMPHFLYSPATLLQKLFKSLSAETLMDCEFKFGDDPEMPTSVVYGHNFLRQKLYTNCSQEDLELGKLLVRPFKMFFKDLSKESIVTEAKFGSVNRVFVFCEGDDVMEGKFQRLMIEEFPPKAVKYIYGGGHMVMLSKPTQLYQHLVEVTESFNSTNQCK >KGN48338 pep chromosome:ASM407v2:6:22115173:22115639:-1 gene:Csa_6G483240 transcript:KGN48338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLGIGISGGLQIVPLDLSDNTLCDLLVQHVMKHCQSVLQIDATFEGLIDGKIEIGLNGKTYTLKLKIKVRIGDAYVVKIVLVKLFFPFVGFPSVVSFEYLEEINI >KGN48828 pep chromosome:ASM407v2:6:25320610:25326096:-1 gene:Csa_6G502660 transcript:KGN48828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNRNFTSNATRAVLKDKKWDALVVGAGHNGLIAAAYLARAGLSVAVLERRHVIGGAAVTEELIPGFKFSRCSYLLSLLRPSIIRDLELRRHGLKLLKPIATSFTPCRDGRYLLLGRNDEHDYLEISKFSKRDADAYPRYEKQLHKYCKFMDFILDSRTPEALLEGSSFSDRLRDKVQNSIFWGRCLRHALSLGQKDMLELIDLLVSPTSKVLNRWFESDVLKATLAGDAIIGTMTSINTSGSGYVLLHHVMGETIHGDRNIWSHVEGGMGSVSMAISNAAKEAGVHIATNAEVSELMIEGSGRLKGVLLADGTQVHSSVVLSNATPYKTFVEMVPQTFLPDDFLRAIKCSDYTSGTTKINVAVDKLPQFQSCNLNNQDEVGPQHTATIHIGAERMEDIGTACQDAWNGFPSKRPIIEMTIPSSLDKTVSPPGKHVVSLFTQYTPYEPLDGSWDDDTYRDLYAKRCFKLIDEYAPGFSSSIIGYDMLTPPDLEKEIGGNIFHGAMGLDSLFLMRPVKGWSNHRTPIKGLYLCGSGSHPGGGVMGAPGHNAAHVVLEDLPKP >KGN47848 pep chromosome:ASM407v2:6:18565650:18566744:-1 gene:Csa_6G407090 transcript:KGN47848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGFSTVDGFVEIAESSAEMIKYIANEPSTGLFYIQQHTKNAVPNVINLKNSVVHKSHETTLHTEDSEDSITMLRSMKECGFPIADEMIRDIRKSLAIMSTKQPRRGLIHNTSGMQQQQPGRMSTWRSTSWGRRAIGAPFNDDSGGYISTVFKSAREKASNFKWPQLDIKEDLAEVEVDKLQPQAIQPPVASTTSSSSQPDVDNEELPLSSQVNDQSQQDDMVDECLNTDLLSVSDNFDDFRADKEAKLEEWLGGSSGLNNLRDLRAG >KGN48709 pep chromosome:ASM407v2:6:24647834:24648535:1 gene:Csa_6G499050 transcript:KGN48709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRGTRARRKRKNDSNNVKSRVESFWTLCPYCYYLFEYEIAYLDCCLRCQNCEKAFHAVSIEGPLPEATEVDGKEQYKLNLALFKICYSHESIVVMDDKKKEINVDSKIAVTCKSEDLMDVDIGSNNIQRRRVIANHEETSEYLNIKEFCEKLQEKLVTMDEDDHAKQEIKQSRTVGELSDVKMVKTWEKNKSEIVNRHTLMADHLENGMVGEFSELKFYLDDDDIYIDLADL >KGN48011 pep chromosome:ASM407v2:6:19768927:19769232:1 gene:Csa_6G424020 transcript:KGN48011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKRDDDTVSKGSLKREINLKKGMKSNILRKKKNLHHYNPPAKGKERKRKERKGKGYLSCFSSLLHSIVIIIHMVRCAVCLASKILLWIVFSFPHPDPNHI >KGN48465 pep chromosome:ASM407v2:6:23038795:23040540:-1 gene:Csa_6G488350 transcript:KGN48465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRMAYLALNSDPLMEELINSDIHELKIAATRLLEHATKLGGKGLGTSFFKWLASFAAIYLLILDRTNWRTNMLTSLLVPYIFFSLPQGIFNLLRGDAGKWIAFVAVVLRLFFPRHFPDWLEIPGSLILLLVATPGFFAHTLRDHWAGVVICLIIGCYLLQEHIRASGGFRNSLTQTHGISNTIGIILLLVFPVWAMVARFF >KGN49472 pep chromosome:ASM407v2:6:28565896:28566852:1 gene:Csa_6G525570 transcript:KGN49472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLLRLCEVMNPKDYYKAKEGDDIFLCEYEYGVRWHSFKRLAEIDKEQDSEAVDSDTEWKLDQNADSDSDGDVKYEEERAQILLSRISSTHELAANSKKGQFCGLQKIGAKKIPEHTRSRKQTELERAKATLMLASLPKSLPCRNKEIEEITTFVESALCDDQCLGRCLYIYGVPGTGKTMSVLSVMRNLRAKVDTGNLRPHCFVEVNGLKLAAPENIYRVIHEALTGHRVNWKKALQLLTKRFSDVNSCRDDERPCILLIDELDLLVTRNQSILYNILDWPTKPQAKLIVIGIANTMDLPEKLLPRISSRMGIERL >KGN45736 pep chromosome:ASM407v2:6:850296:853958:1 gene:Csa_6G008670 transcript:KGN45736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPNNRIIRKPPFSFPSTLTTHNFITQTLKPNSVSFLFHQSRTRLPSTNFSTFQCLSVEIAKMSSGLQPVPITPQKHDPAWKHCQMFKNGDRVQLKCLYCHKLFKGGGIHRIKEHLAGQKGNASTCHSVPPEVQNIMQESLDGVMMKKRKRQKLDEEMTNVNTMTGEVDGISNHMDMDSSIHLIEVAEPLETNSVLLLTHEEGTSNKVGRKKGSKGKSSSCLEREMIVIPNGGGILDSNRDRNQVHMAVGRFLYDIGASLEAVNSAYFQPMIESIALAGTGIIPPSYHDIRGWILKNSMEEVRSDFDRCKATWGITGCSVMVDQWCTEAGRTMLNFLVYCPKGTVFLESVDASGIMDSPDLLYELLKKVVEQVGVKHVVQVITRFEENFAIAGRKLSDTYPTLYWTPCAASCVDLILGDIGNIEGVNTVIEQARSITRFVYNNSMVLNMVRKCTFGNDIVEPCLTRSATNFATLNRMVDLKRCLQNMVTSQEWMDSPYSKRPGGLEMLDLISSESFWSSCNSIISLTNPLLRVLRIVGSGKRPAMGYVYAAMYNAKLAIKTELINRDRYMVYWNIIDQRWEHHWRHPLYAAGFYLNPKYFYSIEGDMHGEILSGMFDCIERLVSDTNVQDKIIKEITSYKNASGDFARKTAIRARGTLLPAEWWSTCGEGGCPNLTRLATRILSQTCSSVGFKQNDALFDKLHDTRNHIEHQRLSDLVFVRSNLQLKQMATNVNEHYPTDPLSFDELGIVDDWVWKKDLSAEDCGNLEWTVLDNPPFSPPMRLPQSDGYDDLVAGFDDLEVFKRQRESEDDNIS >KGN46966 pep chromosome:ASM407v2:6:10876364:10877083:-1 gene:Csa_6G153490 transcript:KGN46966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEVESDDLLFAELTRRISLLIMDDDELPIAHTNFTRTVHQLRPRELPLMMDYENGFVRESKGTGVFIPARLPPRKRKQKNVTAGYRIKSENKRLNGQPSPTYYCKPK >KGN47180 pep chromosome:ASM407v2:6:12607090:12607858:-1 gene:Csa_6G194150 transcript:KGN47180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISRVSSLLAVFLILAPHFVFSMAAAVDFSGDHELLFVPTTSDFFDDNDDFGFGMEFQMDSEINRRILATTRYISYGALRRNNVPCSRRGASYYNCRPGAQANPYTRGCSAITRCRS >KGN47858 pep chromosome:ASM407v2:6:18665789:18669859:1 gene:Csa_6G408170 transcript:KGN47858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALPFSSSLFSSKPSIYFPSSISPSFPHPSLSPISNSSPISSSFSISHSNFLSNYSSFSSSSSFRPSTVFSFSVRASSSPKKKVLIVNTNSGGHAVIGFYFAKQLLGSGHEVTILTVGEQSSDKMNKPPFNRFSEIVSGGGKTVWGDPAEIGKVVEGASFDVVLDNNGKDIDTVRPVIDWAKSSGVKQFLFISSAGIYKTTDEPPHVEGDPVKADAGHVGVEKYIAEVFSSWAVFRPQYMIGSGNNKDCEEWFFDRIVRDRPIPIPGSGMQLTNIAHVRDLSSMLTLAVEKPDEAHSNIFNCVSGRAVTLDGMAKLCAQAAGRPVNIVHYDPKAVGVDAKKAFPFRNMHFYAEPRAAQEILGWEGTTNLPEDLKERFEEYVKIGRDKKAIKFELDDKILESLNVPVAA >KGN46319 pep chromosome:ASM407v2:6:5611482:5613543:1 gene:Csa_6G083540 transcript:KGN46319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRERRKCMIVSLVGITLMSLCILAKGENTLQPPVKLIWHYYKLNTTCPDAEEYIKHQVKLFWQEDKSITAKFLRLLSADCLSKNGCDGSILLDGPNSEKNAPQNKGLKGFKEIDKIKSVLEDRCPGVVSCADILNLATRDAAHLAGAPSYPVYTGRRDGFTSSIDAVDLPSPSISLQQGLQYFESKGLDVLDMATLLAGHSMGETHCRYIKDRLYNFNGTKKADPSMNKSLLKDLRNKCPKNSKKDPTVNLTPKPENDYQFTGLYYSRILSKKAVLGIDQQLIFSDETKEIIQEFAPKSGFEDFRRSFALSMSRMGNIKVLTGKDGEIRRDCRRRN >KGN46687 pep chromosome:ASM407v2:6:8506917:8508537:-1 gene:Csa_6G124020 transcript:KGN46687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSYLRLLSYTKQLSFYANHGNHEQTLSLFHHMQASLALGLDAHVFSLVLKSCTALRRPHLGIAIHAHSAKSSLLSSPFVACALVDMYGKSLSVTLARKLFDEIPHRSVVVWNVMLSLYVHANMLFGALQLFEAMDVPPNASSFNAIVAGLSKLEDGFKAIAFYRQMQQCGLKPNLITLLALLPASVGVASLDLIKQIHGFAMRNDIGAHLQLSSGLVEAYGRCGCLSYAHNVFDNMTERDVVAWSSLISAHALHGEASTALNIFQQMESCKVQPDEITFIGVLKACSHVGLANEALDYFNRMQRDYGLQASSDHYSCLVDVLSRAGRLHEAYDIIREMPVRVTAKAWGALLGACRIYGELELAEIAGKALFEIEPENAANYVLLAKMYASVGRHEEAQRMRREMKERRVKVVPGSSWVVYQD >KGN47619 pep chromosome:ASM407v2:6:16539567:16540111:-1 gene:Csa_6G365190 transcript:KGN47619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTETEVGVDGDRCWRVVSVEIDGVWREMYNGNGGGAHLDGIIVATAIDGACYGFSQGPITVIGTIRLQPIPLLQHYYESLPLSTIGDEMR >KGN49399 pep chromosome:ASM407v2:6:28076132:28081624:1 gene:Csa_6G523400 transcript:KGN49399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQDYSASTRWTFQDFKRFYDSTFGRKKESKSREGETSNSALKNETSLGTAANGTAHGPELAIYEQFRLQSNSSSAPGAVANRNTVTIQKPLLPPLESAEMRNIAESIARDIIRGNPDIKWETIKGLEHAKHLLKEAVVMPIKYPSYFRGLLSPWKGILLFGPPGTGKTMLAKAVATECKTTFFNISASSVVSKWRGDSEKSIKVLFELARHHAPSTIFLDEIDAIISHRGEGRSEHEASRRLKTELLIQMDGLMQTDELVFVLAATNLPWELDAAMLRRLEKRILVPLPEPEARRAMFEELLPPQPGDEDLPYDILMERTEGYSGSDIRLVCKEAAMQPLRRLMAQLEEQQNELPEDQQGVVPEEELPKIGPITASDIQTALRNTRPSAHLDAPRYEKFNADYGSQLRP >KGN46463 pep chromosome:ASM407v2:6:6571113:6571353:-1 gene:Csa_6G095300 transcript:KGN46463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADWGPIFVAVILFVLLTPGLLFQLPGNRRCLEFGNFHTSAAAIIVHSILYFGLICVFLLAIKVHLYIGS >KGN49421 pep chromosome:ASM407v2:6:28213103:28219263:-1 gene:Csa_6G524090 transcript:KGN49421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKQKRKRIPVLPWMRSPVDVSLIEECPLEILPMLDHRLKAALQNMGISSLFPVQLAVWQEAIGPGSFDRDLCINSPTGSGKTLAYALPIVQMLSSRTVKCLRALVVLPTRDLALQVKEVFSAIAPAVGLSVGLAVGQSSIADEISELIKRPKLEAGICYDPDDFLVELQSSVDILVATPGRLMDHINFTKGFTLQHLRYLVIDETDRLLREAYQSWLPTVLQLTHADDSSIIFPSYISNPCSDGSLKTIRRFGVERGFKGKPYPRLAKMVLSATLTQDPGKLAQLDLHHPLFLTTGKRRYKLPEKLESYMMICESKLKPLYLVALLQSLGEEKCIVFTSSVESTHRLCSLLNFFEDLELKIKEYSGLQRQSLRSKTLNAFRGGEIQVLVSSDAMTRGMDVEGVKNVINYDMPAFIKTYIHRAGRTARAGQSGRCFTLLRKDEVKRFKKLLQKADNDSCPVHNLPSSSIEFLQPTYVSALEKLKEKVESETSRKSTITSSSRAGKQKDRNSSKAKT >KGN45835 pep chromosome:ASM407v2:6:1586620:1586847:1 gene:Csa_6G014560 transcript:KGN45835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISKTHKKCIKILQKVAKKTKIRLAWEEEAQLHSTRKDWLGEGRMTKRRPFGLRRLGWKDVRLSGVGRKPVRFV >KGN49133 pep chromosome:ASM407v2:6:26770875:26771584:-1 gene:Csa_6G514930 transcript:KGN49133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVILVPEKGDTFGIEVGYFDTVLEIKEKIEKYQGIPIPKQTLIFNGRILQDDRDVEFCEILQHSRIQLVVANSELSAQSQIGRLIMKQHNMIPDPSSHHPQSGTTSTKNSNKLKVMDFFGKITMIL >KGN48918 pep chromosome:ASM407v2:6:25811706:25812690:1 gene:Csa_6G505950 transcript:KGN48918 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ-like protein MLSAASSPLTLPSLPPLSSSPRDFTRVRFRPPQAFSSTATASSTAYASRPDTASPYLNLQTMSSCTSLYDVLGIPTGASFQEIKSAYRRLARLCHPDVAAIHRKDSSATDFMKIHAAYSTLSDPEKRADYDRKLLRRCRPVASARMASGFTGYTRRNWETDQCW >KGN46483 pep chromosome:ASM407v2:6:6731402:6733902:-1 gene:Csa_6G101470 transcript:KGN46483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMPVLSPLFLILLFSLTFPPTKSTAVQRNHPVRLTFGKDGEFKILQVADMHYANGKDTPCEDVLPQQISSCSDLNTTAFLRRMILAEKPDFIVFTGDNIFGFDTADAAKSLNAAFAPAIASNIPWAAVLGNHDQQSTLSRKGVMKHIIGLKNTLSKVNPSEVKTIDGFGNYNLEVGGVKGSDFENKSVLNLYFLDSGDYSTVPRIVGYSWIKPSQQFWFQLTSAKLKRAYMEKPFPQKTAAPGLTFFHIPLPEFSNFDASNYTGVRQEEISSPPVNSGFFTAMVEAGDVKAVFTGHDHLNDFCGLFTGINLCYGGGFGYHAYGKAGWSRRARVVVANLERTAKGNWGSVKSIRTWKRLDDKHLTRIDSQVLWNKSSLGGDL >KGN46798 pep chromosome:ASM407v2:6:9531347:9545232:1 gene:Csa_6G136520 transcript:KGN46798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVVTRYRPNQLLSPATPFLQQSQNVILFTLPAKRRAKYSRQRAKLRVLGSADGNAADESPFGSFSRSVRRGSERFWLNFGESIRKETGFGLKNTDGRLVEFFARANERLENMGPELQRLKNETLPEFITWNRWDRWKDFKNWEPKRVGALFLYALVMIVSCQRIYMSVRVPFVNRERLKLTEAYMEALIPEPSPNNIRKFKKGLWRKTMPKGLKIKKFIEGTDGTLVQDSSYVGEDAWDDDSELLQDNVKKIIDSDEKIKGDEKEKIKEQLEISGQKDSGTWRERLQTWKEILRKEKLTEAIDSLRAKYVVEFDMKEVEKSLRKDVVEKKTDTQGTRALWVSKRWWHYRPKLPYTYFLDKLDSSEVAAVVFTEDMKRLFVTMKEGFPLEYTVDIPLDPYLFEAITGSGVEVDLLQKRQIHYFLKVLIALLPGLLILWFIRESVMLLSITTKRLLYKKYQQLFDMEYTENFILPIGNVGDGETTSMHKEVVLGGDVWDLLDELMIYIQNPMQYYEKRVPFVRGVLLSGPPGTGKTLFARTLSKQSGLPFVYASGAEFTDSEKSGAARINEIFSIARRNAPSFIFVDEIDAIAGRHARNDPRRRATFEALIAQLDGEKETTGIDRFSLRQAVIFICATNRPDELDLEFVRSGRIDRRLYIGLPDAKQRVKIFGVHSAGKQLAEDIDFGKLVYRTVGFSGADIRNLVNEAAIMSVRKGHSRINQQDLVDVLDKQLLEGMGVLLTAEEQQKCEERVSIEKRRLLAVHEAGHILLAHLFPRFDWHAFSQLLPGGKETAISVFFPREDMVGQGYTTFGYLKMQMVVAHGGRCAERLIFGNDITDGGKDDLEKITKIAREMVISPQNSRLGLAALTKKFGMTDQPDNPDGELIRYTWDDPRVTPVNMTLELSELFSRELARYIEETEELAMNGLRENKHILDMITEELLNKSRMTGLEVIEKMKDLAPSMFEDFIKPIQIDLDVEGALPHKDKLRYQPLVIYPAPLHRC >KGN46135 pep chromosome:ASM407v2:6:4361575:4362837:1 gene:Csa_6G056530 transcript:KGN46135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWTKFSPSKIINTTKLSQSFLEQEIIRKKHKKSFNVDDEYLCTLRTQSFAEFFINPESPPSSASTAVDCCWRFSETILLQPGQLEPAPSILESSFILMLPELKGLFTDYFNLSAKASNLCTRLLSNFKLTRSTSRCIQESLDSIEKCFSSETVESIASNVLALRPPFSDLEKRDFALIHDDYTAISHRLNCTRKKVARKMRWMKIIDGITCGLNAITTRTLTDLVKAADGGPGVFGRKLLRHEMLRNGGLEKVGEKLEAAAKGSYILKRELETTSRLVVRLGDAVDNGKAMVRLFGGRKKEDKFGVGVAMDEVKKNNVKIRKRVEDVEEHLCLCIVAINRSKASMIN >KGN46662 pep chromosome:ASM407v2:6:8231204:8234737:-1 gene:Csa_6G118880 transcript:KGN46662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERQYGSNSLSPCSSISNGVLQETVDVTKCLTEANELIEAIGAFSGFRKTQSKECLNLVRRLKMLVPLLEEIRDLHDMLPAEALSSHISLLKEALVLAKRLLKNCHNGSKIYLAFENEAVMARFHVVYDKLKEALDGIPYDELGVSVELKEQVELMSTQLKRAKCRKDTQDMELAMDMMVVFSKNDERNADPVILERLANKLELRKIADLEAETIAVQKLVRHRGVPNSESLQQIIDLLRKFKQIAGMDNNVAPDGPVVSKSLQRCKSTLIPHEFLCPITLEIMTDPVIVATGQTYDRESIQKWLNSNHRTCPKSGQTLVHLSLAPNYALKNLILQWCQKNNYELPKKEVVAGMGDTPSDLAGEISSLVHNLSSSQLDIQREAIIKIRVLSKENPENRVWIANSGVIPPLVKLLSYPDLNFQEHTVTALLNLSIDDSNKRLIAREGAIPAIIEILQRGTEEAKENSAAALFSLSMLDENKVLIGSLKGIPPLVLLLRDGTIRGKKDAATALFNLSLNQANKSRAIKAGIIQPLLALLEDKNLGMVDEALSILLLLASHPEGRSEIGNNSFIEILVNIIIDGTPKNKECATSLLLELGRNNSPSILVALQFGVYEHLVELTRCGTSRAQRKATSLLQYMSKCEHIP >KGN49367 pep chromosome:ASM407v2:6:27911261:27914956:-1 gene:Csa_6G522120 transcript:KGN49367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSCPSIKNILLLDSEGKRVAVKYYSDEWPTNSARETFEKAVFSKTQKSNARNEAEIAMFENNIVIYKFVQDLHFFVTGGEYENELILATVLQGFFDAVGLLLRGHVEKKEALENLDLILLCLDEIVDGGIVLETDGNVIAGKVATQSIDSSAPLSEQTISQALATAREHLTRSLLT >KGN46013 pep chromosome:ASM407v2:6:3373099:3378724:-1 gene:Csa_6G042470 transcript:KGN46013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGKCFIKKKKTNFRKRIEHFNFLRAEISITISSSLVFFTSSLFLVITPSNSSLSSPRYPRSLISVSSQRLFEMQWYIVALLLTVLTSSQGILTTLSQSNGRYDYDYATVPFLAEVFKLFVSSLFLWKESQKSPPPKMTTDWRTVRLYPIPSIIYLIHNNVQFATLTYVDTSTYQIMGNLKIVTTGILFRLFLRRKLSNLQWMAIVLLAVGTTTSQVKGCGEASCESLLSAPIQGYMLGILSACLSALAGIYTEFLMKKNNDSLYWQNVQLYTFGAIFNMARLVADDFRGGFEKGPWWQRLLNGYSVTTWMVVINLGSTGLLVSWLMKYADNIVKVYSTSMAMLLTTILSVYLFNFKPTLQLFLGIIVCMMSLHMYFAPPQMLVDLPPPVVKSQDLESLIEVPSDRRTDS >KGN47833 pep chromosome:ASM407v2:6:18387254:18390725:-1 gene:Csa_6G405950 transcript:KGN47833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFFSILMGIVGFGIGIPLGLVVGFFFFIYSKPDEVKDPMIRPIYELDSDSLEEVIPEIPLWVKHPDFDRVDWLNKFLEGMWPCLDKAICSSIRGMAEPMFAEYIGKFQIQSIEFETLLLGTLSPKLYGIKVHETNENEIVMETAIKWAGNPNIVLILRLFSLQIRIQLVDLQIFAAPRVALKPLVPAFPCFTNIVVSLLEKPHVDFGMKILGGDIMSIPGLYQFVQETIRKQVSNLYLWPHVLEIPILDASVAATKRPVGILHVNVVKALKLSKMDLLGTSDPYVKLSLSGERLPSKKTTVKMNNLNPIWNEKFKLIVKDPESQVLQLQVYDWDKVGGHDRLGMQLVPLKVLTPYETKELTLDLLKNTNTNINEYQNKKPRGQLVVELKFTPFREESSKFSSQLDGCGSMVSRDERDLQDDCVGGAGLLSVKIQGATSVEGKRHSNPYAVMHFRGEKKKTKMVKKCRDPVWNEDFEFMLEEPPLGEKIHIEVRSRRSSRFSFFSKESLGHVEINLGDVVHNGRINTKYHLINSRHGMIHVQIKWTVA >KGN45868 pep chromosome:ASM407v2:6:1834859:1835202:-1 gene:Csa_6G014880 transcript:KGN45868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGHKHKKSHSSFSFFSFLKSKWSRKGDHYDHGGSWLDEKSRSGKVWPSDEDKAHHWVAEPGIDRKAKDYIDRIYRNRVFESERQTVTIPTNV >KGN48697 pep chromosome:ASM407v2:6:24572061:24576312:-1 gene:Csa_6G498930 transcript:KGN48697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLGDDGRGYELARKLDTLGVWQTWLGDLSYSIFVPFLASTSTWDTFMRTDDSKSRAQIQLQLRARALLFDKASVSLFLRSTPSPSSPSYSTGNPLSSSSLAISKLSPNYLQLHGDDVYFTLENSSKDGVQQREGHVSSNKASGKIQPKAASTAGPRSRESDIGDSSQRLKNELPETWYSQFIEKYRVKQPYRLSHGNNVAEKRTSEEMSSYLRLLEKHKKRRMVFKDDLLTNFGNSVSANASSSVFDFSNSVEDDANFFPEIMFTFNCVPESALPPPDDMKDNRRPEVPGVIDTLPQPITRNSAMMERLGVKPDYVSTERGVNVHRAKSGSGGNRKSLGQEQSFQMSQKVVARMLMSLGFEGATEVPLEVFSQFLSCHICKLGSTLRVLADSYRKQCSAVDLLRMFLKTMGYSNFGPLADIVKDGSRNYVRQSMHHGVQPQLQAQHQTLLQVPQQVPRQMHPQMQQMVNSQAFQQQQQQQQQFVLEKMRRRQAATPRAVMEANKDRPLLQVKVENTELPMDGNALNALNIRHPQLQFRQQQIAAMSNIHASPGNQFRQIPSMQMPQIQTPNTNVVRAPPVKVEGFQELMGGDTSSKHDSEEARLTSPSSK >KGN46701 pep chromosome:ASM407v2:6:8590055:8594641:1 gene:Csa_6G124160 transcript:KGN46701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKLQWADPSVALEECILSILVAIARHSPICAQAIMKCDRLVELIVQRFTMSEKIDILSLKIKSVVLLKVLARSDRQNCIVFVKNGTFQTIIWHLYHCTSSIDQWVKSGKEKCKLSSTLMVEQLRLWKVCIQYGYCVSYFSDIFPSLCLWLNPPNFEKLIENNVLREFTTISMEAYHVLEALARRLPNFFSEKYLDSREPGLAGNESEAWSWSCAVPMVDLAIKWLGSKNDPFISKFFLSRKGIKNDFVFEGISLAPLLWVYSAILKMLSRVVERIIPQDIMTQIGSDQIVPWIPEFILQVGLEIIKNGFLSFADASDMNPKTSLSGGNSFVEDLCFWREHGEFEMSLASVCCLHGLILSIVNIDRLILLANTESQAYPPKYVNSSREGEILRVGMFKTSLMEQRSMLDLFTKKIALECDSLQLIETFGRGGPAPGVGIGWGVSGGGYWSLAVLLAQNDSAFLMSLVEAFHTIPTLNELTAQESLTFQSINSALAVCLVLGPRDIGLIEKTMEFFIQAPILYNFNLYIQRFIQLNGKLKQFGWKYSEDDCLIFCRTLRSHYKDRWLTPKGSTSVKNKSNLSDRTFKSGRVSLDTIYEESDETNRMAQGCICLTVQWGYQRLPLPGHWFFSPISTICDSKHAGHQKSDAQSIMQESSDLLDVAKSGLFFILGIEAFSAFLPDDFPKPVLSVPLIWKLHSLSVVLLTGIGVLDDEKSRDVYEVLQDLYGQRINEAMSCRLPADIMENNAKHLLSQPENKKSNIEFLMFQSEIHDSYSILIETLVEQFSSVSYGDVLYGRQIVLYLHQCVESQTRLAAWNALNSARVFELLPPLEKCLADAEGYLQPIEDNEAILEAYVKSWVSGALDRSASRGSVAYLLSLHHLSSYIFHSYPVDNLLLRNKLSRSLLRDCSHKHHHKEMMMNLILYTKPSTHLIAGQKGVDTSIGRSDVEKRLEVLKEACEKNSSLLTVVEELGSSTKGKLSAM >KGN48228 pep chromosome:ASM407v2:6:21314148:21320571:-1 gene:Csa_6G449840 transcript:KGN48228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATKGKLIYKVYRALSYGLSPLLYLHLRWRVLRGLEHPFRWPERLGRPSHARPPGPLLWFHAVSLGEGLATIPIIKQCIQRRPDLVVLMTTTTYSAFQVVKNCLPTDVIYQFAPLDTAAAIDTFIEYWKPKVIILMESELWPNLILGASQRGIAMALLNARMSAMSFNRWSIPVFLPLISFMLSKFSLILPLSNLQAIRFQLLQASPLVISFAGDLKFAVEDVGMSKEEIKKVEDLRSQLAHRQAWMASSIHRGEEEVILQVHRMLLEAHPNLVTVIVPRHPQHGKEIALEMKKEGQNVALRSQGENITSGTNVYIVDTLGELRYLYGITSIAVVGGSFLPGLAGHNISEAAAAGCAVLTGPHIGHFSSMVAKLQQFNPMSVLQVHGKVDLEEAIKYLLKDDKVLEAHRMAAKQAQQALASGIIVGTWNSLNSNVLRSAFG >KGN47603 pep chromosome:ASM407v2:6:16447042:16451308:-1 gene:Csa_6G363590 transcript:KGN47603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEMSPAVVVPFRVGNSVCDNPNMATPMDITRLKLMADTAGLLSDSVTKASDDLTEVGSDDCKSSNGEEEIGITAVSVMNDKCEGVPLSVVLSQNNSNWGAAGETISHGSEEDDSLSLEGDHIYDSSCSHSVISETSSICGDEFLGSEASSFDAFDSIINAKDISSVEIAAKANIEEANVESFETQIASSSSAAASLEEDVGGGIGTAACDNMVLQLPLEKKASEPVGRSVFEVDCVPLWGYTSVCGRRPEMEDAAATVPRFSELPVQMLVGDRVLDGSNKAIAHQTVHFFGVYDGHGGSQVANFCRERMHLALSEEIELAKHDIAVGNMKDNCQELWRKAFTNCFLKVDAEIGGGPGVEPVAPETVGSTAVVAIICASHIIVANCGDSRAVLCRGKEPMALSVDHKPNRADEYERIEAAGGKVIQWNGHRVFGVLAMSRSIGDRYLKPWIIPEPEVMFVPRAKDDECLVLASDGLWDVMTNEEVCDLARRRILLWHKKNGVSLPSERGLGIDPAAQAAAEYLSNRALQKGSKDNITVIVIDLKTQRKFKTKS >KGN48585 pep chromosome:ASM407v2:6:23831781:23832185:1 gene:Csa_6G494420 transcript:KGN48585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIVISRRSRFFRFIDRTQSCLIALREKSSSNQLPVTVRRTKISTRFTLTFPATRNWSKPCIIKALTLRRNPSIQNSNDNLRHGVGFRVKIRILVKPKKLWRTSGMKFIYHLRHHSQNPRLGFQPLSFLRRQSG >KGN46070 pep chromosome:ASM407v2:6:3864967:3867942:1 gene:Csa_6G051470 transcript:KGN46070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKRMESYGLLGQKRDVKHKGRNVVWSVAMDKCLIEALAIQARNGNKIDRCFNENAYTAACIAVNSHFNLNLNNQKVINRLKTIKKRYKVIKDILCRDGFRWNPTSKMIECDSEDLWKRYVAAHPDARGIRGKPIEMYDELNIVCGNYQAPSQWAKMKDGNHALQVRNFEEESASFHSPSSEDLSETDNTESYTGPCEYAELPNGSQDPLPNNPTRQQPKRPRASEALQDAMLAVASSIRRLADAMELSKHSIDANELLEAVMEVDGLEEAKQMYAFEYLNADPVKARAFLTYNARMRKIYLFRQFWWWK >KGN46540 pep chromosome:ASM407v2:6:7133393:7134364:1 gene:Csa_6G107900 transcript:KGN46540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDRFHRRNAAIASSLAAIVKPAAYFIILLLTYALGYLSSSPSTSASSSLSPPPLNSDITSTPPPYHYSTPDQSQLFQVTDTPPELNQFRIKTRCSPPIPHQQVRSTILQNVFNGQSPFIDFPQPHVAHLLHPKKIKGWGSNGAVFRNLVTQVKPRTIIEVGSFLGASATHMADLTRQLGLHTQILCVDDFRGWPGFLDRFKDLSMINGDVSLLYQFMQNVVSTNASDSIIPLPFSTGSVLDSLCEWGVYGDLIEVDAGHDFNSAWSDINRAHRILRPGGVLFGHDYFLSADNRGVRRAVNLFAQINGFKVKVDGQHWILVSP >KGN45723 pep chromosome:ASM407v2:6:776857:779431:1 gene:Csa_6G008060 transcript:KGN45723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGEAASTRRMIGSCTGGSNCKPILNPIMMRFRPIAPKPLPGGSVPSSLDSKNNNSSISKGRTKRKYVRVRRYNRKKKTTTRNNNSTTEDGELMDHDQTAVTTLQLLPVIGGGGGSENKTETGRRMMEKEMRLVSDPKGGVGVELWVTVECVRDACMDLELREGEIGCTDEERIKNLEMDTCPGFVSDGMNRVEWLNKAFKRMVWQRERNNKDSKSKKKKKEEEEGGKGECDCDCDCESPAEVSVWLISKPKLPNMRRVFTCQIKLQFKRGTEMEKDSRVVPCDAWRMDGGGFAWKLDVKAALTLAPLLQEDFD >KGN45620 pep chromosome:ASM407v2:6:129138:131890:-1 gene:Csa_6G000680 transcript:KGN45620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFSFSSSLLPSSVSFDHVSTFLFPIFVSSSCSSSSHPNSRIVRCAFAAPTRKSPVPSTSSSPAKKRHWKQGEFPGTTETSTRRRAPLKRVKKKLDRKNNAKAWANTVTEALSDHITNKRWLQALEVFEMLREQPFYEPKEGTYMKLLVLLGRSGQPHRARLLFDTMVQERCEPTPELYTALLAAYCRNNLIDDAFSTLNLMKTLPRCQPDVYTYSILIKACVDDSRFEIVESLYEEMAERLITPNTVTQNIVLSGYGKIGKYDQMEKVLIGMLESTTCRPDVWTMNIILSVFGNKGHIEMMERWYEKFRNFGIEPETRTFNILIGAYGKKRMYDKMSSVMEYMRKLQFPWTTSTYNNVIEAFADVGDAKNMEYTFEQMRAEGMRADTKTFCCLINGYANAGLFHKVIGSVKLAGKLEIPENTSFYNAVISACAKAEDLMEMDRVFKRMKDKHCQPDNKTYSIMMEAYGKEGMNDRVHYLELEKKQVIDNASNNE >KGN45995 pep chromosome:ASM407v2:6:3196025:3202835:1 gene:Csa_6G042290 transcript:KGN45995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPGVCPTEDAIHALLDYLVEPMLPAKSSSRENPPEALLQSVAKQMHAVVLLYNFYHQKQHPHLEFLSFETFCKLAVIIKPALLSHMKLMQSSDDIELENPEKQLSPAEKAIMDACDIATCLEASTDENVEGWPLSKVAVFLVDSKKEHCYLLFSFITQGVWSVIEQDIDSSEWQPETVDVERHVNKKKRVIKKPSKEGLVVDEAKTQQLAYTAVKEATGINQSDLKILESHVVYSLSKEKSAVCFYMIQCTRSATEDVIQVPIRDVANSLQDSLFRKSGRRWSITSKVEYFHILPYAKMALTWFHRYILNCYRY >KGN49440 pep chromosome:ASM407v2:6:28333329:28334304:1 gene:Csa_6G525270 transcript:KGN49440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQKREVPARNWLELPAEVIFVILHKLGAIEILTTAQNVCSLWYKICKDPFLWRVIDMHNSGDLNSFDHLEIMCKHAVDRSCGQLVEINIEHFGSDELLLYIANSSKQVRRLRLMGYSLYFTKEVLGVAVSELPLLEHLEIQSFIIGLDPETLRTIGRCCHLLKSLKLKELCYIGFISSSDEDALAIAETMPNLHHLEIVGNSITNFGLHALLDSCSGLQSLDLRKCMYLDFDEHLKKKCYQRIKTLRLPYEPVDGSTFQWLTSGFPYNLPFP >KGN46212 pep chromosome:ASM407v2:6:5002147:5002918:-1 gene:Csa_6G075130 transcript:KGN46212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMTLILDFKCSGGLELLCNSVKIHNVSIDPENGVGKFIMKDLLSWVRSNLIKERPEMFMKGDTVRPGVLVLVNDCDWELSGQLDTTLEEKDVVVFISTLHGG >KGN46713 pep chromosome:ASM407v2:6:8704878:8708146:-1 gene:Csa_6G126260 transcript:KGN46713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVSSDDGSDQLSDRCGSYSLSADVSESESCSSFSCRRFDGEGASSSMASSPHPVSANFCFPPPVSLPVLGGKDVFVWDDKSKKREADLSEVEMMKERFAKLLLGEDMSGGGKGVCTALAISNAITNLSASVFGELWRLEPLAPQKRAMWHREMEWLLCVCDSIVELVPTVQPFPGGGTYEVMMSKPRSDLHMNLPALKKLDALLLGILGGFCHTEFWYVDRGIVLGDLNDCNDFLPGGRPSIRQEDKWWLPCPKVPADGLSEDARKRLQQCRDCTNQILKAAMAINSNVLAEMEVPAAYMETLPKSGKACLGDIIYRYMTADHFSPECLLDCLDLSSEHHTLEIANRIEASIHIWRQKDHKRSGHRNKGRRPTWSGKVKGIVGDPRKSNNLARRAETLLDSLRLRFPGLPQTALDMAKIQYNKDVGQSILESYSRVMESLAFNIMARIDDVLYVDDAIKRCVKAESISLFNRGLGGLPIQKRMSPSPFSIHQSPFASPFATPTFCSSTPVGGSPGRLSPPPTVKRSNTKKETAVLSKDKQSQSEKDKIWSYAGNLSGRRVSGVTPERD >KGN47888 pep chromosome:ASM407v2:6:18879034:18879345:1 gene:Csa_6G409940 transcript:KGN47888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREAIKEPCETAGSSIIWTLKELGEGIKKMKRSQIEGVIVPKLKLVRQELSLIVTPSKLGPIENSDGLAMASFLFLIMEILEKVEELAKEVEELEEAARFRTT >KGN45992 pep chromosome:ASM407v2:6:3164048:3171292:1 gene:Csa_6G041770 transcript:KGN45992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSEDDLHYSTDVESVDDDCDFYSGEMDMGMGYYTDDDDPDAEDFVDDDTDDYFEASRREQNYTILNESDIRQRQEDDIARISSVLSISRVASIVLLRHFNWNVTKVHDEWFADEARVRKQVGLLEAPVVHVLNARERTCGICFEPYPNSRIKSAACGHPFCVFCWEGYVSTSINDGPGCLTLRCPDPSCGAVVDQDMINSLASSEDRKKYARYLLRSYVEDNKKTKWCPAPGCENAVLFDAGNGNYDVSCFCTYSFCWKCTEEAHRPVDCATVEKWILKNSAESENMNWILANSKPCPKCKRPIEKNQGCMHMTCTPPCKFEFCWLCHGAWSDHGERTGGFYACNRYEVAKQDGVYDEAEKRREMAKNSLERYTHYYERWASNQTSRQKAIADLHQMQNVHIEKLSDIHCTPESQLKFITEAWLQIIECRRVLKWTYAYGYYLPELEHAKRQFFEYLQGEAESGLERLHQCAEKELLQFLNAEGPSKEFNDFRTKLAGLTSVTRNYFENLVRALENGLSDVNSHGASSGTTSSKSTAGSSKGGRSGRGKGVSRTASSTRSGDNATHWSCEHCTFVNTRSATTCEMCHQRH >KGN49003 pep chromosome:ASM407v2:6:26181416:26181699:1 gene:Csa_6G509720 transcript:KGN49003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEGEEWQKEMSSLSEKKTARVSGGWCGERSAFGVSTCPSFAGRHVLVVALTIPANPIQLSPLFTL >KGN48026 pep chromosome:ASM407v2:6:19884909:19890382:-1 gene:Csa_6G425140 transcript:KGN48026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDFFGSVRRSLVFLAPDGDDGGRFGGLVEKIGSSIRKSRNGLFSKQSLRALPPVAKEDAPPIWWRKGELIGCGAFGRVYMGMNLDSGELLAVKQVSIAANSASREKAQAHIRELEEEVRLLKNLSHPNIVRYLGTAREEDSLNILLEFVPGGSISSLLGKFGSFPESVIKTYTKQLLLGLEYLHKNGIMHRDIKGANILVDNKGCIKLADFGASKKVVELATINGAKSMKGTPYWMAPEVILQTGHSFSADIWSVGCTVIEMATGKPPWSQQYQEVAALFHIGNTKSHPPIPEHLSAEAKDFLLKCLQEEPNSRPTASDLLQHPFVSCEYQEPIAAVRASSMESGKQMADSRLNSNDLKKSTILRSTCEGLKDICEMGSLRCSSVFSGNYGSRSNWGSSNFEDDMCQIDDKDLFATSSMKYNSIISSNDLNKSFNPMCEPTDDLDESSELGGNLMELSSVQTVKGNDSTFPCGQSAAEDDEEVTESKIKAFLDEKALELKKLQTPLYEEFRSTVNAANAIGTVGIENIKSVSNFLKLPPKCGSPSKLRGKRLSSVDVGNYSSRQSRIKQASILQDRALQEIQSPQLGEWNKLLQNQPDSSTLSFSERQRKWKEELEEELKRKREMMRRGIVGAIH >KGN45781 pep chromosome:ASM407v2:6:1164164:1169515:1 gene:Csa_6G011070 transcript:KGN45781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLPAAFRGNSFPLSQCSSCCYMRFNPCFAPFTNFRDQQRIRHSSLKLCQVSSRGKRGIACKVTETQTEPDGNNDKEEDDSKGGDQPSFSDSAAEDKFQLDSQAVDEVNIVENKDQGDIQDIDNVEVASGSPLPGLKPQQLDESFRIPRETVEILKNQVFGFDTFFVTSQDPYEGGVLFKGNLRGEAAKSYEKITRRMQDTFGDVYKLFLLINPEDDKPVAVVVPRKTLQPETTAVPEWFAAAAFGLVTVFTLLLRNVPALQSNLLSTFDNLELLKDGLPGAFVTALVLGVHELGHILVARDAGVKFGIPYFVPSWQIGSFGAITRILNIVPKREDLLKVALAGPLAGFSVGFLLYILGFILPPSDGIGVIVDASVFHESFLAGGIAKLLLGDALKEGTPISLNPLVIWAWAGLLINAINSIPAGELDGGRIAFSIWGRKASSRITGVSIVLLGLASLFSDVAFYWVALIFFLQRGPIAPLSEEITDPDEKYIGLGVLVLFLGLLVCLPFPFPFSGEAISNF >KGN48986 pep chromosome:ASM407v2:6:26107413:26110994:-1 gene:Csa_6G509550 transcript:KGN48986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRSLPILKQLLSKSSSSENPALLSSCFKSSIDAQSRSVTYMPRPGDGAPRAVTLIPGDGIGPLVTGAVEQVMDAMHAPVYFEKFEIHGDMKKVPQEVIDSIKKNKVCLKGGLVTPVGGGVSSLNVQLRKELDLYASLVNCFNLPGLPTRHENVDIVVIRENTEGEYSGLEHEVVPGVVESLKVITKFCSERIAKYAFEYAYLNNRKKVTAVHKANIMKLADGLFLESCREVATKYPGIKYNEVIVDNCCMQLVSKPEQFDVMVTPNLYGNLVANTAAGIAGGTGVMPGGNVGADHAVFEQGASAGNVGNERLVEQKKANPVALLLSSAMMLRHLQFPSFADRLETAVKKVIFEGKYRTKDLGGQSTTQEVIDAVIASLD >KGN48399 pep chromosome:ASM407v2:6:22564968:22568761:-1 gene:Csa_6G486720 transcript:KGN48399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRYQRVEKPKSENTPINENEIRITTQGRMRNYITYATTLLQEKGSNEIVVKGMGRAINKTVMIAELIKRRIVGLHQNTAIGSTDITDMWEPLEEGLLPLETTRHVSMVSITLSKKELDTSSTGYQSPLPVDQVKPWNEFEDEGDGSPRIRGRGRGRGRGRGRGNYNGPSEYQGDGWDGGRGFGPRGRGRGRGRAFRGRGRGYGRQSGGYYDYGEPMAPPVPGRGFAGRGRGRGRARGRGGYYRSDGPVQAAAA >KGN49197 pep chromosome:ASM407v2:6:27029669:27036374:-1 gene:Csa_6G517050 transcript:KGN49197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISQDLYPSQDDLLYEEELLRNPFSLKLWWRYLIARAEAPFKKRFIIYERALKALPGSYKLWYAYLRERLDLVRNLPITHSQYETLNNTFERALVTMHKMPRIWIMYLQTLTNQKLVTRTRRTFDRALCALPVTQHDRIWEPYLVFVSQKGIPIETSLRVYRRYLKYDPTHIEDLIEFLVNSNLWQEAAENLASVLNDDQFYSIKGKTKHRLWLELCDLLTRHATEVSGLNVDAIIRGGIRKFTDEVGRLWTSLAEYYIRRNLHEKARDIFEEGMTTVVTVRDFSVIFDSYSQFEESMLAHKMENMDLSDEEDEVQENGLEEEEEEEEDIRLDVDLSVSKFEKKILQGFWLYDDNDIDLRLARLDHLMDRRPELANSVLLRQNPHNVEQWHRRIKLFEGNPTRQILTYTEAVRTVDPMKAVGKPHTLWVAFAKLYEAHKDLPNARVIFDKAVQVNYKTVDNLASIWCEWAEMELRHKNFKGALELMRRATAEPSVEVKRKVAADGNEPVQMKVHKSLRLWTFYVDLEESLGTLESTRAVYERILDLRIATPQIIINYALLLEEHKYFEDAFKVYERGVKIFKYPHVKDIWVTYLSKFVKRYGKTKLERARELFEHAVETAPADSVRPLYLQYAKLEEDHGLAKRAMKVYDQATKAVPNNEKLSMYEIYIARAAEIFGVPKTREIYEQAIESGLPDQDVKTMCLKYAELEKSLGEIDRARGIYVFASQFADPRSDLNFWNKWHEFEVQHGNEDTFREMLRIKRSVSASYSQTHFILPEYLMQKDQTMNLDEAKDKLKQAGVTEDEMAALERQLAPAIEDTAKDNGRKVGFVSAGVESQADGELKVTAHQEDIELPDESDSEEDENVEIAQKEVPSAVFGGLTRKKEDSDEVDGEKDDDSHLGALERIKRQKKA >KGN45627 pep chromosome:ASM407v2:6:188000:190825:1 gene:Csa_6G001730 transcript:KGN45627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCWAVGSPVLPPISLPLSPIIPSPNGASSSSSRGFPNAEDDASYIKEPSFPCFKWRLLIAYDGTRYAGWQYQRSPPTIQCLIEEALIGATKLERKDLHLVGASRTDKGVHAWGQVAHFVTPFNYDSLESVHAALNGLLPVDIRVREMSPAVPDFHARFSTLSKVYHYKIYSGTIMDPFQRCYTYHCVYKLNYAAMKEAANYFVGKHDFSAFTNAAHNDRVVNPVKVIFRFDVIEMGALVQLEVEGSGFLYRQVRNMVGLLVQIGKEALPPEVVPKILASRDRRELAKYASGVPPQGLCLVSINYKEDHLKLPSDCPGTSFGCPNNLGGRDIIR >KGN45639 pep chromosome:ASM407v2:6:264956:266558:1 gene:Csa_6G002330 transcript:KGN45639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMLKFRVNPLSGLLNPTPVLSHLGEEVDIRVSPLKLSLMLPNFSPSFIAGLQMTHEFFNLYISDRTYQFRFSLRKFYTFMYRMELQGFSSMLFTVDMKRLLSVYLTFENNNAKRERRRLKLLAYDLDLDGDIEYTTFVSIDSRDFRRIASELNSRSVSVTFTNSRVNFFNKNKEITFSKEENQCVIGGVEEGEEFRFIITVHPLVFFLDLSSQSKRVWFLMQRDFSCIMILPLGLWQQFWVYFPSQCHIHIPLFD >KGN47563 pep chromosome:ASM407v2:6:16141758:16145778:-1 gene:Csa_6G361250 transcript:KGN47563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENNSSPATGLQPIRCRAAVCRKPGEPLVIEEIIVAPPMPREVRIRIICTSLCHSDLTFWKLKDPPGIFPRILGHEAIGVVESVGKDVHEVKEGDTVIPTFMADCGECKDCLSNKSNLCTKFPFSVSPGTPRYGTSRFTDLNGEVIHHLLFVSSFTEYTVVDIVNVTKVDPAIPPNRACLLSCGVTTGVGATWRTANVEKGSTVAIFGLGSIGLAVAEGARICGASRIIGIDVNPEKFETAKKFGVTEFVNSRTLGDKLSQAVIEMTDGGADYCFECVGMAFLVEEAFKCCRQGWGKTIVLGVDKPGAVLNFRSYDVLHRGKTVMGSLFGGASPKSDIPTLLKWYTDKKLELDKFVTHEVGFEDINEAFNLLIEGKSLRCVIWMNK >KGN49289 pep chromosome:ASM407v2:6:27535581:27538601:1 gene:Csa_6G518930 transcript:KGN49289 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate decarboxylase MESANSIGSGAPPTSVSVPVAPNASSGTLGSHLARRLVEIGVSDVFSVPGDFNLTLLDHLISEPQLNLIGCCNELNAGYAADGYARAKGVGACVVTFTVGGLSVLNAIAGAYSENLPVICIVGGPNSNDYGTNRILHHTIGLPDFTQELRCFQTVTCYQAIVNDLDGAHELIDTAISTALKESKPVYISISCNLPGICHPTFARDPVPFFLAPKISNQWGLEAAVEATADFLNNAVKPVIVGGPKLRVAKAQRAFVELADASGYPIAVMPSGKGLVPEHHPQFIGTYWGAVSSSFCGEIVESADAYVFVGPIFNDYSSVGYSLLVKKEKAVMVNVNRVTIGNGPSFGWVFMADFLTALAKRLKRNPTALENHHRIYVPPGMPLNYAKDEPLRVNVLFKHIQQMLSGDTAVIAETGDSWFNCQKLRLPENCGYEFQMQYGSIGWSVGATLGYAQATKHKRIIACIGDGSFQVTAQDISTMIRCGQRTIIFLINNGGYTIEVEIHDGPYNVIKNWNYTGLVDAIHNGEGKCWTAKVGTEQELTEAIATARDEHKESLCFIEVLVHKDDTSKELLEWGSRVASANGRPPNPQ >KGN48966 pep chromosome:ASM407v2:6:26034355:26038176:1 gene:Csa_6G507390 transcript:KGN48966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLATLFSLQFSFQRPSTRRFLSRFHHRYDSFKHRKDWVRRRTLLPVLSSSDGTNQDTQPEPESISTPSSSSSSSSSSSSSSSSSPSISSNSTYTLCAALGGIGFVETAYLSYLKLTDSAAFCPIGGGGCDNVLNSDYAAVFGVPLPLIGMVAYGLVGAVSLQLAAKKLPFGIDESGGRLVLLGTTTSMAAASAYFLYILNTQFSGVTCSYCLVSALLSFSLFFATLKDFGLDESRRRLATQIIMAGIVFFTLSTSYGSLPISRSAAELDLPYFETELTKPSTPLAISLAKHLQSIGAKMYGAFWCSHCVEQKEMFGREAAKLLDYVECFPNGYHKGTKIEKVCSDVGIEGFPTWVINGQVLSGEKELSELAEISGFSADGIS >KGN46865 pep chromosome:ASM407v2:6:10236184:10238352:-1 gene:Csa_6G147580 transcript:KGN46865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVQLENQKPHAIFIAYPLQGHVIPSVHLAIHLAARGFIVTFINTHAIHQQTCNGHSSAGDDLFSAVRKSGLDIRYKTVSDGLPVGFDRSLNHDQFMGSLLHVFSAHVEEAVERIVKTEAVSCLIADTFFVWPSKVAKKFDLLYVSFWTEPALVFTLYYHLNLLRINRHFDCQDIRDDAIDYIPGVPTINPQDMTSYLQESDTTSVCHQIISAAFQDVRKADFVLCNTIQDLENDTISALQAQTQFYAIGPVFPPGFTKSSVPTSLWPESDCTNWLNSKPHTSVLYVSFGSYAHVTKSELTEIAHGLSLSGVHFIWVLRPDIVSSNETEPLPVGFRAEVADRSMIVPWCHQKQVLAHPAIGGFLTHCGWNSVLESTWCGVPLLCFPLLTDQFTNRKLVVEDWKVGINLKDGRQMITKEKVSERIKHLMDAKSGSRQYKDAVREVRKKLEDAVKPNGSSDKATNQFIKDLNVAISSKLKGRTQ >KGN47368 pep chromosome:ASM407v2:6:14616199:14620909:1 gene:Csa_6G306300 transcript:KGN47368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTYGCTISFSLPSNKFTICTAKPLLSVSSSISISSRSKLRTRKNHLRIKILKTLNRPPPFSLSPIPPETQPPTPIVSPGTSGPVDVETEVLSPAESCPSSTDGESRLSESSNIASLFNFDVAKFSWGSFVKLGVYLLAVFAFQTICTVWVLEYGSSIKEDKSSNEDLSVRRKGGREVLLNGNEGNVLGNFGSKRNKSVYLEETKMREKIEEIRLMARAARIEEKNKMSDDFEDDDMEGGNAISRARIGIEKEVDARLVKLEKRLNSAKEKISGSSMNYLLKSEHVEDAVERNSFNGEERNESLMYKKKMKYRDSSSHRIKKPEGFQGFVSNGRKSGSNDKGATVEGANIVDKMGVKDTEKRVGNKIMDSVSEIFEDDGTNSARNELVLPQKNDGTNLDIGTKASSSKNKLSNGVVQESSVVISKSQNLKNAMKNRSSSASSVDSVEKKSKAGEDRRKQSNKKADLWWLNLPYVLIIVMRQGSDGEELDGLFTLKVPSATQDIEESTYAVAFENHVDANNFCYLLESYFEELENFTTDVIPLPTKELEKFIKSNTRKMIVVKKGQLQLYAGQPFADVEMALYSLIEQNENVIALHS >KGN49260 pep chromosome:ASM407v2:6:27381350:27384554:1 gene:Csa_6G518150 transcript:KGN49260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFCSFLFLLCFPLFSFALNQEGHILQAFKRSIEDRGNAFSSWNATDPDPCLWNGVTCDEHRQVISLELISSAISSTFPLQLCKLPHLLYLSLYNNTFHSILPPAISNCTTLEFLDLGQNLLTGSIPSSIADLRHLRYLDLSGNNFSGRIPPSFGQFPQLEAFSLISNLVGGTVPPFLGNITSLKMMNLSYNSFDPGRIPPELGNLMNLEVLWLTGCKLQGEIPDSFRGLKNLILLDLSSNNLTGVFPKALTELTHVTQIELFGNYMSGALPDTFSKLKALRMFDVSMNNFSGPIPSSLFELPLESLNAFENNFEGSLPESMAKSRSLKEIKLFANKFTGALPVDLGKYSALASLDISNNFFSGSIPENLCAKGALTEIMMINNLFSGELPSSLGNCQSLTRIRLGNNNFTGPVPENIWGLPDVSLLELTNNTFSGKISKKIGNSKMLSMILISRNNFSGTIPREIGSLKNLVEFSADHNKLIGNIPDSIMKLNRLAKLDLRNNKLSGLLDHRLYAWERLNELNLANNNFSGKIPPAIAFLPVLNYLDLSGNQFSGEIPHGLQNVNLNVLNLSYNHLTGILPSYFERSMYKNSFLGNPGLCKGENDACHLIHSSKSGGRGGEEKECDEEGGCLWLQRSIFVFVGVTLFVGAVLFHVKYKTFVKTRSLNIKSKWIMTSFQKLSFDYDDIVDSLDEDNVIGSGGSCLVYKIVLANGETIAVKKLWPELPDDCKSIDLENNCTEVNAFDAEVMTLGEIRHKNIVKLLCCCTNGECNLLVYEYMPNGSLGDMLHGIKKELLDWQTRYKIALDAAEGLSYLHHDCVPPIIHRDVKSNNILLDAEFGAKIADFGIAMTVDISKVKTMSVIAGSCGYIAPEYAYTLNVNEKSDIFSYGMVILELITGRRPTDLECEENDLVKWVRTTLEGKGLSHILDPKLDSSHQEEMLKVLNIGLLCTNPLPSDRPPMRRVVTMLLEVRMDCNSMIAWRKGRLTPYNFEDSENAA >KGN48992 pep chromosome:ASM407v2:6:26128542:26129240:-1 gene:Csa_6G509610 transcript:KGN48992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYDNEARGKDLELNLLDFRIKQVAEFYTFKDWPMWPIFPLRRIIVSHNFPVIITGIRRNGNLSFYKEGGKEDVDNNYGWRHAKIGYNFFEDLIVQKRKIHGINRKGEIFRINESKMKAIKLHVPLCGKTPEYWQGDLKYLVECCGKIYVVNRWVDESKEKLEVYKLDEEKRRWVYVDNLGKYSFVLRREFSFCVDEGVKRNCIYFNRVQGLRGIYSIDALFDLKDGRCINLL >KGN49062 pep chromosome:ASM407v2:6:26431122:26432401:1 gene:Csa_6G511780 transcript:KGN49062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLAALFRHRADSSFSESGSDHSPEKPTADLFDLVKSFIEKGDLEFKEGEREDCCTEESDGFSFDSDAGVVKLRNLFGSVENKNEEIRIETEQALKLVGLCKSKMEKPRAFPAGDHEYIDVNFGGNRYIVEIFLAREFEIARPTSKYVSLLNTFPEIFVGTLDELKHVVKLMCSAMKESMKKMNMHVPPWRRNGYMQAKWFGSYKRTTNHKVSGSSEAETSPAEISLPCFKSYHCRGDFGRNAGIRVGNLTAVFGGNELLM >KGN48750 pep chromosome:ASM407v2:6:24894766:24900763:1 gene:Csa_6G500420 transcript:KGN48750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGILAVLGCVDSSQAKRSRIIELSRRLRHRGPDWSGLHCFENCYLAHQRLAIVDPTSGDQPLYNEDKTVVVTVNGEIYNHKELREKLKGHQFRTGSDCEVIAHLYEEYGEEFVDMLDGMFSFVLLDTRSKTFIAARDAIGITPLYMGWGLDGSIWFASEMKALSDDCERFVSFLPGHIYSSKQGELRRWYNPPWFTEMVPSGSYDPLVLRNAFEKAVTKRLMTDVPFGVLLSGGLDSSLVAAVACRHLADSEAARQWGSQLHTFCVGLKGSPDLSAAREVADYLGTRHHEFHFTVQEGIDALEEVIYHIETYDVTTIRASTPMFLMSRKIKSLGVKMVLSGEGSDEIFGGYLYFHKAPNKKEFHEETCRKIKALHLYDCLRANKSTSAWGLEARVPFLDKEFINIAMSIDPEWKMIRRDIGRIEKWILRNAFDDEKEPYLPKHILYRQKEQFSDGVGYSWIDGLKDHAEKQVTDAMLVNANFIYPENTPTTKEAYYYRTIFEKFFPKNAARSTVPGGPSVACSTAKAVEWDAAWSKNLDPSGRAALGVHVAAYDEKLNAKIANVPNDSPQEIKVTVEKAAAVV >KGN46807 pep chromosome:ASM407v2:6:9684445:9686556:1 gene:Csa_6G137600 transcript:KGN46807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMATAPLNKIERAHQMYREGLYAEALRFYTEALSMAKTKSQRIALHSNRAACHLKLHDFNKAAEECTWVLELDHKHTGALMLRAQTLVTLKEYHSALFDVNRLIELNPSSEVYQNLHTRLKTQLSLAPIPESEAELEEEEEEEEYVDEFNEYAANEKCIEGKEYVVTPTFEQVQKPEHNCNLIEKKIIKTQGNCGLSEVERVNQKVEVKLTAKSEVVAPQGQIKKGTTTQGPNGWQTIPKPKGHSALDYARWDRVEDDSSEDDDDDEEEDSGPQFRFRVRTVGVKPVK >KGN46353 pep chromosome:ASM407v2:6:5819695:5820828:-1 gene:Csa_6G087810 transcript:KGN46353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTLATTDRFFELTSLLRFLASSPCPCSDGIFSCPQTESIFQFSISAYCRARKFDEAVFAFDSMRKLIDGRPSVVVYNILINGFVKSGRFDKALGFYSRMLSDRVKPDVYTFNILISGYCRNSQFVQALELFKEMREKGCSPNVISFNTLIKGFFRERKFEDGIALAYEMIELGCKFSSVTCEILMDGLSREGKIFEACEILLDFSRKQVLPKDYDYYGVVEMLCGKGNAGKAMEVVDELWMEGNVPSFITSTTLIDGLRKEGRVNDAMNVTERMLEVGMIPDSVTFNSLLQDLCNVRKTVEANKLRLLASSKGFEPDNKTYYTLVSGYTMEGNKVEGQRLVEEMLDKEFIPDIATYNRLMDRLLNTHKKRSKLVT >KGN48985 pep chromosome:ASM407v2:6:26102502:26105297:-1 gene:Csa_6G509050 transcript:KGN48985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRFLHNHLFRSVVSLNRALWTWNFPSNRRGFSDLPESWKSMTGLVRCPANDVPLSPISFLERTAKAYRDTTSIVYGSMSFTWEETYNRCLKLASAMTQLGISSGQVVATLAPNVPAMYELHFAVPMAGAVLCTLNSRHDSSMVSVLLRHSEAKIIFVDHQLFEVACEAIRLLEQGDSEPPKLVLILDSEHGSSPASISSNVYEYESLIASGSCEFEVRRPKSEWDPISINYTSGTTSMPKGVVYSHRGAYLNSLATVLLGGMGSMPVYLWTCPMFHCNGWCLTWGVAAQGGTNLCLRKVSPKAIFEKIALHNATHMAAAPTVLNMIANSPVSDRRLLPNKVDVLTGGSPPPPQVLAEMEEMGFRICHLYGLTETYGPGTYCTWKPMWDDLPSDEKSRIRARQGIHHVGLQEVDVRDPKTMASVPTDGKTMGEIMFRGNTVMSGYFKNQKATEEAFMGGWFHSGDAAVKHPDHYIEVKDRLKDVIISGGENISTVEVETILFSHPSVLEAAVVARPDDHWGETPCAFVVLKEGCNTVTAQQLIDYCRDRLPHYMAPRTIVFQDLPKTSTGKVQKFILRERAKAMGSLS >KGN48739 pep chromosome:ASM407v2:6:24842473:24845322:1 gene:Csa_6G499830 transcript:KGN48739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASITTMLLRPNLIPSSSHLLSPSLRPSLPPSIIRFRTSRKSTTHKPFSLSVSATLQALIFDCDGVILESEHLHRQAYNDAFVHFDVRCPNSTSQPLNWSIEFYDELQNRIGGGKPKMRWYFKENGWPSSTIFEKAPEDDEERAKLIDILQDWKTERYKEIIKSGTVSPRPGVLRLMDETKSAGRKLAVCSAATKSSVILCLENLIGIDRFQNLDCFLAGDDVKEKKPDPSIYITASKKLGVSEKDCLVVEDSVIGLQAATKAGMQCVITYTTSTANQDFKEAIATYPDLSDIRLKDLDSLLQNVATTR >KGN49080 pep chromosome:ASM407v2:6:26534565:26538205:1 gene:Csa_6G513440 transcript:KGN49080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISVSRRLLRSVGSLSTFGQCNSSCTMSRSFSNPHEIYGHVSGSSSTFASRSTLLKAGSFLASRGFCTSILTPESSNNAFPSELLSAKPVASEERKIGLYQDLMIPVTNFENEDKGFMVLAGDVFDLPIRKDIIHRVVRWQLAKRQQGTHSTKTISEVSGTGRKPWKQKGTGRARHGTLRGPQFRGGATMHGPKPRSHAFKLNKKVRRLGLMIALSARVAEGKLLVFDNLDVTTHKTKNMVKYVSKMENTKKVLLVDGGPIDEKLKLATQNLHYVNVLPSIGLNVYSILLHDTLVMSRDAVERIVTRMHTPINR >KGN46794 pep chromosome:ASM407v2:6:9508771:9512982:1 gene:Csa_6G135990 transcript:KGN46794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKDKIFKLAKGFRGRAKNCIRIARERVEKALQYSYRDRRNKKRDMRSLWIQRINAGTRLHGVNYGNFMHGLMKENVQLNRKVLSEISMHEPYSFKALVDISRNAFPGNKNVVFPPKKDAASMIV >KGN46867 pep chromosome:ASM407v2:6:10252694:10256484:-1 gene:Csa_6G147600 transcript:KGN46867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNNEHRTMIGFLMKKKALELRESVGYATRRRAIKGHVERWRRVIKSKPQPPESQNLEPLIRIALPFTRRRSKEHNKSAVRDEERFLQALDLLPLLTRSVGLASPSIFFRHLRLSMVSDASKKKAAQKKAAAAAKRGGKAAAAAASSKAAAAAAESQNGVDKLANGVDALQLSDRTCTGVLCSHPLSRDIRIESLSVTFHGHDLIVDSELELNYGRRYGLLGLNGCGKSTLLAAIGCRELPIPEHMDIYHLSREIEASDMSSLEAVISCDEERLKLEQEAESLAAQDDGGGEQLDRIYERLEALDAATAEKRAAEILYGLGFNKQMQAKKTRDFSGGWRMRIALARALFMNPTVLLLDEPTNHLDLEACVWLEENLKKFDRILVVVSHSQDFLNGVCTNIIHMQNRKLKIYTGNYDQYVQTRSELEENQMKMYKWEQDQIASMKEYIARFGHGSAKLARQAQSKEKTLAKMERGGLTEKVVRDKVLVFRFVDVGKLPPPVLQFVEVTFGYTPDNLIYRNLDFGVDLDSRVALVGPNGAGKSTLLKLMTGDLVPLDGMVRRHNHLRIAQFHQHLAEKLDLEVSALQFMIREYPGNEEEKMRGAIGKFGLSGKAQVMPMKNLSDGQRSRVIFAWLAWRQPHLLLLDEPTNHLDIETIDSLAEALNEWDGGLVLVSHDFRLINQVAEEIWVCENQAVTKWEGDIMDFKAHLKMKAGLAD >KGN46722 pep chromosome:ASM407v2:6:8805861:8810952:-1 gene:Csa_6G127340 transcript:KGN46722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCCESIFLTETHPEKLQPQQPAPPYVAVEPPPLLPPAVGPEPTQLGEMVSTFSEFSFSDLKAATDNFNSNFIVSESSEKALNIVYKGRLHNRKWIAVKKFSNVAWPDAKQFVEEASGVGKLRHPRFANLIGYCCDGDERLLVAEYMPNDTLAKHLFHWENQTIEWAMRLRVAYYIAEALEYCTTKGRALYHDLNAYRVLFDEGGDPRLSCFGLMKNSRDGKSYSTNLAYTPPEYLKNGRVTPESVIYSFGTVLLDLLSGKHIPPSHALDLIRGKNIILLMDSHLEGKFSTEDATVVVNLASQCLQYEPRDRPNTEELVSTLAPLQTKADVPSYVMLGMKKQEDAPAAPVAPAAPVAPQRPLSSMGEACSRMDLTAIHQTLVMTHYKDDEGTNELSFQEWTQQMRDMLEARKRGDFAFRDKNFKAAIDCYSQFIDVGTMVSPTVFARRSLCHLLCDQPDAALRDAMQAQCVHPDWPTAFYMQSVALAKLDMQKDAIDMLNEAAALEEKRQRGGR >KGN46862 pep chromosome:ASM407v2:6:10212654:10212890:1 gene:Csa_6G147550 transcript:KGN46862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKIRRMRVFRQKSKKQRHSDDLRFGFENGSENMGSSMEMKDEGLESKGTGVKTVEMIYVSGLGKELDRRLGVLRRF >KGN46967 pep chromosome:ASM407v2:6:10878788:10882556:-1 gene:Csa_6G153500 transcript:KGN46967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKTPTVIDLEAMPFSEVCNKLKRFRLFEPSLGVFGFFFVSVCLICSFFFLDYRTASKGFVFPLRSESFVWLRNANLGQNRKFDFLSEEGNGCDLFDGNWVWDDSYPLYESKSCPFVDQGFRCSENGRPDLFYTKWRWQPKLCNLPRFNATLMLEKMRNKRVVFVGDSIGRNQWESLLCMLSSAVPNKESIYEVNGSPITKHKGFLVFKFKDFNCTVEYYRAPFLVLQSRPPSGTSQEIKTTLKLDQMDWSSAKWRDADVLVFNTGHWWNYEKTIRGGCYFQEGKEVKMKMKIEDAYQQSLKTVMHWINKELNSKKTTVFFRMFAPVHFRGGDWRNGGSCHLEALPELGSSLVPPETWSHFRIANSILSGYPTTPNSTRLEILNVTQMTAQRKDGHSSLYYLSPDVGPAPPHRQDCSHWCLPGVPDVWNELLYALFLKHES >KGN46748 pep chromosome:ASM407v2:6:9041310:9042929:1 gene:Csa_6G128580 transcript:KGN46748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLFLHRSTFRLITAFFSAAALLHWFAAAAHLQHPVPDLHWLPATATWYGSPEGDGSDGGACGYGNLVDVKPLKARVGAVSPVLFRNGEGCGACYKVKCLDHNICSRRAVTIIVTDECPGGYCSNGNTHFDLSGAAFGRMAIAGEGGQLRNRGEIPVIYRRTPCKYPGKNIAFHVNEGSTDYWLSLLVEFEDGDGDIGAMQIKETNSGEWLDMNHLWGANWCIIGGPLKGPFSVRLTTLSTGRTLSARDIIPRNWSPKATYTSRLKFFT >KGN48169 pep chromosome:ASM407v2:6:20867585:20869460:1 gene:Csa_6G446310 transcript:KGN48169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDHLHRLRSTTHLFKQASSSFFSNFFTFLLLSLLLLSFRLLVENGTHRVTSFIDHDPSLNALLSRLDPPPNQSHRVGSLDSARHFRRRHPFLHFKRVGTLDDDLFSGDGDEDRRLFGAGNGFSPNRSFVMFTHFDSMLGFSDSVVDNGISVSEVVRPGVTFKARITSLDVNEDGSKNQDEGNGDLERENVDGQQDINRVVNLQFVKGLELDNLETAALFFMVSFLSAVYGWVILSFTLTYSLVLGMVFISVVNDLTGRFSSLVGIICDGTMLGLKRLSGFIIMKWAVRDALTQLLGLWYFSEIENKYSFFKLFVRLKLMPFSIMSPWVQGFEKEISGFISTWFLMDSLLAFLFAVDAWAVLADSRRSGREIVKEGCYLLSIMLNQAVQINCLEAIFCGPLVRAVIGRTLGKYVAMAFQSVVEVYFMVTWLVFYLSARCRDAHVQGRRFGQRELEGLTDGLR >KGN48208 pep chromosome:ASM407v2:6:21137853:21139047:1 gene:Csa_6G448170 transcript:KGN48208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGAYSVHFIVHNNCPFPIWPGALTGTGNQLTTTGFQLLPGSTTTVTTAPPWSGRFWARTLCSTDPNGKFSCATADCGIGQVECNGAAAIPPASLVEFTIAPNGGQDFFDISLVDGFNFPVGVTPIGGSGDCQTILCSGDVNVVCPQKLAVTAEDGAVIACKSACMAFNKPKYCCSGDHSRPETCHPTDYSRIFKNQCPQAYSYAYDDKTSTFTCVGGADYAIIFCPN >KGN47409 pep chromosome:ASM407v2:6:14914386:14924002:-1 gene:Csa_6G314090 transcript:KGN47409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSNIRDLLTSFSPDLHFFAISSGDGRIKIWDTLKGQIQTEFADFFTSDSTSILTKPEKGHLSVDYKCMKWLSLEKKRKRKRQCLLLLLGTGSGDVLALDVAAGELKWKISDCHPGGVASISFPTHGSCIYTAGADGMLCEINSLTGNLLRKFKASTKAISCISVSPDGKIIATAASQVKIFNCSNHKKIQKFSGHPGAVRCMVFTEDGRYILSSAVGERYIVVWSVDGGKEQSASCVLAMEHPAIFVDSRCSNDGGDETALYILAISEIGACYLWYGQNLEELRTAKPTKILMSGNDIFSKSKKRAIPAIYAAKLQGVPKSGSGQVFLAHGLLVKPSFQSVLVQSGTDINLNSSNEGILLPSQSIGKSKKGLDVQGGVVALDRANAEDALRPIPKIFDSQEKSTLYQDLQVDRSDVMTQLVDSGSRLEDDVGVKDSAAVCMEDQLRSLGILHNTDDHMLESILKLAISKGIDLEANISQKKLREAVLSLAPGDAYKLLGNLVNIWQSRLHCGKNVLPWIYSLLLNHSQHILSQEQSAQMLDSLFKITKSKETAVQPLLQLSGRLQLVLAQIERTSTNKTKQTIQDSLEIAGSESSDDDEDEDEDDEVDDVLYGEEENESELSSDDEK >KGN47119 pep chromosome:ASM407v2:6:12249729:12252336:1 gene:Csa_6G188120 transcript:KGN47119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHKVDHEYDYLFKIVLIGDSGVGKSNILSRFTRNEFCLESKSTIGVEFSTKTLQVEGKTVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDLTKRQTFENVQRWLRELRDHADSNIVIVMIGNKSDLSHLRSVSEDDGQTMAEKEGLSFIETSALDATNIDKAFQTILTEIYHIISKKALAAQEAAASITHPGQGTTINVSDTSGDSNRRGCCSS >KGN46858 pep chromosome:ASM407v2:6:10188143:10194775:1 gene:Csa_6G147510 transcript:KGN46858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGASLLYLDPIEGECAMLSISLYFHLSISYSLFQYPSPPTLGKSPYALSSAHYRNFYLEMITHEQDPDVVRWGLELFDGNPFSDYGYCGNIHDEIQYYQGQCLDVDNYDKACTIENNELIAQTLQELSQLAVAEPVSFDQGTENLQLSTYPQEWFSLSINACGSEHNNDLEEEDYSSCTMPDGTSYSGDDWSYSLELTDEYTLDGEMGKRLNQMISIPHIPRINGEIPSVDEEALDHQRLLDRLQLYYLIELKVQGDGNCQFRALSDQIYRTSEHHKFVREQVVNQLKSYPEIYEGYVPMAYTDYLEKISKSGEWGDHVTLQAAADTYGVKIFMITSFKDTCYIEILPNIERSKRVICLSFWAEVHYNSIYPEGDVPMFETRNNRWWMLQNEHLE >KGN48256 pep chromosome:ASM407v2:6:21537430:21538928:1 gene:Csa_6G452080 transcript:KGN48256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEHRCQAPEGHRLCANNCGFFGSPATMDLCSKCYRDFRLKEQEQASTKSTIEAALSASSSPSPSSSPIDPPPVPPVVALSLPDTTTDLTIPPLAVVGSDVTNPNPSPSPNRCSSCRKKVGLTGFKCRCGTTFCGAHRYPEKHGCTFDFKSIGREEIARANPVVKADKLERI >KGN46787 pep chromosome:ASM407v2:6:9427386:9429056:-1 gene:Csa_6G135430 transcript:KGN46787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSILWEKSETWRWVVRKTRDSKSFFFTFATVCGLVPGLIGYCVMQATNSTNEQLEARLRQNARPESLMMGQVNRERLAEYLGELQRKEDTNDRYVAALEGKTLTRKPYVRIQPIPNQSNDATVKEQQIKKENK >KGN48424 pep chromosome:ASM407v2:6:22778738:22778983:-1 gene:Csa_6G486965 transcript:KGN48424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQKRYYRVVNNKNNGINKKQEKNNNNNNSNSSWSPISDLKLPQQLANGDQDVQTQIDNVLHGPKTTARLLVFKQICPDQN >KGN45927 pep chromosome:ASM407v2:6:2322373:2323994:-1 gene:Csa_6G022380 transcript:KGN45927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIACQPHPLVYFSVRSISKRQDGWRNVQNLHSRNFVKLVLLEPQMHKFAMSLCKNRLHAIPNAEDGDPSASMSEDINSNPVLDSIAGELWNKWSPPKYLWRGLSVLFLTGQVIMRTLKGKVHWKNTLQQLERVGPRSVGVCLLTSAFVGMAFTIQFVREFTRLGLNRSVGGVLALAFSRELSPVITSIVVAGRIGSSYAAELGTMQVSEQTDTLRVLGSNPVDYLVTPRVIASCIALPILTLMCFTVGMASSALLADSVYGVSINIILDSAMRALKSWDIISAMIKSQVFGAIISIVSCAWGITTSGGAKGVGESTTSAVVISLVGIFIADFTLSCFFFQGAGDSLKNCM >KGN46299 pep chromosome:ASM407v2:6:5490579:5493922:1 gene:Csa_6G080910 transcript:KGN46299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTNGAMPSGSGLILSFGEMLIDFVPTVSGVSLAEAPGFLKAPGGAPANVAIAVSRLGGRAAFVGKLGEDEFGRMLEGIVKENGVDASGIRFDQGARTALAFVTLRADGEREFMFYRNPSADMLLKPEELDLDLIRSAKIFHYGSISLIVEPCRSAHIKAMDEAKKAGVLLSYDPNLRLPLWPSANEAREQIKSIWNKADIIKVSDEELKFLTQKEKVDDENAMSLWHDGLKLLLVTLGEQGCRYYTKNFRGSVDPFKVKAVDTTGAGDSFVGALLTKIVDDQSVLQDEKKLRDILRFANACGAITTTKKGAIPALPSEADVAALIKASS >KGN47316 pep chromosome:ASM407v2:6:14142269:14143016:1 gene:Csa_6G293930 transcript:KGN47316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGASQSQSSTSSGSTARPGVVAPRGSAAATAGLRRRRPGSTSASASTGLVGGGSSGGGNMLRFYTDDAPGLKISPTVVLVMSLCFIGFVTGLHVFGKLYRARSAAGV >KGN48728 pep chromosome:ASM407v2:6:24764796:24767053:1 gene:Csa_6G499720 transcript:KGN48728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRHGSSDSLGALMSVCPTSEEQSPRNSHVYGREFQSMLDGLDEEGSIEEHCHVGEKKRRLSVDQVKALEKTFEIENKLEPERKVKLAQELGLQPRQVAVWFQNRRARWKTKQLERDYGLLKANYESLKRSFDTLQQDNDALLKEIKELKSKLEEEKTESNLSVKEEIFVSESDNLLIEQTTNHLPVDHISLPVASDHSDDFNYESFRTVGADDGDDQRVEVSLFTDFKDGSSDSDSSAILNEDNSPNAVVSSATAGMLQSHHQILSSPATSLNCYPFQKAAYNNAQQFVKIEEHNFFSGEETCNLFSDEQAPSMHWYCPDQWN >KGN46620 pep chromosome:ASM407v2:6:7862058:7865113:-1 gene:Csa_6G113570 transcript:KGN46620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVPFGFFPYLWSFISFLPFFLLLLLLGLLKAAIVAPISAAIIVICNSSVILGLFPAHFFWTFFCFARTKKLGIILKTVVLVFLPLPLILWPVVGIVGSLLGGIGYGFFVPLIATFEAVGAGVTDKLYHCLADGCLSTIKASCLIVMDFTDFCFNSYFSYMDELGELMHSDEKPMEVKLSRLPCCLLASLIGVPVDFIFITLVALWRSPYMLFKGWKRLLEDLVGREGPFLEAVCVPFAGLAIILWPLAVVGAVISAIISSLFLGLYAGVIVHQEDSFQLGIAYVLSVVSMFDEYVNDLLYLREGSCIPRSFMHYIIVTARPKYRRNTSSDLKREHRSDNKNDARSMKNGENNHKLVSEQSRTLKWVIQHYKPVLVWDWLFMSCEVNGRLLLQDGLITTEDIEECILKGNCKKLSIKLPAWCILQCLLSSAKSNSPGLVISNNVELTRTNLPRDTMFEWFLGPLLLMKEQIKRLHLEENEEICLRILIMKCRNEKPEDWDNFGFPSNNTVRRAQLQAIFRRLQGIVNSVSRIPSFRRRFRSLIKVLYVEALQKGSSADATRIRNGSKPLGNLRDGRNGEEETANTIQKPPNTTDDVV >KGN48160 pep chromosome:ASM407v2:6:20825534:20828777:1 gene:Csa_6G445240 transcript:KGN48160 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phenylalanine ammonia-lyase MAELGHQNGVEFCIGGRNNINNDPLNWGAAAESLKGSHLEEVKRMVEEYRRPVVKLGGETLTISQVAAIASRDSGVVVELSESARGGVEASSKWVMDSMNQGTDSYGVTTGFGATSHRRTKEGGALQRELIRFLNAGVFGNGTESSHTLPHLATRAAMLVRINTLLQGYSGIRFEILEAITKLLNHNVTPCLPLRGTITASGDLVPLSYIAGLLTGRSNSKAIGPNGESLDAKEAFKQASIPSGFFELQPKEGLALVNGTAVGSGLASIVLFEANILAVLAEIMSAIFAEVMQGKPEFTDHLTHKLKHHPGQIEAAAIMEHILDGSSYMKTAKKLHEMDPLQKPKQDRYALRTSPQWLGPLIEVIRFSTKSIEREINSVNDNPLIDVSRNKALHGGNFQGTPIGVSMDNTRLAIASIGKLIFAQFSELVNDFYNNGLPSNLSASRNPSLDYGLKGTEIAMASYCSELQYLANPVTSHVQSAEQHNQDVNSLGLISSRKTEEAIDILKLMSSTFLVALCQAIDLRHLEENLKSTVKNTVSQVAKKILTTGANGALHPSRFCEKDLLKVVDREYIFAYIDDPCSATYPLMQKLRQVLVEHALSNGEDEKNANSSIFQKIHVFEEELKVVLPKEVESARFAYENGNSTVQNQIKKCRSYPLYKFIRKELGTELLTGEKAKSPGEVCEKVFIALCQGKMIDPILECLKEWNGAPIPIC >KGN46999 pep chromosome:ASM407v2:6:11242985:11243713:1 gene:Csa_6G160700 transcript:KGN46999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAINPEDRRPHYLHLKHGPGKVVEKTKRDVEEKNKEDEERIRKKRKKEKRALREERARKEEERIREDEERRNRERIHKARMMKEKREKEEADRIEREAARKEDKCFRKISKEKRQSVTSLAEAKSKLAKIQTPKKMKQEKLEKLDDRVASGGSHGYSGEELTRDLGSMSPEETRLGLEDPRLINAKERKKGKSKADS >KGN48127 pep chromosome:ASM407v2:6:20625172:20625823:1 gene:Csa_6G439930 transcript:KGN48127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMAMTASFLPTTATKQPSSTARRPLIVAKASTSNQASNVNMEVKNVKVESRQGRRELVAAAVTVAAATLAKAAMADEPARGSPEAKQKYAPICVTMPTARICRK >KGN45800 pep chromosome:ASM407v2:6:1335318:1337384:1 gene:Csa_6G012250 transcript:KGN45800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMDVALSSMMAASSSLFPSGSLLESLILLSNEVAFEEKAPFVHAKTISTMRRRIKLLAFLFEEVQESNSPLPPSSILCLTEVFSVIRRVKILTQSCEEGSCLWSLLQTESISNQFYQFVKEIGRVLDILPLSLLKLTDDTREQVELLHSQAKRFEFSVNAREVQRRDELLQLMSNKERNYKTKGLGEVGKIKEIFSSVGLRNMMDCDEEITKLEAEGLKQAGTGGIIVVSNINNLISLVKHAKTVIFSKKENENDGGKYNLKFLHSNKHLDHSSSSNSLVQIPDDFRCPISLDFMRDPVIISSGHTYDRYSIAQWIDSGHHVCPKSNQRLIHMALIPNYALRSLMQQWCQENNINMNEPTKPYSSFELERSNSKRYLSEEPVDHISASKAASDAIKMTAEFLVGKLATGSPDIQRQAAYELRLLAKTGMDNRRMIAEAGAIPFLVTLLKSGDPRIEENAVTALFNLAIFNNNKILIVAAGAIDNITHILESGKTMEARENAAATIYSLTMVDEFKITIGASPKAIPALVRLLKEGNSAGKRDAATALCNLALYNANKACIVVSGAVPLLIELLTDDKAGITDDALQALSLVLGCSEGLQEIRKSRVLVSLLIDLLRFGSPKGKDSSLTLLLGLCKDGGEEVARRLLINPRSIPSLQSLAADGSLKARRKADALLRLLNRCCSQSQPC >KGN48498 pep chromosome:ASM407v2:6:23240828:23241967:1 gene:Csa_6G490130 transcript:KGN48498 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein MIQELFGVSGLLAAAGDTKISINNTCSILDSSPSTSLSASATAASNATVTTTATPTTSSNSEGQNLRCPRCDSSNTKFCYYNNYNLTQPRHFCKTCRRYWTKGGALRNVPIGGGCRKNKSAAATVAAAVGKSTSGKMKTLSSEILGRVGFGNGSALDHEIISSPTQILWGSPQNSHLLAILRSATQNPNPSNLTASHVINNDPPPSTTPFHARTMGFDDPPAGPHVSSLGLCSSYWRNNQNQVHHQQNGYPHGGGDQVHSGASGIQELYQKLKSSSNNYFSDSHQGSVGVTNVATTATAAILEAAPVGGGEMTGFWNPAFSWSDVHASANGAYP >KGN45628 pep chromosome:ASM407v2:6:191933:195657:1 gene:Csa_6G001740 transcript:KGN45628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLRWAMDGQGFWDLDVSTSRTLDGSASPVPSPLHLLPLGLSRGVRLSRAKQIDFMQRFMAAPFVPSYSPSHGFSLQRVFSVPFSDSGSITLLGQFNLQKFMSSLMKTGSGEMCQSYSSLLQYIGRHLYQRSLYAVGISADILLPPDDSLMISFDGYGDSDIVRTKAVFHRKFLHHDLTVEALSPGLFMEKCGRYWDVPSSLVVDLGSVASDSGLSYHLSMHQNAGFPSQLGSEPTHSAPFCLLPGLSAKAAFAFKKNFEIWRSNAKKLKMVQPYDIFLSTPHVSLSAIIGAVATSYFGDDLARSAAQDSLEKFKGFYMKSSRIRSTVFADLFTSISFSAQYGMFQKKYLDLTRFSACMDFHSGSKFLSGSMLLIDDLSNSRHPKTESVKATLPNARFSIQQQIAGPVSFRADTGVAIDLNKAGWDLLRVEEPTFALEYALHVLGSAKAIAWYSPKHREFMVELRFYET >KGN46564 pep chromosome:ASM407v2:6:7309897:7310235:1 gene:Csa_6G108620 transcript:KGN46564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLGFGLEFNGGIPLITSAGIIVSGEISGSYSWGETLTKKTSKESSYETIMPPNTYVKVSLIATKGKCDIPFSYMQRDVYCDGAVKTEERDDGIYTGFNCYSYNYEVEEKKI >KGN47179 pep chromosome:ASM407v2:6:12603826:12604079:-1 gene:Csa_6G194140 transcript:KGN47179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSGNALLAWNIRYTLRSFGLFVVKAPMLYKVYGGINWVACDSVGSLILVVCE >KGN46903 pep chromosome:ASM407v2:6:10464789:10468695:1 gene:Csa_6G149930 transcript:KGN46903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEARNGDRSEETDYTSEDEGTEDYRRGGYHAVRVGDTFKNGCYVVQSKLGWGHFSTVWLAWDTQKSRYVALKVQKSAQHYTEAALDEIKILKQIAEGDIEDKKCVVKLLDHFKHSGPNGQHVCMVFEYLGDNLLTLIKYADYRGIPLHMVKEICFHILVGLDYLHRKLSIIHTDLKPENVLLPSMMDPSKDPRKSGNPLILPNSKNKTSTSSDASNDVKSYNGDLTKNQKKKIRKRAKKAAQGRLEKETVEEDIDEEDPARAGTEDLDNGVKLNGGSTKTRKDGAVNDADTTNADDLKDAGPGKKGQRKMSRETRRRLLAAADLKCKLVDFGNACWTYKQFTNDIQTRQYRCPEVILGSKYSTPADMWSFACICFELATGDVLFDPHSGDNYERDEDHLALMMELLGVMPRKIALGGRYSRDYFNRYGELRHIRQLRFWPLNKVLTEKYDFSEQDANDMAEFLTPLLDFAPEKRPTAAQCLSHAWLSSVPRNLECSVSSHQNQPVDQPASTKVSEKDEREAMEIGMGNIAIDGTSKSASSKST >KGN46980 pep chromosome:ASM407v2:6:11001056:11001570:1 gene:Csa_6G157060 transcript:KGN46980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMVFQDAEIQQEAFAVRRLKEKFYERTRCPQSDEPLYKDVAGSGGLQVSSLDSRLLEFGNEVKTLRESLENKKVTETSESISNE >KGN48438 pep chromosome:ASM407v2:6:22864908:22869444:1 gene:Csa_6G487590 transcript:KGN48438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVNFGHLQHLSIRIDFGMVVRKPSGSVRFLQPISDQQKLPGLVSAFQQPLRLSNRCRTVSVETSCYRENISGLTLETEKSHPFDKDLLLKNKSQEVQPHLNGQCIYLVGMMGSGKTTIGKILAKELGYTFSDSDTLVEQEVGGTSVADIFKLHGEVFFRDKETEVLRKLSLMHGFVISTGGGIVVRPINWKYMRNGISIWLDVPLEALAKRIAAVGTDSRPLLHHESGNAYTKAFRRLSVLLEERGDAYANANAKVCLGSLADKLGLSDVCNLTPADIAVEALVQIQNFLEQENDYATT >KGN48495 pep chromosome:ASM407v2:6:23209634:23211779:-1 gene:Csa_6G490100 transcript:KGN48495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKEKKIKKHFILVHGACHGAWCWYKLKPLLESAGHRVTALDMAASGIDRRDVEEVRTLSEYSKPLLEMMNGVVVGGGGGEKVILVGHSLGGLSVALAMETHPDKIAAAVFLTAYVPDTLHPPSYVLDMYSDKNQTEELLDVEFGSNGITTQTQPFSSILFGPKFLSSYLYHLSPIEDLELAKTLVRPSSVFQENLSKAKKFSEEKFGEVTKVYVICSEDKILKKQFQEWMIKNSGIHNVMEIEGADHMPMFSKTQQLSQCLLHIAKIYA >KGN46039 pep chromosome:ASM407v2:6:3662119:3663626:-1 gene:Csa_6G045180 transcript:KGN46039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKKDKAPPPSSKPAKSGGGKQKKKKWSKGKQKEKVNNMVLFDQGTYDKLLSEVPKYKLVTPSILSDRMRVNGSLARRAIKDLMARGSIRMISAHSSQQIYTRATNT >KGN48107 pep chromosome:ASM407v2:6:20477583:20479479:1 gene:Csa_6G432800 transcript:KGN48107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARDSCLARVTAGVAVGGAVGGAVGAVYGTYEAIRFKVPGLLKIRYIGQTTLGSAAIFGLFLGAGSLIHCGKSY >KGN48880 pep chromosome:ASM407v2:6:25562455:25562793:1 gene:Csa_6G504605 transcript:KGN48880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVSLKELKPSIEFECIRSISFLFCLNVAPSVLFFLPKQVLVNARHSSRFTSGTSFLGRINTTDESTLGQGTKSLLLTSMTCSTSATSFTLTAKRLYSESPGSANNRRANSF >KGN46181 pep chromosome:ASM407v2:6:4773790:4777988:1 gene:Csa_6G067390 transcript:KGN46181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALCMLTFPAHVSRVGLKEHDGSSVAVSSRFLWGTDLVFRFQKRVNQVKKRPGGICASLSETGEYPSQRPPTPLLDTINYPIHMKNLSVKELYQLADEVRSDVIFNVSKTGGHLGSSLGVVELTVALHYVFNAPQDRILWDVGHQSYPHKILTGRRDKMSTIRQTNGLSGFTKRSESEFDSFGAGHSSTTISAGLGMAVGRDLKGRKNNVIAVIGDGAMTAGQAYEAMNNAGYLDSDMIVILNDNKQVSLPTATLDGPVPPVGALSSALSRLQSNRPLRELREVAKGVTKQIGGPMHELAAKVDEYARGMISGSGSTLFEELGLYYIGPVDGHNVDDLVSILKEVKSTKTTGPVLIHVVTEKGRGYPYAEKAADKYHGVTKFDPATGKQQKAKAPTQSYTTYFAEALIAEAERDKDIIAIHAAMGGGTGLNLFQRRFPTRCFDVGIAEQHAVTFAAGLACEGLKPFCAIYSSFMQRAYDQVVHDVDLQKLPVRFAMDRAGLVGADGPTHCGSFDVTFMACLPNMVVMAPSDETELFNMVATAAAIDDRPSCFRYPRGNGIGVELPPGNKGIPIEVGKGRILLEGERVALLGYGTAVQNCLAAASMVERHGLRITVADGRFCKPLDRALIRSLAKSHEILITVEEGSIGGFGSHVIQFLALDGLLDGSLKWRPLVLPDRYIDHGSPADQLAEAGLTPSHIAATVFNMLGQTREALEIMT >KGN46588 pep chromosome:ASM407v2:6:7510535:7511810:1 gene:Csa_6G110310 transcript:KGN46588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESCRTSLPFTSSYADTVRPESSHRLAGEVHVIIGPMFAGKTTALLRRIKSESNSGRNVVMIKSSKDTRYAIDSVVTHDGVKFPCWALPDLSSFRQKFGEDAYNELDVIGVDEAQFFDDLYDFCCNVADRDGKIIVVAGLDGDYLRRSFGSVLDVVPLADTVTKLTARCELCGKRAFFTLRKTEETKTELIAGADVYMPVCRHHYAIGQEVIQSSKNAMGSRTIASNLEALHLSKFNLENQA >KGN47967 pep chromosome:ASM407v2:6:19415766:19421951:1 gene:Csa_6G421610 transcript:KGN47967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHKPWRIIPRPLLETVLNNHSQHHRVPQPLILHGPRGVGKTTLILERLLADWNKGPHLSGYVDFAETIEGHHPIYGQSFPWASWSNCPPPSLSNCRIKLESCLESMAEKGVKLGSITSHQIFTTMNKWHGLNTALRRVLQVDNASKRVVSRRASSSALWDQAVFALSARCNAAELDGVLELGEEGRSIPFEEASYFREAFVALKLAKELIRIQQGWRANAIADLNRTGGFSTSLAHSCTDWPCLLIELLSQAAEINHFQPKLIINNVDVLRNASLSDGDSSVCGSMYHDSLVWRIIALGANERCLPVILVTSDSYYSYRAYMDFGFPDIFISRETFGWSPQEAKLHMVPDYFSDAEWKLIAEVLGPNPRHLFELYALKQGNYFNKMERDHNFGTIEDIVDAYLAYLQVTVVNPAMDRALALLQAHVVNVRNGLVSKDRLRFGAPWRHPPQSADPHLSLDWAKIQLMDFVHSLVDAEFGVNYLADCSLEIFDDPSVVALTEVGLLYTQRDPSFMRPVSRGIQRCLVRWLVQQQLQLSSKRSLQYLWQRIIRGRSYRHLMLEVGYK >KGN47004 pep chromosome:ASM407v2:6:11332045:11332714:1 gene:Csa_6G167220 transcript:KGN47004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVVQLYFSNMVLSKMVVVQLCPSKMMVVQLRLSKMMVVQLSSPLQDDGDETHLSKMVVVQLCLFNMGVFKIVVVQLHLSEITPLSKMLVVQPCLSKMMTMVHCLRLSKMMDGILQDSGGAASPLQNDNDGVAPPPPLQADNDGATPPLQNEDVVQHL >KGN45866 pep chromosome:ASM407v2:6:1819990:1826019:-1 gene:Csa_6G014860 transcript:KGN45866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGSAEDNFQQTPPHKKSKTIIKIPSYQEVIDSSQPNSQSFSQAFAFLKSSEFYSPPPKPPSPSSSQSLPPSNITNPRKNDQLDTSSSSTSASAATPVNSLSVSSSVSRNAILVSNRQKGNPLLKHIRNVRWAFADVVPDYLLGQSSCALYLSLRYHLLHPDYLYYRIRELQKNFKLRVILCHVDVEDVVKPLLEVTKTALLHDCTLLCAWSLEECGRYLETIKVYENKPADLIQGHMDTDYLSRLTHVLTSVRHVNKTDVVTLGTTFGSLSHIMDASMEDLARCPGIGERKVRRLYDTFHEPFKRVVSTHPAVPETPTQISTKPRSSNEEQDVDGKRIEEDGSQHKKEPKLNVKSALSAAFAKYADKIAKSGSIPQEKEKGEPESSNTQ >KGN45811 pep chromosome:ASM407v2:6:1416628:1421996:1 gene:Csa_6G013340 transcript:KGN45811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLHVHGGFTGGPVHASSTVCHRRNSIAEYRFVGDISITRNSCATFPIRKNSRSSFPILCLQDSQQDSVRSDDVGRKSAGQILSTRRAVLGVPLIVIGARFLQSAVVRAEEKSSETVTPVVEAVTSPSPSPIAPTAEEEVITSRIYDATVIGEPLAVGKDKSKVWEKIMNARVVYLGEAEQVPIRDDKELELEIVKNLKRRCGESERTLSLALEAFPSDLQEQLNQYVDKTIDGETLKSYTAHWPPQRWQEYEPLLSYCRVNGVRLIACGTPLKVLRIVQAEGIRGLSKADRKVFAPPAGSGFISGFAAISRRTSADLNSSYQPIPFGPSSYLSAQSRVVEEYAMSQIILQAMQDGGGTGMLVVVTGASHVAYGSRGTGLPARISRKVPKKNQVVVLLDPERQQMRREGEVPVADFLWYSAARPCSRNCFDRAEIARVMNAAGRKRDALPQDIQKGLDLGVVSPEVLQNFFDLEQYPLISELTHRFQGFRERLLADPKFLHRLAIEEAISLTTTLLAQYERRKENFFLELDYVITDTLRGAVVDFFTVWLPAPTLAFLSIDDIDVSGSTDILQGLIGSIPDNAFQKNLAGKNWNLSHRVASVLFGGLKLASVGFISSIGAVASSNALFTIRKFLNPALANKQRNKRSPILKTAAVYGCFLGTSANLRYQIIAGIVEHRFSDAFSSQILLVNMLSFVVRTLNSYWGTQQWIDLARFTGLQTRESPSYQVQESPNPAALGCHVTEEATQTSPDEFKNQ >KGN47965 pep chromosome:ASM407v2:6:19408747:19409134:-1 gene:Csa_6G421590 transcript:KGN47965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYCLIRNFILEWVIMMEGGIKAFEKGREEGMTFSISVKEILYIDTEAWGSLRRWQSHVSEVGNYGGFRGGEEAEA >KGN48792 pep chromosome:ASM407v2:6:25118069:25118551:1 gene:Csa_6G501325 transcript:KGN48792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMRKHELGLLIEYLKEAAHNKAVVNLSAKVTSLTTDIICLMEFGKKYGDEDFDERGFKAVIQEGMQFAAAPNLGDFIPAIAWLDLQGFTRKMKRVHKVYDEFLEKIINEHLVARGGKKTRDFVDVMLDLIDSQQTEYQIDRSAIKAIMLVCQFFYFYFF >KGN47514 pep chromosome:ASM407v2:6:15824161:15825154:1 gene:Csa_6G352870 transcript:KGN47514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLRKTLVGLTVIILLSTLASAASQAKPDCDEWCGDLRIPYPFGVKQGCYFNQAFLITCDKAFNPPKAFLKDTNISVTNISLNGELHILQPIVRFCNEDVSLVNRSFIPNTTNLPATATFPIADGKNKFIAIGCNTFGFFTGKLKGGDQFLTGCIAVCPNNNKNNTWSCSGNGCCKLDIPDGSSDLNLTVAPALLDTDRNLVQNKPCGYAFVVGEEGFEFKQSYIDNFEDTEVEVVVDWSTESEIIDVCRKDTKRNSNFSDDRSQYRCQCPDGYEGNPYLPQGCDQGMNTVSERPQENRWE >KGN47223 pep chromosome:ASM407v2:6:12965596:12967022:-1 gene:Csa_6G216420 transcript:KGN47223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALALVLLAVLMGTSSAHLSPNFYHNTCPNLLSLVRAGVRSAVAKEARMGASLLRLHFHDCFVNGCDGSILLDDTPTFLGEQTAAPNNRSVRGFNVIANIKEKIEKICPGVVSCADILTLSARDSVVALGGPSWKVKLGRRDSKTASFSDVTGAIPPPTSTLATLINRFNTKGLSPKDLVALSGAHTIGKARCLFFKNRIYNETNIDKSFAKKRQKNCPRNGGDDNRTPFDFRTPNLFDNNYYKNLLEKKALLRSDQVLHNGGSTDSLVELYSHDSAAFESDFVAAMIKMGDIEPLTGLQGEIRKVCSRPN >KGN47488 pep chromosome:ASM407v2:6:15543505:15543741:-1 gene:Csa_6G338690 transcript:KGN47488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVFVGKVEGLARATRASNFCWCPWFTNGMARCLARTSLLFGGWDVCFCFFLSSCCDGGEKVSVEIPSWFPLPLCEDT >KGN48030 pep chromosome:ASM407v2:6:19904754:19908453:1 gene:Csa_6G425180 transcript:KGN48030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSQTPISPSSSSSSVDGDGDVTEIECEVEEVVGKDRFKQRRDQLSLLALVVTLFRKSLIACKSDRRELCAMEIGWPTNVRHVTHVTFDRFNGFLGLPVEFEPEVPRRAPSASTTVFGVSTESMQLSYDSRGNSVPTILILMQHCLYAQGGLQAEGIFRINAENSQEEYVRDQLNQGVVPDDIDVHCLAGLIKAWFRELPAGILDSLSPEEVMECQTEEECADLIRHLPPSEASLLDWAINLMADVVTQEHFNKMNARNIAMVFAPNMTQVAEQTVPEFFAEPPVLETYSDCNKGARFSDGDVFSLSHVKKLLPNRYRSLRNAHEDKEAVTGNDMKAEVVIQTDLSNVPNLKKETNKLNNQNSVFQVLAPVPVEKRLSNFSCINSWTERIEAWR >KGN48683 pep chromosome:ASM407v2:6:24498003:24498185:-1 gene:Csa_6G497330 transcript:KGN48683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVGYYAYKSFLCRKLMGEQLYGLSMKDLNNLENQLEFSLQSIRIKKVRCLFVFFLNINI >KGN49365 pep chromosome:ASM407v2:6:27897711:27900535:-1 gene:Csa_6G521610 transcript:KGN49365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTCIHLHFALLSLLVFLSLGSSIAQRPFFEANQDDYVLQNQEEQIPYFSPSTSSNQLDTIPIVNPTTPGGTTPTQTPIVNPPAQTNPTGPTITPTTPTTPTTTGGGSWCIASPNASPTALQVAIDYACGYGGADCSAIQSGGSCFEPNTMRDHASYAFNDYYQKNPAPTSCVFGGTAQLTTTDPSSGNCHYGASRSTPSTTTPINPPMNPTPMPPATPTITTPTPTDTMPTDTPPTDTPPNDFGGYGSEPSDTASSAIPVTGFFSFVFLGSLLMANCM >KGN46088 pep chromosome:ASM407v2:6:3998277:4013909:-1 gene:Csa_6G052630 transcript:KGN46088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNHFISKEFRSLFGLPFHVVVLFVVNLCKMMVEDLGIEAKEAAVREVAKLLPLPELLQSISSIKADYITRQQANDAQLSTMVAEQVEQAQAGLESLSLSEKTIDQLRENFISIEKLCQECQTLIENHDQIKLLSNARNNLLTTLKDVEGMMSISVEAAEARDSLSDDKELINTYERLTALDGKRRFALAAAASHKEEVGRLREYFEDVDRTWETFEKTLWEHVSNFYKLSKESPQTLVRAIRVVEMQEILDQQLAEEAAEAEGGGAMATVANPRRTTKKTTTATASSRNLTQQKLKAQGKAYKDKCYEQIRKTVEGRFSKLLTEHVFEDLKAALEEARTCNKYEIFQLMVNLYTERFIQMLRLLSDRANELTNIEILKVTGWVVEYQENLIGLGVDESLAQVCSESGAMDPLMNSYVERMQATTRKWYLNILEADKVQPPKKTEDGKLYTPAAVDLFRILGEQVQIVRDNSTDVMLYRISLAIIQVMIDFQAAERKRLEEPASEIGLEPLCAVINNNLRCYDLAMELSTSTIEALPQNYAEQINFEDTCKGFLEVAKEAVHLTVSVIFEDPGVQELLVKLYQKEWCEGLVTEYLVATFGDYFTDVKMYIEERSFRRFVEACLEETAVVYVDHLLTQKNYIKEETIERMRLDEEVLMDFFREYISISKVESRVRILSDLRELASAESLDTFTLIYTNILEHQPDCPPEVVEKLVGLREGIPRKDAKEVVQECKEIYENSLVGGNPPRAGFVFPRVKSLAQSKGYIWRKLT >KGN47646 pep chromosome:ASM407v2:6:16705063:16709243:-1 gene:Csa_6G366440 transcript:KGN47646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRNQPLQPPSPQPRPPESAGSSSSILLVFASFLAIFSMVLFPSQSNLSNNLSILHQVPEGHVGVYWRGGALLKTITDPGFHLKLPLITQFVAVQVTLQTDQVRDIPCGTKGGVMINFEKIEVVNRLRKEYVYDTLVNYGVNYDNIWIYDKIHHEINQFCSSHSLQQVYIDVFDQIDETMKDALQGDCTRYAPGIEIVSVRVTKPTIPNSIRKNFEDMEVERTKVLIAVESQRVVEKEAETKKKMAISEAEKNANVSRILMEQKLMEKESSRRQQEIDNHIYLAREKSLADANYYRVLKEAEANKLKLTPQFLELKFIEAIADNTKIFFGDKVPNMIFDQRLLGNFLH >KGN47108 pep chromosome:ASM407v2:6:12170215:12170579:-1 gene:Csa_6G188020 transcript:KGN47108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKNQRTDCFNGYSFKNLRTEFQRKQESGPVIKTVEDIFEECDESEEKWERKRVVVMEVIEDHMEMNGWIQERWEKSAGEESRGLEYGV >KGN47178 pep chromosome:ASM407v2:6:12596181:12601969:-1 gene:Csa_6G194130 transcript:KGN47178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSLGQKHKPQEFTLVSISELASSSSSSSSSSSPVVARFCSDSGAAELRLRQETDSDDLAFDLRTSQIFRLGPVQSVCISEGDGSEEKSHSRGVTIQFRNEEESSAFHSAFDQRKKDNIVQGTTPLPNGEISFLKSKFDDKIESSSAKMYFHYYGQLLHQQNMLQDYVRTGTYYAAVMENRADFTGRVVVDVGAGSGILSLFAAQAGAKHVYAVEASEMAEYARVLIAGNPALSQRITVIKGKVEDVELPEKADILISEPMGTLLVNERMLESYVIARDRFLHQNGKMFPTIGRIHMAPFSDEYLFVEVANKALFWQQQNYYGVDLTALHGSAFQGYFSQPVVDAFDPRLLVAASMSHVLDFTNVKEEELYDIDIPLKFVASVGARIHGLACWFDVLFNGSTVQRWLTTAPGAPTTHWYQLRCVLSQPIYVMAGQEITGRLHMVAHSAQSYTLYLTLSAKMWGPGAEQGGILQSSSCKLDLKEPYYRMSQPQVYPVAQDQQHQLIPSQKMLIVADMMQFFQTGCTNSTTGFRRCRSDATGIT >KGN48092 pep chromosome:ASM407v2:6:20359683:20361514:1 gene:Csa_6G430680 transcript:KGN48092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFSLPRKGNTRLPPEVNRVLFVRNLPFNITSEEMYDIFGKYGAIRQIRIGTNKDTRGTAFVVYEDIYDAKTAVDHLSGFNVANRYLIVLYYQQAKMSKKFDQKKKEDELARMQEKYGVSTKDK >KGN48182 pep chromosome:ASM407v2:6:20948388:20948699:1 gene:Csa_6G446435 transcript:KGN48182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNASQYIYSQLIEFLRILHRLSKNVFSSPFLIIPFIIPTNQVHCSTHSLLLNVQIQRITKKLLPSFELKLNKRPNNGKSLLHTLFIVIKTTSFNRFYGRSKCL >KGN48389 pep chromosome:ASM407v2:6:22463771:22467852:-1 gene:Csa_6G485150 transcript:KGN48389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLSKAAFASGMNTFVKESRSLSLKDFLKIFMISLIGMTIGFNAYGVAVDYTSANLGAAAFNCLPVTTFLFAVLLRMEKVNLRKVAGIAKALGILICIGGVITLAFYKGPYLKPLINHHLLKFHKSSHNIPHSSSSKTWIIGCFLLFISSISWGLWFVLQAYFLKTYPSPLEFISYQTLLSAAQSFVIAIAMERDPSEWKLGWNIRLLAVVYCGVLVTVVSNFLQCWVIKEKGPVFQAMTTPLNVIATIIGSELLLGEGINLGSLIGAILLVISLYSVLWGKNKELDVADPDSNNQTNVFVSPQLPKDLSEMRPIAEP >KGN48308 pep chromosome:ASM407v2:6:21877765:21878064:1 gene:Csa_6G471010 transcript:KGN48308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWMMNLWKKLQRFAVEVRRCGESGLWENICNQSVLPAPWALRRLAQGELLAKNPCWEQSLSAFIFSLP >KGN48393 pep chromosome:ASM407v2:6:22512087:22512313:-1 gene:Csa_6G486170 transcript:KGN48393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWAAQKQVKQTKADGKVDTRRSKYATKLFGKPHFSSSILQFNLTISSSSSSF >KGN46600 pep chromosome:ASM407v2:6:7633995:7634270:1 gene:Csa_6G111410 transcript:KGN46600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDRDIARFSNDDKSERDEEVEHFLSGTQSTTDDKSKASRLALSLEVLLAHNLLLA >KGN48592 pep chromosome:ASM407v2:6:23868838:23873903:-1 gene:Csa_6G494970 transcript:KGN48592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHATLRLLLVFTVFFLLPVSHPPTLRSPPLPPSSDASFFSSAVGAVHGDKITPIGLLGSSYPLMSKARVYADVNVLRPKDYWDYESLAVQWGDQDDYEVVRKVGRGKYSEVFEGINVNNNERCIIKILKPVKKKKIKREIKILQNLCGGPNIVKLFDIVRDQHSKTPSLIFEYVNSTDFKVLYPTLTDYDIRYYIYELLKALDYCHSQGIMHRDVKPHNVMIDHELRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDMWSLGCMFAGMIFRKEPFFYGHDNHDQLVKIAKVLGTDELNAYLNKYHLELDPQLDALVGRHSRKPWSKFINADNQHLVSPEAIDFLDKLLRYDHQDRLTAREAMAHPYFSQVRAAENSRMRTQ >KGN48410 pep chromosome:ASM407v2:6:22642267:22642781:1 gene:Csa_6G486830 transcript:KGN48410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMERSKSLSGSYSKAYGDVRFGFEDNPKSYSFNGTIKKEDVANQELKRRQRVATYNMYSMEGKLKKSLRNSFKWIKNKISHSFYDD >KGN47274 pep chromosome:ASM407v2:6:13648118:13652089:-1 gene:Csa_6G241450 transcript:KGN47274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLECFTILLLSSKFALSESVAFSYLASSMALCGASQQLALISGGNGHQRRGRISRSNRGPIKVQASTSSELGVYEEGRLERPDWSGQTPLSRLVGALISFKPLYSILKLGARQVLISTAEKKNISWRKLTSDILESDVYKELDSVQNPSIVYPDYYLKPFHAYDDGNLSWLAAAEVQPATMSMIMRAVPTASSVDEAKEIVFGNWLRRIKEHHLKYSGNPILDILDIGCSIGFGTRQLADEFPTAKVTGLDLSPYFLAVAQYMDKKKAPRRNAIRWLHGNGEDTGLPSRSFDLLSISYVLHECPHVAIVNIIKESFRLLRPGGTIVITDQASKSKVVQELSPVLFTLLKSTEPHLDEYHLTDLEEKMKEIGFVNVTSRLTDPRHVTITATVPF >KGN45605 pep chromosome:ASM407v2:6:28214:30338:1 gene:Csa_6G000050 transcript:KGN45605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDDIHEIGEEEREKLNDVDYLTGNPLATDILLYAVPVCGPYNAVQSYKYHVKIVPGPLKKGKAAKTALNLFTHMPEATTREKELIKACTDPELVAAIIGNARVTAAGRGGEEFKPLVN >KGN46453 pep chromosome:ASM407v2:6:6465350:6467816:1 gene:Csa_6G094710 transcript:KGN46453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLGWMHRKFRQNSGEPLKDFAIGQQSLDDQQYISKSSIKPFKQSQREQHLRKSFAGLESEVGDEDYEDESSHPMSEIFHGFLAIGTLGSEQVIGDPMTPKFSISVENITENETEVTENELRLINDELEKVLGAETKDDGYNDSSGRNSYVSMGRSSHGSTITLSGKPMDGLESNLSGTIICPLQGYLFGSAIELSETTTTVAKKENRTSLGELFQRSKIAEENAGAKFDKEDKRAEEDIEKSAMHLMKKKLKKRMLSASSRSSATAVESGTKLHKIFHMFHRKVHPESSAIIQKSDKHPKVQKKKKANHNHDGCCNNGEQTSDEDIMIYPQRTRSKPSFQCVKNQFPPHYGLNSSDPNDNKERWINSDEDYLVLEL >KGN49162 pep chromosome:ASM407v2:6:26864691:26866085:-1 gene:Csa_6G516700 transcript:KGN49162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSSSLSRRLHGIFNHSRSPTSRFNKSNPSLPSSATQKCNKSTAATPLTAEEHLQNIVDKFIKNTESQRFRHQKGTYDSTVRLLAVRKKFSLIEDIIEAQKKYEDIKVEGFATRLIKLYGKAGMEVPQEVSIKADVISYNIVINAFCEMDALDKAILFFHDMEKNGMEPNLVTFNTLLTALYRKGQFFDGERVWATMENKNIAPDLVSYNARLRGMVLEKRIQDGIELLAKMEEKEIKPDVYSYNILIKGFCQDGDLEEAKKWYYKLKESEVDPIATTYRILLPLLCEQGDFDSGLQLCKEAIDKGFVFHTAEVQRVVDGLAEVSKTEEAKDLVELYNSKNNLKFKLKLPQNST >KGN46041 pep chromosome:ASM407v2:6:3673353:3676699:-1 gene:Csa_6G045200 transcript:KGN46041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQKGLIYSFVAKGSVVLAEHTSFSGNFSTIAVQCLQRLPSNSSKCTYSCDGHTFNFLLDSGFVFLAVADESVGRNMPFVFLDRVKDDFKQRYGSSIKDENPHPLADDEDDDDLFLDRFSVAYTLDREFGPKLKEHMQYCMSHPEEMSKLSKLKAQITEVKGIMMDNIEKVLDRGERIELLVDKTENLQFQADNFHRQGRQLRRKMWLQSLQMKLMVGGGILVLFVILWFIVCGGFKC >KGN46394 pep chromosome:ASM407v2:6:6100359:6104337:1 gene:Csa_6G088720 transcript:KGN46394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSIVELFTSSFDTQIDVKLVFPAPISNFLFIYNLKLWGLLTSNRSSGSGCCSMSDGEKNENDEYMKKNTDFRRKKKPTTERKRRGRSPSKSEAVVDIEDIMFSIDNVQTIRASLLDWYDRSRRDLPWRSLDKGEPETRAYGVWVSEIMLQQTRVQTVVQFYNRWMLKWPTVQHLSRASLEEVNEMWAGLGYYRRARFLFEGAKMIVKEGGRFPRTVSSLRKIPGIGEYTAGAIASIAFGEVVPVVDGNVIRVIARLKAISGNPKDPKLIKQVWKAAAQLVDLSRPGDFNQALMELGATLCTPTNPSCSTCPVFDHCEALSISKHDSSVLVTDYPAKGIKIKQRHDYSAVCVVEILESQGTPELGQSSRFLLVKRPDEGLLAGLWEFPSVSLDGEADLSTRRESINSLLSKNFGLEAKKNFEIVNREDVGDFIHIFTHIRLKIYVEHLVLCLKGEGSKLFRKQEKKSILWKCVENKVMSTMGLTSSVRKAYAMVEKFQAGKTSSSSNCALPRKKQKS >KGN47182 pep chromosome:ASM407v2:6:12619801:12622564:1 gene:Csa_6G194170 transcript:KGN47182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQDSFIYSFVARGTMILAEFTEFTGNFPAIANQCLQKLPSANNKFTYNCDHHTFNFLVEDGYAYCVVAKESLSKQISIAFLERMKADFKKRYGGGKADTAVAKSLNKDFGPIMREHMKYIIEHAEEIEKLIKVKAQVSEVKSIMLENLDKAFERGDNINTLADKTENLRDQAQTYRGQGTKLRRKMWYQNMKIKLVVLVILLALVLIIWVSICHGFNCTN >KGN47187 pep chromosome:ASM407v2:6:12650685:12655595:1 gene:Csa_6G196700 transcript:KGN47187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPPMEEPGQLKRAMIDSTAGAIAGCVSRTVTSPLDVIKIRFQGFWRGNVPALLMVMPYTAIQFTVLHRLKTYAAGSSKTEAHKQLSPSLSYISGALAGCAATIGSYPFDLLRTILASQGEPKIYPTMRSAFIDIIRTRGFRGMYAGLSPTLVEIVPYAGLQFGTYDTFKRWTSSWNLRHYPNYGLGNTEDDLSSFQLFLCGLAAGTCAKLVCHPLDVVKKRFQIEGLQRHPRYGARVEQHAYRNMFDALRRILKKEGTAGLYKGIIPSTVKAAPAGAVTFVAYEITSDWLESILTSSSS >KGN48280 pep chromosome:ASM407v2:6:21674556:21688643:1 gene:Csa_6G453790 transcript:KGN48280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERTEKDDSSGKEIVPVRENGFLQGSQSSSQSSSSLVSIDSAEKKVEGKDGLFFANILRSRNKFSDALVLYEKVLEKDGDNLEAHIGKGICLQMQNMIKPAFESFAEAIRLDPQNACAFTHCGILYKEEGRLVEAAESYQKALRVDPSYRPAAECLAVVLTDLGTSLKLSGNSQDGIQKYYEALKIDPHYAPAYYNLGVVYSEMMQYDTALNCYEKAAFERPMYAEAYCNMGVIYKNRGDLESAIACYERCLAVSPNFEIAKNNMAIALTDLGTKVKLEGDINQGVAYYKRALYYNWHYADAMYNLGVAYGEMLKFDTAIVFYELAFHFNPHCAEACNNLGVIYKDQDNLDKAVECYQLALSIKPNFSQSLNNLGVVYTVQGKMDAAASMIEKAILANPTYAEAYNNLGVLHRDAGNIEMAVDAYERCLKIDPDSRNAGQNRLLAMNYTDEGHEDKLYEAHRDWGRRFMRLYPQYTSWDNPKDPERPLVIGYVSPDYFTHSVSYFVEAPLVHHDYANYKVVVYSAVVKADAKTIRFRDKVLKQGGVWRDIYGIDEKKVASMVREDKVDILVELTGHTANNKLGMMACRPAPVQVTWIGYPNTTGLPTIDYRITDALTDPPNTKQKHVEELVRLPECFLCYTPSPEAGSVSSAPALSNGFITFGSFNNLAKITPKVLEVWARILCAIPNSRLVVKCKPFCCDSVRQRFLSTLEQLGLESQRVDLLPLILLNHDHMQAYSLMDISLDTFPYAGTTTTCESLYMGVPCVTMAGSVHAHNVGVSLLSKVGLGHLVAKNEEEYVKLALQLASDVTALSNLRMSLRNLMSKSPVCDGPNFILGLESTYRKMWHRYCKGDVPSLRRMEIVQQRELTEETITTTDSNITALKESPASTQSNGHCPVSLDVLDRSPCGENGDPLPPTKKPGKLN >KGN48204 pep chromosome:ASM407v2:6:21099279:21112147:-1 gene:Csa_6G448130 transcript:KGN48204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFTVLKKVVDEAVLVDAHAHNLVAADSTFPFINCFSEAHGDAAAHVPYSLSFKRSLRDIAELYDCQPTLHGVEDYRKSSGLDSICSTCFNAARISAVLIDDGLVLDKKHNIDWHKKFVPFVGRILRIERLAENILDEEFQGGSSWTLDAFTETFLQKLKSLVHDVYGLKSIAAYRSGLQINVNVSRKDAEEGLIDVLQGGKPVRIVNKSLIDYIFVHSLEVAQHFNLPMQIHTGFGDKDLDLRLANPLHLRTVLEDKRFSNCRIVLLHASYPFSKEASYLASVYPQIYLDFGLAIPKLSVHGMISALKELLELAPIKKVMFSTDGYAFPETYYLGAKKSRDVVLSVLRDACIDGDLSISEAVEAVNHMFTQNAIQLYKMSLTIESFMPNSSAVSIPLMKTNVVQEDVKLVRIIWVDGSGQQRCRAVPFKRFNDVVKRTGVGLACAAMAMTSYADCTAKGSNLSSVGEIRLLPDLSTRVAVPWNKQEEMVLGDMQVRPGEAWEYCPREALRRVCRILKDEFDLVLNAGFENEFFLLKKAVRHGEEDWVPFDSVPYCSTSSYDAASPFLHEVVDSLSSLNITVEQVHAEAGKGQFEISLGHTVCLNAADNLVYTREVIRATARKHGLLATFIPKYDLDDIGSGSHVHVSLWQNGKNVFMASDGSSKHGMSAIGEKFMAGVLHHISSILAFTAPVPNSYDRLQPNMWSGAFQCWGKENRESPLRTACPPGISDGFVSNFEIKCFDGCANPHLGMAAIVSAGIDGLRNNLQLPEPADTNPSSLGSKFQRLPQSLSESVEALEKDNILADLIGEKLVVAIKAIRKAEVKYYSEHPDAYKELMHKY >KGN49490 pep chromosome:ASM407v2:6:28640624:28648347:-1 gene:Csa_6G526240 transcript:KGN49490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSARSWFQKFQTRVKKETVSDNEAPDVPSSATKQKVEVAKQYIENHYKSQMKSLQDRKERRWVLERRLADADASEEDQINVLKYLEQKETEYMRLRRHKMGVDDFELLTIIGRGAFGEVRLCREKTTGHVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSAYIVKLYCSFQDDDFLYLIMQYLPGGDMMTLLMRKDILTENEARFYVGETVLAIESIHKHNYIHRDIKPDNLLLDRYGHIKLSDFGLCKPLESSSFPNLTEEEKVMVKNVRSSTENGSQTSVSKRTQQEQLIHWQKNRRTLAYSAVGTPDYIAPEVLLRKGYGMECDWWSLGAIMYEMLVGFPPFYSDDPMSTCRKIINWRTHLRFPTEAKISIEAKDLICKLLCNVEQRLGTKGAKEIKAHPWFKGTQWDKLYQMEAVIIPEIQDELDTRNFEKYEELGVPGQTTSKSGPLRKKLSPKDVNFVGYTYKNFEIVNEHHLSGITEMRKKPIKTKRPSVTTLFAKEDSSEQSVQRSLPNHLPTHLEVSESPDPSPRSTGSQQQPKRWGR >KGN48110 pep chromosome:ASM407v2:6:20505790:20508356:-1 gene:Csa_6G434300 transcript:KGN48110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLVEGSLINLYPIKVEHERFDRPPPPPPPPLSIIFLNSFRFFSPLPDNPTRFNSSLFALSPIYFRRLTIGAFHRNRINFYPLRSFHLDQSNFIFFTFCLPSQEEWPFLGIIQTNQVLMVYWKIKAMDKLPIELTPLQEMTKRTWVQIKNLI >KGN49350 pep chromosome:ASM407v2:6:27827442:27828978:-1 gene:Csa_6G520480 transcript:KGN49350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMTLFGMIAGNLNIEVRKLKGLFEDGMEAIRMSYYPPCPSPELVVGLRPHSDASGLTILNQLNAVEGLQVKKDGIWFPVSFIPDAFIVNLGDIIEIISNGLYNSIEHRATVNSEKERMSIAVFYNPRFDGEIAPFTASQLNPPLFKNIIMEDYFKDFFTQSFNGKSHLERMKIPTTHPPNPSSTY >KGN48980 pep chromosome:ASM407v2:6:26082160:26084273:-1 gene:Csa_6G508020 transcript:KGN48980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSHSCLIILAFAFLLGVASAEQCGRQTNGALCPNNLCCSQYGWCGNTDPYCKDGCQSQCGSTSGEQCGRQANGALCPNNLCCSQYGWCGDTDAYCKDGCQSQCRGSTSPTPPSGGGSGTVGSIITESLYNQMLKYSTDSRCPSNGFYTYNAFITAAQSFPDFGTTGDDATRKRELAAFFGQTSHETTGGWASAPDGPYAWGYCFIREINQDVYCTPSDQWPCVAGQKYYGRGPMQLTHNYNYGPAGNALSLNLLSNPDLVATNAIISFKAAIWFWMTPQGNKPSCHNVITGNWQPSSIDRAAGRVPGYGVITNIINGGLECGHGPDSRVEDRIGFYKRYCDMLGIGYGSNLDCNNQQSF >KGN49549 pep chromosome:ASM407v2:6:29019066:29026153:1 gene:Csa_6G538780 transcript:KGN49549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHHRSTVWASPTEGELANKALCPSLSFWRETEIFGRQSRTQFDCKPFLALSTHNTSTQLSLSQFSCNRQTTMESHGAGSYFVDEKAVLVENIFFDFLKSFRINGNSGDPYYEAEVEAMMAGESNTMFIDFAHLMGSNNLLNIAIADEYLRFEPYLKNACKRFVTEQNPSFIADDNPFKDINVAFFNIPVSKRLRELTTAEIGKLVSVTGVVTRTSEVRPELLQGTFKCLECGNVIKNVEQQFKYTEPTICMNPTCSNRTKWALLRQESKFADWQRVRMQETSEEIPAGSLPRSLDVILRHEMVERARAGDTVIFAGTVVVIPDILALASPGERAECRREASERRNSAVGHEGMRGLRALGVRDLSYRLAFIANSVQVLDGRRNFDIRNRKKDADEDSQQFTTGELDDVQRMRNTPDFFNRLVDSIAPAVFGHQDIKRAILLMLLGGVHKLTHEGINLRGDINVCIVGDPSCAKSQFLKYTSGIVPRSVYTSGKSSSAAGLTATVAKEPETGEFCIEAGALMLADNGICCIDEFDKMDIRDQVAIHEAMEQQTISITKAGIQATLNARTSILAAANPAGGRYDKSKPLKYNVALPPAILSRFDLVYVMIDDPDDQTDYHIAHHIVRVHQKHEDALAPAFTTAELKRYIAYAKTLKPKLSLEARKVLVDSYVALRRGDTTPGCRVAYRMTVRQLEALIRLSEAIARSYLETLVQSRHVRLAVTLLKTSIISVESSEIDLSEFQEETNGGGDGDNNADGPNEVDAEPRNGATEATTGNSEMGSGSGNSQHRKEKLFVSDEYFQRVTQALVMRLRQHEEYVNQEGPGLAGMRQRDLIEWYVDQQNERNSYSSMEEANKEIKLVRAIIQHLIVQEGHLIVVDDGRPVDGEVEGESSSIRIRNNRILVVAPNYVVD >KGN47217 pep chromosome:ASM407v2:6:12913362:12913822:1 gene:Csa_6G212880 transcript:KGN47217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSFFPTNIPILLTPFSLWDCEWIQRATKTNQTVISLRPSGSSGLRESRFVTPRFDSFSLSVLGLSKWDLNLRLNGTFLAILFVN >KGN47290 pep chromosome:ASM407v2:6:13955138:13958858:-1 gene:Csa_6G289730 transcript:KGN47290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSISRSSISPSPQYIRSRSSPSYPRTYLILHSHSCWKRLCRSSRCFSVLHGGSGKNFRFVDTQSSMEDSHFEVVIKDPNTLPRPLSSTKSSVSSGSRLRVAYQGVPGAYSEAAAGKAYPNCEAVPCEQFDAAFEAVERWIVDRAVLPIENSLGGSIHRNYDLLLRHRLHIVGEVKFVVRHCLLANHGVKIEELKRVLSHPQALAQCENTLTGLGLVREAVDDTAGAAKHVAFHKLKDAGAVASSVAASIYGLNILAEDIQDDSDNVTRFLMLAREPIIPGIDRPFKTSIVFSLEEGPGILFKALAVFALRQINLTKIESRPLRNQPLRSSDDNGYGSSKYFDYLFYVDFEASMADQNAQNALRHLKEFATFLRVLGSYPMDTSMP >KGN46148 pep chromosome:ASM407v2:6:4467984:4468956:1 gene:Csa_6G057140 transcript:KGN46148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARESNKPDAPNPNLKCSHILTKVCELILFTTNMCPLELGDNENGFDVQVDHEEVPLKEGAVESDDEEVPPSIGKQMRKRVKKLLERTIFFRVHRSNKNFYVLRCSNSAYSWKLRTTKLKDFDLFKVSKYERTHTCQKVQSRQDHKQAKSWVIAKPIQSKYEDVSRGYMPKEIIQNFPKEFDIDLSYDRTEGSRGHIFYGNGVLQRNLLFIGLVWRTLKIANSETQYNFEVDPNGHF >KGN46642 pep chromosome:ASM407v2:6:8071584:8071796:-1 gene:Csa_6G117730 transcript:KGN46642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECDETLVKILGPGERRLPSPDNEFGMCDDLNDGTYCACCDGGLIMVRCDENLMLVVK >KGN46403 pep chromosome:ASM407v2:6:6138366:6141561:-1 gene:Csa_6G091270 transcript:KGN46403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIMKQEEMGGVVNEVNALLSKLEESIGMEEFLSTQHIKPSIYKIPQFIREVNPKAYEPKLVSLGPYHYGKPHLLPMEREKHKAFLHFKARNNLYLESIVDSVCSILEDLLGSYDDNLDDRWKEDVAMFLKLMIVDGCFVLDLISELSSKSLGRCMIWDIKRDMVLLENQLPLQLLKQLHALTTTNENEKENLDLEAMIWSWMGLSGEILSMRSPLHILDIYRSSLLSPTRCKQDETHENTITILEWTLTNEENVEYCQSNIRSATQLRKAGIKFEKSSTNNLMDVSFDFKQRVLRLPSLAIDDILTEPTLINIMAFEKLHVGVERQVTSFVVLMKNLIGMEKDVSLLASKGILSSNVIHDGNGVVQLFNVLAKGQTKYLESHIIWLENLKLKVPQTKNSWQIISIALFTFGFTYPFVQAITDKQVINSFVLIINTRGFSNDQNTTLTSLISNLLFVEKDELAIVEKKHILHMYRASLLYPPTLNYPIRDEIKENNKDDEFGLKCQIIPQATLLREVGIRFQKSKNKSLENVSFEKGVLTLPSLIVDDNTKTNLLNVMAFEKLHDEVGSQVTSFVVLMHNLIRIDKDVQLLSNGNINIIANAFMNDRDAVNLFSALGKGVYMETNNLTKIYISVNQYCDKSWNKWCADLKQSYFQNPWSILSLFHAGVFGFAILIVQAVYQIVDFHTKK >KGN46927 pep chromosome:ASM407v2:6:10646672:10654617:-1 gene:Csa_6G151640 transcript:KGN46927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAFTGDEFLRQTSSRSWRSSAASMREIWNAPTEVFERSSRRETAAEEEEELKWAAIERLPTYERVRKGMLKHVRSGGKVVHEEVDVTKIGNEDKKLLINNILKVVEEDNERFLARIRARTDRVGVEIPKIEIRYEKLSIEGNAHVGGRALPTLLNSTMNAIEAVLGAMGLSPSKKRVVKILQDVSGIIKPSRMTLLLGPPSSGKTTLLKALAGKLDDDLKLSGKVTYCGHDLDEFIPQRTGAYISQHDLHYGEMTVRETLDFSGRCLGVGTRYDLLVELSRREKEAGIKPDPEIDAYMKATAMAGQETSLITDYVLKILGLDICADIMVGDNMTRGISGGQKKRVTTGEMLVGPAKAFFMDEISTGLDSSTTFQIVKFMRQMVHIMDISMVISLLQPAPETFNLFDDIILLSEGQIVYQGPREHILEFFEYVGFKCPERKGVADFLQEVTSKKDQEQYWSRKNQPYHYISVSDFVQAFTSFHVAQHLIEDLRVPFDKSRTHPAALVTKKYGLSNWALFKACFSREWLLMKRNSFIYIFKTCQITVMATITFTVFLRTEMKPGSIQESGKFWGALFFSLLNVMFNGTMEMAMTVFRLPVFYKQRDFFFYPAWAFGLPIWLLKIPISLVESTIWIGLTYYTIGYAPAASRFFKQLLAFIGIHQMALGLFRFIAALGRAEVVVNTLGTFTLQMVFVLGGFIVSKNDIKPWLKWAYYISPMMYGQNAIAINEFLDKRWSAPILNSTVGKILLKERGLFTDEYWFWICIGALFGFSLLFNLLFIAALTFLNPFGDNKVVISEDNSESNSKKQLTSSLTGNKRSGVGVANNRTNRGMVLPFQPLSLAFNNVNYYVDMPPEMKSQGVDERRLQLLRDVSGAFRPGVLTALVGVSGAGKTTLMDVLAGRKTGGYIEGSITISGYPKNQTTFTRVSGYCEQNDIHSPYVTVYESLLYSAWLRLPSDVKTETRKMFVEEVMELVEINPLRNALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQVIYAGPLGRHSHLLIEYFEAIQGVPKIKEGYNPATWMLEVSSATVEAQLDVDFAEIYANSNLYQTNQILIKELSTPQEESNDLYFPTKYSQGFITQCKACFWKQHWSYWRNSRYNAIRFFMTIIIGILFGIIFWGKGNIIEKQQDLMNVLGAIYSAVLFLGATNASAAQTVVSIERMVFYRERAAGMYSELPYAFAQVAIETIYVAIQTIVYVLLLYSMIGFEWKADKFFYFYYFVFMCFTYFSMYGMMVVALTPGPQVAAVIMSFFLNFWNLFSGFLIPRMLIPVWWRWYYWASPVAWTIYGIFASQIGDKTNFIEIPGSEPMRVNEFLKENLGFDHDFLVPLVIGHLGWVLLFLFVFAYGIKFLNFQRR >KGN46018 pep chromosome:ASM407v2:6:3514645:3519725:1 gene:Csa_6G043990 transcript:KGN46018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRSIVRDVREGFGSLSRRSFEVRLPGHQRGKSHGSVHDLHDQPLVIQTSRWASLPPELLRDVVTRLEESESVWPARKHVVACAAVCRSWREMCKEIVKNPEFSGKITFPVSLKQPGTRDGTTQCFIKRDKSNLTYHLYLCLSPALLVDNGKFLLSAKRTRRTTCTEYVISMDADNISRSSNTYIGKLRSNFLGTKFIIYDTQPPYNNAQISPPGRSRRFYSKKVSPKVPTGSYDIAQVTYELNVLGTRGPRKMHCTMHSIPTSSLDAGGTVPGQPEVVPRLLGDSFRSISFSKSVFNSTEFGSSRFSDIVGSRDEDETGKERPLILRNKPPRWHEQLQCWCLNFRGRVTVASVKNFQLIAATEPSSTATNQSQPNQHAQSSQSDHDKIILQFGKVGKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >KGN47805 pep chromosome:ASM407v2:6:18196266:18197395:-1 gene:Csa_6G404200 transcript:KGN47805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRRSSRSRNSTAASPLISDDQIADLVSKLQRLIPELRNPRASHKASASRVLQETCNYIRNLHREVDDLSERLSQLLAATENDSAEATIIRSLFM >KGN48453 pep chromosome:ASM407v2:6:22955329:22957793:-1 gene:Csa_6G487740 transcript:KGN48453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCVVTLDSLTLVYLRFFHSLFLPSLPFNLNLSFHSPLFSFSFFSFFSFSTILLQSLSFYFDPPTHFLVTIFAELQSCSAADCTSGRALRLIELLRQFLEMRAAPVPISFLPNGSHITTQRVQVSFQRKLFSCRSRSDSEIDGSANLKVVSPALLTAEKEEAKAVLTLFLKKQGLSNAIAARTINKSDSFIDHLLLRLHLIHKSRYLVGRELTTLEIRDALNPYLESLFEEHGTHLVHAVENFPSPSIKEKTATPVPVSNSTIDTKKLKAISRVSELGPTGDLRPEILYLIEHGLNLDQIKEITRRFPSFAYYSLEGKIKPVIEFFLDLGVPKSDIPIILYKRPQLCGISLSENLKPTMKFLENLGVDKKKWAKVIYRFPAILTYSKQKVETTISFLYELGLSEERVGKVLTRCPNITSYSVEEKLRPTAEYFHTLGVDVAVLLYRCPQTFGLSIEANLKPVTQFFLERGYSMEDVGTMTSRYAALYSFSLADNLVPKWDFFLTMGYSKAELIKFPQYFGYSLEGRIKPRYAIMKNSQVMLLLNQLLTLSESNFNKAVIKKVNKLLLKN >KGN47418 pep chromosome:ASM407v2:6:15002434:15003757:-1 gene:Csa_6G318160 transcript:KGN47418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGGAIISDFIEKKRTCKLNNESLWSHIDPFSDLLGLNYSSVKTETPKKRNRSTAAEAVAVEKTRKARKNIYRGIRQRPWGKWAAEIRDPRKGVRVWLGTFNTAEEAARAYDEAAKRIRGEKAKLNFAPAPPSLAAQRQTPVKMQCVVPEFTPSGLNTTGSPPCTRADSSCDSEELYYGEELASLRSFLGLDSEEQQASELGGNGEFERVDLDVWMMDDMSLNEQKFSQMV >KGN46866 pep chromosome:ASM407v2:6:10249760:10250593:1 gene:Csa_6G147590 transcript:KGN46866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKHVTIAKKKNGIVKLKTAVGKLQRSLSLGRRSDSGQDECDYAVGDDESSTPVPEDVKEGHFAVVAVDAEEPKRFVVPLSCLTNPTFLRLLEAAAEEYGFDHEGALTVPCRPSELERILAEEWVEEEEENGCIW >KGN48996 pep chromosome:ASM407v2:6:26139286:26149430:-1 gene:Csa_6G509640 transcript:KGN48996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMERADSAQKLYTRMRLWEFPDQYVIEPTDGSCGSSLSVSRVDGSMKLIDELPQCSSIRVPKIRTIFGVIGLLKLVAGSYLIVITDRESVGSYLGHPMFRITSLKVFPCDHSVNSSPLEQKKMEAEFSGLLNVAEKTSGLYFSYDTNLTLSAQRLHALGDESKLLPLWRQAEPRFLWNNYLLEVLIDSKLDPYLLPVIQGSFQNFQAAIGKDIVDVTLIARRCTRRTGTRLWRRGADSDGYVANFVESEQIIQLNGFTASFVQVRGSIPLLWEQIVDLTYKPKFELVKLEESPRVADRHFLDLRKKYGAVLAVDLVNGHGAEGRLSEKFANAVQQITGDDVRYLHFDFHHICGHVHFERLSILYEQISDFLDQNGYMLLNDKGEKMKEQLGVARTNCIDCLDRTNVTQSMIARKMMESQLRRLGIFAAEETISSHPNLDESFKIIWANHGDDISTQYSGTPALKGDFVRYGQRTIQGIMKDGWNALLRYYLNNFVDGTKQDAIDLLQGHYIVSVSRDMTPTTQKGGLEAVASFPLAFSLVLTGFFFAALSLRQARYDLRHLFFSILWASLSIAIAGFVRANGRIFCNRPRLHKPRS >KGN48091 pep chromosome:ASM407v2:6:20355855:20358538:-1 gene:Csa_6G430670 transcript:KGN48091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARKENNRWRSVPEFGGWDHNAPGASNYSVVFTQARADRKQQKTDLTEFKKTSLGNEKELMEAVDKHHRHQKHRHHRHRHRHHHHHHHDHDHDHEHQHHHHQHHHQSPPGDDSVGPEKKKKILTYINCCIRP >KGN47310 pep chromosome:ASM407v2:6:14069480:14071751:-1 gene:Csa_6G290910 transcript:KGN47310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSDSNQANHGLLCPEAPIQRTQYPYVTGTSVVAIKYKDGILMVSDLGGSYGSTLRYKSVERIKAIGKHSLLGASGEISDFQQLLRDLDQLILYDNMWDDGNALGPKEIHSYLTRVMYNRRNKFDPYWNALVLGGVKNGQKYLGTVNMIGVTFEDNHVTTGFGNHLARPILRDEWREDLTFEEGVKLLEKCMRVLLYRDRSAVNKLQIAKITEEGVTISQPYSLKTFWNYSAFENPTSGAEGSW >KGN47323 pep chromosome:ASM407v2:6:14213122:14216615:1 gene:Csa_6G296960 transcript:KGN47323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDLNLNVDSPDAAQKEDSVVFFEKLPQGSGNQMDESGTSNSSIVNADTSSNGGDDDSCSTRAGGELFTFNFEILKAGSANDVVTKELFPIGGTVNADFGILQGHNSASSSSTSSRKNWINLAFDRSGSAGEGRTVQPVQPQPVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHSAARAYDRAAIKFRGVDADINFNLSDYEDDLKQMKNLSKEEFVHILRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQLLGKKYIYLGLFDSEVEAARAYDKAAIKCNGREAVTNFEPSTYGEKISEGSSEGGWNMLDLNLGISPPSLDNSPKDSEGHLRFQSGSCYANERSTMMESNADAAVGDPPMKGPVITSEHAPLWNGLQTSFFPSEEIVTEKRLALGSSQGIPPNWGWQIHSQVNATQVPLFSAAASSGFSFSATHFPAAIHPLCRPGSTAHNLHFTTPKASTLNSPQYHHHHQLNPQQAPP >KGN47487 pep chromosome:ASM407v2:6:15534049:15537168:1 gene:Csa_6G338680 transcript:KGN47487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVNNKGRPFGAHHNPPLCTRSHQIGALLLVCTTFFLTRAFDRLLVPFSPNSFSGFRQSHYALQSNHDGSISWPDRGYGSHLSLKIYVYDETEIQGLKALMYGRDGKITAAACLKGQWGTQVKIHRLLLQSRFRTRNKEEADFFFVPAYVKCVRMLGGLNDKEINEAYIQVLGQMPYFRLSGGRDHIFVFPSGAGAHLFKSWATYINRSIILTPEGDRTDKKDFSAFNTWKDIIIPGNVDDGMTSPGAKIVQPLPLSKRKHLANYLGRDQGKVGRLKLIELAKQFPEKLESPVLKFSGPDKLGKLEYFEHLRNAKFCLAPRGESSWTLRFYESFFVECVPVVLSDQVELPFQNVIDYSQISIKWPSSEIGPQLLEYLESIPDETIDKMIARGRRVRCLWVYASDSEPCSTMQGILWELQRKVRQFHQSTETFWLHNGSFVNRQLVEFSKWKPPMPLP >KGN47304 pep chromosome:ASM407v2:6:14041944:14043658:-1 gene:Csa_6G290850 transcript:KGN47304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQDRKRQRPNILITGTPGTGKTTTSSALADAAQLRHVSIGDLVKEKSLHDGWDDELQCYVINEDLVCDELEDLMEEGGIIVDYHGCDFFPERWFDRVVVLQTENSILYDRLTKRGYEGAKLSNNIECEIFQILLEEAKENYEENIVVALRSDTVEDVASNVETLANWANNWQPLS >KGN45703 pep chromosome:ASM407v2:6:641202:650602:1 gene:Csa_6G006880 transcript:KGN45703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLENGFPLKRDPLLRSSSSVRGERYPFLQRPRSRFSRFLFFRKIDYLQWICTVAVFFFFVVLFQMFLPGSVVEKSEVALKDVEKSLGDLKFLKELGMLDFGEDIRFEPSKLLGKFKKEAREADFSSFNRTRSRFGYRKPQLALVFSDLLVDSYQVLMVTIASALQEIGYVFQVYSLQGGPANDVWRQMGVPVTLIQSCDETEVMVDWLNYDGILVHSLGVKDVFSCYLQEPFKSLPLIWTIHEEALAIRSQNYASDGLLDILNDWKRVFNHSTVVVFPNYVMPMIYSAYDSGNFFVIPSFPAEALEAEIDVTSDADNLRAKMGYANDDLVIAIVGSQFLYRGMWLEHAMVLQAMLPLLHEFSFYEHSNSRLKIFVLSGDSNSNYTMAVEAIAQRLEYPRSVVKHFPVAADSDKALSMADLVIYGSCLEEQSFPKVLVKAMGMGKPIIAPDLAIIRKHVDDRVNGYLFPKGNFNVLSQIILQVISEGRLSPLAQSIASIGRDTVINLMVSETVEGYASLLDAVLKLPSEAAPAKEVAEIPSKLKEKWQWQLFKGVSNLTVLQRNEKSFTVLDEFEKNWNHTPKRKPGSSFALNESFIYGIWEEERYTVMSNIKRRREEDEIKDRTEQPHNTWEDVYRSAKKADRSKNDLHERDEGELERTGQPLCIYEPYFGEGVWPFLHRYSLYRGIGLSSKGRRSGIDDVDAPSRLPLLNNPYYRNVLGEYGAFFAIANRVDRIHKNAWIGFHSWRATARNVSLSKIAETALLDAIQTRRYGDALYFWVRMDSDPRNPLQLDFWSFCDSINAGNCKFAFSESLKMMYGIKSDQEFLPPMPADGYTWSAMQSWALPTRSFLEFVMFSRMFVDALDVQMYNEHHSTGRCYLSLSKDKHCYSRLLELLVNVWAYHSARRIVYVHPETGAMQEQHKFDIRRGQMWIKWFSYTMIKSMDEDLGEEADADHPTRRWLWPSTGEVFWQGVYEREKNLRFRQKEHRKQKSKAKLDRMRHRRHQKVIGKYVKPPPEMENSTTTNGTETILQTN >KGN46264 pep chromosome:ASM407v2:6:5297751:5297921:-1 gene:Csa_6G078590 transcript:KGN46264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRWGGSGPGGVSSFGGALNSSSGVVYHSAVGSDDELRLWYGSPTILDQWVHHLLH >KGN45858 pep chromosome:ASM407v2:6:1744642:1746735:1 gene:Csa_6G014780 transcript:KGN45858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWQHLLRPCNYRTIETVAAAHVARKSPLLRNLISSSSSLYQPHLNVHNESKFLITNVKHEQCEDQPDFSIGSPCRVQKLIASQSDPLLAKEIFDYACRQPHFRPSSSSLLVLILKLGRSKYFSLIDDLLLSFKSRRYPVTPTAFSYIIKIYGEADLPDKALKVFYTMIDFGCTPSSKQLNRILEILVSHRNFIRPAFDLFKNARHHGVLPNTKSYNILIRAFCWNGNISIAYTLFNKMFERNVIPDVETYRTLMQGLCRKNQVNGAVDLLEDMLNKGYIPDTLSYATLLNSLCRKKKLREAYKLLCRMKVKGCNPDIAHYNTVIMGFCREGRALDACKILEDMQSNGCLPNLVSYESLTNGLCDQGMFELAKGYVEEMTLKGFYPHFSVIHALVKGFHSIGRIHESCSVLEDMLKRGKAPHSDTWEIIISGICEVEDTAKFCEVWEKILKKDVRRDTRIVEAGTGLGEYLIRKLQASISRRI >KGN47766 pep chromosome:ASM407v2:6:17891013:17896296:-1 gene:Csa_6G401370 transcript:KGN47766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFSHLLLLFHHLLLLLLLLLLPLSANSSSIATLQNLPLKHYVVYMGNGEDEQTAGDELDYFQLLSSVIPSRKEKESGSRAVVIHQYHHAFKGFSAMLTEEEASSLSGIDGIVSVFPDPTLQLHTTRSWDFLDSISGLRPPTPLPPPHSYPSSSDVIVGVIDTGIFPESQSFNDEGIGEIPSKWKGVCMEAPDFKKSNCNRKLIGARYYNVVELNGNDSHVGPPKGTPRDSHGHGTHTSSIAAGARVPNASYFGLARGTARGGGSPSTRIASYKVCAGVGCSGAAILKAIDDAIKDGVDIISISIGIGSPLFQSDYLNDPIAIGALHAQLMGVLVVCSAGNDGPDPNTVGNVAPWIFTVAASNIDRDFQSTVVLGNGKTFPGTAINLSNLTSSKTYPLVFGQDAAAKFTPTSEARNCFPGSLDRSKVAGKIVVCASDDFSTSRIIKELVVQDAKAMGLILINEASKSVPMDSNIFPFTQIGNSEGLQILEYINSTKNPTATILKTVEVRRLKPAPTVAYFSSRGPSPLTENILKPDITAPGVSILAAMIPKSDEDTGPIGKKPSNYAMKSGTSMACPHVAGAAAFIKSVYHDWSSSMIKSALMTTATQYDNQRKYMRNTTDNPSNPHEMGAGEISPIKALNPGLVFETTNEDHLLFLCYYGYSNKVIRSMLKQNFTCPKTSKEDLISNVNYPSISIAKLDRKQAAKVVERTVTNVGAPDATYIAKVHSSEGLIVKVNPRKIVFSEKVKKVTFKVSFYGKEARNGYNFGSITWRDTAHSVRTFFAVNVV >KGN48246 pep chromosome:ASM407v2:6:21481274:21482099:-1 gene:Csa_6G451490 transcript:KGN48246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIVAAYLLAILGGNTHPSIHDIKAILASVGVEAEDERIELLLSQVKGKDVAELVACGREKMACVPCGGSAIPVAAGSDSGGGAAAAVVAAEPVKEDKKEVVEESDEDMCFSLFD >KGN48085 pep chromosome:ASM407v2:6:20322384:20323575:1 gene:Csa_6G429640 transcript:KGN48085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMQIKNIEFHSVPSSPKQCKSPIGHSRPNSTLDEFEFGTSCRFNLNDVDFDLEDRKEQQNKKRMQFEDESLPVMAFADELFSDGKVLPLPPRLKIPPSHERLNENRSGKSSTVSSPRSPVSALKIKFVHQNLWNDEFDPFLVALQSVKKDGAGKAQANRDGSDLAHSSFTPRPNNSSEQMMGLILSHRQPNSKNGAIESPKMFLEPKGLAFARAVANMDLETGTGFNRLTMSGPIMKSNGNRKDRRRFSTWKRNKLRKVLSLFGKLGLKFARS >KGN47536 pep chromosome:ASM407v2:6:15993894:15994999:1 gene:Csa_6G357020 transcript:KGN47536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKMRSDRRPPIARSPLRLRSRRVPQSNSTTSMQTPPGSLTKSQKTIRPSDLQESELRPEYRTISCELRALASMVRMELGCEEYESNGVGDKSSVNSSSLFERGRLYDEYSARRNERLKKRKKAESVAEVKTPYNLGVTVESSKRQSSKKLVNSLRKSVSAAYSEERSETGPRYLLRSMMKENKKPPLPVNLEKSTIGGGERKMVTRRARRI >KGN45664 pep chromosome:ASM407v2:6:403730:405373:-1 gene:Csa_6G004550 transcript:KGN45664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVGFALVIVTAVTAYLLWFTFISRSLKGPQMWPLLGSLPGLIENCDRLHDWISDNLRACGGTYQTCIWAFPFFAKKQGLVTVTCDPRNLEHILKTRFDNYPKGPTWQAVFHELLGQGIFNSDGDTWLFQRKTAALEFTTRTLRQAMARWVSRAIKLRFCPILKEAELNSHPIDLQDVLLRLTFDNICGLAFGKDPMTCAPGFPENGFAMAFDRATEASLQRFILPEVLWKFKKWLRLGMEVSLSRSLVHIEEYLSSVIDSRKLELLNQPKDSTPHDDLLSRFMKKKDGNYSNAFLRHVALNFILAGRDTSSVALSWFFWLVTLNPLIEEKILREICTVLIETRGNNIQSWLDEPLEFEEIDRLIYLKAALTETLRLYPSVPEDSKHVVADDILPDGTFVPAGSSVTYSIYSTGRMKSTWGEDCLEFRPERWLTADGTKFIAYDPYKFVSFNAGPRICLGKDLAYLQMKSIAAAVLLRHRLTVAAGHKVEQKMSLTLFMKYGLKVNIHKRNLEGIVESMRGKYGNSQGKDSPLITVVNCNGGCNGMK >KGN45942 pep chromosome:ASM407v2:6:2476516:2480776:1 gene:Csa_6G029440 transcript:KGN45942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRSVSFPSTAPISHVQRFKDLQSFVGINYVKPYTSTGLVLELPPNCKASKLFLGTRGSVQTRATVISGEGGILSSSNGNAVVVNDITSFNQPDSASISKSFPIDEDEYDFDRPTEGFASVADAIEDVRQGKMVLVVDDEDRENEGDLIMAAQAATPEAMAFIVKHGTGIVCVAMKGEDLERLQIPLMVNEKENEEKLCTAFTVTVDAREGTTTGVSASDRAATVLALASRNSKPYDFKRPGHIFPLKYREGGVLKRAGHTEASVDLAVLAGLAPVGILCEVVDEDGSMARLPKLREFAKRENIKIISIADLVRYRRKRDRLVECAGDAARMPTKWGPFQAYCYRSILDGIEHIAMVKGDIGDGQDILVRVHSECLTGDIFGSARCDCGNQLALAMQMIEAEGRGVLVYLRGHEGRGIGLGHKLRAYNLQDAGRDTVEANEDLGLPVDSREYGIGAQILRGLGVRTMKLMTNNPTKYKGLKGYGLEVSGRVPLITPITKDNKRYLETKRAKMGHVYNLEFGGRLRNRIDEHETSNGSVASSDAVA >KGN49196 pep chromosome:ASM407v2:6:27025122:27029375:1 gene:Csa_6G517040 transcript:KGN49196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAITINDKGIQTMKAIVISEPGEADVLELQEVDDPIIKDDEVLIKVVATALNQADTVQRKGSYPPPKGASPYLGLECSGIIEAVGVNVSCWNIGDQVCAILAGGGYAEKVAAPAGQLLPIPPGVSLKDAASLPEVACTVWSTVFMTSRLSRGETLLVHGGSSGIGTFAIQIAKYQGARVFITAGSDEKLAICKELGADVCINYKTEDFVARVKEETDGKGVDVILDSIGAPYFQRNLESLNFDGRLFSIGSTGGDVTQLDFRSLFAKRLTVQAAGLRNRSPENKAVIVSEVEKIVWPAIAAGKVKPVIFKYLPLSRAAEAHKLMESSNHIGKILLIP >KGN49526 pep chromosome:ASM407v2:6:28899882:28905884:1 gene:Csa_6G532080 transcript:KGN49526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRNLHTRRRGSYGACFCAFAAALLLLFSVSLLYTRLSRSQSHTHSPHMYPKSLGNILVSDSDDDSDIVLGTTTTDEDKIDELDFVDEDLQSRASGDEDLGEDEDQSDQVRVSGFYFDHVSGAIRKVFDNKRSIEDWSDDTSGFPIGLGEVDRSKSAFGSDDVPVDEEVRRKASEMTGIEDALLLKVGGRVSPLRDGWGDWFDKKGDFLRRDRMFKSNWEVLNPLNNPLLQDPDGLGVASLTRGDRIVQKWWINEFKRAPFLVNKPLGVTRKVFNTEVENGSMHASIKKSGSLSGQTDINFMDNGKKTVNEIGTSDERTRNNLSRKKVINFDEDSSSRFSGYRTSISRSTKNEKSGERRTEKADVGDKPVLTKGAGFKPKAVPHTLTSVYADGKRWGYYPGLHPHLSFSRFMDAFFKKNKCEMRVFMVWNSPPWMFGVRHQRGLESVFLHHQNACVVIFSETIELDFFKDNFVKNGYKVAVAMPNLDELLKDTPTHKFASIWFEWKKTEFYSTHYSELVRLAALYKYGGIYLDSDIVVLKPLSSLHNSVGMEDQLAGSSLNGAVMAFRMHSPFIMECMKEYYSTYDDRSFRWNGAELLTRVANRFSSEVPAEQFELTVQPSFAFFPIASQNITRYFAVPVGATEKAEHECLLKKILEESVTFHFWNSLTYSLIPESESLVSRLLQHTCIKCLDVL >KGN46304 pep chromosome:ASM407v2:6:5517025:5520296:-1 gene:Csa_6G081450 transcript:KGN46304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIQCDVCEKAPATVICCADEAALCAKCDVEVHAANKLASKHQRLLLQCLSTKLPKCDICQDKAAFIFCVEDRALFCQDCDEPIHSSGSLSANHQRFLATGIRVAMSSSCTKEVDKVKMEPPNPKNPQVPAKVPSQQVPNFTSSWAVDDFLHFSDLESSDKQKEQLEFGELEWLAEMGLFGEQVPQEALAAAEVPELPTSYSGNAIACRPTKSSTSYKKPRLEMVDDEEFFTVPDLG >KGN46944 pep chromosome:ASM407v2:6:10754898:10757854:-1 gene:Csa_6G151810 transcript:KGN46944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFLENKSILITGATGFLAKILVEKILRVQPNVKKLYLLLRADDEITAKKRFHNEVVEKALFQVLKKKHGANLNTLISEKICLVPGEISLPQMGLKHDSIWIDKLKSQVEIIINLAATTNFDERYDVALGTNALGAKHVINFAKQCSNLKLVVHVSTAYVSGEREGLIMETPYKMGESLNWFKGLDIEVEQMVIEDKLKQLKEDGATNETITLAMKDLGLERSKLYGWPNTYVFTKAMGEMIIGDLKDEVPLIVIRPTIVTSTYKEPFPGWIEGVRIWGQTLCINLCRTIDSLIVGYAKGKLTCFVAGINSIIDLIPADMVVNTIIMAMMVHKLQPSNHIIYHVGSSKRNPIKHADFQRFNYQYFTKKPLIDRDGNAIKVGKVTLFDDMTNFHRYIAIRYLFFLKGLEIMNMAFCHSFQDKCITMRRKFNLVLRLIDLYRPYLFFNAIFDDTNTERLRKELQNYKEMTKEGLFMDPKEINWEDYFMNVHIPGLVKHVIK >KGN48485 pep chromosome:ASM407v2:6:23163665:23164024:1 gene:Csa_6G490005 transcript:KGN48485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRDVQIIHDLKLYIMFNSPLFSTPIKSMVAQICSNLRQAKCGGLPIVQCLNIREKSEATVIEAYLRREMRVFVRPQLIDLLGGLIIRVHSEELGERFMELRNGVFGLESALSGERGSA >KGN49090 pep chromosome:ASM407v2:6:26586376:26586709:-1 gene:Csa_6G513540 transcript:KGN49090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEIAAASAAVKLGSAVIAAVAALNVSAKEAPPARRILTDCYTRLVPKLEAIGRRLMVVVRQGTALGKGLRWVFETVMGLECE >KGN47594 pep chromosome:ASM407v2:6:16404446:16405590:1 gene:Csa_6G363010 transcript:KGN47594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRVEEDQETATIAAENQTSSEKTLQKADIELLMVIITFIGTISFQAGTNPPGGVWQEGPEAGKSIMASKNPSQFVVFIVGVTVGMVLSAAQLLTLMNELPYNKLSDSRNFIYCSLGITITALGFAYWSSLTALTPSSMILEVRIVLITELSIGVVIVAIIVYIQKKDVCSKLKCNK >KGN46068 pep chromosome:ASM407v2:6:3856547:3856993:1 gene:Csa_6G046460 transcript:KGN46068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHPKNEIEDNLPSQDQTLSPLLDEDSGGNASGIILKKGPWTSAEDEILIEYVKKHGEGNWNAVQKHSGLSRCGKSCRLRWANHLRPNLKKGAFTAEEEHLIIELHAKMGNKWARMAGHVCTHNFLSILIRSFWLFAIAGMDFLEFSW >KGN47550 pep chromosome:ASM407v2:6:16066226:16066526:-1 gene:Csa_6G358140 transcript:KGN47550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVETWRQIKCRANHLSLSTDLPLPRVPHRVTGHIKFNKQSIDYASCNVLEMSCSTFEPVATQNGTSAQSAQGNVVKWDHCYYNLFEW >KGN48558 pep chromosome:ASM407v2:6:23658037:23669354:-1 gene:Csa_6G491700 transcript:KGN48558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPALFRTGQSATRAHEEVGEPPIWLLLLLSPTDDAATDGDMSSGDSPPSNTSLYSEGEKVLAYHGPRIYEAKVQKVELRKKEFRYFLHYLGWNKNWDEWVSVDRLMKCTDENRLKQRALEKGYVEKSSKSGRSAQAKPKNLNDARVEKEDHKNNVVAAPKGKKRKNDSGTKDNQSVEKVIKIQIPSTLRKQLVDDWEFVTQQDKLVKLPRSPTVDDILTKYLEYRSKRDGTITDSLGEVLKGIRCYFDKALPVLLLYNKERDQYHKLVVDDVSPSTVYGAEHLLRLFVKLPELLAYVNIEDETQIRLHQKLLDFLKFLQKNQSTFFVSAYEGCKGTEGKGKSKND >KGN47510 pep chromosome:ASM407v2:6:15746413:15747510:-1 gene:Csa_6G350360 transcript:KGN47510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQQHLSQWQASPDLVRIFTQEELDKATNKYDDSAVVGKGGFGTVYKGVLDDGSVLAIKKSKLVDQSQTDQFINEVIVLSQINHRNVVKLLGCCLETEVPLLVYEFISNGTLYEYVHDKTNGRNFLSWEARLRIAAETAGVISYLHSSASTPIIHRDIKTTNILLDHNYTAKVSDFGASKLVPMDQTQLSTMVQGTLGYLDPEYLLTSELTDKSDVYSFGIVLLELITGKKAVSFEGPEAERNLAMYVMCAMKEDRLEEVVEKGMATNANIQQIKEAAKLATTCLRIKGEERPSMKEVAMELEGLRGLNEANEKLESKGETMVGYWVQSDGALQSSSNQFSASGSTNIVDDSMKVHILPLIHDGR >KGN48354 pep chromosome:ASM407v2:6:22198836:22204132:-1 gene:Csa_6G483360 transcript:KGN48354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKRSPPPGFRFHPTHVELVMFYLKKKVMQRKLPTGVICELDIYKYAPWDLPAKSCLKGGDLKYYFFCPRERKYASGARMNRATEFGYWKSTGRDRTVHYENKEVGQIKTLTFFRGKAPKGAQTDWVMHEYRLDDKNLSLEDAAQDAYVLCVIFQKDGPGPRNGAQYGAPFKEEDWEEDGLEEVDSLEVDLSSGLSVLPNKEPLNSFAPDSSLTQTNVFGCSPASCISENVAATSKEIPPIASGNIAPVEIPGNCNIPDDIFTIADIFPEHGNVNLVDANAFQASAGAPIHTDQVDTIFEDLEDLEINLEDLGDSLNGDENAYDTGHEVIYNSERTFSFNNKTMLCLDHREYLEMNDLNVPLSSTNQDRQMVNAAYSGSSLIEQPCFPYSSFGAAANQNVPSCQYPSLYHSNNQMCHPADLDTLMHTSDSIQSALVQENFHSNANGGCNGSKAPWLDHDANIP >KGN47254 pep chromosome:ASM407v2:6:13455774:13455974:1 gene:Csa_6G237090 transcript:KGN47254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLISSSNTVLKNKWEELHIVTKMGLKKVHGLLKKIKSLLIIFKFMALGNGAIFLRMLVCFFTLFE >KGN49180 pep chromosome:ASM407v2:6:26956080:26956899:1 gene:Csa_6G516880 transcript:KGN49180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLKPSTHHNGFDTSFPYNSSKFQQNPIPIIRITKPNFSHTKTTFRTHAQPSGSGKGPQKRTFLTIEEAGLVEVSGLSTHERFLCRLTISSLNLLKVIAEEEKCSIEELNAGRLCDWFLKDKLKREQNLDSAVLQWDDSDPLF >KGN47608 pep chromosome:ASM407v2:6:16473729:16488107:-1 gene:Csa_6G364113 transcript:KGN47608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSESALISLLETADSKGIFSLVSDFLYPFSDIKKPKKCKKSVKPTDDSSTIRSLAKEFLSFLNRALSILPKRLTDPSKLGNHLDFALELFEIYKLCLGCLECLTSQLSCKPYTVDVQRIRMVHCMEDWGLFKDAEAEGFRILDRLRDIDRRSKAGKLDFRVIHDGDKGGGDEGFCLLFVEVVATVVKCTACGRSKESGDYRRVLGLVEEVRTWFRFLDAKVSEKTQRALVTYLGKCTIFLAEELVCFGESLVSLFCLTTFAEYAKSSLRDQIYKLARRICSILFSLQQEHHTSMLVTNILACVLKSLTLEIEWKHANLPNWLIGIALCPRNLKSWDLTSHDVGSMSPLDETECTVVEFLQLICYCVNKCQSASSDCCCAFARHLEELTSDCRQETTPLGLNLRLYAVGLKIFSKLPRGGTHGSAFSILLDDKDTMQRLVSLNGFLGSYFCIGCRKGNGSCSIELKDFVGQPCSHWKSNHEHEVPSFLSWTEAYLSSYLDAIKFLCKPLAESVNSERKEILAEDKAASALYNIQNILHHFCDVFLFRQRCASDAKRDECNESVNMLLTVVVAAFTLSIRTRVDMKRSTDLIKDIISSKWIQPFALKHLFASLNNIGLILYKNKQIREASKALKLCCSASWTCVRQFCQMLDDKSRPTDSEFSENTVLSFVNEVITRSAFLIDMLYQRDMHKVERAMSEILKSWSMGATLFNGLPVPVQLVKQWVKIQCKHHKNVDPTKSTLTLYCLLGSFRIMTKAQIGTLMEQELVEYEEMSKLNPEFCQSLQGKILSTLLRDVYVTPDHRLEKARVFMKKARVLRFSETVCLDDCIQCLSEAISTMIETAGGTCSSGVLHSHQLAVAYFLRALCTHEAVPNSKQQVLQDIASALGIWLEISNLDSLPDGQRLILSEYMLLLLHNAFDLLSMKGCMDYYHSIYSLMIRLFKWKNVQLEKLLALLWESRRMSHAMCTTPANEIVIAQLSEHLGELPKSFELWTNCLKTLPGTLVVFQQTFSFLCPNYTQSSCKHEKSVRLYVTVDEVKEAASKLISHIPACTSSIFLAGHLYYDLCEKLISEGCLIEARNNYKNNMMELVDIMSVSYSSAMHWTILNRPVKSPKTWSGRAAVNEIVLSFIALSCAKEAHRLRSKLFKEKFIYTVEQHLEKDCEMAYISQKPAYGIKNLQKNRSAARDVWSFDKISWDVEGCYLSPFNVLQCYLESTLQVGLVHEIIGNGSEAETLLMWGKSISCLQSLPLFEVAFSSALGKLYRKKELWSLAQKELERAKQILKDSITSCLKCRLVLEVKVHQQLGDLSRDMYVNAKGIISEERLINAEGFYNLALEKLNLSTWKNSISGLDEETFLSSLTIQVERPKDKRDGKKAKKITNAPKSFQMDQCVNPQSNMRLTRSRYRTIQGQSASNSNDEKVDLPVHPKNNIPDISSALGQKQSHLQVSCCTQGSEASCKNGKVGCWQCLPMELIEAGQMNNFIYLKWEFVRRRLVLKQLSGLGKISEIRGQIHQTHETILKSMSFVVSRNLFFQAHYVIEPTVLLELIGKEVHGDVFAVERASVLYEICWFSLKRYKYATTKIICCPLSQVDSETLVSWLRVALVLCCEVPVSRLLAVMHVISSTSELFSLASSNTILADSHWVSYFHQASVGTQFNNQFFPNTTGRSCVQDLNFAQDFDTGEERLKLKLFRRGLLSSQDLEEYVRKFFDDLPCVTMVCISLIEGDLACLLQQILHFSSSVHAWILMSHLNSKREPLVLLLPVETILKEDSEDYSNPYSSDICERNDLTKHWQCPWGSSVIDEIVPAFRIILEGNYLSSSEFPSEDTKTNRKLWWKRRTKLDECLGKLLGTIEDSWLGPWKFMLLGDWSNRKHVEFEFNSLVLNLKSKCKLDVNESLLKVILEGPEEVLEAFDSKLYSRKGCIIGRERFYNKEGSNPFQNTSKGLDQVSGLALKLIQDAKKKLEVEDNTSREPIILVLDYDVQMLPWENLPVLRNQEVYRMPSVGSICATLDRRYRQQEQDGGIMAAFPSIDPLDAFYLLNPSGDLNNTQIEFENWFKDLNLEGKAGYAPTSSELIEELKSRDLFIYFGHGSGAQYIPKQEIQKLDACAASLLMGCSSGSLTLNGHYVPQGTPLSYLKAGSPVIVANLWEVTDKDIDRFGKAVLEAWLRERSCALSSSAQHDIVTKELEAMKISSKCANKKVTSLPATCESGSSSKGHSVHKRMIGSFLCEARDACTLRYLIGASPVCYGVPTSIKKKKDPS >KGN48602 pep chromosome:ASM407v2:6:23962970:23966730:-1 gene:Csa_6G495070 transcript:KGN48602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFMKLGSKPDAFQIDGDKIRYVATELATDIAVNVGDVKFYLHKFPLLSKSARLQKLVSLSNEENSDEIHILDIPGGASAFEICAKFCYGMIVTLNAYNVIAARCAAEYLEMYETIEKGNLIYKIEVFLNSSIFRSWKDSIIVLQTTKSLLPWSEELKVVNHCLDSIASKACIDTSKVEWSYTYNRKKLPSENGDDSHWNGVRTQKLVPKDWWVEDLCELQVDLYKRAIMTIKTRGRISGDVIGEALKAYASRRLPGFSKGMVQGGDIAKNRLLVDTIVQLLPSGKGEVSCNFLLKLLKAVILLDCGEMGRIELMKRIGQQLDEATVADLLVRAPAGETTIYDVDMVQNLVEEFVTQVQNVHTDLHMENEYHEIRSPKFVSDASKLMVAKLVDGYLAEVARDPNLPLTKFLCLAESVSSFSRPCHDGLYRAIDMYLKEHPGISKSERKKICRLMDCRKLSPDACLHAVQNERLPLRVVVQVLFFEQMRASSASAGDSTPDLPSTIRALLPGSGSHGSSRSTTTNTEDDWDAIPTIEEIRALKGELAALKLGSGDRNGSDIARNNAEKVSGNKMKGMLISKKIFSKLWSNKDRNGEISSSETSESPGSSNAEETKSTPSRSQRHSIS >KGN48751 pep chromosome:ASM407v2:6:24901021:24903504:-1 gene:Csa_6G500430 transcript:KGN48751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRTKSSSKSRPSTADLLTWSELPHPESSPAVSASAPRSHQPSDRISKVLQGGQLTDEEAETLMKKKNCSGYKMKEMSGSGIFASNDEGDESELDNKTGLRMYQQTLNGVSQISFATEEGLSPKKPTSIPEVAKQRELSGTLQSDPDARSKKQISDAKNKELSGHDIFGAPPEITPRSLAAARSLESKESKDMGEPAPRTLRTSVKVSNPAGGQSNILFGEEPVMKTAKKLHNQKFQELTGNDIFKGDAPPGASEKSLSSAKLREMSGNDIFADGKAESRDYFGGVRKPPGGESTIALV >KGN46775 pep chromosome:ASM407v2:6:9301636:9308092:-1 gene:Csa_6G133840 transcript:KGN46775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTISDTSGPSKELLWLASVFSGFVFCKIVYTLTGIISLQSFKAYVKLSNFGKVEWNNRGFSTFHALVAASSSLYLVLFSSTFDPSSSNELLIRRTSSLSDMTLGFSIGYFLSDLAMVLWVFPALGGFEYVVHHVLSLFSIIQSLLSGQGQVYILMVLFTESTTPFVNLRWYLDNAGQKNSNLYVINGIALFLGWLVARILLFIYFFSHMFKHFDQVKTVYPLGFYSLLAVPPMLATMNVFWFWKIARGMIKTLRKARHSK >KGN46759 pep chromosome:ASM407v2:6:9153236:9156966:-1 gene:Csa_6G133680 transcript:KGN46759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDPPPPSNPIPTPNSNQIPPLNLTQPPPKTHKPPPPPLVSNATAAPSSSSIFPNVNAGNASFIPRLGSHHRRAHSEVSFRLPEDMMDISGSDPFNGGSSTASLEEIGSEDDLFSTYIDVKKLGGNGGGNFVDHYGNGGCEGGAAGSEGEKTSKPRHRHSVSVDGTTSSSSMFGEIMEAKKAMPPDKLAELWSSDPKRAKRILANRQSAARSKERKARYIQELERKVQTLQTEATTLSAQLTLFQRDTTGLSTENTELKLRLQAMEQQAQLRDALNDALKKEVERLKIATGEMMSPSESFNLGMHHMAYAPSSFIQLSQQQPGSTGLQNMQIPPFGHSPSNMSTHPLLPSDSHSLSEVLQTDSLGRLQGLDISSKGSSLVKSEGPSLSASESSTTF >KGN48843 pep chromosome:ASM407v2:6:25389947:25390502:1 gene:Csa_6G502790 transcript:KGN48843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTINFTAQCFHRASSLSHISHSAPAAAVAMEDKDNNIWRDRQRQSLITTFPKNQHRNFSKTTPDSASICNRLPFSLSCFYSFSRIIVIVAIDESFSCLSLLWTSASRQFVFSGFRHWFLIFPNSSETLLLHWLLR >KGN46654 pep chromosome:ASM407v2:6:8180591:8181085:1 gene:Csa_6G118335 transcript:KGN46654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDYADHSIQRHVFIRRRESAGATSGHIRRHGEGIGALLRALLPGMANPSVGKCVTDHGREGEVMKPTNCSTEVLHGNILRSKHQKHPVPVVDRERRGSDGGGDNVLNGEASEIGSVGVVDVGVFAGDDDVAGDISTGLISVKGYSLPPLRDGRGRRGAAGMGG >KGN46247 pep chromosome:ASM407v2:6:5195539:5199637:-1 gene:Csa_6G077440 transcript:KGN46247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQDAEMKELPAPLSSSSSSVSPSTIQNLKEIVSLIETGAYAREVRRIVRAIRLTMALRRKLKASVLSQFLNLVLPTGSEVHTRLSSFLPKEDDCEMDVDTATQAPAKHHLPEIEIFCYLIVLIFLIDHKKYGDAKACASASIARLKNLNRRTVDVLASRLYFYYSLSYELTGDLAEIRGNLLALHRIATLRHDELGQETLLNLLLRNYLHYNLYDQAEKLRSKAPRFEGHSNQQFCRYLFYLGKIRTIQLEYTDAKGSLLQAAGKAPIAALGFRVQCNKWAIIVRLLLGEIPERTVFMQKHMEKALRPYFELTNAVRIGDLELFKIVAERFSSTFSSDRTNNLIVRLRHNVIRTGLRNISISYSRISLADVAQKLRLDSANPIADAESIVSKAIRDGAIDATVDHANGWMVSKETGDIYSTNEPQAAFNSRIAFCLNTHNEAVRALRFPPNSHKEKESAEKRRERQQQEQELAKHIAEEDDDDF >KGN47666 pep chromosome:ASM407v2:6:16836152:16841244:-1 gene:Csa_6G367120 transcript:KGN47666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGSHENVAFIDVENYRLDDVIIIDDTQCVTKDFQRANISTESRKSPSCIYVDDCSSDEDACSPSRTFPPSSRRHFVELDGRQSRKQYAFFMGKCMQSNCGKAPARNHFGLHVSAHSSSSDSDSSDCEVMEGSFEKLRQQWEEASLRRKHDDRRAFFTVEDQDKASASHGGDSDTNVGVENQRKQQSEVQVCSSPENAENGGCCLLTELKSNSTENGPPVPLSAKGLICERERLKETDEYRRAMEEEWTTRQQELQIQAEEAHKLRRKRKAERMRLLDMQRRQMKRLEEVRETQKKDEENINMKEQLRADVRKELSMLEMNCTDMASLLRGLGIQVGSGLQPSSQEVQAAYKRALLKFHPDRVSSTSTDIRQLVEAEEKFKLISHMKKKFMC >KGN49259 pep chromosome:ASM407v2:6:27379084:27379877:1 gene:Csa_6G518140 transcript:KGN49259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGRKSNKQHTTKHPNCNRQRRQNLLRLIRWRRVKTVHHILIKQSNSRENQNRHESMQEINKPQPITSRDGRRKFHGAVDDPQASESGESIGNIPLTVSETISETLKETRQSTESNKAGGEVARN >KGN46656 pep chromosome:ASM407v2:6:8190599:8192470:-1 gene:Csa_6G118340 transcript:KGN46656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFDREASSSSNRLRSSVAREDTPLLGTPIPLSSQPKTFANVFIAIVGAGVLGLPYAFKRTGWVMSLLMLFSVSFLTYYCMMLLVYTRRKIESLIGFSKINSFGDLGYTICGSPGRLIVDFLIILSQTGFCVGYLIFIGNTMADVFNSPTVMDLNPKILGLVPKVVYVWGCFPFQLGLNSIQTLTHLAPLSIFADIVDLGAMVVVMVKDVLIIFKQSPSVEAFGGFSVFFYGMGVAVYAFEGIGMVLPLESETKDKEKFGRVLGLSMAFITVLYGAFGTLGYFAFGKDTKDMITGNLGSGFISTVVKLGLCINLFFTLPLMMNPVYEIVERRFWGGRYCLWLRWLLVFLVSLVALLVPNFADFLSLVGSAVCCALAFVLPALFHFLVFKQELDIKGWCLDIGILVLGLVLGVSGTWSALVEIFSVKV >KGN47059 pep chromosome:ASM407v2:6:11852446:11854398:-1 gene:Csa_6G182130 transcript:KGN47059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFNGFVIRSYEDHNDEGQFSDKAQVLDLERRCEIGQSKRVFLFTDNLGDPICRIRNSPMYKMLVAECDKEVVGVIQGSIKAVFFTPHKPPPPGLVVKVGYVLGLRVAPPYRRRGVGAALVRRLEDWFVSNDVDYCCMAAEKDNHASLNLFINNLRYIKFRTGRILVNPVRNHPYNINSSEIKIQKLKIEDAEAIYKKHMASTELFPKDIKNILKNKLSLGTWMANFKQQHYPLRSSSSTTGGNEQSSWAIVSLWNSGEVFRLRLGKAPFAWVIYTKSLKIMDKILPCFKLVLVPNFFKPFGFYFVYGLHHEGPFSERLVGALCKFVHNMAMNNSKDHNCKAIVTEISGDEDDDLKMEIPHWKLLSCYEDFWCIKSLKSKKNNNNISNDHDHDDHILEWTNTPPIRTLFVDPREV >KGN47109 pep chromosome:ASM407v2:6:12170209:12180544:1 gene:Csa_6G188010 transcript:KGN47109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGNSNGATVVSTGSTKIPAVAHPLAEEPEDIASNIKYHAAYSPHFSLFKFEPEQAYYSTADSVRDRLIQQWNETYLHYHKADPKQTYYLSMEYLQGRALTNAIGNLNTQDAYADALNKLGHDLEELVEQEKDAALGNGGLGRLASCFLDSMATLNLPAWGYGLRYRYGLFKQRITKDGQEEIAEDWLEKFSPWEVVRHDVVFPVRFFGHVEVKPDGSRRWIGGEVVQALAYDVPIPGYKTKNTISLRLWEAKARADDFDLFQFNDGQYESAAQLHSRAQQICAVLYPGDATENGKLLRLKQQFFLCSASLQDIISRFKERKQGKDSREWTEFPSRVAVQLNDTHPTLAIPELMRLLMDEEGLGWDEAWDITTRTIAYTNHTVLPEALEKWSQHVMWKLLPRHMEIIEEIDKRFVAMIHAAQNNLEHKVDSLRILDNNPQKPVVRMANLCVVSAHSVNGVAQLHTDILKAELFEDYVTIWPKKFQNKTNGITPRRWLRFCNPDLSTIITKWLETEEWVTNLDLLVGLRKIADNADLQAEWASAKMASKVRLAQYIEQVTGISIDSNTLFDIQVKRIHEYKRQLLNILGAIYRYKKLKEMSPEDRKKTTPRTIMIGGKAFATYTNAKRIVKLVNDVGAVVNTDPEVNSYLKVVFVPNYNVSVAEKLIPGSELSQHISTAGMEASGTSNMKFALNGCLIIGTLDGANVEIREEIGEENFFLFGATADDVPRLRKEREGGLFKPDPRFEEAKQFIRSGAFGNYDYGPLLDSLEGNSGYGRGDYFLVGHDFSTYMDAQARVDEAYKDRKLWLKMSILSTAGSGKFSSDRTIAQYAKEIWNIQECRVP >KGN48136 pep chromosome:ASM407v2:6:20664715:20666191:-1 gene:Csa_6G445010 transcript:KGN48136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLGVSIKFVILLLSFLLLSNVGKSQELVVNYYNQSCPQAEEIIKQQVFSLYQKHGNSAISWIRNLFHDCMVKSCDASLLLEMKNEEGVVISEMKSPRSFGIRNLKYVNKIKQVLENECPNTVSCADIMALAARDAIVLLGGLEMEMKTGRRDSKESYGEMVEEFIPNHNDSLSLVLSRFQDIGIDAEATVALLGAHSIGRVHCVNLVNRLYPTVDPTLDPNHALYLQKRCPNPDPDPKAVQYARNDLESPMVVDNNYYRNVLDHKALLLVDQQLGSSPITLPYVQQMASNNTYFLAQFARALLLLSENNPLTDDQGEIRKDCRRVNK >KGN47155 pep chromosome:ASM407v2:6:12450445:12456503:-1 gene:Csa_6G190460 transcript:KGN47155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLNLLHSPHFSSLDALHGFYSSSTLPTPMLKPRLCGVVEEASGGDSGDFVYVAVGKSVEKSTSLLRWTFRCFGDKEIRLLHVHQLSSVIPTLLGKLPASQANTDVVAAYRKKEWGQTSQLLENYLGYCHRAKVKAGIVMMEAEQVQIGIVDLVNKHKVRKLVMGTMADSCVRMKVKKSSSKADYAARNIPLPCEIWFVDKGNHIWTREAVEGSCSASPSLLRELAITKENCSQSSLVDNSHSFNQQYSHSSSANGIIEGFNCIDKEPFHVEGVMSSSLDIHFTYPLRISSSSASTSSDSGYSSVEGREPTSSDSKVEERGLNGELKDAILETEASRNEAIAMHLICRKMEQEAAESIKKFKVFKCVNEHEIDLRRETEELLRTIIEKKQTLSEERTEIHEELESTMKTLALLDSQAQEVNQKHEEATAELRNIQASIAALKKEKQTMQSQKMNALNWLSQWKSQEASLENYNASIRVMEHQTQLIEFTFLELQMATCDFSESFKISHGGYGCLYKGEMLGKTVAIRKLHPHYILGPAEFREEVEVLGKLQHPHLVTLLGVCTEAWSLIYEYLPHGNLQSHLFQKGNTPPLTWKTRARIIAEIGSALCFLHSSKPEKIVHGDLKPENILLDSEFISKICDFGIYRLVSEETLYCPSFRRSTEPKGAFSHTDPECQRDGVLTPKSDIYSFGLIILQLLSGKPIVGLVIELRKAISFGRLESVLDLSAGEWPIDVARRLVDIGLQCCELKGSDRPELTPTIVRELKQLQNFEERPVPSYFLCPILQEIMQDPQVAADGFTYEGEAIREWFNNGRETSPMTNLKLSHLHLTPNHAVRLAIQDWLCRV >KGN46991 pep chromosome:ASM407v2:6:11089017:11094396:1 gene:Csa_6G157650 transcript:KGN46991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMGSDTTWVGKKPLRRIGGMSDALSIAADLGFSVSPPPSQEELQNISSAIGEKGDDLIRVLRELTAVQRKIADLQVELQGRKDDKNVAHLTHVSEMEKKIETLSRITTILKDVIQNKDRIIARLQQPYSLDCIPVEAEYQKQFSELLMKAASDYGALTASVADFQWSQNFKESPSVWGEMLRPIPVALASCTRFFEAMSAMRESFATLQNLRVGNPNPSLPTTPPIDPSLRVASESDCITPPPWRSDSSFDDLAIRTLHRQENGQQQAGDEHSEQDELNQVDGSSQRRLSWPPSIKKSGI >KGN48657 pep chromosome:ASM407v2:6:24326207:24330428:-1 gene:Csa_6G497080 transcript:KGN48657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLLLLLLCLLHLQLHIHHSLSARVSEYRALLSLKTSITGDPKSSLASWNASTSHCTWFGVTCDLRRHVTALDLTALGLSGSLSPDVAFLRFLTNLSLAANEFSGPIPPELSSISSLRLLNLSNNVFDGSFPSRFSQLQNLHVLDLYNNNMTGDFPIVVTQMSGLRHLHLGGNFFAGRIPPEVGRMQSLEYLAVSGNELSGSIPPELGNLTNLRELYIGYFNAYDGGLPAEIGNLSQLVRLDAANCGLSGRIPPELGKLQNLDTLFLQVNALSGPLTPEIGQLNSLKSLDLSNNMLVGEIPVSFAQLKNLTLLNLFRNKLHGAIPSFIGDLPKLEVLQLWENNFTEAIPQNLGKNGMLQILDLSSNKLTGTLPPDMCFGNRLQILIALSNFLFGPIPESLGKCVSLNRIRMGENFLNGSIPKGLLSLPKLSQVELQDNFLSGEFPITDSISLNLGQISLSNNRLTGSIPPTIGNFSGVQKLLLDGNKFSGQIPPEIGRLQQLSKIDFSSNMLSGPIAPEISQCKLLTFVDLSRNQLSGEIPNEITSMRILNYLNLSKNHLVGGIPATIASMQSLTSVDFSYNNLSGLVPGTGQFSYFNYTSFLGNPDLCGPYLGPCKDGVANSNYQQHVKGPLSASLKLLLVIGLLLCSIAFAVAAIIKARSLKRASESRAWKLTSFQRLDFTVDDVLDCLKEDNIIGKGGAGIVYKGAMSSGDQVAVKRLPAMSRGSSHDHGFNAEIQTLGRIRHRHIVRLLGFCSNHETNLLIYEFMPNGSLGEVLHGKKGGHLQWDTRYKIAIEAAKGLCYLHHDCSPLIVHRDVKSNNILLDTNFEAHVADFGLAKFLQDSGTSECMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELVSGRKPVGEFGDGVDIVQWVRKMTDSNKEEVVKILDPRLSSVPLHEVMHVFYVAMLCVEEQAVERPTMREVIQILSEIPQPPSSKQGGDSTLPNSSPPPPPTAADLDLPTTGTKNKKEHQQQQPPPDLLSI >KGN46177 pep chromosome:ASM407v2:6:4743110:4747024:1 gene:Csa_6G067350 transcript:KGN46177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKFDNLLFIFLILTSSFIRESTCSYAGSASATVDPSKVKQISWKPRAFVYEGFLTDLECDHLVSIARSELKRSEVADNDSGKSKLSTVRTSSGMFISKNKDPIVSGIEDKISAWTFLPKENGEDIQVLRYEHGQKYESHYDYFVDKVNIAWGGHRLATVLMYLSNVTQGGETVFPLAEKPSHRRAYETDEDLSECAKKGVAVKPKKGDALLFFSLEPNAIPDTNSLHGGCPVLEGEKWSATKWIHVDSFSKNLGDIGNCTDLNESCERWAALGECTKNPEYMVGSPEMPGYCRRSCRIC >KGN46684 pep chromosome:ASM407v2:6:8488099:8489830:1 gene:Csa_6G123990 transcript:KGN46684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLDRPDDIEAPNIQVWNNAAFDNGESDVRTVSWSNVQDSYKNISSQSLQSDCSKENLCPLNLKTPASVKYSVSVKPLNRNGVVENSQGKPFKTPCMVSPKMYGIKGKEEEEVISKERNIDEEIEETEREINRLATRLKALQIEKDEQKATRTTVQRGARFGPIRSVDSKASSKNSDGVGKTFEDSLVKLKISKNRGLSLGPSEIHGSIGARRQGKTEITPAQRIQNRRQSCLPKLLDIDEVKVKNRRGNSFSLSPKSRGTLIKANTVRKPATTIVSKRPVKKDGVSEFIQPKKLFKDVEKSVPPTSVKKQLRTGRIIASRYNQTNESSQVSIENRKRSLPGNCKDDGSSRYDKRRSSSELCQSKAPQSRVKKRWEIPSEIMILQQEMEETCLASSVSKVGDMLPRIRTTRCANMSPRDSGPAKRVAELNESKTNFFADEEMEASICQKLNFAEDEEEE >KGN47235 pep chromosome:ASM407v2:6:13105117:13107989:1 gene:Csa_6G223500 transcript:KGN47235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDMIKDVSEGISFVFNNIEEYGGDINRIYLMGQSAGAHIAACAVVKQAIKEAGGEKVSWCVSRIKAYFGLSGGYNLINLKDHFHSRGLHRSIFLSIMEGEQSLQRFSPEVVVQDPKIKDAVSLLPHFILFHGTADYSIPSDSCKTFAEVLERIGVKVETVLYKGKTHTDLFVQDPMRGGKDQLVEDLISIIHENDRDALARNPMARQRRRLVPELLLKLACTVSPF >KGN46988 pep chromosome:ASM407v2:6:11048594:11048920:-1 gene:Csa_6G157380 transcript:KGN46988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPKRDKVKFKDCFFFATPLHHTQPLDLHYPFRWPASDHEEHPKCSATSGRNTTITATTDLNHLEQRYPSRFLIYPDVKRTSKLNFRHPLAERDHERGGADAHRERPG >KGN47875 pep chromosome:ASM407v2:6:18789333:18792215:-1 gene:Csa_6G409320 transcript:KGN47875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADCGTKKFRGCGGDQESSCDLDCSLVWQMPELKPFLSMRYGVVLGNLKQIGSGNLSANNSPRLIKEMNSSPKLGGSRNSTGSNNMGNIIRRNSSEFRQIRDRLEPDVLKSMGNEAYKKGNYEEALTFYDRAIDLDSENAVYYSNKAAALIALDRLMEGIEECTKALKFQPSYQRAHHRLATTYLRIGEPEKALDHMEKSGPYSDINDINKARILRNCLNKCNEARKSDKTPMEGTNCNMQLSL >KGN48245 pep chromosome:ASM407v2:6:21477341:21480001:-1 gene:Csa_6G451480 transcript:KGN48245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVVAAYLLAVLGGNKIPSAQDIRVAAYLLAVLGGNKTPSAQDITTILSSVGAEAEVEKIELLIAELKGKDITELIAYAREKMASLPTGAVVAAAVAAVPSTVDTAAPVGAEAKKEEKDDAMDSDEDICFSLFEDE >KGN47397 pep chromosome:ASM407v2:6:14820613:14825354:1 gene:Csa_6G311020 transcript:KGN47397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKINIDREARKVAVDNRIPLRNYFRIADNLLKQANIYREENNVVDLFIILLRFSSLVSETIPRHRDYPAFFPNEKIYYKKKLLNVVDELESLKPEFDRRVNELEKVYGRPRLPPPDEQGSVSNSFRPSPKEQPYVNGNYSLMLDQKQHVHSRPQSSRRYSDDRGQVSESSSLRLENKFSTLSLNVPLPKPETLSRHSILGPSGLQGQWKGPSTDKIQYPINQDFTLEDSRLNQNEHCDLVAHKDSGPGGVMSVMESVLSLDDGRWPHPADMSIPPLITEVREDPFPLVKQPSPPPVLAKVQQEYATIPPSKVADPRPGVARQSQDESESFQRLHIPVKMLDDFLRLARENTNKNLETCGVLAGSLKNRVFYISTLIIPKQESTSDSCQTLNEEEIFEVQDRLSLFPLGWIHTHPSQTCFMSSVDLHTHYSYQIMLPEAIAIVMAPTDTSSPYGIFHLSDPGGVSVIRNCQQRGFHPHEEPDDGSPLYEHCSHVMMNPNAKFDVMDLR >KGN46128 pep chromosome:ASM407v2:6:4311637:4311852:1 gene:Csa_6G055970 transcript:KGN46128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFRMTHFQAFGSSSEAPSVSLIEASAIDFLAWASRRDLSASNAGSSKGGSRCVSVPPFLVLSRLKCLLWK >KGN47361 pep chromosome:ASM407v2:6:14564905:14567772:-1 gene:Csa_6G303760 transcript:KGN47361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKVLVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNLNVEEVFFSIGRDIKQRLADTDSKAEPSTIKINQQDQGANAGQAAQKSACCGS >KGN47519 pep chromosome:ASM407v2:6:15867026:15868902:1 gene:Csa_6G355380 transcript:KGN47519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGIEEVIGELNREDDHEEKSGSGKEFIIKKHHRLLPPGYRFHPTDEELIAFYLASKVFKPSNFDLGVNIAEVDLNRCEPWELPEEAKMGEKEWYFFSLRVRKYPTGLRTNRATVAGYWKATGKDRQIHSSCNGVKLGTKKTLVFYKGGAPRGIKTKWVMHEYRLHSDLASPNVCKDEWVLCRIIHKSGEKIKPKSSFQETVPSPISLPSLLLNATQSINHINIQSQPPLHNIQHHENGLESFIINNPHFIFQPNLFPFGLNNHDPHLTTPPPPPPSIQMTDTNYHPHFNPSLLLDGGCDSQQASSFGVDSGGTLVDVAAYRGARGDQSDENWSGGC >KGN48155 pep chromosome:ASM407v2:6:20769255:20772271:1 gene:Csa_6G445190 transcript:KGN48155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILLHGSHLGLLFLLTFFVGPLPFLVESAVKEYQFDIQVKNVSRLCNAKPIVTVNGMFPGPTIYVREGDRVLINVTNHAQYNMSIHWHGLKQQRNGWADGPAYITQCPIKTGNSYTYDITVTGQRGTLWWHAHILWLRATVYGAFVIMPKLGTPFPFPQPYLEANIVLGEWWNSDVETIVNQANKLGLPPQASDAHTINGKPGPLFPCSDKHTYALEVESGKTYLLRIINAALNDELFFAIAGHSLTVVEIDAVYTKPFTTTAILIAPGQTTNVLVKADQAPGRYFMAARPFMDAPIPVDNKTVTAILQYKGIPTTILPSLPTLPLPNDTNFALSYNNKLRSLNTPLFPTNVPIKIDRHLFYTIGLGANPCASCLNGTQLTASLNNITFVMPKTGLLQAHYFKIPGVFTADFPDRPAVPFNYTGVPLTANLATTLGTRLSKLAFNSTVELVLQDTNMLTVESHPFHLHGYNFFVVGTGIGNFDPKNDPLKYNLIDPPERNTVGVPTGGWAAIRFRADNPGVWFMHCHLELHTMWGLKMAFVVENGKSAEESILPPPADLPPC >KGN48570 pep chromosome:ASM407v2:6:23734922:23735155:-1 gene:Csa_6G492300 transcript:KGN48570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRTRSRVVRRGGQGEPTMGARSDWGNFTAEFVQRRQRRVPTGVDNSAIFSVKRHG >KGN47232 pep chromosome:ASM407v2:6:13060475:13064782:-1 gene:Csa_6G222480 transcript:KGN47232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYSAVLPLAFTSSSKVCKPTSSSSSIEQHIEIYTNTSQKFRYSRASPSVRWPNLKLNESFQLPSQTHFTAPPPPPPPPSQTHMVDESEVSLRTQTSEIRDGSYVEEDESESSRMVSDETQEVLGRPSKTRVKKMNKLALKRAKDWRERVQFLTDRILALKHDQFVADVLDDRKVQMTPTDFCFVVKWVGRSNWQRALEVYEWLNLRHWYSPNARMLATILAVLGKANQEKLAVEIFKRSESAIDNTVQVYNAMMGVYARNGRFVLVQKLLDLMRKRGCEPDLVSFNTLINARMKSGPMTPNLSLQFLNEVRKSGVRPDIITYNTLISACSRESNLEEAMKVYNDMERHNCQPDLWTYNAMISVYGRCGLASRAEQLFMELESKGFFPDAVTYNSLLFAFAKEGNVEKVKEICEEMVRNGFGKDEMTYNTIIHMYGKQEQHDLAFQLYRDMKLSGRIPDEVTYTILIDSLGKSSKIEEAANIMTEMLDSGVKPTLRTYSALICGYGKVGKPVEAEKTFDCMRRSGIRPDYLAYSVMIDLFLRFNETKKAMLLYKEMVCDGLTPDGALYEVMLRNLVKENKLDDIDKVIRDMRDEYGMNPQVISSILIKGECYGHAATMLRVTIDTGYDLDNENLLSILSAYSLSGRYLEACELLEFLKEKTSNSNQLVTESLIVVLCKTKQIDAALVEYGNMKKVFGSYGTSSLMYECLIHGCQEKELFDTASHIFSDMMFYVVKISDNLYQVMIRMYCKRGYPEIAHYLLERAELEGVVVDDVSTYVEIIDSFGELKLWQKAESLVGNKRLKLAAVDRKIWNALIQAYAKSGCYERARAVFNTMMRDGPSPTVISINGLLQALIADNRLKELYVVVQELQDMGFKISKSSVLLMLDAFARDGNIFEVKKIYHGMIAAGYLPTMHLYRSMIELLCKGKRVRDVEAMLSEMEETGFRPDLSILNSVIKLYVGVEDFRNASRVYHLILETGLTPDEDTYNSLIIMYCRDCRPEEGLSLMHEMKRRGMEPVLDTYKSLISALSKRQLVEEAEELFEELRGSGYKLDRFFYHVMMKMFRNTGNHLKAESLLVMMKESGIDPTVATMHLLMVSYGSSGHPKEAEKVLNDLKATGMDLDTLPYSSVIDAYLRNKDYSAGIQKLMAMKADGIEPDYRIWTCCIRAASLSESSSEAIIILNALQDTGFDLPIRLLTQKSGTLILEVDQFLEKLGALEDDDAGFNFVNALEDLLWAFELRATASWVFQLAIKRSIYRQDIFRWTKSTIVTIKLKSEFSLLNQID >KGN45869 pep chromosome:ASM407v2:6:1841890:1842324:-1 gene:Csa_6G014890 transcript:KGN45869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGNNRQKKAHASFSIFSFFKSRRGRKGDHCNHSGSCLDEMPRSGKVWPSDEDKAHHWVAEPGIDRKAKDYIDRIYRNRVFESERQTVTISPNGIKSNV >KGN48929 pep chromosome:ASM407v2:6:25867273:25869998:1 gene:Csa_6G507040 transcript:KGN48929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQANAIILQLRKWSHLQPNPTPSFFSGRCCKTTCRPTNQQRSESYLTIKRNFNVLLRHQNLPAVSAAVLLPPQSPTLKTAHGFRTAGIRPPIMGSLDSSSDSGIVTVDVKTADNLLHSGYAFLDVRTVEEFKEGHMATERIVNIPYLLNSPNGRVKNAQFLAEVSAVFKKDDRLVVGCRSGVRSLLAIEELQNDGYKHLKDLGGGHLAWLDNALPVANPIEKLTNDPKVIEDRKTNEL >KGN49178 pep chromosome:ASM407v2:6:26949442:26954620:-1 gene:Csa_6G516860 transcript:KGN49178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLHSSSHFSTLRWTPSSHPISLTSRRRYFNVRSCLPLPMQNAKYRKELEAAIDVVQRACRLCVDVKSSLLSADGQVLEKNDQTPVTVADFGVQALVSLELGNLFPSIPLVAEEDSAFLRANNLAHSVLAVVTEKSSFPNELTQDNVLKAIDRGANVAFAFGSKPATYWVLDPIDGTRGFLRGNDVLYVVGLALVVEGEIVLGVMGCPNWHGDLSEESNSEDLERGGVWSRSGAIMIAHAGSGTWTRRLSDMQSPSKVFHNWTRCFVDEYSLVHEARFCIPDSQTWESLPPSTSLQATTNADQVGSGQILLLRKCCGSLCKYFMVASGRASVFILRAKSQSIIKTWDHAGGMICVHEAGGKVTDWKGNDIDLAADQAGRRILSPSGGILVSNGHLHDLIIEMTASTSSTV >KGN48776 pep chromosome:ASM407v2:6:25041076:25050372:-1 gene:Csa_6G500680 transcript:KGN48776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGKIQDSEPPTPHSIMKMGSRDRNSMEDPDGTLASVAQCIEQLRQSSSSVQEKEFSLRQLLELIDTRESAFSAVGSHSQAVPVLVSLLRSGSLGVKIQAATVLGSLCKENELRVKVLLGGCIPPLLGLLKSSSSEGQIAAAKTIYAVSQGGARDHVGSKIFSTEGVVPVLWEQLHNGLKSGNVVGLLTGALRNLSSSTEGFWSATINAGGVDILVNLLATGEPNTQANVCFLLAHVMMEDASFCSKVLAAEATKKLLKLIGPGNEASVRAEAAGALKSLSAQCKEARREVASSNGIPALINATIAPSKEFMQGEYAQALQENAMCALANISGGLSYVISSLGQSLEACSSAAQTADTLGALASALMIYDSKEEATRASDPIIIEQTLVKQFGSRVTFLVQERTIEALASLYGNPILAVKLANSDAKRLLVGLITMATNEVQEELVRALLTLCNNEGSLWRALQGREGVQLLISLLGLSSEQQQECAVALLCLLSNENDESKWAITAAGGIPPLVQILETGSAKAKEDSATILRNLCNHSEDIRACVESADAVPALLWLLKNGSSNGKEIAAKTLNHLIHKSDTATISQLTALLTSDLPESKVYVLDALRSMLSVVPLNDIVREGTAANDAIETMIKILNSTREETQAKSASALAGIFEIRKDLRESSIAIQTLLSVIKLLKVESDSILAEASRCLAAIFLSIKENRDVAAAARDVLSPLVVLAKSAVLEVTELSTCALANLLLDSEVQEKAVTEEIILPATRVLREGTMSGKTHAAAGIARLLRSRKIDHSITDCVNSAGTVLALVSFLGSADTRTVSTSEALDALAILSRSEGVSGTMKPAWAVLAEFPQSISPIVASITDATPILQDKAIEVLARLCRDQPGVIGEEVVTASGCIASVSTRVINSTNIKVKIGGTALLVCAANVNHHRLLEDLHASSSCSLLIQSLVAMLSSSQSSVLDNQSDTDKEFISIYRLPKEGSCGTECNKATAVVYGVNLAIWLLCLLACHDGRSKTVIMEAGAVEVLTEGISNYSSQYAQIDFKEDSSIWISSLLLAILFQDRDIIRAHATMKSIPVIANLLKAEEPANRYFAAQAIASLVCNGSRGTLLSVANSGAAGGLISLLGCADADIYDLLELSEEFMLVRYPEQVALERLFRVDDIRTGATSRKAIPALVDLLKPIPDRPGAPFLALGILTQLAKDCPSNKIVMVESGALEALTKYLSLGPQDATEEAATDLLGILFSSSEIRRHESAFGAVSQLVAVLRLGGRGARYSAAKALESLFSADHIRNAESSRQAVQPLVEILSTGSEREQHAAIAALVRLLSENPSRALAVADVEMNAVDVLCKILSTNCTMDLKGDAAELCCVLFGNTRIRSTMAAARCVEPLVSLLVTEFSPAQQSVVRALDKLVDDEQLAELVAAHGAVIPLVGLLYGRNFMLHEAVSRALVKLGKDRPACKMEMVKAGVIESILDILLEAPDFLCSAFAELLRILTNNANIAKGSSAAKVVEPLFLLLTRPEFGPDGQHSALQVLVNILEHPQCRADYTLTCHQAIEPLIPLLDSPAPAVQQLAAELLSHLLVEEHLQKDSVTQQVIGPLIRVLGSGIQILQQRAVKALVSIALTWPNEIAKEGGVSELSKVILQADPSLPHSLWESAATVLASILQFSSEFYLEVPVAVLVRLLRSGLESTVVGALNALLVLESDDATSAEAMAESGAIEALLELLRSHQCEETAARLLEVLLNNVKIRETKVTKSAIVPLSQYLLDPQTQAQQPRLLATLALGDLFQNEALARSTDAVSACRALVNVLEDQPTEEMKVVAICALQNLVMYSRSNKRAVAEAGGVQVVLDLIGSSDPDTSVQAAMFIKLLFSNHTIQEYASSETVRAITAAIEKDLWATGTVNEEYLKALNSLFSNFPRLRATEPATLSIPHLVTSLKTGTEATQEAALDSLFLLRQAWSACPAEVSRAQSVAAADAIPLLQYLIQSGPPRFQEKAEFLLQCLPGTLLVIIKRGNNMKQSVGNPSVFCKLTLGNTPPRQTKVVSTGPNPEWDENFAWSFESPPKGQKLHISCKNKSKMGKSSFGKVTIQIDKVVMLGAVAGEYTLLPESKSGPRNLEIEFQWSNK >KGN48645 pep chromosome:ASM407v2:6:24245649:24253151:-1 gene:Csa_6G496970 transcript:KGN48645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTAALTSLRPNYLLCSSRRFSPFLHSSSSSSTSSFNHSSPSFLKKKLRLPSINAPQTASPISKDVGAIPEFDWDNLGFGIIPTDYMYVMKCSQGDNFSNGELKRFGNIELSPSAGVLNYGQGLFEGLKAYRKEDDSILLFRPEENALRMKMGAERMCMQSPTVDQFVEAVKTTVLANKRWVPPSGKGSLYIRPLLMGSGAVLGLAPAPEYTFVIYVSPVGNYFKEGLAPINLVVEHELHRATPGGTGSVKTIGNYAAVLKAQSAAKAKGYSDVLYLDCVHKKYLEEVSSCNIFVVKGNVISTPAIKGTILPGITRKSIIDVARSLGFQVEERLVAVDELLEADEVFCTGTAVVISPVGSVTYLGKRIAYGNGVGVVSQQLYSVLTRLQMGLIEDKMNWTVSLS >KGN46888 pep chromosome:ASM407v2:6:10371336:10372364:1 gene:Csa_6G148300 transcript:KGN46888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLCLQIIKSILFFPLNAAAVTSVYASFLPLPILLLPPLAAAPTNSLPLPLPSLAEEDMANCLILLAQGDSAVHRRPTAQNFQHPLKINPKTSFNFEMAKTTSGFYVYECKTCNRTFPSFQALGGHRASHKKPKTTTMATALEDQPEEPQLIKIAASPVQIPTKTVTAGANFQTHKGGKVHECSICGLEFTSGQALGGHMRRHRATTAVSSAQQVVVATNTEEDNNTNHHHHHRHRNSVERKERNILELDLNLPAPEEDLRETKFQFTATPQTIVFSAPTLVDCHY >KGN46375 pep chromosome:ASM407v2:6:5983913:5984508:1 gene:Csa_6G088030 transcript:KGN46375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGRSKETKKQEAENPRSDGRKKAKGISQNREGGADEEAGDRRDGDKEKPQRQRAAAADLWKRKKNYDIKSRPFDYWALLFIRTATLYLILNQRLDLHMLHALLRMLLPGGWGCLFKITRPDPENNCKMVFYG >KGN46833 pep chromosome:ASM407v2:6:9967310:9968440:1 gene:Csa_6G140810 transcript:KGN46833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEEVSYSSSVDLIERTLDGSQRRLISTDSLLPGLNDDAAMNCFAYVRRSDYASLSCVNSRFNKQIRSGALAELRKKIGIVEYWVYLVCDLKEWEAFDPDRNKWMALPKMPCDECFNHADKESLAVGSELLVFGREFYDFAIWKYAFFSHSWVKCRGMNQPRCLFGSGSLGSIAIVAGGSDKKGNVLKSAELYDSSKGRWETLPDMHIPRRSCSGFFMNEKFYVIGGMSSPTVSLTCGEEYNLKKRKWRKIEGMYPYVNQGAQAPPLVAVVENELYAVEHLTNMVMKYEKVGNTWNVLGRLPVRADSSNGWGLAFKACGKKLVVVGGQRGPEGESIVLSSWCPKSGVNNGILDWKIVGVKEHVGVFVYNCAVMGC >KGN48713 pep chromosome:ASM407v2:6:24653351:24655966:-1 gene:Csa_6G499080 transcript:KGN48713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVAIANAAAPSFRHFNKLKLNTRLSSSLGAVHRDKKDMVATASSSTPNAGAYTTIKEIVRFEKEIKKSKFIAIAGPVSSEQSAFSFLSQVRDPRATHNCWAYQVGDQYRSNDDGEPSGTAGKPIHSAIVSSGIDRVMVVVIRYFGGIKLGTGGLVRAYGGVASECLKSAQTCVVKSKVPMGVEVPFDLLGVLYHQLQSFQVEDIKQDYDSGKDGITMVTFKVDFDCVEKLEDAIKSNCSRELVFYKR >KGN46346 pep chromosome:ASM407v2:6:5762492:5766272:1 gene:Csa_6G087750 transcript:KGN46346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METRENVEIFNLFVRLLDGKTLALKLTSPYVDGHALKHRLFQTTGIPPNHQRLVTGSRQIENDSVLSCSGDPSGRFPTVHLLLRLLGGKGGFGSLLRGAATKAGQKKTNNFDACRDMSGRRLRHVNAEKRLEEWKAEEEERRLEKVAEEFLKKKAKVGKKGVGDSAAQKYVEKYREESARCVAEVEESVRDAVMKGKRKAGLMANGADAKKLKIWMGKRKMGESDSDDSDEDDAENEEESEKSVILNVGRSDLNKDAEGSSDSVNYGKAGDGSGGSSCESGSEEEKDMAAQETMELVGSSSEKTLQSERVDAVEMNDQTTQSAVLSCPEAVAISAHQEDEVVKQDALEVEIVNSENVSANHQDTSNPNSGEIIEDLSTRPEPNGSPVSKLSDHDETTATVSNPEIPLNFDDFSSATEMEVLGLERLKSELQARGLKCGGTLQERAARLFLLKSTPLDKLPKKLLARK >KGN46422 pep chromosome:ASM407v2:6:6263177:6264976:1 gene:Csa_6G091950 transcript:KGN46422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKNHEPVVGVPLYVGNNPYQAGQIPPNAIFGDPHGVPIQQTMYRDTPAPFNCVYCGNSGLTTIRSKISSAAVVGCMMPFMLGVCFLCPSMDCLWHKYHYCPSCKEKVGDFEKLDRCAVMDPPHWTQPSYALPG >KGN46436 pep chromosome:ASM407v2:6:6375414:6376594:1 gene:Csa_6G092580 transcript:KGN46436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRNVAENPKFIENHSLPHRALQPHRKVLGSKVSPKQGLASYEKISSGLVEISTVYEATNESEEAFKGDGRDRGSNGGDMVGKYGEARKAFEGAIGKLRGTNNLAAAYDAMGSRKEYLFFLKCFSKKVFELENNSEKNSKRQFVLLQGDSLPLFNMLRANYKSSLEREPLNELLDELAEKFYGVRCREIPWSKVQRNPLQEIFRDFKDLLKVIISLTIFV >KGN46298 pep chromosome:ASM407v2:6:5482698:5485741:-1 gene:Csa_6G080410 transcript:KGN46298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGENRREDFSKAPTPRKPVLELLWFFAIAACIKLLLVPSYHSTDFEVHRHWLALTHSLPLSQWYFDDTSQWTLDYPPFFAYFERFLSIFANIVDPQIVHLQKGLDYDTDTVIYFQRITVIVSDLCLLYGVYRLTKNLDPIKRKLIWVLVIWSPALVIVDHLHFQYNGFLLGMLLISLSYMEEGRDLMGGFFFAVLLCFKHLFAVAAPVYFVYLLRHYCRGGFVRGFGRLTIMGSVVVAVFAAAYGPFIYHGQIQQVIRRMFPFGRGLCHAYWAPNFWVFYILLDKGLSILLRKLGFSIKIPTASFTSGLVGDSSPFSVLPQVTPLTTFVVVLLALSPCLIKAFKDPQPRKITRWVAYAYACGFLFGWHVHEKASLHFVIPLAVAAVQSLEDARHYLLLSIVSCYSLFPLLFEAQEYPIKVLLLLLHSSLMWLGFSAQFDKRESVKQTGSKSKRHVQTKRSMTATGETFRIRFVEKVYLVGLLVVEIWAQFLHPFILGGKFPFVPLMLISTYCSLGVMYSWIWQLRWILKPPTY >KGN47358 pep chromosome:ASM407v2:6:14537320:14538332:-1 gene:Csa_6G303240 transcript:KGN47358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEGQEIRKGPWTEQEDIQLICFVGLFGDRRWDFIAKVSGLNRTGKSCRLRWVNYLHPGLKRGKMTTQEERLVLELHAKWGNSKGISCRYRTSEKKIEENKEVGGDQNGYTMDDIWKDIEVSNHESLIMNQDVYSEDNNFSCPALNSAASSWEDYCCGDSLWKMEEEESKLIFSGWPCLGLAQPNQNFFY >KGN46038 pep chromosome:ASM407v2:6:3661384:3661677:-1 gene:Csa_6G045170 transcript:KGN46038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMLNWRMRTIGDVSKIIGKKMEDRERREAGRAWGLCRAFLRSEPRAERSFSSSRELSASSFEPRVERS >KGN49098 pep chromosome:ASM407v2:6:26618260:26620138:1 gene:Csa_6G513620 transcript:KGN49098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTVYSPGANKKFLACLDSIPLSEASHANSLNYSTSVSLCPLKSSSSNTILHNPSSFKRCTQLNTPCVLNRSSFRRCLCRSDSSPPPSDDEYRSSRNIAISLFRRYRNFVDRGGGDNLKDFISAGVNAYALGCTDEGLRKELIDMKEAGFEIEVMQSYGGSTGLKSKIISGEIEECILWLSIIFITILCTPQPTVVRWSSTPPVSDEIRLQWKGFCALIANAYYVRGMAWLPVKTLQLEQMAVVGRAEEPSVVASRMRIVFSTLEVVSPQWPKV >KGN47097 pep chromosome:ASM407v2:6:12095417:12095659:1 gene:Csa_6G187410 transcript:KGN47097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFQDSKDFRTFFSTRPSGFENNPNDILAGIGIGKLGYSVFDGLMLFEELGFGENLAASSTGEDIVTKGEELISLCRSDI >KGN46188 pep chromosome:ASM407v2:6:4818083:4821462:-1 gene:Csa_6G067950 transcript:KGN46188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCNKDEAIKAREIAEKKMEGKDFTGARKLVLKAQQLNPDAEYISQMLMVCDVHCAAEKKLFGNEMDWYGILQIEQTANEATIRKQYRKYALLLHPDKNKFIGAEAAFKLVGEAQRVLLDHEKRRMHDMRRKPAIPFRPPHRAASTFNVGVQANYRSNFTTFIPQPPPPPQPQGHSGFGHNRSTFWTVCPFCSVRYQYYKEVVNRSLCCQNCKKPFVAYDMELQGAHPQPMSNLNQTSFFPQQNSFNHRAEMGRPGNSQSEKRRGKRNTSVAASEKFNGKKRRKQTSESSESCDTESSLDTDENGVTDDDSSRKDIGHSGDHRPRRSSRRRQKISYNENGSDDDNDVEITHKRPRRRKSSIVSDDEDIEEVSVAVDDDQTKTNKPENHCSEEDLSRRSKGSKENHKETTDQDVSQGSMESAGDPDSNLLSCSDPDFHDFDQLRNRECFTLGQIWAMYDDIDTMPRFYAWIKKVFPSGFKVQITWLEPEASVDGRRKCVDKEMPVSCGEFVFGATETMTDCDSMFSHAVAWDKGYHKDSFRIYPRKGEIWALFKNWDKKSECDSNGQYEYEFVEILSEFTEEAGIDVALLAKVKGFSCLFCRMVKVGEKSFQVPAAELFRFSHRVPSFPLTGDEREGVPRGSFELDPAALPPNLPEIILPEHIKEVDSDTRRSTLPMMGSNGEASTHEAAADTNSNLRSEGDDGIAAVASPSEAYEIPDPEFHNFDLEKSIEKFRIGQVWSLYSDEDALPRYYGLIKKVTREPFEVKLTWLVSSTLPSDTVKWHDKQMPISCGRFTIQRRTPMHRCTTIDSFSHLLRTDPAPNNAFSISPRIGEVWALYKNWTPELRCSDLDNCEYDIAEVIDDDDLQKEVMFLKRVDGYNSVFKAQTKNDGSTLTMLITDAEILRFSHQIPAFRLTEERGGSLRGCLELDPAALPVYYFC >KGN47722 pep chromosome:ASM407v2:6:17433824:17436456:1 gene:Csa_6G385060 transcript:KGN47722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDSRVDTISRLAQWRIDSLGPCNFKKSDPFKLGIWNWHLSVEKSRYLHIRLFPEPSKIAKEQPPIARFFIRVSSVGPNRRPSVSPIHEKLLRTSEDFVWAVDSTFHGRFIVDVEFLDLRICPMNGLEGGEAASIWPMEGLMKSVSSQSTLQCLARMLDEAIHADVTIKTIDGTLQAHKAILSVSSPVFKSMFHHDLKEKESSIIFIEDMTLEACMTLLRYLYGTITEELFWKHRLSLLGAANKYGIVDLKDACEESLLEDINSTNVLGRLQEAWLYQLHKLKKGCLAYLFDFGKVYDIRDEINDFFRQADRELMMEMFQEVLTVWKPV >KGN48411 pep chromosome:ASM407v2:6:22643266:22647637:-1 gene:Csa_6G486840 transcript:KGN48411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVQSSSFQYSPNGGIRKLNPERDHVAGGNRNRSAKVGRTSVVVNDGGIRKVVYIETKKERSGDVGNVSRRISVSDNAGEEELVDGWPKWLVQNIPKDALAGLVPKGADSYDKLAKVGRGTYSNVYKARDRDTGKIVAMKKVRFDTSDSESIKFMAREIMVLQKLDHPNIIKLEGIVTSRMPYSLYLVFDFMESDLTGIISRYSRKLREDQVKAYMEQLLSGLQHCHDRGILHRDIKPSNLLIDKSGMLKIADFGLANFFIPKRRRPLTNRVVTLWYRAPELLLGSTDYGVGIDLWSAGCLLAELFLGKPILPGRTEVEQLHKIWKLCGSPPKDYFDKMKLPASFCPPQNYQPGYSEAFSGFSTPSFRLLTTLLSLDPARRGTASSALQSEFFSVYPLPCELSELPVIFHKDKEDEAAKIRNRKKQRSSRKLHSSLSQTHVASQQVANPTKARDSESLKEENSVHPSTYSRWTGSSSSSISSSIRSFKNVKNMRMSLSPILQSHGKRSPKTEGHPNAVKNIKNPNLLQASTLLQASITDIINPKEGSALTYYQRSLSTLDLRSLYLDKHSMHH >KGN48402 pep chromosome:ASM407v2:6:22588603:22593265:1 gene:Csa_6G486750 transcript:KGN48402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVVKRDGRQEAVHFDKITARLKKLSYGLSIDHCDPVLVSQKVCAGVYKGVTTSQLDELAAETAAAMTANHPDYASLAARIAVSNLHKNTKKSFSDTIRDMYYHVSERSGQKAALIADDVYEVIMKNAARLDSEIIYDRDFDYDFFGFKTLERSYLLKVQGKVVERPQHMLMRVAVGIHKDDIDSAIKTYHTMSQRWFTHASPTLFNSGTPRPQLSSCFLICMKEDSIEGIYDTLKECAVISKSAGGIGVSIHNIRAMGSYIRGTNGTSNGILPMLRVFNDTARYVDQGGGKRKGAFAVYLEPWHADIFEFLDLRKNHGKEEHRARDLFYALWVPDLFMQRVQSNGEWSLFCPNEAPGLADCWGEEFEKLYIGYEQQGKAKKVVKAQNLWFEILKSQIETGTPYMLFKDTCNRKSNQQNLGTIKSSNLCTEIIEYSSPTETAVCNLSSIALPRFVREKGVSIESHPSKLVGSRDSKNRYFDFDKLAEITALVTTNLNKIIDVNYYPVETAKRSNLRHRPIGIGVQGLADTFILLGMSFDSPEAQQLNKDIFEAIYYHALKASCELAAVEGPYETYAGSPVSKGILQFDMWGVTPSKRWDWEGLRAQIAQHGVRNSLLVAPMPTASTSQILGNNECFEPYTSNIYSRRVLSGEFVVVNKHLLHDLTDMGLWCPALKNKIIYANGSVQNIAEIPDDLRAIYKTVWEIKQKTLVDMAADRGCYIDQSQSLNIHMDQPNFGKLTSLHFYAWSKGLKTGMYYLRSQAAADAIKFTVDPSLLKEKSNSEDDSTKMAQMVCSLTNREDCMACGS >KGN48434 pep chromosome:ASM407v2:6:22836976:22838588:1 gene:Csa_6G487550 transcript:KGN48434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIDYSAIIPLTFIISFLFIIFSRIWRWNSNGMETLPWNWPILGMIPHLIAHHHRVHDRIAEVLIESSQNFFFKGVWFSSTDFLLTADPSNVNHILSVHFERYPKGPDFNYIFDILGDGIFNSDSDVWKNQRKTALSLVGHESFHKFLEKITLKKVKEGLVPVLQSACENGSVLDLQDLFQRFSFDSTCLFVTGFDFHSLSLEFPQVPFSRAVDEAEEVILIRHVFPKMLWDFEEKFQIGQAKKMKQAWKIIDQVIAELIASKRESLKKNLKEKEDEGADLITSYMKDYKENDDKVLRDTVLNFMVAGRDTLSAALSWFFFCLSKNPIVVEKIREELRTTVPTNEACDQWRIFSIEEVDKLVYFHGSLCESLRLYPPVPVNHKVAVQPDILPSGHHIKPKTKILLSIYALGRMSDVWGKDCLEFKPERWISENGKIKHFPSYKFLSFNAGPRTCLGKQVAFTELKIVAAAIIHNYNIIQQTGHQVIPKTSVVLHMKHGFKVKVTKRWT >KGN48987 pep chromosome:ASM407v2:6:26111018:26111266:-1 gene:Csa_6G509560 transcript:KGN48987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRPTPTFSTQRLERNMSFTLYQLRRLPNDRSQLLDVNRRYTTGTLTSQL >KGN45807 pep chromosome:ASM407v2:6:1376312:1378442:-1 gene:Csa_6G012810 transcript:KGN45807 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dehydration-responsive element-binding protein 2C MISETIERKRKSRSRRDRSTVAETLAKWKAYNECFDSSNNGGKLIRKAPAKGSKKGCMKGKGGPLNSHCNYRGVRQRTWGKWVAEIREPNRGSRLWLGTFPTAIEAALAYDEAARTMYGQTARLNLPNIKNRGQLQGILLEEYLGLRNSDSSTTTSACSESTTTTSNQSEVCVPEEFTMRPRLVSLNVKTEDGEGESKTGDHGDETATPMNQVKHEDRNDQLVALGAEFPCLDQLENFQMDEMFEPRTGTQAGHMVMPVSLEKQVKDEDLDAVYCGRSDDQAVLSEAGVPSLYDLHNFQMDELFDVEELLSLINSDSLHDPTNIVKGNADAYTNMAPSHVGSVGSEKPPNRSYQIQNPDAKLLGSPQQMERTPADVDYGFDFLKQGREEDLNAAADDCVRYLNEIGDLGF >KGN46097 pep chromosome:ASM407v2:6:4065774:4075352:-1 gene:Csa_6G052720 transcript:KGN46097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVDIQAQNPIWIGSKRGSRHLSWLPLQELQFISFLSSSTSKPPSLLGFLRFLSQFNLVEYDFRHFPTAVTTCFSLLRSFHLCTLIVSLLVFGSNFIRVEFRISSENLGLITSLLMDGAELFIDLTETTGATRKTVEANEFQPFDGNQIASLQSQLRLLQDRVKELEEKNSKLSSRLASCCCSERRMNFGDRSRSIEKSKESGTTKKKSVEKTTGYNTRILDHCSKRYIALKVMYFGQRFYGFASEAQMEPTVESEIFRALEKARLLVGGRKESCYSRCGRTDKGVSSVGQVIALYLRSNLKDPPNGENSCHNGEEQHDGEIDYVRVINKVLPNDIRVLGWCSVPVGFSARFSCLRRKYKYFFWGANLNISAMERAGKKFVGEHDFRNFCKMDAANVHNYRRRIMSFDISPCDVRTEGNPLWAFNIEGSAFLWHQVRCMVAVVFMVGQDLEDPDVIDILLDPLRTPRKPQYVMASENSLVLHSCEFEGVKFWCSSDAGEALQLHLSNEIRTYKLQAAIFKEALLSCLPNDGSSSDNRKLKKKNSHVALLSRPTEPSYEERRAKLDSTSTI >KGN47764 pep chromosome:ASM407v2:6:17885822:17886313:-1 gene:Csa_6G401350 transcript:KGN47764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAHPPSSPATGLGLGYGIAIAVSILVFISTVMLISYACIRVKSATTRPSASLPSPPTPVDNVVVLMVGLHGSIIESYPKLVLGESRRLPPPNNGPCSICLSDYKPHDSVRCIPDCRHCFHSDCVDQWLRMSATCPLCRNSPAPTPLATPLSELVPLASHAR >KGN46679 pep chromosome:ASM407v2:6:8429968:8431674:1 gene:Csa_6G121960 transcript:KGN46679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFDLENPLTHLHQLHSDDASLFLTESDHMLSPSYLHTLLTSPSDFAVRRDTIYFISQCCSNSNIDPHLSYLAVNYLDRFFSFQGMPQPKPWVLRLLAVSCVSLAAKMKQIEHNLSDFQGSEGFIFDPQTVHRMEVLILGALKWRMRSITPFSFIPFFSSLFKLRDPPLLQALKGRATEIIFIAQNGIELLEFKASVIAAAALLSAAHELFPIQYPCFRKAIINCSYVKKVNTPGSTLMLKRNLICV >KGN47383 pep chromosome:ASM407v2:6:14725645:14726048:-1 gene:Csa_6G308430 transcript:KGN47383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALILDCGFFGSHQLPGSFKCGTAPWFVMDELQRPSCKVISADQMQLDRVLDLAATFRKKDVWMQLLELSVDVWMKLPWNPLSDGFLGL >KGN49527 pep chromosome:ASM407v2:6:28906679:28914143:1 gene:Csa_6G532580 transcript:KGN49527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVAKPESSDVRIIAHVDMDCFYVQVEQRKQPCLRGLPTAVVQYNSWKGGGLIAVGYEARKFGVKRSMRGDEAKKVCPQIQLIQVPVARGKADLKTYRDAGSEVVRVLSKKGRCERASIDEVYLDLTDAAEAMLVETPPESMEAIDVEALKSHVLGLDQEEQSDGQECVRKWLTKCDSDYRDKLLACGTLIVAELRMQVLKETEFTCSAGIAHNKMLAKLASAMNKPAQQTVVPLSCVKGLLDSLPIKKMKQLGGKLGSSLESDLGVNTVGDLLKFPEQKLQERYGINTGTWLWNIARGSSGEEVQCRLLPNSHGSGKSFPGPQALRTIASVQHWLTELSEELSERLSSDLDQNRRMAHTLTFHATAYRLSDSDSHKKFPSKSCPLRYGAAKIQEDALNLFKAGLRDYLGSYRANILGDSNNGWRITSLSVSASKIMTIPSGMCSITKYLHVQHSSCTSSEQPQDNDIQETALHSGCTNYSVMDSNEAHDERTGEEMKIEDEHDRLGCTDYSVDLCEAFDKSTGEEKEEKATHRCNLDEEEGERGSWKDEVMDRSCSSKELEKDGIVLETTQLPVVTVSKFCSGSNESEFQIIPIEEQKSKNTRITSPLCMKRNKSKDKGTASILRFFKPDLSSASRNQEVAESMQDNSPSAVPDGHSSELRLSDHGAQGGEIWNYKVDEIDISVIEELPPEIQKELWSWLRPHKRSNTANRGSTIARYFLPSKSS >KGN47695 pep chromosome:ASM407v2:6:17131069:17133739:-1 gene:Csa_6G381850 transcript:KGN47695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGENQAFGISNDVVPQGGSKCFDDDGRLKRTGTVWTASAHIITAVIGSGVLSLAWATAQLGWVAGPAVMFLFSMVTYYTSVLLSACYRSGDPVSGKRNYTYMDAVQANLGGWNVKLCGVVQYANIVGVAIGYTIASAISMMAIKRSNCFHASGGKDPCQINSNPYMIAFGVVEIVFSQIKDFDQLWWLSIVASVMSFTYSTIGLGLGVAQIAANGKIGGSLTGISIGTVTQTQKVWRSFQALGDIAFAYSYSIILIEIQDTLKSPPSEAKTMKKATLVSVSVTTLFYMLCGAAGYAAFGDMAPGNLLTGFGFYNPYWLLDIANAAIVIHLVGAYQVYCQPLFAFVEKYASEKSPDSDFITKDIDVPIPGFRPYKLNLFRLVWRTAFVIVTTVISMLLPFFNDVVGFLGALGFWPLTVYYPVEMYIAQKKIPKWSSRWLCLQTLSFACLMISIAAAAGSIAGVVLDLKSYKPFKTSF >KGN49134 pep chromosome:ASM407v2:6:26773122:26779218:-1 gene:Csa_6G514940 transcript:KGN49134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSFPPRRFSTASANNSNELRRSSAFLAVKPPYSSSFPRILLYSSSPFKLLSLEYRQRQLISPLYSSSPSPLTLQPFLCLEMARLQVGYLVPLDKNLEVDNSGLKIRLSEGPNSIGRSNVLVSEKRISRKHITLTTSTDGSAKLLVEGTNPVVINSGDGRKKLGPRESVIIRDGDVIELIPGHYPFKYASHCFNSRPGSEDLGQKRVRQVAHDKISERVAKRAEMGSPLENMQSGSSQSKEANSVEAIRNFHIPDDRLPMTFRLLSVKGLPPWANTSCVRITDIIQGDILFAVLSNYMVDIDWLIPACPALAKVPQVLVIHGEGDGTLDNMKRKKPANWILHKPPLPISFGTHHSKAIFLVYPRGIRMVVHTANLIYVDWNNKSQGLWMQDFPWKDQNSSSRGCAFEDDLVDYLSALKWPEFPASFPGHGNFNINPYFFRKFDYSKAAVRLIASVPGYHTGRYLKKWGHMKLRSVLQECIFDKEFQRSPLVYQFSSLGSLNEKWMAEFAASLSSGFTPDKTPLGLGEPLIVWPTVEDVRCSLEGYAAGSAVPSPLKNVEKGFLTKYWAKWNSFHSGRCHAMPHIKTFARYNGQKLAWLVLTSSNLSQAAWGALQKNNSQLMIRSYELGVLFLPQKRNDYSFSCTKNGGSAQNKSTVSRPSETLEGKTELVTLAWQENKKRESLSEVIQLPIPYELPPQPYGPEDVPWSWERRYTQKDVHGAVWPRQF >KGN49322 pep chromosome:ASM407v2:6:27696155:27696827:1 gene:Csa_6G519730 transcript:KGN49322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIPELPFSPSNPKVQRVSKSTSDRLLQKFFDATEFGFDYERSGLWSPPLQRTVYLTSSGQVLNQTDLHTKLQTVLNSRSRTHKSCFKFRVFWCYVKQI >KGN46968 pep chromosome:ASM407v2:6:10889730:10889924:1 gene:Csa_6G154000 transcript:KGN46968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIKRGIAQHSRFCSRELAGNGRKVMESGDGGATAGYVEERDGDAAYSHILHLYLYHVMVEEEE >KGN45962 pep chromosome:ASM407v2:6:2803186:2804062:1 gene:Csa_6G039530 transcript:KGN45962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGVVLRKQRKDENFEHRKHEKMSKENQWFQKKTAKTPLLHGERLTILRLKWKKKKEEELTRSKGRSEMV >KGN49312 pep chromosome:ASM407v2:6:27642609:27644706:-1 gene:Csa_6G519640 transcript:KGN49312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRPDPDKKTETTRKDMPALLLGRYEIGKLLGHGTFAKVYHARNIKTNDSVAIKVIDKEKILKGGLIAHIKREISILRRVRHPNIVQLFEVMATKAKIYFVMEYVRGGELFKKVSKGRLKEEVARKYFQQLISAVAFCHARGVYHRDLKPENLLLDENGNLKVSDFGLSAVSDQIRQDGLFHTFCGTPAYVAPEVLARKGYEAAKVDIWSCGVILFVLMAGYLPFHDQNIMAMYKKIYKGEFRCPRWFSPELIRLLTRLLDTNPETRFTIPEIMENRWFKKGYKHIKFYIEDDKVCSIEDDTDDVDSLSDQSQSESDSEIIETRRKVTSLPRPASLNAFDIISFSPGFDLSGLFEDGGEEARFVSSAPVSKIISKLEEIAKLVSFTVRKKDCRVSLEGSREGVKGPLTIAAEVFELTPKLVMVEVKRKGGDKEEYEQFCNNELKPALLNLKVEDSGDPSHIPSDTE >KGN46434 pep chromosome:ASM407v2:6:6366535:6367582:1 gene:Csa_6G092560 transcript:KGN46434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSREKVKNKGLILKTWERCKSMGRGQRNSPSSTGIKRFLTRKTKSLPRLEVFSGGEDEDEKERRRSRKRRVAPEGCFTVYVGAERQRFVIKTECANHPLFRSLLEEAEAEYGYNCQAPLSLPCDVESFYSVLMEMDDDSAGDLRRGCGYPTPKRFGYNLLSPRSSSLVVN >KGN47684 pep chromosome:ASM407v2:6:16961104:16961817:-1 gene:Csa_6G376250 transcript:KGN47684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPISSKDLHRIFKKLDKNCDGLICLQELNWLLDSIGIQLTMEELESFLERPSLDFDEFLFFYESISKQNKGECKGGVAGCVQDNDNDQGQDDMEIVYLAFKVFDMNGDGFISCDELENVLVKLELWDASRSDVDYCRSMIRAYDTNLDGKLDFEEFKNMMLLTT >KGN47798 pep chromosome:ASM407v2:6:18146229:18146790:-1 gene:Csa_6G404130 transcript:KGN47798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVKEESDGSIWEGYVDWRKRPAAKGRHGGMIAAGFVLGVEVLENLAFLANASNLVMYLRKYMGFSPAKSANHVTTFMGTAFLLALLGGFLSDAIFTTYYVFIFSSFIEFLVRLI >KGN47400 pep chromosome:ASM407v2:6:14855599:14855954:-1 gene:Csa_6G312030 transcript:KGN47400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKGNRERGGVLKSPCRSDTYTAAPTAWNTHSHLHGGSSSVSNGHDEPEVKRQRRIAKYKAYAVESKLKSSLRSGIRWVKIKCNELLQR >KGN45875 pep chromosome:ASM407v2:6:1895777:1899443:-1 gene:Csa_6G016930 transcript:KGN45875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWPAFKIGGLGFIAISYVSIDYLSHLSPTWHSRLQPALWSLLALAAIFRVPFYKHWSSEFRSAIPFLASMLFMLTTLLFEALSVRFVTAVLGLDWHSDAAPLPDTGQWLLLALNEKLPPAVVEILRARIIGLHHFLMLFIMLAFSVLFDSVKAPGLGLGARYMFTMAIGRLLRAITFVSTILPSARPWCAYSRFRVPPYPHRWAQKYYVPYAEDADTIRQLLNQDIAFADPGQILGDYRPDWGKMSFLLDFLRPTPSEGSSWYNLLKKAGGGCNDLVYSGHMLVAVLTAMAWTEAYGGLSSAVVWFFVIHSAQREIRERHHYSVDCVVAIYVGILLWKMTGFIWSAKDVTRRRKLVKLEKIQNKLVQAAKDADIDEVRELLKEIEVTSQESDNERNRGQSGVLWFFACATVFAALVIVLLAFTWTSDG >KGN45705 pep chromosome:ASM407v2:6:655119:656062:-1 gene:Csa_6G006900 transcript:KGN45705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQRSQEQSILVSPSISDRLLSDKANSNFCFLGWWAVFAPKSKSSGLCWIRLAGFYLLFRTSSANPRLGGITKILRIVLAEQAYYLSCKPIGSFCGLQIK >KGN49431 pep chromosome:ASM407v2:6:28293667:28294040:-1 gene:Csa_6G524680 transcript:KGN49431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTNLISQKFNVLTHQIAIHSNKKHRQSIINEFPLYVHGLSNNRPHTIRSARFSKTIASEAAKKEARTCVMKCFSSELKQSQSRRSYERSTSSVVQKEAWAFLYISQIWGY >KGN48337 pep chromosome:ASM407v2:6:22103746:22104060:-1 gene:Csa_6G483235 transcript:KGN48337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRFPVSKQILPVDPSDRSLIEQCVPLVINHCQSIHQIDVKFEGILHGQCEVGPNGKTYTLRLKLKDKVGDRYVLKIGVIKFFCPLFGPPSLLSFECSKEINV >KGN47824 pep chromosome:ASM407v2:6:18313605:18315488:1 gene:Csa_6G405370 transcript:KGN47824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAISLGLTVSSTSSSSSSSSSSSSSSSYTSLRPCSSTNFNPHKSASAHGVSLLSPPKSASGARVCKASQVFDLFPTLTPEITVREARIEDCWEVAETHCSSFFPEYSFPLDFVLRVDRLVAMLSGLSVPNGCRRICLVAVIGGSENDSFLIGPDDFKIGGFDGKVSLNKGYVAGILTVDTVADFLPRKGPMRQRRTGIAYISNVAVRERFRRKGIAKKLILKAEAEARNWGCRAIALHCDTNNPGATKLYKGQGFKSIKVPEGANWPQPKTSPDIKYSFMMKLLKNPASI >KGN46015 pep chromosome:ASM407v2:6:3394640:3398829:1 gene:Csa_6G042490 transcript:KGN46015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHAADAQRTDLMTITRFVLNEQSKYPESRGDFTILLSHIVLGCKFVCSAVNKAGLAKLIGLAGETNVQGEEQKKLDVLSNEVFIKALVSSGRTCILVSEEDEEPTYVEPSRRGRYSVVFDPLDGSSNIDCGVSIGTIFGIYLLKDSHEPNLEDVLQPGKNMVAAGYCLYGSSCTLVLSTGTGVNGFTLDPSLGEFILTHPDLKIPSKGKIYSVNEGNAKNWDGPTAKYVEKCKFPTDGSPPKSLRYVGSMVADVHRTLLYGGIFLYPGDKKSPNGKLRVLYEVFPMAFLIEEAGGQAFTGKIRALDLVPKKIHERSAIFLGSYDDVEEIKALYAAVEE >KGN47278 pep chromosome:ASM407v2:6:13775014:13778467:1 gene:Csa_6G271450 transcript:KGN47278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVYSRVCIGEATTPRSSRIAETQNAKTATEIDTISSSSSDSQEGETGDRLNQLNSNNRDSEAGITRLSRVSSQFLPAEGSRTVKVPSGNFELRYSFLSQRGYYPDALDKANQDSFCIHTPFGNSPDDHFFGVFDGHGEYGAQCSQFVKRKLCENLLRNSRFQSDAVEACHAAYLTTNSQLHADILDDSMSGTTAITVLVRGRTIYVANSGDSRAVIAERRGKEVVAVDLSIDQTPFRTDELERVKLCGARVLTLDQIEGLKNPDIQCWGSEEGDDGDPPRLWVPNGMYPGTAFTRSIGDSIAETIGVVATPEIVVLELTQDHPFFVVASDGVFEFLSSRTVVDMVCKYKDPRDACAAIVSYRLWLQFETRTDDITILVVHINGLTNTVTSESTRSGGGGFVPSAIPQVMEVTGSESPSTFGWNRNNRARQDLSRARLRAIESSLENGQVWVPPSPAHRKSWEEEAHIERALHDHFLFRKLTDSQCQVLLDCMQRVEVIPGQIVVEQGGEGDCFYVVGSGEFEVLATQEESHGEVPRVLQHYTAEKLSSFGELALMYNKPLQASVRAVTSGTLWALKREDFRGILISEFSNLSSLKLLRSVDLLSKLTILQLSHIADCLSEVQFSDGELIVDGTEGSCALHIIQKGQVRITFDAELMSNSNVYSFNYVSQKEDGAAQSGSEISAIRKEGSYFGEWALLGERIGFLRAVAVGDVVCAILTKEKFESVVGPIPKLSQDDQKYIAFAFELC >KGN47070 pep chromosome:ASM407v2:6:11927835:11928560:1 gene:Csa_6G184210 transcript:KGN47070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDNENQEDGNSSNSKTPDIKNKNIQQQEQDINLQIGTSTSQEQTRDISLTNEALEGSPKRPRITESDEAESSKKNEQESDCESDDSTFNYIGQDPLLVVEEVILKYYDFIVYLQQILKNDEKKQDWSIDIIDRADTLVMNLGRRLRVVTIDMAGLEAVEGMENEYAFKRASIVNVLNVFLYINTRIASSNSLKLVSDIKNRRKVLPICLREFATRRQELNLLMDEMQILKEVATRIGWSR >KGN48648 pep chromosome:ASM407v2:6:24279150:24280223:1 gene:Csa_6G497000 transcript:KGN48648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCECINNGVIGRRSRIILCPIVSLLFFLILASTQMRFMAEGRFISRNGKTVNNSEDKMVLRGQIGSRPPKCERRCSWCGHCEAIQVPANPQKSGTKNSSTMKNIAYARDEASNYKPMSWKCKCGSLIFNP >KGN48075 pep chromosome:ASM407v2:6:20241720:20244318:-1 gene:Csa_6G428560 transcript:KGN48075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEFCIRSSIVSPLPFPFPSQIIRFQYRNFLQPILVSALQSPLSPPSSSTDPNSYIHINLLTLCSKVQSLLQTKQVHALGILNGFLPRSVSLCASLILNYAKFQHPGSFCSLFNQTFQNCRTAFLWNTLIRAHSIAWNGTFDGFETYNRMVRRGVQLDDHTFPFVLKLCSDSFDICKGMEVHGVVFKLGFDTDVYVGNTLLMLYGNCGFLNDARRLFDEMPERDVVSWNTIIGLLSVNGDYTEARNYYFWMILRSVIKPNLVSVISLLPISAALEDEEMTRRIHCYSVKVGLDSQVTTCNALVDAYGKCGSVKALWQVFNETVEKNEVSWNSIINGLACKGRCWDALNAFRMMIDAGAQPNSVTISSILPVLVELECFKAGKEIHGFSMRMGTETDIFIANSLIDMYAKSGHSTEASTIFHNLDRRNIVSWNAMIANYALNRLPLEAIRFVIQMQETGECPNAVTFTNVLPACARLGFLGPGKEIHAMGVRIGLTSDLFVSNSLIDMYAKCGCLHSARNVFNTSRKDEVSYNILIIGYSETDDCLQSLNLFSEMRLLGKKPDVVSFVGVISACANLAALKQGKEVHGVALRNHLYSHLFVSNSLLDFYTKCGRIDIACRLFNQILFKDVASWNTMILGYGMIGELETAISMFEAMRDDTVQYDLVSYIAVLSACSHGGLVERGWQYFSEMLAQRLEPTEMHYTCMVDLLGRAGFVEEAAKLIQQLPIAPDANIWGALLGACRIYGNVELGRRAAEHLFELKPQHCGYYILLSNIYAETGRWDEANKIRELMKSRGAKKNPGCSWVQIYDQVHAFVAEERVEGFELGDWLAESV >KGN49457 pep chromosome:ASM407v2:6:28490993:28496793:-1 gene:Csa_6G525430 transcript:KGN49457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVIHKDTSNKQMDSSKYVRYTPEQVEALERVYAECPKPSSLRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKESSRLQSVNRKLSAMNKLLMEENDRLQKQVSHLVYENGFMRQQLHSASGTTTDNSCESVVMSGQPQQQQNPNPQHPNRDVNNPAGLLAVAEETLAEFLSKATGTAVDWVQMIGMKPGPDSIGIVAVSRNCSGVAARACGLVSLEPTKVAEILKDRLSWYRDCRCLNVLSVIPTGNGGTIELIYMQTYAPTTLAAARDFWTMRYTTSLEDGSLVVCERSLSSSSGGPAGPPPSTFVRAEMLPSGYLIRACEGGGSIIHIVDHIDLDVWSVPEVLRPLYESSKILAQKITIAALRHIRQIAQETNGEIQCTGGRQPAVLRTFSQKLCRGFNDAVNGFADDGWSPMGSDGVEDVTILINTSANKFSGSQYNTSLYPSFGGGVMCAKASMLLQNVPPALLVRFLREHRSEWADYGVDAYSAASLKASPYAVPCARPGGFPSSQVILPLATTVEHEEFLEVVRLEGLAFSPEDVALAGRDMYLLQLCSGVDENAVGACAQLVFAPIDESFADDAPLLPSGFRVIPLDPKTDEPTAARTLDLASTLEVGANAARSAGETDLSNYNLRSVLTIAFQFTFENHLQENVAAMARQYVRSVVGSVQRVAMAISPSRLSSNMGLKPLPGSPEALTLARWICRSYRVHVGAELLQADSQSGDAMLKQLWHHSDAIMCCSVKTNASAVFTFANQAGLDMLETTLVGLQDIMLDKILDEAGRKILCSEFPKIMQQGFANLPSGICVSSMGRPISYEQAVAWKVLNDDDSNHCLAFMFINWSFV >KGN47732 pep chromosome:ASM407v2:6:17527534:17532393:-1 gene:Csa_6G395140 transcript:KGN47732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAPSSSQPEFDYLFKLLLIGDSGVGKSTLLLRFTSDSFEDLSPTIGVDFKIKHVTVGGKKLKLAIWDTAGQERFRTLTGSYYRGAQGIIMVYDVTRRETFTNLSDIWAKEIDLYSTNQDCIKMLVGNKVDKESERVVSKKEGIDFAREYGCLFLECSAKTRVNVEQCFDELVLKILDTPSLLADGSTGLKKNIFKEKPPETTTSAGGCCSY >KGN47575 pep chromosome:ASM407v2:6:16241127:16260594:-1 gene:Csa_6G361370 transcript:KGN47575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHEPEEENRDGARKRRFSDLEPSVASDQSNFNVAEGFIPRRYQMEVFEVGMRRNTIAVLGTGSGKTMIAVMLIKEIGKAMKSSSDKKLIIFLAPTVHLVHQQFNVIKDHTDFEVAEYYGAMGVDDWNMNCWEKETSERDVLVMTPQILLDALRKAYFRLGTICLMIIDECHRATGNHPYTKIMKEFYHKSDSKPKIFGMTASPVIRKGVSSSVDCECQIADLESILDAQVYAIEDKKEVEIYVPSAKEICIFYEPAMPQTMELKAKIEALWFKFDASLSNSQEAMQGHYKDVDSKLKALKKRLSSDHLKITYCLDELGIMCAYEAIKVLFENVSVPNEESDVYRESFLQYKHFLEEALLVIGESLSLENENVPTLGFDLWKAVELGYISPKLFELLQLFESFGVSRQVLCLIFVERIIAANVIERIVKKVENLSHFTVSYVTGCNASVGALAPKVQKETLELFCHGKLNLLFSTDVVEEGLHVPNCSFVVRFDLPKTVRSYVQSRGRARQNNSQYILLLERGNLKQRALLFDVIRSDRSMTDAAMSRDLDACVLKPFSLMETDCYIVEATGASVTADSSVGLVYQYCKKLPGDKYFSPKPIFQLSYGEGSYECQLTLPPTAALQTIVGPSTRNSNLSKQLVCLEACKKLHQMGALNDHLLPSVEEISESDMGLKSNASTSRAGSTKRKELHGRTAIRALSGTWEEQVEGTTLQAYKFDFSCSVIYEVYSGFVLLIESKLHDDVSNFELELYLLSKMVKASVSFGGEVHLDAEQITKAKCFQELFFNGLFGRLFIGSKTTGKKRDFLLQKDTNPLWISSNMYLLLPVDLPDDSTHDLWKIHWRAIDSCVSVVEFLKKNSSLDAERNYGAGAISDSSPSRNNSTETGSNAASVIHFANCVLDVHSLKDRVVLAIHTGRIYSIVEVVSNTSAESPFDGNSDKGPPDYITFADYFNKRYGISLSFPKQPLLRLKQSHNPHNLLVNFKDEGNTSDKLPSGPAFKRPQMHVHMPPELVLSMDVPKGVLKSSYLLPSLIHRFESLMLASQLRKEINYHSNSLGISSSLILEALTTLGSCENFSLERLELLGDSVLKYAVSCHLFLKFPEKHEGQLSSRRQQVICNATLHALGTKCGLQGYIRDSAFDPRRWVAPGQCTNRPVPCKCGVDTVEVPLHDKFCTEDPKVVLGKCCDKGHRWVVSKTIADCAEALIGAYYVDGGIIAALHVMKWLGIDVNLDVALVVDAITSASLRSCPLGDTEIATLESKIGYDFAVKGLLLEAVTHTSDQEIGVNYCYQRLEFLGDSVLDLLITWHYYQNYSDIDPGELTDLRSASVNNENFAQVAVRRNLQQHLQHCSGLLMSQITEYVKYLSESQDTGKPLHGNKGPKVLGDMVESIAGAILIDTKLNLDEVWKIYKPLLTPFVTPDKLELPPLRELIELCDSLGYFIKDKCTRKGETFHAELRLQLQDSLLIGEGYERTRKAARGEAAHRLLAQLETRGISYSRSGSKKRKQNPSHDESAMVLPSSVNCSTDACDPNVETPVIGPINLKKGGPRSTLFELCKKLQWPMPTFNTVENKSRVQIEIGEGLEKRKGFNSFLSNITLQIPNAGYVECEGEARADKKSSFDSASLVMLQELQQQGRVIINDS >KGN49411 pep chromosome:ASM407v2:6:28177296:28177622:1 gene:Csa_6G524005 transcript:KGN49411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTPFDLWQMLYIPNFFPLYDEKERRVQVILEREIRSTDVEVQQVWWLCSVHIDDDYSERSTLLCAVCSVIKRFLLPFDISQSLVSRGAALSFETFRNCMLSEVKTAG >KGN46043 pep chromosome:ASM407v2:6:3688921:3692134:-1 gene:Csa_6G046210 transcript:KGN46043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHPTRGGVRGGRDQFSWEDVKVDKHRENFLGHSIKAPVGRWQKGKDLHWYARDKKSRSDVDAAKEEIKLIKEQEEQAMREALGLAPKTSGRSHGNRLDKHEFQELVKRGSTAEDLEVGHAEAARVQGLGFMRTPRAWEDSSSLQPDQTGTSAGLEKTDVPDLPARNAKEEEVEDEKNNKKRRREERRERHEERRERHEERRERHEERRERHEERRDRREKHEKYEKRHSRDSNDRKKSSRDKDRRRHDSD >KGN47043 pep chromosome:ASM407v2:6:11723166:11724967:1 gene:Csa_6G180990 transcript:KGN47043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKATYHIASLSLHMIWLLFLSKPCTALEPKITRSFLSILIFGDSTVDTGNNNFISTIFKANYSPYGTDFPGHILNCGQRCENRVGFASAGSGFDELTASVSNVISVMKQIDMFKNYTRRLQGIVGVDESRKILNSALVVISAGTNDVNINFYDLPIRQLQYNISGYQDFVQNRLQSLIKEIYQLGCRTIVVAGLPPVGCLPIQESIAFQKPQDRKCLEEQNSDFKAYNQKLAHLLSNLQPQLPGSTILYGDIYTPLIDMVNNPHNYGFEHVNVGCCGTGMAEAGPLCNSKTSAICENPSKFMFWDSVHPIEAAYNFITESLLKQFVDRLN >KGN49032 pep chromosome:ASM407v2:6:26302439:26303800:-1 gene:Csa_6G510990 transcript:KGN49032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRFKEVTDSESETKIPRPFDLGKRQTLDLGNGSEILYISKFVSSDQAWTWFDFLNQRIPWTRPTICVFGRSVLQPRDTCYVANPGLTTLTYSGYKPHAYTWDDFTPLKDILDAVHEALPGSRFNSLLLNRYKGGNDYVGWHSDDEKVYALNQEIASVSFGCERDFLLKKKPNKTSQRRNDEEPPAKKSKKSSVADRHSFVLKHGSLLVMKGYTQRDWMHSVPKRLKAEATRINLTFRHVIVD >KGN45745 pep chromosome:ASM407v2:6:905265:908378:-1 gene:Csa_6G008760 transcript:KGN45745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADHNRRFGDISAQIVEINLHIGSPDLISPPIQLRHVVKIQLLAKLPSAKPLNPSFFIFPCLEASGLEGEQAEMFGSPGRMSGLVLRCAQWLFAAVSIGFMVTAPGFFNSTAFCYLIASMGLQILWSFGLACLDLHALRMKKSLRNSVLLCLFAIGDWVVSTLSLAAASSSAGVAILYSRDLDYCRSPPYISCSKIEISVAFAFISWFLLGLSSLVTFWLLGTV >KGN47136 pep chromosome:ASM407v2:6:12354622:12360585:1 gene:Csa_6G190270 transcript:KGN47136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFSRLTRSLPRSSRTQNLFYGGGRSAIKTINEPIFAAPRVDSCVGERDGMLGFLRGYFAFSGSRTKLIPKEILSDFNFLIANPKLRRFFSSEAPKKKNYQNFYPKEKKEIPKGNEQKSESKGDSNTEDQGSFQEAFIKQFQNIVTPLIVIGLLFSSFSFGPREQQQISFQEFKNKYLEPGLVDHIVVSNKSVAKVFVRSSPNNRTSEVVQGSSSGTATKGHEAQYKCFFNIGSIDLFEEKLEEAQEALNIDPRDFVPVTYVSETVWYQEFLRFVPTLLILGTIFYMGRQMRRELGVGGGGGGRGGRGIFNIGKPHITKVDKNTKNKIYFKDVAGCDEAKQEIMEFVHFLKNPRKYEELGAKIPKGALLVGPPGTGKTLLAKATAGESGVPFMSISGSDFMEMFVGVGPSRVRNLFQEARQCAPSIIFIDEIDAIGRARGRGGFSGSNDERESTLNQLLVEMDGFGTTSGVVVLAGTNRPDILDKALLRPGRFDRQISIDKPDINGREQIFQIYLKKIKLDHEPSYYSQRLAALTPGFAGADIANVCNEAALIAARSEGTQVKMEDFEAAIDRVIGGLEKKNKVISKLERRTVAYHESGHAVSGWFLEHAEPLLKVTIVPRGTAALGFAQYVPNENLLMTKEQLFDMTCMTLGGRAAEQVLIGKISTGAQNDLEKVTKMTYAQVAVYGFSDKVGLLSFPPREDSFEMSKPYSSKTAAIIDSEVREWVGKAYKRTVELIEEHKEQVAQIAELLLEKEVLHQEDLLRILGERPFKPSEVTNYDRFKQGFVEADEKSVENPPVEAAEDNGSSPLEPQVVPT >KGN46643 pep chromosome:ASM407v2:6:8081703:8084704:-1 gene:Csa_6G117740 transcript:KGN46643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFKSLHFLLSIITLFFFLSISTSEQDPSSPSAIISRFQQYLQINTVQPSPQYYEAADFIISQAKSLSLESHTIEFVEGKPLVILKWPGSNPELPSILLNSHTDVVPAEHKKWTHPPLGAHIDSHGNIYARGSQDMKCVGMQYLEAIRRLKASGFQPLRSVYLSFVPDEEIGGHGGAEKFAESDEFKKLNVAIVLDEGLPSPGENYRVFYGEKSPWWLVIKAVGAPGHGARLYDNTALENLFKSIETVRRFRASQFDLIKAGLKTEGDVVSVNMVFLKSGIPSPTGFVMNLQPSEAEAGFDVRVPPTTNPESLERRIAEEWAPASRNMTFEFKQKESIYNKFGKPALTAIDKSNPRWNLLEEAVRNANGKLANPEIFPASTDARYFRNLGLPAIGFSPMVNTPILLHDHNEFLNQAEYLKGIEVYESIIKAYASYDGDKPMESFKDEL >KGN46847 pep chromosome:ASM407v2:6:10118261:10123158:-1 gene:Csa_6G146430 transcript:KGN46847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKATPTTTTYSPSPTVHKTRPSLFRTDDVNWVRPDGRGFHQCRPAFFRTGAVNAASGSAYAEFGNTKVIVSVFGPRESKKAMMYSDIGRLNCSVSYTTFSTPVRGQGSENKDFSSMLHKSLEGAIILESFPKTTVDVFALVLESGGSDLPVVISCASLALADAGIMLYDLVASVSVSCFGKNLLIDTVLEEENYQDGSLMITCMPSRYEVTQLTITGEWTTSKINEGMQLCLDASCKLVEIMRSCLKESAVTISEE >KGN47513 pep chromosome:ASM407v2:6:15798088:15803272:1 gene:Csa_6G351870 transcript:KGN47513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRWTNTVIRVVVIILSTAIVVASSQALPDCDEWCGDVQIPYPFGVKQGCYLNQTFSITCNKTVSPPKAFLMNTNISVTNISLNGELHILQPIVRDCYEQGIVIGSSVPTVTDLLVPAMFPIADGKNKFIAIGCDTFGLIGGELNGSGYVSGCISMCLNESMIGNDTCLGNGCCELEIPNELMNLKLLVGSFFNHSSVKDFNPCGYAFVVGNEGFEFDSKYIRSFQDVEVEVVVGWAIGNGSNYVCGLNSKRNYSFSNDGHEFRCQCLEGFQGNPYLPQGCQDIDECKDETLNQCKYNSKCVNTIGNYTCKCPKNFKGDGRNEGVGCTRDSKTFIPIIIGVGVGFTVFVIGSTWIFLGYKKWKFIKRKEKFFEENGGFVLQRQLSQWQSPNEMVRVFTQEELEKATKHYDNSTIVGKGGYGTVYKGVLEDGLTVAIKKSKFIDQSQTDQFINEVIVLSQINHRNVVRLLGCCLETQVPLLVYEFITNGTLFEHIHDKTKYSSLSWEARFKIALETAGVLSYLHSSASTPIIHRDIKTTNILLDENYTAKVSDFGTSKLVPMDQTQLSTMVQGTLGYLDPEYLLTSELTEKSDVYSFGIVLLELITGKKAVCFDGPEEERNLAMYVLCAMKEDRLEEVVEKRIMVKEANFEEIKQVAKVAKKCLRIKGEERPNMKEVAIELEGVRLMQVEHSWVNNNNLSNTEEMVCFLDVEASDSNHFALSGTMHTVGDNMKARTILSNIRHGR >KGN47617 pep chromosome:ASM407v2:6:16530231:16533654:-1 gene:Csa_6G365180 transcript:KGN47617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIASISMLRHHTAAIAATSSIPVFGNVLRRVFNFRCFSIVAKQHNPNNVSNKPPPSKNLLRAKHTFKDYSSLAPVLSPQDNPPLSESQAIGTVAAAQANFMRVIVQTLPSQFSQSPNDDLERSGSLEDDSSKSSMLGVELLCVVKAVLKKIKRRVLVGDKVVVGSIDWVDRRGMIENVFQRSSEILDPPVANVDHLLVLFSMDQPRLEPFTLTRFLVEAESTGIPLTLGLNKLELVDEETLVSWKSRLRSWGYDPLFCSVQTGAGLDSLAFILRDQTTVIVGPSGVGKSSLINALRNSNRATDASDTDNWFDPILGSKWFEEQRVAEVSTRSGRGKHTTRHVSLLPLSGGGYLADTPGFNQPSLMKITKQSLAQAFPEIQKMLHASEPAKCSFNDCLHLGEPGCVIKGDWERYQYYFQLLDEIRIREEFQLRTFGTKREGDVRIKVGEMGVKQAEPRLELKKHRRQSRKRVNQSILDELDELEDEDDLEETNPILRAMKNENQ >KGN46259 pep chromosome:ASM407v2:6:5277870:5282805:-1 gene:Csa_6G078540 transcript:KGN46259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFKGGVGRKKSSSPSMEVAVGSIQDAMDSGEVHGGNSDYANVNINNKPINGSEPSNSHLTETHQDQNLEEVDDDDGEGDEEDEQDGDEAAFASQRTNLDDGFYEIEAIRRKRVRKGQLQYLIKWRGWPETANTWEPLENLHTCSDFIEAFEQSLMTGKQRKRKRKHGVVHTQTKKRQHQQRGSFSAYNVTDVEISVVDQRLPSAPLNMSSLTNPHAHSQSLVYNHEGEKNGDVTAIERGKQTDIDNMGRKATQRSEWKKDEHEYDPKLSELKATVLTNIAITDKHVINFQDSRATENNGSAAGLSKGAFVEPVTDNRCTGARRRKSGSVRRFRHDSTLSALPRSQNAELTLAVVESGARVEPIGVENSGYHGESLSRNNKTDDARNEMSITKIIKPLGYSASVSNNMQDVLVTFVAMRSDGTEVVVDNKFLKAINPLLLINFYEQHLRYTTRS >KGN49394 pep chromosome:ASM407v2:6:28053975:28054364:1 gene:Csa_6G523365 transcript:KGN49394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNVSIPELTHNYEELTDSFVQSLLPISFFFNQKRRNREGLGNSSRSTEGDLTRVLLVNINFRNGNPKEKLLALNSSETAEASLLDEGRDLRVVQDSASNGGEPVLFFLLLLEPNETGGPIVADLKNIV >KGN48710 pep chromosome:ASM407v2:6:24650285:24651088:1 gene:Csa_6G499060 transcript:KGN48710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSIQCYPTSGTMHHRMYPQAQPPVINPYSSPIPSFARKEPKFNAAVKHRLAEQNRRNRISGQYATLRAILPSLSKTDKSKLKKAFVLSETIRGVKELKKLVSEKRVASREFRDCGIPSGADRLSLEQCDGGEGMVKAVMSCEDRQDIMAELAKALKTMKVKLVKAEMVTVGGRNKFSLWIQGPKEGHSGLKRVLEAVMRRPSWIARKPRNVWQSRASTDSNFNGVTS >KGN48557 pep chromosome:ASM407v2:6:23652605:23654197:1 gene:Csa_6G491690 transcript:KGN48557 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose responsive element binding protein MALTRKDMDRIKGPWSPEEDDALQRLVQKHGPRNWSLISKSIPGRSGKSCRLRWCNQLSPQVEHRPFSPEEDETIIRAHANFGNRWATIARLLTGRTDNAVKNHWNSTLKRKCSLMMNEGYEVDPNVQPMKKSVSAGAAVNASNGLYMSPGSPSGSDISDSSVPVVSPTVYRPVARTGGVIPPGESAPSSATDPPTSLSLSLPGVDSSRHSGSGSTAQVPLMAAFAQIQSMTTTEQVRTAQPSGGAGEKINGFGVFSADLMAVMQEMIKSEVKSYMEGLSEQRGRRCFQEAKAGGIKNVSF >KGN49239 pep chromosome:ASM407v2:6:27284755:27286747:1 gene:Csa_6G517960 transcript:KGN49239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSEDQGWEDMIVDDDDDDNDNEEIGFVDNERRRRSGLTSARGGGGGGRSTVARCQADGCNADLTGAKPYHRRHKVCEFHSRAAVVILAGLEQRFCQQCSRFHALSEFDDTKRSCRMRLAGHNERRRKILPDFHGQSSTN >KGN46193 pep chromosome:ASM407v2:6:4872126:4874827:-1 gene:Csa_6G072990 transcript:KGN46193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSVIGKSQAKIAGADFGNRELGFFNSKVDSKIFGSKSKICFLRSSRCERSRIRLSTKAVQREPVQSQSPNVGRRSKSLEGVKLYVGLPLDAVSTCNAINHSRAIAAGLKALKLLGVEGVELPVWWGIVEKETMGKYDWSGYLTLAEMVQNAGLKLHVSLCFHGSNQPRIPLPEWVSKIGESDPNIYFTDRYRQQYKDRISLSVDNLPVLNEKTPIQVYHEFCESFKSSFSNLLGSTISGISMSLGPDGELRYPSQRQLKSHGAGEFQCYDKNMLSLLKQYAEARGNPLYGLGGPHDASSYDEMPNSNNFFKDNGGSWESHYGDFFLSWYSSELIAHGDRLLSLASSVFGNTEATIHGKVPLMHSWYKTRSHPSELTAGFYNTANRDGYDAVAEMFARNSSKMILPGMDLSDQHHPQELLSSPESLIAQIKSSSRKHGVMLSGQNSSNMGPHGGFDLIKKNLDGENVELFTYQRMGAYFFSPEHFPSFAEFVRSVHQPELHSDDLPVEDEEEDIAETPCPSPMSKAQMQAA >KGN49224 pep chromosome:ASM407v2:6:27197552:27200516:-1 gene:Csa_6G517320 transcript:KGN49224 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rnf5 MAFEQYFAQGWKHVSGTERENYKGCFDCNICLDFAHEPVVTLCGHLYCWPCIYKWLHVQSASLAIDEHPQCPVCKADISHTTMVPLYGRGQTAEEVEPEDKAMHHDINIPPRPSACGNQILATPSTHQQQLPYRNPYQRPNHDPLLFANYEEDSASPLLNLARTSFSSFHHPVVGMIGDFVHARVFGNSDNLYSYRNSYQLTGSSRNRLRRQEMQVDKSLNRISIFLFCCVILCVLVF >KGN47662 pep chromosome:ASM407v2:6:16821966:16824168:1 gene:Csa_6G367090 transcript:KGN47662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKILERYERYSFVGRQQNAASESEFSYENWTLEYYRLKSKVELLQRNNSHYMGEDLDSLSVKELQNLEQQIDTALKHVRTRKNQLMFESITDLQKKVRNIEENNVQLAKQIKEKEKSVALAQQAEWEHQQQQGYNALSFLFPPPPHPSLNIGLASFDGHQ >KGN48209 pep chromosome:ASM407v2:6:21141417:21142146:1 gene:Csa_6G448180 transcript:KGN48209 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2B MAPRAEKKPAEKKPAEKAPAGEKKPRAEKKISKDASSSDKKKKRTKKSVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQESSRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >KGN49145 pep chromosome:ASM407v2:6:26814286:26814534:1 gene:Csa_6G516530 transcript:KGN49145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGDCDKCVGEGLVLISEARMEARIFRGTDTLLLALHGNLKVKGTLGSDLRM >KGN48401 pep chromosome:ASM407v2:6:22579074:22585071:-1 gene:Csa_6G486740 transcript:KGN48401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEVIINARWRACDKENNLNFIDEYAYLTWNIFGVDKAESFGVIETPSTASDYYEDKCNCLPVSFLLYQAATTLAVATLKFDCSILKGMAEEKESTSVPLSQVDNGGQDPEDPVKSPPSSPNSSTRKHRKKQHQAITSRTRACMSFVIVVLFPVAVTFFVTWWFIQFVDGFFSPLYERLGVDIFGLGFITSLLFVFFVGIFVSSWLGSTLFWLGEWFIQRMPFVRHLYSASKQISAAISPDQNTTAFKEVAIIRHPRVGEYAFGFITSTVTLQRESEDEELCSVFVPTNHLYIGDIFLVNSKDIIRPNLSIREGIEIIVSGGMTMPQIITPLERVDRQGDRITLNRIK >KGN46709 pep chromosome:ASM407v2:6:8647952:8648137:1 gene:Csa_6G124240 transcript:KGN46709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNEHQDTSGVMNRARRKDSSLAIDDECCRDQERKKKTRRTPNFSFSLREKNGENGKSLLV >KGN47981 pep chromosome:ASM407v2:6:19508998:19510700:-1 gene:Csa_6G421750 transcript:KGN47981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSKAGPTRANPSWSGSWLCVPFAAGLRYRPPNHEPPSGPTQMAFDIVRHSPPTSNIENRRSDLRFQPLQSPFSRPLDLIHPHPPSFFFEFHLHILSHFLNYFLRFFFSGHLFRLTHYLSLVCAASLKNYWRRDGKNHKHARVDSIEPVSQEVSGFHRVPFFRRWCDIEVL >KGN48331 pep chromosome:ASM407v2:6:22041829:22047062:-1 gene:Csa_6G476710 transcript:KGN48331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVTDASSSNAFSFISKGWREVRDSADADLQLIKDRANSFKNLATSFDREIENFFNSASSFSVPAIGSGSSPPAEIEFVKKLQPKISEIRRVYSSPDFSKTVLEKWKPRTRIRIDLSAIKNAIVSEVEDGDRVIDGDGVRKWNRVRFREFWGESRGENESEDVHVNRDWEPIQALKTRLREFEKRSSSAEMFEGFKNGDFVEKVKSSLRSICKDPEDSKEVPPLDVPELLASLVRQSGSFLDQIGIRTDVCDKIVENLCSKRKNQLLWGSSTGETSVIENDNINDELDARIASVLESTGHCYDGGFWTSQGKHIPSDGKRHVAIVTTASLPWMTGTAVNPLFRAAYLAQSAKQSVTLLVPWLSMSDQELVYPNHLTFSSPEEQETYIRKWLEERIGFKPDFKISFYPGKFSKERRSIIPAGDTSQFIPSKDADIAILEEPEHLNWYHHGRRWTDKFNHVVGVVHTNYLEYIKREKNGALQAFLVKHINNWVIRAYCHKVLRLSAATQDLPKSVICNVHGVNPKFLKIGEKVDEDRKLGNIAFSKGAYFLGKMVWAKGYRELIDLLAEHKHDLDGFNLDVFGNGEDAHEVQSAAKKLELNVNFLRGRDHADDSLHGYKVFINPSVSDVLCTATAEALAMGKFVVCADHPSNDFFRSFPNCLTYKSSEDFVAKVKEALENEPRPLTPEERYNLSWEAATQRFLEYSDLNKVLNSDKELESNTNRKVIRKSISTPSLTEVVDGGLAFAHYCLTGNELLRLCTGAIPGTRDYDGQHCKDLHLLPPQVENPIYTW >KGN47711 pep chromosome:ASM407v2:6:17296830:17309967:1 gene:Csa_6G382990 transcript:KGN47711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTSISRSQRPSTISPFRSRKSPALSPASRPNGRPTTPSSTASSRPPSKFSVSPVTTASCTPSPSTPALDRLDVMKAKENVTVTVRFRPLSVRELNKGDEIAWYADGEYTVRNEFNSSIAYGFDRVFGPATTTRHVYDVAAHQVVAGAMNGINGTVFAYGVTSSGKTHTMHGEQKSPGVIPLAVKDVFGIIQETPERQFLLRVSYLEIYNEVINDLLDPTGQNLRVREDAQGTYVEGIKEEVVLSPAHALSLIASGEEHRHVGSNNFNLLSSRSHTIFTLTIESSPHGEHHGEEDVSLSQLHLIDLAGSESSKTETTGLRRKEGSYINKSLLTLGTVISKLTDEKATHIPYRDSKLTRLLQSSLSGHGRISLICTVTPASSNSEETHNTLKFAHRSKRVEIKASQNKIIDEKSLIKKYQREISSLKQELQQLRRGIMENPSTTALSTQEDLVNLKLQLEADQVKLQSRLEEEEEAKAALMGRIQRLTKLILVSTKNALPSSVAEKPGQRRRHSFGEDELAYLPDRKRDYLNDDDGGSCASGISVDGRDDVVNLDELVKDMRSNKKRGMLGWFKIRKPENAIGPSSTTDTGSSMGDSPASCSKASQNRMTHDELKNGRRKSICRKGDDSSTIYSSQERTQAGDLFGATMNGYRLPPTGTTLTDQMDLLCEQVKMLAGEVALSTSSLKRLSEQAARNPEDSQIKEHVQKLKDEISEKKLQIRVLEQRMIGSVEMSPQMSSSIELSQALSKLTAQLNEKIFELEIKSADNRILQEQLQMKAAENAELQEEILKLQQESSCQNHSSNSQKNEDDEASQHLPNYSIRTKVEVRHKYSPWEDKYPEENTPTSVMSLNRVLTMDDSKACNSDKFCHSQVMQAELENLKQEKVRLIEEKEGLEIQSRKLSEEASYAKELASAAAIELQNLAEEVTKLSYENAKLASDRTNAKDSYCRSCCAQRFYDSKHAIGNARHQREAALEKAIFDRDQREAELYRRLEEAKRHEEDMENELANMWGLFAKMRKSELNIEDMSFEGVRPSYLLQGRAKNGYISSNGISNRPLEDDVVFADEMRAGNKKERIRCRDVESFVSQMKV >KGN46032 pep chromosome:ASM407v2:6:3613457:3623130:-1 gene:Csa_6G045110 transcript:KGN46032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMPTSSSTFIASSYTSPSPFPCRSRFFEPEFVAHVPHRCFGGGARLAFLLKWNILSASTNGLNAVSPASLMFDQDSVPMPIVLIDQDSDSNATIVEVSFGDRLGALIDTMRALKDLGLDVAKGTVSTEGSVKQTKFYLTRLDSGRKVEDPDLLESIRLTIINNLLKYHPESSQQLAMGEAFGIQPPEKKLDVDIATHVHVKADGPKRSLLYLETADRPGLLLEVIKMLADINIDVESAEIDTEGLVAKDKFHVSYGGAALNSSLSQVVVNCLRYYLRRPETDIDSY >KGN46466 pep chromosome:ASM407v2:6:6593366:6599315:-1 gene:Csa_6G095330 transcript:KGN46466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNWFINGGVMETKAGLLGGGGKIWLQLWETVIGNCCKMYHQYYQYIGSKKVKKTWWRRLLVAWVLSSILASLWIFHYMSSQATEKRKEALGNQNFLTTRRCAEKERTFARYTERTAFERPLTSGVAYAVRVLHSDRERFEKQQGWTIKRMDKIEQSPVHEDDYAPEDLEPSPTQDEYAPVIFAQDTISHVVSLDMLSGVEDRNNVLRARASGKGVLTAPFKLIKTNRLGVILTFAVYKRDLPSNATPNERIQATDGYLGGVFDIESLVEKLLQQLASNQTILVNVYDTTNQSHPISMYGKDVSEDGLQHVSPLNFGDPDRKHEMRCRFKQKQPWPWLAMTTSIGILIIALLLGYIFHATLNRIAKVEDDYHEMMVLKKRAEDADIAKSQFLATVSHEIRTPMNGVLGMLHLLMDTDLDVTQQDYVKTAQDSGKALVSLINEVLDQAKIESGKLELEAIPFNLRADLDDILSLFSGKSQEKGLELAVYVSDSVPETLVGDPGRFRQIITNLVGNSIKFTEKGHIFVTVNLVKEVIESIDLEIESSKNSTLSGYPVANRRLSWAGFRTFSQEGSTACHFMTSPPDLINLMVSVEDTGVGIPLEAQSRIFTPFMQVRPSISRTHGGTGIGLSISKCLVGLMKGEIGFVSVPKIGSTFTFTAVFTNCSNSSEYNNTQQIKNTSISATSEFKGMRALVVDHQPIRAKVSRYHIQRLAINVEVLSDLNQCLSTTTISGSTVNMIFVEQKLWDQNVSTSDHFIKNLRNSYAVPPKLFLLTSSISSSKASTTVSDVFTPTVILKPLRAGMLAASLHRVMNVGIKGNPRNGELPVLSLRNLLLGRKILVIDDNKVNRIVAAGALQRYGADVVCENSGRDAIQLLTPPHHFDACFMDIQMPEMDGFEATRRIREIEHRINDGIQVGELSKEAYENTCYWRVPILAMTADVIQATHEECLRCGMDGYVSKPFEVERLYREVSQFFHSTSNGTL >KGN47264 pep chromosome:ASM407v2:6:13549989:13554493:1 gene:Csa_6G238650 transcript:KGN47264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNLLVPTTAMPPSSILSSSSSISKSKTSTNPSPSNSLLCKHSPSATLDLLILILVLFSGTFLVTSYFSYIFNSLSILLSHSAPQLPQFPFTFIAGFLLFFCVTIGVFEICCGARSRKCAKPGCKGLKKAMEFDLQLQTEECVKSGSKEIDKLPWKGGSEANPDYECLRSELRKMAPPNGRAVLLFRARCGCPIAKLEGWGTKRGRRHKNGKLCKQVSGARLAYPK >KGN47213 pep chromosome:ASM407v2:6:12885433:12886307:1 gene:Csa_6G211850 transcript:KGN47213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKSKEGNEERTVYEQRDQMANRIIELEAKLHRMKKDECAKGDEEEQDPRMCSKGTPSIEGVSDDETEDVASDSVPDKAADVVTEVNKEENVGDTRSSDLKVGTLCMLAFETEDTIIAHGTIFDAEGDGENIKVSVDVVLDGKGVIPKPTKEGVTKLTHEIGSHLMWPRHLVLTRNDKSYSV >KGN47049 pep chromosome:ASM407v2:6:11773719:11776714:1 gene:Csa_6G181540 transcript:KGN47049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPTLSLILFFFFLFLNLSALTFSPVSALGFNWGTSASHPLSPFKVVQLLNSNNITKIKLLDPNPLVLQALSASTLQLTIAIPNPMLKLLNSSRKAAESWVHDNVTRYLSGGTGGVRIEYIAVGDEPFLLSYGDEYYPYVMGAVANIQAAITKVNLESRIKVVVPCSYDAFLSESGLPSKGHFRPELNKTMIQLLTFLTAHRSPFFASISPFLSFLQNKNISLDFALFKETARPHNDSHRTYKNSFELSYDTLVASLSKIGFSTVDIVVEQVGWPTDGADNANSSTAETFMKGLLDYLHSRSGSPLRPRRPPLETYILSLLDEDRRNISTGPFERHWGVFTFDGQAKYHLNFGQRTKNLVNAQNVEYLSPKWCVLNNNKDLSNASARALEACSVADCTALAPGASCSNIGWPGNISYAFNSYYQQNDQRPESCDFQGLALITTIDPSNNNCRFPVQIRTSNSQSLDASFLLKAIPLSAVFWLSQIVYLQ >KGN46002 pep chromosome:ASM407v2:6:3282170:3283254:-1 gene:Csa_6G042370 transcript:KGN46002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSHSLPSLRHSLRHPHRPNLSPTSFSSSSTLFLKPTSSTAVTTTTTTTAATSSLSAAAAGSFSLSSDTSSAISFDELDRLLGAKDFRQADEETRRLLIALAGEGALKRGYVYFSEVQFIAAEDLKAIDDLWQKHSDGKFGYSVQKRIFEKVNKDFTKLFMKLGWMKKLDTEIEQYNYRAFPTEFIWELTEDTPEGHLPLTNALRGTRLMSNILNHPAFGEEAIEEKGEEKIAGVVENGGLKKGLKSITERLFKRDYSF >KGN46036 pep chromosome:ASM407v2:6:3651763:3655297:1 gene:Csa_6G045150 transcript:KGN46036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKPGLSSLIGLKAVALFSLFLFFRFYGFRLLSFQFLYASLVSFLVSVASLPSINLPLLLGKKSDGTFPIWSVIIFGPFLYFVRYLPSLRGLYRKDDPYSEICDGLFVGGWPCSPDRLPPCNPAIVDCTCELPRCLELSGAGYLCVPTWDTRSPQPREIELAVRWICRKREQKKPVFIHCAYGHGRSVAVTCAALVALGEAEDWKDAEKITKEKRPCIRMNSSHRKALEEWSKHRLSAPKKRQ >KGN49020 pep chromosome:ASM407v2:6:26254457:26257878:-1 gene:Csa_6G510870 transcript:KGN49020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEKGEKGFSVQKRPAKSSLKSSALKDASLKGKDDSLSKLKKGRKVQFDAQGSVDATNTFSMKYSGKNGDLGKGGKGANTKASIAKEPQALELKIEQELPKNVKCQCLMDCEAAQLLQGIQDQMVFLSADPTIKIPTSFDRGLQYAKRANHYVNAESVRPVLETLKKYGVTDSEICVIANVCPDTTDEVFALLPSLKRKRSKLSEPINNVLSELAKVKSS >KGN46028 pep chromosome:ASM407v2:6:3586965:3591087:-1 gene:Csa_6G045070 transcript:KGN46028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSGMRRTRVFGLVKGSDGARVLRSGRRLWPESGEVKLKKSKDASDWYPIIDGRGNGGGSGHGRLHGKWTQVRNVKPKRVVVVNIREDDDACVVKVPEPVKVFPRIGNDDKSSGVDRMFGKVYSRKRKRGRLEDGEVFDEMESDNVLSGDRMFGLRFIRRQRSRKTDVEHWESTAGGRTSNLHFHRQRILHPRDCALTIFAGSSVDGGCFSDFILTVLRHFKSPGLSVAKFSAFLLSNPINEVFALKGMRFLQGYPPTGCCGMFAIFGSRQSIPMFHLDFSAIPLPFMFLYSEMFLRVTRIQARLVYNNNQLDVDISSDSEEDSVEELHVPSPVSSLERKPMAFLFDRPKTRSVSHPSVRATRLGTRTMQYRNGFSSRGIRKRRSSLRIRRPRSHSLAAMQKSIGPLAVDDVKLGVSFPSGASCNRHKSSAVRDSAGRIRETNSTALGSAMDVDSSCCKANILIVEADKCLREEGANIVLEFSASCEWLLVVKKDGSTRYTHKAERVMKPSSCNRFTHAILWSIDNGWKLEFPNRRDWFIFKDLYKECSDRNIPCLIAKAIPVPRVSEVPDYVDSSGASFQRPDTYISVNDDEVCRAMTKSTANYDMDSEDEEWLIEFNDGLIATDKHQECFSEDNFESMVDAFEKGFYCNPDAFSDEKAPADICTPLASPSIVESLYTYWTKKRKQRKSSLIRVFQAYQSKRKPPLVPKPMMRRKRSLKRQPSQSGSGRTPQPSILEAILWRRDAVEDQNAMQKYEESKAAVEKCIENAVSKRQRAQLLLENADLAVYKAMSALRIAEAIETSDSPEAAATAAACFLE >KGN46407 pep chromosome:ASM407v2:6:6150153:6151464:1 gene:Csa_6G091310 transcript:KGN46407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKSAREKREIEAMASKAKFFLILSLLSVSVRHSISEDPVPTPWPLQFHSVLLMNYSGIPQIINLWYDWPNGRNFNIIQHQLGNVLYDLEWNNGTSFFYTLDSTKTCSPAQLEVGILRPNWLDGAKYLGQRHVDGFLCNVWEKVDFIWYYEDVETKRPVHWLFYTGRQAHVMTFEVGAVLEDEKWQAPVYCFDGTGTTVNDVALHQNLPLMTGVNNRLLHQNYPAI >KGN47776 pep chromosome:ASM407v2:6:17957167:17959921:-1 gene:Csa_6G401460 transcript:KGN47776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLIPGILLKLLQSMNSNVKVRGEYRSVLLQVISIVPALNGSELWPNQGFFVKVSDSSHSTYVSLSRPDNELILNNKLQLGQFFYVDKMEPGTPVPVLVGIRPVPGRQPCIGTPKDLMQMMEPSENPVQAYQEGKGGAKPKEMSEGKIDSMRHKVVIKEEKTNVSSRYMQGVLSLSSRSGRFDSSAVGRSNENEDGEAGKKVVVGPVKGKSNDLKGQVQAANLQNRLDEVSSKTEALQLSVKEASASSKSIPAKGSSTKQENLNVNCLPNRRDKTHSSETISWASLPSNLLKTGKGMVRRKNIASMVAIEAQKEAIAAASLIKCLSVFADLCSSASPENPQLPLTKFFTLQQLISETNSKDGVKDKSLLLTANSSLPEANKSTKGSSLFMSKNASSKPAKAPIELRDSEKQEWARGDGAKEITLLRDVLLKESTTWFLNFLEKALDAGFRIGNQDKKGRNNAGQQTEAGNHIAVALSQLKQANEWLDRLKDNLSSGDNGLRESIERLKQKVYSCLLVHVESAASALEGRFDRC >KGN49257 pep chromosome:ASM407v2:6:27372642:27376654:-1 gene:Csa_6G518130 transcript:KGN49257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESHFLSRATSFAGAIPTLRKLHRDVTSNSNHVAFVHTRPIAEGANLIWGRQLRPSLLLDTPHLVSGKRDTIRPTFAAASSSPAGGSDSAGDAKVAPVGFFEKYPALVTGFFFFMWYFLNVIFNILNKKIYNYFPYPYFVSVIHLVVGVVYCLISWAVGLPKRAPIDSTLLKLLIPVAFCHALGHVTSNVSFAAVAVSFTHTIKALEPFFNAAASQFILGQSIPITLWLSLAPVVIGVSMASLTELSFNWTGFISAMISNISFTYRSIYSKKAMTDMDSTNVYAYISIIALLFCIPPAVIVEGPQLLKFGFNDAIAKVGLTKFVLDLFWVGMFYHLYNQLATNTLERVAPLTHAVGNVLKRVFVIGFSIIIFGNKISTQTGIGTCIAIAGVALYSYIKAKMEEEKRRTKVA >KGN46014 pep chromosome:ASM407v2:6:3382794:3382961:1 gene:Csa_6G042480 transcript:KGN46014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAVLATKILHCTDLNLMPAKRLVRRWMLVKAADDRYRDTMALKWKAKAEAEVKE >KGN46802 pep chromosome:ASM407v2:6:9575957:9581101:1 gene:Csa_6G136560 transcript:KGN46802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLKFGSKWVAVATSESVVGRQRHLRDLCCFSNRIQSNGLSSQYQIDFNSPLSSKHSLSLEGLEDVMVGYFFGKKRATEVAHSVWKCIVKKGDTVVDATCGNGYDTLAMVKMVADESGSARVYAMDVQNEALESTSALLDESLSEKEKKLVKLSSICHSRMEDVILEDSPVSLVAFNLGYLPGGNKAITTKSETTFQALKAAHRILKPGGLISLVVYVGHPGGMEELETIEKFSSDLAVENWICCKLQMLNRPLAPVPVFLFKR >KGN47125 pep chromosome:ASM407v2:6:12284490:12285178:-1 gene:Csa_6G188670 transcript:KGN47125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSANQTVVVDFLRGSMENEYKPRLPKPPTRLQKQAPASLHLDQLSSVSMSSASNDICSKAILPLLSPLPLSPQPLPEIDGNRISANGNAVDGGGGNGDQRGIGFVAPGGWQHPAVAATFPDPSTLFTFFQSQCMVSSNTP >KGN45891 pep chromosome:ASM407v2:6:2029001:2029386:1 gene:Csa_6G017070 transcript:KGN45891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNADHMFLISRISQFHVHMVVSEPNSLFRFMPGSVGLSEAWKFKDERRMDIATAGELQKEKPVVKTEVPKLSSVGYGR >KGN47966 pep chromosome:ASM407v2:6:19409830:19412057:-1 gene:Csa_6G421600 transcript:KGN47966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPITVGPPIPPPPSTSSSQALLDLIIQIVSFLLLSSLSVRSFVGRWQVLHSKLSILHSALIEILDSSHWSENPLVHTILPSLLSTLQRLKSLSDQCSDPAFSGGKLHMQSDLDMASASLSSQLNDLDLLLRSGVLYQSNALVLSQPVPGSNKDDTEFFIRDLFTRLQIGGMEFKKKALESLVQLLNQDEKSAGLVAKEGNVGYLVHLLDFNAQPSVRELATSAISVLSTASDESRKRVFEEGGLGPLLRILETGSMHLKEKAAAAVEAITIDSENAWAVSAYGGISVLIDACRSGTPSLQTSAVGAIRNVTAVEDIKASLVEEGVIPVLLQLLVSSTTASQEKAAMSTAVLASSGEYFRTLIIQERGLQRLLHLIHDSASSDTIESALRALSSLAVSDSVARILSSSTLFVMKLGELVKHGNLVLQQIAASLVSNLSISDGNKRAIGSCMGSLVKLMEMPKPAGVQEVAVRALASLLTVRSNRKELMKDEKSVMRLMQMLDPKNEVVGKSFPLAIVTAVLAGGSKGCRKRLLDAGAYQHLQNLTDMNVAGAKKALQRLNGNRLRSIFNRTWRE >KGN49288 pep chromosome:ASM407v2:6:27531101:27534439:-1 gene:Csa_6G518920 transcript:KGN49288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPKIYLFGDSITEFSFADGGWGSALTNHFTRKADVVVRGYSGYNTRWALKVIERVFPPSEEREAASPLAVTVFFGANDACLPDRYGAFQHVPLHEYKQNLISIVSFFKKKWPATRILLITPPPIDEEGRLQNPYVSNPVNEPERTNDAAGAYAKACIAVAKECGVSVIDIWTKMQQVPGWEKACLSDGLHLTRNGNTIVFEEVVKRLEEEGLSPVTLPADLPLISEIDFNNPLQAFEKL >KGN47970 pep chromosome:ASM407v2:6:19437602:19440498:-1 gene:Csa_6G421640 transcript:KGN47970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIDHMSDQTRTSTSNNDHDSDHLHPTLPTPLLSNGTDYNNAGDDLFVPPLNFAVVDTGIFRSGFPDSSNFSFLQTLGLRSIICLCPEPYPEASMDFLNSNGIRLFQFGIEGSKAGSDKVDAYVNLILECMRNLDMSADQMYFLEPFVNIPDYTIREVLKIILDVRNRPVLIHCKRGKHRTGCVVGCFRKVQKWCLSSVFDEYQRFAAAKARVSDQRFIELFDISELKLLPSSFSCSER >KGN46370 pep chromosome:ASM407v2:6:5965935:5967273:-1 gene:Csa_6G087980 transcript:KGN46370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGCGDYTHLSSIFFTNHTILPFSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSFFPCFQFSTTSMASLQSLASTTSPSSPHLLHPSSTKPKLSYSLHSSAFSSFHGRSLSLSTTKCHTLTRRTYRQRSWNPILMVLPKIQFIQGTDEQTIPDVRLTKSRDGTNGMAIFRFEQPSVFDSSAEVGDITGFYMIDEEGMIQSVDVNAKFINGKPAGIEAKYVMRTPREWDRFMRFMERYSNANGMQFVKK >KGN49210 pep chromosome:ASM407v2:6:27112913:27115158:1 gene:Csa_6G517180 transcript:KGN49210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRIHHNFIEVGALKLHVAEIGTGSNVVVFLHGFPEIWYSWRYQMIALADAGFRVLAPDYRGYGLSDSPAEPSKASFSDLISDLLGILDALNIPKVFVVAKDFGAWPAYYFALKHPERALGIVTLGVPFLPPESLKHSQSNIPEGVYTLRWREPGRAEADFGRFDAKTVVRNVYILFSKSEIPTAQENQEVMDLVEPSTPLPPWFTEEDLATYGTLYEKSGFDTALKVPYRSFNEDWGIKDPKVEIPALFIMGEKDYVFKFPEIEEYVRSERVKDFVPNLEIVYLPEGSHFVQEQSPEEVNHLLLTFLAKHIRQ >KGN47007 pep chromosome:ASM407v2:6:11377108:11380429:1 gene:Csa_6G168240 transcript:KGN47007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSPNTFNSIVFSLLFCGLAGGIKRVSALEPGSVNGICKSLVEPHDFACEEHLVITTDGFILSMQRIPSRRTNSANGPPVLLQHGLLMDAATWLMLPPESSLAFVLADKGFDVWLANTRGTKFSQGHSSLGPDDPGFWDWSWDELVAFDLPATLQYVHDHTGQKMHYVGHSLGTLTALAAFSKHQLLDMLRSAALISPIAHLGKVTSPIARNAADNFLGEVLFWLGVKEFDPRGKAGIQLLVEVCAKPGVDCVNLLTSFTGQNCCLNPSVSQIFLTHEPQPTATKNMIHLSQMIRSGTISMYDYVDVIQNIKHYGQPTPPEYNMASIPTDFPLFLTYGGADALSDVNDVQLLLDNLKDHDGDKLVVQFREDYAHADFVMGENAKQAVYDPLIAFFNLQ >KGN46626 pep chromosome:ASM407v2:6:7928410:7935696:-1 gene:Csa_6G115610 transcript:KGN46626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTDGLDETAINWIKKGRDTTLQDETRLRSPLAEKTSPPHLFPKSPLAYNTSGFMSSHALPPLKFHSGLLPLHTLASPSHNYEDDDDDGDYDINESIASVPFEEDGGYSDDDGLGFQDFDDDAFSYQSSVYSGGIKAPGTRSMCSINRGHLKENLRIEVPVNLRRCHDGKLGLRNFPHKFSTPNYGSQKQNQVHFHSARGPQVHASLFEDLAGTPSAPPIADVGGVEDTSTECESQTRRDSEGSSEIDQTANACPLQAPEGLDGCKEVLTDWKAYSPGTTQHFERTSTVAKDSYISNLQANYPDPSSCYSTSGQHAWQTLLAYDACIRLCLQAWERGCTDSPEFLRNGCLILRNAFGLHKFLLQPRLAQPTERGRNTEHSEQVVTLNPKKVVGKIRVEVKKLRLIPKRKLMNTYSQRGSIYMQVGAEYIRNISTLVKNGINSLKEASFTITSEEQLSCLFQLKSATEGSDPESDSAVCLHPGSGDYHVFFPDAPGDTLLLEIQDVKKATHGRTSISVSSLIDNTNDRIRWWPIYHDDQECVGKIQLSIVHTMTSDETNHMKSGPVVETLAYDLVLEAAMRAQHFCSTNLRIDGLWKWLLSEFADYYGVSDSYTRIRYLFHIMNVATPTKDCLELVNELLEPIMKAKGEKSLTRQERSILLDCETQIESLLANAFENYKSLDESSPTGLADLLGPIKDSASPALTPAVKIYTQLHDILSRDAQNMLRSYFQRGAKKRCRKYMVETDEFVSGNSEGLLMDPITISTAYLKMKQLCKNVGDEIQADIKIHNQHILPSSIDLSNITAAIYSTELCNRLRGFLSAWPPSGPLPYINELLVATADFERSLESWNISPVQGGVDSRNLFHSYIMVWVQDMQLSLLDLCKAEKVPWSGVSTHHSTSPFPEEMYEKIRDSLVQYEVVINRWPQYSLILENAVADVERAILKALEKQYNDILTPLKDTIPKRLNMHVQKLTRRQSMATYSVPNQLGMFLNTIKRILDVLHIRVEGILKSWASYMPVVGDKKSLFGEQMNATTVLLRTKYKNYLQATVGKLICNMQANRNTRLKRILEETREEEGEHEVRERMQMLSSQLVDSMSNLHEVFTGPIFVAMCRGLWDRMGQIVLKFLEGRKENRVWYNGSYYALGILDDTFASQMQRLLGNAVQEKDIDPPRSVVEARSILCRDSTNATDTATYLYL >KGN47597 pep chromosome:ASM407v2:6:16425724:16426448:1 gene:Csa_6G363530 transcript:KGN47597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRQNNNGAESVAITIDTTDDTGHEKNKMMNNNNWMREDTDFLMVVTTFIATVAFQIGTNPPGGVWQEDDKQGKYFAGKSIMATKSPSDFIGFLVTITACFVFSAMQFAVLFLKKWPVGAKNWSFSRFILYSTMGLAVSSMGFAYGCSVRAYTPDSEENTLFVISFITMLGFPISLAAISIYLQYFSKSSSS >KGN48691 pep chromosome:ASM407v2:6:24551106:24552727:-1 gene:Csa_6G497900 transcript:KGN48691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVDKNLHIVMFPWLAFGHMIPYLELSKLIAQKGHRVSFVSTPKNIDRLPTQLPPHLSPFLSFVKIPLPQLHNLPPDAEATSDLPYDKVQFLKKAFDALKQPLSDFLQTSDADWILYDFAPYWVGQEIGPNLRIKTAFFTIFILQSLAFVGPMSGDSRMKLEDFTVPPDWIPFPTTVAFRHFEIKKLFDFVAGNTTGVTDIDRLKMSAHYSDLVVVRAFPEFEPEWIQLLEDIHHKTVLPVGQLPTSEYDLKEDNPTWQSIKEWLDKQAKGSVVYVAFGSEAKPNQHELTEIALGLEQSRFSFFWVLRTRLGLSDPEPIELPEGFEERTKGRGVVCTTWAPQLKILGHESVGGFLTHSGWSSVVEAIQSERALVLLSFVADQGIIARVLEEKKMGYCVPRSELDGSFTRDSVAESLKLVMVEEEGKVYRERIREMKDLFVNKERDEKLIDGFLSYLKKHRNNVDEQDH >KGN47451 pep chromosome:ASM407v2:6:15154491:15167540:1 gene:Csa_6G326920 transcript:KGN47451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTAGGFRPIPGPGDGGFGSGFQPPPVVGQKRGYPFAGRGSSPDHSDGSNFAKLFVGSVPRTATEEIIRPLFEEHGNVIEVALIKDKRTGQQQGCCFVKYTTSEEADRAIRNLHNQHTLPGGVGPIQVRYADGERERLGAVEYKLFVGSLNKQASEKEVKEIFSPYGVVEDVYLMRDEMKQSRGCGFVKYSHRDMALAAINALNGIFTMRGCDQPLSVRFADPKKPRPGDSRGTPAFGGPGFGSRFQPPGPRPTPNFGEFMGDRIPIDVRGFRPPIDAGIHPFGGQLPPRSSEMGLPLNLGGLAGGFRGPNQGLVNPASSASQQNFNQSASQHPPPGLQVSPVLKTSQSPKQLPPSNQLHSHALTYSQTQTSPALAQQHNQPQNFNSSGQLPFSQPAPSQESPGLGGQLAVSQSMGASSAAATHTPLSMNLQSHAAAPSQQQLPTPIQPPQNSPSQLAHMLSQQKQTLQARFQSSQQAFSQLQQQLQLIQPPNQSSTLQPSSQTIKQQPHWAGVVTHTAASAASATSDAHTSTVPPAAINTQVVAPVKCNWTEHTSPDGYKYYYNSVTGESKWERPEELSVIEQQQQKPPILQPHNQPHPQLLSTQQLSQTPQAQLQTQFQTQSQMPHPQPLQQPSFHSQEGAYTQMQPANNSINDPTRFHQGFPTTQEWAWKNK >KGN48191 pep chromosome:ASM407v2:6:20987335:20989046:-1 gene:Csa_6G446510 transcript:KGN48191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTFLFTSESVNEGHPDKLCDQVSDAILDACLEQDPESKVACETCSKTNMVMVFGEITTKANVNYEKIVRDTCRGIGFVSADVGLDADNCKVLVNIEQQSPDIAQGVHGHMTKKPEEIGAGDQGHMFGYATDETPELMPLTHVLATKLGAKLTEVRKNKTCPWLRPDGKTQVTVEYKNDNGAMVPVRVHTVLISTQHDETVTNEQIAADLKEHVIKPVIPAKYLDDKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIVRQAAKSIVASGLARRCIVQVSYAIGVPEPLSVFVDTYKTGKIPDKDILALIKENFDFRPGMIAINLDLKRGGNFRYQKTAAYGHFGRDDTDFTWETVKLLKPNA >KGN48730 pep chromosome:ASM407v2:6:24797225:24800375:1 gene:Csa_6G499740 transcript:KGN48730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERYEILKDIGSGNFGVAKLVRDKWSGELYAVKYIERGQKIDEHVQREIMNHRSLKHPNIIRFKEVLLTPTHLAIVMEYAAGGELFERICNAGRFSEDEARYFFQQLISGVSYCHSMQICHRDLKLENTLLDGSSAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLSRREYDGKIADVWSCGVTLYVMLVGAYPFEDPEDPKNFRKTLQRILNVHYSIPHYVRISLECKHLLSRIFVADPETRITIPEIKKHPWFLKNLPVEFTDEGLEGMQSNGENEATQSIEEILSIIQEARKPGEGLIVGGQGQFVGGSLDMDDLDTDLDIDDLEASGDFVCALEC >KGN45614 pep chromosome:ASM407v2:6:74377:75946:-1 gene:Csa_6G000135 transcript:KGN45614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKRLTVKTKNSRPSDEFRIPGLHTTALNLKFPKLINHTSIFKNPKPQTPKPKSQIFPPSLHFPIAALIRSQRKLSLVS >KGN45632 pep chromosome:ASM407v2:6:221691:238355:-1 gene:Csa_6G001780 transcript:KGN45632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTPKEPEHVMKFRGGSVLGKKTILKSDHFPGCQNKRLSPQIDGAPNYRQANSLQVYGVAIPTVLGIQNVLKHVGAQKDGQKAQVLWINLREEPVVYINGRPFVLRDVERPFSNLEYTGIDRARVEQMEARLKEDILIEASRYGNKILVTDEMPDGQMVDQWELVSHDSIKTPLEVYEELQVEGVHVDYERVPVTDEKSPKESDFDILVRKISQVDINTAIIFNCQMGRGRTTTGMVIATLVYLNRVGASGIARTNSIGKVSDCSANVDDNLPNSEEAIRRGEYAVIRSLTRVLEGGAEGKRQVDEVIDKCASMQNLREAIATYRNSILRQADEMKREAALSFFVEYLERYYFLICFAVYIHSERSGLRSTSSSHCSFAEWMKARPELYSIIRRLLRRDPMGALGYATESADGRPSEMGVVAALRNGEVLGSLTVLKSDHCPGCQNQSLPERVDGAPNFREVPGFPVYGVANPTIDGIRSVIRRIGSSEGGRPIFWHNMREEPVIYINGKPFVLREVERPYKNMLEYTGIDRDRVERMEARLKEDILREAERYRGAIMVIHETDNRQIYDTWEHVSSESVQTPFEVFKRLECDGFPIKYARVPITDGKAPKSSDFDALALNIVSASKDTAFVFNCQMGIGRTTTGTVIACLLKLRMDYGRPIKVLLNKKTHEEVDGGTSSDEDSETNAAVSPSIYTVKQKNESRVFGINDILLLWKITRLFDNGMECREALDAIIDRCSALQNIRQAVLQYRKVFNQHVEPRVRRVALNRGAEYLERYFRLIAFAAYLGSEAFDGICGQGERRGMTFKNWLHQRPEVQAMKWSIRLRPGRFFTVPEALRAQHESQHGDAVMEAVVKARCGSVLGKGSILKMYFFPGQRTSSYIQIHGAPHVFKVDGYPVYSMGTPTITGAREMLAYLRTKLEVDASSTLNVTIIDLREEAVVYINDTPFVLRELNKPFDTLKHAGITGPVVEHMEARLKEDILSEIKQSGGRMLLHREEYNPSSNESNVIGYWENIFADDVKTPAEVYAHLKDEGHNVAYKRVPLTREREALASDVDAIQFCKDNSAGCYLFVSHTGFGGAAYAMAILCIRLGGEEDAFASNISQTMINTELSYAYEDILHAQASEEEAFKIGDYRDILSLTRVLMYGPKSKADVDIVIDRCAGAGHLRDDILYYSKELKKFPAYDDEHRAYLMDMGIKALRRYFFLITFRSYLYCTKAEEMKFTSWMKERPELGHLCNNLRIHK >KGN46046 pep chromosome:ASM407v2:6:3698010:3700011:-1 gene:Csa_6G046240 transcript:KGN46046 gene_biotype:protein_coding transcript_biotype:protein_coding description:Syringolide-induced protein 1-3-1B MFHHTRWTTMTQSSTHWTRSEDKLFEEALVVVPANLPDRWQRVADHVPGKSPREVKDHYDALVHDVLEIDSGRVELPSYADESAVGLPEWDSSGQISFGSKAKHGGDNERKKGTPWTEEEHRLFLLGLKKFGKGDWRSISRNVVITRTPTQVASHAQKYFLRQTSGKKERKRSSIHDITTVDNNSIPMPIDPNWISPAPNNPTIQSTMQQLSPTSHGQDQGASLGFQNYRFPM >KGN46336 pep chromosome:ASM407v2:6:5703089:5704555:1 gene:Csa_6G087160 transcript:KGN46336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKERKTLLNPNPFIFLSHSLSRAHLLPAGASPLSVSAPQCRRRLSPIQCCRSLTPLRLHQFSTLFLLLAYSQPKVCWRCLILFIELKLANYGQEKEL >KGN46806 pep chromosome:ASM407v2:6:9658437:9658992:-1 gene:Csa_6G137590 transcript:KGN46806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQLIRRLSRVADSSQSHYCLLRTPDQRPARAHSFRAAAANKFRRSRSEGALPVPQGHVPVYVGDEMERFAVSAELLNHPVFVTLLDKSAQEYGYEQKGVLRIPCHVLLFERVLEAIRIGDPDSRDLHDLLSSLSGDFL >KGN46582 pep chromosome:ASM407v2:6:7440351:7443764:1 gene:Csa_6G109770 transcript:KGN46582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLNPFLSLFTLTLFLSLLFASADDSAVIQKLAAALSPTPSGWSSNTPFCSWKEIRCDSSSRVTSINLASKSLSGVLPSDLNSLSQLTSLSLQRNSLTGPIPSFANLSFLQSLYLDNNNFSSVSPGAFQGLTSLQVLSLTQNVNLAPWSIPTDLTQASSLVSLYAGNANIVGSLPDFFDSFASLQELRLSYNNLTGVLPKSLGGSGIKSLWLNNQLNGLSGSIDLLSSMTQLSQVWLQKNQFTGQIPDFSKCEGLFDLQLRDNQFTGIVPPSLMSLSSLLNVSLDNNKLQGPLPVFDSRVQATFSSVNRFCKTTPDPCDAQVSVLLAVAGAFGYPISLADAWEGNNVCLDWSFVICTEGKVTTVNFGKQHLVGVISPAFANLTSLKNLYLNDNNLVGEIPESLTTLTQLQNLDVSNNNLSGQVPKFATTVRLNTKGNPLIGTSLGPGNGGNDGGAGGGKIDSNGTTIDGTTSGSSNGSSVSAGVIAGVVIAVIVFVAVLLFVVFKCYVSNRHKKFGKVNNPENGKEIMKSDGGSGLNGYAGVPSELQSQSSEDFSNDINVFEGGSVAISIQVLKQVTNNFSEDNVLGRGGFGVVYKGELHDGTKIAVKRMESGPMGTKGMSEFQAEIAVLTKVRHRHLVALLGYCINGNERLLVYEYMPQGTLTQHLFDWQENGYPPLTWKQRITIALDVARGVEYLHSLAQQSFIHRDLKPSNILLSDDMRAKVADFGLVRNAPDGKYSVETRLAGTFGYLAPEYAATGRVTTKVDVYAFGVVLMEIITGRKALDDTMPDERSHLVTWFRRVLIMKENIPKAIDQTLNPDEETMESILKVAELAGHCTAREPHQRPDMGHAVNILGPLVEQWKPSNQHEEETDGIDLHMSLPQALQRWQANEGTSTMMFSDMSYSQTHTSIPSKPSGFADTFDSMDCR >KGN47843 pep chromosome:ASM407v2:6:18503816:18507276:-1 gene:Csa_6G406550 transcript:KGN47843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSEEVGKSSIEEEVEREPSNFENGDGEDEDVEDDEEDEEYEDGNDEELPQGKPVTDASRLLAQRSKLENLVERMRKEKVRLRVHDILIKGNTKTKDSLIEAEVEAIKTASTMQELLEAAGVANAKLQRLEIFDSVKITLDSGPPELPGTANVVIEVVETGNPLSGECGAYTKPAARSWTFEGSVKYKNWLGYGDLWDGSLAYGPNQTSEVSAGVYFPRLKRLVTPLVARLSLLSQDWLEFSSYKERSLGLSLGLYSTKYHDLGYNLGWRTITDPSQMASNSVRRQLGNSLLSSLKYTFKVDKRNSAVRPTRGYAFVSTSQVGGLAPDHRSLRFVRQEFDLRYAIPFGFDRAAMNFGVSAGVVFPWGNGFLNKPSSLPERFFLGGDFSPVCTIGGPTTVWGFKTRGMGPTEPRREVRDENKDDNNDSLGRDFVGGDLAVTAFADLSFDLPIRWLREHGIHGHIFAGAGNLAKLTENEFRSFSFQKFMETFRTSVGVGVVVPTRLFRLEGNFYYILKQQEHDRGKTGFRFSISAPS >KGN47269 pep chromosome:ASM407v2:6:13601376:13602311:-1 gene:Csa_6G239680 transcript:KGN47269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQVDWRTGSSPFHIRLGHIAGPHPLPSRQFQALFDSLFKVLFIFPSRYLFAIGLSPIFSLGQNLPPDWGCIPKQPDSLTAPRGATGSERNGALTLSGAPFQGTCARSAAEDASPDYNSNVDDARFSSWALPGSLAVTRGILSRLTWGRVESVVPLRGRRSEGHAGVRGVHVKVETRHRGWINHRSVATTSVEDSNLSHPHDGAHGRPVCALARTTSPHGGRGSCVGGDNDA >KGN48667 pep chromosome:ASM407v2:6:24397536:24400645:-1 gene:Csa_6G497180 transcript:KGN48667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSIISNGFSKKINTSMKQGNGVCQDGSSSPIKAQTIDELHSLQKKRSTPSTPSGSVSSAFSVITEEDRQRQQLQSISASLASLTRETGPKVVKGDPARKETSTKVAHVVHHQLGPNISISDSSMKFTHVLYNLSPGELYEQAIRYEKGSFLTSTGALATLSGAKTGRSPRDKRVVKDENTEKELWWGKGSPNIEMDEHTFMINRERAVDYLNSLDKVFVNDQFLNWDPENKIRVRIVSARAYHSLFMHNMCIRPTEEELENFGTPDFTIYNSGQFPCNRFTHYMTSSTSIDVSLARKEMVILGTQYAGEMKKGLFSLMHYLMPKRQILSLHSGCNMGKDGDVALFFGLSGTGKTTLSTDHNRFLIGDDEHCWGNNGVSNIEGGCYAKCIDLSREKEPDIWNAIKFGTVLENVVFDEHTREVDFTDKSVTENTRAAYPIEYIPNALIPCVGPHPKNIILLACDAFGVLPPVSKLTLAQTMYHFISGYTALVAGTEDGIKEPQATFSACFGAAFIMLHPTKYAAMLAEKMKTHGATGWLVNTGWSGGRYGYGSRIKLSYTRKIIDAIHSGELLNADYHKTKIFGLEIPNAIEGIPSEILDPTNTWSDKDAYDETLLKLASLFKNNFETFTSYRIGEDNSLTEEILAAGPIF >KGN48149 pep chromosome:ASM407v2:6:20726483:20728067:1 gene:Csa_6G445140 transcript:KGN48149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKDLEAGGFAVKDYQDPPPAPLIDAEELTQWSFYRAIIAEFVATLLFLYVTVLTVIGYSSQSDTKNGGQICGGVGILGIAWAFGGMIFVLVYCTAGISGGHINPAVTFGLFLARKVSLTRAILYMVAQCLGAICGCALVKSFQKALYNEHGGGANSLADGYSTGTGLAAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSFGAAVIFNKDKPWDDQWIFWVGPFIGAAIAAIYHQVVLRAGAVKALGSFRSSTAV >KGN46960 pep chromosome:ASM407v2:6:10845539:10846542:-1 gene:Csa_6G152950 transcript:KGN46960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEHRCQAPKLCANNCGFFGSPATRDFCSKCYRDLQLKEQQSSTAKLALNQTLAASLLLNPEPEAKEQRVEEEEEVRTEVVRTAAAEERQQQQPSRCMTCRRRVGLTGFKCRCGMVYCGSHRYPEQHGCEFDFKQMGKEQIAKANPVVKGEKLQKI >KGN46711 pep chromosome:ASM407v2:6:8683594:8690457:-1 gene:Csa_6G125250 transcript:KGN46711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPKKVGIIGAGISGLAACKFVLSKGFIPIVLEARGDIGGVWTETLQTTALQTPKEMFQFSDFPWPKSVTEELPRYDQVLDYINSYAQHFGLLKHIRFNTRVVSIQYEGCSDEEIGGWNLWGGSGDAFAEGRKWRLNVVDARTDVPVEETGEFVVDFVVLCIGKFSDVPNIPEFPPNGGPEAFKAGKVLHSSEFSAMDFDNASNLIKNKLVTVVGFQKSGIDLAMECANANGPNKPCTVLCRTKHWSLTHYYPWGIPLAFLYMNRFAELLIHKPGEGFLLYLLALLLSPIRWLFSKIVETYMMKKLGLAKYGMVPTQSFLQDISSCLFAIIPENFYDKVEEGSIIFKQSQSFSFCEEGIMIDGETEPIRSDLVILATGFRGDLKLKEIFASSMFRDYMTFHDLAAPMYRHCIHPRIPQLAVIGYSESASNLYTSEIRCRWLAEFLDGTFKLPSIKEMDKDIANWEKCMRLYSGPFYKRASIAILHIWYNDQLCKDMGWNPKRKKGFLADLFLPYGPSDYATA >KGN49304 pep chromosome:ASM407v2:6:27600280:27601096:-1 gene:Csa_6G519570 transcript:KGN49304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVHSSNTDGIKLFGTMIHLQTRKLKEEPEKGGSVAGGGEGDETEMKRPEKIIPCPRCKSMDTKFCYFNNYNVNQPRHFCKGCQRYWTAGGALRNVPIGAGRRRAKPPPNCRTLSGELSEDYGQYYDAASGIIHQLELDTVEGWHLTVAEQDFTKVFPFKRRKIIGQHGQSS >KGN46343 pep chromosome:ASM407v2:6:5748769:5754498:-1 gene:Csa_6G087720 transcript:KGN46343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGVGKIKQYSNVLEKPLSKGKQEVSLSAFAFLFSELVQYNQTQVDNIAELERRLEDAGYAVGARVLELFCHREKGNRRETRLLGILSFVHSTIWKVLFGKVADSLEKGTEHEDEYMISEKELLVNRFISIPKDMGAFNCGAFVAGIVRGVLDNAGFPAVVTAHFVPVEGQQRPRTTILIKFAEEVLAREARLG >KGN45855 pep chromosome:ASM407v2:6:1737764:1738181:1 gene:Csa_6G014750 transcript:KGN45855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLVETNFIQNVHENDVLIHIVVGETGSGKTTQIPQFLFNAGFCRHGKAIGVTQPRRIAALSVAKRVAEECGVVVGEKVGYSIRFEDVTSSSTRIKYMTDGILLR >KGN48019 pep chromosome:ASM407v2:6:19822940:19826673:-1 gene:Csa_6G425070 transcript:KGN48019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVRNFSSQGGMVNDDYWATLNRYDVKNHHQNTSRSSYYDYGYGYGSNHFEFLGQYKNQVGRSIYARPDNLNSTKPHNSQSFKRRKFSASRWEDSGRYHWQGRTYDNGPSIYSNLVHPPPRSNNDVSTSASCKRDRRIMEDDEPFFMSRDEIERCSPSRKDGIDTIRETHLRYTYCAFLQSLGLQLELPQTTIGTAMILCHRFFVRRSHACHDRFLIATSALFLAAKSEETPRPLNNVLRASCEILHKQDFNVLSYMLPVDWFEQYRERVTEAEQLILTTLNFELNVQHPYAPLMSVLNKIGLSQSILVNLALNLISEG >KGN47806 pep chromosome:ASM407v2:6:18209231:18211182:-1 gene:Csa_6G404210 transcript:KGN47806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQALGCIQVDQSTVAIRETFGRFDDVLQPGCHCLPWCLGSQIAGHLSLRLQQLDVRCETKTKDNVFVTVVASIQYRALADKASDAFYKLSNTREQIQAYVFDVIRASVPKLDLDSTFEQKNDIAKAVEDELEKAMSAYGYEIVQTLIVDIEPDEHVKRAMNEINAAARLRVAATEKAEAEKILQIKRAEGDAESKYLAGLGIARQRQAIVDGLRDSVLAFAENVPGTTSKDVMDMVLVTQYFDTMKEIGASSKSNSVFIPHGPGAVKDIASQIRDGLLQASQT >KGN46257 pep chromosome:ASM407v2:6:5267286:5273051:1 gene:Csa_6G078520 transcript:KGN46257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGEGTTLEFTPTWVVAAVCTVIVAISLALERLLHFLGRYLKSKNQKPLNEALQKVKEELMLLGFISLLLTVFQGTISKLCVPESLTEHLLPCDLKDKPKAEHGSPSGESGSSTTKHFQTLFVSSISGTARRLLSEGSASQAGYCAKKNKVPLLSLEALHHLHIFIFILAIVHVTFCVLTVVFGGLKIRQWKHWEDSIAKENYDTEQVLKPKVTHVHQHAFIKDHFLGFGKDSALLGWLHSFLKQFYASVTKSDYATLRLGFITTHCRGNPKFNFHKYMIRALEDDFKHVVGISWYLWIFVVVFLFLNVSGWHTYFWIAFIPFVLLLAVGTKLEHVITQLAHEVAEKHIAIEGDLVVQPSDDHFWFQRPRIVLFLIHFILFQNAFEIGFFFWIWVQYGFDSCIMGQVRYIIPRLIIGVFVQVLCSYSTLPLYAIVTQLGNVMRKASAPQEIKPDDSKSNDIP >KGN46974 pep chromosome:ASM407v2:6:10953719:10954772:1 gene:Csa_6G155530 transcript:KGN46974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTHQCRWADCLSPWLRGDKELFCHLPKDATGRVEQAVAVPGSHPACCSPPKAGNWKLNVIAVWPKEKSCKFTNKNWSISLLKAVALVEGLNAIELEWSGLNPPFEVEFDALEGAPDSNGILLESTWFCFHLRKGATTDIRVLTPWKR >KGN49007 pep chromosome:ASM407v2:6:26198787:26199293:1 gene:Csa_6G510250 transcript:KGN49007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKESGGGEQTNTKKERLAKNCRTKRCEEVTGRIRTKKRVERNESSWKQPKALSACLLLLLRAHLPFSRFRRGILELFLRIDSGSEQSNHGNAFPLSKPAST >KGN46226 pep chromosome:ASM407v2:6:5090262:5093035:-1 gene:Csa_6G076750 transcript:KGN46226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSAAPPPLIVHHHHPPHHRPTRLFIILATSLTASLLILLFIVFLLYRKLSRNRTAPSETHQENPHKLPRRFSYSLLRRATASFSPSNRLGHGGFGSVYKAVLPSGLSVAVKIMDSPGSLQGEREFHNELSIASVLDNPNIVSLLGHSSDRRRRRLILVYELMPNRSLQDALFDRKCPELMPWRKRFEISLRIASALQYLHHFCNPPVIHGDIKPSNILLDADFEAKIGDFGLARLKSEEEDCGGGGDDGGRVREVIGDNGSILEETESVLTSGFEENIGMDRLPDSCVVTVLDSPEMVATLGTDKVSLSEGNFDRISVESGKERKKGGSGRDWWWKQETAVDGSESGRVKDYVMEWIGNEIKKDRPKSEWVESESSVASSSGKMEQKKQKRRLEWWASLDEGRMRKKEKSRKKPREWWKEEFCEELARKKKKKELASSSCRELWWQRDEDSTKERRKKKVNNNKNSKGSIDWWLDGLSGDLRNGKRNSIDGATNDIPKSGGISSTPSMRGTVCYIAPEYGGGGQISEKCDVYSFGVLLLVLVSGRRPLQVMASPISEFERANLISWARQLARNGKLLDLVDPSIHSLDKEQALLCITIALLCLQRSPSKRPNMKEIVAVLSGEAEPPHLPFEFSPSPPSNFLFKSQRKAGQ >KGN48616 pep chromosome:ASM407v2:6:24049915:24051018:1 gene:Csa_6G495700 transcript:KGN48616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSIASKLPAKSLVRLLTVAPRPLLVRSLSTVAEERTQKLERIADELLDLTKIERHDYAILFRYKMGLNKYGPAVSGLSAPGSAAAGSAAAETKEAEKTVFDIKLEKFDAAAKIKIIKEVRTFTDLGLKEAKDLVEKVPVVLKKGVTKDQGNPIIEKLKELGATAVLE >KGN46220 pep chromosome:ASM407v2:6:5036332:5037880:-1 gene:Csa_6G075200 transcript:KGN46220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTLDDLNFHNNNIIPSNSPNSIIPPQFIWPDHEKPTLNLPDLHVPPIDLLNSVCRKHGFFIVVNHGVDAELIAKAHEYMELFFGMKVEEKQRAERKVGEHCGYANSFVGRFSCKLPWKETLSFTYSADCNHDVVEDYFVNALGEDWRNVGKLYQQYCEAMSKVSLTIMELLGLSLGVGRQYFRDFFQRNESIMRLNYYPKCQSPEQTLGTGPHCDPTSLTILHQHDHVHGLQVFVDQQWHYIPPNPQAFVVNIGDTFTALSNGMYKSCLHRAVVNEEAVRKSLAFFLCPNEEKVVRPPGCLVDEKNPRILQDFRWPTFLRFSQKHYRADDKTLLAFSNWLYQQTTL >KGN46179 pep chromosome:ASM407v2:6:4757920:4758729:-1 gene:Csa_6G067370 transcript:KGN46179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTAKITFRVIMVVILLLVLFYVGRPLYWKISATVHDIRQNKQTVKEGLSQIVLEAQKSVGWYHDESDSGVHDGNDGKKAGSGAIRRLLHQVL >KGN46389 pep chromosome:ASM407v2:6:6068049:6070196:1 gene:Csa_6G088170 transcript:KGN46389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDNGLVIALIALSICAIFHILLNYISHRRQQKLPPGPLSLPFIGNPIWFFKPLSQLETTLRHYHSKYGPVVTLHFGNTPSIFIASHSVSHHALVQNGAIFADRFPVMETVKIITSNQHNISTAFYGPTWRALRRNLTSELLHPSRLKAHSGSRKWTLDVLVHRLRLTSAAEPVKVINHIRYAMYCLATVMCFGERFDEKFIYEVQESQRGILLNINKFNDLNFWPARLGKILFKKRWDEIRQLRKRQDDLLLPLINNARKNRTTIKDNEETGKPIPYVDTLFDLEIEDNNNEKKKRKLVDDELITLCSEFLDAITDTTVASLQWIMANIVKYPEIQEKLYKEIKEVMGNKKKGEEIEEEELGKITYLKAVVMEGLRRHPPGHMLLPHKVTEESVLDPGGYRVPKGTSVNFMVADIGWDEKVWTEPMAFKPERFLNDKVEGGVELEVDITGSKEIKMMPFGAGRRICPGIGLGLLHLEYFVGNLVWNFKWNAVGEVDLCEKQESAIIMKYPLLASLSPRS >KGN46504 pep chromosome:ASM407v2:6:6839052:6846740:1 gene:Csa_6G104610 transcript:KGN46504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAAPDSQSNRAAVQATNDDASASKLSCVRKGYMKDDYIHLFVRKPVKRSPIINRGYFARWAALRKLLFQFLNVGSNTEEHTKKQILSLGAGFDTMYFQLQNEGNAPHLYVELDFLEVTSKKAAIIESCSQLREKISGTVSISLEKGEVHSDHYKLLPVDLRETNQLNDVLVLAGMDPSLPTFIIAECVLIYLDPDSSQAIVGWASKAFSTAIFFLYEQIHPDDAFGQQMIRNLESRGCALLGINATPSLLAKKNLFLDQGWQTAAAWDMLKVYRNLIEAQERRRIERLELFDEFEEWHMMQASL >KGN48896 pep chromosome:ASM407v2:6:25677568:25677839:-1 gene:Csa_6G505250 transcript:KGN48896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIGALKRPIYLVESVAGTAVVVVGCGSNHAADRIIKPFSLQTPHPIWVSTKNSYL >KGN46405 pep chromosome:ASM407v2:6:6145689:6146809:-1 gene:Csa_6G091290 transcript:KGN46405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGYTLCLMEGCPLLYIHPRRRSSPLSSPSSHRSAFVLSRTVVGVLGFAIHTAPLPLIIMVLQNDIDLLNPPAELEKRKHKLKRLVQSPNSFFMDVKCQGCFNITTVFSHSQTVVVCGNCQTVLCQPTGGRAKLTEGCSFRRKGD >KGN48007 pep chromosome:ASM407v2:6:19716836:19719717:-1 gene:Csa_6G423980 transcript:KGN48007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHPIFKIPILVFLFLFTSIPSISSHPFDPLSSLEIQIVTSTVKSKFTNIAQRLTFHYVGIEDPNKPDVLSWVANPRSPPLPRQAFVVVRANKQTHEFIVSLANGKGSIVSEHVYQGTGFPTLTLQEQEEAIEVSLKHQPFISSIEKRGLNISDVVGSAFSIGWYGEAQSETKRIVKVLFFYKESTVNVWLRPIEGIETTVDLDDMVLTELKDIHVSVMPKSEGTEYQASTMRPPFLAETKPILVNQPHGPSFVVRGHTVSWANWDFHLSFDMRVGVVISTASIYDIDQQKKRQVLYRGQISELFVPYQDPTEEWYYRTFMDAGEFGMGSSAVALEPHHDCPSNAMFFDAYHAGQDGTPVKLENAYCMFEKYAGDIAWRHTEAVIPGELIREVRREVSLVIRTITVIGNYDYILDWELKKCGTIKLSVSLTGIMEGKTTTYKHESEVKEEIYGLLVAPNTIGINHDHFITYYLDLDIDGQENSFQKLKLKSFRTDGSTPRKSYWSVVSEEVKKELDARLRPTEPVELHIVNPNKKTAVGNKVGYRLIPGPMAIPLLSEDDYPQIRGSLCDYDIWVTPYNKSEKWAGGMYVDRGHGDKTLTQITEQNRDINNKDIVLWHTIGFHHHPSQDEFPIMPTLTGGFELRPTNFFDRNPILKMRSP >KGN46431 pep chromosome:ASM407v2:6:6338192:6342558:-1 gene:Csa_6G092530 transcript:KGN46431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFPCFDSREEEKLNPEKESDDGKQDHPMVPPNIAKLPSGIDKLRSKSNGGSKREQQIPTPLVNISAQTFTFRELATATKNFRPECFIGEGGFGRVYKGRLESTSQIVAVKQLDRNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEFMPLGSLEDHLHEIPPEKEPLDWNTRMKIAAGAARGLEYLHDKANPPVIYRDFKSSNILLDEGYHPKLSDFGLAKLGPVGDKSHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVFLELITGRRAIDSTRPQGEQNLVTWARPFFNDRRRFSKLADPQLQGRYPMRGLYQALAVASMCTQEQAAARPLIGDVVTALSYLANQSYDPSSPSGTAAAGTAAQRGSGDRDERRNRDEKGGGGMVKNEEGGASGRRWPLDGPEKDDSPRETARILNRDLDRERAVAEAKMWGENWREKRRQSTQGSFDGSNG >KGN48991 pep chromosome:ASM407v2:6:26126659:26127726:-1 gene:Csa_6G509600 transcript:KGN48991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVFIRCSACSRSTTIWSLWYHRLHLCDGTASITATTKSPVPWSDLPSELWELIGNRLETEIDIIRFRSVCTLWRQEVSLPFFYYDYSSIFTPHRKTIFHLIPIRRRRTYFSSSSNKQSKLHFTKLFSSRLMYDNEARGKESELNLLNFRINKVAESYTIKYIPRIRKIIVSPNFPGIITLVCPGGKLGFTKQEGNDAWLNITFIGENYYEDLIEHKKKIYAITRLGEIFKIIDSSMELIKLRVPPCGNGTPCGNGDQKHLVECGGEIYVVNRLVDYSLRVINFEVYRLDEEERRWVYVDNLGNYSFVLRKDCSFCVDEGVKRNCIYFNRIQDWRGTSSIDALFDLKDRKFIKLV >KGN46194 pep chromosome:ASM407v2:6:4883282:4888548:1 gene:Csa_6G073490 transcript:KGN46194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYETNSSYVYNHTFAIVMVEYASAVYISDMTALFTWTCSRCHGLTQGFEVVQLVVDVESCLQSYVGVAKDPQAIIIAFRGTRGTSIQNWIEDLFWKQLDLDYPGMPGAKVHSGFYRAYHCTTIRPAILNAVKKAKEAYGDLDIIVTGHSMGGAIAAFCALDLIVNHNAPNVQVVTFGQPRIGNAAFASYYGKHLPKTTRVTHGHDIVPHLPPYFSMLRRKTYHHFPREVWLHDTTSKLKCLAYNYETVCDDSGEDPDCSRSVVGNSIQDHLSYYGVEFPTDDPGTCWIVMDPLLVKYGSIDSEGNVVLLKNPATPITQAQIAVGK >KGN48100 pep chromosome:ASM407v2:6:20412666:20413002:1 gene:Csa_6G431750 transcript:KGN48100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTTTSLIEREEGLRMQILSALRLLVEPTKVDCKTRIFRIQKAAAEQGQTQSSELVCTEDRR >KGN49265 pep chromosome:ASM407v2:6:27408880:27412471:-1 gene:Csa_6G518200 transcript:KGN49265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLERTPTWAVATVCFLLILISISTEYLLHFLVKRFFSIKRRKSLRQALDNIKSELMLLGFVSLLLTVSEKGIANICIPKSLNHKFLPCHTINFNSTYFLEEPKCDSQGKASLLSRDGAKQVKYLIICLAFVHIFSSLLSYSLGIAKMRRWQSWEAKTRTLEYQFTTDPRRFQFARQTSFGKRHLKFWSDHHILRWPACFVRQFYESVSAADYLTLRHGFITAHLGEGTNFDFQKYITRALDNDFSVVVGISWWVWVFSVIFIFFSAHGFHSYLWLPFIPLLMLLLVGTKLQGIMTEMCLDSHEKSHVVRGTLLVRPSDHYFWLGRPKLLLYFIHFIFFQNSFQLAFFAWAWLKFGLRSCFQREIADLVIGVSVGVLVQFICGYVTLPLYALVAQMGSSMKKTVFTEGVVEGLRKWKGRAKKKVARRQRGQHGCDYNFSQSPPRTSVDAGVDSPPSFRLEATPMASVDYYGRLQLAGANNNKQYNNNNNSCSAAVSVNGDEDKLKGKKPIEEADQKSISLDAFDWANKIHRNFSRHAM >KGN49166 pep chromosome:ASM407v2:6:26884559:26887045:-1 gene:Csa_6G516740 transcript:KGN49166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKVYIVYYSTYGHVLRLAEEIQKGVASVEGVEVKLWQVPETLPSEVLEKMQAPPKGEAPIITPSELAEADGLLFGFPTRFGMMCAQFKAFMDATGSLWRTQSLAGKPAGIFYSTASQGGGQETTPLTAITQLVHHGMLFVPIGYSFGAGMFEMENIKGGSPYGAGTLAGDGSRQPSELELQQAFHQGKYFAGIAKKLKGN >KGN47000 pep chromosome:ASM407v2:6:11250850:11265620:1 gene:Csa_6G161200 transcript:KGN47000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDGSTGALVPAVKTEPVSSSISAPSIPDCSLAELGTVFEASAQLDKDFLCPICMQIIRDAFLTACGHSFCYMCIITHLRNKSDCPCCAQHLTSDQLFPNFLLDKLLKKTSAHQIAKTATPIELFRHALQEGCNVSIKELDSLLAMLSEKKRKMEQEESVRNMKILTDFLHCLRKQKVSELNEVQIDLQFIKEDISAVERHRMELSRARDRYSMKLRKAGDDMKAREPWLTLMDKRNDGPTSTSLNTWGVVSAGNFQNKKADSRSQVSTYGLYKKDSLSGAEPQVNHTGLSVARKKRVHAQFNDLQECYLQKRRQLPNQLLDQQEKDKNVMHREGYNPGLSDFQSVLTTFTRYSRLRVIAELRHGDLFHNTNIVSSIEFDRDDELFATAGVSRCIKVFDFSSVVNEPPDAHCPIVEMSTRAKLSCLSWDKQSKNIIASSDYEGIVTVWDVNRGQSVMEYEEHEKRAWSVDFSRTEPSRLVSGSDDCKVKVWCMKQEASVLDIDMKANICCVKFNPGSGNHIAVGSADHNIHYYDLRNISHPLHVFTGHKKAVSYVKFLSNSELASASTDSTLRLWDVKENLPVRTFRGHTNEKNFVGLTVNNEYIACGSETNEVFVYHKEISRPLTWHRFGSPDVTDVEDDVGSYFISAVCWKSDSPTILTANSQGTIKVLVLAV >KGN45840 pep chromosome:ASM407v2:6:1622393:1622675:1 gene:Csa_6G014600 transcript:KGN45840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGESSLFKFLSPGRRFQSTDIQAAAGWGVAAATTALWVIQVFTFSKSFDLCILLFLISTDL >KGN46325 pep chromosome:ASM407v2:6:5635550:5635781:-1 gene:Csa_6G084590 transcript:KGN46325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVIEIKGREVTENLGDANLFGAKKYEDQKQTYDLSDLYVPVLMREKTENKVVMRTV >KGN46291 pep chromosome:ASM407v2:6:5459532:5462936:1 gene:Csa_6G080340 transcript:KGN46291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLYELEQVVMMMCYFFQLLFLFLSNNSVAVNSQHQHHDDNVLCDPKQSLALLQFKNAFSQRIFSEYGEAYYRTSTWNESRDCCSWDGVECDDEGQGHVVGLHLGCSLLQGTLHPNNTIFTLSHLQTLNLSYNDFSESPISPQFGRLTNLRVLDLSKSYFKGKVPLQISHLSKLVSLRLSYDYLLSFSNVVMSQLVRNLTNLRDLRLIEVNLYRLSPTSFYNFSLSLHSLDLSFCYLSGKFPDHIFSLPNLHVLILKDNDKLNGYLPMSNWSKSLQILDLSRTRYSGGIPSSIGEAKALRYLDFSYCMFYGEIPNFESHSNPMIMGQLVPNCVLNLTQTPSSSTSFSSPLLHGNICSTGLSNLIYVDLTLNSFTGAIPSWLYSLPNLKYLDLSRNQFFGFMRDFRFNSLKHLDLSDNNLQGEISESIYRQLNLTYLRLNSNNLSGVLNFNMLSRVPNLSWLYISKNTQLSIFSTTLTPAHLLDIGIDSIKLEKIPYFLRNQKYLSNLNLSNNQIVEKVPEWFSELGGLIYLDLSHNFLSLGIEVLLALPNLKSLSLDFNLFDKLPVPMLLPSFTASFSVSNNKVSGNIHPSICQATKLTFLDLSNNSLSGELPSCLSNMTNLSYLILKGNNLSGVITIPPKIQYYIVSENQFIGEIPLSICLSLDLIVLSLSNNHMNGTIPPCLTNISTSLSVLNLKNNNFSGSIPTFPSTECQLSSLDLNDNQIEGELPESLLNCEYLKILDIGNNNITGSFPYWLKTAASLQVLILRSNQFYGHINNSFIKNSFSNLQIIDVSHNYFSGPLPSNFFNNMRAMRTTRVISLNTSERKYFSENTIYYQDSIVITLKGFQQKLETNILIFRTIDLSSNGFNGKIPKEIGMLRSLVGLNLSHNKLTGEIPTSLGNLNNLEWLDLSSNQLCGNIPPQLVGLTFLSYLNLSQNHLFGPIPKGKQFDTFENSSYFDNLGLCGNPLPKCDVDQNGHKSQLLHEVEEDSLEKGIWVKAVFMGYGCGIVSGIFIGYLVFHYGKPVWIVAIVEAKIAQKIRSSRRSYRPRIRNN >KGN45910 pep chromosome:ASM407v2:6:2183056:2183919:-1 gene:Csa_6G020730 transcript:KGN45910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVWPFHIISIIFLFGFSISSTAGVSQTVAALYTGQKPSIKDTMSVVVKVWKRLLVTNLCVILVFLIYHMIVGLALFIIILPLGTVDRTTLGVAFVFYFVGLLYLVVVLQLAGVVSVLEESRGFKAMAKSRLLLKENMVSATVIVLAISSGFGILLWLKSLTRMMLFSPSVAIWMHVLATLSLDLWVLVFLLWSLVSETMFYFVCKSYNHESIDMSTVSDHDPILLEIREY >KGN48352 pep chromosome:ASM407v2:6:22194286:22195211:1 gene:Csa_6G483340 transcript:KGN48352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIHQRLKDRNGPNLSLMEEQNLAEMTLLQKAHFSTLNLQIYLARRPSFLRFRLEIRKLQKLREETKVRVSEDSRKWNTEIWGYIFPLNLFLVSWIYLFHCKRTGSQPCESQTVQKEITFFIGFVEVLERKLPHIRRSFLLRMPKLTAFRFYKAFPYLRVLSYFGISELWPERN >KGN49453 pep chromosome:ASM407v2:6:28432245:28436961:1 gene:Csa_6G525400 transcript:KGN49453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACVKLGSKNDAFQKQGQAWFCTSGLPSDIIVEVGEMSFHLHKFPLLSRSGVMEKMVAEAPEEQEERGVIKIPDIPGGAKTFEMVAKFCYGVKLELTASNVVYLRCAAECLEMTEEYGEGNLICQTEAFLNQVVLKSWKDSLIALQTCDDILPYADELQITKRCIESLAIKASTDPSLFGWPIVEHGGPMQSPGGSVLWNGISTGARPKNSSLDWWYEDAATLSLPLYKRLISVMESRGIKQEIIAGSVTSYAKRYIPGLNRRQGSSESSSRLAPVALGTPPSEDEQKLLLEEIDRMLPMQKSLVPTKLLFGLLRTSMILRVNPSCISNLEKRVGMQLDQATLEDLLMPNFSYSMETLYNVDCVQRILEHFLAVDQIAHGGASPCSIDDEQLIGSPSLPPITMVAKLIDGYLAEVAPDVNLKLPKFQALAAAVPDYARPLDDGLYRAIDIYLKSHPWLGESDREQLCRLMDCQKLSLEACTHAAQNERLPLRIIVQVLFFEQLQLRTSIAGCFLVSDNLDGSRQLRSGVVGSNEGGWGTAVRENQVLKVGMDNMRMRVSELEKECSNMRQEIEKLGRTKGSSAWGNVSKKFGFKLKSQMCSAQEGSVSNQYNGNRKTEKLKNSQGKQKRTAAISNE >KGN48249 pep chromosome:ASM407v2:6:21498852:21501530:1 gene:Csa_6G452010 transcript:KGN48249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTKLFLPLLFILSLLSGKGFGGHHHHHHHVHSHFKPTKLFVFGDSYVDTGNVSPSDSNYPTYPYGITYPGKPAGRFSDGRVLSDFAANLIGQKSPIPYRHLEKVGIKGTKYGVNFAYGGTGVFKTGYDLPTMTSQIDFLHTLIANSTFTPSQINSSFALVSVSGNDYSYYLSQNGPIQGFIPLIEKVVKQISVNLKRIHSFGVKKIGITALGPLHCVPEVTVLTDFKECNSTLSQLVDFHNHLLKQAVDELNKETNDLPFFILNLHDAFLSIIQNKGIPQGNIKFETPLKPCCIGINPQYNCGSVDKDGNKKYVLCDDPNSAFFWDGVHPTQRGWIAALTILLSNFKQHF >KGN48772 pep chromosome:ASM407v2:6:25022668:25024928:1 gene:Csa_6G500640 transcript:KGN48772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPLQSTRYLLILLSFVFFLLQSQTAESRTLNYKWEVKSELKSPDCFKKVTLTINGRSPGPTIYAHQGDTVIVELKNSLFTENVAIHWHGIRQIGTPWSDGTEGVTQCPILPGETFRYQFVVDRPGTYLYHAHYGMQREDGLYGSIVVWVPEGQTEPFSYDLDRNLILTDWYHKSSHEHATGLATPGSGFNWVGEPDSLLIQGRGRFNCSILGSSSGTCNSSSTECVLHPLTVVPGKTYRLRVSSLTSLSALSFQIEGHTMTVVEADGHYVEPFQVNNLFIYSGETYSVLIKADQDPSRNYWITTNVVSRSRTTPPGLAIFNYYPNHQSRLPPTTPPAPPAWNDVTPRLAQSQAIKARQGFIHTPPKAADKVIVLLNTQNTVNGRRRWSLNNVSFNMPHTPYLIALKHNLLHTFSQEKPPTGYDFKNYDIFNPAPNPEATVSDAIYRLDFNSTVDIILQNANMMSPNNSETHPWHLHGHDFWVLGYGEGKFDLYKDPSKYNLENPIMKNTVPLHPYGWTALRFVADNPGVWAFHCHIDAHFFMGMGVVFEEGIERVGKLPTSIMGCGESKRFLRP >KGN45687 pep chromosome:ASM407v2:6:531855:536499:-1 gene:Csa_6G006730 transcript:KGN45687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSSFYQNIRGEPTHGGQYIQYNVYGNLFEVSRKYTPPIRPVGRGAYGIVCAALNSETNEDVAIKKVGKAFDNRIDAKRTLREIKLLRHMDHENIIALRDIIRPPQKENFNDVYLVYELMDTDLNQIIRSNQSLTDDHCRYFLYQLLRGLKYVHSANVLHRDLKPSNLFLNANCDLKIGDFGLARTTSETDFMTEYVVTRWYRAPELLLNCSEYTGAIDIWSVGCILGEIMHRKPLFPGKDYVHQLKLITELIGSPDESSLGFLRSDNPRRYFRHLPHFPKQQFSSKFPTMSPAAIDLLEKMLVFDPTKRITVDEALCHPYLAPLHDINEEPVCPRPFSFDFEQPTYTEENIKELVWRESLRFNPGPAF >KGN46076 pep chromosome:ASM407v2:6:3916059:3916398:-1 gene:Csa_6G051530 transcript:KGN46076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGRWRFCFANGEKGKDGAAGLRRKERRKGTAEGKEKREGKEKETVESSQRTRGRRRGAAVAAGEKGKEARGGRRKWGKERKRKKKRKGKKKKNLTLTFYHS >KGN46728 pep chromosome:ASM407v2:6:8855939:8860044:1 gene:Csa_6G127400 transcript:KGN46728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLKHLRPLQVHFSPASEQAVGVRGGLWVLSSSSLSTSRLPLKVDWLILEVSREASLPSEVVRSKPPICEAEVEGSQSGVIMESGRVKGTVTSLASLFPAQDARKAASRVQDAISEKQRELEQLRGFITDNDNLIKLVQKLPEELHHEVMVPFGKAAFFPGRLIHTNEFLVLLGEGYYAERTSKQTAEILKRRGKALDSQVDSLKAMMDNLKAEASFFDATASEAEDGLVEIKEEYVEENFCEQESTSGVNKQDVPSVSGVDKAKIAEIDAEYARMMARFDELEKEEELAAANGNKSDDEDEEEKGTQNQSLERFYGDKQSLSKGSTSTWPRDENVSSKELLNKYQKQQEASTNPSNCSGLSVQTSPKEDVTSGNNSLTESQRVINPNPAAKSVTFAEVKEKNQTLPPSTNQAFTGSIIERPPIIPKTSKQETETTLQPSGSQPSKPVSRFKMQRR >KGN47881 pep chromosome:ASM407v2:6:18816883:18823216:-1 gene:Csa_6G409380 transcript:KGN47881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISQDVEESGQEAAGQPFLQDESKWTHNSEERRPWMVYLSTLVAVCGSYEFGTCAGYSSPTQSAIINDLHLSLAEFSLFGSILTFGAMIGAITSGPIGDLLGRKGAMRVATGACVAGWLAIYFAQGVVALDIGRFATGYGMGVFSYVVPIFIAEIAPKNLRGALTTLNQFMICTAVSISFIIGNVLSWRTLALIGLVPCVILTFGLFFIPESPRWLAKERRQKEFETALQKLRGEDVDVSQEAAEIQDFVTTLEQLPKPKVTDLFQRMYLRSVIIGVGLMVCQQFGGINAICFYVANIFESAGFSVFIGTISYAILQVVVTGIGGLLMDKAGRKPLILVSASGLVLGCLLDAIAFYLKENNLAIQAVPLLTVAGVLVYIGSFSIGMGAVPWVVMSEIFPINIKGLAGSMATLTNWFGAWACSYTFNFLMAWSSYGTFLIYAVINAMAIGFVVLIVPETKGRSLEQIQAAING >KGN48873 pep chromosome:ASM407v2:6:25532850:25534294:1 gene:Csa_6G504540 transcript:KGN48873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCQQVSQDSVSLLHFLAFSTNLLKNENMPFSSQDDTTAVQRNRENPSLISNPCFRVFIPRSSKSTSLIQWRSTKRSYIFTSTFQDMF >KGN48259 pep chromosome:ASM407v2:6:21556627:21557475:-1 gene:Csa_6G452110 transcript:KGN48259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLKIPISILLILTIAIASDPDPLQDFCIPNPKSFPDQLCKNSTAVTADDFVFSGAKSAGPFSGTGFATVAANSINFPGLNTLGMSLVRVDLKEGAINPPHVHPRAAEMVYVVEGKVYAGFVDSGNRVYAKVIEEGEVMVIPRGVVHFQMNVGKRRATVFGCFNSQNPGTQKMAAAIFGSGIKEELLEKAFGLSSKEIRRMKRMFDS >KGN49022 pep chromosome:ASM407v2:6:26264406:26266932:-1 gene:Csa_6G510890 transcript:KGN49022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFMKLGTRPDSFYTEAATRTVLSDVPGNIVICIDNFSFLLHKFQLLPKCGLLQHLCPDLGDSNIATIELHDVPGGAEAFELCAKFCYGMTISISAHNLVPALCAAKFLRMTEAAEKGNLVLKLESFLHSCILVGWKDSIITLQSTVKLPDWSENLGIVRKCIDSIVEKILTPHSKVSWSYTYTRPGYTKKNNQSVPKDWWTEDLSDLDIELFRCIILAVRSTYILPPQLIGEALHVYACRWLPDSANVKPSETKISQSKEDMEKSRQILEKIVGMIPSDRGSVSVGFLLRLLNIANYLAVSSMTKTEIIRRSSLQFEEARVSDLLFPSQSTSNTHFYDVDSVVAVLECLRALWRRQYSGASENSHFLRYIQKVGKLVDSYLRVVVMDINMPVSKVIQLVEALPDIARPNHDDLYKAINMYLKEHPDLSKTDKKRLCRILDCQKLSSEVRAHAVKNERLPLRTVVQVLFFDQEKGSKVTSFTPTAKQLISVEKQATITSDNHGKLKPDIDSSVDKEVRERKTFSESSERDGHRTKRADVKLPLEKEMKDDKSELDVKSVKSCRTREISKDSKFLSKSDSKKMVTRGSRSDHGGEQRQVKR >KGN48247 pep chromosome:ASM407v2:6:21487842:21491191:1 gene:Csa_6G451500 transcript:KGN48247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVQAPSFSTTFDRVSINDTSPFSYSLNRIHLPFSSPRTEMPHSPTATDSTSLRNGFPSTSNTDGAFPPFPLNSSPIQSLAGLTQPTAALKLQKVYRSYRTRRRLADSAVVAEELWWRAIDYARLNHSTISFFNFSKPETAASRWSRIMLNASKVGKGLSKDGKAQKLAFQHWIEAIDPRHRYGHNLHLYYEEWCEGDAGQPFFYWLDVGDGKDLELNECPRSKLKQQCIVYLGPQERENYEYIIMHGKIIHKQSGKLLDTNQGSQGAKWIFVMSTTKILYAGEKKKGMFHHSSFLAGGVTLAAGRLVTEDGVLKAISAYSGHYRPTDVSLVSFLSFLHENGVNLDEVKIYKARDDSESYNQEGGGNFEDSPKADILEVDENCIPSSRQLAEVSRTEKRTEYQRTLSGGLPSPRAEVPTTAILQRINSKKTAKSYQLGHQLSLKWTTGAGPRIGCVADYPVELRVQALEFVNLSSIDPTTQGSNVASIAVNRPSELCNGYRDHSSEK >KGN47454 pep chromosome:ASM407v2:6:15179538:15179800:-1 gene:Csa_6G327440 transcript:KGN47454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHVEDRTCKSGIGYFLLNSNFGRWGRRPCIVAKINALHVPTPEVRRKPK >KGN46132 pep chromosome:ASM407v2:6:4344850:4345380:1 gene:Csa_6G056500 transcript:KGN46132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECVYYTTVLKNRRIQKVQRNILDNYIAKVGENLSCTTLFGGYKGRRKDMVVQVIVMSLVLWSWKIVTDRIEEGSAKFEEC >KGN47544 pep chromosome:ASM407v2:6:16028729:16033743:1 gene:Csa_6G358080 transcript:KGN47544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPFSSFFPSLWVTFFFFFFTSFMCLFVSADDPNRLTPINRDLYHSSVDLMKEIEALVHRHSDKLTIETMKSKNKGYAAEIPVVTYRHGRNNIDDTSKFRILLSFGQHGRELITTEVALRILLILSEEHLLPHMDRASLNNTLAKLVIKVVPMENLNGRRLVEGGDLCERRNGRGVDLNRNWSVDWGKKEKDYDPYEENPGFAPFSEPETQIMRKLALRFDPHIWVNVHSGMEALFMPYDHKNITPDGEISQQMKLLLEELNILHCHSRCMIGSGGGSVGYLAHGTATDFMFDKARVPMAFTFEIYGDEAATSKDCFRMFNPTDPNTFDRVLGDWSAAFFTIFKMGPEYLDETEFRPKSNIDKLVSIDEYLEGYLIERSSRYGKKREVFDLGMQEMRTYFRLFLLSSVLLMFMFCSRISKNKFTRPLVSAISI >KGN49482 pep chromosome:ASM407v2:6:28596117:28600772:-1 gene:Csa_6G525670 transcript:KGN49482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPLIPLLCLLLLPFSAPTAAADSVYSTFLHCFQSNSNSSAGVSSIVFARENASYTSVLRAYIRNARFNTSSAPKPVIIVTPLTESHVQSAVICSKKLGIQLKIRSGGHDYEGVSYISDVEFIILDMSNLRTVTVDVADQSAWVGAGATLGEVYYRIWEKSKVLGYPAGVCPTVGVGGHISGGGYGNMLRKYGLAVDHVLDARIVDVKGRILDSKSMGEDLFWAIKGGGGASFGVVLAYKIRLVPVPETVTIFRVERTIEQNAADLVVRWQEVAPTTDENLFMRLLLQPVSSKIKKGTRTIRASVVALFLGKSEELVSLLKKELPELGLQKENCTEMSWIDSVLWWGNFDIGTSPEALLDRNVDSAGFLRRKSDYVQKPISRDGLNWLYKKMIEIGKTGLVFNPYGGKMSEISSTATPFPHRAGNLYKIQYSVNWNEPGPEADQEFVKQIRRLYSFMTPFVSKNPRQSFLNYRDLDIGINNNDKNSFEDGKVYGFKYFGENFERLVKVKTAVDPENFFWNEQSIPTHSRKLDFGGTMKSAEKIEILLTINELYQLADLQGCILGRLLGQFAAYGHLGC >KGN46852 pep chromosome:ASM407v2:6:10158451:10162663:1 gene:Csa_6G147460 transcript:KGN46852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPTTCYTNGHASMADPFCLKDPLYWAAAADGLKGSHLDEVKKMVEEYRRGVVRLGGESLTIGQVAAVALNDGGVKVELNEAAREGVKASSDWVMESMKKGTDSYGVTTGFGATSHRRTNQGAALQKELIRFLNAGIFGNGTESNHTLPHTATRAAMLVRINTLLQGYSGIRFEILESITKLLNHNVTPCLPLRGTITASGDLVPFSYIAGFLTGRPNAKAVGPTGDLLDAGAAFKLAGIDGGFFELQPKEGLALVNGTGVGSGLASIVLFEANVLALLSEVISAIFAEVMQGKPEFTDHLTHKLKHHPGQIEAAAIMEHLLDGSAFVKDAKRLHELDPLQKPKQDRYALRTSPQWLGPQIEVIRHSTKSIEREINSVNDNPLIDVSRNKALHGGNFQGTPIGVSMDNTRLALASIGKLLFAQFSELVNDFYNNGLPSNLSGGSNPSLDYGFKGAEIAMASYCSELQYLANPVTNHVQSAEQHNQDVNSLGLISSRKTAEAIDILKLMSTTFLVALCQAIDLRHVEENLKSTVKNTVSQVAKKVLTIGVNGELHPSRFCEKDLLKVIDREHCFAYIDDPCSMTYPLMQKLRQVLVEHALKNNDDLKNSNSSIFLKIGAFEEELKTLLPKEVESGRQAISKGKATIPNKIKDCRSYPIYRFVREELGTDMLTGEKVKSPGEEFDKVFSAICEGKIIDPLLECLQSWNGTPLPIN >KGN46260 pep chromosome:ASM407v2:6:5284034:5288546:-1 gene:Csa_6G078550 transcript:KGN46260 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase sigma factor rpoD1 MSCLLPQFKCHPETFSIQFKTAANYSHHHSFLPTAYSSYTKVRDPHSLRTQCILSAASPPTSTGTATTLDVDRLKLPPFDTNTDSVSVERLRSYLGAVESSLASTLLTSEEASIAAAAAEAVTLAKAAVKVARDAALLANNINSSRAGTKSQSSPKPDALHFKWAQFMESERADIIGEPVGVNKRPMEGDALEPSTTESDDMEPTSEELELLQDELSESITVKSKRQTERKARRTRAAEKTVTSVLSFKSGSSSRKKRNSVQEVDYSDPLRYLRATTNTSRLLTATEELELSEGIQDLLKLERLQEELGERYGNEPTFAQWAAAAGVNQRTLRKRLNYGTLCKDKMIKSNIRLVISIAKNYQGAGMNLQDLVQEGCRGLVRGAEKFDASKGFKFSTYAHWWIKQAVRKFLSDQSRTIRLPFHMVEATYRVKEARKQLLHENGRHPDDKEIAEAAGLSMKRLAAVLMTPKAPRSLEQKIGINQNLKPSEVISDPEAETCEDMLIKQFMKQDLEKVLDSLNPREKQVIRWRFGMEDGRMKTLQEIGEIMGVSRERIRQIESCAFRKLKNKKRTKHLQQYVMS >KGN47600 pep chromosome:ASM407v2:6:16436956:16437995:1 gene:Csa_6G363560 transcript:KGN47600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYNSNQHLTTSESDLSEQPGFEFTDWMFDGWLNENSSSLTDSVMYPVYQEGEVDEFVGNTIQQGEPSSRDYGREREIRERFAFKTKSEVEILDDGFKWRKYGKKMVKNSPNPRNYYKCSVEGCPVKKRVERDREDPKYVITTYEGVHTHESS >KGN46335 pep chromosome:ASM407v2:6:5696082:5699608:1 gene:Csa_6G086160 transcript:KGN46335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSATATATATVTNIASEFPRPIFTKVPVKGYCHNALVCLNFGGVEFDNVRDGLCRRSSFSYSFHKIGSRFLSKPTSIASSGLEAAITDYKGNPITLKNAKVVVESEEENKIQLRVDLTGDETQKVFDQVLTNLARSAPPMPGFRKQKGGKTSNVPKSFLLEVLGKDRVTKFIIQEILNSTMVDYAKKENINVKDKKVSTTQTADELKVLFNPGKEFGFNAILELESASDAES >KGN46496 pep chromosome:ASM407v2:6:6783920:6788798:1 gene:Csa_6G103550 transcript:KGN46496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCAVLHDVCLEKHWFLSQAEEIDSDNLLDPMEMKVKELLKEFQLDYSPALHKLVESTVSDIKKAIELIPDDLKVTAAAAPGFIRDIGADKVEFKFRKPKSIKIGGSYAFQGIAKPDVNVDLLVGLPKECFHEKDYLNYRYHAKRFLYLCTIKKYLNSSSMFSKVEYSTLQNEARKPVLIIHPALEELKVAPGFFVRIIPTIEASYFSISKLNLKRNNIHALNQGSLLPATPKYNSSILEDMYFEDTAEMVKKPFLESKSLVETLILLKVWARQRAPIYVHDCLSGFLIAVILSYLIMHNIINHSMTAIQMFRVAVKFIASSDLWKRGLYFKLGPQSIISKEEKKQYKDLFPVVICNPSSNFNIAFRISQSGLAELQDEAAMALVCLEKCSNGGFEEVFMTKIDFAVKYDHCIRLNLRGQDKLYASGFCMDDECWRLYEQKIHGVLSQGLTDRAKFIRVSWRNTEPGCNIENGLSAFDMQPLLVGISISSVEKAFRVVDIGPNADDKEDALKFRRFWGEKAELRRFKDGRIAESTGYIISFLLLDY >KGN47950 pep chromosome:ASM407v2:6:19319618:19320654:-1 gene:Csa_6G419470 transcript:KGN47950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWVTGYEQMSNQADFGEARGLDAGPRLVKKLVGFGDHRTSDIVAKIADEEVAHVAVGVYWFVLVCQKMERAPCSTFKELLKEYSVELKGPFNYSARDEAGLPRDWYDISNTNVQDELSGDTKNEQLSVVYDRLASVISMECKNSSLHGPSE >KGN49266 pep chromosome:ASM407v2:6:27413489:27418875:-1 gene:Csa_6G518210 transcript:KGN49266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALIDLNTTEEDESHSPAGSSVSSSSASALTSSPSPSVTSSICLELWHACAGPLTSLPKKGSLVVYLPQGHFEQMQEFPPTPYDLPPHILCRVIDVQLHAEAGSDEVYAQVSLFPENEQMEHKMQEEMNNDSEEEDVEEGEKTTTPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYNQQRPSQELVAKDLLGLKWKFRHIYRGQPRRHLLTTGWSAFVNKKRLVSGDAVLFLRGNDGELRLGIRRAAQLKSGSAFSNICSQQLNSSSIMDVVNAVSSKSSFSVCYNPRAASSQFVLPFHKFLKSINHSFSVGLRFRLSFETDDGADRRHTGHITGVSDVDPIRWPGSRWRSLMVRWDDGETNRHGRVSPWEIEPSGSVSLSTNLVPPGLKRTRIGLSSTKLEFPVPNGIGASDFGESLRFQKVLQGQEILGYSTPPDGDNNNRCPPEKRRLIPGLHGSGIALMRNGPRNPLINSETSSRGIVFDESFQYHKVLQGQEIFPSPYYGRAVATNEVKANGGCSPADGIRLPRTKDGWPMAMQCENFLTRSSIPSVQVSSPSSVFMFQQSMVPVQSFNSHNRGNFAEQRIMNKSTSHHSGTTFMTDHSMNTEFIPQGMCPTSLGEQNQLGLSHPSTTTASAAFTVSKDLSSTCKAGCRLFGFSLTEEKNVGNKDDKGSSATTPINAGTTTVLSNMGVQCPLKSPLMNKVVGSNCTKGAFQYHLANYNTYY >KGN46238 pep chromosome:ASM407v2:6:5156137:5156499:1 gene:Csa_6G077350 transcript:KGN46238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSFTHRNVVNQSMEIVLVVKSSRASIFLASNTIILILFGKKLKQLSSKEEEEERCKDCCSGCSDDHELNSYEMICVEDSCDEDYCEEGMIQDDLEKKAQEFIDMMNAFWREELIHDRFL >KGN48614 pep chromosome:ASM407v2:6:24038646:24043199:1 gene:Csa_6G495680 transcript:KGN48614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERFLEENFSGVKPKNSSEEVLQRWRELCGVVKNPKRRFRFTANLSKRGEAAAMRQNNQEKLRIAVLVSKAAFQFIQGVQPSDYTVPEEVKAAGFHICADELGSVVEGHDTKKFKYHGGVEGIAQKLCTSTTNGLTGDADALNHRQGIYGVNKFAESEQRSFFVFVWEALQDMTLMILGLCAFVSLVVGIITEGWPHGAHDGLGIVASILLVVFVTATSDYRQSLQFKDLDKEKKKISIQVTRNSYRQKMSIYDLLPGDIVHLSIGDQVPADGLFVSGFSVLIDESSLTGESEPVMVTAENPYLLSGTKVQDGSCKMMVTTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGKIGLFFAVITFAVLVQGMLSRKIREGTHWSWSADDALEVLEFFAVAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRHLAACETMGSATSICSDKTGTITTNRMTVVKSCICMNVKESCNNASDFSSDLPSSVVKLLLQSIFNNTGGEVVINQSGKRELLGTPTETALLEFGLSLGGDFQAERQAGKLIKVEPFNSLKKRMGVVLQFPEGGYRAHTKGASEIVLAACDKVINSSGEVVPLDESSIKHLNVIINQFAGEALRTLCLAYMELENGFSVNDPIPGSGYTCIGIVGIKDPVRPGVKESVAVCRSAGITVRMVTGDNINTAKAIARECGILTDDGIAIEGPDFREKSQEELLKIIPKIQVMARSSPLDKHTLVKHLRTTFDEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVGKWGRSVYINIQKFVQFQLTVNIVALIVNFSSACLTGSAPLTAVQLLWVNMIMDTLGALALATEPPTDELMKRLPVGRRGSFISNVMWRNILGQSFYQFSVIWFLQAKGKSTFGLDGPDSDLILNTLIFNSFVFCQIFNEISSREMDKIDVFKGILDNYVFVAVLGSTVIFQIIIIEFLGTFASTTPLSMSQWTFSLVIGFLGMPIAAFLKTIAV >KGN48922 pep chromosome:ASM407v2:6:25825974:25826591:-1 gene:Csa_6G505990 transcript:KGN48922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALCRGPVPPANCTICVKNAAHVISQTCPNQMEAAGWYHDCQILYSNKTIQGVGDTSARILYFNTGKASDPIEFNQAVGELLNGLRQKLTETGTPTLKSEYGVKKVPNSNIDIYGLLDCFHDLSSLDCDKCLIRLQSILPSCCNASLGARFMAGSCQLNYEIVPIYAAILPSPIAPSPDNVPFPSLPPTQTPALSSHFKQNIIKF >KGN48675 pep chromosome:ASM407v2:6:24460935:24462595:-1 gene:Csa_6G497260 transcript:KGN48675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSQHGFLEELLASTPWTSSYSNGFNDFFQNGWNFTSFDENPQMASSTFSNFPTIQTPNDFSFADQQLYSNFLEGFAMPELDSSSYTKNNETPPFVSQEEMSNKNNGYPPVAMEEEELGFIETETAPSVCKVEMEQMGVREINGSIMGVAELGKRSSNKAKKIEGQPSKNLMAERRRRKRLNDRLSMLRAIVPKISKMDRTSILGDTIDYVKELLERINNLKEEEETGLDSNHVGFFNGISKEGKSNEVQVRNSPKFDVERKEKETRIDICCATRPGLLLSTVNTLEALGLEIQQCVISCFNDFSMQASCAEGSAQKAVASSDDIKEALFRNAGYGGKCL >KGN49086 pep chromosome:ASM407v2:6:26566193:26566891:1 gene:Csa_6G513500 transcript:KGN49086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPLLLSAFLFFLPTVISTPKPNNYYSKPSLNPIDACWRNNRNWAANRRALADCAVGFGSDALGGKFGSIYVVTDPSDDPEYPEPGTLRFGVIQMQPLWIVFARDMVITLKRELMVNSYKTIDGRGANVEISNGPCITIQNVSHVIIHGISIHDCKPGKPGRVRSSVTHCGDRQQSDGDAISIFSSSHIWIDHCYLARCTDGLIDVIHASTAVTISNNYFSQHDKVYYYFF >KGN45904 pep chromosome:ASM407v2:6:2157202:2158884:1 gene:Csa_6G020180 transcript:KGN45904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSTEKNRKPEMIPKRVASYLPIIFKSLLQIPMSISRFLRFQLFRQQPHDSSSASTVAPDPKILMAANIQATPQTSRGITLRKDRRKRDSHIKPCRSNGGGGQTNSLKCDRIDPPRFVL >KGN47066 pep chromosome:ASM407v2:6:11883854:11892058:-1 gene:Csa_6G182690 transcript:KGN47066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTGASRNSDGGSQGNEEREENLDQAGGQLYISLKMENFKLKGELIPHIYGSVPLVGSWDSSKALSLERESASMWELSFVVPPNHESLDFKFLLKPRYSNSPCIVEEGPNRLLSGGMLQGDTRMALFRLSTDEVLEYRVFIKADRVSPFDLAASWRAYQDNLRPSAVRGIPDVSINSVSEGPENSSSASLELDLEHYVVPAPSSNSGLVYAANLTETPRSLTGFGVQNADGSGNTSSSKESSTTGDRPTTVKDMTVIVPDPSKMYMGSGMVESKSVGTFSHLQRQDSHRGLFVDRGVGSPRLVKSASTSTFFSDLKLDTESKNSMPAAAGAVAAAAVADQMLGPKEDRHLAIVLVGLPARGKTFTAAKLTRYLRWLGHETKHFNVGKYRRLKHGANQSADFFRADNPEGMEARNEVAALAMEDMISWMQEGGQVGIFDATNSTRKRRNMLMKLAEGKCRIIFLETLCNDQRIIERNIRLKIQQSPDYAEEPDFEAGYRDFKARLDNYEKVYEPVEEGSYIKMIDMVSGHGGQIQVNNISGYLPGRIVFFLVNTHLTPRPILLTRHGESMDNVRGRIGGDTELSEAGGVYSKKLANFVEKRLKSERAASIWTSTLQRTILTASPIGGFPKIQWRALDEIYAGVCDGMTYEEIKKNMPEEYQARKKDKLRYRYPRGESYLDVIQRLEPVIIELERQRAPVVVISHQAVLRALYAYFADRPLKEIPHIEVPLHTIIEIQMGVTGVQEKRYKLMD >KGN49536 pep chromosome:ASM407v2:6:28962215:28962613:1 gene:Csa_6G538650 transcript:KGN49536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWRVTMNREERNLGVRVSGRIDKTRKKEKEMRKKEEMREKGKRKKYENLVRKRRVGGSRRRRSGRWGFGGEEGKEIKPENHGGIHRDWALHQSPCSLLLPSFIARYSLLLTSPPPPPPPPPSFITSTANII >KGN49206 pep chromosome:ASM407v2:6:27090454:27099779:1 gene:Csa_6G517140 transcript:KGN49206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVISDDLINQSPLLSIAGEVLGFQTFLIRFCFQTSLFAGLCVFSGFSLMIILMADEASNFPLQYADDDFDEDMSMEYEKILHLLSEDLDPLQIKTEDLSPNNASTGQPAFDSSNQENFQLQNDISHGFMDVTLKNHDSLDGKGTETLRSSENNSCASVELPSFDAEHSSKEVFPTESTVNPSFDFVTDVTNSYSTMPYWMSTVEQPFLVSSQYLFPGDYDSPLVSGNGDMTVNMMHDVEFPSNSLCSSTTMDLYAQGATDHKSVSRESVSKDLILDRYSNVKRWDQNCESGNFISSFDGKYPFHVDNLHIGQASMGIPMSTELNSSCKELVSQMKNETMDSLVESCSGPWQSMMEENLFFQSQRVFRSEDMVCGTSGRLSNDGRYQNLYITDQYSPNGHSSNLSNQPLVFIKDDRDHKLSVRKSDIDHPQVSPESTHSNLSDRAHVEDDPDICIIEDMSHPAPSNRSLMVGKSVASQSFSIVSGSSTYMGIGSLRQKAKDIDILKVALQDLSQPKSETSPPDGALDVPLLRHQRIALSWMVQKETSSVPCAGGILADDQGLGKTISTIALILKERAPIRACPTVKHEELETLNLDEDDDIHPEHDGPKQEFSHQVSPSKDLTLSKNTSVQAKGRPAAGTLVVCPTSVLRQWADELHNKVSSKANLSVLVYHGSSRTKDPCELAKYDVVLTTYSIVSMEVPKQSVVDEEDDEKHNTEEQAILPSHLSSSKKRKNFSGSDKKHSKNKKGVDNEVFESVARPLAKVRWFRVVLDEAQSIKNHKTQVARACWGLRAKRRWCLSGTPIQNAIDDLYSYFRFLKYDPYAAYKSFCSAIKFPINKNPAKGYKKLQAILRTIMLRRTKATLLDGQPIVTLPPKHVELKKVDFTEEERDFYSKLEADSRAQYEEYAAAGTVKQNYVNILLMLLRLRQACDHPLLVKPYDSKSLWRSSADVAKKLPRDKQIFLLNCLEASLAICGICNDPPEDGVVSECGHVFCKQCILEHLSSDDCQCPTGGCKVHLNASLLFSKSSLCNSNSDQLGEDNSVVSSCSTVGDSMELSSSVMYESSKIKAALEVLMSLAKPKEYSRNTSPELAVVGASEKSMDASSTELRLESSECQDSTNKSSCELVKRGGEKAIVFSQWTGMLDLLEACLKNSSIQYRRLDGTMSVLARDKAVKDFNNLPEVSVMIMSLKAASLGLNMIVACHVLLLDLWWNPTTEDQAIDRAHRIGQTRPVTVLRLTVRDTVEDRILALQQKKREMVSSAFGEDEAGGRQTRLTVEDLNYLFMM >KGN47671 pep chromosome:ASM407v2:6:16860746:16863896:-1 gene:Csa_6G367170 transcript:KGN47671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNTTGFHKAALMASKPKPQLLHTIAETAVQSSVKRARILSTQSSMKDAFAKYAEYLNNLNDKRERVVKASRDITMNSKKVIFQVHRISKQNKEEVLEKAEKDLGHVATWHISRLVKELQGTDFWKLRRAYSPGVQEYVEAATLCKFCKTGTLLSLDEINAGLLPLSDPSLEPLQISNLDYLLGLADLTGELMRLAIGRISDGELEYAEKICRFVRDIYRELTLLVPHMDDTSDMKMKMDTMLQSLMKIENACFSVHVRGSEYMPLLGSNDPGSFLSGVPDIEL >KGN48369 pep chromosome:ASM407v2:6:22307218:22310953:-1 gene:Csa_6G483490 transcript:KGN48369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPTSLIPTLSFDTISSSASYSSSSFSSQYLIPFKPHCLGLKTDNPTSNGSLIAHSSARGLGSTSAPLKRSMKHQISCISPGGMTISEASMSMPSYKWRRVLLKVSGEALAGDRLQNIDPKVTMAIAREVAAVTRLGIEVAIVVGGGNIFRGSSWAGSSGLDRSSADYIGMLATVMNAIFLQATMESIGIPTRVQTAFRMSEVAEPYIRRRAVRHLEKGRVVIFAAGTGNPFFTTDTAAALRCAEINAEVLLKATNVDGVYDDDPRQNPNARLLETLTYQEVTSKDLSVMDMTAITLCQENNIPVVVFNLTKPDNITKAIKGERVGTLIGGTWNSMVAST >KGN45834 pep chromosome:ASM407v2:6:1581801:1583636:1 gene:Csa_6G014550 transcript:KGN45834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMESNHHRPRPPRLLLDPDTTAPPSNGSRTRNSYNNEANFDTNMVIILAALLCALICALGLNSIVRCALRCSRRFAFETGGGTASRLTATGLKKSALRQIPVAVYGSETGLEIRETDCPICLGDFMAGEKIKILPKCNHGFHVRCIDTWLASHSSCPTCRQSLLEQQSVSESAVTEAGNRGAGNSSASGQGEISITVDGIT >KGN45983 pep chromosome:ASM407v2:6:3080118:3086302:1 gene:Csa_6G041190 transcript:KGN45983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKWLCCFCQVEETNQSTENEHLKSPSNFGDGHLRASKVPAVVKAEAQKAALPIEVPTLSLEELKEKTDNFGSKALIGEGSYGRVYYATLNNGKNVAVKKLDVSSEPDSNVEFLTQVSTVSRLKHENLVELLGYCVEGNIRVLAYEYATMGSLHDVLHGRKGVQGAQPGPVLDWMQRVRIAVDSAKGLEYLHEKVQPAIIHRDIRSSNVLLFEDFKAKVADFNLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLSEDKVKQCVDPRLKGEYPPKGVAKLAAVATLCVQYEAEFRPNMSIVVKALQPLLKPPAPTGPPAPES >KGN47186 pep chromosome:ASM407v2:6:12637343:12637609:-1 gene:Csa_6G194700 transcript:KGN47186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYFILEMNVICVEGLEELNQISSPNLSVYVMVLLKGASLKDQNANTNMVEGGQNPSWNYTVKFVIDTSKQIQALKSQLMFTIISKNN >KGN46707 pep chromosome:ASM407v2:6:8643354:8645248:1 gene:Csa_6G124220 transcript:KGN46707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKAVLIGCNYPGTKAELRGCINDVKRMRQCLIERYGFSEDDIEILIDTDESYTQPTGKNIRSALARLVRSADPGDFLFVHYSGHGTRLPAETGEDDDTGYDECIVPSDMNLITDDDFRQLVDQVPEGCRLTIVSDSCHSGGLIDDAEEQIGESTNTKQKEEGGSSHFGFRSFLHQTVEGALESRGIHVPSAFQHHRHDRPSDGDESQERELELSYGERVNVKSRSLPLSTLIDILKQKTGKDDIDVGKLRPTLFDIFGEDSSPKVKKFMKVIMEKLQGDENGQSGGGFLGMVGNLAQEFLKQKLDEKDEEYVKPALKTEVGSKTEAYAGTSKRELPDGGILISGCQTDQTSADATPSGNANAAYGALSNAIQTILSECDGQITNHELVMTARKKLKSQGFTQKPGLYCSDHHADAPFVC >KGN48624 pep chromosome:ASM407v2:6:24092331:24094206:1 gene:Csa_6G495780 transcript:KGN48624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSPHSSSPSMAKPTLRHRASACLADVFRFLVGSFLALLLLWTFSSFLIPSSSNFDSLHPPDFASSSRNSQNQYPSLAYDPPDITFYDDPKLSYSIQKPIKNWDEKRRHWLKHHPSFAAGASERVLLITASQPKPCRNPIGDHLLLRFFKNKVDYCRIHGYDIFYNNALLQPKMFSYWAKLPVVRAAMIAHPEAEWIWWVDSDALFTDMEFKLPLDRYKNHNLIVHGWTHLIYERKSWTGLNAGVFLMRNCQWSMDFMDVWASMGPQTPNYEKWGQVLKSTIPDKLFPESDDQTGLVYLLYKEKEKWGNKIYLEGEYYFEGYWEEIVTTFDNITERYMEMERGGQELRRRHAEKVSEQYGEFREKYLKEAGNGKGSWRRPFITHFTGCQPCSGDHNQMYSGGSCWDGMRKALNFADNQVLRKYGFMHPDAFDSSVSEVPYDYPA >KGN48735 pep chromosome:ASM407v2:6:24818490:24821895:1 gene:Csa_6G499790 transcript:KGN48735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEEHEVYGGEIPVEEGDIDMSATDDDAIKELDEMKKRLKEMEEEAAALREMQAKVEKEMGAVQDPAGSAVSQESKEEADSRSVFVGNVDYACTPEEVQQHFQSCGTVNRVTILTDKFGHPKGFAYVEFVEAEAIQEALLLNETELHGRQLKVQQKRTNVPGMKQYFPQRYNPYMGYRSRRPYVPPYFYSPYGGYGKTPRFRRPMRYMPYY >KGN47674 pep chromosome:ASM407v2:6:16875102:16876618:1 gene:Csa_6G370190 transcript:KGN47674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSPNDAPESLECNYSSTVLQHRVMTNNPTGLSFQQKINQENVVQSSHSSCDGNSCMITKINRSSTDVFDDNNAEGISAFGASSNMKPSFSYVDKSVMECQMSKTIVCDQEVNVNDVKDICIDDGVASLENFFFKSTAEKSISKISPLEEDRNEGSIKEKETSSEVSKFIADDRKVSLEDHFAMDWTTHNDAKDLTQIEEEKLNLSEPELLMQKLVKRSYSSESLDKIGLQISGEKTNLEDPSSASKSVDSCNDTPALDSAAEPPKDNIPAHPSGYNDEFENGSIALTFNSISPVANGGEERQECCGRSDSVIGTQVLTNLEYRTSDSRLLSSQNMHDIGESSFSAVDPLASLVTYSGPVAYSGSISLRSESSTTSTRSFAFPILQSEWNSSPVKMVKAERRHYRKYRGWREGLLCCKF >KGN47909 pep chromosome:ASM407v2:6:18990194:18997012:1 gene:Csa_6G410640 transcript:KGN47909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVSRCRTTEMKNIRILEMGCFRPSQGNACPRLESEKETIKDLKVEPRARLKTGGSYEIVEHLAVSIETATQRQPTMPTHFRWNSHCGLHMPPISISLLEKLQIKKAHRSSTTNLVNLTVYWEVLIPLYLIVKMKGKKFVGGNTKDMFNAIFHIRKPNLKEYI >KGN48293 pep chromosome:ASM407v2:6:21781426:21786361:-1 gene:Csa_6G454400 transcript:KGN48293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLPLPSLTVRPTLLISYSYSRLKNFSRCSFLHMGHQVPQSFSKRRVEFRLCNVSGFTNELLEIHSDDPSLHVLFIPGNPGIISFYKDFLESLYQLLGGHVSITAIGHICQTKKDWEGGRLFSLQEQIDHKVEFVRQELQNKDIPLLLVGHSVGSYISIELFRRFQDRAVYCIGLHPFMMVNRESRQQFFIEKLSRSPLLSTLFSSFAALLGTLPIQASSFVVKKTIGKSWSRTASEAACSHLLKYHSMRNVLYMAMTEFDKFSETPDWAFMKKVSQKLSFLFCMDDHWAPMHVYEEISKQVPEIDLSVEREGYSHAFCCSEAASMYIAQYVASLVKKHLSD >KGN47675 pep chromosome:ASM407v2:6:16886604:16891737:-1 gene:Csa_6G373190 transcript:KGN47675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTGKSNQEDDEYDEDELVGKREGPSSTSNAISSIGNSKDAKNNDKANAIRSKHSVTEQRRRSKINERFQILRDLIPHSDQKRDTASFLLEVIEYVQYLQEKVQKYEGSYQNWSGEPTKLIPWRNSHWRMQTFVGHQQSVKNGSASGPTYSGKFDDNNISISPAMLASSQNPLDSHSGRDILQEADITNKVPHPMSLEGNMHASIRSDTVLDHSLHIPISDPQATECPITNNASNQPEEMGIEGGTISVSSVYSEGFMNSLAQALQSTGLDLSQASISVQIDLGKRANKGMPFGTPIFKETGNPSSNHPAMANVGDLGSGDDSEQAKKRLRT >KGN49305 pep chromosome:ASM407v2:6:27600524:27600901:1 gene:Csa_6G519575 transcript:KGN49305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPLHRIQFKLMNNPRSRIVILPIILRQLAGKGPAIRRRLGSSTTSSDGYVTERPTGRPVPLTTLAEMSRLINIVIVEVTEFGVHTLTSWTWNNLFRPLHLCFITFTTAGDRSSFLWFLLQFSGL >KGN48633 pep chromosome:ASM407v2:6:24150867:24151419:-1 gene:Csa_6G495870 transcript:KGN48633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTRHRKLLSQGNIIPHHNQNPPGVSKFRDFQALALHLHSSSIYKNPDGASQFDHLVSSKIPPPPCRLPPPCNNAVSAKEELDDPFLLAYKECTKSVKNKGINNDVGVVKKSKFLFGRFSCKTIHSCDITDNSFFKLPRDTIHVSTWK >KGN48869 pep chromosome:ASM407v2:6:25510349:25515332:1 gene:Csa_6G504500 transcript:KGN48869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPLFSKPALEGSDLENSEDEKNTSIGSFKQKAAHASSKFRHSMTRRGRRSSKVSSVVIEDVRNTDEMQAVDAFRQALILEELLPAKHDDYHMMLRFLKARKFDIEKTKQMWSDMLQWRKEFGADTILEDFVFEELDQVLDYYPQGHHGVDKEGRPVYIEKLGKVDPTKLMQVTDLDRYLKYHVREFEKTFLVKFPACSIASKRHIDQSTTILDVQGVGLKNFNKTARELISRLQKVDGENYPETLNRMFIINAGSGFRMLWNTVKSFLDPKTTAKIHVLGNKYQSKLLEIIDSRFGIFQMVNNGNHKCLMECGDNEGHHLPDVKDVCTISPKHSFNHVEHQSLSSLPEVPITKNIQVPYNEDCVRVIDKNVDFAWKTVPEKKMLASSKAIDCGLAGSVEAPGGLKFKFVANIVAFLMGISATVRLARTMPKKLTNASIYSNPVYCADDPMYKGQCQGQPPLLQPLPDYMSTVKRMAELEERVNKLCIKPPDMPREKEELLKATITRVEALEQELIVSKKVLEETMARQAEIFAYIEKKKKKRRLIPFRW >KGN46114 pep chromosome:ASM407v2:6:4209815:4210065:-1 gene:Csa_6G054860 transcript:KGN46114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKILGDMYINGIDEEDRIGDSPSLGPKKIANKERKVRVSRKIDHVEAGFDV >KGN46601 pep chromosome:ASM407v2:6:7662821:7665390:-1 gene:Csa_6G111910 transcript:KGN46601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFLPFRRFSTLLGSVPRTYASISPKFDLSLGKRNRDSIPIPHRSIPEPRGPDLDFVNVVHSHLIHSDWSKLDCLSMGLTAFRVKHILLKTQKDYVLSLEFFNWVATQNPSSHTLETHCIILHILTKRRKFKSAESILRSIIESCSIDFPSKLFESLLYSYRLCDSSPHVFDLLFKTFAHLKKFRNASDTFCRMKDYGFLPTVESCNAYLSSLLNFSRGDIALAFYREMRRSRIYPNSYTLNLVICACCKLGRLDKANVVFEEMGTMGFSPNVASYNTLIAGYCNKGLLSSAMKLRSVMEKNGVPPDVVTFNTLVNGFCKVGKLQEASKLFGEMKGMSLSPTTVTYNILINGYSKAGNCEMGNRLFEEMSRFQVKADILTYNALILGLCKEGKTKKAAYLVRELDEKGLVPNASTFSALIYGQCVQKQSERAFQIYKSMIKSSFTPCDQTFRMLLSTFCENEDYDGAVQLLEEMLNRHKAPDVNNLYELCAGLGQCGKVKTAMMLCSELEAQRLLPEGFDKLKAFGLLPDNKDAFG >KGN47584 pep chromosome:ASM407v2:6:16320724:16327993:1 gene:Csa_6G362420 transcript:KGN47584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIQFKFRSSVNFDSVDIQGRPSISIGDLKSKIIRMKNLDTCQNFDLVFSDARTGQDLTDEKLEIPSGSCVIIKRVPAGSVPSNVVRHDLFGNFQVKDTHMVKSSRPVDVETEHFDDFGIDLYPIRKSNSSISLNNKNNDAVRHYKETKRGYIQPEGSGISEAIQGVGENDLRTNIKVNVGECIGLEKPIAPVIHKCEIPSELKCSLCNSLFVDAVITGCCKHSFCEKCIHHVLLRKTMCPKCASSKYKLEDLSPNLSLRQNVTHFLESQFLMGDSDNNHEAPDEESRIEGQDMCCLPNATSRGCNQEVVDDDHVSSMRRNMMVKVDRAQFQSCHQDKFGGKPLDLPPFDDCQGESQPVFGDFKHGFLVNDFDMQGRIQNLTDFRRQKKRGRACYMCGSLDHLIRDCPVASKPHPMHLMGALPYYASPWPHVSSFPNLYGCPMAFNAPMVPDANSYWASVYGGYPAPSGFVGMRDMNAPPLRKTEEFCAGNSEFVHLSDTDKNRTIPENSTWRVIPFSNEDGSEGKDHAGNKRGQHEQDGRSRDYRMFVEKEHLRKENTQDEINWLYDEKMKSSHSPKAAMMNRLNERLKLEKEGLTCSTKLPTNERTGHYHRGFREFGARTDECCSHADSNEHKRYKQKEDKIDTFDIDLKCHTKKHHSGSKPDLARSYSSNQKLLQNDSGFISRYSKHNELTQYHHQIVGGTDDSHEEWNHKYKRKSLECGS >KGN48305 pep chromosome:ASM407v2:6:21834536:21834847:-1 gene:Csa_6G460000 transcript:KGN48305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDSIKGGYGPCENSDGEHAKEVAQWAVTEYNIKHRHERPYLYLLSVLKCESQVVAGTNWRLGLKCKDENNIEVNCEAVVWEKRWENFLELTSFVVFYPSSG >KGN49209 pep chromosome:ASM407v2:6:27110290:27112426:1 gene:Csa_6G517170 transcript:KGN49209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKFEHKFVEVKGGLKIHVAEIGTGSNVVVFLHGFPEIWYSWRHQMIAVANAGFRAIGLDYRGYGLSDPPADPSKATYSDLITDLLEVLDSLDISKVFLVGKDFGAMPAYYFALKHPERALGVVTLGVPFMPPARPINFIDHLPEGFYISRWQKPGRAEADFSRFDAKTVVRNVYILFSRSEIPIAQENQEIMDLVDSSTPLPPWFTEEDLAAYGELYEKSGFQTALKVPYRSLGEDWGVKDPKVEIPALLVMGEKDYVLKFPGIEEYVRSEMVKYYVPKLEVIFLPEGSHFVQEQSPEEINQLLLNFLAKHT >KGN46279 pep chromosome:ASM407v2:6:5396011:5398635:-1 gene:Csa_6G079730 transcript:KGN46279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARATPIILPALQLKATDSNGVTPSGNSIFLPRLSISKPSWIVRTESNVRREKIKKPDPPCVICNGSGRVDCHHCCGRGRTNFVDLEMLPKGEWPKWCRTCGGSGLGYCSRCLGTGEYRYIMGFQFMKMENDESKDPKKYEDQTKQHPRNANPEI >KGN47220 pep chromosome:ASM407v2:6:12927737:12928312:-1 gene:Csa_6G212910 transcript:KGN47220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPASKLLPNICLTLFLSLILLLLFSLILAFTVFKPKQPIIVVDSVSLLDLNVSITDGVHLSLSLNVDLTVQNPNKVGFEYSESTAVVIYRGEKVGEAPIPGGRLPGKGTEKMNLTLTIMGDRMLGKSEVFSDVVSGQLPISTFARLPGKVKVMNVLKIHVVASTSCDLIIDVKNESFGDQLCQYRTTL >KGN48407 pep chromosome:ASM407v2:6:22615794:22616165:-1 gene:Csa_6G486800 transcript:KGN48407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLCSSLKHSKHYYRDRRKVPTSEFQGKFQNPNPKFIENRKFHIQAFRLNRQKPKCILFVRVSDIQGRIKTAEALKPGEGESSQAATANVLRNKPYKFILTDPLPHYLQHQERLIKSP >KGN46131 pep chromosome:ASM407v2:6:4342755:4344507:1 gene:Csa_6G056490 transcript:KGN46131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPATDYQGSSAAFTNIGRPVQGIRRDQLYAMDGSPTSPEQDLDSFQRQVADRFLDLASVGSDDLLSLSWVHKLLNSFLACQEDFKLVLISHKSQISRPPLDRLVADYSERSVKALDVCNAIRDGIEQLRQWQKLLEIVLSALDNCNSQKTLGEGQFRRAKKALIDLAICMLDEKDSHTSALAHRNRSFGRNNASKDPRSLGHFRSLSWSVSRSWSAARQLQSIGNNLAAPKATELLTTNGLAVPIFTMNMVLLFVMWALVAAIPCQDRGLQVHFSLPRNFPWASSILQLHDRIVEESKKRDRRNSCGLLKEINQIEKCMRLMNDLADSAQFPLAEEKEAELRQRVQELTTVCDTLRTGLDSLERQVREVFHRIVRSRTEGLDSLGRANHSE >KGN48298 pep chromosome:ASM407v2:6:21807151:21808126:1 gene:Csa_6G454460 transcript:KGN48298 gene_biotype:protein_coding transcript_biotype:protein_coding description:Harpin inducing protein 1-like 9 MASHLNGAYYGPSIPPPSSKSYHRPGRGDSGCGCCGCLGCLCNCCCGCILNLICQIIITLVILLGIVVFLLWLIFRPNLLQFHATDASLTQFNFTSPNNNNLHYNLALNITVRNPNRRIGIYYDVIEVNAFYEDQRFSTVNLGQFYQGHKNTSVLSPSFVGQNIVLLGTDGISSYNSEKSSGIFSIDVKINLRIRFKFGLVKLGHYKPKIRCPLKVPLRSNSTSSNGVFETTKCSYDL >KGN48133 pep chromosome:ASM407v2:6:20652633:20659663:-1 gene:Csa_6G444980 transcript:KGN48133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHGIGGRGRGVASVSRRFDHLTHSLVSASLISAVAGVQSSLFLTLPLCCVVVYNLSSRTNQSDYFLKVLGIVASLVASAVNPQDLLDHYSSFISIVFGITETYALNSMDTQYFSTPPSKGTNLKSGSITTPGSSPLLPSITRLWRPAAQRNIRNQWSKLSSLKQQWASSSSSGRSHATSIVNAYLSEKYMPSMELGSLCDMIDIRNKACLKLSKQQELYRSKLLSSFKEMVDVVVQMVNASRLMKCYFKRSSNSALIEFSTSSEDNHNEDAGDGGGIPVFTLFTIPCFEKLAEELVHMFELELNLKRLLLMELLSLSSEASPKSLIWSEELYWGEFDNLRLCNLWSEETDELLHPTLKSHKSNAPTVSRNQHPNAEVLQVYLVTWLAEVNIHTRRVDEIFELVSQEIHVSLS >KGN48326 pep chromosome:ASM407v2:6:22017434:22019687:1 gene:Csa_6G476660 transcript:KGN48326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSEGLNRHQVGHLPTVFYIPNFISPDHETVLLDNIYKAPVSKWKSLKNRRLQNWGGIVHEKGLLPQDLPIWLTNITKKIGQETSLYPAPINHVLINEYLLDQGIMPHQDGPAYFPVVAILSLGSPVVMDFTPHSRLKSCADQLTEKVDDKDSNGTTHHPHPFSILLMPRSLLIFKDEAYSGYLHGISDNSLQFYEQAVNKTEVQNCLLETENATVKVNRDHSSFERTNTRVSLTCRLVPKVHKHLFRH >KGN47074 pep chromosome:ASM407v2:6:11949478:11957099:1 gene:Csa_6G185240 transcript:KGN47074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWFRNLNKLSPSKPPLRSTNPHPFLPSTTPFTFLLSHFSSQPISDASASAEALSRPPGLGPTASGEKPRVVVLGSGWAGCRLMKGLDTSIYDVACVSPRNHMVFTPLLASTCVGTLEFRSVAEPIGRIQPSISREPGSYFFLANCTSVNTDEHSVQCETVTDGSNTLEPWRFKLSYDKLIIALGSQPLTFGIHGVKEHAIFLREVYHAQEIRRKLLLNLMLSDVPGISVEEKRRLLHCVVVGGGPTGVEFSGELSDFIIKDVTQRYSHVKDYIQVTLIEANEILSSFDDRLRHYATKQLTKSGVQLVRGIVKDVKPQSIILNDGSEVPYGLLVWSTGVGPSPFVNSLEVPKSPGGRIGIDEWLRVPAVEDVFAIGDCSGFLESTGKQVLPALAQVAERQGKYLAALLNKIGKEGGGRAGSGKNLELGDPFVYKHLGSMATIGRYKALVDLRQSKVRSKGDIHGRVPQLVRLAFGLSNTCHKLEEPILRGGELGNNFHLWTRYKQNIELDVSTQHLASSYYCHRSELFFKTNLLLINLCVDLK >KGN46816 pep chromosome:ASM407v2:6:9810467:9812984:1 gene:Csa_6G139160 transcript:KGN46816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAEPEIDDYQFPLPGFRFHPTDEELVGFYLRRKVDKKAIGTELIKSIDIYKHNPWDLPNGSNNLGEKEWYFFCKRGRKYKNSIRPNRVTGSGFWKATGIDKAIYNGSQGSNIIGLKKTLVYYKGNAGRGTKTEWMMHEFRLPNPHTTTSSIFGRITTSSNKLQDAEIWTLCRIFKRSVSSTKYAPNWREIAGGNGGSIFGEMNNNNGNAYSEECYDNESNYISFSSSLINFEEKKPIINNNNNNNHNPLMMMNFSSISEEQEAPSSSTIEELSPSSYSNFDGDATDLFGYKDKNKLQLLNQDFSSFIHHHR >KGN48500 pep chromosome:ASM407v2:6:23251080:23252887:1 gene:Csa_6G490150 transcript:KGN48500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVEELLVGAALGALLGELLKGVMNLMEKSVHFKPELELLKSQIEFLQPLVDQVDELGEDFKLRYSDQTLKILIRKGKQLIRECNDAAIQNTLSRYFKIQLCYTKDLRRLDAELKRAGSNLRLELYVQQMKKLQALEINYNNDGRYGRILDRAKLIVTSILTHVGYNFTFVTNILDKLFSGESGSNNNKYNIKLPLLFYHDQRLKGKAGVNSLHALLGRAVEHIKDDPKKGRIAGIIEEIIKKWGEGRFEKIFHRTFETTIVAADEKLQIWFACNLSTTRERGENETVAAFGVLFVSSAKLAFWSFKPTPLPHSSNVNTQSLYLKVVIPLEVLKDVEYDGDQKCIRVIAIDDQKFEFMNFRNYNFAKEGIQQIPLAPIWTR >KGN47037 pep chromosome:ASM407v2:6:11681914:11683652:-1 gene:Csa_6G178950 transcript:KGN47037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSKQVSALVFTLLAGLLSQSLLIPVLSTSIADQKSYYSPPDPHSGSPPSGSHSSPMPPSHGSGGTPHYSTPTPSTPSNPPSGGGGYYNPPSSGGSPPSTPVDPGTPSTPSTPSVPSTPTTPTIPTIPFTCTYWLNHPGLIWGVLGWWGTLGNAFGATNVPGFGTNLNLLQALSNTRNDGYGALLREGTASYLNSLASNRFPYTTKQVRTSFVSALSSNKAAGNQANTFKLANEGKIKPRA >KGN47602 pep chromosome:ASM407v2:6:16445423:16446328:-1 gene:Csa_6G363580 transcript:KGN47602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLGWSFDGMIVSQAMGFKRESKSSASATSPCADLRAAYHNCFNRWYSEKFVKGNWDEEPCVSEWQKYRACLYEHLDDKKLKRFLEEETLVHSSMKSDGS >KGN48934 pep chromosome:ASM407v2:6:25893148:25895294:1 gene:Csa_6G507090 transcript:KGN48934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQDMWNAPPGFRPSKSAPSSPAKPLAVSRLRPDPYHVTHKVPVGDTPYVRAKNVQLVAKDPDKAIPLFWAAINAGDRVDSALKDMAIVMKQQNRAEEAIEAIKSLRNRCSDQAQESLDNILLDLYKRCGRLDDQIALLKHKLFLIQQGLAFNGKRTKTARSQGKKFQVSVEQEATRLLGNLGWALMQQNNYVEAEDAYRKALTIAPDNNKMCNLGICLMKQGRISEAKENLRRVKPAVIDGPRGTDSHLKAYERAQQMLKDLESEMMNRGGDRLEQRRLFDTFLGSSSIWQPQPCKDHTTTTLPTLPVTNPVRTIQDDFGDENIDTNSLTNQMVAPPQQHKFIKQVQVPLGNSLNVAAQPFFLSKFVSEPISKVPLGNQFPEGLKRTRSGNAANSMRVNDLVEIKRPFLAELGKTETKTRKPFSTSEETDKWAEILPDDNDFEEAILAAVLGSSDDEAEKKTTANAGAGGGGGGGVIQRKIEKRLKVFEDITLSLSPRA >KGN49129 pep chromosome:ASM407v2:6:26761921:26763336:-1 gene:Csa_6G514900 transcript:KGN49129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLNFSHPLLLTLLLTLFVQYSLSSSDSDRVAELLDLQSRSPSGVIHLDDQSVSRFLTTPKSPRPYSLLIFFDALQLHDKSELHLKELRHEFGLVSSSFIANNPDPSSPSRSKLFFCEIEFKQSQPSFSLFGVNALPHVRLIGPNQTPKKSDQMDQSDYSRLAESMAEFVESKTSLVVGPIQRPPMFSKNQLVVLFIAMLISAPFALKKVIAGETLLNDRKVWLTGAIFIYFFSVAGTMHNIIRKMPMFLTDRNDPSKLVFFYQGSGMQLGAEGFAVGFLYTIVGLLLAFMTHVLVKVRNVNIQRVFMIIALFVSFWAVKKVVYLDNWKTGYGIHGYWPSSWN >KGN46517 pep chromosome:ASM407v2:6:6979383:6980886:-1 gene:Csa_6G106200 transcript:KGN46517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHLQTENCRRMKAMHSVICHRNNTLKKNKDVGHKWTEYQGIQNWDGLLDPLDHHLRTEILRYGRFVEAAYDSFQYNPTSPFYASCRHSKSSLLNRTGLSKTGYRVTKYLRATSSLELPYWVEKAANSTATRSSWIGYVAVCEDKKEIARLGRRDIVFAYRGTATCLEWLENLRFALTELSDSCSSWVGSKPMVETGYTGEPLSLTITGHSLGAALAILTAYDIKMTFEQRAPPVTVVSFGGPRVGNKDFQRSLDEQGTKVLRIVNSDDIVTKVPGIVVDDDNLEALPWWIRQCVENVQSQRLYSEVGKELKVNNKSTSWYVNGGIMNMGMHHDLKTYLHLVEAS >KGN48526 pep chromosome:ASM407v2:6:23450753:23454244:1 gene:Csa_6G490900 transcript:KGN48526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKERSCSSSHNFSAFPSPGVPNYWEINVMNQRGCSSERIPQPNSNSRRRNASVAALTPFYGRTLPSKWEDAERWISSPVMGNGFSRSLQSHLQRRPKSKSGPIGSPGVSTYSNYSPAIPTPENGGFAATKLGSALSTGVLVADGVSICYGGTIERGQSYPEYTIQRLVSAPRWSNILSESPLPSFQDEKLGCSEETMDSTVVSQRNMATQMSSEDFTPSSPQGNGSISSSSPPSTHQSMVGKESDHFSKQEVRDVEVDKGAMMQRSKGHKLRLNEENFPHHGNLDENVVEAGASTLDIAEAAIQVSKLQREEAKIMAWENLQKAKAEAAIRKLEMKLERARSSSMDKILKKLRKAQMKAHKMRSSPAGDRNQRVRNTNNFLPFHKHVWIGSLKRWFICHAS >KGN48604 pep chromosome:ASM407v2:6:23974426:23975468:1 gene:Csa_6G495090 transcript:KGN48604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKISFLIFILSLDFFVVLNHLRVNGDSWRRSLHQPLDPATFSSPPSPPPPPPPPPPLESAHSDQPTPAPSNVSLPNLPSSGQQSFKPIKTLGIVCSVAIVTLGMLSALAFFLYRQRIKYRYKSRMLVEKERSLSFRHDSGIPPSSFLNYGTMERRPGSIVERKGGKGEDGSSPDRRVDSVKKLDFHLLSPELQPLPPLSQNQIVNPSFETPLSDDESRETVFHTPEWAAAVPYSGGKRESRNNSLSVKPKEGTSPLPYLEDSLIKQDHRRPAASPPPHPTTT >KGN48371 pep chromosome:ASM407v2:6:22315965:22316448:1 gene:Csa_6G483510 transcript:KGN48371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIIKYRNHNPRKQGSKAIPRDHRKATAKFNSVTDPAKNMANEMKLLKSQLPPHERQTRAARSDKQKPVAVVLLLQPLCARLLICKAH >KGN45737 pep chromosome:ASM407v2:6:854425:856825:-1 gene:Csa_6G008680 transcript:KGN45737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAGAVNRIPLSLNGAIAGPSVQSSAFLGSSLKKANFRFPSSKVSSGSSFKVVAVAEEIDEKKQTSKDKWKGLAFDVSDDQQDITRGKGMVDTLFQAPSGAGTHDPVLSSYEYLSAGLRQYNFDNNVDGFYIAPAFMDKLVVHISKNFMKLPNIKVPLILGIWGGKGQGKSFQCELVFAKMGINPIMMSAGELESGNAGEPAKLIRQRYREAADIIKKGKMCALFINDLDAGAGRLGGTTQYTVNNQMVNATLMNIADNPTNVQLPGMYNKEENPRVPIIVTGNDFSTLYAPLIRDGRMEKFYWAPTREDRIGVCSGIFRSDNIPKEDIVKLVDTFPGQSIDFFGALRARVYDDEVRNWVCSVGVENIAKKLVNSKEGPPTFEQPKMTLEKLLEYGNMLVQEQENVKRVQLADKYLSEAALGDANEDAIKSGTFYGKAAQQVSLPIPEGCTDPNADNFDPTARSDDGSCNYVL >KGN47024 pep chromosome:ASM407v2:6:11528919:11529632:-1 gene:Csa_6G169890 transcript:KGN47024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSLTSHTSTLEHTDYIQKLGLHYLQFNLFISQIYTSSPCSSSIAFSSFVSQACRRQKQNTTRCEIECKSSFYPSKQFSYFNCIVPVVSVAEEYHVEQVRANERKEL >KGN45977 pep chromosome:ASM407v2:6:3010712:3012262:1 gene:Csa_6G040640 transcript:KGN45977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVRKSIIRNCNNNNNHNASAENEVRRGPWTIDEDTLLTHYIACHGEGHWNNLAKYAGLKRTGKSCRLRWLNYLKPDIKRGNFTPQEQLLILELHSKWGNRWSKIAQQLPGRTDNEIKNYWRTRVEKQAKQLNIESNSKKFLETVRDLWIPRLLQKMDQKSSSPNSSNYSPPPSLEETFYQNSCGSSSSEATIEAKQTSIQSCGGYYQWPESSERASEEFGNNSDVQPLNNNNNGEFLHVDQLQIQLQHPFSLLGATCGGAEFDGQMAASDWVLQDDKSESLWNF >KGN49014 pep chromosome:ASM407v2:6:26232193:26236026:-1 gene:Csa_6G510320 transcript:KGN49014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIRNLVALMLFFTVIAPILLYTDRLASLKFSSKGDLVEGFATSGFNSNYGHLNLVDGKSSSSVKEPVAIVYSDNKLLTDSGASDWQSNDGIQGVIERKSTRVLSTTDDEGLSQNENPIKQVTDPIGLPNVISGNPNSTSEKNSEVDPNVKQEQSATQTSEKTDGGEIVKSRVEQDSVQVAHTNARVRHLKDQLIRAKVYLSLPGTRNNPHLTRELRLRIKEVQRTLGDASKDSELPKNAHERLKTMELTLAKGKQAQDDCSTVVKKLRAMLHSTEEQLRVHKKQGLFLTQLTAKTLPKGLHCLPLRLTTEYYSLNWSQQPFPGQEKLEDPDLYHYALFSDNVLAAAVVVNSTITHAEESSKHVFHIITDRLNYAAMRMWFQANPPDKATIEIQNIEEFTWLNASYSPVLKQLGSSTMIDYYFRSHRASSDSNMKFRNPKYLSILNHLRFYLPQLFPKLKKVLFLDDDIVVQKDLTGLWSIDLKGNVNGAVETCGESFHRFDRYLNFSNPLISKSFDPHACGWAYGMNIFDLDEWKRQNITEVYHSWQKLNYDRQLWKLGTLPPGLITFWKRTYQLDKSWHVLGLGYNTNVGQKEIDRAAVIHYNGNMKPWLEIAIPKYRNYWTKHVDFDNVYLRECNINP >KGN49301 pep chromosome:ASM407v2:6:27589941:27594318:-1 gene:Csa_6G519540 transcript:KGN49301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYDYGSILQFAPLQSAVDEGFWHRLSSLKLNQLGIDDSPIPITGFFAPCSHSLLSNHLTLLSESLPIEVRRDSSTPLTTKGNRNRCAVPGILYNTNTVESFHALDRLSLLKSEANKIWEDIRSGKALEDSSVLARFLLISFADLKIWNFHYCFAFPALVLDPPATVVGLTSASQWFNFKEAESLFTAFGQWRSSDLTSDIPFFLVNIDSSSQASIKHLRDFETCQNNGGKLLFGFYDPCHLPSNPGWPLRNFLALIYSKWNLKSVDFLCYRENRGFADLRLSLVGTALIDDPKGFRDPSCMPNPVGWELKRGKKFYKTINLAKSMDPTRLAISAADLNLRLMRWRALPSLNINMLSSLKCLLLGAGTLGCQVARMLMAWGVRKITLVDSGRVAMSNPLRQSLYTLEDCLNGGNFKAEAAVKSLNRIFPAMEAEGVVISIPMPGHPVPDHEAASTIDDCRRLDDLINSHDAIFLLTDTRESRWLPTLLCANANKVTITAALGFDSFLVMRHGAGPSGSWHDSTSQTNIANLSLNTTNTRQRLGCYFCNDVVAPIDSTANRTLDQQCTVTRPGLAPIASAIAVELLVGILHHPEGIYAEGELLNSGIAGASEQPLGILPHQIRGFFSQFSQMTLVGRSSDSCTACSSMVVSEYRNRGMDFILQAINHPTYLEDLTGLTELMKSTSSFQLDWDNDSDGSGDDDGCIEI >KGN46079 pep chromosome:ASM407v2:6:3940044:3941787:1 gene:Csa_6G052050 transcript:KGN46079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRLPLVAHISLLFVIFFIILMIGSSLDATRWDHMSFNAEDTHRTINHSRHQQEKTKEVLGMELYPTGSSLPDCSHACGPCFPCKRVMVSFKCSVAESCPTVYRCMCKGKYYRVPSN >KGN47346 pep chromosome:ASM407v2:6:14425640:14429355:1 gene:Csa_6G302140 transcript:KGN47346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRKNEQSNLWRHKQEPQNSYALSFSSYDVCCYPAVMVHKNHQSQNEGEKVKKKAEGQRRRFAAAITVAYRLSSSSLFSIRAHNYDLSFSTGRLSDLLFRL >KGN47314 pep chromosome:ASM407v2:6:14120024:14125039:1 gene:Csa_6G292430 transcript:KGN47314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGAGRSLEETPTWAVAAVCFVLVLISIIIEHILHLIGKWLKKKHKRALYEALEKIKSELMLLGFISLLLTVGQSLITNVCIPPDVAATWHPCSPQREEELTKEADLVDSDQNRRKLLALSHHVNATFRRSLAAAGGTDKCAAKGKVPFVSEGGIHQLHIFIFVLAVFHVLYCVLTLALGNAKMRSWKSWEKETRTVEYQFSHDPERFRFARDTSFGRRHLSFWTKSPFLIWIVCFFRQFVRSVPKVDYLTLRHGFVMAHLAPHSDQKFDFQKYIKRSLEEDFKVVVSISPPIWFFAVLFLLFNTHGWRAYLWLPFVPLIIVLLVGTKLQVIITKMALRIQERGEVVKGVPVVEPGDDLFWFNRPRLILYLINFVLFQNAFQLAFFAWTWKEFGMKSCFHEHTEDLVIRITMGVLVQILCSYVTLPLYALVTQMGSTMKPTIFNERVATALRNWHHTARKHIKQNRGSMTPMSSRPATPSHHLSPVHLLRHYRSELDSVHTSPRRSNFDTDQWDPDSPSPSPSHHFHRRPHPGDGSISNHHRDVEAGDLDVDVESPQPDRTTQSINPTNIEHHEIDVGSNEFSFDRRVDRV >KGN46853 pep chromosome:ASM407v2:6:10168598:10169251:-1 gene:Csa_6G147470 transcript:KGN46853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLGLQLFSVILSTIMFFHLCEALSSCNGPCQTLNDCQGQLICINGKCLDDPNLGTHICSGSGGGGGGGGGGHGCHSIGNLNCKGKTFPQFKCSPPVTSSTRAVLTNNDFSKGGSGGDPSECDGKFHDNSDPIVALSTGWYNGGSRCGQMIQITASNGRSVLAKVVDECDSINGCDKAHAGLPPCHNNIVDGSDAVWHALGLDIDVGEIPVTWSDA >KGN47821 pep chromosome:ASM407v2:6:18300139:18303231:1 gene:Csa_6G405340 transcript:KGN47821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARYILLNLKLVFLIVVLISCVEYTISSFVEGNGDDQPFDFSVEDATENHFTYSDMSHGFDRVVQKESDAWSMVETKQNQFVVDGQPFYVNGFNTYWLMIFAADQSTRGKVTEVFKQAASVGLTVCRTWAFNDGQWRALQKSPSVYDEEVFKGLDFVISEAKKFKIRLILSLANNWEAFGGKAQYVKWGKAAGLNLTSDDDFFTDPTLRSYYKAHVKTVLNRVNTYTNVTYKEDPTIFAWELMNEPRCTSDPSGNTLQGWIQEMAVFVKSMDPKHLLEVGLEGFYGPSTPNRVQFNPNTYAQQVGTDFIRNHKVLGVDFASVHIYADTWVSQAISDAHLQFTKSWMEAHIEDAEKYLGMPVIFAEFGVSTKDPGYNSTYRDKYLSSVYKTLLDSTKKGGSGGGSLVWQLFPEGTDYMDDGYAIVLSNSPSTSNIISLHSTRMSIFNSICSMKCRWGCKKKNVMDIIFLNHNDHDEM >KGN47058 pep chromosome:ASM407v2:6:11841666:11844709:-1 gene:Csa_6G182120 transcript:KGN47058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICAQGFTPLTQFGFSFSLSSPLESQRCGFSTPRLYMVSPISCNYQDSTFSVSRAAKFRDLRLFKSVELDQFITSDDEDEMGDGFFEAIEELERMTREPSDVLEEMNDRLSAREIQLVLVYFSQEGRDSWCALEVFEWLQKENRVDKETMELMVSIMCSWIKKLVEGRHNVGDVVDLLVDMDCVGLKPHFSMIEKVISLYWEMGEKEKAVFFVKEVLGRNLAFMKDDWEGHKGGPSGYLAWKMMVDGDYRGAVKMVLHLRESGLRPEVYSYLIAMTAVVKELNEFAKALRKLKGYARDGFVAELDKNNVELVAKYQTELLADGVQLSNWVLEEGSSSIRGVVHERLLAMYICAGQGVEAERQLWEMKLVGKEADADLYDIVLAICASQKETKAMKRLLTRIEITSPMIKKKSLTWLLRGYIKGGHFRDAAGTLVKMINLGFLPEYLDRVAVLQGLRKEIREPESVHTYLDLCKCLSDANLIGPSLVYLHLQKHKLWIIKML >KGN47359 pep chromosome:ASM407v2:6:14557189:14557878:1 gene:Csa_6G303740 transcript:KGN47359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSSIFHHPPRVFVCKTCNREFSSFQALGGHRASHRKPKLSMSGDALCNSNQNKTKAHECSICGVEFPVGQALGGHMRRHRNSSPPSQAMIMTAQPVSDESDSDCGVGGGVDLDLNLTPLENDLVRLQLMAPPVGCFT >KGN46790 pep chromosome:ASM407v2:6:9466969:9469911:-1 gene:Csa_6G135460 transcript:KGN46790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHATKKPSSMTSHDRPMCVQGDSGLVLTTDPKPRLRWTVELHERFVDAVTQLGGPDSIHTFSHISLTNFFTYAAKFRLGKQPHKEFNDQSIKDGIRASALELQRNSGSSSTLMDRSMNEMHMEVQRRLHEQIEVQRHLQLRIEAQGKYMQSILEKACQTLAGENIAAATAASAGPFKTTSNNMGTTTNLVSDAAIKDFISPHHTFPPFQDMNNLFNSDPPPPPPPLIDCLKKPSPFISGAGKTPIFWPDDLRLQDLGTPSATLAPAPPPIGGPPDLDSLQLEMYESKPPPPTPLLAVGNNAMNEKKFDMTLKLERPSPPRSGHSDERVNNNNNGMANNNNPTMSQPQGRNSSFG >KGN46826 pep chromosome:ASM407v2:6:9904864:9906140:1 gene:Csa_6G139750 transcript:KGN46826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSMALSSPSFAGQAVKLSPTASDLLGEGRITMRKTAGKPKPVSSGSPWYGPDRVKYLGPFSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGCVFPELLSRNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLVHAQSILAIWACQVVLMGAVEGYRIAGGPLGEITDPIYPGGSFDPLGLADDPEAFAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAYATNFVPGK >KGN47556 pep chromosome:ASM407v2:6:16097749:16100410:-1 gene:Csa_6G358690 transcript:KGN47556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLNSISQFLVSFLCRCFHSSILHLPSSSLEAKKRRSRGSASAMITRSNLVEQLREYQIRSKHEWASVSFFSSTSNITSSRVDVVIFVIWELIILSFLVFSAVSLYFRHMQLAFILVCITMLLLLCMKVTKQVRLARKKKRRMLLPLSM >KGN45801 pep chromosome:ASM407v2:6:1340641:1341220:-1 gene:Csa_6G012260 transcript:KGN45801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHILPSFAEKLRSKDLSTAGHNFLSIFAQPFDFPATTRLALLATRCLAELLIGTLSNAVTAFTFKLGLPGFNVTGNGISYLGNYPFGYPILFLLVTGVHVQLVFGVHCKFSKLTNFLRCKSPNVPTGLKSLSVRLS >KGN48815 pep chromosome:ASM407v2:6:25250904:25253534:1 gene:Csa_6G502040 transcript:KGN48815 gene_biotype:protein_coding transcript_biotype:protein_coding description:PreproMP73 MKKHSAVSVSGSSFSPSILIPIFFLFLSLPAYADDGWWEGDTPVVKRANERIPILKTEYGEISAVDFDDGTRFGRYHLQFITLEPNSLFLPVLLHSDMVFYVHTGSGRLNWFDDNDLKEVDLRRGDLYRLHPGSIFYLQSSLETEREKLRIYALFSSTDEDSFNPSIGAYSRVTDLVRGFGKEVLRKAFMAPDEVIEEIMNAKRPPLIVHAAAPTPSIKAKSSSPWEFEARLLKSFLGGDASAIEFNKKKKKKGIYNVYEVDPDFENCNGWSLTVTKKNSHQLKGSNIGFLVVNLTAGSMMGPHWNPRAWEIGIVTSDEPGVIRVGCSSTSANSSKCKNWSFVVEKGDVFVVPRFHPMAQMSFNNGTFVFVGFSTTNGHNMPQFFAGSSSVLKIVDREVLAWSFDVNVTTIDRLLKARVESIVLECTSCAEEEVRKMEEEAEREREEEEERKREEEERRKREEEEERKREEEERRKREEEEERKREEEEREEERKREEEEEEEERKREEEEEKKREEEEEEEERKREEEEEKKREEEEEEEERKREEEEEKKREEEEEEEERKRKRKRGGGEKRKREKERKRRERERKREERRNRGGEKKKRKKKKRGRQRERRRKLGKERKNIKEREGRGGERGRKDKEDGGRKRKKKVEERSRSCHSQY >KGN46137 pep chromosome:ASM407v2:6:4366334:4381663:1 gene:Csa_6G056540 transcript:KGN46137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEWIGTQRPDLKFDELSGDKQKQLVADLVKIQRDGTVEVDIENAPAASELLKLHPTLEGSSPIVDDVVSESKKLIPRLKIAILVVGTRGDVQPFLAIARRLQEFGHHVRLATHTNFSNFVRSAGVNFYPLAGDSRELAEYMTRNRGFIPSGPGEISVQRKHLKVIIESTLPACTEPDPDTGMPFRAQAIIANAPAYGHTHVAEALHVPLHIFFTMPWTPTNEFPHPLARVPQNTGYWLSYIIVELLIWWGIRGSINEFRRKKLNLPPIAYFSTYRGSISHLPTAYMWSPSVVPKPKDWGPLVDVVGYCFLDRGFKYQPEELVLKWIKKGTKPIYVGFGSMPLAEPQRTTHIILEALKDTGQRGILDRGLGGLGNCTELPEDVLLIQDCPHDWLFRHCSAVVHHGGAGTTSTGLRAGCPTTIVPFFGDQFFWGETTHQKGLGLAPIPISQLNPTNLSNAINFMLQPEVKRRATEIAKIIDSEDGVVAAVNAFHHHLPSELPLPPASEEDEDFANPLQWLLLKVEKWCCVPCGLRL >KGN47874 pep chromosome:ASM407v2:6:18785493:18787349:-1 gene:Csa_6G408820 transcript:KGN47874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFLELQTAVVVMTPIESTFSLDVNSNLGNIKVMINLFLVKPCFPVDLLIMMMRISSEKVSLFKYLSCVELGQYQEQVVVVGLGKPLKKSLHEVIVVSQSIMRTQRIFGLLQVKSLLTVTAEAETPVGAVSIRRIHRVPSDIPLYDILNVFQKGNNHMVVVVKVKEKTKNSALSSNGEKHGEKSFTSGISPLVTPLLTKH >KGN48199 pep chromosome:ASM407v2:6:21043148:21043465:1 gene:Csa_6G447080 transcript:KGN48199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSIESSESNRRNAGELIELYDSGDQSDQRNRAPESQEEREREREREISNGGSGR >KGN45843 pep chromosome:ASM407v2:6:1644010:1659830:-1 gene:Csa_6G014630 transcript:KGN45843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDFGSLIVRNDRSKPSLSLTQNDVSNAEWKLYSLASCISSNPAVYPQCIFALISLKFHHQHKNFWLLHHGCAPINTLFASQSFILLSGDFLDFIYLFLRMGRRKQKQPNRSGGIRFEDRGDHKTQLDINEVVETAVESSDIKLDGVNEPIFVEVDRSGWYSNEHYDISEVFLADVRLEHPFVGFCLDKSVRENSRYSLRFRLCNVNGSLLDRIKFGHWPVLSSNDTFLEFIERDMEEDVKACSVVLSGNLDGPDEAISGLVHLANLKLMTLRPVDGVPFSQNMGSLRLRVEILSSAFDACESIFDNGRQLWKKSMMNTITWLRPEVVLSEVKYGVVKSSNMDTHLHHEAGDDTSNSRKHANFDTIGFYDAIKPSKDEPMLDEDIPNLLPKLRPYQRRAAYWMIQREKGFSGNSGLGENVQLISPLCMALKCLDTCLRVFYNPFSGNLTLNAEQVLPHVYGGILADEMGLGKTIELLACILSHQMSVFEGRKGFDVEVQQLVEDQRTEFKRLKRERIECLCGAVSENYRYKGLWVQCDICDAWQHADCVGYSPKGRIVKSVDTEDGNSRREKRNNRNTLNVIVRAEEHVCTPCLELMQATDSPMATGATLIVCPAPILFQWQAEILRHTHPGSMKLLVYEGVRDTSLLGTLSAQINDLINSDIVLTSYDVLKEDLSHDSDRHEGDRRFMRFQKRYPVIPTPLTRIFWWRICLDEGQMVESNATAATEMASRLYASHRWCITGTPIQRKLEDLYGLLRFVKASPFNVHRWWVEVIRDPYERRDPGAMEFTHKFFKQIMWRSLKIHVTDELQLPPQEEQVTWLKFSPIEEHFYQRQHETCVSYAREVIQGLKDDFVKRKVPDCVTSDIPSDLLITHADAGKLLSTLLKLRQACCHPQVGSSGLRSLQQSPMTMEEILMVLVSKTKIEGEEALRRSVVALNALAGIAIIEKKFSEAFSLYKEALEFAEENNEDFRLDPLLSIHIHHNLAEILPLAVNQSQSPLKDQLCPRTCEVKASRMDDSEKYDDHVHIMKKQKVSETLYATCSEDNTGKMIDHPLQLKGKDTNAKKEENYEPHRSSGYFDEISVRKVCEVMRQKYLAVFSSKLSIAQQEFTKSYMQVGSELKDRENFNDVWWLEAVHHAEQNKDFSHELIRKIEEAVSGNLNNSKSRVGSRFRSISALKYHVQSGLDLLEASRKVVLDRLLEIDQTMKSPKEEDIERVRYCRICQADSNGPPCVLCELDELFQEYEARLFRLNKVQGGMVTSVEEAVEAQKKKSALNRFYWSLLQQNKNSSSSKVGHEEPNKRDAGEKVMVSKHPSELEVVLGVIKNFCKTQLGKESIAAANKQLHLLEHMRKEYGHARSLAIAQAQVLNAHDEIKMATTRLSLRGDDDDDSSAFTISEHELPAASVQYSSDKFMSLAMLSRVKGKLRYLKGLVQSKQTIPLDSSSDLALTQEPAITSTATEQKNENTSKADEESCPVCQEKLNNQKMVFQCGHITCCKCLFAMTEKTLHGSKIQTKWVMCPTCRQHTDFGNIAYADDSKNETLDPSTSLETSREHEMSITVQGSYGTKIEAVVRRILWIKYTDSEAKVLVFSSWNDVLDVLHYAFVANNITFIRMKGGRKSQTAISEFRGQKINAKENKKKRLSTDLPELRSAQVLLLLIQHGANGLNLLEAQHVVLVEPLLNPAAEAQAISRVHRIGQENKTFVHRFIVKDTVEESIYKLNRSRESSSFITGNAKNQDQPFLTLKEVESLFASKASPLGENDDKGSETLRQLAPSMAATIAAERRLREHTT >KGN45934 pep chromosome:ASM407v2:6:2392641:2392913:1 gene:Csa_6G024420 transcript:KGN45934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILGGEEAQEILQQSSFQIHLYRDPNERHHNVEKFKGASAIPLINLYIFSSVQNPLEIQLERPNTHFLCFLSFVGKQHSSEVQKRQGIIP >KGN49504 pep chromosome:ASM407v2:6:28750036:28754050:-1 gene:Csa_6G526380 transcript:KGN49504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKALRALRIATTIPPKSHRPFLTNPIFPPRYYSAQPEQDDSNHNPTLSDTENAPDSVFDSSQFDIPTMDSTTKTEPDSGWDKKYRAKADKLIFGKDSEGVKYKLMEEEEERRRRALAKSLLEAVLETADDDQEDGERTVKEEDQKSLAVGIVGAPNAGKSALTNYMVGTKVAAVSRKINTTTHEVLGTMTKGNTQICFFDTPGLMLKSKGFPHKDMKARVQSAWSSVELYDVLIVIFDVHRHLARPDSRVVGLIKRMGASPHPKQKRVLCMNKVDLVENKKDLLTVAEQFKDLPGYERYFMISGLKGAGVKDLSKYLTEQAVKRPWDEDPYTMNEEMMKNISLEVVRERLLDHVHQEIPYGIEHRLVDWKEFRNGSLRIEQHFITNKPSQRKILVGKNGSKIGRIGVEANEELRSIFKKTVHLILQVKLK >KGN48892 pep chromosome:ASM407v2:6:25642113:25645142:1 gene:Csa_6G504720 transcript:KGN48892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQSLLQPLNMAVFFTLPFLVLLTLLIFRRLRPKLPFPPGPKGLPFIGNMLMLDQLTHRGLAKLAARYGGIFYMRMGFLNMFTVADPDIARQVLQVHDSICSNRPATIAISYLTYSRADMAFANYGPFWRQMRKICVMKLFSRKRAESWQSVRDVVDNTVKKVAVQTGTAVNVGELVFELTRDIIYRAAFGTSTLQGQDEFISILQEFSKLFGAFNIADFIPGLRWIDPQGLNKRLIEARQSLDKFIDSIIDEHIMKKRNASSKLSNYKLETESDMVDELLAFYSDDSSIINESNDIQNNIKLNRDNIKGIIMDVMFGGTETVASAIEWAMSELMRSPEDLKKAQQELSQVVGLQRRVEETDLDNLTFLKCCLKETIRLHPPIPLLLHEAAEDAVIAGYFIPAKSRIMVNAWAIGRDPASWDDAETFRPARFLEEGVPDFKGNNFEFIPFGSGRRSCPGMQLGLYGLEIAVAHLLHCFDWELPDGMKPSEMDMSDVFGLTAPRATRLVAVPTKRVLCPLL >KGN45857 pep chromosome:ASM407v2:6:1740153:1742224:-1 gene:Csa_6G014770 transcript:KGN45857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLPHPKLSLTSLLPSLTPKTPSSNKLPFLSRSSTSHFHGAQISHHILPSSLPSSSSSFKFTISAKVNKGQAPPPFTLKDQEGRNVSLSKFKGKPVVVYFYPADETPGCTKQACAFRDSYEKFKKAGAEVVGISGDDSSSHKAFAKKYRLPFTLLSDEGNKVRKEWGVPADLFGTLPGRQTYVLDKNGVVQLIYNNQFQPEKHIGETLKLLQSL >KGN46379 pep chromosome:ASM407v2:6:6010722:6013125:1 gene:Csa_6G088070 transcript:KGN46379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVLPAVIVRQRKPWRRKDGVFMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIA >KGN46678 pep chromosome:ASM407v2:6:8399681:8401518:-1 gene:Csa_6G121950 transcript:KGN46678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISILAIATLVISTTLFPISVAVSVRGQYSVPAFPVETENQACRLDLSDELFGGVSQACNGNLDRSRCCPVLAAWLFAAHARSALEVSAPAPSAEIDLPLMPDDSQKCVESLQSSLVRRNIRIPQPNSSCDAVLCFCGIRLHQISSLSCPAAFNLSGFQNASPTAAVRDLETNCRNASYSGCTKCLGALQKVKGAGDRSTTARARKMFNRDCQLMGLTWLLARNKTAYIPTVSAVLRAIMYSAHPPHNSMCSPDQENMPLAVDSLQFDDAHSISHSQPSSIFIFSFLRLLLTPFVCLFLVKIWP >KGN46710 pep chromosome:ASM407v2:6:8671422:8674534:1 gene:Csa_6G125240 transcript:KGN46710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDVEEKWLDEKEKEALQYIEDITTKADEIQRQILNEILSTNANVEYLQQHGLVVPTDSPTFKKLIPLVCYEQLRPYIARIADGDDSSILCSNPITEFFKSSGTSGGEHKLIPMYEQEFVRRLSFFSYIMPRMKQLFPDINWHKVKGLNFHFAKPEFKTKGGIIVRSIFTNLHKRSSNLESMPSGNNTSPDDIILCTDSYQSLYCQLLCGLYQNEVVFRVSALFASTLIHVFKFLENHWVDLATDIRTRTVNPKITNSSVRESLMKIIVKPNPEVADLIENECRKGRWEGIITRLWPNAKYINAIVTGSMSQYIPLLNYYTNNLPIVSDHYGSSECFLGLNLDPLCNHDEVSYTLIPTMAYFEFLPIDMINDPNGEVNQQLVDLVDVKLGREYELVITTFAGLYRYCMGDIVRVTGFKNKAPSFRFVRRKNVVLNISNEKTDEAGLHKAVEEGGRVMKSFGAKIVDYTTYADLSTIPGHYVLYWELTMDDLKEQNSNDIPSSVFEDCCLDIENSLNLLYRLARSHEKCINPLEIKIVKAGTFEKLMQLALDRGASITQYKTPRCLNSSQIHIIQLLESNVVSNYFSRKYPNLDTNPV >KGN49542 pep chromosome:ASM407v2:6:28981119:28992910:1 gene:Csa_6G538710 transcript:KGN49542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPPDLPGYYYDAQKNRYFPLKGPIPGSSRASSSSSSAPHHKPPSDSTPTVNSYLKADLRTVKLIQARELYGDVIASSKAKCNFKEKFQNLLASKPVFWKYRGTDTMGDSALQEMPINVDTLEGQRESSVLLTGNISGSLSFFGVGGGDQHIGHPVNCCPELVWPSARENQMFGEVPGDIWQLSGASLQMSSNISCIKLFKKRFPSVHDEVSDIQHALISTLGSDVSGGSVYILNLVEPLDFNRTIPVIRRRIHEVASFDCSIWTADCQSSGGRAVIGTNIGAASVDMGTGRISWILHGKSDIFALQLIHSENVVLCGLRNGMIVTIDTRERQEVGKRLLRHRIPYLPIDRRNSRTSSQQWYKLTGNIFPSCTVKMPSSISSLMSLQFDDRYFLASSMDGSVKLYDHRLIQRGAVQTYDGHENSHTRVQLGVDPTETFVTSGGEDCKFRLWNIKSGKLIFEDKFGDAVPSTICWRRAGGRFPRGLDGYLGCEDHSSGAWLGSQAGIHYVSWPRT >KGN48253 pep chromosome:ASM407v2:6:21516352:21518560:1 gene:Csa_6G452050 transcript:KGN48253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYSQKLLFSLFTVFLLSEQGSGGHNDNLLREFQPKKLYVFGDSYVDTGNIGISNFSSRKFPYGITFPGKPSGRFSDGRVLTDYVARYLGLKPPIPFRVFKDLKRRQGRKNKNINGVNFAYGGTGVFNTSVSFPNMTTQIDLFHKFIDETDIQSSIALVSVSGNDYSFYLARNGSLQGFKGFMISVVDQIMWNLKRVHSLGVKKVVVTGLAPLGCLPHFTNSSSFTQCNSDINSLVTFHNLLLNQSISKLNNNNETTTKNNTNFFILDMYASFMSIIFGNPKQGGEKPLLLKPCCFGVSDGFSCGSVDEKGNKKFSLCEDPKSAFFWDSFHPTQQGWFAAFSTLQSILKNL >KGN49309 pep chromosome:ASM407v2:6:27623629:27624918:1 gene:Csa_6G519610 transcript:KGN49309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVFKTPFNGYSVKFSPFYESRIAVATAQNFGILGNGRLHVLDLNPAGPISEHIAFDTADGVYDVSWSESHDSLLVAAIADGSVKLYDLALPPTSNPIRSFHEHTREVHSADYNPVRRDSFLTSSWDDTSKLWTLDRPTSVRTFKEHAYCVYSSVWNPRHGDVFASASGDCTVRIWDVREPGSTMIIPAHDFEVLSCDWNKYDDCCIATASVDKSIRVWDVRSYRTPVSVLNGHGYAVRKVKFSPHRQGLLASCSYDMTVCLWDYMLEDALVGRYDHHTEFAVGIDMSVLVEGLLASTGWDELVYVWQHGTDPRAP >KGN46413 pep chromosome:ASM407v2:6:6201809:6203716:1 gene:Csa_6G091860 transcript:KGN46413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFFTFNIHTLLYLFLFSFPLFSAATSGVQTHFPPFSRASRFNNGFQRILLSVALGVLTGLTASFLAVFFIRALLRFLNRTPLLQGPLIFSPKIDADSLPTALLNQTHFLGSSSNPNGKCYKAILANGFTVAVKKLEPIQCGRTEARRRIQQQMAVLGALKHRNVMSLRAYVGEMGSCCLVYDFLPAGSLEDAMRKVRENQLELKWEVRLRIAVGIARGLQFLHFECNPRILHCNLKPSNILLDAEFEPRLVDFGLTNLIPDFHTSPSLYTAPECLNNSRYTDKSDIYSFGVILRVLLTGRDPIETEQVSSDRGDSSGSGGSLGRWVEQSVEPREALDKTIIGEGMEEEEEEMVLALRIAGVCTSELAEDRPCSDELLVMLTQLQSF >KGN47568 pep chromosome:ASM407v2:6:16187828:16188925:1 gene:Csa_6G361300 transcript:KGN47568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELNHLNQHPISTPYIPTLLKHSKIKPPLNPNSSSSSSSSSSSSSSSSSSSSKMADPIPKSQPTQPHKKQVRRRLHTSRPYQERLLNMAEARREIVTALKYHRAAMKKAAAAATSTATAPRSPVEESSPVRSQEGKIKPRKNPKSSTTTERNRETPQSNFKCYNNNNLKNSCYDPSMMMMMNCSLPSWSMEGNNNIVDIVLPEQTLGLNLNLQDFKNLDANLFSNSSMSVSGSGSGSTSTSIGRDQEQELGGGGGRGMHVAVGEEEMAEMRTIGEKHEMEWSDKMSMVKSAWWLRFMKMGEKEEEEDQEDQLEGFGYGYGDPFDQILEFPDWMNNGNENCFEEEQLKLNDYSQFFHHDHPSALPW >KGN47929 pep chromosome:ASM407v2:6:19176791:19189612:-1 gene:Csa_6G416820 transcript:KGN47929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGMISWPFVLTHGLLETTLMSLVGAMRNLLGILLPASWFFSSFMRDCNLIDSPLVEWPFYFVQLQGQPLANLDLQIPQYGEYSREIQPCIGQKISRRDKERTGNLGNLREMFKLDAAEYMMSICGDDGLRELSSPGKSGSIFYLSQDDRFVIKTLKKSELKVLLKMLPKYYDHVKAHENTLITKFFGLHRITIHGRSKVRFVVMGNMFCTELKIHRRYDLKGSTIGRCTNGDKSKDGITLKDLDLSYEFHMDKLLRQSLFKQIYLDCMFLESLHIIDYSLLLGVHFRAPEKLKALLEPPATVQHPENLLHDDATKTAPSFLRNHFEALNLIHDPPSAPEKKTTGVAQASGVLLLPVYEKAHL >KGN47631 pep chromosome:ASM407v2:6:16596505:16598603:-1 gene:Csa_6G366290 transcript:KGN47631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSHGGDGDGEVINKQVILKDYLNGGASPKESDFEVIANRTIKLEVPQGSNGVLLKNLYLSCDPYMFACMNKFESSTMDIASFTPGSPIRGYGVGKVLDSDHPKFKRGDFVWGKTGWEEYSILSTSEAEALFKIDHTDIPLSYYTGILGVNGMTAYAGFFEICCPKKGEYVFVSAASGAVGQIVGQFAKLMGCYVVGCAGSKQKVDLLKNRLGFDEAFNYKEEPNLKATLTRCFPEGIDIYFDNVGGKMLDAAIVNMRRNGRIALCGMISEFQKDKPEGVHELISAIGKRVRLEGFIMNDYLHLYPKYLDFVLPPIREGQIVYLEDLAYGLENGPSALIGILSGRNIGKQVVVVTKED >KGN48503 pep chromosome:ASM407v2:6:23274122:23275372:1 gene:Csa_6G490180 transcript:KGN48503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVMKFLIVPLVLVAFSCNICESFELERKDFESEKSLMQLYKRWSSHHRISRNANEMHNRFKVFKNNAKHVFKVNLMGKSLKLKLNQFADMSDDEFRNMYSSNITYYKDLHAKKIEATGGRIGGFMYEHANNIPSSIDWRKKGAVNAIKNQGRCGSCWAFAAVAAVESIHQIKTNELVSLSEEEVLDCDYRDGGCRGGFYNSAFEFMMDNDGVTIEDNYPYYEGNGYCRRRGGRNKRVRIDGYENVPRNNEYALMKAVAHQPVAVAIASGGSDFKFYGGGMFTENDFCGFNIDHTVVVVGYGTDEDGDYWIIRNQYGHRWGMNGYMKMQRGAHSPQGVCGMAMQPAYPVKY >KGN47430 pep chromosome:ASM407v2:6:15066449:15069397:-1 gene:Csa_6G319760 transcript:KGN47430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNDAKRSIQGTLLVKSVSEDRKPPAVTTTGKKIVIKSADMFGDVQKKAIDVAIAAFEKHSVEKDIAECIKKEFDKRHGPTWHCIVGRNFGSYVTHETNHFVYFYLDQKAVLLFKSG >KGN46598 pep chromosome:ASM407v2:6:7619744:7622627:1 gene:Csa_6G111390 transcript:KGN46598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKEQRPEPLDFFIWTVEDVGLWLEEINLGGYRQIFKENGVNGEYLEGMSMFTTEQILRFIRRCHMKWGDFITLCKELRRIKVACLKGEQKVRRPWWAPSCLSMVFLKVAKRNRQSRVVSLKLEP >KGN49514 pep chromosome:ASM407v2:6:28814879:28817059:-1 gene:Csa_6G526480 transcript:KGN49514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRESSTTTTVLRRRRMVDDDYDDDDDIDINDIDIQIDENRMMTMKMKPAWLRALMSNSNFFTPCGLHHSRRKNEMNVFCLHCCLSICPHCLPSHRSHPLLQIRRYVYHDVVRLGDLEKLIDCSYIQPYTINGAKVIFLNHRPQSRPCKAPSNVCLTCDRILQEPFHFCSLSCKVDHMVLEEADLSSILFRFDESDFAFSQFEGLRMDGSEVTDDDAQITPNFDSLQCQGLSYSISNEATSNSVVSREQEIVKKKKKSNGFLPGIVLSLGSRRKGAPQRSPLS >KGN48733 pep chromosome:ASM407v2:6:24806003:24813040:1 gene:Csa_6G499770 transcript:KGN48733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGKGRGGRARTQRKHFRDNRENVWKRPRPDPNSANDNDKDGNGNGNGKPWEPFESQSPAFNEYYKEQFIVTAEEWDTFIEVLRKPLPAAFRINSSGQFCEEIRAQLQNDFMKSLQAEVTEGDEAVAIRPLPWYPDNLAWHSNFSRMQLRKNQALERFHEFLKLENEIGNITRQEAVSMVPPLFLDVHPNHYVLDMCAAPGSKTFQLLEIIHQSSKPGSLPDGLVVANDLDVQRCNLLIHQTKRMCTANLIVTNHEAQHFPGCRAHTNLFNASASGSEVKPHNTQLTFDRVLCDVPCSGDGTLRKAPDIWRKWNSGMGNGLHGLQVQIGMRGASLLKVGGRMVYSTCSMNPVENEAVVAELLRRSGGSLELIDVSNELPQLVRRPGLKKWRVRDRGVWLASYKEIIEGRQSVAIPSMFPSGRGRKNQADNNDNSELGVNHLDGSIGSSVDVSEPIMDPVDGFDEVCEFPIERCMRIVPHDQNSGAFFIAVLRKIAPLPATFEKQTSKSSNDRSRCKLPQTIADGESVEVDAAVGMDESSSEAGIISNNQGEPSSADKPACELPVHDNKDADGLDTNAGDSEAKLVDNEVDENNLEVAAIKIYSEESKQPDDGEVDPTKSSAKRKLQIQGKWKGVDPVVLFNDETVIDSVKTFYGIDESFPLVGHLVTRNSDTNHVKRIYYISKSVKDVLELNFSVGQQLKITSIGLKMFERQSSREGSSAPCLFRISSEGLPVILPYITKQILTISPVDFKHLLQYKSVKYADFVDSAFGEKASNLMLGCCVVVLGTGTNTSSDDIKVDSSTIAIGCWKGRASLSVMVTAIDCQELLERLSNRLENDL >KGN48778 pep chromosome:ASM407v2:6:25054261:25059901:-1 gene:Csa_6G500700 transcript:KGN48778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRRSILKLSSRQSGGRTPRQSSPQVQCWHTPQCISKIREFSSAPKQNLKPQPTNVPPNSGNSIPKVVFGSVVIGAAVFAAYQAGYLDQRTVDIEQNSSVESTKTVQKSDSDNVQPLVVQKFDLPSSEETEKSNSVREETESSNPIVESTEQKVETDTHLPHLEDWGKEKDDGQFEDSSRTLPHEKIEEENLPEFTQSGSQVEDENLGSKISTDENLNMQSAESCTRDWPHDEVQTSPISSKTDAEPAQIDIRIPPQEDTVAEEKLKELNDTSEDTGEPSSLLEAYHLKGEAGMTSLGGGSKDGTDKFYKGTEDGKLVIDFLEAIHAAEKRQAELDYRRFADEKTALWNKMDEALRDARVREFMHAEKAAMLDKELKREKTKAAAALMSLQENLEDKFQKELEQKENELESKLRKLQDLAKAELAAAIASEKAAQIEKMAEANLHPIQFISKCLINYKSSIL >KGN46911 pep chromosome:ASM407v2:6:10536237:10541023:1 gene:Csa_6G150500 transcript:KGN46911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKLSGVEALKKMRLSAALHKTDTRKGVKPRGQTRYRNFHNDSHAPPGVCLRQGTRQSRGVAPLVVAGHHPQKPSLVEYCGGRVMAEKKIIAICQSGGEFETGRDGMLSYHGGDAHAIDVDDKMKFNEFKMEIAEMFNFDVDNVSIKYFLPGNRKTLITLSNDKDLKRMLKFHGDSTTVDIFVIMEEVMAPNISNLPASRSSRTTLSETVVPVDGTPLTVVHGIEDDNIESDIPLDGALDVVDDTNPLVNHIDIAGDITPILPLLGSSDEKNGKGVQQWQNTITGVGQRFSSVHEFRESLRKYAIAHQFAFRYKKNDSHRVTVKCKAEGCPWRIHASRLSTTQLICIKKMNPNHTCEGAVTTTGHQATRSWVASIVKEKLKVFPNYKPKDIVHDIKQEYGIQLNYFQAWRGKEIAKEQLQGSYKEAYNQLPFLCGKIMETNPGSLATCDTKEDSTFHRLFVSFHASLSGFQQGCRPLIFLDSIPLKSKYQGTLLAATAADGDDGFFPVAFSVVDTESDDNWSWFLLQLKSALSTSCSITFVADRQKGLTVSIANIFKGSFHGYCLRYLTEQLIRDLKGQFSHEVKRLIVEDFYAAAYAPKPENFQRCVESIKSISLDAYNWILQSEPQNWANAFFEGARYNHMTSNFGEMFYSWVSEAHELPITQMVDVIRVKIMELIYARRADSDQWLTRLTPSMEEKLEKEGHKAHNLHVLISAGSTFEVRGDSIEVVDVDHWDCTCKGWQLTGLPCSHAIAVLSCLGRSPFDFCSRYFTTESYRLTYSDSVHPVPQVDLPIHKSSLQASVTVTPPPTRRPPGRPTSKRYGSPEVMKRQLQCSRCKGLGHNKSTCKQLLQSV >KGN46574 pep chromosome:ASM407v2:6:7391925:7393459:-1 gene:Csa_6G109690 transcript:KGN46574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSLPIWLQALLTEKFFNACVVHEDAKKNEKNIFCFDCSLGICPHCLSSHSSHKLLQIRRYVYHDVIRLDDATKLIDCAFVQSYTTNSAKVVFLKQRPQTRNFRGSSGNLCSTCDRSLQDPYLFCSVSCKIDYLVKTQGGISKHLLECNFLPLPEPGWDDGLMMTPDSVLEPVGSNRSSSGSDGGGTEGKTLVSTATTEFVKKKRSSLTAAAAAYRAACRPVCWPGTISETSGSLMSRRKGTPQRAPLY >KGN45865 pep chromosome:ASM407v2:6:1816535:1819238:-1 gene:Csa_6G014850 transcript:KGN45865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASFSTSPYPILTSHRSNRLPAYHSSSSSLSFSSSLHLPLQLRRFPLANTRISPPSSSPSFPVVKAKNQTFSSFDDLLANSDTPVLVDFYATWCGPCQFMVPILEQVSAALIDKVQVVKIDTEKYPAIADKYRIEALPTFILFKDGKPLDRFEGALAARELIQRIEDSLKVKQ >KGN48143 pep chromosome:ASM407v2:6:20694868:20697454:-1 gene:Csa_6G445080 transcript:KGN48143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIGCTLFKSCKFLLPLCVFLHLFFVFAYGFGSMAPISAAFGLDGFFCAIDASGKQEVICWGKNSSSLSPSSSSSTSIFSVDIPAMAALSGGDGFLCGILANTSHAYCWGSVNPGTDLVPLVFRTTAYSHIAAGKSHVCAIRGSYYSDNDSGPVDCWDISRNSINNTLSSKQSTLFYNQSIASLVFKRVVSGEGFSCAELRDGGILCWGPNSTNLDVSNVSENFIVLAAGKDALCGISEVTGGVKCWGNADSFAGLPTTARYVTLTAGEQHFCGIRWDSHEVDCWGSLNSSSIPKNTGFMAIASSDRSICGIREDNLVLDCWFFSHSFEAAPGYDPPLELCSPGLCAAGPCREGEFSFNASILNEPDLKSLCVRKDLSICFRCGVNCSDGFFLSSPCTLNSDRICTACSLCQNSSCWDICGVQSSPETKQKHWHQWRSILVIVGASVAGLVLILLGWCLHLRVIASTKDGSKKQHKSELETGTETDSCAPLVPLCPGIAQIFRLSELKDATNGFKEFNELGRGRYGFVYKAVLADGQQVAVKRANAATIIHTNSRDFEMELDILCKIRHCNIVNLLGYCSEMGERLLVYEYMPHGTLYDHLHGGLSPLNWTLRLKIAMQAARGLEYLHKELVPPVVHRNVKTSNILLDVHWGARIADFGLITSNDDDVSGDLTSDVYDFGIVLLEIISGRKAYDRDYTPSSIIDWAVPLIKQGKAAAIIDRYTALPRNVEPLLKLADIAELAVRVNPSDRPTISDIASWLEQIVKDGLIL >KGN47752 pep chromosome:ASM407v2:6:17734003:17735932:-1 gene:Csa_6G399770 transcript:KGN47752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNLRTACRPHAMFSSFIFSSRFRSMPTVSFRNPNNKRRFSLFSPTFNSSPCFCPTQNWFRINQRRTLAMASNWANEKSPYETLELERDADDEQIKSSYRRLAKFYHPDVYDGRGTLEEGETAEARFIKIQAAYELLMDDEKRRQYDVDNRVNPMKASQAWMEWLIKKRKAFDQRGEMAIAAWAEQQQREMNLRARRLSQSKVDPGEEKRLVAKEKKASIEYFNSTLKRHVLVLKKRDLMRRKAEEEKKKVISQLLAEEGLELDTDDDDNL >KGN45795 pep chromosome:ASM407v2:6:1290442:1302789:1 gene:Csa_6G011710 transcript:KGN45795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASAPITMKEAITLPSIGINPQFITFTHVTMESDKFICVRETAPQNSVVIIDMNMPMQPLRRPITADSALMNPNSRILALKAQVQGSTQDHLQIFNIEQKSKMKSHLMPEQVVFWKWITPKTLGLVTQTSVYHWSTDGESEPVKVFERTANLANNQIINYRCDPSEKWLVLIGIAPGSPERPQLVKGNMQLFSVDQQRSQALEAHAAAFAQFKLPGNENPSTLISFATKTLNAGQITSKLHVIELGAQPGKQSFTKKQADLFFPPDFADDFPVAMQISHKYSLIYVITKLGLLFVYDLETAAAVYRNRISPDPIFLTAEASSVGGFYAINRRGQVLLATVNEQTIISFVSGQLNNLELAVNLAKRGNLPGAENLVVQRFQELFAQTKYKEAAELAAESPQGILRTPDTVAKFQSVPVQTGQTPPLLQYFGTLLTRGKLNAFESLELSRLVVNQNKKNLLENWLGDDKLECSEELGDLVKVGYTPDYLFLLQTILRTDPQGAVNFALMMSQMEGGCPVDYNTITDLFLQRNLIREATAFLLDVLKPNLPEHAFLQTKVLEINLVTFPNVADAILANGMFSHYDRPRIAQLCEKAGLYVRALQHYTELPDIKRVIVNTHAIEPQYVIYSSEDPDIHFKYIESAAKTGQIKEVERVTRESNFYDAEKTKNFLMEAKLPDARPLINVCDRFGFVPDLTHYLYTNNMLRYIEGYVQKVNPGNAPLVVGQLLDDECPEDFIKGLILSVRSLLPVEPLVDECEKREVKMYMYIMLWVKLLLIAIIIQNTSSPPIQQVSAAVKAFMTADLPHELIELLEKIVLQNSAFSGNFNLQNLLILTAIKADPSRVMDYINRLDNFDGPAVGEVAVEAQLYEEAFAIFKKFNLNVQAVNVLLDNIQSIERAVEFAFRVEEDAVWSQVAKAQLREGLVSDAIESFIRADDATQFLEVIRAAEDANVYHDLVRYLLMVREKAKEPKVDSELIYAYAKIDRLAEIEEFILMPNVANLQNVGDRLYDEALYEAAKIIFAFISNWAKLAVTLVKLKQFQGAVDAARKANSAKTWKEVCFACVDAEEFRLAQICGLNIIIQVDDLEEVSEYYQNRGCFNELISLMESGLGLERAHMGIFTELGVLYARYRHEKLMEHIKLFSTRLNIPKLIRACDEQQHWKELTYLYIQYDEFDNAATTIMNHSPEAWDHMQFKDVAVKVANVELYYKAVHFYLQEHPDLINDLLNVLALRVDHTRVVDIMRKAGHLLLVKPYMIAVQSNNVSAVNEALNGIYVEEEDYDRLRESIDLHDNFDQIGLAQKIEKHELLEMRRVAAYIYKKAGRWKQSIALSKKDNLYKDAMETASQSGDRELAEELLVYFIEQGKKECFASCLFVCYDLIRADVALELAWINNMVDFAFPYLLQFIREYTGKVDELVKDKIEAAKEVKAKEQEEKDVIAQQNMYAQLLPLALPAPPMPGMGGGPGMPGFVPGPPQMGGLGMPPMPPFGMPPMGSSY >KGN48723 pep chromosome:ASM407v2:6:24731035:24734329:1 gene:Csa_6G499180 transcript:KGN48723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQHQINDPLLQHSTSTFQPHHQDYLLTRIGIESKKLWYIVGPSIFSRIISYTVLVLAQAFAGHLNDFDLAAFSIAVNVIIGFDMGLLLGMASALETLCGQAYGAKKYYMLGVYMQRSWIVLFLCCVLLSPIFFFASPVLKLIGEPDELAEKAGVLSIWFLPLHFSFAFYFPLQRFMQSQVKVWPIVWSAVAALLLYLLASWVLVVELKMGVEGIVLACNIGWLVMPIILMGYTVWGDCRLTWTGFSVDAFSNLWEFVKLSAASGVMLCLENWYYRILIVVTGNMKNAKIMVDALSICLSINGWEMMIPMGFFVGVGVRVANELGAGNGEGAKFATIVSSAISLIIGLFFCCLIVIFHDSFGLLYSSTPQVLQEVDNLTLLLTFTILFNSIQPILSGVAVGSGWQSYVAYINLGCYYIIGLPLGILLQWFTDLGVKGIWMGMIFGGTGVQTLILLIITIRFDWEEEAKKASLRVERWTDEKFEAK >KGN47474 pep chromosome:ASM407v2:6:15418948:15419505:-1 gene:Csa_6G338060 transcript:KGN47474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNSPKSRKRKLKTPPQPPRATRAKTIEVEEPDGFGYMVEQLLFGSGSSGGSITDHPSSSSNTPRDEYVPMGILSPTPISLPPVSPNDFTSTPLAPSTSEGELSIHDYGGWEEAATGMTPLPPLYYRPKFCKP >KGN45861 pep chromosome:ASM407v2:6:1791032:1791634:1 gene:Csa_6G014810 transcript:KGN45861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIAFKLFFLVSLLALVLPTSMAMNGSSMALNATTTMNCFNPLLQSCRNEILTFITNNGLVVIRSACCQAILNFRRECLSEFLSSLGITVQDFHILETYCKLIANFPPSN >KGN47571 pep chromosome:ASM407v2:6:16214442:16215083:1 gene:Csa_6G361330 transcript:KGN47571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNNISQTSAAGDTKYRGVRRRKWGKWVAEIRLPNSRDRIWLGSYDSPEKAARAFDAALFCLRGPHAKFNFPDQPLPDILNAHSLTAHQIQEFAAKFANEYECHQNDDVVDVAPPPTTTTAEEKCGISPSSSNYNNMDWSFLDEIHDHHYPNPNPHSSSSSSNFFPLYNDHFDNILTNDFYQSSTTNNNDDLYDAVSGDDDDTFSNQPFLWNF >KGN47947 pep chromosome:ASM407v2:6:19311661:19314609:-1 gene:Csa_6G419440 transcript:KGN47947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNSFFINQRWKLCTFTCLTSIIILVFLLSQDHETLNFLTFRSSTSSVSSSFSLVDYYVAASIQLQPNDTSLPLSEDDKHEKKSCNIFDGKWVYDPKASPLYDQTKCPFLSDQVSCRRNGRRDFGYEKLNWEVTGCKVPRFDGKDMLEKLRGKRVIIVGDSLNRNQWESLACLLYSALPPSQVYVDVKSGSYKIFRAKEYNCSVEFFWSPFLVELKVDEENGARILELDKLSAMSKKWYGANIMVFNTGHWWVHQGKLQAWDYFHHDGKMIATMKMELALEAAMKTWSNWIDQNVDTNKTAVFFRSISPEHKGKQWCYNETEPFFDESYQKIFPESLTEVFERTMKRMKTPVKYLNITKLSQYRRDAHPSIYAKKQGKLWVATKQRKEEIIADCSHWCLPGLPDTWNRLLYATIVSDFH >KGN48274 pep chromosome:ASM407v2:6:21643407:21650322:-1 gene:Csa_6G452750 transcript:KGN48274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQERSLPGSSLSPRSPSSQPYLSVSVTDPVKLGNGVQAYISYRVITKTNFPEYQGPEKIVIRRYNDFVWLHDRLFEKYKGIFIPSLPEKNAVEKFRFSAEFIEMRRQALDIFVNRIASHHELQKSEDLRTFLQAEEETMERLRSHDSGIFKKKPADLMQIFKDVQSKVSDIVLGKEKPVEESNPEYEKLKHYIFELENHLTEAQKHAYRLVKRHRELGQALSDFGKAAKLLGACEENAVGKGFSEMGAKSEMLSVKLQKEAHQLLMNFEEPLKDYVRTVQSIKATIAERANAFRQQCELAETTRLKEINLDKLMLMRSDKASEAEVEYKELKAASEEATKRFETIVALMNQETVRFQEQKTLDVGLAFHEFAKGQARLANGVADAWRSLLPKLEALSVS >KGN48719 pep chromosome:ASM407v2:6:24703503:24708808:-1 gene:Csa_6G499140 transcript:KGN48719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHPKQQDSDNKPPISTPDNTPKSDPKDGPHAPNGDGNWANFVMGSENQIPTQNEQPVISTPSKKTVRWSTELVTESPSVASNSYGSNTNTYAASSPSSPSSSVSFKETVDSVWSALGRWGKKVGEATKKAEDLAGNTWQHLKTSPSFADAALGRIAQGTKVLAEGGYEKIFQQTFDTVPEEKLQNSFACYLSTSAGPVMGVLYVSTAKLAYCSDNPLSYKSDGRTEWSYYKVVIPLQQLKAVNPSSSGMNPSEKYIQVISGDNHEFWFMGFLNYNGAVECLLEFPELQAMQSV >KGN48591 pep chromosome:ASM407v2:6:23866746:23868696:1 gene:Csa_6G494960 transcript:KGN48591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGNGQKSKMAREKNMEKLKAASKGSQLEANKKAMSIQCKVCMQTFICTTSEVKCREHAEAKHPKSDVHTCFPHLKK >KGN45978 pep chromosome:ASM407v2:6:3017610:3019232:-1 gene:Csa_6G040650 transcript:KGN45978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLTFYIIIAFLSLATPSIDGNQLSLSSSDLVVDGYKNFINVIRQRLTENTLKLYDIPILESSLPPTQRFMNINIGNQNDETISLAIDRVNLGVVGYRSNNNSYIFLDAPKAALDVVFPETCRVVLGFNSDYESIEKASGTTRLQTLLGLEPLNSAISNLFHYRRADIPGSFLVILQMVLEGSKFKFIEQSVIQSLKYGYNFKPGLAIVSLEDNWDKLSSQIQASPSLQGLFGEAIRLYDSNDKFIDVDSIYYVIITTNIAFQLHHCNVSTNFIRMPSDVDDSCNVQTRTAMISGQNGFCVDSSRILDYNGNPIILYRCINQLNQEWTFLSDKTIRYSNKCLTFETSRYVVLYNCSEVEEKGNIRWNVAIDGTISNPSSGLVLTTDPSTNRSQLIVEVNKFTTSQGWRVGNYVKPIIGSIIGMEEMCLEATNNNTNMWLEKCVKNKAEQYWAVYSDGSIRVNRKRNLCVSSSSNRSGALLIIDECKGTSNQRWNFLANGTILNPETKKVVDVYGSMVSAKRIILYPKTGLANQQWTLFY >KGN48093 pep chromosome:ASM407v2:6:20362719:20365801:-1 gene:Csa_6G430690 transcript:KGN48093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCCSRENPEEAPATSVKEGSNDADNSKDDGGNTNHGNSINNPSNKTPPSASPAASTKPSKQSQIGTVLGRPMEDVRSTYSIGKELGRGQFGVTHLCTHKASGEHLACKTIAKRKLVNKEDIEDVRREVQIMHHLTGQPNIVELKGAYEDKHSVHLVMELCAGGELFDRIIAKGHYTERAAASLLRTIVQIVHTCHSMGVIHRDLKPENFLLLSKDEDSPLKATDFGLSVFYKQGEVFKDIVGSAYYIAPEVLKRRYGPEVDIWSVGVMLYILLCGVPPFWAESEHGIFNAILRGHIDFTSDPWPTISPAAKDLVRKMLNSDPKQRLSAFQVLNHPWIKEDGEAPDTPLDNAVLNRLKQFRAMNKFKKVALRVIAGCLSEEEIMGLKQMFKSMDTDNSGTITLEELKQGLAKQGTKLSEYEVKQLMEAADADGNGTIDYDEFITATMHLNRMDREEHLYTAFQYFDKDNSGFITTEELEQALREYGMHDGRDIKEILSEVDGDNDGHINYDEFVAMMRKGNPEANPKKRRDVFV >KGN49041 pep chromosome:ASM407v2:6:26342284:26342992:-1 gene:Csa_6G511080 transcript:KGN49041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLRGLLHSVSYLLGNPNEAHAYGEERSSKGKKGYEELCNSGFQMPLHYPRYKKSDYQKMEEWKLDLLLKEYGLSFEGSLEEKRAFAMGAFLWPDQN >KGN49470 pep chromosome:ASM407v2:6:28563130:28563904:1 gene:Csa_6G525550 transcript:KGN49470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKAIIFGFLIVTYAVAVFASDPSHLQDFCVADPTNTVKVNGVVCKESEVVTADDFFFSGLHKVGNTSNPVGSKVTPVNVVQIPGLNTLGISLARIDYAPLGINPPHTHPRATEILTVLEGTLHVGFVTSNTENRLFTKVLQKGDVFVFPVGLVHFQHNIGHGPAVALAALSSQNPGVITIGNAVFGSKPDISTDILSKAFQTDKSIIAGIQAKF >KGN46455 pep chromosome:ASM407v2:6:6480030:6483933:-1 gene:Csa_6G094730 transcript:KGN46455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKGKPIIGLSWEPKLPASSSGIKAKTCDKSNSQAENGLVWKSNSELVDGLFVPPNNPKKLNKLLRKQVSDTAGRNWFDMPAKTMTPEVEKDLRLLKLRSVIDPKRHYKKGDSKSKTLPKYFQVGTVVESSLDFFSGRLTKKERKATLADELLSDQALTQYRKRKVREIEEQNRPGGNDKWKIRGHHSRKRAKDRRN >KGN46664 pep chromosome:ASM407v2:6:8250829:8252810:-1 gene:Csa_6G119390 transcript:KGN46664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGSTFPIIRRLVPCGRHYSRLSDARSLTYMPRPGDGDPRPVTFIPGDGIGPLVTNAVEQVMEAMHAPIYFERYDVHGYMKEVPREVIESILKNKVCLKGGLMTPMGGGVSSLNVQLRKEFDLYASLVNCFNFPGLPTRHENVDIVVIRENTEGEYAGLEHEVVPGVVESLKVISKFSSERIAKYAFEYAYLNNRKKVTAVHKANIMKLADGLFLESCREVAAQYPSIQYNEVIVDNCCMQLVSKPEQFDVMVTPNLYGNLVANTAAGIAGGTGLMPGGNVGCDHAIFEQGASAGNVGNEKIVEGKKANPVALLLSSAMMLRHLQFPSFADRLESAVKRVIMDNKCRTKDLGGNSTTQHVVDAVIAFLD >KGN47252 pep chromosome:ASM407v2:6:13437422:13438110:1 gene:Csa_6G236080 transcript:KGN47252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGEGVVGDGSSKEYGEGSSKSPPRATTPSRYESQKRRDWNTFGQYLKNQRPAVALSQCNFNHVLEFLRYLDQFGKTKVHVQGCMFYGHPEPPSPCTCPLKQAWGSLDALIGRLRAAYEENGGSPETNPFATASIRLYLRQVRECQAKARGIPYKKKKKTNKQQQPTINNNTNNLLIHDSTTTNNISSSSSSSSSSSSIMHFSTP >KGN47807 pep chromosome:ASM407v2:6:18211837:18212342:-1 gene:Csa_6G404220 transcript:KGN47807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAQVNGSGVLPFCHTLWPFRLLPSSKGAWRIAIYISETEYFSVCGSGNVALQVKRIWRLCKGRLSFTVNWWEADMKVASPERRENVMRNEVFPVYGGQFPMSDN >KGN45636 pep chromosome:ASM407v2:6:253307:253636:1 gene:Csa_6G002305 transcript:KGN45636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVDKLTPLVDAMCLLSQVFNEADVIFAPTLISITASNPSFVAFTITLHIKKQWFTEYSIHRHRSWRISLHSLLQAMYAGRNSSRMTIRLPPISSTNSSAMVLKFSSSS >KGN46169 pep chromosome:ASM407v2:6:4699310:4700547:1 gene:Csa_6G062290 transcript:KGN46169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGFEGFEKRLELHFSGDDPIFFNMGLRQLSFDSLQQVLREVQCTVVSAVANHYFDAYVLSESSLFVYPTKIIIKTCGTTQLLKSIPPLIHHALNLHLTLVSCRYTRGNFIFPLSQPFPHTSFHEEVVYLENSLPNHLCFRKASVMPSNLLPSHAWHVFSACDETRLVHFDSGFYTVEVCMTDLDRSLAKKFFRRPGDGKSADAAGKEMTEISGINKINPNALVCDFAFDPCGYSMNGIDGDRYSTIHVTPEDGYSYASFECVGSIYDGDDFVRTLKRAVQVFRPGKVSVSTTTATSHRVWARVAGALEPLGLKCRSCTVDEFPAVGNVVFQTFTTRRKKTGECGGGR >KGN48024 pep chromosome:ASM407v2:6:19857975:19864257:-1 gene:Csa_6G425120 transcript:KGN48024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMAIPGNIVVLLLLMLLLILLLLLITCKPWRFFSYSRSRTIKVPDLDLERPLVSDAADVTQNQFSEPPIDYDLEGALQTDGHFRSPRLQGLIHKQRLPPTSPHSSQGDRLVQDVVPNPLEVISVGQTLKRTYFPNQIPNQIPEVQKHAKLSPGSEQLHEIASQDISHGRSYLTLEVASGPSRGVRYSIHSANTSRLPLTLGRVPQSDLQLKDSEVSGKHALIKWNLNSMKWELVDLGSLNGTLLNSQPINNPDSGSRHWGEPVELASGDVITFGTTSKVYVHITAQVENHIPFGVGLASDPMALRRGGKKLAMEDVCYYQWPLAGVDQFGLFGICDGHSGVAAAKSASEMLPEKVATILSDPLKRERVVSLHDASDVLREAFSQTEACMNHYYEGCTATVLLVWADSDENYFVQCANVGDSACVLNVHGKQMKMTEDHRITSYSERLRIEQAGEPLKDGETRLCGLNLARMLGDKFLKQQDRRFSSEPYISEVVHVDQGSKAFAVMASDGFWDVIGVKKAMQLAIQARERYSTSAENSAEKVANFLLGEARTLRTKDNTSIIFLDFEVSNRITCKVEPQ >KGN48862 pep chromosome:ASM407v2:6:25483900:25486935:-1 gene:Csa_6G504430 transcript:KGN48862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCKEGLVFIAQMYFNPTVAALLSIAVLVLVSKVWWRVRSKNDGGIPGYLGLPFVGETFSFLSANNSTRGCYHFVRLRRLWHGRWFKTRLFGKIHVFVPSAEGAKAIFSNDFVQFNKGYVKSMGDAVGEKSLLCVSHENHRRIRRLLSDPFSMTSLSKFVKEFDDMLSKRLKKLEKDGKSFVLLDFSMKITFDSICNMLMSLRDESTLRQIEKDCTAVSEAMLSFPFMIPGTRYYKGIKARKRLMETFREIISRRRRGEESPEDFLESMLQRDSYPSNEKLDDSEIMDNLLTLIIAGQTTTAAAMMWCIKFLDENKEAQERLREEQLSILQKKEDGELLTLEDLKKMSYGSKVVKETLRMSNVLLWFPRVALGDCRLEDFEIKKGWHVNIDATCIHYDPDVYKDPMKFNPSRFDEIQKPYSFIPFGSGPRTCLGINMAKLTMLVFLHRMTGGYRWTVDDPDPSLEKKAHIPRLRSGCPITLKTL >KGN47079 pep chromosome:ASM407v2:6:11990014:11990391:1 gene:Csa_6G185295 transcript:KGN47079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHTKGDFKNITSTRDPIIEAFDLIHKPKMQKPKLLRPICIPLHIHILIAEVNPRHGLSQSKAHLCKNIRITVMCCGLYNSPSSSCWIAALEHAGADEHSVASQLHHHRRISRSCNSSSCEMHHR >KGN46860 pep chromosome:ASM407v2:6:10198210:10201773:1 gene:Csa_6G147530 transcript:KGN46860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASANALSSASILCSSHKSLRKVNQTQNNRVNYRQAGSRFVVRASAKEIAFDQSSRTALQSGIDKLANAVGLTLGPRGRNVVLDEFGSPKVVNDGVTIARAIELPDPMENAGAALIREVASKTNDSAGDGTTTASVLAREIIKLGLLNVTSGANPVSLKRGIDKTVQGLIEELEKKARAIEGEDDIKAVASISAGNDELIGLMIADAIGKVGPDGVLSIESSSSFETTVDVEEGMAIDRGYISPQFVTNPEKLIAELENARVLITDQKISAIKDIIPILEKTTQLRAPLLIIAEDVTGEALATLVVNKLRGILNVAAIKAPSFGERRKAMLQDIAILTGAEFQANDLGLLVENTTIEQLGLARKVTISKDTTTIIADAASKDELQARIGQLKKELAETDSVYDTEKLAERIAKLSGGVAVIKVGAATETELEDRKLRIEDAKNATFAAIEEGIVPGGGAALVHLSTLVPAIKDKLEDAEEKLGADIIQKALVAPASLIAQNAGIEGEVVVEKIKSSDWEIGYNAMTDKYENLVEAGVIDPAKVTRCALQNAASVAGMVLTTQAIVVEKPKPKAPVAAPQGLTV >KGN45924 pep chromosome:ASM407v2:6:2305567:2307811:-1 gene:Csa_6G022360 transcript:KGN45924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAWLFSRLKLSGFLCKNQRGLNLNYLQGRYLVNIRLKWVKDKDLDTVVSAEKDLKAVCFLVSIISSSPEHCLPVYHLRRHRRQLGIPNDVKMSTFIRRYPSIFHESHILDSAGTRVPSFCLTPEARELHAEQIIVLRQCWTDLRSRLCRLLMLTSGCTLPLQTIDQLRWDMGLPYDYQNSFIPYNHDMFSFIRLQDHRIGLKLLKWDNDLAVSELQKNAASQQMEEDIRNGYLAFPIGFTRGFGLNRKCMDWLKEWQTLPYTSPYCDASHLDPRTDVSEKRIVGVFHELLHLTLQKKTERKNVSNLRKPFSLPQKFTKVFERHPGIFYISKKDDTQTVILREAYDGDKLVQKHPLVSIRERFTSMLRKGQLDRSRGLYKKDPSGHFHNDEVNETLYSSEEVSDHNMLLEYDSESDGLIDNNC >KGN48237 pep chromosome:ASM407v2:6:21415127:21415801:-1 gene:Csa_6G450420 transcript:KGN48237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIINNWFPPFPSFHKMHPNSLLLLPFQTLIFIMFFSKSKTLNSTSNPPRYRGVRRRSSGKWVSEIREPRKPNRIWLGTFPTPEMAAVAYDVAALALKGPNADLNFPNSASSLPVPASTAACDIQAAATSAAAAIGAAAAAMGLDDGNHVSSSRENEGDGEGCEEELVGGGFVDVDMIFDMPNILMNMAEGMLLTPPSFNFNMNASNDFEYPSTYSQDTLWEFP >KGN46477 pep chromosome:ASM407v2:6:6683404:6686470:-1 gene:Csa_6G095930 transcript:KGN46477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPPQHSLPFLLQISLPILSFFLFLLLLVPCPVHSSVCGNLEIPFPFSLNTTIHPSIPDPFLLYCLNSTSLFLNLTLQSYRILQFLSDAVLVDFPGPSPCRHYNDFNSFSPVSHSPFFAISDDNLFALYDCNDSSLCKPSCQNLVLPSCDTNYPPACCYPLSDRSLWRNREDFSVFSKMGCRGFSSWVVEKGWRMGKRGMKLEWGLPRNLTSCDENGFVVNATNVSDGVRCSCSHGFVGDGYASGFGCFKSCVKNGREEYGSSCNTKLRREKELVIFTGVLAPLFIIASLVGLFCILKRPIKQTTLNSSHTNALLQKACRTHLFTYHELQQATRGFEDNARLVDSRNGAIFAGVLGDGSRVVVHRLQCENKDDVMSVLSQIEVLYVLAHKHVAHILGCCIDPDNPLLVVYEHPDNDTLEKHLHHHKGTKQTLDWYRRLKIATETASVLAFLQCEVSPPIFHNHLESCHIFLDTNFSSKILGFGLQSTPTEDKSHPLEASSFHNNDVYDFGVVLLEMVTGLKNSDLPMVALQKIRIGKLEEVVDPLLYYHEKPPHSKEQIEIVADLATRCLLFGRDGKLRMSDVSKELTHVMKENVVFVDGGSTRGPSIEETFSNSSLLQMISMSPDSILAP >KGN48541 pep chromosome:ASM407v2:6:23560710:23566765:-1 gene:Csa_6G491040 transcript:KGN48541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDMTSYYPPPQPPPQPSGLEPPHYPYYQVPPPPSAPPSQHYLSQHPSTFASYALPLLPHTTSINEVRTLFIAGLPEDVKPREIYNLFREFPGYESSHLRTPTQTTQPFAFAVFSDQQSAVGAMHAVNGMVFDLEKQSVLYVDLAKSNSRSKRTRTEEERYGSDKKAKVSIIPRSTPDPAGLGSTHMSGMGNSAYNTIGYPSAQSHGSFDNKTVNDTVAANVIPQNPPCPTLFVANLGPGCTEQELIQIFLRCPGFLKLKMQSTYGAPVAFVDFQDTACSTGALNHLQGSILYSSPPGEGMRLEYAKSRMGMRKKPK >KGN46442 pep chromosome:ASM407v2:6:6412317:6415391:1 gene:Csa_6G093620 transcript:KGN46442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYQNQKERAILLTATKLLMILLCAGWISLWLLKPTDLWTKKWHLAENSARASLFGYYDPTPAIHYIIDMNFMFFRQTKFSTFSNALSNPLVVNNYLGILSGIELLGVALFLLFLAWTFYARISKDFRKLLPVESLNLKIWELKYLRIATRFGLLAEACLSLLLLPILRGLAPFQLIGIQFEASVKYHVWLGTAMVLFGTFHGISTLFIWGISNKIQDEVWKWQNTGRIYIAGEITLITGLVIWITSLPQIRRRKFEIFYYTHHLYIVFFVFFLFHAGDRHFYMVFPGLFLFGIDKILRIIQSRIETCVVSARILPCKAVELTLPKDRRLKYKPTSVVYVKIPRISRFQWHAFSLISSSSVDDETMSIVVKCDESWTRSLYDVISTEREGESDQLKCISIAVEGPYGPSSMDFLRYDHLLLIAGGIGITPFLSILQEINSMQNSSRFKCPARIQLIHVMKNSQDISLLNSILPLLLNSSNKKMNLQLKVFVTQEVQSGATVVELLNKFSQMQTIQSSTRCSSYAAQGLESLNWMAAITGLTSIVFLVALIIFNHIVIPTKKASKKTKDRTPSWISDLLLMSSFTLAILSSAVVGIVLRWRRLKKENLALIQTETGTQNAIPSSVVRGSRQEEHEIYYGGRPIFKEIFSNIKHEDEGTEIGVISCGPAAMNVSVASACKQISQGLRKDSKKKRQQFIFHSLNFTL >KGN48497 pep chromosome:ASM407v2:6:23218362:23223675:-1 gene:Csa_6G490120 transcript:KGN48497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCEVCQLKELQVEQFEIREVLRCILHTIVFHRALGLVRPRDIDLELFDITYVQCGDIEVEKKIDEKIEQFINWVEKHPNKKSQICLSFYQEKNKQASWFTNKIERFYWEQWYINLHVAQHIKIHSGKTHQQKILVDPGESGLDERSIRRTTLEASLREVLFQVIKFVNEKKDHVPAIPSPDCGVVIFPYEITIPSSSDSAFGMDMIKRMLQTGHPTMLS >KGN46599 pep chromosome:ASM407v2:6:7627907:7632679:-1 gene:Csa_6G111400 transcript:KGN46599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYQWRKFEFFEEKLAGRCTIPEEIREKKIQCCSSGRGKVVIGCDDGSVNLLDRGLKFSYGFQAHSSSVSFLQQLKQRNFLVTVGEDVQVAPQHSAMCLKVFDLDKIEPEGSSATSPECIGILRIFTNQFPEAKITSFLVLEEAPPILLIAIGLDNGCIYCIKGDIARERINRFKHQVDISNKNQTSITGLGFRVDGQALQLFAVTPDSVSLFSLHSQPPKGQTLDHIGCGVNGVTMSDRSELIIGRPEAVYFYEVDGRGPCWAFEGEKKLVGWFRGYLLCVIADQRNNKNTFNVYDLKNRLIAHSLVVKNVSHMLCEWGSIILIMDDQSALCIGEKDMESKLDMLFKKNLYTIAINLVQSQQADAAATAEVLRKYGDHLYSKQDYDEAMAQYIHTIGHLEPSYVIQKFLDAQRIYNLTNYLENLHEKGLASKDHTTLLLNCYTKLKDVNKLNVFIKNEDGAGEHKFDVETAIRVCRAANYHEHAMYVARRERKHEWYLKILLEDLGRYDEALQYIASLEPSQAGVTIKEYGKILIAHKPRETIDILMKLCTEDGESLKERASNGTYLFMLPSPVDFLNIFIHHPQSLMEFLEKYTNKVKDSPAQVEINNTLLELYLSNDLNFPSMSQVSNGRNISLERSGATLMPAESNTKLSTEYTDRMKDKDRLERQEKGLRLLKSGWPSELENPLYDVDLVIILCEMNAFREGLMYLYEKMKLYKEVIACYMQTHDHEGLIACCKRLGDSGKGGDPSLWADLLKYFGELGEDCSKEVKEVLTYVERDDILPPIIVIQTLSRNPCLTLSVIKDYIARKLEQESKMIEEDRRAIEKYQEDTLAMRKEIEDLRTNARIFQLSKCTTCTFTLDLPAVHFMCMHSFHQRCLGDNEKECPECAPEYRKVVEMKRSLEQNKDQDQFFQQVKSSKDGFSVIAQYFGKGIISKTSNGTVNATNPENPSSTNGF >KGN45901 pep chromosome:ASM407v2:6:2137614:2137880:-1 gene:Csa_6G020150 transcript:KGN45901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTPKNWRRMHNVSTKRLPMQVKTSITTSRKTVSETLTDVVMAHVSETLSPRQKNSTHRGCRLLAKLDVTTIRPNTKVKHCVDTRFL >KGN48161 pep chromosome:ASM407v2:6:20835402:20837738:1 gene:Csa_6G445740 transcript:KGN48161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPIDQTNDDSSFCTNTTHPDPLNWGLAADSLKGSHLDEVKRMVQEYRRPLVKLGGETLTISQVAAIATRDTDVIVELSESARAGVKASSDWVMESMNKGTDSYGVTTGFGATSHRRTKQGGALQKELIRFLNAGIFGSGAESDHTLPHSATRAAISYMKTAKKLHEMDPLQKPKQDRYALRTSPQWLGPLIEVIRFSTKSIEREINSVNDNPLIDVSRNKALHGGNFQGTPIGVSMDNTRLAIASIGKLLFAQFSELVNDFYNNGLPSNLSASRNPSLDYGFKGAEIAMASYCSELQYLANPVTSHVQSAEQHNQDVNSLGLISSRKTAEAVDILKLMSSTFLVALCQAIDLRHLEENLKNVVKSTVSQVAKKVLTTGVNGALHPSRFCEKDLLKVVDREYTFAYVDDACSATYPLMQKLRQVLVEHALENGEDEKNTNTSIFQKIGAFEEELKAILPKEVENARLSYENGTSTIENQIKECRSYPLYKFVREELRTGLLTGEKVISPGEECEKVFTALCQGKMIDPILECLKEWNGAPIPIC >KGN49338 pep chromosome:ASM407v2:6:27766742:27767438:1 gene:Csa_6G520360 transcript:KGN49338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILMEWLEEEEEEEEEEEEPKLNHINHDKVQPGVMKSSIENQLLFFSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSLSHLILLPLQE >KGN45760 pep chromosome:ASM407v2:6:1007645:1009481:1 gene:Csa_6G009390 transcript:KGN45760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVIFFPLPLEGHTNPMLHLAQMLYSKAFSITIIHITHFSSLQPSNNPNFTFRSIEPDHAETYSVGIEGIIELIILLNRQLIEPFRKCVAELVGEGTNKIGCLITDAHWHFSQDVANEFGIPRIVLRTANISAFLGMLALPALRPFYSLPSSETNLEDPLPHLPHLRFKDLPTLKNSSLHLQDQLLTSIFIQTKSSSAVIFNSFHDLEPESLLNCQHLFSPIPIFPLGPFHKHLPLSPQSHHPSFSWLSSKPPKSVLYVSFGTLATLQPHEFLEIAWGLANSTHPFLWVVRPGMVSGSKWLERLPEGFEEMLGERGLIVKWAPQREVLAHPAIGGFWTHCGWNSTIESLCEGVPMLCYPCFGDQKSNARYVTHVWRIGVMLGDKLERGVIEKRIMKLMAEREDGEIMKRIMDLKEKADSCIKEGGSSFNSLENLVDFILQK >KGN47389 pep chromosome:ASM407v2:6:14763499:14765676:1 gene:Csa_6G309960 transcript:KGN47389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLVDWFYGVLASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQHPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAYDKERFTESKKELDALLSDEALADVPFLVLGNKIDIPYAASEDELRFHLGLSNFTTGKGKVNLTQTNVRPLEVYMCSIVRKMGYGDGFKWLSQYIK >KGN48587 pep chromosome:ASM407v2:6:23842787:23848171:1 gene:Csa_6G494920 transcript:KGN48587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVEWLKSYRIFINGILSERKVVAGTGYFDVLERDFYSAMHIAFYGPTIESMELLPDCFEAEERSTYETSEILHLVSGRDLFGMVCFAAEVPKVASATSGKSISSTSRCLHITETIENARSCVKLTREEEYELPRASMVYELDAYKDLELHICGKVIAWASRLPPKFHRESTEDKNNQDQIHVFLSSNDELIHNSVGYSVDFSSGDAAETRIPLGTIVGLGTTEEEESCSVYNKCGTKTHVIMKHRTLMVKHMHWYSTRENVSVCECRCSRARLPPSKFWLFEPRCGMHDTGGWYVETFGKNKKGRTVPSQRFWDGFDYQEHQERLHPAMYLIALAYRTLDIQDARMMRKQRIRDTIKGQLFRFLNWSKKLI >KGN48958 pep chromosome:ASM407v2:6:25994273:26000261:1 gene:Csa_6G507310 transcript:KGN48958 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aconitase MATENPFNSILKTLENHEGGVFGKYYSLPALNDPRIERLPYSIRILLESAIRNCDEFAVKAKDVEKIIDWENTSPKLVEIPFKPARVLLQDFTGVPAVVDLACMRDAMNRLGGDSNKINPLVPVDLVIDHSVQVDVAKTENAVQANMELEFKRNRERFGFLKWGSSAFHNMLVVPPGSGIVHQVNLEYLGRVVFNTNGLLYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEAAMLGQPMSMVLPGVVGFKLVGKLRNGVTATDLVLTVTQMLRKHGVVGKFVEFYGEGMGELSLADRATIANMSPEYGATMGFFPVDHVTLQYLKLTGRKDETPQVERVYSSHIELNLSDVEPCISGPKRPHDRVPLKEMKADWHACLDNRVGFKGFAIPKEAQVKVAEFNFHGSPAQLRHGDVVIAAITSCTNTSNPSVMLGAALVAKKACELGLEVKPWIKTSLAPGSGVVTKYLAKSGLQKYLNQLGFNIVGYGCTTCIGNSGDIDESVASAITENDIVAAAVLSGNRNFEGRVHPLTRANYLASPPLVVAYALAGTVDIDFESEPIGVGKDGKEVFFRDIWPTSEEVAEVVHSNVLPDMFRATYQAITEGNATWNLLSVPEGTLYSWDPTSTYIHEPPYFKDMSMSPPGPHGVKNAYCLLNFGDSITTDHISPAGSIHKDSPAAKYLLERGVDRRDFNSYGSRRGNDEIMARGTFANIRIVNKLLKGEVGPKTIHIPSGEKLSVFDAAMRYKSEGQDTIILAGAEYGSGSSRDWAAKGPMLLGVKAVIAKSFERIHRSNLVGMGIVPLCFKAGEDADSLGLTGHERFTIDLPSNVGEIRPGQDVAVVTDTGKSFSCILRFDTEVELAYFDHGGILQYVIRNLIHSKH >KGN49363 pep chromosome:ASM407v2:6:27880505:27886982:1 gene:Csa_6G521100 transcript:KGN49363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKPKEMENVWSNFHKSDALHASSVTTLFSSSLPVLPHEKLNAVDNGVAIQSVDDIASHFKNINPGPEGDDPIEDIETHAIGSLLPDDEEELLAGIMDDLDLNGLPSSLEDLEEYDLFSSGGGMELETDAQQNASIGSSRIGLGDGVVGSVVPPYTFSNGVGTVAGEHPYGEHPSRTLFVRNINSNVEDSELRALFEQYGDIRTLYTACKHRGFVMISYYDIRAARTAMRSLQNKPLRRRKLDIHFSIPKNNPSEKDINQGTLVAFNLDPSIPNEDLLQIFGVYGEVKEIRETPHKRHHKFIEYYDVRAAEAALKALNRSDIVGKRIKLEPSRPGGARRNLMLQLNQELEQDDLWSFRAQVGSPIVNSPPGKWMSFNGSIKPSSLGSISKFPSFTPISPTGGNHLPGLASVLPKATRSTMKVPPIGKDQGRGNNMEHPYSITNPFNTFQPSLSFPEPKSRRYNETMASFRPPASSGSSLETLSGPQSLWGSQNSYSESSSSSAWSRSYANHHFLSNGNGLTLPFPSRQTSFFSSTPNGHSHHVGSAPSGIPSERHFGYFSESPDTSLMGPGAFRGLGSSPHASVNSASTIPRNMSEIHPSSFQMMSSSMLNPMISGSVPYLGLLPNSLDGLNERGRSRWIENNGNQLDSRKQFLLDLDKIKAGEDTRTTLMIKNIPNKYTSKMLLAAIDENHRGTYDFLYLPIDFKNKCNVGYAFINMLSPQHIISFYEAFDGKRWEKFNSEKVASLAYARIQGKVALVSHFQNSSLMNEDKRCRPILFHSEGPEAGNQILHDHLPPVNLGVNIWAMNGSLSSDSSGSPPNYGTSERPDKC >KGN49019 pep chromosome:ASM407v2:6:26252053:26253373:-1 gene:Csa_6G510860 transcript:KGN49019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLPENERRTESSDEDEDEDEEEEEIWRKHYSSRHRILLVGEGDFSFALCLAKHFGCACNIVATCLDSQDDLEKKYSDGIRNVRELEERGCLIFYGIDVRNMSTHFFLRTQRFDRIVYNFPHVGFLYREDSFCQIQLNKELVEGFLRNARVLLKKLDGEIHVSHKEGEPYNKWELVEEAMKVGLKLEETVPFNKKDYPGYENKRADGGHSNAPFWLGDCSTYKFKLNQSG >KGN47789 pep chromosome:ASM407v2:6:18087146:18090106:-1 gene:Csa_6G403070 transcript:KGN47789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPTLINQPSFPTTPNANPNITPFNLSEIWHFPIHGGTSVEDSGPALALRMAHLAHNLTHFGDIAIGNPEVSPTDSLPLQLQQRLPHGHGVSKKRRDSDQDSPKVSSTSNGNNANANSSAGNDSGGKRVKTAACRDDNHESKTEAEPRSGKTEQNSQPTPEQPKQDYIHVRARRGQATDSHSLAERARREKISERMKILQDLVPGCNKVIGKALVLDEIINYIQSLQRQVEFLSMKLEAVNSRIGPGIEVFPPKDYGQQTFDTTGVAFGSQATREYSRGSSPEWLHMQIGGGFERTT >KGN47908 pep chromosome:ASM407v2:6:18987550:18989979:-1 gene:Csa_6G410630 transcript:KGN47908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAVSPFLASSLRPYRFPSSTQTLLSNFAASSQLLSFTPNCTKFRSKTLVFGKQSGNDNDSQFLDENGVVNDMDGYLNYHSFEYDSVWDTKPAWCQPWTITLTGLLVIASSWVAIKSIAVTAVILTLICLWWYIFLYSYPKAYSEMIAERRRKVSDGLEDTFGVKRTQ >KGN48547 pep chromosome:ASM407v2:6:23592709:23596904:1 gene:Csa_6G491100 transcript:KGN48547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSALQQTYAARRANSFRGSPLDSSADSPIKSPAGIFWLILHGLCCLISLVLGFRFSRLVFFLFFSTSTTTNLYLTPFRSATDLNVHSTSLSNPTVNLEIPVNKTTHTTIAASSSRVVVGRHGIRIRPWPHPNPTEVMKAHQIIETVQREQRRQFGVKNPRKIIAITPTYVRTFQALHMTGVMHSLMLVPYELVWIVVEAGGITNETASVLAKSGLETIHVGFNQRMPTSWEGRHRMEAQMRLHALRIVSKMMLDGIVTFVDDSNMHSMEFFDEIQNVKWFGALSVGIIVQSDKQDESSDEMENPPIPAQGPACNSSNKLVGWHTFNALPYAGKSAKFIGDKTSVLPRKLEWCGFVLNSKLLWKDAEDKPEWVNEFDTLEVGDDALESPLFLLKDASMVEPLGSCGRQVLLWWLRVEARFDSKFPHGWLIDPPLEITVPAKRTPWPDVPPELPTNEKAQTDNHEETTKLPAKSHSSRSRRSSRSKRKRHEPKVVDTQTSVRHSEN >KGN47996 pep chromosome:ASM407v2:6:19622371:19625254:-1 gene:Csa_6G423380 transcript:KGN47996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITHSICAVSLPSCSPSRSIPFHQTHFSRKHKSFSPISLITNCSQFNDLCVYSFTPTPATSCSKNHNSNNADTDPSRNQRLFQQFYLSINSNNVPDQNPSTENQKEDLGQEDQNRGVKKSKGPFTNMWWVNLKSAIGQRLNIEGIVSSAAVITKDRHLALPHVVVPDVRYIDWGELQRRGFKGVVFDKDNTITAPYCLTIWAPLKSSLEQCKSVFGHNVAVFSNSAGLYEYDHDGSKAKAMERSIGIKVIRHRVKKPAGTAEEIEKHFGFTSSQLIMVGDRPFTDVVFGNRNGFLTILTEPLSVSGETFVVRQVRKLEMFLLNRWLKQGVRPISHRLLPDTKQCIKDL >KGN48894 pep chromosome:ASM407v2:6:25654599:25655956:-1 gene:Csa_6G505230 transcript:KGN48894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRILCDSCESAPATLFCAADEAALCAICDTKVHMCNKLASRHVRVGLANPSEVPRCDICENAPAFFYCEIDGSSLCLQCDVIVHVGGKRMHKRYLRLRQRVEFPGDKQNDVKDLNVKPTEQVEKVKSQNEERGENEKHEELRVSGVTKDYSNGDGHSKRPNKVIDLNM >KGN46445 pep chromosome:ASM407v2:6:6430582:6431181:-1 gene:Csa_6G094140 transcript:KGN46445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVINAEKLFAIKYCYKKSQLFLYNLNIFHSLIFLFFTYWLSSQSHYFPPDTISSIIKMVATPPSFFSPSCLFVIVNFIVVYIVGEQKLTGAKSAFMNTMYDEYYIERTLEMKYLNPCENMKELVVEKFVEEKEEEGISPIEDDNGIGFSEKENEGDCKLANEELNKRAEAFIARVNKQRKLEAVDCFSC >KGN49002 pep chromosome:ASM407v2:6:26175032:26178238:-1 gene:Csa_6G509710 transcript:KGN49002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSISPKTTQEVVDEIMKLHRSLPLRPGIEEVEGARVLISNVDKEVQMKLEAIERQTKNQEVPEELFQILLEMQRNFIAFKSKEDKWEALKLLEIEDVYYLFDELLQRASKCVSTPPSISAQTPNAVSSSFYSSSTTNLNRSSAVPSISGSVSTSTTTPSSLLYNSEKGPTKSTTPLFSRDDSYIPKGQPASYMDGFGARPGVSSSPLIRDPSLKLATSSGENGEKYNLMALASVIEKAKKGSSALDLRNKLMNQVEWLPESIGKLTNLVSLDLSENRLATLPEAIGALSQLEKLDLHANKLSELPSSFTDLASLVYLDLRGNQLVSLPVSFGKLIHLEELDLSSNMLTSLPESIGNLVKLRKLNLETNNIEEIPHTIGRCASLRELTADYNRLKALPEAVGKIETLEILSVRYNNIKQLPTTMASLANLRELDVSFNELESVPESLCFATNLVKMNIGNNFADLQSLPKSIGNLEMLEELNISNNQIRFLPDSFRMLTRLRVLRAEENPFEVPPRHIFEKGAQAVVQYMIDLHENRNVRTEPVKRRKRRWWHMFNSCNSNQRQSDPINHMRA >KGN48754 pep chromosome:ASM407v2:6:24914933:24917854:-1 gene:Csa_6G500460 transcript:KGN48754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKVSTDCPYPGCFFCVMKEGNPSKRRASILKFFRELPSQDDDGQVLPISGLWNTAMAHPNDPEFIELGIFECMSALIWKGLKNRRWLSHDQNIYIPYYAAHIIGSYTMNMEEFAETAVHAAVIPPLVELLSGRLTWVEQRVAVRALGHLATYASTFPAVASHAEILELSIQLAMSSLEIVYTHFYQYVDRRLSYHCDLLTRGMGGVEMESRKAEEWASQLQCWSLQLINCFAFKPEFLPTICKPEFLVKLPGMWGGLVNENSPAGIGLLRTICHHKLGRGPVANCPSIIEALCNIARSSDDWQSMAIDCLMWLLQDPNTSHKVIDKAAPTLLDLAEISSLGDHRKLGESITNVLQECIQSQGAGRNSISNRTKEQIEELLKSRQRLKWEKSMPKEDLRIKQAAALVIKLEGNSMFSSGNISAAASKYSEALALCPMRSKKERVVLYSNRAQCYLLLQQPIAAIGDATRALCLHNPVNRHAKSLWRRAQAYDMLGLAKESLLDAILFINECSQSNDPDLSLRQNKVPDYAERLVKKQMRAAWLFREAAIKHGNVQCEDGAGDVYGRETDDSEWETASESDIGNDGRDEMGEEEEDDEDCDWKDEDKYDKSSIKGTCEQFIYSG >KGN47890 pep chromosome:ASM407v2:6:18882352:18883145:-1 gene:Csa_6G409960 transcript:KGN47890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTRTESQVREREGGKKDESVGWGGCCLRVLHKSKEGWLICRDKKDGMERQRCKWLHLNC >KGN49109 pep chromosome:ASM407v2:6:26654632:26656673:-1 gene:Csa_6G513730 transcript:KGN49109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSSLKLGIPLEKRLAEAARIREKYPDRIPVIVEKAGRSDIADIDRNKYLVPKDLTVGQFVYVVRKRIKLSAEKAIFVFVKDTLPSTGALMSAIYADNKDEDGFLYMSYSGENTFGGFLGGQ >KGN49393 pep chromosome:ASM407v2:6:28051273:28051749:1 gene:Csa_6G523350 transcript:KGN49393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFKIPSSFSSPNYLCFFQISLSLILFFLLTPTTSSFKPLPGHEPSNVRHRTTVVHGSAITTLRREVDHPHHHHHEYRSNKLEMEIKINKISKKMIKRKVVVLPGGFKSSNHKNKNDKGSAFSAMLPKGFVPPSGSSPCHNEDPQSSSLAFYCHLDRP >KGN47997 pep chromosome:ASM407v2:6:19629126:19630208:1 gene:Csa_6G423390 transcript:KGN47997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLLLCAFLASLVAATAANFLRDVDITWGGPRAKILDGGRHLSLSLDKDSGSGFQSKKQFLFGRFDVQMMLVPGNSAGTVTTFYLSSEGTSHDEIDFEFLGNLSGDPYTLHTNVYSQGKGDREQQFHLWFDPTKAFHTYSIDWSPQSIMFLVDNIPIRVFHNWEKIGVSYPKSQPMKVYSSLWNADDWATRGGRVKTDWTKAPFTASYRNFNANGCVASTGSSSSCSSKYANTQQGGTKNNQGLDAKSRNRLRWVQSKFMVYNYCTDRQRFPQGIPAECRRSRFL >KGN46791 pep chromosome:ASM407v2:6:9475057:9491050:-1 gene:Csa_6G135470 transcript:KGN46791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMTVTARVITTVRSLVPSSQVPLLRPSSYVLAAQKSHSHTLLIRQMSSEAQSITTRLHSSGLLRSQGLIGGKWIDAYDGKTLKVQNPANGEVITNVPLMGKRETDDAISSAYDAFKSWSKLTAADRSNRLRKWYELLMAHKEELGQLITLEQGKPLKEALGETNYGASFIEFFSEEAKRVYGDIISPTIADRRLLVLKQPVGVVGIITPWNFPLAMITRKVGPALASGCTVVIKPSELTPLTALAAAELSLQAGIPPGVVNVVMGDAPAIGDAILASSQVRKITFTGSTAVGKKLMAGAAGTVKRVSFELGGNAPCIIFDDADVDVAVKGSIAAKFRNSGQTCVCANRILVQEGIYEKFTNAFSKAVQNLQVGDGFGEGVAQGPLINEAAVQKVEKFLQDATTKGAKVLLGGKRHSLGMTFFEPTVVAGVKSDMLLSREEVFGPVAPLLPFRTEEEAIALANDTNAGLAAYIFTNNIQRSWRVTEALEYGMVGVNEGLISTEVAPFGGVKQSGLGREGSKYGMDEYLELKYVCLGNMDRQ >KGN45845 pep chromosome:ASM407v2:6:1667339:1669463:-1 gene:Csa_6G014650 transcript:KGN45845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGEEEENAAELKIGDEFLKAKCLMNCEVSLILEHKYEQLQQMSEDPTNQVSQVFEKSLQYVKRFSRYKNPDAVRQVREVLSRYQLAEFELCVLGNLCPETVEEAIAMVPSLKTKGRVHDDEAIEKMLNDLSLIKRFE >KGN47744 pep chromosome:ASM407v2:6:17700317:17701366:-1 gene:Csa_6G398210 transcript:KGN47744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVKLENLKFVGIFGILHETFKLISQWRKIFTQITLLFILPLSLLIFANNEVSKFFLQKISQEKTILQQTQESTPQFLKLSHLISSQNLYYSLFNFAFLIFSPIFSLLSTSATVYTVACIYAARDISFTLVMAVLPKLWKQLLITFLCYLASIFAFTFVAIGVLCLIPLIAILIYGLNTGQDFILGNKIIFFFFIISYCIGIWYFTTIWQLSSVVSVLEKSCGFKALEKSKNLLKGKMKMVIKLWVLLDFPLGVIQFALLHYFVTRSTWVGWSILGICWVLSFMVFVLVKLVLETVVYFVCKLHHGEMVDMMPLWNHLQGYVLPHHYDQLKVDDDNNSVQLEKIQAVR >KGN47955 pep chromosome:ASM407v2:6:19355838:19356484:-1 gene:Csa_6G419520 transcript:KGN47955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSWHIAIGPKRRKEKSPRKFKSQQSLPFFILTINTHFPLSSPDSASLLILTPIPSSPSSMEFSAVRFHSDKENLPPFTHKLYPSIPKKPTIRRRLNRKPLLDITNSFENTIRLGSIQDGFIASVESVCLQPNSRKRKAGDEVYSGTAKSLRMGFR >KGN46396 pep chromosome:ASM407v2:6:6111680:6114514:-1 gene:Csa_6G089230 transcript:KGN46396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAHETDKNIEIWKIKKLIKALEAARGNGTSMISLIMPPRDQISRVTKMLGDEFGTASNIKSRVNRQSVLGAITSAQQRLKLYNKVPPNGLVLYTGTIVTEDGKEKKVTIDFEPFRPINASLYLCDNKFHTEALNELLESDDKFGFIVMDGNGTLFGTLSGNTREVLHKFSVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFFINPATSQPNVAGLILAGSADFKTELSQSDMFDPRLQAKILNVVDVSYGGENGFNQAIELSSEILSNVKFIQEKRLIGKYFEEISQDTGKYVFGVDDTLKALEMGAVEILIVWENLDINRYVLKNASTGEIIIKHLNKEQEANQSNFRDPATSSELEVQEKMALLEWFANEYKKFGCTLEFVTNKSQEGSQFCRGFGGIGGILRYQLDIRSFDELSDGEEYDDSE >KGN48758 pep chromosome:ASM407v2:6:24943199:24944684:-1 gene:Csa_6G500500 transcript:KGN48758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGSSGSIQSSSGGEDEYDSRAEGFSGFLNKPPGHVGPKANPSPPLTAHHPHSSTSSALFDPFSNFLDPLSTRSHQNSIPNLDFLWPRNNPRSDPNPIHFPGSISMPPSSTPNSYMAATNSQVPHQTTEPNVVHQAPRGLTLTTDRSDVVPNNSNTATGTTTGTSNPSVRNPRKRTRASRRAPTTVLTTDTSNFRAMVQEFTGIPAPPFTPASSFPRARLDLFGGGTASTSSPPYLLRPFPQKLSTTNSLLSPSSDFLLPKQNHYLPPMSSIFNLQPSPPQPLHQPNINLLGSDQTQTDTHRNHHLHQTPLPEELLSESGNILPANWGSSGGGAGGGGVASDQALFRSMNSKYCTGTKVNNNYSASSSSLDFHGDKGSENVTTRSEGMMESWICSSD >KGN46518 pep chromosome:ASM407v2:6:6987436:6992822:1 gene:Csa_6G106700 transcript:KGN46518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKANSLTSSLPLQFPSPIKLPPPSTGIRFPSSLTPKAISISTPNTHRISINKNDDDDDSIAAFWDYQFLFISQRTETENPAVLRLVDGAIPSDFPSGTYYLTGPGMFSDDHGSTVHPLDGHGYLRAFVFEKDHEVTFMAKYVKTEAKMEEHDPETDRWRFTHRGPFSVLKGGKKLGNTKVMKNVANTSVLRWGGRLLCLWEGGDPYEIRAEDLDTVGKFCAFHGGDDHDSPSRGGGYDGGFWRFAAELLKPVLYGVFKMPPKRLLSHYKVDAQRNRLLVMSCNAEDMLLPTSHFTFYEFDSNFKLLQKKDMVIDDHLMIHDWAFTDNHYILFANRIKLDVIGAMSAISGISPMISALSVNTNKSTSPIYLIPRFGEDSKKDDWKETIVEVPSRLWLLHVGNAFETIHEDGTLDFEIHASSCSYQWFNFKKLFGYNWQTGKLDPSVMNPNETKSKQFPHLVKVSISLSKNGKCEKCSVEPLNQWPKSSDFPVINPKFSGLKHNYLYAATSSGNRRSLPSFPFDMIVKLDTVTNTVRTWFAGNRRFVGEPVFVPKGDKEDDGYLLVVEYAVSIQRCYLIILEAQRFGEADGVVARFEVPKHLNFPIGFHGFWAANT >KGN45990 pep chromosome:ASM407v2:6:3157317:3158054:1 gene:Csa_6G041750 transcript:KGN45990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPFESQISPESAIEGDPEAQTLHFQSEFSSEHDRDESVFPTYWNNTKKNQILLEGFVEASDEDNLTRTKSLTDDDLEDLKGCVDLGFAFCYDEIPELCNTLPALELCYSMNQKFMDEHQKVPENPLPESMDSVSGPIPNWKISSPGDHPEDVKARLKYWAQAVACTVRLCN >KGN46230 pep chromosome:ASM407v2:6:5110324:5111761:-1 gene:Csa_6G076780 transcript:KGN46230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPETAAFTALFALLITAVASQTPPTAYTNYTVGGPAGWFFNATNNISTTNYSSWAASQTFNLGDFLIFRTNSNQTVIQTYNLTTFNSCSFDDASDNDTVQYYGGDSNFNKPLVIPVPLTIKGPNYFFSDADDGVQCQRGMAFEIEVNTGLGLPPSLNQPPPPPYATPPDSDSSQTPPFTIPDETKKNGGFKSVANLRQDLFFFFMAVIPLLMSVR >KGN48071 pep chromosome:ASM407v2:6:20224352:20225975:-1 gene:Csa_6G428040 transcript:KGN48071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPVDKTQLEAWFSENVKPFADRNKAELDPAVVAAEGNVTIVKVMGDGTGDFKTITEAIASVPVNNKNRVVIWIGEGVYKEKLTIEKNKPFITLCGTPKNVPTLSFDGVASKYGTVYSATLIVEADYFVAANLIIENTSPRPNGRKEAQALAARFRGTKSAFYNCKFLGFQDTLCDDDGLHLYKDCFIQGTVDFVFGKGTSLYLNTELNVVGEGQFAVITAHSREQEADASGYSFVHCSITGNGKDTYLGRAWMPRSRVIFAYTSMIDIIHPEGWNDMKHAGFDKTVMFGEYKCSGPGAVSTGRVAYGKQLTEAEVKPYLSLEFVQSAKWLLPPPNPQA >KGN46172 pep chromosome:ASM407v2:6:4718672:4719327:-1 gene:Csa_6G062315 transcript:KGN46172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTEILRPQDCLIERIRVPPAAFCRRRSSYGNYDSNICNNYNPRSNRKSVARSERPERPEQRKRFVPNHSEPSVSKRSSSDDLKAMKNSLVMEKVTILRRGESLDSKIKSEALKKEGDNIVVCGTDRLGPAPETVAKQIRIVDVRSPIAGKADVYAGSAFSMSPSPSSLPLPSFSKKKHVSAIVDDSATRDLRRLLRLDA >KGN46925 pep chromosome:ASM407v2:6:10635206:10636301:-1 gene:Csa_6G151130 transcript:KGN46925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEFKHLVLVKFKEGAAVEEILKGMENLVSEVEAVKSFEWGQEMEGPEMLTQGFTHAFLMTFENKEAHTAFVGHPKHVEFSATFSAAIDKIVVLDFPAIRAKPPLPA >KGN47057 pep chromosome:ASM407v2:6:11836928:11840201:1 gene:Csa_6G182110 transcript:KGN47057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEWWGFRPQRLRWAVLVMMFLFQSMTLCFSLNNEGLALLRIRDAVGSDPFGALANWNDKDGEFDHCSWFGVECSDGKVVILNLRDLCLGGTLAPEMGKFPYIKSIILRNNSFHGGIPQEIGDLLELEVLDLGFNNFSGPFPLDLGNNLSLTTLLLDHNEFITSITPEAYELNLLSETLMDEEQLSSIQGKSSCTRETVRWNFGQFQDADYQRRRLGGVQSPKRSFFSLPPSSFASSPSPLSPSAPPFSPAPSPRSPSASPTEPPAPLLSLAPAPSLHLNPARPPAVAPTLHAPVHVLTPPHSRGVPPRSSAPSPNLIGRSNKNKNHKVLILTGIVAGSLFLLFATVGILMFRSSKVVTVKPWATGLSGQLQKAFVTGVPKLKRSELEAACEDFSNIIGSFSDITVYKGTLSSGVEIAVTSTAVTSNADWSKTKEEQFRKKIETLSRVNHKNFVSLIGFCEEAQPFTRMMVFEYAPNGTLFEHLHIKESEHLDWDMRLRIAMGVAYCLDHMHQLDLPVVHRHLCSSSVYLTEDYAAKLSDFSYWSEATAAKLGSATVELLETSPADLESNVYSFGVILLEMITGRLPFSVDDGSLADWASDFLKGEQLLRDIVDPILSSFKEEQLENLSQVIKMCVKPEPKQRPTMPEIALRLKEITALEPAGATPKLSPLWWAELEILSTDTN >KGN47543 pep chromosome:ASM407v2:6:16028420:16028835:-1 gene:Csa_6G358070 transcript:KGN47543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKTGENRSWVLLSVEEWLHCWKLFEKRNGKQKKKKKKKKKKKKKKKKKKKKKREEKKRKDGIWLLQQSSPIERIDCKKLPVTENLKSKVIGPTSGWLHKFNPTSFIHMPKPKHNCFCGSPLPSAFLP >KGN46074 pep chromosome:ASM407v2:6:3909530:3911135:1 gene:Csa_6G051510 transcript:KGN46074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGESRDCKNEKEAEEKNRTCKIPNGSPPRVIESVVCGWRFLVIFFAFLNCLLSTEDIVNDQFSWDVSPELEIQWLLK >KGN47306 pep chromosome:ASM407v2:6:14050717:14053164:1 gene:Csa_6G290870 transcript:KGN47306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKEALLILLIVAVLGNAIGIKEEEEEEWWREREEEKFGSKERFLMVDSKKVIETEAGEMRVMRGPISRILDKAMHIGFITMEPKSLFVPQYLDSTLILFVRRGDVKVGLIYKDELAERRMKGGDVFRIPAGSVFYMVNVGEGQRLEIICSIDKSESLSYGTFQSFFVAGGKYPGSVLAGFDQDTLATAFNVSYTELRRILSRQRQGPIVYISDTESPRVWSKFLQVKDKARLSKVADNNEDGEESEKNKRWSWRKLMNSIFRNENRDKSKKITRTGKSPDSYNLYDKTPDFSNAYGWSVALDETEYHPLGHSGIGVYLVNLTAGSMMAPHVNPTAAEYGIVLRGTGTIQIVYPNGTSAMNAEVTEGDVFWIPRYFPFCQIASRTGPFEFFGFTTSSRKNRPQFLAGASSIFHTLRNMEMATAFDITEDDMERLLGAQYEAIILPSAEIAPPHKEEEKKRRKEEERREAETETETEWERERERERERERRVDEVVGSFGRNLVMGFA >KGN48421 pep chromosome:ASM407v2:6:22766418:22770410:-1 gene:Csa_6G486940 transcript:KGN48421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSSRLLSKSKQVLGSQSILHQGHAFPVRHFAKEAAPPALKGDEMLKNIFLEVKKKFETALAVFKKEKITIDPDDPAAVAQYAKVMKLAREKADLFSESQRIKYTIQTRTQDIPDARSYLLALKEIRIKRGLSDDLGAEAMMFDALEKVEKELKKPLLRNDKKGMSVLMAEFDKINQKLGIRREDLPKYEEQLELKISKAQLEEMKKDALEAMETQKKREEFKDDEMVDTKSLDVRNFL >KGN48303 pep chromosome:ASM407v2:6:21825628:21826052:-1 gene:Csa_6G454990 transcript:KGN48303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKDRFKTFNIQFEELHQRQSQWTVPDSELRESLSRPMIENGKNPQKYIRYSPEDLERMLNEFFEGKTFSEQKR >KGN45979 pep chromosome:ASM407v2:6:3027125:3027609:1 gene:Csa_6G041150 transcript:KGN45979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSDGNRMECERIFKRFDVNGDGKISLSELEAALHALGSSAPEEVGRRMSEIDKDGNGYISLEELCDFQRANPDLMKEVCKRL >KGN46683 pep chromosome:ASM407v2:6:8485399:8485872:1 gene:Csa_6G123980 transcript:KGN46683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYLGLGLGRRMWMTASVAVVQGGQSDGGHHEATKGVISLPQKLRVLSGEKIKREADGEESLRRVMYLNCWGQG >KGN46825 pep chromosome:ASM407v2:6:9895431:9899723:1 gene:Csa_6G139250 transcript:KGN46825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLELLSSNTSCLPLDLLVLDVVMASFNGLLAFVAFSQLIRIHMRSQQDGWTRQKALHLMIGSSNLGYMIYFIFALVTIIQLWHCWSHVFAFVLMAFPKILFLAAFLLLLSFWVDLCHQANDEEDDDDDEENNIRQTLLENSKNKPGSSNVDGHRRCCGFPAIHLGSRQKIVIVVVMLVFLLMVAVSILIWIGAGKNPIDSTAVARVYEDFLAITVLLSGGALGFYGFMLFYRLKKVRSEEASSEMKKVGGLAVVCVVCFTSSALVDLLTDIPLVVNDLIYICFV >KGN48131 pep chromosome:ASM407v2:6:20642165:20643194:1 gene:Csa_6G439970 transcript:KGN48131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQLSKSISSPSRTDLFPPPLMSFLRADAGNRSKSSRSRSSPIFVGKKNVAIETQEPSSPKVTCMGQVRTNKHSSNKTPAVRCRWIRSVLSFNRRHCRTFWNRSAMLCRGKREIRRISESRVGNEAEDSEKDEEEDDGRDGDAVYSSFSVPSPPKNALILSRCRSAPNRSSFNGTRYRSSSITSDGTVEVEEEEKTEGGFRNNAASKIELGKSERLLKKVESSKGDGDSKSVNGNRNLNLILTRSKSEPGRIAEKLYGELNNLQEEKRWVMNKKKCYILNNNL >KGN48875 pep chromosome:ASM407v2:6:25542360:25543777:1 gene:Csa_6G504560 transcript:KGN48875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLLSFVLVLSLLAFAPLCLCSRNNGGFGSLYPQYYDRSCPKAKEIVKSIVAKAFAREARIAASILRLHFHDCFVQGCDASLLLDSSGNIRSEKNSNPNKNSARGFEVIDEIKSALEKECPQTVSCADILSLAARDSTFITGGPYWEVPLGRKDSRTASLSGSNNNIPAPNNTFQTILNRFQNQGLDIVDLVALSGGHTIGNSRCTSFRQRLYNQNGNGQPDKTLPQSFATDLRSRCPRSGGDNNLFSLDYSPTKFDNSYFKNLVAFKGLLNSDQVLLTGNDASAALVKKYADDSEEFFQQFAKSMIKMSNISPLTGSSGEIRKTCRKINN >KGN46561 pep chromosome:ASM407v2:6:7289919:7290864:-1 gene:Csa_6G108590 transcript:KGN46561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDLQIVCCMCGDIGFPDKLFHCNKCRNRFQHSYCSNYYSESAEPIEVCDWCQCEGRSTSRSHGSSSRRPPSTGTNATGIMTRSEYSGDKLIKHHHHDSPTEKGKHGGAGSTSSPRPTTRRYKLLKDVMC >KGN46657 pep chromosome:ASM407v2:6:8199834:8205061:-1 gene:Csa_6G118350 transcript:KGN46657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLKTSFLSPILLPPPFIRTTRSPLKSRNAQIFIIRLSVTPDPWSLSDGNPARPKPRSKNAKKPLSDDNARRIIKAKAQYLSVLRRNQGPRAQTPKWIKRTPEQMVQYLEDDRNGHLYGKHVVAAIRHVRSLSQKTEGEYNMRMEMASFVEKLTFREMCIVLKEQKGWRQVRDVFDWMKLQLSYRPSVIVYTIVLRAYGQVGKIKLAEETFLEMLEVGLEPDEVACGTMLCTYARWGHHKTMLSFYSAVKDRGIVPPIAVFNFMLSSLQKKGLHAKVKELWMQMVEIGVTFSDFTYTVVINSLVKEGHSEEAFKVFNEMKNCGFIPEEVTYNLLISLSIKRENSDEVLRLYKDMRDKDIVPSNYTCSSLLTLFYKNGDYSKALSLFSEMESKKVVVDEVIYGLLIRIYGKLGLYEDAHKTFEEMEQLGLLTDEKSYLAMAQVHLNSRNFEKALDIIELMKSRNIWLSRFAYIVSLQCYVMKEDIRSAESTFQALSKTGLPDARSCIYILNLYLKLDLVNKAKDFIAHIRKDGVVFDEELYKLVLRVYCKEGLSEDAEILIELMKKDELFVDNKFMETFSFMFKLDGGEKNESTIVGYDQPDHIALDMILRLYLANGDVSKRNKILKFIIGKGGVTVVSQLVANLIREGDSLKAGTLTKELLKLDCRLDDAIIASLISLYGKERKINQAAEVLAAVANSCTSTLIFGSMIDAYIKCDKAEEASTLYKELIEKGYDLGAVAVSRIVNTLTVGGKHRVAENVVRASLNCGLELDTVAFNTFIKAMLEGGKLHFASRIYEHMIALGIVPSIQTYNTMISVYGRGRKLDKAVEMFNAARSSGLSPDEKAYTNLISCYGKAGKTHEASLLFKEMLEEGVKPGMVSYNIMVNVYANAGLHEETENLLKAMEQDAIVPDSFTYFSLIRAYTQSCKYSEAEKIINSMQEKGIPTTCAHYDLLLSALAKAGMIRKAERVYDELQTAGLSPDVTCNRTLMRGYLDYGYVREGIKFFESTCKYAGDRFIMSAAVHFYKAEGKEDEALNILDSMKTLGLSFLKDLQIGLKLESA >KGN48135 pep chromosome:ASM407v2:6:20662666:20664455:-1 gene:Csa_6G445000 transcript:KGN48135 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding protein S1FA MEDDFDFGDKVPPAVNRMGNVIRDGEARGFNPGLIVLLVVGGLLFAFLVGNYALYMYAQKTLPPKKKKPVSKKKMKRERLKQGVSAPGE >KGN48736 pep chromosome:ASM407v2:6:24824064:24827153:-1 gene:Csa_6G499800 transcript:KGN48736 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-deoxy-D-arabino-heptulosonate-7-phosphate synthase MALSNAAALSSSKLIFNPLPDTKSQRPISFSVPSDTHLRLRQRISAVHAAEPANDKVAVEAPRKKPFAAPTTNEKWSLESWKSKKALQLPEYPDQSALHSVLKTIESFPPIVFAGEARSLEERLGEAALGNAFLLQGGDCAESFKEFSANNIRDTFRILLQMGVVLMFGGQMPVIKVGRMAGQFAKPRSDPLEVKDGVSLPSYKGDNINGDDFTEKSRIPDPERMIRAYTQSAATLNLLRSFATGGYAAMQRVTQWNLDFAENSEQGDRYHELARRVDETLGFMSAAGLTVDHPIMTTTEFWTSHECLLLPYEQSLTRLDSTSGLYYDCSAHMLWVGERTRQLDGAHVEFLRGVANPLGIKVSNKMDPNELVKLIEILNPANKPGRITIIVRMGAENQRVKLPHLIRAVRKAGQIVTWVCDPMHGNTIKAPCGLKTRPFDAILAEVRAFFDVHEQEGSHAGGIHLEMTGQNVTECIGGSRTVTFDDLSSRYHTHCDPRLNASQSLELAFIIAERLRKKRIGKQSPLSQGFF >KGN49036 pep chromosome:ASM407v2:6:26319034:26321917:-1 gene:Csa_6G511030 transcript:KGN49036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEIKKNDDGGDQKKEETKQQEKKEPTESATPPPPPTTEDEQKKQENKKNEEEPPQDIVLKVDMHCEACARKVARALKGFQGVENVTTDSRAGKVVVKGKGADPKKVCERLQKKSGRKVELISPLPKPPEEQPKEEDKQPKEEKKEEVPPPPAVVTVVLNVQMHCEACAQVLRKRIRKFKGVESVETDLANNQVIVKGVMDPARLVDHVSKRSRRPASIVVKEEEKKEGEKKEEEKPAGEEKAEEKKETQEEEKEEDDKKFDIKRLEYYWPSTKSYTEYYAYVPERLFSDENPNACSIM >KGN48610 pep chromosome:ASM407v2:6:24018176:24022245:-1 gene:Csa_6G495640 transcript:KGN48610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSQLTPPLVRMFEYRPLIFSSFGRLKPANIAVNNAIGLERSCGGWRFCCDAVPTSFLQPFKAATSICSAIHGKGCHETSTGGKSKNIVWHDCPVGKPQREKLLQQKGCVIWITGLSGSGKSTLACALSQGLHARRKLTYILDGDNIRHGLNSDLSFEPEDRTENIRRIGEVASLFADAGIICIASLISPFRKDRDACRALLAEGDFIEVFLDVPLNVCEARDPKGLYKLSRAGKIQGFTGIDDPYEEPLNSEIVLQYKEGICSSPNDMAERVISYLEERGHLQT >KGN48370 pep chromosome:ASM407v2:6:22312329:22316056:-1 gene:Csa_6G483500 transcript:KGN48370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSDGDADVFFDSEDYLPLEESLVAEEADFSKLGYEIWMSEPQSIKKRRERFLQEVGFVDFASSSTCAQDSEIESSCSSERMELERLTECSGAVSSSDYTPSCNVEENDLVVCHNEMQSAEFDNEATSRLSSVSDSSFRRPQGEANVEACQDADVGKRKILTWWKSFKNKAARRRSADFSRESELNSPKSQSCRMKVYQHKKRCSEFSALYMRQQICAHKGLIWTMKFSPDGKYLASGGEDGVVRIWRVTYANASSEFLADDGNYNTKPKEGKSSFSSKPLRFATVVIPEKVFQIDELPIQELHGHSSDILDIAWSTSNCLLSSSKDKTVRLWQVSSDQCLNVFHHKNYVTCVQFNPMDENFFVSGSIDGKIRIWGVKKQRVVDWADIRDVITAVSYRPDGKGFAVGSITGTCRFYETSGDYLHLSAQINVQGRKKASGKRITGIQFFRENSQRVMITSEDSKVRIFEGTEIIHKYKGLPKSGSQMSASFTKNGKHIVSVGEDSRVYMWNYDSLFLPSSKEAKSQQSCEHFFSDGVSVAIPWSGVGTEPNCFSNNQALFSNRKNYQRAASWTRETDRFSLSNWFSADGLFRGSATWPEERLPLWDLPITEDENGSLQHHDCHQKKLNSHRDAHNDGSQLETWGLVMVVAGLDGTIKTFHNYGLPIKL >KGN47005 pep chromosome:ASM407v2:6:11354182:11355123:1 gene:Csa_6G167230 transcript:KGN47005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRGRPSDPFTATEIPNSTIQPENPLKEIRYRGVRKRPWGRYAAEIRDPWKKTRVWLGTFDSAEEAARAYDNAARTLRGAKAKTNFPLHPSTISIQQQIPFYQSPPDLHDSNGFGAKIECVQANRPTSSSLSSTVESFSGPRISNPLSSLSMVRRRPVQPVSPDDCHSDCDSSSSVVDDDEVCVLASFRRALPFDLNLPPPMDVVDLTGDDLQATALCL >KGN45616 pep chromosome:ASM407v2:6:86264:100124:-1 gene:Csa_6G000640 transcript:KGN45616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVAKIAQIISQTLSNDVHVVHGATESLDQLSSHPELPFALLYIASGNHDQGQKVAAAAYLKNLSRRNIEGEFPCSNVSKGFKNELLRALFQAEPKVLKVLVEVFHSIVINEFVKQNSWPELVSDLCSAIQNSNLASSGAECQLNAINVLSVLCTTCRPFQYFLNPKDSKEPVPPQLELLANTIIVSLLAVFHRLVEQAISNPDGREVEIDKILSIACKCVYFCVRSHMPSALVPLLLLFCRDLIGILDSIKFETAVSPEYGNVSRLKTTKRSLLIFCVFVTRHRKHTDKLMPDIIKCALNIVNYSKNAHKLDSLSERIISLAFDVISHVLETGRGWRLVSPHFSTLIHSGIFPTLIMNEKDIYEWEEDPDEYIRKNLPSDLEEVSGWKEDLYTARKSAINLLGVIAMSKGPPTVTHTNGSSASSKRKKGNKRTNNQCATMGELVVLPFLLKYSIPSDANASQTSIVNSYYGVLIGYGGLLDFLREQQPGYVAFLIRTRVLPLYAMTTCLPYLIASSNWVLGELASCLPEEVCAETYSSLVKALSMPDKEVSFYPVRVSAAGAIAKLLENDYLPPEWLPLLQVVIGGVGQDDEENSILFQLLSSIVEAGNENIGIHIPHVVLSLVGAISKSIPPNLEPWPQVVEHGFAALSVMAQSWENFILEKIEQDASYERSTSDQATISRSFSSLLQEAWLAPMYSLKSEEMDDDREFLPPPSCIDHSSRLLQFIMLSVTESNTIVELKISELVSVWADLIADWHSWEESEDFSVFNCIMEVVRLNSKYALKNFFVKSAPSPPAPPVPRRSIVENIGAFINQAISKYTSATWKACSCIHVLLNVPNYSFEVEGVKESLVVTFSQTSFSRFREIQGKPSALWKPLLLSISTCYICHPDTVERILEKFDGGGFTVWVSALGYLCSSSFAPGLSAESEIKLIVMTFAKVLERIIELGKPRDDFLWKCFGSLMEASIQLKEVREEKEEESDENEEEEEDDGDETEDDEDSDADELEETEEEFLDRYAKAAIELENSSFIEEGNVEDEDQDIELGCFEEVDEGRIIYTLLEKYHPILIQGQGWPSDLPMRFLNAYPDYTAFLRLSG >KGN47927 pep chromosome:ASM407v2:6:19127197:19128485:1 gene:Csa_6G411310 transcript:KGN47927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTITDGLYYWLVNHPKILNFSWSQGETLGSSPLFLTVTVIAYLSLTFLLSNLSLPLLPPTILKRISVVHNLILLALSFTMALGCTLSTFSHAPHLHYILCLPLKTPPRGPLFFWAYIFYLSKILEFIDTFLIILSGSTKRLTFLHVYHHATVVIMCYLWLHSSQSLFPLVLVTNSSVHVLMYTYYLSCALGIRPRWKRIVTECQIVQFQFSFVVLALMLYFHVTHKGSGCAGVYGWCFNVVFYSSLLALFSDFHAKNYGANGKMTAPKKVA >KGN45864 pep chromosome:ASM407v2:6:1810456:1814068:-1 gene:Csa_6G014840 transcript:KGN45864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEMIAVEDIEMAEDISPPLFPLTSALHDSFLFTHCSSCFSLLPNPPISHSIPLHYCSLKCSLSHSDPLTDAFFSIHPFPDASSDTSDLRASLRLLHLLLSHPSPSLSPPPDRIYGLLTNRHKLMTPQNDSEVFLKLREGANAIAALRRKNYADIPPGTALEEAVLCLVLTNAVDVQDSIGQTIGIAVYASTFSWINHSCSPNACYRFETPSDSVTTRFRIAPSCTDFMSDEGSCRQMGNVRSNILDFIREGALLNGNGPRVVVRSIKRIKKGEAVTIAYCDLLQPKARRQSELWSRYQFVCSCQRCSAVPLTYVDHALQEISSVKVELLDSTPISNFDHDTAVRRIDEYVDNAITEYLSTSSPESCCEKLQNLLTFGFHDEQVEDGEGKQHVSLRLHPLHFLLLNAYTALTSAYKVRSCDLVALSSEMDKDNGNRHNALTMGKTSAAYALFLAGATHRLFLFEPSLVASAANCWVVAGESLLILARHSSLWATTTNTSNWVFPLGKRMCYNCSWVDEFNASRIHGQPVQADFREFSIGISNCIASISQKCWSSLTHGCPYLKAFTGPFDFSWPKTNEQDICGRGIDHSCACSKTQDVCLECKPQDSNQERESISGLGIHCLYYGGYLASICYGHHSHLASQIQNILNDLN >KGN45951 pep chromosome:ASM407v2:6:2614507:2614907:1 gene:Csa_6G032490 transcript:KGN45951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGLFHPKPKGSKDREEKGLRIGGSKPIRDVSVRSWQGNLAVRPNGRPMSSIPTLSLKLSLFSKAPFPRMRDGIGIPQQK >KGN47277 pep chromosome:ASM407v2:6:13774385:13775055:1 gene:Csa_6G263200 transcript:KGN47277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEQRPFENTKNLHRQKAASVTLILADLCSSVFFLVLESERFSRLLSLNFPAFSPQFRYWVFEKTSILKIELKSCSSRISPVSGLV >KGN46115 pep chromosome:ASM407v2:6:4218698:4228868:1 gene:Csa_6G055360 transcript:KGN46115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMASSSERWIDRLQFSSLFWTPPRDEQQRKAEITTYVECLGQFTSEQFPEDIAELIRSHYPWKEKRLIDDVLATFVLHHPEHGHAVILPIISCIIDGTMVYCKDGSPFSSFISLFCPDTEHDYSEQWALACGEILRILTHYNRPIYKTEQQSVEGERSGCDNHTTTSDSNNVPPGQMPLNQDRKPLRPLSPWITDILLAAPLGIRSDYFRWCSGVMGKYAVRELKPPTTATSRGSGKHPQLVPSTPRWAVANGAGVILSVCDEEVARYETATLTAAAVPALLLPPPTTALDEHLVAGLPALEPYARLFHRYYAIATPSATQRLLLGLLEAPPSWAPDALDAAVQLVELLRAAEDYASGIRLPRNWMHLHFLRAIGTAMSMRVGIAADAAAALLFRILSQPALLFPPLRQVEGAEIQHEPLGDYISSYQRQIEVPAAEATIEATAQGIASMLCAHGLEVEWRICTIWEAAYGLIPLSSSAVDLPEIVVATPLQPPLLSWNLYIPLLKVLEYLPHGSPSEACLMKIFVATVEAILQRAFPSESSTERTRKLKYLSGIGYVSKNISVSELRMMVHSLFLESCASEELASRLLFIVLSVCVSHEAQSNGRKKRRSGSSNFQDEKIEPSQDISRESRETKGWGNKQGPVSAFDSYVLAAVCALACELQLFPLMSRGRKRLSFKSSQDIAKLIKINGSSLELQSSIDSAIRRTHRILSILEALFSLKPSSLGTSWSYSSNEIVAAAMVAAHVSELFRRSRACMHALSVLMRCKWDEEIYTRALSLYNLIDIHSKAVASIVNRAEPLGVHIVSAPISEYSRVSSAGRKLTQHEDHVYFENGQQSIPKCEESCHVRAKLSFERASDSTVDLENTLGKRIASFQLDASELANFLTIDRHIGFNGCAQILLRSVLAEKQELCFSVVSLLWHKLIATPEIQPSAEGTSAQQGWRQVVDALCNVVSASPAKAAAAVVLQADREFQPWIAKDDNQGQKMWRINQRIIKLIVELMRNHDKPESLVTLASASDLLLRATDGMLVDGEACTLPQLELLEATARAVRPVLEWGESGLSIADGLANLLKCRLPATVRCLSHPSAHVRALSTSVLRDILQTGSYVHRSTPNNINGVHSPSFQYFNREAINWKVDLEKCLTWEAHSRLVTGMPIEVLHVAAKELGCSISL >KGN46310 pep chromosome:ASM407v2:6:5559942:5561875:-1 gene:Csa_6G081510 transcript:KGN46310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDITFFTAKEAPTSFFNQSHDHRHHHQLHHLHFSNLDMQSSTSHSSEYSPEHSPSAAAAAATATATGKWASTLLKECARAISEKDSNKIHHFLWMLNELASPYGDSDQKMAFYFLQALFCRATETGLTCYKTLVAVAEKNHNFDSALRLILKFQEASPWTTFGHVASNGAILEALEGETKLHIIDISNTLCTQWPTLLESLATRNDDTPHLKLTVVTTTSIVKCLMKEIGQRMEKFARLMGVPFEFNPITNIDHLTDLTNEALKVEEGEAIAINCIGALRRVKVEERNNVISMLKSLKPRVLTIVEEEADFISSKNDFLKCFEECLRFYTLYFEMLEESFVATSNERLVLERECSRSIVRLLGCDHDQISEEEIGSERREKGKQWTKRLKQALFSSANFSEDVMDDVKALLKRYKPGWALLRPATAAVRDEEENGDGESSGIYLTWKEEPVVWVSAWKP >KGN46821 pep chromosome:ASM407v2:6:9857075:9860258:1 gene:Csa_6G139210 transcript:KGN46821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKFTAEELRRIMDYKHNIRNMSVIAHVDHGKIFMLRFYSSSGSPLETVLRQALGERIRPVLTVNKMDRCFLELQVDGEEAYQTFQRVIENANVIMATYEDPLLGDVQVYPEKGTVAFSAGLHGWAFTLTNFAKMYASKFGVDEAKMMERLWGENFFDPATKKWTSKNTGTATCKRGFVQFCYEPIKQIIATCMNDRKDKLWPMLQKLGVVMKSDEKDLMGKPLMKRVMQTWLPASTALLEMMIFHLPSPAKAQKYRVENLYEGPQDDVYASAIRNCDPEGPLMLYVSKMIPASDKGRFFAFGRVFSGKVSTGLKVRIMGPNYVPGEKKDLYVKSVQRTVIWMGKKQETVEDVPCGNTVAMVGLDQFITKNATLTNEKEVDAHPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVVCTMEESGEHIVAGAGELHLEICLKDLQDDFMGGAEIIKSDPVVSFRETVLERSCRTVMSKSPNKHNRLYMEARPMEDGLAEAIDDGRIGPRDDPKVRSKILSEEFAWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGALAEENMRGICFEVCDVVLHADAIHRGGGQVIPTARRVIYASQLTAKPRLLEPVYLVEIQAPEQALGGIYSVLNQKRGHVFEEMQRPGTPLYNIKAYLPVIESFGFSSTLRAATSGQAFPQCVFDHWEMMSSDPLESGSQAAQLVADIRKRKGLKEQMTPLSDFEDKL >KGN48783 pep chromosome:ASM407v2:6:25082061:25086752:-1 gene:Csa_6G501240 transcript:KGN48783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQPSDSSPLIPPAPITDPSEIDLEAGQGEQIQCRICLETDGRDFIAPCKCKGTSKYVHRECLDHWRAVKEGFAFAHCTTCKAPYHLRVHAVADRKWRTLKFRFFVTRDIIFIFLAVQLVIASLGYLTYLIDGCQHYWLRLTWGFDSKISFYYICGALLFFALLGVSGCFITCYDRRVRSDLAQPCRELCLCCCHPGLCADCHLPGTLCMWTDCTTCFESCGSIATECGCLGGAGEAGLPLLLIMALIVLGLFTVIGIFYSVLVATMVGQRIWQRHYHILAKRMLTKEYVVEDVDGEMTGSDWLPPPLPAEHVQQLKTLGLL >KGN47244 pep chromosome:ASM407v2:6:13271952:13272555:-1 gene:Csa_6G230040 transcript:KGN47244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSEFMDPIVVKDDLKFTKKDRSDLGGDEPAHGNRLNGNRAHDRVEKGPSAASVIGIGLENNGPENLMIMETGLLGLSILIGLILRRLEIKLWAGWFCWAGQNGRWK >KGN48392 pep chromosome:ASM407v2:6:22507221:22509741:-1 gene:Csa_6G485670 transcript:KGN48392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKKPYLVAIFIQITFAGMSLLSKAAFATGMNTYIFLFYRQAAGSLILIPLTLLLKGKEKRPLSFKQLCQCFFISLIGITLAMNAYGVAVDYTSATLGAAAFNCLPVSTFIFAVLFRMERVNLKKAAGIAKVGGMMICVGGAAILAFYKGPYLKPIISHPIFHIEESETDITTTSQKSWLLGCFFLLVATVGWGIWFVFQAKFLKGYPHPVEFMCAQTVMSVVQCFVVAIIVERDPSEWKLGWNVRLYAVLYCGILVIGIANNAQCWVIKEKGPVFQAMMMPLNLVATIIGSQLFLAEGIYLGSVIGAILLVTSLYSVLWGKNKELVVTPTNQERPSSPDSLPQKESEEPANRSQVDSTIV >KGN45916 pep chromosome:ASM407v2:6:2230602:2235838:1 gene:Csa_6G022280 transcript:KGN45916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYDGHPDDQSIPPSSCSHGHPSSLCLHTQEGGIICLLCFSNLISDPLSSTVHVSYALSQFSQALSQPTFLRTFLTFHSHFIVAPFVAALCSFDDHSIARQLTDLVRRLCDVTEVDGYGSLCDDFIARFSDRISSGSLAWSRRQVYMLHCYGMLLNYRTKNFHGQIKNNDVIVSNLVAGLELPSEEIRGEILFVLYKLSVIEYASNHSTETDVLSAFCPKLLYLSLEALMKTQNDDVRLNCVALLTVLVQRGLLGSEPEYYSKFNEKEIDELPLNILFAEAIKGPLLSSDTELQLSTLELIIRYLSSEGTSITPIQLLVEENIVDYVFEILRFSEGKDPLARACLQALDLLSKAELPFNQRLAVGFATLIPVLRHVAEVPFHPVHSQTLGLILRCISQCPGVVAASHIEELVLTLTRMLRKNVTGEMGIHPDTFATTCEILVTIMKSPSHRVPHLATSVQEVLEHVVLFCLRTFETQPSQLLHSLYLLKEFYVYSQVIAVMDDSVTKDMKICVLDVCTTHLLPWLLATISIVEEELVMGVLETFHSILLEDPDIRTIDFAKSLLSSCWFSFSFKCLGSFPSEQMKWRVYLMLSSLVDVIFGNDSGQCIREAISFLPSDPVDLLFLLGQKTSNDLELSACHSVVLLLLHASSLHDDRLADEKMVLASLEQYILVSKSGLLCGYHDPFTITQLVNIYGFCRSVADASRHTLYSSEIESILFKLVTESEWDMYSSRIHRSTLVWLFKQEKMRNPLCYQVLKMCQILDSNGASTTTVHNQFIGAEEIAELIAEGENYAATILICLLEQLVEEGVEHHIILVVNFVSNIVNMFPSCADQLHVHGIGNAIKLIFYNTKNSYCKQTFKAVLLLVFSVLKSGHSGMLSNDEAWLAVTVKLLDWISPTDVTDRWSPEILLVVAILSLILHHSTDGRLIEASKSVLFHTPTASATKSILHEACSKGPALIDEHEGTNMGKTIILVLFLVYFSMRSLQAVLPGAVDWQNNHGQSNGTRLSFICISCHDLCRLLHFGSTSIKLVASYCLFELFTQLSDQRTSKQEELRCTTNYLRSVIATLEGLVVYDNHCVATNCSLCLSMVLEWKEMDMRETRVTVKNKWCRIIVEELVASISRPCLISNTFTEKRPTIYVTVALLKLQKDFGWMRSIFDEACISRIIKNVTISNLSPEMVTLFRELLNSEFMLADHISNLNLVLQTCRKHIYNEKDGDTQTEKEIGNVFANVDDDLGEVCEYLNHLIQSYSQKNKRLLKEIDMFFTALAEKDTS >KGN47169 pep chromosome:ASM407v2:6:12549075:12549821:1 gene:Csa_6G191570 transcript:KGN47169 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H4 MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >KGN45894 pep chromosome:ASM407v2:6:2070581:2071194:-1 gene:Csa_6G018590 transcript:KGN45894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTTISSFAFFFLLLGVLLHVGVAVEHKVGGNFGWNLPSTPTFFSDWASNRTFFVDDKLIFESRSNEVHSIGQPISQADFDGCVNPSFVFRKVQFISLSQPMRRYFMSTFGDDCEAGMKFAINILPKPRNSAAKVGAWPMLLFSIATIMANLFMF >KGN47027 pep chromosome:ASM407v2:6:11539326:11541947:1 gene:Csa_6G170410 transcript:KGN47027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFPEEEITKLFRVRKTVLQMLKDRGYFVGDFELDMSKVQFKNKFGENMKREDLVINKSKRNNSSDQIYVFFPEEPKVGIKIVETYVGRMKSENVFRAIFVVQLNLTPFARNKIAELSSSKLHLEVFQETELLVNIKEHVLIPEHQTLTDEEKKTLLGRYTVKETQLPRMQVTDPISRYYGLKRGHVVKIIRPSETAGRYVTYRYVV >KGN46907 pep chromosome:ASM407v2:6:10495698:10498920:1 gene:Csa_6G150460 transcript:KGN46907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGNRVDLSGNPVKPITICMIGAGGFIGSHLCEKLMAETPHKVLALDVYNDKIKHLLEPDTLPWADRIQFHRLNIKNDSRLESLIRMADLTINLAAICTPADYNTRPLDTIYSNFIDAIPVVKYCSGNSKRLIHFSTCEVYGKTIGSFLPKDSPLRQDPSYYVLSEDTSPCIFGSIEKQRWSYACAKQLIERLIYAEGAENGLDFTIVRPFNWIGPRMDFIPGIDGPSEGVPRVLACFSNNLLRREPLKLVDGGQSQRTFVYIKDAIEAVLLMIENPSRSNGQIFNVGNPNNEVTVKQLAEMMTEVYAKVSGEPSLQTPTIDISSEEFYGVGYDDSDKRIPDMTIINRQLGWNPKTSLWDLLESTLTYQHRTYAEAIKQATAKPAASS >KGN47972 pep chromosome:ASM407v2:6:19461773:19463003:1 gene:Csa_6G421660 transcript:KGN47972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRGRATDPLTVPESVQKPVKEVRFRGVRKRPWGRYAAEIRDPWKKTRVWLGTFDTAEDAARAYDEAALSLRGPKAKTNFPLHPSTISVHQQIPFSQQFHDSGGFCEISNIIPVNRPTSSSLSSTVESFSGPRLSIPAPSVPKLRRKSLPQVAPDDCHSDCDSSSSVVDDSEEYAHSSSIRRVLPFDLNLPPPLPPPHDVDLSGDDLHATALYF >KGN47827 pep chromosome:ASM407v2:6:18333882:18337214:-1 gene:Csa_6G405890 transcript:KGN47827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSVIDPMMNHDKHLDSQLWHACAGGLIQLPTINSKVVYFPQGHTEHAQGNVDFGNARIPSIIPCRVSGIRHMADPETDEVFAKIKLSPLANNEFNLDNEDDLLIHNELKSQDKPTSFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYSVEPPVQTILAKDVHGEIWKFRHIYRGTPRRHLLTTGWSSFVNQKKLVAGDSIVFLRAETGDLCIGVRRAKRGIGCGIDYSPGWNPTNSGSSLVGYSDYMRESEGRLGRRNSNGNLSGRVKVESVIEAAMLAASGQSFEIVYYPCAGTPEFVVKASSLRSAMQIHWYSAMRFKMPFETEDSSRISWFMGTVSSIQAADPIRWPDSPWRMLQVTWDEPDLLQNVKSVNPWLVEVVVNMPAIHVSPFSPPRKKPRFPLQADSSGFGHLPMPSFSTNIFDTTNPLQGITANKIPAGIQGARHTQFGLSSPNLQISKLLPGQFSPGLKHLDDATPLPGIRGEDIFAGMKNPDNCSLWLPMRNHIQSSKESSKESSKESSKESKETKPAHIILFGQLIFPNQQNSNSCSGDTMNASDANQEKASNLSDGSGLSSQQNGSLENSSEGGSTLYNGRMKNYIEN >KGN47564 pep chromosome:ASM407v2:6:16150631:16154006:-1 gene:Csa_6G361260 transcript:KGN47564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENSAIHPIRCRAAVCRNPGEPLVIEDIIVAPPMPREVRIRIICTSLCQSDIAFWKLKDLPGIVPRILGHEAFGIVESVGKDVYEVKEGDSVIPIFMADCGECADCLSEKSNLCSKFPVKIALGMPRCGTSRFTDLNGEVLHHLLSVSSFSEYTVVDIANILKVDPTIPPNRACLLSCGVATGVGAAWRTANVEKGSTVAIFGLGSIGLAVAEGARICGASRIIGIDINPDKFEVAKKFGVTEFVNSRSLGDKSLSEVINEMTDGGADYCFECVGVASLVEEAFSCCRQGWGKTIVLGVDEPGAVLSLSSFDILFHGKSLMGSLYGGLKPKSDVPTLLKWYTDKKLELDKFVTHEVGFEDINEAFKMFIEGKCLRCVIWMKKI >KGN47324 pep chromosome:ASM407v2:6:14229966:14230214:-1 gene:Csa_6G296970 transcript:KGN47324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVDTMNLYCFRISILLFLEKLNKLPTRVGILIQRELELHSTFGSFHVGWKKCPFDPWQKSNKIGSGDAIAQGIRIMQLQSF >KGN46210 pep chromosome:ASM407v2:6:4982640:4994085:1 gene:Csa_6G075110 transcript:KGN46210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYDGDDEIKKKEFYKNSIATTPPLIYNSHQPRLLQKREIKEDMVAFGKKLRELQIPEWREHYINYKLMKKKVNRYTQQIEIGTQNDYNVLRDFSRLLDIQIEKIVLFLLEQQGLLAMRLSSLGEEQGALSQQLTEANVAELQEQYRAAGQDLLRLLAFVEINAIGLRKILKKFDKRFGYKFTDYYVKTRANHPHSQLKQVFKQVGIVAVAGAIFHNLAELEGHKESYISIYDQLDLSYQDPVIDSIKAALNRLSNSTNFLQFLGKHAMLLEDGLSDPAENNVDERYNFMSLLLNLVNTFLYMVNTYIIVPTADNYTMSLGAAATVCGIVIGAMPVAQVFSSVYFSSWSNRSYMQPLVFSSIILVVGNALYALAYDLKSITVLLVGRLFCGLGSARAVNRRYITDCVPLRLRMQASAGFVSASALGMACGPALACVFQRNFKILFITFNEDTLPGWAMALAWLIFLVWLCICFKEPFSVIPSEANTEAKQECADQECDDDDNDDGGQSKRTRKPVNSIMLAYKLLTPSVKVQLFVYFMLKYAMEIVLAESSIITGYYFVWSTTNVAVFLACLGLTVLPVNIIVGNYLSNLFEERQLLLASEIMLCIGVILSFHILIPYSVPQYVCSALITFVSAEILEGVNLSLLSRVMSSRLSRGTFNGGLLSTEAGTIARVIADGTITLSGYLSESKLLNITLLPSLFICVYAIIATCFTYNSLY >KGN46690 pep chromosome:ASM407v2:6:8527834:8530745:1 gene:Csa_6G124050 transcript:KGN46690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLAAAAVRHARALARVSSPQSTANLIHKRGLAGAADHHGPPKVNCWQDPMSPSKWKEEHFVLVSLSGWALLISGGYKFFTRGKGKDEKLAEASQ >KGN45725 pep chromosome:ASM407v2:6:792137:795390:-1 gene:Csa_6G008570 transcript:KGN45725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPTYFPLRWESTGDQWWYASPIDWAAANGHYDLVRQLLRLDGNHLIKLTSLRRIRRLETVWDDEEQFHDVAKCRSDVSRKLLMESESKKGKNSLIRAGYGGWLIYTAASAGDLGFVQELLQRNPLLVFGEGEYGVTDILYAAARSKNDGVFRILYDFAISPRFSTGRGGVLDEHIGEIPAVYKWEMMNRGVHAAARGGNLKILKELLADCSDVLACRDAQGSTVLHAAAGRGQVEVLKYLVQTFPIINSIDHQGNTALHIAACRGQLAAVEALIAASPSSISLRNNAGETFLHKAISGFQTPAFRRLDRQIDLLKNVICGKVHNMDDIINARNNDGRTALHMAAIGNVHSDLVQLLMTTGSIDLNVRDMDGMTPLDYLRQNTQSASADVLIRQLISAGGMFGCHDYNTRKAIASRLKMQGLGSSPGTSFRVSDTEILLYTGIENASDTIPDHGSAGMSSSSVELSPYDLPNENPNSSSTIKKSGSVNSAAQRLKSVFHWPRIKDKKKSETSKKQMDEGSIEESHKKYSSSDEAPTPTPLRQRFSKPLTLPNHKRTLSGHLIQTKPLAMKHRISGNKDRSIGS >KGN47589 pep chromosome:ASM407v2:6:16367122:16368450:-1 gene:Csa_6G362960 transcript:KGN47589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVSQLRRGTWQVAGSYSSFLWGSSPVLSTEYAHLPYLYSNSISSNFQHSISLFPCISPTDFSPGLSVSFQGK >KGN49303 pep chromosome:ASM407v2:6:27598619:27599489:1 gene:Csa_6G519560 transcript:KGN49303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSCAIILVSALCFLSFLDIALSSKDRFFIEGKVYCDTCRIQFFTRVSKYLPGAKVKLVCREEANAGNETFTGEGVTDKNGVYKIEVDGDHEEEICEVSVLESADEECSDIPTDGYGHFARVSITGNNGIINPVRQANPLGFLKKDALPQCKEVLRELGFDDVGILV >KGN45974 pep chromosome:ASM407v2:6:2956348:2965982:-1 gene:Csa_6G040630 transcript:KGN45974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGEIPSVADSSVVIRGSVAYVPQVAWIYNATVRDNILFGLAFESARYEKTIGVTALQPDLDILPGGDLTEIGERGVNISGGQKQRVSLARAVYSNSDVYIFDDPLSALDAHVAREVFEKCIRGELRGKTRVLVTNQLHFLSQVDRIMLVHEGEVKEEGTFEELYKNGRLFQRLMESAGKLEETSEENEDSRTVDTKRSSEFPANLTTNDLNKQDVSPSENRKEQKSVLIKQEERETGVVSWNVLMRYKDALGGLWVVAILFLCYVLSETLRIYRSVWLSIWTDQGNIGPSETLYYNMIYAGLSLGQVLVTLLNSYWLIISSLYAAKRLHVLMLTSVLKAPMVFFNTNPLGRIINRFSKDLSDIDRNVASFFNMFLGQISQLLSTFILIGVVSTLSLWAILPLLLLFYAAYLYYQSTAREVKRLDSISRSPVYAQFTEALNGLSTIRAYKAYDRMAEVNGKSMDNNIRFTLVNMSGNRWLGIRLEAVGGLMIWLTTTFAVLQNGRAEKQQEFASTMGLLLSYALNITSLLTGVLRLGSVAENSLNSVERVGTYIDLPSEAPSIIESNRPPPQWPSSGLIRFEDAVLRYRPELPPVLHGLSFTIFPNEKVGIVGRTGAGKSSMINALFRIVELERGKIFIDGFDVAKFGLFDLRNVLGIIPQSPVLFSGTVRFNLDPFNNHNDADLWEALERVHLKDVIRRNTFGLDAEVSESGENFSIGQRQLLSLARALLRRSKILVLDEATAAVDVRTDALIQKTIREEFKSCTMLIIAHRLNTIIDCDRILVLEAGRVLEYNTPKELLSAEESAFSKMIQSTGAANAQYLRSLVFETEGEKSLGLQEKV >KGN47046 pep chromosome:ASM407v2:6:11739608:11742083:-1 gene:Csa_6G181510 transcript:KGN47046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDDSTNPFRRMSSRTRKVAPKMVAALASSDNRTQAALARLEALENDNAGVEVVENIDDEDASLDDDDQAYIKRQSKGTKRKTRQAKALEAKKAPKTFMELLHEANLESLPPHVPSYLKAAVGPPSSTSRRHFCTVCGFAASYTCIRCGIRFCSSRCQNIHNDTRCLKFVA >KGN48649 pep chromosome:ASM407v2:6:24286486:24288411:-1 gene:Csa_6G497010 transcript:KGN48649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKILASTLRRTLSNSSSPISRFRPISTAAAAVAASPSEPHEDETGISMKGVKISGRPLYLDMQATSPVDPRVLDAMLPYYISRYGNPHSRTHLYGWESDHAVETARSQVAALIGASPKEIVFTSGATESNNISIKGVMHFYRDKKRHLITTQTEHKCVLDSCRHLQQEGFEITYLPVGSDGIVDLEKLRSAIRPDTGLVSVMAVNNEIGVIQPVEEIGKICKEFNVPFHTDAAQALGKIPIDVEKWNVSLMSLSGHKIYGPKGIGALYMRRRPRIRVEPQMNGGGQERGIRSGTVPTPLVVGMGAACELAMKEMEYDDKRITALQERLLNGINAKLEGVVVNGSMENRYPGNLNLSFAYVEGESLLMGLKDVAVSSGSACTSASLEPSYVLRALGVDEDMAHTSIRFGIGRFTTEAEIDRAVELTVHQVEKLREMSPLYEMVKEGINIKEIQWAQH >KGN49230 pep chromosome:ASM407v2:6:27236141:27237810:-1 gene:Csa_6G517380 transcript:KGN49230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSSTFPVICLLHSVVAITSGTLMMFYMKEIYTIGHGIEIATKLMGSTPHDQLLIRTSDSFSGLLLFAIGFLLFMVAFVKDREFQGFFAKGCTVLHVSMAMWRFYFERRVEDLAWDWLRQIVGDILLALSWVFFLVYSWREKYD >KGN48373 pep chromosome:ASM407v2:6:22357278:22357625:1 gene:Csa_6G484020 transcript:KGN48373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLLIIMLLMNEEDELLMICCNASSPIATNKQQQQQQQQESALVKLKPKNGNNYYYGHFFGFLPRRIPIPASGPSRKHNDIGLRSWRSP >KGN48233 pep chromosome:ASM407v2:6:21373264:21376295:1 gene:Csa_6G450380 transcript:KGN48233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHTKPSISPTLLLSNMYQRPDMITPGVDPQGQALDPRKVQDHFEDFYEDLFEELSKYGDLESLNICDNLADHMVGNVYVQFREEEQAANALHNLNGRFYAGRPIIVDFSPVTDFREATCRQYEENVCNRGGYCNFMHLKKISRELRRRLFGRSRRRRSRSRSRSQSPHKHHGYEERSHGGGGGRGRGPSRRDGEKDPRYHDRSRRPRSRSPRHRGGRSRSPGGRRNRSPVRESSAERRAKIEQWNRDREKEDNGSNHQDVKDVGGSNDLVQNEDEFDPSKQF >KGN46185 pep chromosome:ASM407v2:6:4797631:4799030:-1 gene:Csa_6G067430 transcript:KGN46185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFLDWYVKIGTVSALIGGSMELFMIKSGFYDKVAVIESERLAWENSPEAQAIREALNPWRNSDAATEAGKEN >KGN48807 pep chromosome:ASM407v2:6:25209147:25215917:-1 gene:Csa_6G501960 transcript:KGN48807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTLSALRILMASHTPPLDALVVPSEDYHQSEYVSARDKRREFVSGFTGSTGLALVTQTEALLWTDGRYFLQAIQQLSDPWKLMRMGEDPPVDLWMADNLPADAAVGVDPWCVSVNTSQIWIRAFSKKEQKLVQTTTNLVDEVWKNRPPPEINPVMIHPLEYTGRSVEDKLKTLRTKLSQEKAHGLIVTGLDEVAWLYNIRGSDVSYSPVVHAFAIVTLNSAFFYVDKRKVSDEVRLYMERNGIEVRDYSAVITDVSLLASNQLNLSSFVKGSEVKANVEVELSSIDIAGSNGTKVESQSSDLIWVDPAQCCYALYSKLNSDKVLLQQSPLALEKALKNSVELDGLKKAHIRDGVAVVQYLVWLDKQGMEDSKKLTEVSVSDKLEAFRASKEHFRGLSFPTISSVGSNAAIIHYGPKTETCAELDPESIYLFDSGAQYLDGTTDITRTVHFGLPSAHEKACYTAVLKGHIALGNARFPNGTNGHSLDILARVPLWKYGLDYRHGTGHGIGSFLNVHEGPHLISFRPQAQNVPLQASMTVTDEPGYYEDGAFGIRLENVLVVKDADTKFNFGDKGYLSFEHITWAPYQRKLINISLLTFEELNWVNTYHSQCRDILAPYLDESEKLWLNKATEPITA >KGN46534 pep chromosome:ASM407v2:6:7097586:7098104:1 gene:Csa_6G107840 transcript:KGN46534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYTILLFTGGDDLEEDGNALEYYFTHDSPDSLKDIVASCKNRCVLFDNKTECESKKCEQMGKLMEMVNEVRKVNGGQPYMHDLCSSMTVETKLKEVKTKLEKQLQEDEKEARIIGEKRGEENVKEKSRNLENQLAKAREERVNAENRTQEIQRQYNDEIRRLSHQLQSALQ >KGN48016 pep chromosome:ASM407v2:6:19807794:19808051:-1 gene:Csa_6G425050 transcript:KGN48016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMWLIDVSGLCRDIWEWERKIRNVKGLRWETGKGCSQKEKKGEALREICGGDETELNKIWEWGIFVWNRDKVIVVGKSSLLFER >KGN46140 pep chromosome:ASM407v2:6:4394853:4395047:1 gene:Csa_6G056570 transcript:KGN46140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIKASYSTGALLVMTVLSLLVVDSLALVQPSTVDDDGISPIWSDWVDENRELYSSTVFIHDEE >KGN47741 pep chromosome:ASM407v2:6:17654232:17656995:1 gene:Csa_6G397690 transcript:KGN47741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSLVQPIGQKRLTNVAVVRLKKHGLRFEIACYKNKVLSWRSGVERDLDEVLQSQIVYSNVSKGVLAKTKELKAAFGTDDQTEICLKILKEGELQVAGKEREAQLSNQFRDIATIVMQKTFNPETKRPYTISMIERLMRDIHFAVDPNHSSKKQALEVIHELQKHFPIKRSPMRLRYIVPEQNVPSLLDKLNAWSASIVSDDQSGNQQRSIICELDPSFYRDCNPLMSELHGRFEVLSFCLHEEGDTNVDQYEDDYENVELPPRQLKETKSVIPQLSEALQKQTISINSDNAPKEGKRCSTCNVAVGDVTKFREHYKSEWHKHNVKRKTKNLPPLTEEECTVELAMGDSESDLKEYSF >KGN48048 pep chromosome:ASM407v2:6:20000644:20001754:-1 gene:Csa_6G425850 transcript:KGN48048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPSRPVTGYPAYPNGRPPPPPNSHPQAQAYPYAAPPYSYPTQYANPYDSTHHNARLSFLRALIAGIIVVFIITAVILFIIWLVLRPQLPEFRVDSFQVTNFSTAAKTLSASWFIGFSVFNPNKKMTVSYDFIDSTLFYNNEFLTDTRVPPFAQEKKTQSVVNASFSALSAYVEASSLNKINDDRRRGTIKFNVGISARVGFRAGWWRTRRRLLRVLCEDLSVSFSSSNSSGSGKLIGESRACRVGI >KGN46099 pep chromosome:ASM407v2:6:4081452:4090716:1 gene:Csa_6G052740 transcript:KGN46099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAVIGWRILFLLLGCTLVTALVYTVATDGSPFRQQILSPLMVVTVIDLYISIVALATWISYKEANWITSTIWIVFLVCFSGITTSAYILWQLWQLSSQESFDDIMYHVLIRNPNKVGMEQHRKQSNVMIAKIVFIVLSCLMAVNLVYFFSAAPFRIEFFTPSWMVTTLIDFYIDATVLSTWMFYKEESWLSAFFWIVLLLSFGSISTCPFIVKELFKLKSGDPPRLILLKPSHRSKEGYEQIIQ >KGN46871 pep chromosome:ASM407v2:6:10280560:10285037:-1 gene:Csa_6G147640 transcript:KGN46871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEADRLNSPSTFTMTMEVLGHELRFYQDPNSKHLGTTVWDASLVFVKFLERNCRKGKFSPSKLKGKRVIELGAGCGLAGFGMALLGCDVVATDQREVLPILSRNIERNTPSLAQMNPSDSFGSIRAAELDWGNEDHIKAVGPPFDFIIGTDVVYAEHLLEPLLMTIHALSGPKTTIMLGYEIRSTNVHEQMLEMWKKNFEVKTVSQSKMDSQYQHPSIQLYIMGLKSRAGNAKNTPGNVEQEIDTVCSRQENDEKDVSFNDEKDISCDETGVQKTDEPVTEHKDKKLGDWEARRMGSMAARLLQDVKIV >KGN46494 pep chromosome:ASM407v2:6:6772250:6778356:1 gene:Csa_6G103530 transcript:KGN46494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPGDIPLYPSMPAMAPLPVSMPLPGTLLVSEPGHTVTGKRRREDDLIVSNSDASDISAPKRQAKAHDVLFRIVVPSKQIGKVIGKVGCRIQKVREETKATIKIADAVARYEERVIIISSKDNENSVTDAEKALQQIAALILKEDGSSIEELKVGTGHVAANTIRLLIAGSQAGSLIGASGQNIEKLRNSSGASITILAPNQLPLCASAHESDRVVQISGDILAVLKALEEIGNQLRVNPPRQVISVSPTYNYNTMHPPQSYMDPTSVNYVTFEMLISETLVGGLIGIGGFNISRIRNESGATIKVCGGRGEQNYRQIQFGGSAEQVALAKQRVDEYIYSQVIRQAGVQQTALQMW >KGN48287 pep chromosome:ASM407v2:6:21728038:21730868:-1 gene:Csa_6G454350 transcript:KGN48287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGGGTSFKSLCWMIVFGIALWRPAAGENGGDGSELHSLTQSLLGLAREREFMEWIKGVRRRIHEYPELGFEEYKTSQLVRSELDSLGISYRWPVAKTGVVASIRGDSVSSSSSTPVFGLRADMDALPLQELVEWEFKSKVEGKMHACGHDSHVAMVLGAARLLQSIREKLKGTVKLVFQPAEECNGAYQMLKDDALDGIDGIFALHVQPSLPTGVIASRPGPVCAGAGHFSALIRGKGGHAATPHKTKDPVLATAFIIQALQQIVSRETDPLEAGVVTVAFVDGGQAENVVPETVKVGGTFRSLSPEGFSYLKERIREVISTQAMVHHCYASVKFMEDTPVMVNNEALFEHVNRVGNSLLGESNVQLLPWTMGAEDFGFFSQRIPATIYVIGTGNETLGSNRPVHSPHFVLDEEALPIGAALHAAVATTYLEHNSVFSY >KGN46868 pep chromosome:ASM407v2:6:10258922:10259308:1 gene:Csa_6G147610 transcript:KGN46868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVRKSYVLRLFISLKCMTANVVDRNNGRIVATASTVEHSIQGSLECGRQGQGRGIHADINKEIEKKGFKNGTKIWAIVNSLKNNRVKRILDNNVDEPSRLSSQ >KGN48376 pep chromosome:ASM407v2:6:22388837:22390330:-1 gene:Csa_6G484540 transcript:KGN48376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFFTNYNYFSSPETFFHTHEGNSCSSLSENSSQNSFQEGSFLEYDDQNFLQFDPIDYNPEDFLAITSIDPQNIQESSNHSGHVEEEDHEEEQEKSNNIIFKGIQAELMEEESLTDLLLAAAEAIEAQNHVLVSNLIEKLKNLLLYDMGSSSFNQLAWFFTQGLHYKTVDYNILAAHHQIQIKNYNSMSAFQMLQQLSPYIKFAHFTANQAILEAAEGEKMIHIIDFDIMEGIQWPPLMADLAAKEHVCSLRLTAIVQDNENERKIIEQTGRRLSEFAKSINLPFIFDQMGIEKADRFEEIQVMGETVIGNCSGIFHHILSYENLSKFEIFLDGVSKLSPKCVVLVEEELFNVTKQLGLGGPQPTMSFVEFFFEAFHHFSALSDSLLRCFSGVYENGFKQVMDEFLGTRILDSVTQFPCDKTHVWGSGFDHLQGYNKIPFTSFNCSQAKYLISLFRGDFWVQHEKCNLSLCWKSRPLCTATIWVPSVESWTKNITQI >KGN48906 pep chromosome:ASM407v2:6:25723303:25724134:-1 gene:Csa_6G505840 transcript:KGN48906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKATPEYEGRESETMESISLHQILASKPPLSTINPQKFSPTSQIQIFFPTLRHQRPLRIKPINATGTDGDTAPPQVPPEITPPETVEVRFRKRSRRRSKQEREDGAAMGNGRPRKAAAEVGSSKTKKWEEMSVAEKTMEVYMGEKGLLFWLNKFAYASIFIMIGGWIVFRFVGPSLNLYQLDTPPLSPTSVFKGS >KGN48163 pep chromosome:ASM407v2:6:20844758:20847122:-1 gene:Csa_6G445760 transcript:KGN48163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFAHFQQKNGSVESLCIGSNDPLNWGVAAESLKGSHLDEVKRMVEEYRRPLVKLGGETLTISQVAAIATRDTDVIVELSESSRAGVKASSDWVMESMNKGTDSYGVTTGFGATSHRRTKQGGALQKELIRFLNAGIFGSGAESDHTLPHSATRAAMLVRINTLLQGYSGIRFEILEAITKLLNNNITPCLPLRGTITASGDLVPLSYIAGLLTGRPNSKAIGPNGERITAKEAFQQADIPSGFFELQPKEGLALVNGTAVGSGLASIVLFEANILAVLSEILSAIFAEVMQGKPEFTDHLTHKLKHHPGQIEAAAIMEHILDGSSYMKTAKKLHEIDPLQKPKQDRYALRTSPQWLGPLIEVIRFSTKSIEREINSVNDNPLIDVSRNKALHGGNFQGTPIGVSMDNTRLAIASIGKLMFAQFSELVNDFYNNGLPSNLSASRNPSLDYGFKGAEIAMASYCSELQYLANPVTSHVQSAEQHNQDVNSLGLISSRKTAEAIDILKLMSSTFLVALCQAIDLRHLEENLKSTVKTTVSQIAKKVLTTSSNGTLHPSRFCEKDLLKVVDREYTFSYIDDPCSATYPLSQKLRQVLVEHALANGDNEKTVDTSIFQKIASFEEELKAVLPKEVENSRLAYESGSSKIENQIKNCRSYPLYRFVREELETKMLTGEKVISPGEECEKVFTALCQGKMIDSILECLKEWNGAPIPIC >KGN46227 pep chromosome:ASM407v2:6:5091414:5091848:1 gene:Csa_6G076755 transcript:KGN46227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFVAPSMLFLFPFLRSPLNPSNHQSMLPLLFLLLFTFFFLLSLVESSSLCHHSSLQLLEASSFFFFFLASSSQNSSFHHSLGFFLLFSFFLILPSSNEAHHSNLLFCFFCSIFPLEEATELSDSTHSLLGLSFFISFPIHSIT >KGN48449 pep chromosome:ASM407v2:6:22941850:22943160:1 gene:Csa_6G487700 transcript:KGN48449 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase family GT8 protein MPRPPPFSDHHPLLFIFLFPFLLLLLLSPSSSAFKFKEAPEFYNSPNCISIPSSPDHLLCSDQAVHVAMTLDAAYLRGSMAAILSVLQHSSCPQNIIFHFLSSASTDTHSLRFTIANSFPYLKFHVYPFDAAAVAGLISTSIRSALDSPLNYARNYLASLIPHCVKRVVYLDSDLILVDDIAKLAATPLGETAVLAAPEYCNANLTSYFTPTFWSNPSLSFTFAGRNACYFNTGVMVIDLQRWRAGDYTAKIIEWMELQKRMRIYELGSLPPFLLVFAGYIAPVDHRWNQHGLGGDNFRGLCRNLHPGPVSLLHWSGKGKPWVRLDSNRPCPLDALWAPYDLLQTPFALES >KGN48083 pep chromosome:ASM407v2:6:20313439:20315025:1 gene:Csa_6G429130 transcript:KGN48083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSFQQSSVPLLFKTAERLLQVRGRGKCKFILAYVSRARSMDTLILDEASRHGMRMIEVDGTRSVVGNLQGVIYEITLN >KGN48717 pep chromosome:ASM407v2:6:24685337:24687025:1 gene:Csa_6G499120 transcript:KGN48717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFPPSRSPHNNAHANMVKMEEKGLKHEIISEEEMKIRREIENEIERDLEEEIKGGIYQQALRLHRLYHFRKNSSHINPAAKAKKELLEVNISIKMDGGTKMEIKETKKEAPQPESLRPRTSRSNTFSRSVPEMKRLDWAKSLRSSAAPVPSLGKKRQV >KGN49163 pep chromosome:ASM407v2:6:26866369:26866581:-1 gene:Csa_6G516710 transcript:KGN49163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDGTLRISTSSTGSRKSSPSNALRTSDLSSSVTSTTHLLVLEYPVHPFGSPAPLLFSKLPQLHQCPLFF >KGN45906 pep chromosome:ASM407v2:6:2163431:2163625:1 gene:Csa_6G020200 transcript:KGN45906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGFCYKDNAFFVGNVDECIEQFVITTVDFGLPIMEARVGDDVLFRLQIVSSSESIYTSDYLI >KGN48043 pep chromosome:ASM407v2:6:19979987:19981781:1 gene:Csa_6G425800 transcript:KGN48043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIASSLIAFSSSSNISFTHPNFRFHFNFPNPPLKFNSKPFRVQALKQKTGEIERPSPSSSSSADEVTKKYGLEAGLWKIFSSKEEGEGTNKSKGDQAKELLAKYGGAYLATSITLSLISFSLCYALISAGVDVQVLLQKVGISIDETGEKVGTFALAYAAHKAASPIRFPPTVALTPIVASWIGKKVEKEN >KGN48412 pep chromosome:ASM407v2:6:22649365:22653666:-1 gene:Csa_6G486850 transcript:KGN48412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGKRPDRRSKKLGKRCVKSADRVGVQKSRKIISQEMKALDNAHIEDSLKNVESSADRTNPAEVTERIPLLDLYPTQMPHPRTKIKLQLFPINEKMRMAVEKEGYHPYLELTLKARKKISSVLNHLISKWGSNVARGELTLFPYNKPSSLVSGLKWTLEDYDISAGDVYAAVGGPSVFRLRYGWVSTSVPKFHSFSKGLEKGCSSTLQIIHGEGKQSERTMDEIKSSNMNEMNNTAAPDKTVDCPTNPMGNEPPLNSGRQLSSECGILQFDSISNISMGGLLSEASLMGKFSNWDPKITGGTASVQPAEVITDSLDACIAAAQMRFSGVSKQPHNEHHSSILDAEQTCDAFSMKRLPSSSKDTLSLDATTRGTFQDADSKFKFPKTPQAHSRSDLSQDPAGKESKTDPSFCSRVYHDESSLGLSGINWTDSLGPFDLGLAVPRKVSSSGDTLSNSMSGFVR >KGN49069 pep chromosome:ASM407v2:6:26458337:26461951:1 gene:Csa_6G511850 transcript:KGN49069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRIPSHQLSSGLYVSGRPEQLKERPPTMGSRAVPYTGGDIKKSGELGKMFDLHLVDSPTSAPPPSKSSRPSSSSQHNSGSVRSGPNSGPVTKHSNSGPISKKSSGPISLQPTGLITSGPMMGSGSLGSSGSVGGGRRSGPLEQTASSGKTMYGSAVTSLSEDVKIGFKVSKAVVWAFLVILVTGLLVGGFLMVAVKKPIILVAAAGLLVPAVVVVLWNIAWGKKGLIGFVGRYPDAELRGAIDGQYVKVTGVVTCGSIPLESSYQKVGRCVYVSTELYEYKGWGGKPANPKHRCFSWGSRYSERYVADFYISDFQSGLRALVKAGYGAKVAPFVKPSTVVDVTKENRDLSPTFLRWLADRKLSSDDRVMRLKEGYIKEGSTVSVMGVVRRQDNILMVVPSTEPVSTGCQWARCLLPTYVEGLIVTCDDNQNADVVPV >KGN48766 pep chromosome:ASM407v2:6:24998650:25001634:-1 gene:Csa_6G500580 transcript:KGN48766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISPGFHDFNGSLQLCNHANDSNPHNIYAFSPPFVRRGNDNDIPFFRRGFCRVRNYKLSVVCATTPQASIKDHFAPISRLNIDDSKNPSSIETALILIRHGESMWNEKNLFTGSVDVPLTRRGVDEAIEAGKRICNIPLDFVYTSALIRSQMTAVLALTQHRCKKVPIIMHDCSKQAEAWSQIYSDEAKMQSFPVIRAWQLNERMYGELQGLNKQEISERYGEEQVHEWRRSYDIPPPEGESLEMCSQRAVGYFKEHIEPRLQSGKHVMVAAHANSLRCIIMYLEKLTTCEVINLELSTGVPLLYIYKEGSFMKRGSPVGPSEAGVYALTKSLALYRQELDEMSC >KGN46362 pep chromosome:ASM407v2:6:5893146:5902822:-1 gene:Csa_6G087900 transcript:KGN46362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGNRAQVNKPHKSRFSSKATRQQHKTSLKDKSKVTKNNVAKGARAARLQRSKMIREQKRAAVLQDKRTLSGSKSPPRVIVLFRLSASVDLNPLAEDLLSLLAPGASSSTVASSEYKLRATVLKAPYGDLQSCMEMAKVADLIAFVASASYYIEGSTSLYIDSFGSECLSVLRSLGLPSTAVLIRDLPTDIKKKNDYKKMCISSINSEFPEDCKFYAADTKDELHKFMWLFKEQRLTVPHWRTQRPYLMSQKVDMVADNCTPGKCTLLLTGYLRARSLSVNQLVHVAGAGDFQLSKIEVLKDPVPLNPRTEQDAMDTQDDEIIRLLEPSEHEPLVVENEPDPLSGEQTWPTEADRAEAERNQKEKHLRKRALAHGTSEYQEAWDIGESEDEDSDVDNETDCMMLDSSYTNEVNNLNNQGISDDDQASLEFENFDRETDMDSVMMDDEMTNEQKLDEIQKIKNAHAEDEEFPDEVDTPMDIPARKRFARYRGLKSFRTSSWDPQESLPQDYARIFEFNNIARTQKHVLAKALEIEQGNGDHCVASCSYLRLHVKEVPVGAALKLCELAKSMPITACGLLQHESKMSVLHFSIKKHDVSEENAKIHDKNSPPLKGKEKLVFHVGFRQFVTRPIFSTDNFNSDKHKMERFLHGGRFSIASIYAPISFAPLPLIVLKNVEGNTSFAASGSLKSIDPRRIILKKIILSGYPQRVSKLKATVRYMFHNPDDVRWFKPVDVSTKGGKRGRIKEPVGTHGAMKCVFNGVLQQHDTVCMSLYKRVYPKWPEHLFPLLDA >KGN47374 pep chromosome:ASM407v2:6:14672715:14673452:-1 gene:Csa_6G307350 transcript:KGN47374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINLNLNVRIFGSIAIVFVFSFLFFSVVPSRATTTPSNDVASSICPKTRNPPFCVDVLKSAGSTDLKVLATYTLNLANENALKSTNLAKSLAAMTTNPPLKNQYLSCYESYEEATSDIENAKSNLASGDFNGVNIATSGVMTSVSDCLDSFKQLRIDPSLLKDGKTLNDVCSIILVISNLLP >KGN60921 pep chromosome:ASM407v2:2:2791539:2793892:1 gene:Csa_2G023880 transcript:KGN60921 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase MFGIGKNIIEGALNTTGDLAGSVINAGGNILDRVSSLGGNKIKGKVILMRSNVLDFTEFHSNLLDNFTELLGGGVSFQLISATHTYNKIGWSTDEEFAREMLAGPNPLLIRRLEAFPPTSKLDPNVYGNQNSTITEEHIKHGLDGLTVDEVSKENLNFFLL >KGN62342 pep chromosome:ASM407v2:2:15916435:15919503:-1 gene:Csa_2G350250 transcript:KGN62342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLSCVEHLKSQPIWVFFLLALGSLSALKFILIILNWIYVNFLRPSKNLKKYGSWALVTGPTDGIGKSFAFQLARKGLNLVLVGRNPEKLKDVSDSIVAKYGSIQIKSVVVDFSGDLSEGIKRITEAIEGLDVGVLINNVGVSYPYGRFFHEVDEELLNNLIKVNVEGTTKVTHAVLPGMLKRKRGAIVNIGSGAAIVIPSDPLYTVYAATKSYIDQFSRCLYVEYKKSGIDVQCQVPLYVATKMASIRRSSFFVPSTNGYAAAGLRWIGYEPRCTPYWPHQLLWGLVSLLPESVVDGWRLNFCLGIRKRGQLKDSRKKE >KGN63186 pep chromosome:ASM407v2:2:21126836:21128585:-1 gene:Csa_2G407830 transcript:KGN63186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSKGFLFGCLLLVSALAVSWAVESRTQPIQHDQQVAHDVEEAKHRSGSGGGGSYGGGGGGSSGGGSGGGGSGGGGYGGGGHGGGGQGGGHGGGSGGGGQGGGHGGGSGGGGQGGGHGGGGSGGGGQGGGGSGGGGQGGGHGGGGSGGGGQGGGHGGGGQGGGGGYGGGGGGYKKPSKD >KGN63006 pep chromosome:ASM407v2:2:19939514:19943090:1 gene:Csa_2G382790 transcript:KGN63006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDEERALEHQLEVQLYEQKESLAALQDALASDPSNSELLEVHEELVQAIKDAEEGLLHLKRSRLLREADTVLRGHDSNAAEDVKVEPLDATDIKPEPLEDHSFFVGSKCRFRYTDGRWYDGEIVGLDGSNSAKISFLTPTTENMLMCKFFLQQRCRFGTNCRLSHGVDIPLTSLRSYVPTIWNQSMAGSSILALSSRNDIWRHAELESWDDALQVAQVVFKGDGYSQKLGPEDIALSEYALINDEEESDSSLEQSDSSDYEEDDLQGLGFLESSTQQKGIQTETTIFAKWENHTRGIASKMMANMGYREGMGLGASGQGMLNPIPVKVLPAKQSLDHALESQKENNTNDENNGKKRSRGGKRKREKKFAAASRAAKEEEESRPDVFNLINHHLAMHNRALNDGSVKKQKDKGSADWKKVDRRTIIAYDDEVKDLRIRIEKLEEMVNRNKKEKVVYEAALRKLNETRKALAEAEAAHASASNAVNSREKEKRWLKF >KGN62251 pep chromosome:ASM407v2:2:15431931:15435596:-1 gene:Csa_2G345920 transcript:KGN62251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHFGRSGPPDIRDTYSLLVLNITFRTTADDLYPLFDKYGKVVDVFIPRDRRTGDSRGFAFVRYKYADEAQKAIDKLDGRMLDGREIMVQFAKYGPNAEKIRKGRVMESSSKTRGRSRSRSPRSRHRDDHRDRDYRRRSRSRSRERYDRDRPHRSERERYRSRSRSASPDRSKDRRRGRDDDERRSASHSDRSMSPTRHSPDTRRSISPRKTPPSRARSDDEHSPKGDNGSPDDKPVDSRSPSPARSDADE >KGN62943 pep chromosome:ASM407v2:2:19570655:19572013:-1 gene:Csa_2G381690 transcript:KGN62943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYYFISLYILKNSLHTIPQFTPSLQSFHPSILPSPATMGKGGALSESVVKKILLSYAYVGIWIFLSFTVIVYNKFILDKKMYNWPFPISLTMIHMGFCSSLAFIIIRVFKLVEPVSMSKELYISSVLPIGALYAFSLWLSNSAYIFLSVSFIQMLKALMPVAVYSIGVLFKKEAFKSDTLFNMLSISFGVAVAAYGEAQFNAWGVFLQLSAVAFEATRLVMIQILLTSKGISLNPITSLYYVAPCCFVFLLVPWVFVEYPILKETSTFRFDFLVFGTNSLCAFALNLAVFLLVGKTSALTMNVAGVVKDWLLIAFSWSVIKDTVTPINLFGYGLAFLGVAYYNHSKLQALKAKESQKKPAAADEEAGRLLEEKNAGDGTGK >KGN62972 pep chromosome:ASM407v2:2:19701792:19704656:-1 gene:Csa_2G382460 transcript:KGN62972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGNLFFLVLVTFSVALITYNIILSANAPLKQELPGPSRSSSSITVDPVIKMPLDRSETSSSKRLFHTAVTASDSVYNTWQCRIMYYWFKKFKDGPNSEMGGFTRILHSGKPDKYMDEIPTFVAQPLPAGMDRGYIVLNRPWAFVQWLQQADIKEDYILMSEPDHIIVKPIPNLSKDGLGAAFPFFYIEPKKYESQLRKFFPEDKGPITNIDPIGNSPVIVGKESLKKIAPTWMNVSLAMKKDPETDKAFGWVLEMYAYAVASALHDVGNILYKDFMIQPPWDTEVGKKFIIHYTYGCDYDMKGKLTYGKIGEWRFDKRSYDNVVPPRNLPLPPPGVPESVVTLVKMVNEATANIPNWGS >KGN63284 pep chromosome:ASM407v2:2:22008153:22010655:-1 gene:Csa_2G423640 transcript:KGN63284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFDHLLYALLSLISLLLGYSFLFKPHRLNLPPTPLFGLPFIGHLHLLKHPVHKTLQTLSQKYGHVFSLKFGSRLVLLVSSPSAVQECFTKNDIILANRPSLNSGKYLSYNNTTMAVSPYGEHWRNLRRICTLEIFSTTRLNSFSRIREEEVKRLLCKLCGNYKFEDEFRVVELESMLLDLTSNIVMRMVGGKKFCKENNKNINVLEDEGYCKRLKELVTQIMAHAGSTNPGDFIPLWNWIDPSGYNKRIMKIGRRMDEVLQRLVDEIRNEEDEGNTMIQHLLRLQKTDPKYYSDLIIKGLIQDILIAGIDTSAVTLQWALSHLLNNPIVLDKAKAEIDSYIGQERMVNEVDLSSLSYLQGIISETLRLSPPGPLLVPHCASEDCKIGGYDVPRNTIVLINAWAIHRDPNVWEDAGSFKPERHVNAVGFENSYKLLPFGLGRRACPGMAMAQRVVGLTLASLIQCFEWKKMSNLLVDMREGEGLTMPKVESLVAKCRPRFIMKVVLGEKNGHN >KGN61429 pep chromosome:ASM407v2:2:8077008:8084492:1 gene:Csa_2G120950 transcript:KGN61429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKRVPDWLNSSLWSSAPSVDDDRLHRYTSEPAATTSSPEPVVQPPVPVPPPSATTAVVRTESPKSDTRDSRGNNNVTNDDNGTSSGPSAEDVSRQAQLLVELSKKIINLRELRKIASQGIPDGPGIRSTVWKLLLGYLPPDRGLWTSELAKKRSQYKHFKDELLMNPSEISRRSEKAKSYEHDETNKGPLSRSEISQEEHPLSLGKTSIWNQYFQDSEIIEQIDRDVKRTHPDMHFFSGDSSLAKSNQEALRNILIVFAKLNPGIRYVQGMNEILAPLFYVFRSDPDEDNAASAEADTFFCFVELLSGFRDHFCQQLDNSVVGIRATITKLSQLLKEHDEELWRHLEITTKVNPQFYAFRWITLLLTQEFNFADSLHIWDTILSDPEGPLETLLRICCSMLILIRRRLLAGDFTANLKLLQHYPPANISHLLYVANKLRKQPSI >KGN62056 pep chromosome:ASM407v2:2:13855304:13855459:1 gene:Csa_2G293350 transcript:KGN62056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKFAKFEKGKKITILSIDGGGIRGIIPGTILAFLESKLQVIMIYIYILI >KGN63020 pep chromosome:ASM407v2:2:20027545:20028873:-1 gene:Csa_2G383910 transcript:KGN63020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLGFPIGSPLVPDISRAVLQVTESDRMREIENAWFQKTKDCSASKASELSSSRLSPISFWGLFMIISVVSFISCTSYIGKFLYDQRYEWLNGNQTISSLFRMFIMERELR >KGN62736 pep chromosome:ASM407v2:2:18162915:18167165:1 gene:Csa_2G370360 transcript:KGN62736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTLSSRAATPLITSFALRRRLPLVGAFCVLSFGISNLFVSKTGSALSLPFVPLLRSKLAGQGPARNVHLIKMEGSSAAVPSIVVYVTVPNREAGKKLAESIVKEKLAACVNIVPGIESVYQWKGEIQSDPEELLIIKTRQSLLGALTDHVKANHPYEVPEVIALPINGGSLEYLEWIKSSTKD >KGN61536 pep chromosome:ASM407v2:2:9361093:9363175:1 gene:Csa_2G163170 transcript:KGN61536 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ammonium transporter MAATDCSLTLAQLLGPNVTNSGAAASFICDQFTTSTNNFSDTKFAVDTVYLLFSAYLVFSMQLGFAMLCAGSVRAKNTMNIMLTNVLDAAAGGLFYYLFGFAFAFGTPSNPFIGRHFFGLKSIPSTAADYSFFLYQWAFAIAAAGITSGSIAERTQFVAYLIYSSVLTGFVYPVVSHWFWSPDGWASPSRTDGDLLFGTGVIDFAGSGVVHMVGGIAGLWGALIEGPRIGRFDKTGRSVALRGHSATLVVLGTFMLWFGWYGFNPGSFTKILVPYGSNNFYGQWSAVGRTAVTTTLAGCTAALTTLFGKRILSGHWNVTDVCNGLLGGFAAITSGCSVVEPWAAVICGFVAAVVLISCNKLAEKVKFDDPLEAAQLHGGCGAWGIIFTALFASKKYVNEVYGGDAGRPHGLFMGGGGKLLGAHLIQILVIIGWVSATMGPLFYGLHKLKLLRISSEDEMAGMDMTRHGGFAYVYHDEDESPTNGIQISRIKPRETSPIEN >KGN61883 pep chromosome:ASM407v2:2:12589590:12590155:1 gene:Csa_2G258790 transcript:KGN61883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIRFLSLVPHVKQILKMQSGLTKKQLGVPKGHVAVYVGEIQMKRFVVPISYLNDLSFQQLLSYAEEEFGFHHPQGGLTIPCKEDAFVDLTSKLQVS >KGN61892 pep chromosome:ASM407v2:2:12635082:12644157:1 gene:Csa_2G263870 transcript:KGN61892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSKKRTLKSPKETVRPSKSARVFIPMEVEVVDEIEPEQREVPQESEEGCPWRNLELILLLQNKEIDEQKKVKAVFSFLNSKLKEIDKYYDTVKVSRLIIFLSDWVQSLLISSEKKVKNGGEPCLDYRCWEVFKFCLKESVKTHTTLNLSKNLLHAFCFVTRHAISLLVASLSSKEELFGGDCFKLYNIVLDCVSLVFSTHLGLSNESLDAWTSTIDAALEFLHIIYVNSLEGGDVGIFAIKFSCMMLEPFGKFLWIHPTKKTGFHNFVNKLLEPLLQLLRDISLKPDRCNHCWTRTLMKLLEDVLSHALFHTVHIDGFLCLHGSEKVMKSHDEKLEESKAHIRSYHRHLFDKLQKLVAGKKFLALGAIGELFHVLVVRVKKVRGASMLFEDAKLINKVGCLGPLRDGISSHASSTLQGSVDGLSEKSNIESNLSTEIRRSLFEFFVQILDPLLQTIELISSEIQVGSTLSDVHCLLKSINNILASFMKEKVYLRTEDNSEGTCHNFLKKVYDTIMLISSHLLLLSRDEIENSIDLEVFVLAANEILVTLGYLLEIEYDVIGTDLVSLWTLILSYSAFNVSFTSTSKQHLLTSKIQELGCQLVVLYGQLRQVNISIFALCEAMRAVISNEGEAEKGYASFMTSLGQEAYGKSVGMLLSSQEIKFAIHKAIKYIPEGQASGIVQQLTEDVAKTLGWLKRCNMNLIIRNKTGGSEMQSVLLGRGLSEIYSLMLDSLMITSGNASQVGTSIVNLVSVIRPCMSTLVGLESDGAKAFFVAVMGKTWDDLVANEENCLGFGMTSHWVFVFFFRLYMSCRSLYRQVISLMPPSLSRKMSAATGDSFMAYSACDWMQKTDWSDEGYFSWITQSSASVLVIVESVCSLYHQSTNVGWYPLIYVLLIMVLQRLVDLNKQIGSLEYLHQRSENLMQVEVLSDDDLSVLQKKIKKFGRLVSVLRKEAEDLTDFMMGHLSLVAKGRVLNSTKRNATSNDKSTEMLSDIDEWDFSIYNVNKRSFPTAVWWIICQNIDIWVSHAAKKKLKMFLSFLLPTALHFLASNHTKIETQQTYGYRQPKKVSLQQISSAVLSDPIFYERSFVRRFMASRFCRELKSSLLSSFHDLNRSLADWMEVIATLEHLAIGVCSGKHTPDDSALLANTVNLSSDMLHAEDCKLKGDSSESNVRIRDCQHLIKLLCLMPMGNMSSKSFSLYTTHVLELERILVNALLDNQTALCSNKFELLKLFASCRKALKYIFRAYCEAANGQSSSVPILSENQFPFLWLFKSLSLVNQIQEVSPEGTDRQIKDIIFSLMDHTLYLFLTTSKYQFKEALCTSVKVNKPCKEQPQDVCQDLNDGDDLCLDSIHSVEVCSSAIQMSNSLKEQVESELISLKKSNFAVGDAKNRADICKFNSLASCLNGFLWGLASVDDHTDLRKGNHHMRSMKLKREYSSELNNCMNAISELLGLILEMFLDRDSQLPKNLCDYQAFQDLESSYCDDDSENVSKKRKRLKLENKSSFASILNDAKSIEMQLLNQPFLRGLLQGSYPEVNFALKQLFLAASRILRLHKQYDTTPLSSSSMTILIGISRFLLLEFVDMVDVPQPFLLACFDGVLKYLEELGHLFRFADPVQSRNLYSELINLHLQAVGKCICLQGKRATLASHETESTTKTLDGGFFKESSFPGVYCMDEFKASLRMSFKVFIREATELHLLSAVQAIERALVGVQEGCTTIYGLYSGSEDGGKCSSIVAAGVECLDLVLEIFSGRKCMGVIKRHIESLTAGLLSIVLHLQSPQIFYRMIAMKDRSDPDPGSVILMSIEVLTRVSGKHALFQMNVWQVSQCLRIPAALFENFSLKLPGIATESECSLISAQETSSVVVTTSSSTIDKQFTIDLFAACCRLLYTIIKHRKSECKRSIAQLQASVSVLLQSLESVDPDPKSMGGYFSWKVEEGVKCASFLRRIYEEIRQQRDIVERHCALFLSDYIWFYSGHGPLKSGIRREIDDALRPGVYALIDACSAEDLQYLHTVFGEGPCRNTLATLQQDYKQFFQYEGKV >KGN62287 pep chromosome:ASM407v2:2:15652398:15655909:-1 gene:Csa_2G348250 transcript:KGN62287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESKPGLRKPVFTKVDQLRPGTSGHTLTVKVVNTKMVLQKGRPDGPQARQMRIAECLVGDETGMIIFTARNDQVDLMKEGATITLRNAKIDMFKGSMRLAVDRWGRVEVTEPANFTVKEDNNLSLIEYELVNVVEE >KGN62289 pep chromosome:ASM407v2:2:15663178:15673567:1 gene:Csa_2G348270 transcript:KGN62289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFASLQDLYLHLISSAIRRCICSERLCRLSVLLQRSPASDPPLVRISISDTGHGNCIQEFQDLKCPVEGILAETWDGIVYVRTTNISDPEISCYQLNLKENVTTRKPIRLPSNIKHAVKFSGTEVCLFVSESVDVLLAEINCLFHQILILKVPNIAMEVVADGQDTSGSLNDAVFLENLFSGSSFTASTLDLLKLGLEDFVLRHGSSSMCNSCFPNRDELKSGGGMVCEDKHKITKLVVEAAVVIGEISNLTNNCFGAGCSDTKVLCFKDFAPCSISEAFLKALTFIDWKRHGLTLECAINQRRHALLKWEQTPLSFHIHIVVHYYHKLVANATPVVQQTRFDKKLISKAVQLALEDFKNKHAGFLLSVDNLKISRFAPDLAKAMAGLVLYSNDMDFKKECLAILGLQPHQSGGEVVEETIKKKIISVIEKNDQRPQGIKEVAHLLFKDGRQKLQVVDNDNEYCMDDFDPMNL >KGN60722 pep chromosome:ASM407v2:2:1435202:1438751:1 gene:Csa_2G008130 transcript:KGN60722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSPSPLRRLSPAVPSLPSFSHHRLYNHKPSPILPCFHSFPKPLLQVRAIDAAQPYDYESRMASRFQKSQKLKIAIIGFGKFGQFLAKTLVRQGHTVLAHSRSDYFDVARKLGVSFFLNADDLAEKHPEVILLCTSIISTESVLRSLPLRRLKRNTLIVDVLSVKEFPKSLMLELLPVDFDIICSHPMFGPESGADGWNDLFFVYEKVRIGSEESRVSRCEKFLSIFEKEGCKMVEMSCADHDVYAAESQFITHTVGRILGELKLESTPINTKGYETILNLVKNTVADSFDLYYGLFVYNKNSLEMMKKLGLAFQELNQELCARLHEVVRKQLFESEEKLHTWPEIPSQNGASLALTTYSEPTRFQDLSPTNGTKPSKPEENPKLKIAIVGFGNFGQFLSKTMVKQGHHVLAYSRSDYSDVAKELGISYFSDIDDLCEEHPEVVLLCTSILSTEKVLRSIPFQRLKRNTLFVDVLSVKEAPRKLFLQILPPEFDILCTHPMFGPESGKNGWNDLSFVYDKVRVGNEESRAYRCNCFLDIFSSEGCRMVEMSCYDHDRHAAGSQFITHTMGRVLEKMKLSSTPVNTQGYNTVLDLVSNTSGDSFDLYYGLFLYNANAMEQLDRLFLAFEAVNKLLFGRLHDVLRKQLFEDKQGNIDAQEDPMMKPYQNSTALLTLPDSRELH >KGN61821 pep chromosome:ASM407v2:2:12158601:12162192:1 gene:Csa_2G249270 transcript:KGN61821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKETGYYDVLGVSFDASSAEIKKAYYVKARLVHPDKNQGDPKAAANFQVLGEAYQVLSDPEKRDAYDKYGKAGVQQDAMVDPAAVFGMLFGSEFFEEYVGQLALAVLSSLEIEDDTPDSELRRQKIQEKIRLFQKEREDKLANILKDRLQPYVIGQVDEFVTWASSEARRLSSAAFGETMLHTIGYIYTRKAAKELGKDRRYMNVPFLAEWVRDKGHQIKSQVMAASGAVSLIQLQEELKRLNEGDNRDENLAKAIEEKKDAVLNSLWQINVVDIESTLSRVCQVVLRDPNVSKDVLKLRARGLRKFGAIFQGAKSAYSRENSLRHESDKSIGDASAS >KGN62803 pep chromosome:ASM407v2:2:18672659:18673466:-1 gene:Csa_2G373460 transcript:KGN62803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLQRTISDISFELSKEGLGVAANNVPLPPISEVEAAACECCGLSEDCTAEYIGHVKDKFMGKLICGLCAEAVNEEMEKNGWKREEALKEHMSACAKFNRIGRVYPVLYQAEAIKQILKKTKSDHRGGRIGRSSSCIPAVGRDVCDPTMVK >KGN60792 pep chromosome:ASM407v2:2:1921747:1924317:-1 gene:Csa_2G010280 transcript:KGN60792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNANTHVMLSLFFVLLGIFNRVYSASVLDPPPDPPLLLRCGSNEEGNDENGRKWISDSKFLDPKNTLAAPVGFQDPSMTSQVPYMEARVFTAVTAYKFPIKRDNRYWLRLHFYPSTYGPHDSVNSYFTVTANDLTLVKNFSAYMTCQAFTQAYIVREFTLAATESESLNLTFTPVSGFAFVNGIELVQMPEIFGEAIMVGAREQTMDVTGSSMQTIARLNVGGSYISPANDSGLSRAWYDDYPYLFGASEGVVLEASKRLVIDYKDMPKYIGPVEIYRTLRSMGTSKDVNANYNLTWLFPNIDPGFMYLVRLHFCDVSLSRPNQVVFDVFINNQTADVNGIDVIAWAGSRGVPKYRDYVVFSNESPTMQQIWLAMHPKMSDAPEFADAMLNGVEIFKLDSGKNLAGKNPQPSAFRMKVEAESERNFEGKGNNAEVIGGAAGGAAAFVVVALCFAVYQRKNRLPGNDSHTTSWLPIYGNSHSSGSKSTVSGKSTASNLAQGLARHFSLSEILHATKSFSESNVIGVGGFGKVYKGVIDGGTKVAIKRSNPSSEQGVHEFLTEIDLLSKLRHKHLVSLIGFCDEENEMCLVYDYMGLGTLREHLYKTNNKTRLSWKQSTVVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCARPALNPSLPKEQVSLADWALHCKRKGFLEDLIDPHLKGKITPDSLKKFADAAEKCLDDHGAERPSMGDVLWNLEFALQLQESADGGSSHRSRVHEEANQRSQEMAAHYNNLSLGSEQDLIESNNEQNSTAIFSQLVHPTGR >KGN60534 pep chromosome:ASM407v2:2:236418:237761:-1 gene:Csa_2G000420 transcript:KGN60534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEEMKQTNQILFPAWEEVSSIIMASSSDQLPPNIIPSSLSPKSPSIEETPEIPSLLFYNDLSTIPLLPLPPDRSSLSGGSRESAFEEYNVKKAAVEGAEENQKRESLMKKGLVFYRKLKKMRIGERIIIEAAKRPASAQLIHMIAERRRREKLNESFLALRSILPPQTKKDKASVLATAREYLTKLKAQVSELSHRNHILLQAQDPHIRTVHHQPTTPTSSLNQQFTVNVSYEPPPPGSTDETIVVDLEIIIRGDSSQLMTDTAIRILQFLKSIVNARVVLSFHANHMIAPSPLTRLGFRFSLQGGEWDESAFLEAVRRIVSDLPSLSSYQNKS >KGN63401 pep chromosome:ASM407v2:2:22909824:22914960:1 gene:Csa_2G437110 transcript:KGN63401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGWNFGRTFGFCPFLQALTSLVNHYLSKERTIADYWKVDDLGWTLSLRYMLDGEFKDLPIALVLKINTEGKPTSFPTGQFSTTFWLCLISTWCSLVVGSILYYILALFGVYQHGAPRGLMRLKFNDDNLASLYHRLYGLSNFNHAFVADGWNADNGVWNLDFQRNLKNWKYGNSGTFTVHFMDPLLLHGGILLILCTQLVICNGLYSQKIKIFLWELVVPTCRTVFSVEDLMFPFFLFGVLCEVLQSHPVILLDSVVLSLRIGINSHSCWLKHDSSREHFLYVIHGFSGTSFSRVQKLSGWLLMVPYFGLFSRVQKLSGWLLMISDLLRHDSLTTDHTLVYILTLGVVESYRNLGIASSLVQKVIKYASSIPTCRAVYLHVISYNTTAINFYKKMSFKCLQRLPGFYFISGQHYDSYLFVYYVNGGRSPCSLLEVVTFMVSYLRDGIKFVTSRLRKNEKRKVSKWSKCKESHSLISMAQSKRNLGVESNGYECV >KGN61427 pep chromosome:ASM407v2:2:8050115:8054296:-1 gene:Csa_2G120930 transcript:KGN61427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRDRGGGGGGGGIGGSSKSEIVGATLDRKRINDALDKHLEKTSPSTSRGLHSKEKERLSVPSTSTGKPQLDHRSASLSKNKCSDEESETDSEESDVSGSDGDDTSWISWFCNLRGNEFFCEVDDEYIQDDFNLCGLSSQVPYYDYALDLILDVESSHGDMFTEEQNELVESAAEMLYGLIHVRYILTGKGISAMLEKYKNYDFGRCPRVYCCGQPCLPVGQSDIPRSSTVKIYCPKCEDIYYPRSKYQGNIDGAYFGTTFPHLFLMTYGHLKPQKATQGYVPRVFGFKLHKKHD >KGN62941 pep chromosome:ASM407v2:2:19560692:19561438:1 gene:Csa_2G381670 transcript:KGN62941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALADIGTASSYGPPYLPTQCNGNSVEQFPPGNLFVAVNEGLWDNGAACGRRYRLRCLSGRNRPCKTDIIEVQVVNFCPKSPCPSSFLMSKEAFFAISRFPTAKLNVEYIEI >KGN60840 pep chromosome:ASM407v2:2:2251694:2257457:1 gene:Csa_2G012720 transcript:KGN60840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCIISREVASRNLADSEEKKEKSSECGEDLERKEADVAVVEVAQEEGHGHGGQPLTKEQRRKLKSNPRLNKLRGIVALHLPSSLCPPIGQGTYSNVYKAKDILTGKVVALKKVRFDNVEPESVRFMAREILILRRLDHPNVVKLEGLVTSRMSCSLYLVFEYMEHDLAGLAANPSIKFTEAQVKCFMQQLLSGLEHCHSHRVLHRDIKGSNLLIDGEGLLKIADFGLATFFDPKQKHPLTNRVVTLWYRSPELLLGATHYGVGIDLWSAGCILAELLSGRAIMPGRTEVEQLHKIFKLCGSASDEYLKRAKLPNAALFRPREPYKRCIKETFKDFPPSSFPLIETLLAIDPAERMTATDALKSEFFTTEPYACEPSSLPKYPPSKEMDAKRRDDEARRQRAASKLQNDRVKKTRARNRAGRAIPIQGANAELQANIDRRRLITHANAKSKSEKFPPPHQDGALGFTLGYSRHIDPAVVPSDVPFSSTSFGYPNELDQAWSGPLVQSSDIGAQRQKHLGGDAREQQSSVKGKKAA >KGN62005 pep chromosome:ASM407v2:2:13504233:13504880:-1 gene:Csa_2G285890 transcript:KGN62005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDIDILKSTLSQSDMSSTFFPNNTAPNCTPHVLPIIPPPAYFSDYSPPPGTSLFQTTPTIIPETPARQRRSGVSGGGMAAMREMIFRIAAMQPVEIDPEAIKAPKRRNVRISKDPQSVAARHRRERISQKIRILQRLVPGGTKMDTASMLDEAVHYVKFLKRQVQTLEQAGFNYNNNNNNNNNFNNFVNSANLNYASALFKACQIMPASLQMQS >KGN62917 pep chromosome:ASM407v2:2:19409663:19410012:-1 gene:Csa_2G379970 transcript:KGN62917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTAVTGRKITGEGERGESYQMAFQRLARGLAMTANSITRRDEFGYFGPLVSASFYFDDLDSFVITWIHD >KGN61608 pep chromosome:ASM407v2:2:10111551:10111883:-1 gene:Csa_2G177200 transcript:KGN61608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNRRGLELDLVLSCGVLDKIEDIRLISEENNLDTGSIEMKYKMLKKCNLKTILVLRLLKTKDEIEGSQSYLGVMSVETRDK >KGN62262 pep chromosome:ASM407v2:2:15481031:15483577:-1 gene:Csa_2G346030 transcript:KGN62262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTSSVWTSLRSAISILKGRERSSSKKNKANANALSNKLNLNEEYQAAFRTNSYVEFTAITNNTSSPSSLISCLLEPDQDMLLHNITSNGGHVHDHDLLIQYFQASFEAFETCQLLLQALNQTKINHHILVNATVKLTTMALRAGDDDNGNHGRVYGEDDPLILSSFFSRLKNPNFSILNQIGSRFLALHESHSELLQKLASKQNETRRKLRLKRIRKRVAKGCLLISNAAVLVALLLLALHSLVGIVAAPGLLIACFVGLLKKKVKRDYKLPFTPETSLQQMEIAARGTYITMNDLDTLSRMAARLDVEVEHLRAVGEMWMRSSRSRCEILKEFVVEDEAIVEQMKELQQHIYLCFHTINRSRRLVMDETMGDMDQSC >KGN61808 pep chromosome:ASM407v2:2:12049509:12049814:-1 gene:Csa_2G248655 transcript:KGN61808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNILFKGKWVINKVQDWRGGPGRTSHGCRSVGPQRGSEHDYLCFDATFVLKAYTGPSLSYLCLATPAVPANNQKPFLCEVQNTTTLFLFCFIPKLKLYSH >KGN61299 pep chromosome:ASM407v2:2:6376310:6383594:1 gene:Csa_2G077540 transcript:KGN61299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESEVIEAELVLPIHLNFKRIQMYEKYPKGQSRGRHWKHLKQILQAENYHNYSPDEPNYVNIESPPSMHPCKRICDITGYEAPYYDPRTTLRYANADIFKVIRSLPNEYVQRYLAMRNAAVVLR >KGN62286 pep chromosome:ASM407v2:2:15651288:15651822:-1 gene:Csa_2G348240 transcript:KGN62286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQESSGSNGKGIINSQENQQGFRESGDHMNRPSADPRIACPISLIFLLAMIMFLLETRALKLGGVMKCLIPSPDWLTLISFHESHGCGG >KGN62235 pep chromosome:ASM407v2:2:15282236:15307292:-1 gene:Csa_2G338790 transcript:KGN62235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSFQAPTGVALSSNSGSSKNGSSSMDNAIDQRDPSSHKTTQDLDGDQIQGDCGNHNLAKEVKLDRHTGHENSKHSVWMLSLDSESCSDNNFIKEDYSYHEELAELATSEIQGRRKDENAGRRFTEGKSKSRKVSNEMSPKKKVKSEVCTSAKENIMNSGTNKGGSTMEGSEGHVRNGDVEILEKDALDDCIGPPVSSSRLPLVLSDKAHRLKALVECEGTSIDLSGDMGAVGRVVVSDSSSAKNELCLDLKGTLYRAVIVPSRTFCIVSFGQSEAKIESIMNDFIQLKALSKVDEAETMVEGTLDGFSFDSEDDAEKITKAASPADQNEPVEGLNTKSKNKAEKSSGRKRVKTGGRLQAPKKTRKKVQGKVLLSECKRQMGALANLLETTFSFSGSPL >KGN62329 pep chromosome:ASM407v2:2:15852772:15853923:-1 gene:Csa_2G349630 transcript:KGN62329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIIPVTASSPLGFFPQFSASSNLHFQQFRFPRLLNRTLAASISHHLNLTSSNKLCSPRPNCDLHSIALPVYTSESVADKLRRLVLEFESLLEPIDRVKRLLHYAAILDSSDEAIRLPENRVKGCAAQVWLDVNVDEFGRMRFKADSDSEIAKGYCSCLIWMLEGAEPWEVLKVRSDDLEAVNVGLHGKATSRVNTWQNVLMSMQMRTNTLVSNSEMKPPLEPFTSRTVHRRLHG >KGN61873 pep chromosome:ASM407v2:2:12564089:12564629:1 gene:Csa_2G258690 transcript:KGN61873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIRLPSVLAAAKQVLKMQSVSARSQSIVPKGHIPVYVGETDRKRFFVPISYLSHPSFVELLNKAEEEFGFSHPTGGLRIPCKEEAFIDVTSKLQSS >KGN60513 pep chromosome:ASM407v2:2:135892:138474:1 gene:Csa_2G000220 transcript:KGN60513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLVLAFSPFHFYSNEFLCLNMLPLIYSIYNFLLSLFGAIIRCFFRIQVYYGTVDSVLQIKGQEGEFQATADYNSSKYQLEPTTQIHGFIQKSETTNCFVQEFCFTASPSSSGNQTPDHDFECYSSKYLCESDDGVKLEIFNAEEGLEKLDEHEGLEKLVEHEGLVSNIDAPIPVEVEKSGHDCSEVETLVEDGSFLFSDSDFESPCFDEEYIEIELELKPSLHVLNNAKILPVNDWSEEESQDCLVELTETEKDEKGMEFFEQQQQQEEEEEEEEFLQEHQDLINQLKIELRNSRTGGLPTVQEEEDEGEAGSMCPTSVETLKPLKKDQNFELKQHFREIQKVYKTYAEKMRKLDISNIQTNYAIGLVKLKDPNGSMDGKKSGLKSVFPLKLRPGRGGVKDCPRLTRDLKRDMEMVYVGHLCLSWELLHWQHRKATELQQNDSREVSRFTRVVNEFQLFSILIQRFIEDEQFCGPRIDNYARNRLFIRSLLQVPAIRADCVNDKKQRGKEDESTISTAALVSIIEDSMQVFREFLRAEKFVRNSTIKCAQGQLNAQRMMMEIRSGLQKKERRLKEILRSGNCIAKKFKRIGEDEGRVKNELLIAEVELKLVSRVVSMSRLTESQLIWCHKKLHQINFVNRKVVIEPSFSLFPC >KGN61327 pep chromosome:ASM407v2:2:6775782:6775967:-1 gene:Csa_2G083735 transcript:KGN61327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQMIMYMIYKDRKGNSLEEKLEEGGKKYEVDDQSLSKVKKSNQSETSEINMGETNHNNIV >KGN60928 pep chromosome:ASM407v2:2:2812139:2815701:-1 gene:Csa_2G024440 transcript:KGN60928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGIGKNIIEGAFNTTGDLAGSVINAGDDKSAWLTDEEFAREMLAGVNPLIIRGLEEFPPKSKLDPKLYGDQHSKISEEDIKFGLEGLTVAERCSG >KGN62749 pep chromosome:ASM407v2:2:18274548:18275100:1 gene:Csa_2G370480 transcript:KGN62749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQTHSYHIYIIEPYINGLTIYTNFSHELNTSHFIHKNSKELQNTSTSTSTSLRFFFFKPNGWLAQPFSSSVSVFHIYKGVSNYLHPIFTSFIPDEVIFFLSLSF >KGN63102 pep chromosome:ASM407v2:2:20619279:20623923:1 gene:Csa_2G402090 transcript:KGN63102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGKSLASPISTIDSATRFCCSTRCTATAISDAVCSHVSFSCHSAKHTIKGVRRQNPYPRKWEICSSTQVESLILSDEDKKTWEACRQALSVFSFSVEEQDKMLGKAFGHIHSPYWGEDREKKVPNIEIVNDILEYLRTLGLSNDDLSKLLKKFPEVLGCNLEQELKTNVQLLDKEWGIQGKSLRNLLLRNPKVLGYYVDCKGDCIAKCTRCWVRF >KGN62499 pep chromosome:ASM407v2:2:16810245:16812770:1 gene:Csa_2G357220 transcript:KGN62499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANTDNDITYPIHCIASILSHFQCLPKVTIFYQITNDPSLSLHRFFDSFLPAGVEVEHRLALSSASNQEIVIEQELTRLMNNQRSRNFIITQLSLELVDLLLTKAKKLNMVGNGYTWIISHEVFDLISYLDSSSSLLSKMEGVIGFGTYFNDSRKSFKSFETKFKKIYRLEYPQEEEPTKASIFAIRAYDAARNIIRAMERLGDENLRSSSKQLMDKILESNFEGVSGMVKFSKKNGMLISESPNFKIVKVVDQTYKEVGFWTPNLGFVENYVEIISKTTTKLVKHSKGNLRKNLSVGDLSRPKTSSSENFDNHHSKKKFKFAVPEDAACKEFVKVSQHLNGNYITGFAVTLFRAVMNNINMSEFSDYELVPLKGTYNKMIEDVSKKIFFGAVGDIGILAQRYKHVDYTVSYLETEIVMVVQQKDDKWKKIWAFMGAFQLTMWLLIPTMHLFISFVIWLIERQNNPELEGVGNMLWFSISIVFYMHREPVKNGMARLVLGPWLFAILVITASFTASLASMMTNSWLRPSVPDVETLRKMGHNVGCNTNSFICSYLADTLKFDPEKIKKIDLVDEYPKAFESGTIKAAFFISPHARVYLAKNCKGYTKGVSSFKLSGIGFAMEKGSELASRVSASIVELTETNEIPQFESNVLASFNCSSNGKGDGVGLGPEPFMGLFIICGSIAFLVLIYMASQFMRTILKSV >KGN60916 pep chromosome:ASM407v2:2:2754417:2760770:1 gene:Csa_2G023340 transcript:KGN60916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSKEERRKILGEVVLMKSNVLDFDDFSTSLLDTLYEFVGKRVSLQLVSAIHGDPSNELRGKLGEEAYLEEWITTITPLTTGEATFKVTFDWDEQRMGIPGAFFITNHHHSHFYLKSLTLQHVPPHGTTLFFPCNSWIYPSHRYKNDRIFFLNQFIKPEDKSAWRTDEEFGREMLAGLNPVVIRKLQDFPPRSKLDPEVYGDQKSKITEEQIIHNLDELTVEESFNMFKIIVLFRGMAIEDSNSPHGLRLVIEDYPYAVDGLEIWSAIKTWVTDYCSFYYKTDEAVRNDPELQSWWKELREEGHGDKKDEPWWPKMQNIEELIDTCAIIIWIASALHAAVNFGQYPYAGYLPNRPTISRKFMPEEGTPEYKELETNPEKAFLRTITAQLQTLLGIASIEILSRHSSDEVYLGQRDSPKWTADKEALDAFEKFGKKLAEIEDGITKRNEDLTLRNRVGPVFMPYTLLYPSSEEGLTGKGIPNSVSI >KGN62303 pep chromosome:ASM407v2:2:15743042:15747693:1 gene:Csa_2G348890 transcript:KGN62303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKKIREYDSKRLLKEHFKRISGRQLPIQSAQVTESTDFNELVEKEPWLSSSKLVVKPDMLFGKRGKSGLVALNLDLAQVAAFVKERLGKEVTMGGCKGPITTFIVEPFIPHKEEYYLNIVSERLGCSISFSECGGIEIEENWDKVKTIFIPTGVSLTSETCAELVATLPLEIKGEIEEFIKAVYTLFQDLDFTFLEMNPFALVDGKPYPLDMRGELDDTAAFKNFKKWGSIEFPMPFGRVMSPTESFIHGLDEKTSASLKFTVLNPKGRIWTMVAGGGASVIYADTVGDLGYASELGNYAEYSGAPNEEEVLQYARVVIDCATADPDGQKRALVIGGGIANFTDVAATFNGIIRALKEKESRLKAARMSIYVRRGGPNYQRGLAKMRAVGEELGVPIEVYGPEATMTGICKQAIECITAAA >KGN63338 pep chromosome:ASM407v2:2:22419330:22420825:1 gene:Csa_2G431080 transcript:KGN63338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVNCLIAALSLHTIWLLVVLTKPCSSLEPKTTPSFPAILIFGDSTVDTGNNNFIPTIFKGNYSPYGKNFPGHLATGRFSDGKLIPDMVASRLGIKELVPPFLDPKLSNDDIKTGVSFASAGTGFDDLTAAISKVIPVMKQIDHFKNYIQRLQGVVGVDESKRIINNALVVISAGTNDLNINFYDLPTRQLQYNISGYQDFLQNRLQSLIKEIYQLGCRNIVVAGLPPVGCLPIQETIAFENPLKRNCLKDQNSDSVAYNQKLSKLLTNLQPQLAGSKILYADIYTPLIDMLNNPQKYGFDHTNRGCCGTGLVEAGPLCNPKTPTCENSSKFMFWDSIHPTEAAYKFIAEALLKKLGDTQNWN >KGN62871 pep chromosome:ASM407v2:2:19121333:19122138:-1 gene:Csa_2G378530 transcript:KGN62871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERPGLLLVGAFIIAQLVATVIAVYANWGFARIKGMGWGWAGVIWLYSLVTYIPLDILKFGIRYAHSGKAWDTLLENKTAFTTKKDYGKEEREAQWAAAQRTLHGLQPPENSNLFPEKSSYRELSEIAEQAKRRAEVARLRELHTLKGHVESVVKLKGLDIDTIQQHYTV >KGN62996 pep chromosome:ASM407v2:2:19888032:19888217:1 gene:Csa_2G382690 transcript:KGN62996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVNDEASRKTTGWVLSRKRNLPFSNTTIAIAGTLLLGIGYMVYVNKSKNNNDNIHRQPSR >KGN60624 pep chromosome:ASM407v2:2:774237:782062:1 gene:Csa_2G004730 transcript:KGN60624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRQKSLLSFFQKSPSDNRSSDGCASSVGQRLTRFQTKPSAAGLEQPAIQTTADSSLEIRGTDTPPEKVPRQILPVIEKNRGSSLFSSIMHKFVRVDDKRKANERDEVQKDSSQNEVGKDSPQLPSISGKVNDPTEFSKLDVASRRHGKFDVANLNGHRGPVLNIESNEDIAGPETPGMRPSVSRLKRSQEVSLVNCSGDSLQDSTKRIKLLQDSINLNKIHNEISDATSKFEWLNPSQVRDANRRRPDHPLYDKKTLYIPPDVLKKMSASQKQYWNVKCQYMDILLFFKVGKFYELYEQDAEIGHKELDWKMTLSGVGKCRQVGVPESGIDEAVQKLVARGYKVGRVEQLESAEQTKSRGANSVIPRKLVQVTTPSTKADGDIGPDAVHLLAIKEESCGLDNNSISYGFAFVDCAALKFWTGSIKDDASCAALGALLMQVSPKEIIYEARGLSKETHKVLKKYSPTGSTALELTSGSPVTNFLEASEVKLLVQSKAYFKGSLNLWNHESTVHDDIALCALGGLINHMSRLMLDDVLRNGDLLPYQVYRGCLRMDGQTMVNLEIFRNNDDGGLSGTLYKYLDNCVTSSGKRLLRLWICHPLKDVEEINNRLNVVEELMAQSDIMVLLGTTYLRKLPDLERLLGQIKATVQSSASLVLPLIRKKLQKRRVKLFGSLVKGLRTGLDLLIQVQKEGLIISLPKVVKLPQLSGNGGLDQFLTQFEAAVDSEFPDYQNHDVTDSGAERLSILIELFVEKATEWSEVIHALNCVDVLRSFAIIAHSSRGSMSRPLILPQSNNSMLSPEKQGPVLKINGLWHPYALVESGETPVPNDMILGLDQDSYHPRTLLLTGPNMGGKSTLLRSTCLAVVLAQLGCYVPCETCTLSVVDTIFTRLGATDRIMTGESTFLVECSETASVLQHATQDSLVILDELGRGTSTFDGYAIAYAVFRHLIEKVNCRLLFATHYHPLTKEFASHPHVMLQHMACTFKDHELIFLYRLRSGACPESYGLKVATMAGIPGRVVEAASRASQMMKQTIKENFKSSEQRSEFSTLHEEWLKTLITVLEFKGNNLGENDAFDTLFCLWYELKRDRITARI >KGN61215 pep chromosome:ASM407v2:2:5432557:5437434:1 gene:Csa_2G070320 transcript:KGN61215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASAGLVAGSHNRNELVVIHGHEEPKPLKNLDGQVCEICGDAVGLTVDGDLFVACNECGFPVCRPCYEYERREGSQNCPQCKTRYKRLKGSPRVEGDDDEEDIDDIEHEFNMDDERNNHSHLAEAMLHGKMSYGRGPDDEENAQYGRSQTVNGELPLSSQGYGEQMLSSSLHKRVHPYPVSEPGSQRWDEKREEGWKDRMDDWKLQQGNLGPEPDDGYDPDMAMIDAARQPLSRKVPIASSKINPYRMVIVARLVILAFFLRYRILNPVHDALGLWLTSVICEIWFAFSWILDQFPKWFPIDRETYLDRLSLRYEREGEPNLLAPVDIFVSTVDPMKEPPLVTANTILSILAMDYPVDKISCYVSDDGASMLTFEAMSETAEFARKWVPFCKKFSIEPRAPEMYFCEKIDYLKDKVQPTFVKERRAMKREYEEFKVRINAQVAKAMKIPTEGWIMQDGTPWPGNNTKDHPGMIQVFLGHSGGLDAEGNELPRLVYVSREKRPGFQHHKKAGAMNALIRVSAVLTNAPFMLNLDCDHYINNSKAAREAMCFLMDPQVGKKVCYVQFPQRFDGIDRHDRYANRNTVFFDINMRGLDGIQGPVYVGTGCVFRRQALYGYEPPKGPKRPKMVSCDCCPCFGRRKKLKNSKSGVDGDVAVLADDKELLMSQMNFEKKFGQSSIFVTSTLMEEGGVPPSSSPAALLKEAIHVISCGYEDKTEWGTELGWIYGSITEDILTGFKMHCRGWRSIYCMPKRPAFKGTAPINLSDRLNQVLRWALGSIEIFFSNHCPVWYGYKGGKLKWLERFAYVNTTVYPFTSIPLLAYCTLPAICLLTDKFIMPPISTFASLFFIALFLSIFATGILELKWSGVSIEEWWRNEQFWVIGGISAHLFAVIQGLLKVLAGIDTSFTVTSKATDDEDFGELYAFKWTTLLIPPTTILIINLVGVVAGISDAINNGYQSWGPLFGKLFFAFWVIVHLYPFLKGLMGRQNRTPTIVVIWSVLLASIFSLLWVRIDPFVMKTKGPDTKKCGLNC >KGN62168 pep chromosome:ASM407v2:2:14653845:14654756:1 gene:Csa_2G307330 transcript:KGN62168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPEPEPVHPPPPPPDSLFFSSGTYVVQIPKDQIYRIPPPENALIVERHRNPSVVTSSRRRSCCFRIFLPIFVLLLLIIILALLLPPLLTLPKPPVIELKKFKLTPSTRNFLINLDILNPNSVGSISFKSPSRVSLSFRKNQLATTKFPLIRQQHGSEKKVALSLRAKSAFPKELKRRMKNNKTKLHTSLSLKMNLAAQTIGRLSNRRNVKFVVTCSFTVNTLGKNSRILSQDCESERQ >KGN60982 pep chromosome:ASM407v2:2:3221540:3226062:1 gene:Csa_2G033370 transcript:KGN60982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEKKRSKGGFLNLFDWNGKSRKRLFSSSNELSGLKQGKENVDNLSKSQLFQLEASEDGASSSYKLNGDWDFSLTKTSEEKCGGRVPSVVARLMGLDSLPSSVPEPCSTPFLESHSVRTSSHHDHSNGVWNSHSMEYIDMPNKLERFSGNLLDFRAQKVPKSPIERFQTEVLPPKSAKSIPITHHKLLSPIKSPGFTPTMNTGYLMEAATKIIEASPRKTVKSKMTPITNSSMPLRIRDLKEKLETARKSSGIEKSTENYIGKYRKGKAASERNYSGSEHLLVSRTESTGGDRSNTNTSKDKGKPVSLSVQTRGNLQNKGESTSCSERSSMDRNEHTEVKSSQLFKSQPGIQKTMQKRTMKRNNNILSQNNQKQNSVPNKEKLPSKPPVLNQPVKRTQSSNSHLRSRRTVNKVAMSSEVESKITRTRETDAKKDFASSKKNAASRKKRSVSQDVCSEGTSGSNALIHDSERSVKYNIAVDGSTNCDENRKLGMDIVSFTFTSPLKKSTSEPHSDEDVKINHSLVFDSCSENDYLQNLSSFSPNLNVLNGDALSVLLERKLQELTCRVESSQSYMAREGIFACSESNSQDVFSTSEYSKEENGVNCRYSDSAHDCEHSSNDSNKLIADKWQQGVKEMKEPEDSNNTETITMSGSSVEYEFSPDDGNSIHVQHGDKIKLDPTNLYPRMLGETPIFDSASSIDEGDKYGTLSPTMTSPINYNIYRSDDWELQYVRDVLTKAELAFENFTLGVTPMVIATGLYNNLETDENIKNSDEPEHFKLERKVLFDCVNECLELQLKQVVVGSSKTWVPWTKLFENDCLGDELWKEIESWKCMEEWMVDELVDKDMSTQHGKWLNFEQEASEEGILIERGILTSLVDELVSDLLITGGNA >KGN60581 pep chromosome:ASM407v2:2:484642:485959:-1 gene:Csa_2G000880 transcript:KGN60581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLQLYLLRSLYTQSYKPTPTLSLPFLSFRLCTMSTSGDIVSPSTTRIGWIGTGIMGCLMCPHIIKAGYKLTVFNRTISKAQPLLDLGANLALSPLDVAAQSDVVFSVVGYPSDVRSALLDPNSGALAGLRPGGVLIDMTTSEASLASEVAAAATAKGCWAIDAPVSGGNRGAKNATLAIFAGGDEGVVRRLSPLFSLLGKVNYMGESGKGQFTKLANQIIIASSLVGLVEGMIYAKKAGLDVGLFLNAISTGGAGSKSLDLYGSKILERDFQPGGFVEHFVKDIGICLKDCQNMGIALPGLTLAHQLYVSLKAYGDGNLGVHALILSLERLNNVSLDDGDSGGSGC >KGN61002 pep chromosome:ASM407v2:2:3331628:3333787:-1 gene:Csa_2G034550 transcript:KGN61002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISLITLCRASNFSDFTAGIYNAGYWIQRTMGRQGRRFRWKMRVPGCSSLPLFSMFDSPSHRSFHYSHCQIPFILPYASSFSVPQEKLLIVSTLRTIDFRNPPFPSLDLLARGFCDLSNPDSDSEIECEKSEEEDNRECDSTEVNRVCKVIDELFALDRNMEAVLDECGVKLSHDLVLEVLARFKQARKPAFRFFCWAAQKPGFAHDSKTYNTMMTILGKTRQFETMVSLLEEMAEKELLTMETFTVCFKAFAAAKERKKAVGVLELMKKYKYKVGVETINCLLDSLGRAKLGKEALTIFEKLHGRFTPNLQTYTVLLNGWCRVRNLMEAGKIWNQMIDEDFKPDIVAHNTMLEGLLRCKKRSDAIKLFEVMKAKGPSPDVKSYTILVRDFCKQAKMKEAVQYFEEMQGAGCRPDAAIYTCLITGFGNQKRMDTVYGLLKEMKANGCPPDGKTYNALIKLMTNKRMPDDAVRIYKKMIENGIKPTTHTYSMMMKSYFQTRNYEMGVAAWDEMKLKGCCPDDNSYTVFIGGLISLGRCAEAGKYLEEMIEKGMKAPQLDYNKFAADFSRAGRPDILEELAQKMKFSGKFEASNVIARWAEMMRKRVKRRNPTNFINDDHST >KGN61583 pep chromosome:ASM407v2:2:9854595:9856403:-1 gene:Csa_2G173020 transcript:KGN61583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGWKRVALLSLLCFQVIVYNVVAKEDGKEEKFLFFGKSGGLGSGFGGGSGFGGGGGGGGGGGGGGGGGGGFGGGVGFGGGHGIGGGFGKGGGVGGGVGGGAGGGSGVGGGIGKGGGLGGGSGGGFGKGGGLGGGAGGGFGKGGGLGGGAGGGFGKGGGLGGGIGKGGGLGGGGGAGGGFGKGGGVGGGFGKGGGFGGGVGGGAGGGGGGGGGGGGGGGGFGNGGGFGGGFGKGFGGGIGGGSGSGFGGGVGGGGGFGGGGGFGGGGIGHH >KGN62425 pep chromosome:ASM407v2:2:16397983:16400691:-1 gene:Csa_2G354020 transcript:KGN62425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLSQTPAGVLPARRLSLSTIPSLKFSNPNPFRRSKFIPRRNPTKPFNITLSKAEGTVDSTKQALSNSPTPPPFPNDQTVFVGDENVPLEGVIQFDKPNTSSRLTKWGRVAFLAGGDVLALLLFSSIGRFSHGLPVFDFETLRTADPFIAGWFLSAYFLGGYGDDGRGINGYSKAVVAATKSWALGIPLGLLVRAATSGHLPPYNFIGVTMGSTAVLLIGWRAILYKVFPVSNSKKNDVYRRGNPFELFELLTSLVRRW >KGN62993 pep chromosome:ASM407v2:2:19868244:19869376:1 gene:Csa_2G382660 transcript:KGN62993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKVECLQTELEKLRKENEALKLMLKVVSIKNLVSQVDVCSRDHRHDQNDEGSRSERANLKVSPPALALALETSSTTQAYARTTFKDQALMVKDGYKWRKYGQKITKDNQSPRAYFKCSSPGCPVKKKVQRSLENKSMVIVTYDGHHNHNHNHENASPPPLSSSQRGSSSSPPLPVETNRVALPMSLNLDLTLSRHADDHKL >KGN62622 pep chromosome:ASM407v2:2:17408175:17410386:-1 gene:Csa_2G361860 transcript:KGN62622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSTNLHQQLLHLENQLLVGSGSGHAWTPDLSLSPNCEIEPIFHFQDLNPAIDIAQSFTSLQHYNSHGISKERRYEDQEGKREEKKDSSSSNSLKKINDNESLKSHDYYYYYHSSNFEEEDDQMKKIGQSCCCSKIHPTVNVSSRNSYKPLMTTEFSSSLGLFDHSFSFASSHHLLDQSTTSSSLLTKMGNHEITNAKRAYSLKQQKQSPNKKTRVEPRPTCPPLKIRKEKLGDRIAALQQLVAPFGKTDTASVLMEAISYINFLQNQVEMLSHSYMKSCRSKNYKTTRRDELNDDKKQESDLKAKGLCLVPLACISYLPEDSTNGGRIGSLWPPLAAANFNRRSF >KGN61304 pep chromosome:ASM407v2:2:6424001:6424861:-1 gene:Csa_2G078080 transcript:KGN61304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPIAWIFLPFALVLIQSLVSAASSSSSSGSQSSDEAYVTLLYGDEFLLGVRVLGKSIRDTGSNKDMVALISDGVSEYAKKLLESSGVHVVPAQSLPDRCGHVK >KGN61631 pep chromosome:ASM407v2:2:10343313:10348303:-1 gene:Csa_2G193350 transcript:KGN61631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPLPNNPLAPSVLPKLSATKDDQSLRHYSDEIVTSHIYTKHREDNRIKIDVDNYIALVESIITTADRITETVAQGTEGRLIFSDEFLNVNAVDPPLCTLHHVSSQLSCKAPGIETAHETTLEILDILVSYPWEAKAVLTLTAFATEYGDIWHLNHYSLLDPLAKSLAMIKRVPLLKKQLDSIKYRQLLLTPNSLIYSCLKAMKYISILKNFSKYDIKELSELSSVLRQIPLVAYWIIHIIVASRIEISSYLNETEGQSQKYMNELSEKINSILYTLENHLKIIKEQQDEIDLYRWLVDHIDNFPTEITAVVPKLIEGKFDAKPFIDGSTKLQVSVEDGLRDKNVILVISGLDISEDDIRALHSIYNEVKREDKYKIVWIPVITVETEDEEEEARKKYEYASSLMKWYIVPYTRKIAGWRYLEENWQLRQDPLIVVMNSKSRVEFNNAIHLIRVWGIDAIPFTNGRTNALLAKNWPESTLFKFIDQPRLMNWVNQERNIIFYGGKEPKWIQQFEDRIVEIKNDPYLKEKGNTFEIIRVGQNIKGDSNDFTLTPQFWLTQWGYFVIKSQLKGSSATETTEDILRLISYENENGWAIVAVGSTPLLVGRGNLIMGVLQDFNKWKRNMNIKAFPDAFRDYFNELNLNFHICERMTLPGFSGWIPMIVNCPECPRFMETGISFKCNHGRYELS >KGN61679 pep chromosome:ASM407v2:2:10850371:10851539:-1 gene:Csa_2G223700 transcript:KGN61679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNHPTKSKEKAVYQRIQSFARRWALPKGSFVYIAMKTKLKLIYSTCFLSTVFSDWPNTISVAKDK >KGN62377 pep chromosome:ASM407v2:2:16119786:16123845:-1 gene:Csa_2G351580 transcript:KGN62377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTKKKSHGRNTGRELVSPKVLRSQRKYSETLQVVEKNISELITSSARKRTVGCFPSKKNEEDVLTDLNIKYDFLRNETSGACLDHDATDGSTLGFKAYNDGIENCASETIFSPSFHVSAHDGGEAHNGVEFIKFFQGEDQGFHSDTKLNNSEYIVHGDQDKNYNLGDAGLSSEVSAIYLAMKNSKLECVDEHAHDTMAAEVHVQDDEYEEIDDFDPYFFIKNLPALSAVVPTYRPMLLPKQTRSCPPTTLVLDLDETLVHSTLEPCVDADFTFPVNFNLQEHTVYVRCRPYLRDFMEAVARHFEIIIFTASQSIYAEQLLNVLDPKRKIFRHRVFRESCVFVDGNYLKDLSVLGRDLARVIIVDNSPQAFGFQVDNGIPIESWFDDRSDKELLLLLPFLETLVGVEDVRPLIAKKFNLRQKIAAAVYPSLNSNRDPFEMQASNMY >KGN62869 pep chromosome:ASM407v2:2:19112161:19112553:1 gene:Csa_2G378515 transcript:KGN62869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYQTHSYSAFDSGTYSSKRSTVLTDRLQVSSLSEISIHPSQFSSSAEVAFLSRQIHTPFTESLNYRHETWPTIEEKEKRNTKISPPHINQCRLIFQGRHHLNQFPKFNPNRSRKSQDGFHQNCSNHISN >KGN61124 pep chromosome:ASM407v2:2:4290564:4295218:-1 gene:Csa_2G057590 transcript:KGN61124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSSPIKVLVGIPSDDIEESKMLLSWAINVLAHQHDCIVATHVLVSDDTKRRASTAMTMKIQSQLRRAKAYVISILGEFANISQSKQVNLEARVGFNSSIGRGLIEEAKSISADLLVLRGVRNKSNRTPSSIARYCFENAPEKCTLIFLGKQEQLKQYFDSHSDSLPSKDVKMGTGEDKCEGEVLRAQKAESNRVPPLMAADDEVEASGDSVSNTTTEDDSSTSGDCSTIGSPPPLVSPFKSQSGDSQRHRQRMSPCKLISSFIGSPFRKRNCSFSEKQSHDQPLLRCFSYEEILNATNNFHPEEMVGQGGYSEVYKGELSDGKMIAVKRLTKDNKDGNKEKEFLMELGIIGHVFHPNTASLLGCCIENGLYLIFNFSQNGNLSSALHGKSSKILEWSVRYKIALGIAKGLHYLHECCKHRIIHRDIKASNVLLGPDYEPQITDFGLAKWLPNKWTHHAVVPIEGTFGYLAPEYFMHGFVDEKTDVFAFGVLLLEIVTGRKPVDSSKQSLLLWAKPLMESGEIGKLADPRLKCYDAKELQRVVLAASCCVRQSSVERPTMSEVLDVLNKGYDSEIAKSLRTPKLRSNDDNELDDYSMIFGYEVPIDILLEDYL >KGN60646 pep chromosome:ASM407v2:2:970008:973625:1 gene:Csa_2G005920 transcript:KGN60646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPPELSLDCKPHSYSMLLKSFVSDHHQVAITNNNNHTHQDHQQQHHSSSQNQQLEDLLSCLEAERLKIDAFKRELPLCMQLLTNALETSRQQLQGCRSVNSNNNNDENDHQNSQKPILEEFIPLKQTTSESSDQTLSNNISDKANWMTSAQLWSQTNSNSTNIIDETKPINLSSSKQQQQQPIVDHHHHHHHHHQIGLIPKLQRNNNSNQNNGGAFLPFSKDRILHNSTSSNSSHLPDLALAATTAADFGDNDGNKCGNSDHHLPEKGNLVTGKVNLGGEGQIITNSNTTNNNNSTVVVNNNNNTTNSTNQTHRKARRCWSPDLHRRFVNALQMLGGSQVATPKQIRELMKVDGLTNDEVKSHLQKYRLHTRRPSPSPQAAGAPAPQLVVGIWVPPEYATAAAHGGAAALYGPHPTTYCAPPVHQDFYPPPPLPHHQLHHQHALHQQLHMYKAAATAQAHSSPESDGRGNGDRSESIEDGGKSESGSWKVESGGENNGGTGERKGNLAALRQETGDESNGSEITLKF >KGN61609 pep chromosome:ASM407v2:2:10113647:10114542:-1 gene:Csa_2G177210 transcript:KGN61609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSFFHSQNSDLSPESSFSSFESLRWEGFQINDHSLPFNQNDSDEMLLHSLISEAYVDPQENSPRQDPIKDEEVDSLGEEDPHKRKSYRGVRRRPWGKYAAEIRDSTRNGVRVWLGTFDSAEAAALAYDQAAFSMRGSAAVLNFPVERVQESLKEMEMINSAGEGGDGGSPVVALKRKHSMRKKFTRRKSKEIDRIGKTENNVVVLEDLGSDYLEELLESSENLRPW >KGN62944 pep chromosome:ASM407v2:2:19574764:19576159:1 gene:Csa_2G381700 transcript:KGN62944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEINVYDESNNNTTKSRDEEIKVIYDRMVGSVNQSMFREISRSASSFSKERSIYMVPKLLRKGNPKAYSPQVISIGPLHYYRTQNDLIKEKKGSYVLNFLTVAKLDWNEMIKKFLSWEERARNYYVETIEMKRDEFIQLLIYDSCFVVMYVIGSMVAEFRDLDTSFLWRFSNGIFKDLLLLENQLPFFLLNHLYNLCASAQPSLKDISFIELLRGYFSKVREGMSYVKEGYFDIDASAVNHLVDFLRIHLTQPRHIPHFFGLSVDDFLSSWPLTATELHECGISFHGQKKCMMNVSFKERRGVLKMPKIIIDDSFEILFRNMIAYEYCHLKSKDASNFGMFMHFLINTNEDVSLLVDDGIIQNQLGSTKEIVVLFSDLCKNIMIERNFYSIACWRMKEYCKHRRHRWMTSLKRDYFGTPWAFISFVAAVLLLLLTLLQTVVAFIALYK >KGN60826 pep chromosome:ASM407v2:2:2122654:2123791:-1 gene:Csa_2G011590 transcript:KGN60826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEHQVAGFQAFLSDGVSFSSVFSLICSLKLPTRKMRDFPSCFGENGVQVADFSSSNNGKTAQNLVTCVYQCRLRGRSCLISVTWSKNLMGQGLSVGIDDSSNQCLCKVDIKPWLFSKRRGSKSLEAYACKIDIYWDLSSAKFGSGPEPLEGYYVGIVVDKQMVLLLGDLRREAFKKSNSSPVPSSAVFISKKEHIFGKKVFCSKAQFCDNGQIHDIIIECDTNGVTEPCLILRVDSKTVLQVKRLQWKFRGNHTILVEGLAVEVFWDVHSWLFSTSPGNAVFMFKTCLSAEKLWGSQPVCDPNVLSWSFSQRFSDSKSQGLGFSLMLYAWKNE >KGN62714 pep chromosome:ASM407v2:2:18004914:18006119:-1 gene:Csa_2G369160 transcript:KGN62714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEALIESALSKLMGKVDTSIGKLFLLSNIKDESCRRLLHLSQSLSPPTLSALALAATVKSLEKDNQQLKRLLHTTKGEVKLLSNQIGYLIEEQTTPYHNINGGGIPKPPAGSSSVKVREKKRPLLED >KGN62664 pep chromosome:ASM407v2:2:17682736:17687112:1 gene:Csa_2G366200 transcript:KGN62664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPRSCFQVITCGGDLKDGDEIDVLESKESKDKRCWSFRKRSSQHRVLNNTVSAEAPSVAKENLETATFDFQSSAAKENLETATFDFQSSAAKENLETAPFDFQSSANSTVPEKPTVKHLTDEETHAPIVENPKGSDKVDEVDVASEKESNVDRELEESTVIAIQTGVRGLLAQKELIKLKNVVKVQAAVRGFLVRRHAVGTLRCAQAIVKMQAIVRARRAHLSPERLAPDEQHNKNEKENLDSKNVVKGELDSSKSNLRYISIEKLLSNSFARQLLESTPRNKPINIKCVPSKNDSAWKWLERWMAVSSLDVLEAKNEELVPDQMEKEAEEPKKEELEESDAEQLKRGIEESHFEDPVDLNPLSETEDLNSGTLKLVSPCESEDLNTYSANNLQSQTSCSPSSLENDNLEQPRPETAKISETEETATKVSSVQHEKIQTDDVDVQTESNSSSDKPQMESEQVNPLKRLAPEQLENEGKKFGSRKMNNPSFINAQAKFEQLSSAPDLIGSISSMNQDDRIEPHSETVSSALEDTVPRTKETSAVENIVTPACRIIQVSGSECGTELSISSTLDSPDISEAGMADPLPNDVSEKVVQDPSSDLSVEVEMKASTTPVQNDIQLLLDQSAEEASESNGYSITSVPVVDSSPSESKLGRSSSDQQREQQEAGSHHDNQTYKSSPEASPRSHLTVPESQGTPSSQVSTKAKRDKTDKAVSFQKQKPISAVKKSPSSLNRNSASRSSTDNSYKDQKTGKRRNSFEARQENLEKELKESSSSSSLPHFMQATESARAKAQSTNSPRSSPDVQDGEIYLKKRHSLPADGRQVSPRVLQPTSRTQQGAKGNDKMWRR >KGN61170 pep chromosome:ASM407v2:2:4804973:4805233:-1 gene:Csa_2G060510 transcript:KGN61170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQVMSNLANSHVLKHSQDTQLYKLTLQLYHCHDRFSCSNTCRRGRTYTITRTDPAVAIEVNPPTMTFQFGSSRTLLQLFLQQLDQ >KGN62475 pep chromosome:ASM407v2:2:16655878:16656184:-1 gene:Csa_2G355010 transcript:KGN62475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKARFFGVFAVMTLLAMVFVHVDCRHLRSRVETLPTVGTGRVEKVTKGDTNGLVSFSGHRKGSWAFKHASGPSMRGPGH >KGN63053 pep chromosome:ASM407v2:2:20311190:20313242:-1 gene:Csa_2G395190 transcript:KGN63053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLAGKIVFTAPSRPLVLQQIEACHNIVGIPQEWTIDMTGMINPAKRASFWRSKRVFFVTPQVLEKDIQSGTCLMKYLVCLVIDEAHRALGNYSYCVAVRELTKIPVPLRILALTATPGSKHQGIQQIIDNLHISTLQYRDESDHDVSPYVHDRKIELIQVAMGEDAVEIDNKLLEVMRPFVAKLRSIGILQNRDYRTVWYKSFDAH >KGN61601 pep chromosome:ASM407v2:2:9997937:9998587:-1 gene:Csa_2G174670 transcript:KGN61601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPCCEKMGLKKGPWSPEEDRILTNFIQNHGHSNWRALPKQAGLLRCGKSCRLRWTNYLRPDIKRGNFTKEEEDAIINLHELLGNRFFSLHRMTFKSSYYKFSYL >KGN61397 pep chromosome:ASM407v2:2:7760430:7762960:-1 gene:Csa_2G110230 transcript:KGN61397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEWSCCKKRSHDFSLFLEIPGCKTGKHTTEKPVLTKPTTGVKKPSPPSSMTNTLGKELCARCQQGFFCSDHGTQIKGNNKSINETSTIPGASNTDTQAPQKKKKIGMNEPQICKNKGCGKTFTEMENHDTACSYHPGPPVFHDRMRGWQCCNIHVKEFDEFMDIAPCSRGWHNAGPA >KGN62080 pep chromosome:ASM407v2:2:14029503:14029927:-1 gene:Csa_2G296050 transcript:KGN62080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNHIKTHKSNQWTADLGYPFELVETPEETWDSSVKIFKWSTESITWPSNNGSNTPDVKIFDAAENFD >KGN63232 pep chromosome:ASM407v2:2:21514584:21519321:-1 gene:Csa_2G416220 transcript:KGN63232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFPAVDIFVTTADPVLEPPIITVNTVLSLMALDYPANKLGCYISDDGCSALTLFALNEALKFAKIWIPFCKRYDVQVRAPFMYFSTPPHLHSSTQFLNDWETLKVEYEKLEGKIKEAEENRNGWNEEIGIDLAAFSNINTKHHPTIIKTLWENKEVSDELPHLIYISREKSLKHHHHYKAGAMNVLTRVSGVLTNAPYILNVDCDMFVNNPQVVLHAMCVFLNSKYDLEDIGYVQTPQCFYDGLKDDPFGNQLVVVFEYFGRGIMGLQGPFYGGTGCFHRRKVLYAQFPHHTAYFLNGKASEQELIKTFGYSKTFTKSATYAFKDDQNTSGYPPKGLFNTNNLDAANHVAGCGYEISTTWGSKIGWIYGSTSEDVLTGLVIQTRGWRSIFLALNPPAFLGCAPSQLVASLNQQKRWATGFLQVLFNKHCPIFGTLFGKLQWRQCAAYLWMLTWGLRSIPELSYALLPAYCLITNSSFFPTVSTIHITTFF >KGN62169 pep chromosome:ASM407v2:2:14662519:14665030:-1 gene:Csa_2G307340 transcript:KGN62169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNQKASLPKFKSRIGVAFTVARIGGFMANISKKKLFKRTENGGNGGGGLGRGRAIASSVDLMRASSPTRLNSHISVSQSPWIFQLPSALNMFDQITTASKGKQIVMFLDYDGTLSPIVEDPDRAFMTNEMRAAVKNIATCFPTAIVTGRRRDKVYEFVGLGELFYAGSHGMDIQGPTKGYNNKYKKGNEAVLFQPASELLPMIDEVHKTLSEITKSINGAKVENNKFCISVHFRCVDEKRWGALAEQVKSVVNQYPKLIMSLGRKVLEIRPAIKWDKGKALEFLLESLGFANSSDVLPVYIGDDRTDEDAFKVLQSKGLGFGILVSKFPKETCASYSLQEPSEVEEFLCRLVNWKRSMGTLLSKSTLSD >KGN60729 pep chromosome:ASM407v2:2:1479403:1484381:-1 gene:Csa_2G008690 transcript:KGN60729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLKISGPWTGVLDVELDNWTVVMLREEIARRSNCGVESINLISGGRILKDGDGSEKLVHLGLKNNSRILARRVAAEEGKALKNELMAEEERSSRLARVKAAATALAERHANGFLPVEDFNMELEDQSGQKVNFGSETDQKAIMMGLMLHANAKQLIRKGNYKDALEVLVMGEEAFTLCNPKLIEFVDNVSILQIDMVWCYFMLKDIKWLSDAGLRLAKAREGIERAHGKDSSRVRILQAGCHPELALHLRLELLEGVAAYHSCRFDKSREAINSARAKYFQLQVSDKALSHVMDMGFKEKEAKRALRMCYQDVCKAVDFLFEERTRRERKREEDVRRQEEIMEQKLYGMTPSKKAVNLQILEKLASIGYEKELAAEALRRNENDIQKALDDLISPESNSVIQNAVESRKRKRQRKRADDAVDKLVCMGFDKTRAEAAFEAGGSLEQAVIILSDPGTNSTVDGQPDSVNAALTSEGASSSLSNIAENQEMLDTLDDEGGPSIGEEDRDLEMEDELARELNGATAVSDYDMDVTKEGEAITEYLGLLDSMMNSENA >KGN62951 pep chromosome:ASM407v2:2:19610615:19610794:-1 gene:Csa_2G381770 transcript:KGN62951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVFYKQPILILRPYSVHLDLTHSEPALPTILHKDNSTLGMKCISDAIIVCTYNSLSLK >KGN63160 pep chromosome:ASM407v2:2:20967209:20967666:-1 gene:Csa_2G406095 transcript:KGN63160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDWAPVVIGVVLFVLLSPGLLFQFPGNNRQFEFGSMKTNGKAVAIHTLIFFVLYAVFILALHIHIYTG >KGN62877 pep chromosome:ASM407v2:2:19162430:19162830:-1 gene:Csa_2G379080 transcript:KGN62877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVWVFKNGVIRLIENPQADSDGRLGNSRRSKVLIHLPTGQPVTSYSSLENILTSLGWERYYGGDPELFQFHKRSSIDLISLPRDFAKFNSIHMYDIVIKNPNLFHVRDM >KGN60629 pep chromosome:ASM407v2:2:833692:838117:1 gene:Csa_2G005020 transcript:KGN60629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIEMNETALKGNLPKSNLSISLSHFILYVIQLSKFLTKTPDSLPASFLPSGEIFFRNFPEKERLTFNLFSTNILNFFNLLSLISVTGSVAGKRSKL >KGN63064 pep chromosome:ASM407v2:2:20391190:20391814:1 gene:Csa_2G401240 transcript:KGN63064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSNSPGETKKFKGVRQRKWGKWVSEIRIPGSQDRLWLGSYSSPEAAAVAHDVAYYCLRRPSNLDHLNFPPMVLPLTNHLLIRDDMSPGSIQRAASDAAMAVDAQYICNSLGDRGSSGRAGAFQASGDEQYSGLNNDQDLSIQDYL >KGN60584 pep chromosome:ASM407v2:2:491695:495649:1 gene:Csa_2G000910 transcript:KGN60584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRNWLKGGCFIVDSTRRGKRFPDSMSKTIPIWTCVLNRSISKHLNKIHGSSLELGEPSISDVLAENGKECAVGWDCSLHLPLWVSETEKAAIEERLEEWTKQLEDCGADVATLASILRKPLRPLWISQRSVIWLNEVPDHDFWDFTPIILVNASSSTGVVQQRTTTEFSWNYISGAGDDEESWARGLTPNLFWKHAHDLMSSGPDVCNQKVAEIVEKDRVYRALRGNDAPQISVKSQKGSSTQVPPIEQMLSFDISDAELDVKSSDGNCGLSWLGLTRLAVGSSQYAVTACDIDCILNCDQETLSVCLPVASAYLHLPLVMSKLDRFSLSRNLASAVDFAKLNLNQGKRLLVCCSNGEDISICVCLAILTSLFDEEGTFDCGKSFNNTLITKLEMKRRLVYICKFATNARPSRGNLRQVFNFLSGGRTD >KGN61640 pep chromosome:ASM407v2:2:10452068:10456048:-1 gene:Csa_2G199900 transcript:KGN61640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPHKAPTAPAPALLHSKQSTTTTKEELSTRHYSDEVVTSHIYAKHRDDDTAKIDLHNYISVIESIITTADRITDTVHRGSEGRLVYSNDSLASAAVIEPPLCTLHRISSELSCKPPGIEKAHETTIEIFEILANYPWEAKAALTLLAFAADYGDLWHLYHYSQADPLAKSLAIIKKVATLKKHLDSLRYRQVLLNPKSLIQSCLQAIKYMNEIKEFAKYDVKELPELPAALRLIPLVTYWVIHTIVASKIELSTYLSETENQPQRYLNELSEKIGFVLAELEKHLDAIRLQFEEVDLYRWLVDHIEHYHTDITLVVPKLLSGKPETKPLIDGTTHREVSVHESLSGKYVILIISGLDITEDDIKAFHKIYEELKRDNRYEIVWIPIIPEPYQEEDRKRYEYLRSTMKWYSVEFTTKISGMRYIEEKWQLREDPLVVVLNPQSKVEFMNAIHLIRVWENEAFPFTLDRTQALLRRNWPESTLIKFTHQPRLQNWIARDKNILFYGGKDPLWIQQFEERAEILRSDPLIMDGGSFEIVRIGKDAIGQDDPALMARFWTTQWGYFVVKSQIKGSSASETTEDILRLISYQNEDGWVVLTVGTAPVLVGRGILILKLLEDFPKWKQNLRIKAFPDVFREYFNELAFSSHQCDRVILPGFSGWIPMIVNCPECPRFMETGISLKCCHGGAHM >KGN62708 pep chromosome:ASM407v2:2:17977451:17979928:1 gene:Csa_2G369090 transcript:KGN62708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNGFKISSETRGKSIRCRAAVCRQPGEPLVIEEIEVDPPKIGEVRIKILCTSLCHSDVTVWNMRQGLFSFPIIFGHEAVGIVESVGEEVEEVKEGEMVLPVFQSNCKECKECKNGRTNKCSVFGGKTGMGLGMPRDGTSRFKDLNGQPLNHFLYVSSFSEYTVVDVHNLVPINPKLPIDKAPLFGCGVPTGIGAAWNVADVDEGSTVAIFGLGTVGLAVAEGSKRRKASKIIGIDLNPNKFEIAKKFGVTDFINPATCGDKAIHEVIKEETDGGADYCFECIGLASLMEEAIKSTKEGWGKAVILGVEKDGAAFKVNSFEIINGITITGALFGGLKPKSDVPMLINKYLHKELNLDELISHEVEFEDINKAFDLLLSGKSLRCLIWIDHGFQPYITS >KGN61094 pep chromosome:ASM407v2:2:4049837:4050218:1 gene:Csa_2G049340 transcript:KGN61094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFPVLRSKSSPNPSRLSFKNEMSFCISRLSDIFKTLDLEYDETTWLKERGMVERQRGGWQRLRVTKKLAEGEEAKDREVNNEYSLLNKTTAQMTKSVQEEA >KGN62642 pep chromosome:ASM407v2:2:17522201:17525855:-1 gene:Csa_2G363030 transcript:KGN62642 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mtn21-like protein MADSGSASDKRMSCSIPERFQLHAAMLALQFGYAGFHVVSRAALNMGISKLVFPVYRNVIALLLLLPFAYFIEKKDRPALTLNFVLQFFLLALVGITANQGFYLLGLDNTSPTFASCIQNSVPAITFLMAALLRIEQVRLNRKDGIAKVMGTICCVAGATVITLYKGPTIYSPSTTTVTLQGMAETTAAMTLQGPGMFPSLGDAKGKSWTLGCVYLIGHCLSWSAWLVLQAPVLKKYPARLSVTSFTCFFGLIQFLIIAVAFERDAQAWLFHSGAEAFSIVYAGVVASGIAFAVQIWCIDRGGPVFVAVYQPVQTFVVALMASFALGEEFYLGGIIGAVLIITGLYLVLWGKSEEKKILLERSMIQTAPDHGSSRISGQIKPSITQPLLHPTAENV >KGN62895 pep chromosome:ASM407v2:2:19269332:19271984:1 gene:Csa_2G379260 transcript:KGN62895 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2a, regulatory subunit MLKQILSKLPRKSSDSSDSPRTPRSSSNRASPRNSTTSSGHGTPRSSGGASASGRSNATKKTSSAVFPASLVAGIEPLVPFKDVPSSEKMNLFVSKLSLCCVTFDFTDPSKNSIEKGVKRQTLIELVDFVGAGTMKFNEPAIHALCKMCAVNLFRVFPPNYRANMIGGGSTGGENDDDEPTFDPAWPHLQLVYDLLLKFIHSSFVDAKVAKKYMDHSFILKLLELFDSEDPRERECLKTILHRIYGKFMVHRPFIRKSINNIFYRFVSETERHNGIAELLEIFGSIISGFALPLKEEHKIFLWRVLIPLHKPKSIGSYFQQLSYCITQFVEKEPKLASVVVKGLLKYWPITSSQKEVMFLGELEEILETVNMVEFQKVMVPLFWRIGCCINSSHFQVAERSLFLWNNDHIVNLIGHNRHVILPIILPALEKNAQSHWNQAVVNLTLNVRKIFMEMDDELFISCHAQFKEEEVKETLEAKKRKQIWEQLENAAANVQPMTGKTAVLVTPLASSIAC >KGN61486 pep chromosome:ASM407v2:2:8694948:8697267:-1 gene:Csa_2G140370 transcript:KGN61486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLIVEKTAAGREYKVKDMSQADFGRLEIELAEVEMPGLMACRTEFGPSQPFKGARITGSLHMTIQTAVLIETLTALGAEVRWCSCNIFSTQDHAAAAIARDSAAVFAWKGETLQEYWWCTERALDWGPGGGPDLIVDDGGDATLLIHEGVKAEEIYEKTGALPDPASTDNAEFQIVLTIIRDGLKSDPKRYHKMKERLVGVSEETTTGVKRLYQMQSSGTLLFPAINVNDSVTKSKFDNLYGCRHSLPDGLMRATDVMIAGKVAVVCGYGDVGKGCAAALKQAGARVIVTEIDPICALQALMEGLQVLTLEDAVSEADIFVTTTGNKDIIMVDHMRKMKNNAIVCNIGHFDNEIDMLGLENYPGVKRITIKPQTDRWVFPETNVGIIVLAEGRLMNLGCATGHPSFVMSCSFTNQVIAQLELWNERASGKYEKKVYVLPKHLDEKVAALHLGKLGAKLTKLSKDQADYINVPVEGPYKPAHYRY >KGN61028 pep chromosome:ASM407v2:2:3484919:3487928:-1 gene:Csa_2G034810 transcript:KGN61028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRRLLGFSDGEIMRSDAKPCSQLMKQTAGLCSVEGALGFWILCRLHYGPRITVRRSLRWAACGAASMSATSALLVRLFSPECEPQNIAAYDNRKL >KGN61734 pep chromosome:ASM407v2:2:11446453:11446869:1 gene:Csa_2G234590 transcript:KGN61734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFLGLCGVGCGEGGNDGDGDGGDGDGNDGGDGGIGWNGGGRGRGRGRRCGGGGDTIGDGGGGDDSIGGGGGGVRGRRDGGGVRGCRGGGGGGGNRGHRCDGGVRRRRDGGGGNRRDGGVRGYRDGGSRRNGGGRDGL >KGN62214 pep chromosome:ASM407v2:2:15097239:15102723:-1 gene:Csa_2G336120 transcript:KGN62214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIEMPQGLPFSVDTWSPSSKKKRHHFLTHAHRDHTTGIVPHFSFPIYSTFLTKSIVLQQFPQLHDSLFVCIEVGQSLVVKDPDGAFTVTVFDAHHCPGAVMFLFEGYFGNVLHTGDCRLTPECLQNLPEKYRGKSGKEPRCKLDLIFLDCTFGRFFQQFPSRHSSIHQIINCIWKHPDAPLVYLICNLLGQEDILQQVSQTFGSKIFADESKKAGYKALELINPDILTQDPSSRFHLLDGFPKLCQTARTLLADAQTNFLSEPLVIRPSTQWYVREEVSEIWNSRKQIISEAIKDQHGIWHVCYSMHSSKEELEWALQILAPKWVVSTTPGCRAMDLDYVKRKLSGSSLTSNGLIWKLFGIAEDSSSDLDASVIEVSCSSIVEAPTQRNVNPQSQTVKVYPVPQEMLNILSSSNLPPLTLFGRARLAIEDATLLSEEVSYPSTENEPVEAVGDIVAELSIHDAKCKLSGKSSVNSKDEVHFEGKHEKFANDALSADVNASLNSNGTKLPISEIKVLSTNNNLPEVFNSDVEEHVHEQESRVKEKELADNCKDVSIILETHVGKMVNNDRIAGCSNSHLLSVGSSKGFNDKFRKLYRSMNVPVPEPLPSLVELMKSRKRVKRNGYF >KGN62976 pep chromosome:ASM407v2:2:19713117:19717646:-1 gene:Csa_2G382490 transcript:KGN62976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRSHLSRDLPLHYANQSQQQQSERPLSDNTLRSILSDQLTDHSTAADGSKSENPLQSAPNWLNTALLRNQTPHSHYSGETTTTAADNVNTDFLNLHTTAPNSSGQWLSRPILHRNQSDVIDDVADAADAMIGATATLSRESDDLKNNSSGGGGDGVNNSDDVAVESDCNEGARGGEAAMNWQNAQFKAEILAHPLYEQLLSAHVACLRIATPVDQLPRIDSQLSRSQNVAAKYSSLGNGSQSIVSNGKELDQFMTHYVFLLCSFKEQLQQHVRVHAMEAVYACWEIEQSLQSLTGVSPGVGTGATMSDDDEDQIDSDAMFDGSLEGHDTMGFGPLIPTESERSLMERGYKEKIVDIREEILRKRRAGKLPGDTTSVLKAWWQSHSKWPYPTVSMLVIDSVPFP >KGN62184 pep chromosome:ASM407v2:2:14828697:14829703:-1 gene:Csa_2G317410 transcript:KGN62184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFYNLASLLVGDLAGYSLAKCNGIIAGGIKLRLGMATELAITQSRNGVKTFFHLLALEILQSPFSILHSHIFQFVYECFSYFHERNSINLFPTMEFRGGKEKEYLFQTFSGLL >KGN61352 pep chromosome:ASM407v2:2:7238793:7241493:-1 gene:Csa_2G095910 transcript:KGN61352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRSLVAGGGSMWRSLVAVRAPLFRASRSVIRCSDVHLSDSLPQLQPSVVVPGSYFFRTPRYFSQPYSSSSDSEPSIEDLNSISVDSVNFGEGGDAQLESCVGNEEVHEFDGESVGADGGNSDLLGENVVVSDGIGEEVESYGVNVEQLESVLSLIQSTVDGSFESSLDEMRLTLNEDFVLKVIETPHILGENLIRFFRWAVNSHPEFEVSTPVVDVLVRVVCANLRQRVAYSLWELIKEIGGKQTSLLNAEILNQLIAFFSKLGKGKAALEVLNSYEVLGCVPNAESYYFTVEALCRRSSYDLAWPVCEKMLDSGSMPESNRVGKIISLFCKGNKAKNAHSVYLLAKEKHVNLPQCYMNILIHSLCRDDETVKLALEMLNDFSTGERRRAIKPYMEVIRSLCRIKDTSKAKTLLQKMIAEGPPPGNAAFNAVICGYSKAGDLEEAMELIKLMESRGLKPDVYSYTAVISGYAKGGQMKEAYEVLDEAKKKHAKLSHITYHTLIRNHCKLEEYDSALKLLSEMKNFGVQPNVDEYNKLIRSLCLKAVDWRTSERLFEEMKENGLHLNGITRGLIRAVRELEEEELTTEELSIAT >KGN60821 pep chromosome:ASM407v2:2:2086823:2090243:-1 gene:Csa_2G011540 transcript:KGN60821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISSHIFVDFLSIFLLLLLPISIVIADDPPFPFDKVCEEVNCGKGNCTPGVEHLPFGFSCECDPGWKRTRDNDDDLVFLPCVIPNCTLDYGCQPAPPPVPEKPVPKNSSFFNPCYWAYCGQGDCVQNRTYIHTCECQSGYYNLLNISTFPCYSDCTIGSDCAKLGIKVANVNGTDNGSGSGNGTGHGNSILPGKFQWVALAILFAMAMELWN >KGN61653 pep chromosome:ASM407v2:2:10628694:10629017:-1 gene:Csa_2G213980 transcript:KGN61653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTFKAEFGAWLGRMCGSVREKARRRLLRSEKNARTEYRSTRNSAAGASRTKTNLSRSDLAERLADETETLRLGYGRRDGE >KGN62501 pep chromosome:ASM407v2:2:16816239:16821453:1 gene:Csa_2G357240 transcript:KGN62501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFSAALDLITKGGVKAVIIIGSLRKQDLIVNISHHEIPIIFISNNPQLLVKPIKTSSLIQMKNSNSNHFDFSSLLTHFHFQQKVSVFYELNSTTDISAHRLLVDSFQSVNIEIDYLLALPPSSNIIQAEVLIEKELKGLMNSQRNRVFIVTQLSLELVHLLLTKARKMNMVGNGYTWIISHEVFDLISSLDSSSSSFSLLNKMEGVIGLQTYVNDTKKSFKSFETKFKKFYKLEYPQEEEPTKASIFAIQAYDAAQAITRAMENLRSSDHELMEKILKSNFKGVSGIMVRFSKNNTNNNGMLISSQSLPNFKIIKVVNQTYKEVAFWTPKLGFVEKFVEVSKNYMRSLSEMRAPESEQSRNRQRKLKFVVPGQGACQEFVNVSYYPNGTIQNITGFSVDVFRAVMNNINYDTSSYWMHPFHHRSYDSMIDAVSQKKYDGAIGDITILARRFNSVDFTVAYLKTDIVMIVTEKQETLKKVWAFMGAFQRPVWIILPTMHIFICFLVWLIEYPNNLDLRSFGNMLWFSVTVIFHVHREQVRNGLARLVLGSWLFALLVATNSFSASLISLTAYSWSQPSVVNVEMLKQMPYAKVGCNAESFIYDYLTTTLEFDKSRVKTMNSIDDYAEALKNRSINAAFFISPHANIFLAKNRKGYTKAVSSFKLGGMGFAFPKGSELATKVSSSIAELTLANNISTMEKNLLDSFTCSSCERDSGPGLGPEPFLALFAICGSIAFFALIKIVPLSSSLPVELKIRSEVSKKI >KGN63208 pep chromosome:ASM407v2:2:21315840:21321067:-1 gene:Csa_2G415500 transcript:KGN63208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMCRSKEVCFIRQFSGSVSKSDYFTLRNGFIVSNIAGGSEFNFQKFLHRAFDHDFVQVIQIRFWIWIFSILLIFFSAHEFYNYYWLPFIPLVIVVTVGTKLEVIITKMCVESSKRKPVSSGAFVVKPHDRLFWFSKPSWLLYLIQFVLIQNSFQVAFFTWTWFEFGIKSCFSRRNGDIAIRIGMGIGVQLLCGYVTLPLYALVTQIY >KGN62542 pep chromosome:ASM407v2:2:17017214:17021510:1 gene:Csa_2G360590 transcript:KGN62542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSNGPDSQPQQQAAHQTEQNQQTHKQPQPQRWMAMQYPAAAMIMQHPMMPPQHYVPPPSPHYIPYRQYPPPHQLNGQQHQQPHQGSTSENKTIWVGDLQHWMDENYLHSCFASTGEISSLKVIRNKQTGISEGYGFVEFFSHTTAEKVLQNYSSILMPNTEQAFRLNWATFSTGDKRSENGSDLSIFVGDLAADVTDSVLHETFASKYSSVKAAKVVYDPNTGCSKGYGFVRFGDDNERSQAMTEMNGIYCSSRPMRIGAATPKKSSGYQQQYSSQGYASNGSFSHGHQSDGDFTNTTIFIGGLDPNVTDEDLKQLFSQHGEIVSVKIPVGKGCGFIQFANRKNAEEALQKLNGTVIGKQTVRLSWGRSPTNKQYRGDYGNHWSGAYYGGQVYGGYGYALPLPYDPPTMYAAAYGAYPMYGTHQQQVN >KGN61155 pep chromosome:ASM407v2:2:4663712:4665618:1 gene:Csa_2G060360 transcript:KGN61155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPSSQLTFLSTAFSFSSFSPPPRTHNLRLLHSSNPLISCKLPPPSHDPKGNENRVANLAIVTLMAGVLTLGSVHDASAAKTGGRVGGQAFRSAAPRPSSPRINNNSRTNIYINPRVAPPLGGYGYGYGVPYYGGGWGWSPFSFFAPSPAVAVGIGGGLDVLFFFILLGTVAAFVRRIFGRREEDDDDY >KGN62444 pep chromosome:ASM407v2:2:16513782:16515248:1 gene:Csa_2G354700 transcript:KGN62444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQCVSCLDVGQKQQRKEEERLASVEARAKAAEAAQRRQEEFEKSAAGRAARAQLAANAKQSATANKGEPVLKLNQPHYTGVWGEVVLYCQLPLLFHLC >KGN62823 pep chromosome:ASM407v2:2:18802979:18805645:1 gene:Csa_2G374650 transcript:KGN62823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDQLVVDENRGMEEPLLSDTDNRKGGFRTLPFIIANGALEKLASQGLSPSMILYLTKVYGMKSAHSSNVIFLWSAASNFTPIICAFLADSYFGRFPMIAAGSIFSFLGMFVLWLTAMIPQARPFCDEISGHCDAPSTSQLLLLYSSYAIMSVGSGCLQASYLAFGADQLYRKNKSNSGILDSYFNVCYISAALGTLVGMSCIVYIQDRMGWGMGFGVPVALMFLATITFLSASPLYLKSKPSKSWCAGLVQVVFAAYKKRHTQIPFVGTSEMYHHENESPCTLPSNKLRFLNKACIIRNSKEELTLDGKASNPWSLCTVEQVENLKALIRIIPLWSTGILVSASLSQSFYVLQVASMNRHLTSSFEVPAGSFSAIIVVSLIIWIALYDRLILPLASKCRGKPTRLCAKTRMGMGILCCGLSLAVSAIVEGDRRALAIKEGFSDNPNAVVSMSAFWTLPRYILFGIAEALNAIGQIEFFYNELPKAMSSVATSLLGLNSSIGNLAASFIMTTVDNLSKSVGVKSWVSSNINEGHSDYYYWLLSGLLFANFLYYLACSKSYGPSNEESVGRANAEDYKNTAN >KGN61351 pep chromosome:ASM407v2:2:7228885:7230864:1 gene:Csa_2G094910 transcript:KGN61351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADHSLIVSETALSLVDHTLVIGQEFPDVETCRRMLKDIAIAMHFDIRIVKSDRSRFIAKCSKEGCPWRVHVAKCPGVPTFTVRTLHGEHTCEGVRNLHHQQASVGWVARSVAAQVRDNPQYKPKEILRDIRDQHGVAVSYMQAWRGKERSMAALHGTFEEGYRLLPAYCEQISKTNPGSIASVFATGQENCFQRLFISYRASIYGFINACRPLLELDRAHLKGKYLGALLCAAVVDADDSLFPLAIAVVDVESDENWMWFMSELRKLLGVNTDSMPRLTILSERQRGIVEAVETHFPSAFHGFCLRYVSENFRDTFKNTKLVNIFWNAVYALTAAEFDSKIAEMVEISQEVITWFQHFPPQLWAVAYFEGVRYGHFTLGVTELLYNWALECHELPIVQMMEHIRNEMASWFNERREMGMRWTSILVPSAEKRIAEAIADARCYQVLRANEVEFEIVSTERTNIVEIHSRVCSCRRWQLYGLPCAHAAAALMSCGQNAHLFAEPCFTVTSYRETYSQMIYPILDKSLWKEPGEGAEGGVAKVDITIRPPKIRRPPGRPKKKVLRVENLKRPKRIVQCGRCHLLGHSQKKCTMPM >KGN62320 pep chromosome:ASM407v2:2:15814399:15816403:-1 gene:Csa_2G349060 transcript:KGN62320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDDKRIEDQLSHPILLSDRLRSAVLEAHSFKTECAEVAKQVDRLAQMLRIAVRFATATPAVYERPIRRVVAEVSKNFERALTLVRKCKHQSALRRVMAITSVTDFRKLFNLLDASVGDMKWLLTIFECNGGGIVLSLPPIASNDPIIAWVWSSIASIQMGQLPDRIEGTNELASLAADNERNKNIIVEEGGIPPLLKLLKEGPSPEAKIAAIKALYTLANDTNRVSTIVQEHGVPIIVQALANSPMLVQTQAASLVARMTMHDPLAQEDFARENVIRPLVVTLLSFETFMDEMCRQSIHSIVQINRNLEKKTLDKTMEQNPNAKTNALSNMEGGIRAGNSRKERGNERPEVKHKLKITCAEALWLLAKGSVSNSRRICETKGLLCMAKMVEKEEGELQMNCLMCITEITAAAESNADLRRAAFKTNSPAAKAVVDQMLRLINDLDDPALQIPAIRSIGSLARTFPARETRVIGPLVVKLGSRHVDVAAEAAISLGKFVCPENFLCMEHSRTVIEFNGVPLVLKLLRENEKSQMYGVILLCYLALHAGSSEIVDQARVLTVLEGADRTMITLHPELKELVGKAISHLNLYHAGMGIHSKGHLGLP >KGN63279 pep chromosome:ASM407v2:2:21972016:21975380:-1 gene:Csa_2G423590 transcript:KGN63279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNPPPNWNWLFDYSTVDDLAVVDPRFSPPQSISFSWSNPSINFLSKDSLEVDCSYEDLDSTKEVGRKRLRGETSAASTSKACREKQRRDKLNERFLELAAVLEPGKPPKSDKVAILSDAIRMMTDLQCETQKLRESKEDLKAKIKELKVEKNELRDEKQRLRAEKEKLELQIRAVNTRAADVQHPPPTLSAAFTAQGQSAGNKLMPFIGYPGIAMWQFLPPAAVDISQDHVLRPPVA >KGN61485 pep chromosome:ASM407v2:2:8684581:8692839:1 gene:Csa_2G140360 transcript:KGN61485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFPKVSAGNYVIPSLLFAGILLFCITASSVLSPFPFLSNGRQCSSTKLPNQNSTSDYDSVKPRDELELALAKASMANKTVIIAVVNKAYANQETGAVTTMLDVFLDSFWLGEGTRPLVKHILLVTVDQTAYDRCQFLHLNCFRLVTDGVDFGGEKLYMSEDFIKMMWRRTQFLLEVLKRGYNFIFTDTDVMWLRNPFTKLSPNKTEDLQISTDGFSGNPFGEENFINTGFYFVRSNNKTISLFQNWYDLKDNSTGKKEQDVLLELIHGGIIGKLGLRVRFLDTLYFSGFCQESRDPREVTTVHANCCRSIVAKVGDLRAVLYDWKKFREMSSHKGLANATAEFKWSPHSGCLNSWSN >KGN60546 pep chromosome:ASM407v2:2:305051:305597:1 gene:Csa_2G000540 transcript:KGN60546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVFASSLFCPLKFSKSQSFSSLSSAQSRSSSFFLQLAAREKHPLPFSIFSLTVTFPIPSKTEIVCDLGSYLKPEGRMVSPDLPYRQCVYR >KGN61710 pep chromosome:ASM407v2:2:11174167:11174352:-1 gene:Csa_2G229950 transcript:KGN61710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVAGDDVKSCPRGHWRPAEDEKLRQLVQQHGPQNWNFVAEKLQGRSGISLFVVSFDKFL >KGN60753 pep chromosome:ASM407v2:2:1642840:1646795:1 gene:Csa_2G009410 transcript:KGN60753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVATFFPSQTSYKNRHYLSRDIQFRSPLRLSTPRSILSTIRASSALVLESDSGIQQDQNLKIDVFSCPVCFEPLLRKGPPGFNLPAIYRSGFKCRRCNKSYTSKNIFLDLTVTSGMKEYVEVKPGGTELFRSPLVSYLYERGWRQNFNQSGFPGLDEEFKMAMEYFKSVEGGLLVDASCGSGLFSRKFAKSGSFAGVIALDFSENMLLQCYDFIKKDATLLNSNLALVRADISRLPFSSGSVDAVHAGAALHCWPSPSNAIAEITRIMRSGGVFVGTTFLRYTSSTLWYLRFLREQRGFQPYGYLTEEEIEELCKSCGLINYSSKVQRSFIMFSAQKP >KGN60783 pep chromosome:ASM407v2:2:1867185:1871727:-1 gene:Csa_2G010190 transcript:KGN60783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSSVEARSERSLFTSLLSAVSEWLLICMLFVDSIFSFFITKCAHFWKLHTPCLLCSRLDHIFGSEKRGYVWNLICSKHKVELSSLVLCHAHNKLVNVHEMCENCLFSFATFKKSNSETYRLLVGKLGEDPYPGIDRDPLLADQKYDTSSQKCCSCCKELYVPRGFAQSLIQTRSSGLEAEDLDVPLSSSAVHCEEDFQDSSSNPLPHVQYRELKITSDTESEGNGSILGVEAANSLKDDLTIQDVNMEPNFISLASNLTSTKLMEPALAPEPFVLEPLLTPYVQNRELKINPDTESDGNGSSLRVETTNFKDDLTVQGVTTEPNIIALDSNLTSAKLVEPALAPEPLVLEPLVFLDDTLPPVECGVLIGHGLDEVTPKHVEVNGVFSSPTDLLLIDNVVSSSNTIETPVEAVEESCVTRSEEYEKESRGTEKAEILPTKATSEAGSEVQPVSSDSAQMAPIMLELGDAYKLAVGARGGRQLSGKLLEQWIGKESSKVSEDLKLLLSQLSFNRMNDQSRDMSPRLSVNGDEVRNFDYSSAVGMQMLQRRISLERNESGLESLDGSIISEIDGENVADRLKRQVEYDKKVMSSLYKELEEERNASAIATNQAMAMITRLQEEKANLHMEALQCLRMMEEQSEYDDDALQKANDLITEKDKEIQDLEAELEFYRINFPNAYTIDNLVETSVKERDIGVVHLESNQFGTIGNGNLIAGKPDLHEKVGSEGSTYNNLLLEFEDEKLNIMQRLKKLENMLHLFSNDGIKMDLSNGEYIGNERSFSSGTNDLDLDDRKLEDREHHACLPGEDAHIEDDHLPSLTNPSFDKESNELDCSDRNSLLATETADFSFLRKEVSNLNKRMEALEADKNFLEHTINSLRKGEEGLQFVQEIASHLRELRKIETRS >KGN61193 pep chromosome:ASM407v2:2:5223019:5228288:1 gene:Csa_2G062680 transcript:KGN61193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGGEENDLNEPSLSNDQETPRQDVIAVSSSSSSLSSSSSENELHETRNENVQSQSNDNPSRELVIYDPSADDGHANDPVSTPVKQQPSPVLGHLTPNSSRVLPSVGAFTVQCAGCFKWRLIPTKEKYEEIREHLLEKPFYCETARGWRPDISCDDPADITQDGSRLWAIDKPNIAQPPPGWQRLLHIRGEGSTKFADVYYTAPSGKKLRSMVDIQKYLIEHPEYQTEGVSMTRFSFQIPKPLREDYVRKRRPNMNASCDASKLESGEVRPISWARPDDSTALQLGKPGLTELLSTSPVNNPVTRPAKKVKRALAQEMRRSSLTSTEPPAIKLERCFDQYEK >KGN60659 pep chromosome:ASM407v2:2:1051840:1053332:-1 gene:Csa_2G006050 transcript:KGN60659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPRQLRTIFTGAAVILGGICTLNLASFLTIQTLRVTAEAKRRKIGLPCKACRGKGFYMCKLCRGNAVIQWSPLSDPIAMNPCVCPTCEGNRVQRCLNCLGKGYEV >KGN62646 pep chromosome:ASM407v2:2:17545543:17550709:1 gene:Csa_2G363560 transcript:KGN62646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEHGSGGSSSNLDTGKADRSVWLMKCPLLVAKSWQAHPPSDSLPLAKVILSLDPLQSDESSSLQFKMEMAGTETGNVPKSFSLNMFKDFVPMCVFSEASQGKVSMEGKVEHKFDMKPHSENLEMYGKLCRERTNKSMVKNRQIQVIDNDRGVHMRPMPGMVGLISSTSKEKKKVAPVKQSDVKRTRRDRGELEDIMFKLFERQPNWALKQLVQETDQPAQFLKEILNELCVYNKRGTNQGTYELKPEYKKSVEDTGGE >KGN60725 pep chromosome:ASM407v2:2:1463733:1463939:1 gene:Csa_2G008650 transcript:KGN60725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRQPSKIEVKIEDKEELEQARKRAAAAASTTTTTTTDATSAAGSLLHNINRNKDQASKAQRLGISS >KGN61650 pep chromosome:ASM407v2:2:10620156:10620515:1 gene:Csa_2G213950 transcript:KGN61650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRESSAPPCCPEPIRQPPAASRLFLFLSVHLKPTPITHADVPSAAFVIGHSSSRSRCFFSFFSLSSGQNPVEGTCFMPPRRPSTVPRRCDPLPLNISAS >KGN62885 pep chromosome:ASM407v2:2:19205818:19219250:1 gene:Csa_2G379160 transcript:KGN62885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPTTRSKNKRHKQEDSADISSDLLRKIHSSGAITKDDINQLYMIWKPICQGCRLNTKDNPNCFCGLIPPPTGSRKVGLWQKISEIVQALGSDPSKDQRTSPDFPAGLTNLGATCYANSILQCLYMNKCFREGIFSVESDVLKQNPVLDQLVRLFALLHVSKMAYVDSFPFIKTLELDNGVQQDSHEFLTLLLSLLEHCLSHSKVSKAKTIVQDLFRGSVSHVTTCSQCGKDSEASSKMEDFYELELNVLGLKSLDESLNDYLSVEELHGDNQYFCESCKSRVNATRSIKLRTLPPVLNFQLKRCVFLPKTTTKKKITSALSFPGVLDMRERLSESSQSESIYDLSAVLIHKGTAVNSGHYIAHIKDENTGQWWEFDDEHVSKLGHHPFGEKSSNTNSKSVKTELAVPLGSKEEVNATAEGNPTNGVLQQSTESGVRCPTDVFSSNDAYMLMYNLRCTGKATNRVTSCIVNGKEVEGNMVPFQDGLFLPSHLCDEISSLNESHVIACQEYESKKEVELGCINNRRQEVRSILSEAPVHSLEEPFCWISTDWLRQWADKVSPPILDNSQIQCLHGKVPISKVTSIKRLSVKAWDKLSSKYGGGSKLTNEDICMECLIAGARNVVCADSYRDRRISMKEIALSALSGNYPNGTYVVSRTWLQQWVKRKILDAPSEADAEPTASIKCPHGQLLPEQAAGAKRVLIPEDLWLFIYEDALTVKPDDPTGVPTFPSDSRQCSLCSEELSEVAVMEDSIRGVKLKQRQNHERLAVGKFIPLSLNCKYYLVPTSWLSKWRNYINASGKSASFVEKPENLDGVINLLRCEKHSRLLERPPDLICKRATMQQKSAADVLTLISENDWKSFCEEWEGSEACGISAVVESSSCVGNDIDGSSKEKTMAEEDLCSNDEVNNGDFKQILLKTDPEICEECIGERESCELMQKLNYTGEDICVYFSRGKDAPKSILEASESTVDPDRRISKRARKTNSGNFVNLKVSGSTSVYQLKMMIWECFGVVKENQILRKGNRIIDGETDTLADKNIFPGDKLWVMDSEIHEHRDIADELSDPKMNIQHTEEGFRGTLLAANVSSEVV >KGN62470 pep chromosome:ASM407v2:2:16642570:16645369:1 gene:Csa_2G354960 transcript:KGN62470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISHPPLIHFICIFILAFEGSLFDSVRSSSSSSSSSSSSISNLESDKQSLISLKSGFNNLNLYDPLSTWDQNSSPCNWTGVSCNEDGERVVELDLSGLGLAGFLHMQIGNLSFLTSLQLQNNQLTGPIPIQIGNLFRLKVLNMSFNYIRGDLPFNISGMTQLEILDLTSNRITSQIPQEFSQLTKLKVLNLGQNHLYGTIPPSFGNLTSLVTLNLGTNSVSGFIPSELSRLQNLKNLMISINNFSGTVPSTIYNMSSLVTLILAANRLHGTLPKDFGDNLPNLLFFNFCFNRFSGTIPESMHNMTQIRIIRFAHNLFEGTIPPGLENLPHLQMYYIGHNKIVSSGPNGLSFISSLTNSSRLTFIAVDENKLEGVIPESIGNLSKVFSRLYMGGNRIYGNIPSSIGNLRSLTLLNLNKNLLTGEIPPQIGQLEQLQLLGLAKNRLFGRIPSSLGNLRKLNHVDLSENNLTGNIPISFGNFTNLLAMDLSNNKLTGGIPKEALNYPSLSMVLNLSSNMLSGNLPQEIGLLEKVEKIDISENLISGNIPSSIVGCKSLEVLTMAKNEFSGEIPSTLGEIMGLRALDLSSNKLSGPIPNNLQNRAAIQLLNLSFNNLEGVVSEGGRAYLEGNPNLCLPSLCQNNKSHNKRRIKIISLTVVFSTLALCFALGTWLHLAKRKSKLSPSSSTDELIKRHHEMVSYEEIRTGTANFSEENLLGKGSFGTVYKGYLNLNEIDGGVYAIKVLNIERSGYIKSFLRECEALRNVRHRNLVKLVTSCSSIDYEGRDFRGLVCEFLSNGSLEEWIHGKRKHLDGSGLDLVERLNIGIDVGCVLEYLHHGCQVPIAHCDLKPSNILLAEDMSAKVGDFGLAKLLMGNEADQCSSITSSYVLKGSIGYIPPDYKSGL >KGN63009 pep chromosome:ASM407v2:2:19953199:19954721:-1 gene:Csa_2G382820 transcript:KGN63009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPTTVNQNHRTLIGEPAESCSEHGESTATVDWISETIDGGSLRLVDLNTGINGWASPPGDLFCLRSKNYLTKGKKAPSGDYLLSPVGVDWLKSSTKLDNVLARPDNRVAQALRRAQALGKSMKSFIIAVNIQVPGKDQYSAVFYFATEDPIPSGSLLHRFINGDDAFRNQRLKLVNRIVKGPWIVKKAVGNYSACLLGKALTCNYHRGPNYLEIDVDMGSSKLASAILHLALGCVTNVTVDMGFLVEGQTEEELPERLFGAVRICQMEMSSATVVEAPTLARGVSCAKVNHHKPGDEDDN >KGN60592 pep chromosome:ASM407v2:2:560612:565032:-1 gene:Csa_2G00990 transcript:KGN60592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLKDLLPAVKSTTVTHYDHSNDPWFKQRFSSSEAEQTSVVKANPVPPYLKRSGFVPRRVEDFGDGGAFPEIHIAQYPLDMGRDKSSKPGSKILPVTVDAHGNVAYDAIVKQNENSKKIVYSQHKDLIPKILKNDEESDEGEDLQKEIDETTEETKSALEKIVNVRLSAAQPKNVAKQSSDSKFIKYKPSQQAAAFNSGAKERIIRMVEMPVDPLEPPKFKHKRVPKASGSPPVPVMHSPPRPVTVKDQQDWKIPPCISNWKNPKGYTIPLDKRLAADGRGLQEVQINDNFAKLSEALYVAEQKAREAVAMRSKVQKEMLMKQKEKKELELRALAQKARSERTGAAPPSAVHYSSERSTVDRDTSEISVEFERVREKEKDLPKESREEREERLQREKIREERRRERERERRLEAKDAAMGKKSKITRDRDRDISEKVALGMASTGAGREGEVMYDQRLFNQDKGMDSGFANDDQYNIYDRGLFTAQPTLSTLYRPKKDADSDMYGGADEQLDKITKTDRFKPDKSFSGTSERSGPRDRPVEFEREVEEADPFGLDQFLTEVKKGKKAMDKVGSGGTMRASGGSSTRDGYDGGSGRTRIGFERGH >KGN61621 pep chromosome:ASM407v2:2:10267436:10267978:-1 gene:Csa_2G190780 transcript:KGN61621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNNCLGITMSSSSQGVQELRIITKPKMKPQLKAKASRKHNNKREGNQEEEEEKEIIVEFPWASSRASYTCGFCKRQFQSAQALGGHMNVHRRDRAKLRRSLPLDSATNDDHHHDFHGSSSQPIKFLKLYDFSSFHGEQADDQSDGGCQIWKKVNKFVKKETALLATSNDIDLELRLGF >KGN63049 pep chromosome:ASM407v2:2:20225426:20225824:-1 gene:Csa_2G386170 transcript:KGN63049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQSWKGKESVKEFAAAEVYGRGKVVQKMKTKRVGKSVPTGIMQRSGSGSKNHGTRRGQEKRRREEENKRIGAMAPSGRGMKTPLPLQILTQRLPLIEK >KGN61590 pep chromosome:ASM407v2:2:9898954:9907394:-1 gene:Csa_2G173580 transcript:KGN61590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSTVLDSATFQLTPTRTRCDLIISANGKSEKIASGLLNPFLAHLKIAQEQMARGGYSITLEPDPRSGSTWFTKGTMERFVRFVCTPQILERVYTIESEILQIEEAIVIQGNNDTRPNVVDDKQGKPTKSPESTTEGSKINGASKSLLDGNEEKAIVLYKPDANSLEPNGHMVSEENSKAQLLKVLETRKTMLQKEQGMAFARAVAAGFDIDRMPPLISFANSFGASRLMDACLKFKELWKRKHESGQWLEIEAAEALSSRPDFSPSVNTSGIILTSLTDKQTESRETWSESPNEPSSTNKGNAITDGNAPMMYQSPPGHQEYLQGQYPHHMYPPWPINSPPGALPVFQGYPMQGMPYYQNYAGGSPYFHPHYPVTEDPRLGDGRRMGGKRHSMDGGDNSTEPETWETNASKARVPDDAESEEEASEDQRKSGYSGKKKSGVVVIRNINYIASKRHNSSGSETDSPSESGSGEDRDLQAISPEIKHKKSTRSSRSKGKHLNFGDQSNTPAKTVSPEADGHWQAFQSLLLRDADAEKHHADQSLFTMERETKQKRRQNKVGDDPLIAQGSNRDEIQENGATDIDRIGGRINRVSRASNDELLTSRRDGISGDGHLNVQARELDGGRNGYRRPGSDDFMVYGQKGQTLSNAHSDPLAVSGLDIRKTSYDKKNSNNLDGDSYIVPLRSMSMDAVGKDGRTAVDMDSEFPSSNHKAENLSNRIATYEPDVLNLMPKRETENEPAGYDPALEYEMQVNAGRMPAVAKKKEVVTDVKKGVKRLDNDRKPKITPDRKAGGPIRKGKPSKLSPLDEARARAEKLRTYKADLQKLKKEKEEEAIKRIEALKLERQKRIAARGNNSNSAQSSLPSQQTRKLLPTKMSPNSQKGSKFSDSDPGPSSPLQRFPIRTPSIGSNDSNKTTKPSRLNGGNHSAGNRLIQSVPSLTKLKKENSDATNDKKVSMARIRRLSEPKMSISNHSSSTKTRSTEPAIKAKVTNETESKKKISAIMNLDKSKAATLPELKIRTTKGPGATIGNSIAQETMQSVNHPSVSEGACASMERITAKVTHHNELDDNSVVEKTVVMLECEKPSIPTVPASKDNLNPQIKVSGVNREPIKHQPQSQLSSHEVTADGASDQDVQKFSSPSTTEKSYKAPHVRVSSFEDPCTRVSEYGKAIPSDLESAAKVSGTTKAYVPDYGDAQLEKIPEALEKSEVKKSSKGLRLLLKFGRKNQSPTTDEHNDESDNISGNDSEANDVGTNTTSHNEVPTLKNLISQDETPTASKTQKSSRAFSLLSPFRSKNSEKRNA >KGN61306 pep chromosome:ASM407v2:2:6459291:6459575:1 gene:Csa_2G079090 transcript:KGN61306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNPKTQRIRTKSGSKVLKCNLAWGEDCGSPWRSNQLGQGTSLRRRLRLDSGEGRLAREWAELNGGNTQTKVRHNKWMGMHEDRTTWQNSLDVN >KGN61623 pep chromosome:ASM407v2:2:10284824:10288083:1 gene:Csa_2G190800 transcript:KGN61623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLYKQGTETGRTSTPFLESRLHSDALVQSQAIHSIMEMDGTDVCMDKEPDLVITYSTGGVSHVSSCEDSLSHQDVMESFGEINGDHDINNSEEGSEAKEYEVKECTNEKSIKIPELHLSEKPDQQQNVVSSKNEKERLEEKVLQEGKNDEKSLLTVNSSKSSAGNVRTRHTVPQPFALATEKRASCGTRSPNAITTEKSTKNNVRPAKTKSNQPASPRVLRKPLQPTNKKHADEEDSCSVVSIAASSRAIKTRITVASAPSFRCTERAEKRKEFNSKLEEKLQALVAEKTECETRSKEETEAAIKQLRKSLLFKANPMPSFYHDGPPPKAELKKLPPTRAKSPKLGRRKSCNNVVHSSYGDKIKVSCGKGTGRRIESCNKDIIALNVIGDQSDVFRFVENKLKQGGAFSEVIPTDVNGPKNMNISVQS >KGN62132 pep chromosome:ASM407v2:2:14466098:14470314:1 gene:Csa_2G301500 transcript:KGN62132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIPRFRPSFILQNSFIGSTFAATHVASFHSTPTSCDKWRSKWKFDGGGHGKEPSKSYVRYVTRQKRADAKKALKNLLYNSGSTFPKKESKWSLGGDWPSDESDQTSNCNKKGRAKSSTQKFGKSQHKKPKGKFGRESFANNFSNDHETIFHATFGDKSYSWSFGSFKETSQDSTYGFGWTNPPNWKNQRAKEWDNLSDFESDDEETPDVGSCSDRTILGLPRTGPLKIEEVKTAFRLSALKWHPDKHPGSSKAMAEEKFKLCVSAYNSLCSALSPGKTSALF >KGN62171 pep chromosome:ASM407v2:2:14687583:14689491:-1 gene:Csa_2G307850 transcript:KGN62171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALMDLYGCREFQSDPFGGELMEAIEPFMKVASPSYFPSSFPDFSFSSSSSSSSSSIPTESTSYPSDCSPPLTHLFSDGFSGQDFHGVEQSPSIGLNYLTPFQIQQIQSQFGLHTQIQPVWGQINNQVGDSNETPYDKAAYKLRGDFARLNFPHLKHHGSSVGGDFGEYKPLHSAVDAKLEAICQTLAESQTKGKSDRRKSKSSGSSTIASGSQAPTVIDSDDDLKPADAGSSGSEVCCKAENSSSPVSAESDESGGSSPLSDLTFQDSTDSAWEQTTESCLLQKYPSEIDWASIFTN >KGN61983 pep chromosome:ASM407v2:2:13333112:13342719:-1 gene:Csa_2G279200 transcript:KGN61983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKASLPSPSADRSDLPDDTQLKPRGQDPASKEILSVIEFLKKQVAGERCNSVQKRMEENKQKLAGITTHLLKSSTERRIRRLSDSDKGVDLLTKRQKDALDMQNGIDVSDGENDRSQEDGHASSAVLLGSNVAVRNAVRPIKLPEVKRLPPYTTWIFLDRNQRMTEDQSVVGRRRIYYGQSGGEALICSDSEEEVIDDEEEKRDFVDSEDYILRMTMKEIGSSDLVLESLASCFSRSPGEIKARYEVLTQGEKAIGYFNNRINEEISHIGSTLLDKDLDAALDSFDNLFCRRCLVFDCRLHGCSQDLVFPAEKQPKWGTVGEENVPCGPLCYRSVLKSDKNGIGGSPLRSDLEEKHPMSSDGTGAQISTKKKSSCKAGRRRAKSYQSESASSNAKNISESSESENGPRQDGNTIHQSPPPNSKITAVGGVRKRNSKRVAERVLICMQKRQKKMAASESESLASVGHCPNDVKLKSNSCKENDDTSSSSRKNIRSPTPGRPRRRESLTQKCNKFEQNETLNNSLNEIITHLPADSCDDNSRKEECVDENLWKQDLADDKSWKPIEKGLYEKGIEIFGRNSCLIARNLLNGMKTCWEIFQYMNYSENKNCSQVGDGSNPHLEGYTKGSNEVRRRSRFLRRRGRVRRLKYTWKSAAYHSIRKRITERKDQPCRQYNPCGCQTACGKQCACLLNGTCCEKYCGCPKSCKNRFRGCHCAKSQCRSRQCPCFAADRECDPDVCRNCWVSCGDGTLGVPNQRGDNYECRNMKLLLKQQQRVLLGRSDISGWGAFLKNSVGKHEYLGEYTGELISHREADKRGKIYDRENSSFLFNLNDQFVLDAYRKGDKLKFANHSPDPNCYAKVIMVAGDHRVGIFAKERISAGEELFYDYRYEPDRAPAWARKPEASGSKKDDGAPSSGRAKKLA >KGN62490 pep chromosome:ASM407v2:2:16741402:16744826:1 gene:Csa_2G356640 transcript:KGN62490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLYGTSPSSDVVGLPHDPEDFSSFINHHLQTTTSSASSPSCVSFKNKFMPLLHSQPPWHSSPMFSRRPDSTSVPGMSCMLDFPEDRLQSSRVLNHALSDCNVRDVRFADASYLAVNSTCGGVKLSDPGDFLKESSDNAFSSSGAVDSDTNAPLKRRGLSSENDLGDFSCDSEGGDLPEVPSSTNLPRNSSKRSRSAEVHNMSEKRRRRRINEKMKALQNLIPNSNKTDKASMLDEAIEYLKQLQLQVQMLSMRNGLSLQPMCLPGMLQPIQLPQMGLDYDVGNAFLTSRRGIDTSSTRNEGCPMQSTFNLTNKCNLSDQSIAIPSVPNTTTSETAFGFEPTIQGYDGEFNLSSDFKDGEPAAQLDCAQTGNESTDAS >KGN63088 pep chromosome:ASM407v2:2:20549097:20549927:1 gene:Csa_2G401480 transcript:KGN63088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSHGTIHYCSVSRGERMLYVYSNGDEEIENLADLCLKSAPPYHKWYFETLGKKTFGFLMKEGSVYFAIADEVIGNQPLLQFLEQLRDEFKRVAKKGSRGSFSSMNSISLQEQLVPVISKLITSLENVSHNSKDWMAETPSSNNGLSPSPSNVNGQVDVLASTKAPLLGKSNKPEKKKGKDHVITMRGIEMEEHRKSTDRGLKIDSVSLESSNQGGSGSAIPVQKDSNPLRRSNSQSIRRRWWRHVRIALAVDAVVCLILFVIWLIVCNGVSCVR >KGN60705 pep chromosome:ASM407v2:2:1343757:1344299:-1 gene:Csa_2G007980 transcript:KGN60705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQKQLNEKEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEE >KGN60860 pep chromosome:ASM407v2:2:2444357:2444728:1 gene:Csa_2G015600 transcript:KGN60860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSILLSLSLSNRLSFSTFSFSSPNLFLASSLSNETSSKVSRRRPIWFINSSFLAFPTSLILVNEAIASFNLLDSFCKSPIIFCASVFAFSIAFSFFCNSLTRRSHSISIPLSFTKASSFLI >KGN61421 pep chromosome:ASM407v2:2:8023344:8024515:1 gene:Csa_2G120380 transcript:KGN61421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERKGDARIVIISGLIFLCIILGGFLLCLYLFLPESQTSDWYPTIGIVLVSTPWIFWLSVYIYHCLKPTKVLLNPFGSNSINSSSKKTEASKNNEDDVGEDDVGDDETPGGGKRKVHFGAVFVMEKQPTLDRNSSHSKQSTSTSPREPEMPLRLSTSSS >KGN62348 pep chromosome:ASM407v2:2:15941580:15945087:-1 gene:Csa_2G350310 transcript:KGN62348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVAMSLNALVRLPLSNSKLLEDGVVRHSLFSARTMHKPHHTYRRPLLVEAKGKKGMQSRLSQRPGPPPLPKIEDDGNPKFVIFIRMANVYLWYPLSLINGGTTAKIMVAAKDNFVGKYIYKDTLARNLAAVIYRDEKEIQKTAFKQFRVLRTATEFRYGYKLVENGNLRSALSTTDVIELPTQDQLKTVLDKVKDFFGDAKDSFGKLTALGSPEGEESDENSTEKAKVKT >KGN60723 pep chromosome:ASM407v2:2:1439789:1446402:1 gene:Csa_2G008140 transcript:KGN60723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANFSIRPHNSPFLSHPTNPNLHSVSSTRFISHHRILRLSLRVCCSIKEKENVKGNEDISPVLSGLRVDASERSGSVSKSENDLSSGHGIDEVGSNLNWPPWRNVPDRYKLIGTTALAFVICNMDKVNLSVAIIPMSHQFGWNSSVAGLVQSSFFWGYAMSQLPGGWLAKIFGGRKVLEIGVLTWSLATALVPFLAGYMPGLIFSRVLVGIGEGVSPSAATDLIARSIPLQERSRAVAFVFGGLSVGSVSGLLLSPSLIQNFGWESVFYIFGFLGIAWFAGFQFLDGQSSGPLKSISVVESESDSDSVLPKKKSTTPFKLQQLPAALKEVPWRAFLEYPPVWAMIYAHFCGSWGHYTCLSWLPTYFSEELNLNLTEAAWVSILPPLASIFVTSIASQLADNLISNGVDTTKVRKICQTVAFVSPAVCMTLSSVDLGLPPWEVVGILTSGLALSSFALSGLYCTHQDISPEYASVLLGITNTVGAIPGIVGVALTGFLLDSTHSWSISLFAPSIFFYLTGTIVWLVFASSKPKNFSKND >KGN60881 pep chromosome:ASM407v2:2:2556226:2559475:-1 gene:Csa_2G021520 transcript:KGN60881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRSLPLALEVLGSFLNSIDDQSKFERILDEYENSYLDKGIQDILRISYDELEQDVKEIFLYISCCFVHEDKNEVQMMLKECDSRFRLEMGIKKLTDLSLLTIDKFNRVEMHDLIQQMGHTIHLLETSNSHKRKRLLFEKDVMDVLNGDMEARAVKVIKLNFHQPTELDIDSRGFEKVKNLVVLKVHNVTSSKSLEYLPSSLRWMIWPKFPFSSLPSTYSLEKLTELSMPSSFIKHFGNGYLNCKWLKRINLNYSKFLEEISDLSSAINLEELNLSECKKLVRVHESVGSLGKLAKLELSSHPNGFTQFPSNLKLKSLQKLVMYECRIVESYPHFSEEMKSSLKELRIQSCSVTKLSPTIGNLTGLQHLWIDVCKELTTLPKILKVPEGVIYMNAQGCRSLARFPDNIAEFISCDSEYADGKYKQLILMNNCDIPEWFHFKSTNNSITFPTTFNYPGWKLKVLAACVKVQVHDPVNGYHRGGDLECEVFFKDILVWSSGDWTNYLGYDSRWLPLGASPSEYTWFIVLNPHRDFSLDDWDDTMERSPETDLSQLCFGINSMEMDRNRSNDKWNSIGGSIWKNFTVLFEPRPLSRDTIISIKGCGVHVIME >KGN61805 pep chromosome:ASM407v2:2:12024313:12030489:-1 gene:Csa_2G248140 transcript:KGN61805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVKGKHRLDKYYRLAKEHGYRSRASWKLAQLDSKYNFLRSSHAVLDLCAAPGGWMQVAVERVPVGSLVVGVDLVPIAPVRGAVAFEQDITKPECKARLKKIMSEKGCAAFDLILHDGSPNVGGAWAQEAMAQNSLVIDSVRLATQLLAPKGTFVTKVFRSQDYSSVLYCMKQLFEKVEVDKPAASRSASAEIYVLGIRYKAPAKIDPRLLDVKYLFQGSIEPQQKVVDVLRGTKQKRHRDGYEDGATTLRKVSSASNFIWSDSPLEVLGTVTCIAFDDPDSLPIKDHDLTTEEVKALCDDLRVLGKQDFKHLLKWRLHIRKALSPDQKPTSTSVKDAENEVKQDEDDKLLNEMEELAYAMERKKKRAKKLLAKRKAKDKARKAMGTQLDVMEEGYVDHELFSLSNIKGKNDLRAVDSTEYDDDNVELGEHENDVTKDKNRGSSASDIDSDEERRRYDEHMEELLDQAYESFVSRKEGSAKRRKRVKNAYSDNAELLEEDENGGDGIQSDYDSDENIVDADKNPLMVSLDDGAEPTQEEIASKWFSQDIFAEAAEEGDLKRLDSDDDMEVDGPKETLAVSKKAKSNISQNAGEKSKISTNARESNKVDDGFEVVPAPATDSSDSSSSEESDDEDPDTRAEILACAKKMLRKKQREQILDDSYNKYMFDDSGLPKWFLDEEQRHRQPIKPITKEEVAAIRAQFKEIDARPAKKVAEAKARKKRIAMKKLEKVRKKANVISDQADISDRSKRKMIDQLYKKAVPQKPKKELVVAKKGVQVRVGKGKVLVDRRMKKDARKHGMNKQGKGSKKGKNSKAPRAKGGPAKDSNTPGGKAGRAGKAGFTKASGKKGRRGNK >KGN62004 pep chromosome:ASM407v2:2:13480717:13497305:-1 gene:Csa_2G285390 transcript:KGN62004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWNTIHHLDLRHVGRGLKPLQPHAAAFHSHQALVAVAIGTYIVECDALTGCKISSLDIGARVVRMSYSPTSGHAVIAMLEDCTIRSCDFDSEQTCVLHSPEKKMEQISSDTEVHLALTPLQPVVFFGFHKRMSVTVVGTVEGGRTPTKIKTDLKKPIVNLACHPRLPLLYVAYADGLIRAYNIHTYAVHYTLQLDNTIKLIGAGAFAFHPTLEWIFVGDRRGTLLAWDVSIEKPSMIGITQVGSQPIISVAWLPMLRLLVSLSKDGNLQVWKTRVILNPNRPPMQANFFEPAVIESIDIPRILSQQGGEAVYPLPRIKALQVHPKLNLAALLFANMSGADTVKNRAAYTREGRKQLFAVLQSARGSSASVLKEKLSSLCASGILADHELQAQLQEHHLKGHSSLTISDIARKAFLHSHFMEGHAKNAPISRLPIITILDSKHHLKDVPVCQPFHLELNFFSKENRVLHYPVRAFYIDGQNLMAYNLCSGSDSIYKKLYTSIPGNVEFHPKFIVHSRKQRLFLVTYEFSGATNEVVLYWENTDSQTANSKCTTVKGRDAAFIGPNENQFAILDDDKTGLALYILPGGKTSQENDNEKVLEDNHSTETNNNSIRGPMPFMFETEVDRIFPTPLESTLMFASHGDQIGLAKLVQGHRNSTADGNYVPTKGEGRKSIKLKVNEIVLQVHWQETLRGLVAGVLTTQRVLMVSADLDILASTYAKFDKGIPSYRSLLWIGPALVFSTATAISVLGWDGKVRTILSISMPYAVLVGALNDRLLLANPTEINPRQKKVVEIRSCLVGLLEPLLIGFATMQQRFEQKLDLSEILYQITSRFDSLRITPRSLDILAGGPPVCGDLAVSLSQAGPQFTQVLRGIYAIKALRFSTALSVLKDEFLRSRDYPRCPPTSHLFHRFRQLGYACIKFGQFDSAKETFEVIADNDSILDLFICHLNPSALRRLAQKLEEDGTDSELRRYCERILRVRSTGWTQGIFANFAAESMVPKGPEWGGGNWEIKTPTNLKAIPQWELAAEVMPYMKTDDGSIPSIVADHIGVYLGSVKGRGSIVEVVSEDSLVKSFAPAGGNVDKATGLQTPLAKSISNKSKASSDGDSKDNLMGLETLMKQSSAAADEQAKAEEEFKKTMYGTANDGSSSDEENVSKTRKLHIRIRDKPVTSPTVDVKKIKEATMQFKLGEGFGPPISRTKSLTGSTPDLAQNLSQPPATTALTAPIVSATPVDPFGTDSLMQPAPVLQTSTQGTGAGVAARPIPEDFFQNTIPSLQIAASLPPPGTYLSQLDPASRGVDSNKVSSNQANAPEVNVGLPDGGVPPQASQQPALPFESIGLPDGGVPPQSLGQPTAMPPSVQAVQPAQPSFPSQPIDLSVLGVPNSADSGKPPPPQATSVRPGQVPRGAAASICFKTGLAHLEQNHLSDALSCFDEAFLALAKDHSRGADIKAQATICAQYKIAVTLLQEIGRLQKVQGSSALSAKDEMGRLSRHLGSLPLLAKHRINCIRTAIKRNMEVQNYAYSKQMLELLFSKAPASKQDELRSLIDMCVQRGLLNKSIDPQEDPSMFCAATLSRLSTIGYDVCDLCGAKFSALTSPGCIICGMGSIKRSDALAEPVPSPFG >KGN62349 pep chromosome:ASM407v2:2:15945719:15946525:1 gene:Csa_2G350320 transcript:KGN62349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLEFEVISKELVKPSSPTPPNLRRYKFSFLDQVTADVYNPMVYFFQLNGDSNFTEIEIKTHLKTSLSAVLSDYYPLAGRVNYADFEIDCNDHGVPFLETRIKSTLHDVFSMSFPEDLNCLVPFELDRLNETSMGVQLNFFECGGIGLGVCVSHKIADALSFFSVVNIWARAARGEAEALGRAHLVAAKLFPPRNAGVYNTGNSIVRDRVARRFVVEGPKVEAIREQYAERAAMEGQRRPTRVEALSAFIYGRFLAAINDESSAQTDR >KGN61693 pep chromosome:ASM407v2:2:10948818:10951412:-1 gene:Csa_2G225320 transcript:KGN61693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFEETELRLGLPGNNDNDNNNNVIIIRKRGFNEEADDDQQTILTVMPDLKLNLTSSPKEEVVGWPPISCCRKKRLGLQKGSKEEEYDEDQKKNVMKKKIRFVKVSLDGAPYLRKVDLTMYNSYNQLSHALAKFFGAFTIGKCGSEAGGMKELMNELKVNVDCSDYVPTYQDIDGDWMLLGDVPWQMFVESCNRVRIMKGKEAIGIAPRAVEKYCKNNNNKT >KGN63268 pep chromosome:ASM407v2:2:21887141:21890705:1 gene:Csa_2G421000 transcript:KGN63268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPRAPLPLFICPSGRARVTALARIRIRSDAAASTTLRLPLLPVVGFLLLLPLLIIGSAKWMRFSFIEKYGLLIIKEKWLLHCFASRSCKFDTQGLLELKSKNRIKNCNLCLQVCRSSFP >KGN62293 pep chromosome:ASM407v2:2:15706789:15707410:-1 gene:Csa_2G348800 transcript:KGN62293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDIMSSCISVRGLCGKWFIFFVVVMDRSTRRTKFVAPIDSQLDLQVPPAETERFLNGLALKGSLSLVVQEANGRGPCTATTSSKKNDGSNIAELGHQIHVIFRRGICHGFNVQFKARSIICNLSSLGLDTLGFLSTVQVTAAT >KGN62228 pep chromosome:ASM407v2:2:15199345:15199985:-1 gene:Csa_2G336750 transcript:KGN62228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPPMDRVRSRTTGTSLKPFLSSFYLGSVLRSLSLTSLRLCVPFLDSRSPSNSTFSGTGFHSRRQSSRQLLYSLSSLSPAMAGPFSLQFFLSLSYLCMKVSVVSRNPLPFYELHGFRLLDHCA >KGN63038 pep chromosome:ASM407v2:2:20124513:20127209:1 gene:Csa_2G385070 transcript:KGN63038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLALTRRNWITTTALVSSLSGELKQPRILPFRTLHRSPPAFRIPSFSSSRVSSPLAVSSDVLHDAGATAAVLIGAYSLVRGFDNLTRRNLIQQNLSRKLVHILSGLLFTMSWPIFSTSTGARYFASIVPTVNCLRLVINGLSLTKDEGLLKSLTREGKPEELLRGPLYYVLILILSAVIFWRESPVGLISLGMMCGGDGIADIMGRKFGSKRLPYNQEKSWVGSISMFIFGFCVSIGMLYYFSVLGYLELDWVKAVQNVALISLVATVVESLPSAGVVDDNISVPLVSMAAAFLTFCF >KGN62544 pep chromosome:ASM407v2:2:17040596:17040892:-1 gene:Csa_2G360610 transcript:KGN62544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPRQAGKESHFAHFKDRAIHALRDGTCAVSSVCNLDGPDYINGATVAVLAVHWSVIRVSRGS >KGN62621 pep chromosome:ASM407v2:2:17401607:17407743:1 gene:Csa_2G361850 transcript:KGN62621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METVLKEKDAADWVYRGEGAANLVLAYTGSSQTFIGKVMRIQKAPINGSQRARSPTAFSKYEYLLWGDIGNLVSSSDRDAAAQTFVQRVMSPLLGSKHVDAGKLILVSREFLELVEKNVSSQRPSWRVNAGKIDTQRDYVLILSDHSIFPNGSLEDEPCISIEIKPKCGFLPFSRFITHCNAVKRCMTRFRMHQALKLHQEEISEFSDYDPLDLFSGSKDRILKAIKDLFSTPQNNFRVFLNGSLIFGALGGSAESTDVIVGEAFEDALKSVILADDGLCTTSLLQLVTDTLYKSGVLDRLLEVQKLDSLDIEGAIHAYYDVISEPCVVCGQLNEDEELHRYASLHSLPLDQSLKIVKNFLIAATAKDCSLMISFRPREGEDWGSSNNTICLESTGQFFDYKVYFIDLDLKPMKKMEEYYELDKKIVSLYRKIEKGKVGDISNAKFYESKVEK >KGN63409 pep chromosome:ASM407v2:2:22963246:22964122:1 gene:Csa_2G439170 transcript:KGN63409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEPHRQIEREMQTHLQTTSQLTSFSTHFTSQFDFHNAISYGDGFAFFLAPVGWPIPPNSGSSLLGLYNSNTQSLPIIHIEFQIGLNNDVYSPYQHMGININSSSFSNSTPWDATLHNGDFADVWISYDSKTKDLSVSWEYQRTGSPVENNFLSYKADLSDALPQRVMFGFTSTTGVYSLSPFISVWEFDTSLSI >KGN63222 pep chromosome:ASM407v2:2:21446891:21449449:1 gene:Csa_2G416130 transcript:KGN63222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVCPPLKVVVLLCAILLTLAILLFHSDEFKLIQSSNFTYQFKNNGFGHSHGFHSPPKIAFLFLTRKKLPLDFLWANFFKNGDQAKFSIYIHSQPGFVYDKSNTKSPIFYNRQLNNSVQVLWGESTMIEAERLLFSAALDDPANQRFVLLSDSCIPLHNFSHTYNYLMSSRKSFVDSFFNVDEGRYNPKMLPVISQEKWRKGSQWITLVRRHAEMVVNDEIIFPLFKKFCKRWPPADHDTGRKTTEKHHPNCIPDEHYVQTLLSIRGLDDELERRTLTYSTWNSSIPKEDKRSWHPVTFYYPDATPQTIKEIKEINHIDFESEHRTEWCSVNSKYTSCFLFARKFTPGAGLRILEKDSSENPVDGKHG >KGN61962 pep chromosome:ASM407v2:2:13196995:13198284:1 gene:Csa_2G277040 transcript:KGN61962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDVDRRMSTLNPAHIAGLRRLSARAAATSSAPLRNSLLSFSSLADKVLTHLRNSGVQVQPGLSDAEFARAEAEFCFSFPPDLRAVLSAGLPVGPGFPDWRSAGARLHLRSSLDLPIAAISFQIAKNTLWSCSWGHKPAEPEKALRIARNLLKRAPVLIPIFNHCYIPCNPPLAGNPIFFVDENRVLCCGFDLSDFFERESLFRCSVSDSDSLFSKQSSLAQKSIVPSANFSRRSMDSGVVRTPRWVEFWSDAAIDRRRRNSSSSSNSSPDRFFEMPRSEVPKWVGKYLVELGSVLRTGGWSESEVAEMVEVSAAGIFDGEMVMLDNQAVLDALLLKVDRFSGSLRRSGWSSEEVSEAFGFDFRPEKGKKLAKKLSAELVERIGKLAESVSRS >KGN60769 pep chromosome:ASM407v2:2:1750959:1755599:-1 gene:Csa_2G009560 transcript:KGN60769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKRTVDLRSDTVTKPTEAMRAAMASAEVDDDVLKYDPTALRLETEMAKIMGKEAALFVPSGTMGNLISVLVHCEIRGSEVILGDNSHIHIYENGGIATIGGVHPRTVRNNGDGTMDLDLIEAAIRDPRGELVFPTTRLICLENSHANCGGRCLSVEYTDRVGDLAKKHGLKLHIDGARIFNASVALGVPVDRLVQAADSVSVCLSKGLGAPVGSVIVGSRAFINKARRLRKTLGGGMRQVGILCAAALVAIQENIVKLEGDHENAKILADGLNKIKGLQVESHLIETNIIFVDIKEGSIITGDKLSKILEEHGILVLPESSSRIRIVLHHQISATDTQYTLSCFQQAMAGAITNENGV >KGN62202 pep chromosome:ASM407v2:2:15032741:15035917:1 gene:Csa_2G334530 transcript:KGN62202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKKEIHNLIPKLYLKYKQFSMENMKIRLKFCHQNKPTAMGSTTARLLIPHLLLPSSSSSSHNPSTNTRTKSSKPLSFPNHNSLPTRRLLLFSLPIATAFLLPIPGNPIQPSSSFAVESFDPVSRSEKDASAAISRRVSEAVELLDKGRELQALGDFNQALLYFTQVIDKYKDFAFSDYARVGRALALYEIGDREEAIAEMEDVSISLKGYPEVHAALAAALYVDKHAALLAENQFTIATLLDPHYVDISYVKETKHWPPSLISSLQHFLTLS >KGN61261 pep chromosome:ASM407v2:2:5913426:5919472:1 gene:Csa_2G074220 transcript:KGN61261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLRSNPTATLSFSASFLLFLLFLSLFASHQVYSAEVEKDDLDGPKDLGRRSKMSWSNSDTVATKKDGVDSEDLNLDMDSIGLGVFDAFFASLSMILVSEIGDETFIIAALMAMRHPKSIVLSGALAALIVMTVLSTGLGRIVPNLISRKHTNNAATVLYAFFGLRLLYIAWRSKSEKSSTKKEMEEVEEKLEAGQSKTTFRRFFLRFCTPIFLESFILTFLAEWGDRSQIATIALATHKNALGVAVGAILGHSICTSMAVIGGSMLASKISQGTVATVGGLLFLGFSLSSYFFPPL >KGN62216 pep chromosome:ASM407v2:2:15106794:15111765:1 gene:Csa_2G336140 transcript:KGN62216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGRGNSNNNVHDHPPNAKFEFTPTWIIAVVSSIIVIISFSLERGLHHLGQKLQKKQMDELNHALLKLKEELMILGFISLLFNVFQGAIGRFCMPKDFAYHMLPCKRSTVPVVNHFSSSNFVDHNYNIHRHLLSTTQANFQHCSRKGKVPLLSLEALHQLHIFIFVLAVVHVIFCATTMLLASAKIRLWKRWEESIDKRQPTQSEDDDEFNKRAVGFWRRAAVIAWMMAFRKQFYGSITKSDYKYLRRGFIKKHCPGELNFDFYDHIKKTYQHDFKKVVGISWYLWAFVVLFLLLNLEGWHTYFWLSFLPLIMLLLVGAKLEYIITRMAQELNLKIEDKEAQQQQRQQQERDERHFDRQQHRRNINRHGSHHHVDPSDEYFWFHSPSCVLHLIHFILFQNSFEIAFFFWIWTTYGFKSCIMEKPAYIITRLILGGIVQVLCSYSTLPLYSLVTQMGSEYKKPSDHEEHGKAEERIS >KGN60871 pep chromosome:ASM407v2:2:2499809:2505232:1 gene:Csa_2G020940 transcript:KGN60871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSTAATESMAFEWSYDVFLSFRGEDTRTNFTSHLDMALRQKGVNVFIDDKLERGEQISESLFKSIQEASISIVIFSQNYASSSWCLDELVNIIECKKSKGQNVFPVFYKVDPSDIRKQTGSFGEAMAKHQPKFQTKTQIWRKALTTAANLSGWDLGAYRREADLIRDLVKEVLSTINRTRTPLYVAKYPVGIDSQLEYMKFHSHHLNKGNKFQYWTQNEYESDIGVYMVGIYGIGGLGKTTLAKALYNKIASQFEGCCFLSNVRQASNQFNGLVQLQQNLLYEILEDDLKFVNLDKGITIIRNRLRSKKVLIVLDDVDKLEQLEALVGGRDWFGQGSKIIVTTRNSHLLSSHGFDEMHNIQGLNQDRAIELFSWHAFKESHPSSNYLDLAERATSYCKGHPLALVVLGSFLCNRGQTEWRSILDKFENSLNNDIKDILQLSFDGLEGGVKDIFLDISCLFVGEKYNNCAKKMLSACHLNVDFGIMILMDLSLVTIEKDRVQMHGLIQQMGHSIVHNESFESGKRSRLWSERDIWNVFVNNSGTDAIKAIKLDLPNPINVNVDPKAFFRSMKNLRLLIIRNAQVCTKIKYLPNSLKWIEWQGFAHRTFPSCFITKNLVGLDLRRSFIKRFGKRLEDCERLKHVDLSYSTLLEKIPDLSAASNLEELYLINCTNLGMIDKSVFSLNKLTVLNFKGCSNLKKLPKGYFMFSSLKILNLSYCQELEKIPDLSSASNLQSLLLNGCTNLRVIHESVGSLNELVLLDLGQCTNLSKLPSYLRLKSLVYLVLFGCGKLESFPTIAENMKSLRCLDLHSTAIKELPSSLGYLTQLDKLHLTGCTNLISLPNTIYLLRNLNELHLGGCSRFEMFPHKWVPTIQPVCSPSKMMEAASWSLEFPHLVVPNESICSHFTLLDLKSCNISSAKFLEILCDVAPFLSDLRLSENKFSSLPSCLHKFMSLSNLELRNCKFLQEIPNLPQNIRNLDASGCKSLARSPDNIVDIISIKQDLELGEILREFLLTDIEIPEWFSYKTASNLVTASLRHYPDMERTLAVAVSFKVNGDSSESEAQISCNIFIYNKLRCLFSRSFLPSKSEYMWLVTISLACSLEVNDWNKVFVWFEVHEAHGVTVTRYGVHVTEQLHGIQTDVKWPMVNYADFYQLEKLRRDLDFEDLKASLKKSAVQIPKQHCMHFSMIQRQ >KGN62824 pep chromosome:ASM407v2:2:18806904:18807191:1 gene:Csa_2G374660 transcript:KGN62824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVAISLLGLSSCAGNLAASFIMTTVDNFSKTIGVKSWVSSNINEGHNDYYYWLLFGLLVANFFYYLACNNSYGPSKEESEGRSNAEDNNKTVN >KGN62351 pep chromosome:ASM407v2:2:15949270:15950607:1 gene:Csa_2G350340 transcript:KGN62351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLEVDIISEELIKPSTPTPPHLRHYSLSFIDQITVHIYAPALYFYQSTDTGVGGEDLDFALAFKNRLRSTLPDVLSHYPPLAGRPNYASSFIDCNDTGVPFREALVNSQLADVIQFAQPDDLNRLFPVELDRFNEELMAVQFTEFACGGVAVASCISHKIADAMTLFSLNNNWAAMARGVKGVFKPHMEGAKIFPPKPMSYDSAMTIVRNRVSRRFVFKQSKVEAIRTKYTENQTMINQNHQPSRIESLTAFVYSRFLAAFKHDSEIRNDMSFLVNYTVNLRPKMNPPLPHDAFGNYYFNVMIFPSPETLNDDENCYGLVKQLREETNKIDGEMAKKFLNEDKELMKTVKEVASKVVSGEIVSCAFSSICRFPLYDVDFGWGRPVWVTFPALWFKNLVAFLDSKDGEGIDAIVHLEERYMNKLEGDEVFMKYATPIPTPSSRVA >KGN62842 pep chromosome:ASM407v2:2:18958670:18958855:1 gene:Csa_2G376810 transcript:KGN62842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQIAFGGAADRTNVFNLIYWVDSMSCDKYLSSALWQEKTGMSCKARQVKTVRQPSPPPKR >KGN61853 pep chromosome:ASM407v2:2:12412706:12415614:1 gene:Csa_2G252020 transcript:KGN61853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASLLKSSLVLDKFEWVKGQSLRQPSVSVVRCHPTAAPSTLIVRAGSYNDELVKTAKTVASPGRGILAMDESNATCGKRLASIGLENTEANRQAYRTLLVTAPELGKYISGAILFEETLYQSTVDGEKIVDVLVKQGIVPGIKVDKGLVPLPGSNNESWCQGLDGLASRAAAYYQQGARFAKWRTVVSIPNGPSALAVKEAAWGLARYAAVSQDNGLVPIVEPEILLDGEHGIDRTFEVAQKVWAEVFFYLAENNVLFEGILLKPSMVTPGAESKDKASPQTVAEYTLSLLKRRIPPAVPGIMFLSGGQSEIEATLNLNAMNQAPNPWHVSFSYARALQNTCLKTWGGLPENVKIAQDALIQRAKSNSLAQLGKYTGEGESEEANQDMYVKGYTY >KGN63016 pep chromosome:ASM407v2:2:20014703:20015080:1 gene:Csa_2G383380 transcript:KGN63016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRRRKGWRCSDFGTLGVVLFLNMLLTAATVVTVHDQEEKVVAGEVKVKVGVVFDLDSIFGEMSLSCISMALEDLYSSRSYYKTRVILHSIDSNDTVVDAAAAGSISNFS >KGN63386 pep chromosome:ASM407v2:2:22823536:22826282:1 gene:Csa_2G435500 transcript:KGN63386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPYRFLLRSLRRSSTSPSHAPALTTIAPLNQHIPPSSQTSSPISLLLARSFSFSSAEEAAAERRRRKRRLRIEPPLHALRRDNYPPPQRDPNAPRLPDSTSALVGPRLNLHNRVQSLIRAGDLDAASSVARHSVFSNTRPTVFTCNAIIAAMYRAKRYSDAIALFQFFFNQSNIVPNVVSYNNLINAHCDEGRVDVGLEVYRHIIANAPFSPSAVTYRHLTKGLIDAGRIEEAVDLLREMLNKGHGADSLVFNNLISGFLNLGNLEKANELFDELKERCLVYDGVVNATFMDWFFNQGKEKEAMESYKSLLDRQFKMVPATCNVLLEVLLKHEKKTEAWTLFDQMLDNHTPPNFQAVNSDTFNIMVNECFKHGKFAEAVETFRKVGTQPKSRPFAMDVAGYNNIIARFCEQGMMADAETFFAELCSKSLSPDVPTHRTLIESYLKIEQIDDALRVFNRMVDVGLRVVASFGNMVFGELIKNGKAADCAQILTKMGERDPKPDPTCYDVVIRGLCNEGALDASRELLDQIMRYGIGLTPTLEEFVKEAFVKAGRHEEIERLLNMNKWGHAAYRPLSGPPRISQSQVPPQMGGPLQGPPQMAEPNWRPSINPQARGTYSSPQMSSPSHFQSGSPQTTGSNYFQSGSVQMTKSQHSSFNPPPMEEHHSQQPPQMTEANWRPSINPQARGSYSSPQMSSPSHFQSGPPQTTCSNYFQSGSAQMTKPQHSSFDPRPMEEHHSQQTPQMGEPNWRPYTNPQARGSYGPSSPQMSGPSYFQSRSSQMTGHNYFQSESTQMTRPQQPSSDAVSIEEQYHSEQPPQMAGQSAI >KGN61893 pep chromosome:ASM407v2:2:12647209:12647793:1 gene:Csa_2G263880 transcript:KGN61893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYCYGCKPRPSGPLPGPILLLLAVVFILIALSSLFPSEPIDFSEFQINWPLLAVPIIILVLVRCLASMDSSPRYYACPCDRGWKMHCRCGRGSAW >KGN60536 pep chromosome:ASM407v2:2:248059:250742:-1 gene:Csa_2G000440 transcript:KGN60536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNENLPVPAYFIITFFISRLKSSINKSKAWTTTTTVNQQPNNLQNDPETLTIASSDYGNMVKETPAAVLEPSSINDVVQLISYAYNNPIPFHIAARGQGHSVRGQAMAKNGVVIDMSALRRNRKTPGIVVSCRRWTTGEFYVDVGGEQLWIEVLNATLGYGMTPVSWTDYLYITVGGTLSNAGISGQSFRYGPQVSNVVEMDVVTGKGNMMTCSPRKNCELFHAVLGGLGQFGIIARARIALEPAPTRVKWVRMLYTNFVAFTKDQEHLISLNGRKQVNALNYLEGLVLLHHGSPDNWRSSFFPLSDHSRIISLANQNSVIYCLEVVKYYDHHTQSTVDKVVTSTSTY >KGN63018 pep chromosome:ASM407v2:2:20019031:20019297:1 gene:Csa_2G383400 transcript:KGN63018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGFKSGRVVFHPLEHQQLLGAFPYHWSCFPMFCGFLRRQISVRRTEAVAKRRVPNRGKIIQVGQGVREERSESSPAETKDIHKWGSF >KGN60680 pep chromosome:ASM407v2:2:1176272:1178542:-1 gene:Csa_2G006260 transcript:KGN60680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFGKSLSNQIEETLPEWRDKFLSYKELKKRLKLVEPKGGERPSKRPRIDAAGSCYVEDGEKDDFSSSTEEMNFIKLLEDELEKFNSFFVEKEEEYIIRLKELQDRVGKAMDSNEEMIKIRKEIVDFHGEMVLLENYSALNFTGLVKILKKYDKRTGALIRLPYSQKVLQQPFFTTDLLYSLVKQCEMMLDLLFPLNELPSTGSNGVDEVDAPTKPGTTNIDDLLKATKELSEIEYMESLYMKSTVSALRVLKEIRSRSSTVSVFSLPPLQMNGLEDTWKNVPVLEEVAK >KGN61869 pep chromosome:ASM407v2:2:12552004:12552300:1 gene:Csa_2G258650 transcript:KGN61869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTIKVGEGELFKDDFIKLISETSLEREVNALLTGTVAAGKAEVKTTLTKGRRLDFRVVVKHLIGRWMTKGELRLCTRNGRRGGESKRMRVKKKFENS >KGN63024 pep chromosome:ASM407v2:2:20046542:20049199:1 gene:Csa_2G384440 transcript:KGN63024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSSCSPSSISLHYKNPKTPFSLTHKPFLILASSANDSTRPSLPISTNSNPKARFIARRSESVTVRQLARPLSNVRSDEYMSLPASQYSVLDAERIERIDDCTFRCHVYRFKFFAFEVCPVLVVKVELQPNGCCIKLLSCKLEGSPIVVAQNDKFDASMVNQISYDVNRGNSLWQKLTSDTVIEVNIEIPFAFRAIPVQAIESAGTQVLEQILKLMLPRFTAQLVKDYQAWASGDTSRQPLGTGEI >KGN63392 pep chromosome:ASM407v2:2:22850494:22852274:-1 gene:Csa_2G436040 transcript:KGN63392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIWFIILVSLCISTLLITSIFTHFRSSTKLPPCPPSFPIITHFQWLCKSPLQIESLLHSFFAKYGPVITLPIGNRPAIFIADRSIAFKALVINGALFADRPPTLPMTKIVSSNQHNINSAPYGPLWRLLRRNLTSKILHPSRISSYTKARKWVLDILFNRLHSHSEFGNPVLFMEHFQYAMFCLLVLMCFGDRLDESKIREIENVERALILSFSPFDILNFWPKLTKILFRKRWEMLIQLRRNQEKVLIPLIEARRKVNQNRVNRAHTEEEEEFAISYVDTLLDLELSHEDNRKLTNEEIVTICSEFLVGGTDTTCTALQWTMANIVKNPEIQHKLFSEMKEVMGDGPGKEVKEEDLEKIPYLKAVVLEGLRRHPPGHFVLPHTVTEDTVLENYVIPKNGTVNFMVAEMGWNSKVWEDPMEFKPERFMKGGGGGVEFDITGSKEIKMMPFGIGRRMCPGFELAILHMEYFIGNLIWKFEWKEVKGEEVSLSEKVAFTVVMEKPLKAKINPR >KGN63139 pep chromosome:ASM407v2:2:20859225:20862437:1 gene:Csa_2G404900 transcript:KGN63139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFPPNFQVEMERREFDSKIRGGLVRAAVNQYGDGKENGISWKNSLTQDSPEYSLKARELQKAKTDIDHYKKSRNAADSSSAQAQLELLNAKNTVKKLSSLFDKSNAMARAHKQELETLKKSASVQGTRLAVASSENREYAELMRELESAKLELSKLKLDMASVFHEKLLAEKEKEETISKFQSLSSSIEELRKEIDEINEEQVLVELAQIEALKEFQEIEAQRSMEAKEFLCAIENKRKIIDELVQEVEGLKELEKQLSLTTSDVNVLQRELKLVKELEIKSHRKVKMIELEKKSQVGEDELLLQSITEELKTAKKDLALIRDEGFQFMTSMDAVRRELRHVKEEIASLKKPNEKTDSIVQKLNSKLLRAKAKLEAVSSAEDKVKAIASNLSLSIEQMKKETEAAKKEEELTEEEIKNSKAEIQKIESEIDLNEICLQDALQELEKVKSSEALVLENLKSLSESTMRSRACATNNSSFITISRFEYEYLAGHAVAAQEVAEKKVAAAQAWIEAIKASEVETTKKIELAELEIEEMRMEEEKQVYRANRSLSAKRMVEGELQKRQKRENNVDDENGEPTNRQKTIRRNGSMTPSRRLKFRISASPSPHMMNGRTDSFSTQKRTKVVKNLAKFFNGKQAKMNP >KGN62600 pep chromosome:ASM407v2:2:17294451:17295227:1 gene:Csa_2G361650 transcript:KGN62600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKRDVFETLDLISLFASDPATDGSSSETQTPENDTESQLSSEKRNNPSGSDDAPRTETRKRKAREKSNNNNSPGSSGRRPKKERRKDVVFSKTIEMPQMLRDRIEELGGFNIQFATQKRLQDTDMNKNHGRLLIPFKKLVNDFASEEEKRLLKQHDQEDLKNKNGMTVLIIDPQSDNAFIVGHEAQLPINSNLCFAFVKLDISEGIFKFI >KGN63050 pep chromosome:ASM407v2:2:20225849:20232636:1 gene:Csa_2G386180 transcript:KGN63050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDRIPMVLPLADPPPSSTQEENPPPPTVESLSAVADSPILTEKESISPSEAVLESVPFTTAENELVSLPPPAAVVEKEEPLQPPPRSIELDSVAVESAKCNAIEEQKIPQTSVSFKEESNRVADLAESERKALQELRQLVEEGTTNHAFQFETTPPSPPAENSKLEENREKEVQEAAQTSCLPEKKLSIWGVPLLEDDRTDVILLKFLRARDFKVRDAFLMFRNTIRWREEFGIDSLVDENLGDDLEKVVYMHGYSRESHPVCYNVFGEFQNKDLYSKVFSDEEKRNKFLRWRIQFLERSIRKLDFRPGGISTMFQVNDLKNFPGPGKRELRLATKQAVQVLQDNYPEFVAKQVFINVPWWYLVFYTMIGPFLTQRTKSKFIFAGPSKSAETLFKYISPEQVPIEYGGLSVDYCDCNPDFDASDQATEVSIKPSTKQTVEIIIYEKCIIAWELRVVGWEVSYSAEFVPNNEEAYTVIIQKARKMAATDEPVISHSFQVFELGKVLFTIDNPTSKKKKLMYRFKVKVLRE >KGN62833 pep chromosome:ASM407v2:2:18863762:18872002:-1 gene:Csa_2G375240 transcript:KGN62833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDPPLCTSLDVSGDSGQNIRALHSRRTTGPTRRSTKGQWTAEEDEILRKAVQRFKGKNWKKIAECFKDRTDVQCLHRWQKVLNPELVKGPWSKEEDEIIVELVEKYGPKKWSTIAQHLPGRIGKQCRERWHNHLNPAINKEAWTQEEELALIRAHQIYGNRWAELTKFLPGRTDNAIKNHWNSSVKKKLESYLASGLLEQYQPLHHASQSSLPMLSSSRVQSSMDDSSLRGAETEDISEVSQTSAIGACSNTIPRTKEECQLAEDAFLKDEPCSPPHCPGQYHASLDNITFSIPEMLSELGCYVKTPNHNFSQDCRTSSTEDNRYNLYELPNISSLELGHELPHFQANGSQEVETAPHQTSAGFSASTADNMATASVKPEHMLISDYECCTVLFSDAVVNESFPSENTINTSDMVELSGYAHPLHRQSTSIELPESNRNIPLQSYHHARSDVLDNSCSQRFLAPLLVSANDDTYVYTSDTSHLFETLEQELVANGHDGFIYTNESTDSPSKNGFMNAELQKQQGSKDPSKLVPVNTFSSEPKTAENLPSFSGREKTHPDQPDLIGALCYEPPRFPSLDVPFLSCDLAPAASDMQQEYSPLGIRQLMMSSINCLTPFRLWNSPTRDESPDALLKSAAKTFTNTPSILKKRHREFLSPLSDKRCDKKQEIDVGISRTPSHTNPSHQTVNSRSSEDKENICPAEEVRQEKHSDLYNISHCKRPERTSDSFSFQEKKMQELDNPAANERIDSIGQIEVQQRSRILLECDTNESLSYSTNRDGVAEMQCSRTSTSLQDQDFPSNLSDDHCALANCSIASGTCHGRTLEVAGDNASKESSLETITIFGGTPFKRSIESPSAWKSPWFINSFLFGSRMDTDVPMEEVGLFMSPGDRSYDAIGLMKEVSEQTAAACANAQEVLGNETPQSLLKGRRGKYENHNNDKNNHFTNSRSTLAPDILTERRTLDFSECGTPGKGTENGKSSTATTRSFSSPSSYLLKGCR >KGN62461 pep chromosome:ASM407v2:2:16602131:16604581:-1 gene:Csa_2G354870 transcript:KGN62461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRGEGEEEESRWDVERDEKKQWRVELTKVQKLEGGRHFSHTIRRRFSGAPINGPSLALRLVDMDECEQLCVAARGGDADKLRALIDSGADVTLFDGEGLTPLMHAAKHGHAEVVKTLLEHGAPWNALSPSNLSAGDFALEAGHQEVFQILLNSGIQAELVLGTIARKESNNEVCNGDYLEDRVSFSEDKVMDAESKAVMMAWEKPLMEAHAKAVCMGGSHILNVGFGMGIVDTAIQQYSPTMHTIIEAHPDVYKRMIETGWGDKNNVKIIFGRWQDVLPQLESYDGIFFDTYGEYYEDLRDFHQHLPKLLKPGGIYSFFNGLCGGNAFFHVVYCNIVSLELENLGYSTQFIPLPVKDCLEEEVWKGVQHKYWQLDTYYLPVSQSIQDSE >KGN61377 pep chromosome:ASM407v2:2:7555381:7557160:-1 gene:Csa_2G100590 transcript:KGN61377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPYLIVRVCKTSPLAQKWVDLHISTPPSRGDLALYADRSISIANEFFYFRLNELGQSVDSCHLLALGFDKAKNQGESLKKVRSVDIELTRKEKGIYENRVEVVGLDWIGRKDVEVSSEENSTLLLHFPHLFFVHSSSTLTTTPISSIFPFPISSTLKRAFSFSSSRVSFFS >KGN60492 pep chromosome:ASM407v2:2:2584:4770:-1 gene:Csa_2G000010 transcript:KGN60492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAVRIVFLIILVGGGGLPSCCPLSENEALLKLKESFTHSESLNSWNPDSVPCSARWIGIICNRGVITGLHLSGLQLSGKIDVEALLQLRGLRTISFVDNQFSGPIPEFNKIGVLKSLLLTGNHFSGAIPSDFFSSLTSLKKVWLSSNNFSGNIPHSLAQLSHLIELHLESNQFSGPIPHLKHASIITSLNVSNNKLEGQIPDILSKFDAKAFAGNEGLCGNPLPKSCGAQISEDQKPPSSPPGESQGNISKLVVASLIAVTVFLMVFIFLSASKRREDEFSVLGREQMEEVVEVHVPSSGHDKQSSRRGGGDSKRGSQQGKAGMSDLVVVNEDKGIFGLADLMKAAAEVLGNGGLGSAYKAVMSNGLSVVVKRMREMNKLGKDGFDAEMRRLGRLRHHNILTPLAYHYRREEKLLVSEYIPKGSLLYVLHGDRGACHADLNWATRLRIVQGIARGLGFLHSEFATYDLPHGNLKSSNVLLCDNYEPLLSDYAFHPLINPNNATQAMFAYRSPEYAQYQEVSPKSDVYCLGIIILEIMTSKFPSQYLTNGKGGTDVVQWVSSAVSEKREAELIDPEIANDTDALDRMVHLLTIGADCTHNNPQQRPEMREAIRRIEEI >KGN61849 pep chromosome:ASM407v2:2:12381097:12382974:1 gene:Csa_2G251490 transcript:KGN61849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFLEAKALKSSFHWELAMKSAQQDALVEEVWCLNGPNLVSGEDFEIEEFLNFPNGDLEHGSSLRLQEDDDCEEFEKNRFSVSSNSNQSDGSPVVGEEDSKSLLAVELAFPGDSLTDLEWVSQFVDDSSSEFSCAAVAFNRSEPEKKLTGTVISCLPTFFPVRPRTKRSRQSRQAKSAGSSLNQSPSSSSSSTSSGVSSAAPRFIFSDAGENVDFLNVTGEPPKKQRKKPSSPSPSSTGLLPTGSTGQIPRRCSHCLVQKTPQWRTGPNGAKTLCNACGVRYKSGRLFPEYRPALSPTFCSGVHSNSHRKVLEMRKTKEVPQPATELAPMVPSY >KGN62618 pep chromosome:ASM407v2:2:17385284:17388705:-1 gene:Csa_2G361820 transcript:KGN62618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRNQYDTDVTTWSPAGRLFQVEYAMEAVKQGSAAIGLRSNTHVVLACVNKANSELSSHQKKIFKVDDHIGVAISGLTADGRVLSRYMRSECINYSYTYESPLPVGRLVVQLADKAQVCTQRSWKRPYGVGLLVGGLDESGAHLYYNCPSGNYFEYQAFAIGSRSQAAKTYLERRFENFKDSSRDELIKDALIATRETLQGEKLTSSICTIAILGVGEPFHILDRETVQKLIDEFEIVKEDDVPAVDQDIAPEQGDSAAPEPAAGTDQGSAPDQGVAPMDI >KGN60972 pep chromosome:ASM407v2:2:3163900:3172658:1 gene:Csa_2G033270 transcript:KGN60972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSRELVFLILQFLEEEKFKESVHRLEKESGFYFNMKYFEDKVQAGEWEEVEKYLSGYTKVDDNRYSMKIFFEIRKQKYLEALDRSDKAKAVEILVSDLKVFSTFNEELYKEITQLLTLTNFRENEQLSKYGDTKAARSIMLIELKKLIEANPLFRDKLVFPALKSSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFMDHTCSPPNGPLAPTPVNLPVAKPAPYAPLGAHSPFPPTGATANANALAGWMANASASSSVQAAVVTASSIPVPQNQVSILKHARTPPSNPGMVDYQNPEHDQLMKRLRSAQSVEEVTYPAPRQQASWSIEDLPRTVAFTLHQGSTVTSMDFHPTHHTLLLVGSNNGEVTLWELGIRERLISKPFKLWDLSSRSLAFQAAIVKDTPISVSRVTWSPDGTFVGVAFTKHLVHLYSYNSSNELNQQSEIDAHVGGVNDLAFAHPNKQLCVVTCGEDKLIKVWDIGGRKLFTFEGHEASVYSICPHHKENIQFIFSTALDGKIKAWLYDHMGSRVDYDAPGKWCTTMLYSADGSRLFSCGTSKDGDSYLVEWNESEGAIKRTYLGFRKKSTGVVQFDTTQNHFLAVGEDSQIKFWDMDNVNILTYTDAEGGLPSLPRLRFNKEGNLLAVTTDNGFKILANAVGMRSLKAIESTTPFEALRSPMESALKVSGPSAVASVSPVNCKVERSSPVRPPSIINGVEGLGRNLDKARTVEDAIDKAKPWQLAEIVDPASCRLVTMPDNADSSHKVVRLLYTNSGVGLLALGSNGIQKLWKWTRNEQNPSGKATANVVPQHWQPNSGLLMTNDVLGVNLEEAVPCIALSKNDSYVMSASGGKVSLFNMMTFKVMTTFMPPPPASTFLAFHPQDNNIIAIGMEDSTIHIYNVRVDEVKSKLKGHQKRITGLAFSTSLNILVSSGADAQLCLWSIDTWEKRKSITIQLPAGKAPVGDTRVQFHSDQIRLLVVHETQIAIYDASKMDRIRQWVPQDALPAPISYAAYSCNSQLVYATFCDGNVGVFDADTLRLRCRIAPSVYLPSAVLNSSQAIYPLVVATHPLDPNQLAIGLSDGSVKVIEPTESEGKWGVSPPMDNGILNGRTASSSTTSNHTPDQIQR >KGN61099 pep chromosome:ASM407v2:2:4087262:4098151:1 gene:Csa_2G049880 transcript:KGN61099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRYNHLHRASGLAILQCKDVFLIPYNVPNGFGKTEEFGSLKTEKLGSCFLDGYKFLMDSPLTRSSTPNSSPISNPGSHDENPRVKFLCSFLGSIMPRPQDGKLRYVGGETRIVSVPRDITYEELMVKMRELYDGAAVLKYQQPDEDPDALVSVVNDDDVINMMEEYDKVGSGDGFTRLRIFLFSHPEQDASLPFVDGDERDTERRYVDALNNSNDMNDFVRQQQQNSPALSGIDDMHGTEHFLNPMNIEGSLHTQRSCEPLSQYHLHQLTIPHVGSGGQQQSVAQRYSEMEAPWSPALLSPRHHGPYDSRPMGDYPSSPFARYRMPFPDLPDKYLERMPEDYVRQQMNHQHMYEHQPQYNENIVWLPNGTINEESGFPGNILHGHGVPDGNSSCEHCRANFHRYQAHMEQVNTLNGLPLEYTQNREALMQKADTKFHHGIFPNEQNINDHRSAYNETPPHEKGWIMQHQMSVRGDDTRTHVSGTGRLTDHYIVDGSGSNLPSTQSNVADGYHASTNFHDEVFRDQVVPSGQHMCVPPPEDRGVGYMPYGYGGEPHYPPMAQRHMPGNASWRNVQNPLHVAPPYEASVFHQQGNASINPGYIKAMQDGSPRIHMGVDHQNPWHESSQKVLGVDGATGTEHLPAHVLKTNSTTVGHDNQQFTSLEHIQPHLDKINLVASPMQRSDSSSAFIQEKMVAPFHPSQNPQLRAVSAVNEAMMMERKVVHGEGNGHMIKDMGKPDISEAHTASHPGQNNTDDTYSKVAPLELLNSTCTNSAVENGDGLKPSVETLEKPKLSVSRLSFLPELIASVKRAALEVSEETMVEETALRRPDSIEKKETTNEQHSSNNHVEPELETESENQSSRIEPTKAEEEAISRGLQTIKNDDLEEIRELGSGTYGAVYHGKWRGSDVAIKRIKASCFAGRPSERERLIADFWKEALILSSLHHPNVVSFYGIVRDGPDGSLATVTEFMVNGSLKQFLHKKDRTIDRRKRLIIAMDAAFGMEYLHGKNIVHFDLKCENLLVNMRDPQRPVCKIGDLGLSKVRQHTLVSGGVRGTLPWMAPELLSGKSNMVTEKIDVYSFGIVMWELLTGDEPYSDMHCASIIGGIVNNCLRPEIPTWCDPEWKALMSSCWDSDPAKRPSFSEISQKLRNMAAAMNRLHCWKTTSTYMKEIHVVIGMISLGILPLEAYALQ >KGN61577 pep chromosome:ASM407v2:2:9783402:9784913:-1 gene:Csa_2G172470 transcript:KGN61577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLLKATYKILTGTVRIIIDNYQDNIKFHLCDYRQLPNTHKYDRIISCGLLESVGHEFMEDFFGLCESVLVENGLLVVQFISMPDDRYDEHRLSSDFMREYIFPGGCLPSLNRVTTAMAKASRFCVEHLENIGIHYYQTLKCWRKNFVMNKRKIIELGFDESFIRTWEYYFDYCAAGFKSRTIGDYQIVFSRAGNVTTFNNPYQGIPSANSLP >KGN60684 pep chromosome:ASM407v2:2:1199114:1202143:1 gene:Csa_2G006790 transcript:KGN60684 gene_biotype:protein_coding transcript_biotype:protein_coding description:Grr1 MPALVNYGGDDEYYPGGSFYSSPMDLDAFLPTSSHVDLYFHPSKRARIGSPVVFGGREFEQECTPSIEALPDECLFEIFRHLHSARERSSCAGVSKRWLMLLSTIRKAEICKSRSTCISQMVESSNVEQQKTESDEISVVNCDEDQEDESNGFLTRCLEGKKATDVRLAAIAVGTSGRGGLGKLSIRGSNSTRGVTNLGLSAIAHGCPSLRILSLWNVPSVGDEGLFEIARECHLLEKLDLCHCPSISDKGLIAIAEQCTNLTSLSIESCPKIGNEGLQAIGKLCSKLQTISIRDCPRVGDQGVSSLFASSSCAIMKVKIQALNITDFSLAVIGHYGQAITHLTLGGLQNVSEKGFWVMGSAQGLKKLTLLMIASCRGMTDVSLEAMGKGIANLKQMCIQKCCFVSDNGLIAFAKAAGSLEMLQLEECNRITLLGIGGALSNHIRNLKSLTVVKCLGIKDIAQEVTLPSLCTSLRSLSIQNCPGFGSASLSMVGKLCPQLQHVELIGLYGITDASMFPLLETCEGLVKVNLSGCINLTDETVSTLVRLHGGTIEVLNLDGCRKISDASLVAIADACLLLNELDASKCAITDAGLAVLSSSEQINLQVLSLSGCSEVSNKSLPFLERLGKSLVGLNLKNCHSISSGTVGTIVENLWRCDILV >KGN61263 pep chromosome:ASM407v2:2:5924295:5925913:-1 gene:Csa_2G074240 transcript:KGN61263 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I reaction center subunit XI MATATSPIASQLSSSFASSNTRALISPKGLSASPLRRIPTRTHSFTIRAIQADKPTFQVIQPINGDPFIGSLETPVTSSPLIAWYLSNLPAYRTAVSPLLRGIEVGLAHGFFLVGPFVKAGPLRNTAYAGGAGSLAAGGLIVILSVCLTMYGVASFNEGEPSTAPSLTLTGRKKTPDPLQTADGWAKFSGGFFFGGISGVIWAYFLLYVLDLPYYVK >KGN62676 pep chromosome:ASM407v2:2:17764155:17767795:-1 gene:Csa_2G368280 transcript:KGN62676 gene_biotype:protein_coding transcript_biotype:protein_coding description:ER lumen protein retaining receptor family protein MKVPRRPIHAITSWVRRQPPKMKAFLGVASAIISLVLLYVMVHDHNKLFVAAEAVHAIGIAVLIYKLMKERSCAGLSLKSQELTAYFLAARLYCSFVIEYDVHTFLDLATLVATLWVIYMIRYKLKASYMGDKDNFKVLYLVVPCILLSILIHPTPPRVSLLQRIFWAFCVYLEAVSVLPQLRVMQNIKIVEPFTAHYVFALGVARFLSCAHWILQMVATRGGLLVALGTGFWPPMVLLAEIVQTFVLADFCYYYVKSVLGGQLVVRLPAGVV >KGN60890 pep chromosome:ASM407v2:2:2618964:2619816:-1 gene:Csa_2G021610 transcript:KGN60890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTTTIASSSTCSIFFNPLLNFTLSNPITPPKSLFISTIVAVSDGLQPQTLTICARKKKRSPGFQRSTKLVFELASLLASNLKILPPPLDLVVAELSGGDGNGGGSRLWRGFGGGDYDGWRGKRKKTPLLIGFLIVCGLVLLFVTDFEINVVCGVLGFAVFGVALIQLWQKIGISKDFVLGFGLFGILIALGLRRSEVQRWVGKLGIYSSKRKSLRRKLKGRRIF >KGN60516 pep chromosome:ASM407v2:2:146000:151249:1 gene:Csa_2G000250 transcript:KGN60516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVHLTPNAISAIVAGDVNSKPLVQVLDIKLIGNAQERYSLLISDAVSAEQAMLATQLNDVVKTGRVKKGSVIQLIDYVCSPIKNRKIIVVLCLETIILDCEIIGNPKSSAQSENFAQKATPSVNLEQPAKVGNGHLSARNPVHNVQSFQATVQPPYQPPPNYKNHGAIIKNEAPARIIPIAALNPYQGRWAIKARVTAKGDLRRYNNAKGDGKVFSFDLLDSDGGEIRVTCFNAVVDRFYEVIEVGKVYLISKGSLKPARKDFNHLKNEWEVFLEASSTVELCPDEDDTIPRQQFSFKPISEIENAETNSILDVIGIVTSINPSIPVLRKNGMETQRRVVYLKDASGRSVELTMWGDFCNKEGQKLQEIIYSGLSPVLAVKSGKVSDFTGKSIGTISSTQLFINPDLPEAHILREWYDGGGKNTTSLSISKEIVPGSAKNDIRKTVSQIKDEGLGRADKPDWITVKATISFIKTDSFCYTACPLMIGDRQCNKKVTRSGNSKWVCDRCNQEFEDCDYRYLLQAQIQDHTGLTWVTAFQETGEEILGVSAKELYMLKYEEQDDVKFGEIIRSKIFDQFLFRLKIKEEVYGDEQRVKNTVVKADRVNYSSESKYMLDLLSKFSR >KGN61317 pep chromosome:ASM407v2:2:6627028:6628241:1 gene:Csa_2G080170 transcript:KGN61317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQDLISPIQSKLQSLILNPQLHNWSFAIFWNLTTTPYSSHSCFHPHSLFPSSVAHSLISSPHFPFSDLTTAPAVVWLNHPSLFFQQTHRGKQLQSLGINTLVSIPTPDGVLELGSPDSIPHDFELLKRIGTEFGFSLKKPENNPSTHVEAERQRREKLNDRFNSLRSVVPNVSRMDKASLLSDAVSYINELEMKISEMESRVLKEKKEKKNGVDENEMEEEASSRDRRERGIEIDVKIIGGDRAVIRVESRNLSYAVAKLMEALRDLELKVEHGSMWNLKDLTLQDLVVRVPSGHGYSSDEGIKKALLAILEHATNNNDNI >KGN62325 pep chromosome:ASM407v2:2:15834379:15839044:-1 gene:Csa_2G349590 transcript:KGN62325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSSRSPVSRVPNDALKSKLIVGAFVGAVVGFCIGLSFPVLSLTRLNLPSNIFPTVGLAYIDGRRSSDRSKELFLDVRSSVKNNNSNSNQTQTSNETSVIWVPSNPRGAETLPPKIISSESDLYVRRLWGNPSEDLTTKPQYLVAFTVGYNQRYNIDRAVRKFSDNFVILLFHYDGRTSEWDEFEWSKRAIHVSARKQAKWWYAKRFLHPDIVAPFDYIFIWDEDLGLDHFDADEYMKLVRKYGLEISQPGLQPSKGLTWRMTRRRDGSEVHKDTDERPGWCIDPFLPPCAAFVEIMAPVFSREAWRCVWHMLQNDLVHGWGLDFLLRRCVDPAHEKIGVVDAQWIVHQGLPSLGSQGESQNGKAPWVGVSERCRREWTILQSRWTIAENAYLNDVEDGVIPSHSVMH >KGN62858 pep chromosome:ASM407v2:2:19053211:19056401:1 gene:Csa_2G377940 transcript:KGN62858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDILGDPDKNSYRSLVAAVSYGIASMAMVFLNKAVLMQYSHSMTLLTLQQLATTLLIHFGRKMGYTKAKGLDMQTAKKIFPVSLFYNANVAFALASLKGVNIPMYIAIKRLTPLAVLIAGFFSGKGRPTAQVICSVLLTAAGVLVAALGDFSFDLVGYSMAFTSVFFQTMYLVLVEKSGAEDGLSSVEIMFYNSFLSLPFLSFLILSTGEFPNSLSLLIAKSNSFSFLVLFLLSLVMGIVLNFTMFLCTIVNSALTTTIVGVLKGVGSTTLGFVILGGVEVHALNVTGLVINTAGGVWYSYAKYHQKKNRPSKLTST >KGN62167 pep chromosome:ASM407v2:2:14650305:14651578:-1 gene:Csa_2G307320 transcript:KGN62167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQQEREQQQNASSSSSSSSPTIYTNDADAFESLPDSLILLIFNSVSDVKTLIRCRAVSKRFNSLVPHSDSLSLKVDCVISSDSDSDSHQNSFLLSFFKSVLKSFLDLLSPILLQSESQNSPAQILRQFRRIQHLQIEFPTTDLKVERVVKWRAEFGDALKSCVILIFREIRKGAIEEDDVDSDLDFIGGLKSKVFMTISTVITASARHHVLGEVVEEHLEMESLALRDRGGEGVVVMEKKGLEELRRWRMGDGGEVAEWRRTRTRVPSTTVRMRHKGRVEVRRGMWMEDATLVVVKPSGNGRKSGDGEVDKEDAEVAVRAFEGDDVYREAVEALLRKGKRYQLEMNSF >KGN62240 pep chromosome:ASM407v2:2:15328138:15335409:-1 gene:Csa_2G338840 transcript:KGN62240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAPYLLLLLLLSLHLTLVLPWKKDEFRNCNQTPFCKRARAFKRGSCSLVAHDVSINDGDLTAKLLPRNQDPDHPPNPLLLVLSVYQDGIVRLRIDEDPSLGPPKKRFQLPNVIVDEFLSQKLWLQRISTETIGSDLRPSSIVYLSDGYEAVLRQDPFEVFVREKSGKRVLSLNSHGLFDFEQLRVKDEGEDWEEKFRGHTDTRPFGPQSISFDVSFYDADFVYGIPEHATSLALKPTRGPDVEESEPYRLFNLDVFEYLHDSPFGLYGSIPFMISHGKSRGTSGFFWLNAAEMQIDVLGSGWDAESGISLPSSQSSIDTFWMSEAGIVDTFFFVGPGPKDVVRQYTSVTGTSAMPQLFATAYHQCRWNYRDEEDVAHVDSKFDEHDIPYDVLWLDIDHTDGKRYMTWDRSLFPNPEEMQKKLAAKGRYMVTVVDPHVKREDSFTLHKEASKKGYYVKDAAGNDYDGWCWPGSSSYLDALSPEVRSWWGEKFSLQNYVGSTPTLYIWNDMNEPSVFSGPEGTMPRNALHYGGVEHRELHNAYGYYFHMATSEGLVKRGDGNDRPFVLSRAAFAGTQKYGTVWTGDSSAEWDYLRVSVPMVLTLGLTGLSFSGADVGGFFGNPEAELLVRWFQLGAFYPFFRGHAHHDTKRREPWLFGERNTELMRDAIRVRYVLLPYFYTLFREANMTGIPVVRPLWMEFPSDEVTFKNDEAFMVGSALLVQGIYTKEAKKVSVYLPGKQSWYDFRTGTIYKGGITHQLEVFEESIPTFQKAGTIIPRKDRSRRSSTQMVNDPYTLVVALNSSQAAEGELYIDDGKSFEFKQGAFIHRRFVFSDGKLTSLNVGPIASSSTKFSSNCVIERIILLGHSGAKSALVEPENRKVDIELGPLHFLRGRGSSVLTIRKPNLLISDDWTVKVV >KGN61465 pep chromosome:ASM407v2:2:8510605:8513552:-1 gene:Csa_2G138200 transcript:KGN61465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGDGKLFTFAEVSQHNNPKDCWLIINGKVYNVTEFLEDHPGGDEVLLSGTGKDATDDFEDVGHSESARETMSKYYVGEIDISTLPERLTYTGPKQPHYNQDKTTEFIIKLLQFLVPLAILGLAVGIRFYNKSS >KGN63152 pep chromosome:ASM407v2:2:20929720:20936632:-1 gene:Csa_2G405030 transcript:KGN63152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNRNVVVCDNGTGYVKCGFAGENFPTSVFPCVVGRPLLRYEESLIEQELKDIVVGESCADLRHQLDITYPVHNGIIDPKQCKILLTDPPLNPSKNREKMVETMFEKYNFYGVFIQIQAVLTLYAQGLLTGLVIDSGDGVTHVVPVVDGYSFPHLTKRMNVAGRHITSYLVDLLSRRGYAMNRSADFETVREIKEKLCYIREYQLGLETTILVKNYTLPDGRVIKVGTERFQAPEALFTPELIDVEGDGMADMVFRCIQEMDIDNRMMLYQHIVLSGGSTMYPGLPSRLEKEILDRYLEVVLKGNRDGLKKLRLRIEDPPRRKHMVYLGGAVLAGIMKDAPEFWISREDYLEEGLGCLSKCGQA >KGN61769 pep chromosome:ASM407v2:2:11706728:11710941:1 gene:Csa_2G238850 transcript:KGN61769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDCISSDEDYYDSDRDSLHGLENEDSEIQRVPKTPSTKVITKESLLAAQKEDMRRVMDLLSLREYHARTLLIHYRWDVEKLFAVLVEKGKNHLFATAGVTMIENRSNTSSEASSMVMCDICMEEVHGNDATRVDCGHCFCNNCWTEHFIVKINEGQSRRIRCMAHKCNAICDEAVVRTLVSKRHPDLANKFDRFLLESYIEDNKRVKWCPSTPHCGNAIRVEDDEFCEVECSCGLQFCFSCLSEAHSPCSCLMWELWIKKCRDESETVNWITVHTKPCPKCHKPVEKNGGCNLVSCICGQAFCWLCGGATGREHTWSSISGHSCGRYKEESEQKAERAKRDLYRYMHYHNRYKAHTDSFKLESKLKESIQEKISISEERESMLRDFSWVNNGLSRLFRSRRVLSYSYPFAFYMFGDELFKDEMTEAEREIKQHLFEDQQQQLEANVEKLSKFLEEPFDQYTKDKVMEIRMQVINLSVITDTLCKKMYDCIENDLLGSLELGIHNIAPYKSKGIEKALELSACWNSKANTSDKYPPSDCGTSGGLSECERLTSLNSEENGCSSRKRARAEVVAGNFFDLNLPAEVVDRN >KGN63178 pep chromosome:ASM407v2:2:21048145:21053888:1 gene:Csa_2G406760 transcript:KGN63178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVAAKIAPSMLSSDFANLASEAERMLKFGADWLHMDIMDGHFVPNLTIGAPVIESLRKHTKAYLDCHLMVTNPLDYVEPLAKAGASGFTFHVEVSKDNWQQLIQEIKSKGMKSGVALKPGTPIEEVYPLVESENPVDLVLVMTVEPGFGGQKFMPETMDKVRNLRQKYPSLDIEVDGGLGPSTIDAAASAGANCIVAGSSVFGAPEPSEVISILRNSVEEAQQKS >KGN61659 pep chromosome:ASM407v2:2:10701609:10703888:1 gene:Csa_2G222020 transcript:KGN61659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKPQKLIQIPRVKLGSQGLEVSRLGFGCAGLSGSLNSPLSHEEGCKIIKQAFIRGVTFFYSSDIYGADHDNEIMIGKALKQLPREKIQLATKFGIVPLGGLEFAVNGTPEYVRKCCEASLERLQVDYIDLYYQHRIDANRRNFYLLNLWLVDVKMGELKKLVEEGKIKYIGLSEASANTIRRAHAVRPITVIQMEELGNGIVAYSPLGRGFFGGKATAESLPSKTSLAYHPRFSKESLEQNEAVYRRFASVAVKHGYTTVQLALAWLLHQGIDIVPIPGTTKLGNLDSNIESLDVKLTEEDFKEIGDAVPVDEVRGQREYDVLTKYMWKFADTPLRT >KGN62135 pep chromosome:ASM407v2:2:14485028:14488455:-1 gene:Csa_2G301530 transcript:KGN62135 gene_biotype:protein_coding transcript_biotype:protein_coding description:actin MADAEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYMFTTTAEREIVRDMKEKLAYVALDYEQELETAKSSSSIEKNYELPDGQVITIGAERFRCPEVLFQPSLIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKGEYDESGPSIVHRKCF >KGN61259 pep chromosome:ASM407v2:2:5900302:5901289:-1 gene:Csa_2G074210 transcript:KGN61259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSYGVLFSFILLLFLAQNAIEGTSIHVNESDQEPIMVPLMETTTTTAMMMNSGGRRQLKSFQICALCTCCGGARGMCLPSPCCYAINCNIPNRPFGFCSFTPKTCNCFGCHI >KGN61836 pep chromosome:ASM407v2:2:12274603:12277099:1 gene:Csa_2G249900 transcript:KGN61836 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine protease MVKCFSLIVVLSLLAASAIGSEVISGESDGDFIIRQVVDDGGVNEGSNGDDLLLGADHHFSVFKQKFGKSYASKEEHDHRFRVFKANLKRAQRHQALDPSATHGVTQFSDLTPSEFRRSFLGLRSRRLGLPADANKAPILPTDGLPTDFDWRDKGAVSEVKNQGSCGSCWSFSATGALEGANFLATGKLVSLSEQQLVDCDHECDPEEKGSCDSGCNGGLMNSAFEYTLKSGGLMKEQDYPYTGTDRGTCKFDKSKIAASVANFSVVSLDEEQIAANLVKNGPLAVAINAVFMQTYIKGVSCPYICSKHLDHGVLLVGYGSDGYAPIRLKDKPYWIIKNSWGANWGENGYYKICRGRNICGVDSMVSTVAAVHTAAN >KGN61461 pep chromosome:ASM407v2:2:8454875:8455135:1 gene:Csa_2G131680 transcript:KGN61461 gene_biotype:protein_coding transcript_biotype:protein_coding description:CLAVATA3/ESR-related protein MAKHFEDYLKSQACFFLFLLVLLLLVVPHRCSTVPNTPSRPPSNFMAMFEAAMHEVPSGPNPTSNK >KGN61233 pep chromosome:ASM407v2:2:5611735:5611923:1 gene:Csa_2G072470 transcript:KGN61233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEGRIMVRDLFIDLMMDLNLTEELNNMRYVVPAPLNCGGIFMLKPNLRGKSWPLYHNQDVP >KGN62159 pep chromosome:ASM407v2:2:14603125:14609497:-1 gene:Csa_2G302250 transcript:KGN62159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQGGGSLASSLFLRSQSKNNPMASLFTSEQVIECYESFKNVLNVLVVGENEKRIIGTIIKEVENNIGKNTLLTNFKMGPLLILCKKFVELVEILKDGDPSKRDIVVLLLQDMLEVVTRDMMLNEVRELAELGHNKDSGRQLFAGTDTRPAINFPPSVTAQWEEQIRRLYLLLTVKESATEVPINLEARRRIAFFTNSLFMDMPRAPRVRKMLSFSVMTPYYGEETVYSKTDLEMENEDGVSIIYYLQKIYPDEWNNFMERLNCKKDSEIWENEENILHLRHWASLRGQTLSRTVRGMMYYRRALKLQAFLDMASESEILEGYKAITVPSEEDKRSQRSLYAQLEAVADMKFTYVATCQNYGNQKRSGERRATDILNLMVNNPSLRVAYIDEVEEREGGKAQKVYYSVLVKGVDNLDQEIYRIKLPGSAKIGEGKPENQNHAIIFTRGEALKAIDMNQDNYLEEAFKMRNLLEEFNEDHGVRPPTILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLARPLKVRFHYGHPDVFDRIFHITRGGMSKASLGINLSEDIFAGFNSTLRRGNVTHHEYIQVGKGRDVGLNQISLFEAKVACGNGEQILSRDIYRLGHRFDFFRMLSFYFTTVGFYVSAMMIVITVYAFLYGRLYLSLSGLEKSIMKYARAKGDDPLKAAMASQSVVQLGLLTALPMIMEIGLERGFRTAIGDLIIMQLQLASVFFTFSLGTKVHYYGRTVLHGGAKYRATGRGFVVRHEKYAENYRMYSRSHFVKGLELMILLVVYQIYGTAPADAIAYIFVTSSMWFLVVSWLFAPFLFNPSGFEWQKIVDDWDDWSKWINSRGGIGVPATKSWESWWDEEQEHLQHTGFVGRFWEIVLSIRFFLYQYGIVYHLHVAGNNKSITVYGLSWLVIVAVMVILKIVSMGRKKFSADFQLLFRLLKLFLFIGSVVVVTMLFMLLHLTVGDIFASILAFMPTGWAILQIAQACRPIMKAIGMWGSVKALARGYEYVMGVVIFAPVAVLAWFPFVSEFQTRLLFNQAFSRGLQIQRILAGGKKNK >KGN62577 pep chromosome:ASM407v2:2:17188614:17190064:-1 gene:Csa_2G361430 transcript:KGN62577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGFHSHCFGYKYHSPLLFGLQVAIKQRKTEDFLRLASSALWSTIFTSSGAALDKIRPDFCHLLGIGYHESFTSPLSGYAYA >KGN63196 pep chromosome:ASM407v2:2:21187268:21187868:-1 gene:Csa_2G408420 transcript:KGN63196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIGARSAFRSFSGSARRAAAHIGSQPKPSPSSPFRMATNKPLSHRTFRCAPEMSFCLESMMPFHSVSSSALMTSMLSISRHSCGWLPEGA >KGN62453 pep chromosome:ASM407v2:2:16563649:16565263:-1 gene:Csa_2G354790 transcript:KGN62453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALGVFSDGEWESFAAMFSSEEINQTHIPMTNCCSTELHQLPNNGGGGGSSSWFYSLDAFVPNLQSYCKQDIRTCSSASVLIPNSSDFFMPIDERNFGSEFFSDVLIEEVNNALAVDAEGEDSGMARFIENNVQQSNHPPPSPLLDSACAGNKLPIALKRKVNNGDISDNQKKKTRTTSNVQRKKKTEDQKKRGENVEEEGQSSISYNSDQEENSSEEANGGGSGATSDGGVNRKSRASRGSATDPQSLYARKRRERINERLRILQKLVPNGTKVDISTMLEEAVHYVKFLQLQIKLLSSDEMWMFAPLAYNGMDIGLQHNLSPFLSH >KGN62759 pep chromosome:ASM407v2:2:18365428:18372368:-1 gene:Csa_2G370590 transcript:KGN62759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVAGDLGFQSLVLTVCLVFPIIGLFLRHKWQLGMARKEEINRLLMFASEEAARVELQASFGCIPAPISLNHHCALCLSPTTTRCARCKAVRYCSGRCQIIHWRQGHKNECQPPKTLDWSLEKENDPKDNLENQNHSGSYDKNFDTDNGEHVEPDKILFAKHASPDSGYSSVPSQNDISVKLHADEEENSTPKLPDTTSYEFHTSALDIESSDDASVSENNSESGSPRSDGYLSAENSFDMDGATSKVLNVDHDPNKPLSSNNAHLVNSVDIYAKLKTESQLVFNRIGPEYNIPLKAAKVRSVAGSGEVTPSNSEENNGKFVGAKGDVREDSVPSNSNGANNRNFSEGHSLLHFSFSLPGVTSQSNAQVAEVNEHVADGNLPTTSGMNRTVESSLLLDINTESLKVRNSPSSCKGSQDKVNTAKGLPNHCVVNSVSSSSSFINPFPVASKFESRSIRDSNISMSIPTKSERLGSVFIEPGTTSSISKHSGNGSLVDGSSVHLPPSNGREPVPPTDSRKIGTTQVSAGVSSLDANFSSKSAYGFRPFAPNELKRSKSHRGYVANGGGNAGKCNNKEDSLYELFVNLYNWNKVELQPSGLVNCGNSCYANVVLQCLTFTPPLTAYFLQGLHSKACAKERWCFTCEFESLILEAKEGKSPLSPFRIISQLRKIGSQLVNGKEEDAHEFLRCAIDTMQSICFMEAQASRSGPVEEETTLIGLTFGGYLLSKIKCTRCENKSERIERILDLTVEISGDIETIEEALQQYTSPEILDGDNRYHCTRCNSYVKARKRLSILEAPNILTIALKRFQSGKFGKLNKPVIFSEILDLAPYMSSRSDKSPVYRLYGVIVHLDVMNAAFSGHYVCYIRNNQNKWFKVDDSTVTAMDVKNVLTRGAYMLFYARCSPRAPRLIRNKITTDSRNRLISSLINGTSSSSKSSPNSTYPDSQNLNMNNNSSNDPSNISSFYRKFHQLQKLLEEDLTSDSSSLFSSHSDESSCGTNSSSDSTSTDDLPEYFYSSDSGCGWNGLQTPDIDASLPSSSSSSPLLHPGDLRRCGAVGAKTTEQPQPNYTNGISNLSSRRECNGREKTTCLKEGKDGVWLHSDPSRQCSNVMSNG >KGN61217 pep chromosome:ASM407v2:2:5443199:5455645:-1 gene:Csa_2G070340 transcript:KGN61217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGICLSGEAKGDRLRGSSRRNSEMARESSSGPERTTSGCSMVNKHIDVLRETPAYSDVEIFAYEEMKLATKNFRPDLILGEGGFGVVYKGFIDENIRPGFKTMQVAIKELNREGFQGDREWLAEVNSLGQLSHPNLVKLIGYCCEDEYRILVYEYMASGSLEKHLFRRVGSSLSWARRIKIALDAARGLAFLHGAETPIIYRDFKTSNILLDADFNAKLSDFGLAKEGPMGDQTHVSTRVMGTYGYAAPEYVMTGHLTARSDVYGFGVVLLEMLIGRRVMDKTRPSREYNLVEWARPLLNHNKKLLKILDPRLEGQYSNKAAMKVANLTYQCLSQNPKGRPLMSQVVEMLEGFQSKEDTLPSEVRGGQILYQHTSDSTQ >KGN60999 pep chromosome:ASM407v2:2:3313242:3314155:1 gene:Csa_2G034520 transcript:KGN60999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCCQSLLLVQKISELYHKISTLESLKPSKHVNSLFSQLVLLICTPSSSSIDVSTLCSTIQTMRSHLIKLCGEAESLLEHHYSTILSSHQNPLDHLSLFPYYSNYLKLTHLEFSILNHHAPHSTTTPPSKVAFVGSGALPFSSIIMALKYFPKTEFHNFDLDPSANAKASMLVAGDVELSRRMVFHTTDIMDVGSEELREFEVVFLAALVGMEREEKGKVIEHLRKNMSGGALLMLRSAYGARAFVYPVVEACDLRGFDILTVFHPTDEVINSIVLARL >KGN62124 pep chromosome:ASM407v2:2:14381526:14386477:-1 gene:Csa_2G299940 transcript:KGN62124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLFFSLSSLSSSLSSSSSLSFPSRPLLLHFSQFSTSSSWRPDEDSRNVKVSVWWDFENCSIPLGTNVFKVSHLITSAVRANGIKGPLQIYAFGDVFQLSRANQEALSSTGISLNHVPHGGKNSADRSLLIDLMCWVSQNPPPAHLFLISGDKDFASVLHRLRMNNYNVLLASTECAPDVLCSAASIMWHWHALIREENLVGRHFSRPPDAFYDHFKVPLEDPFSVNGKENLRVEEVSELSTDPMPRPVPKAVIRQIHNILRLYPKGISITDLRSELGSCIYIDKDLYGYKKFSRFLQSMPQILKLQANGGGHFIIRSVTPKQPKEELESSIGTFCNGTEEQDPNLTAKLSNNDSPTEPMCVPVLSDAHTQSRPLKEKPTSEFGKLIGEAMEGEPSRSPVSEHRAIEDSKQTNKVEADSIEADSNTTPSIGEHSKAKMEFLRRIWRRLSGNNDTMSGNGSNCISEKCSTTDDTSKQKSCGGLVANYSSDKLGEAKTEERTAEPMSEDANSVHQVLNSPPDCESVKPLKEVIVASAHDDKSSSNQGLLGSIRNWFKLWGKSTENREVSEHNCEQNQLKNQSGKHHLFSSSSTENSEVSEHSCEQNQLKNQSGKHHLSSSSSTENNELIEHSCEQNQLKNQSGKHNLFSSSSFWQDMQSFMETPTGVEIISRSKTRSEIAQNLLERGPPILNTLSTSELFDLLELLISDKKWVEEFPSKIFPFKLTLSISRKNSCMKRLDRANGLASIFANKESRLSFQGPRKHDSDSDKKNENIPPEAGTNKIMTENKFRERTKYDMLGDCQNLVDEILRDHPEGYNIGNFRRQFLEKYGYHLDLKKLGYPKLASLLQIMPGVTILSTFIVPTSNAPNDSMLETTLPSNSEKKTFDAVAKSNSDNESSDLPKKDDDSESVWEELGPACADGSNKEELTLSSETTEATEKETKVYYEPFLSEDETDGESCSATEVPAKQPTREEESSLIHILDSWYSSKENIRKDKTENRDETFVFSEDSLKLASLASKNEAKTGSIGTKKRHRKSYCFVSDTTENSKDKLIDGILGTLKKSSESQDKLNQA >KGN62272 pep chromosome:ASM407v2:2:15540698:15548308:1 gene:Csa_2G347110 transcript:KGN62272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEIWKLSHLLVTLFLYTFATMMIVPAITDVTMSALCPGQDECSLAIYLTGFQHAVMGIGALLMMPLLGNLSDKLGRKTLLTIPMILTVVPLGILGYGRSRNLFYVYFVLKCVTSIVCEGSVQCLAVAYAADNVPEHRRASAFGLLSAVGSSAFVCGTLCARFLSISSTFQVAAFTAAVAVVYMKIFLADSVAECIISAPLLSGENVESVSSDPVSLKKEQIITTLPSIKDLFALLNISLTFSLAAIVAFFGNLADVGLYASLLTSNVLMNFNRVACLGQSLLLMPILIPALGENRLLSIGLFFNCIHMLLYSFAWADWVVYVAPMFSTLFIFWRPCLQSIVSKQVGASEQGKAQGCISGISSFAHVVSPLVFSPLTALFLSQNAPFYFPGFSIMCAGSIAMIAFVQSIMIRDPSKANSCSHVEA >KGN61775 pep chromosome:ASM407v2:2:11740802:11743437:-1 gene:Csa_2G239400 transcript:KGN61775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPLLKRTLRPIGNSTVHLQFSSSFSSSSPPTDPSPSTKPSISTVVSVLTHQRSKSRWRFLNSLCPNGFDPGEFSDILLQIKNNPHLALRFFLWTQNKSLCNHNLISYSTLIHILARGRLRTHAKDVIQTAIRAAQLEDSDNYSKTERFSPSRPLKLFETLVKTYKRCGSAPFVFDLLIKALLDSKKLDSSIEIVRMLRSRGISPQVSTLNSLILLVSKCQGANVAYAIFREVFGLDCEIEEEHVKLKGRVSPNVHTFNTLMDCFYRDGFAGRVKEIWDQLADSNSTPNSYSYSILMTVLCEEKRTGEAEELWEEMKMKKLEPDVVAYNTIIGGFCKAGHTHRAEEFYREMELSGIESTFSTLEHLINGYCDTGDVDSALLVYKDMRRKQFSLNASTLEGLIRMLCAERRLLEALDVFGFAIEYSSFCPTMETFEILINELCQEGKIEGAFKLQAQMVGRGFKPNLKIYQSFIDAYTKEGNAEMVEKLWKEMHEIQLS >KGN63244 pep chromosome:ASM407v2:2:21624301:21624583:-1 gene:Csa_2G416830 transcript:KGN63244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGRPFARFLIYIALEFSYRGLVMAAMTQKSEANEAIRAVFCRNEIED >KGN62562 pep chromosome:ASM407v2:2:17128714:17128863:1 gene:Csa_2G360790 transcript:KGN62562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLYESNLFGLRPSKFNIPARGYILHRPLSHVPIRYSGEPPMAMAPTNV >KGN61951 pep chromosome:ASM407v2:2:13106242:13106736:1 gene:Csa_2G271440 transcript:KGN61951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSPSPSPSPSPTSFLSPNHPLSSPELEFSDDIEMTPLQSYTSLKDLLPDSPSPPPSATSPAFDQLPIKNPLVKHAALAYLQPMLTPPTTSHPTFLRILKKHGFGCIEWLAAVVHEVRRV >KGN62488 pep chromosome:ASM407v2:2:16729171:16729415:-1 gene:Csa_2G356620 transcript:KGN62488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRVALTLKASEVDPSTTIVIAKIIVKYQRRPSKSKVGDKPPSKSKPRVTKKIYQ >KGN62673 pep chromosome:ASM407v2:2:17755418:17756260:-1 gene:Csa_2G368250 transcript:KGN62673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMEKRQFRSVKEAVELYGQRILAPELYANVLQEMENEENEHENNLLKIKMVRDELDIAKQNLEKAKERSVAMARSFSSLQEELEETKRKLQMLKEKSDYDIEFVGHSLKFDSKTQSQRFEVEEEEEEESEVFEKRQVIKMNGKEFSDIQSMKKKKASKKKKAILFIGGFFSKKK >KGN60808 pep chromosome:ASM407v2:2:1983994:1988245:-1 gene:Csa_2G010920 transcript:KGN60808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGQNDEYRAILINFEQTELRLGLPGGDGGGGKSSSAGKRGFMETVDLKLNLASSMASTKEEATNLEEIKTSSQQPNDFAKPPSKAQVVGWPPVRSSRKNLGVVSSRKGGDEGGAGGSFVKVSMDGAPYLRKVDLKLYASYKELSHALAQMFSSFTIGKCESEGMKDFMNESKSVDLLNGSEYVPTYEDKDGDWMLVGDVPWEMFVDSCKRLRIMKESDAIGLAPRSMEKQKNNRS >KGN61833 pep chromosome:ASM407v2:2:12250092:12251895:1 gene:Csa_2G249870 transcript:KGN61833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKKEEKSQAAAERIKAAALSAAKGLSRAQAERAAAAAARNVNAYGQKEEGPSRWQEKREAKRQMYLMSTEKAVRLGERKDIKGSMSGAGGAAAQCQKCYQMGHWTYECKNERVYISRPSRTQQLKNPKLRMKMEVSLELDNPDPGEEKKPKKHSKKKSKRKHRSNAKSNSDSEASVFETDSGSSSVTESDDSSEESSSDYSSSSESESERSRRRRKKQKRGRRKRRYSSSSDSSDSDSRSESESDSDNERSRRKSRRHSRKR >KGN61384 pep chromosome:ASM407v2:2:7662998:7664713:-1 gene:Csa_2G108620 transcript:KGN61384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERNNETTGNDVEEEEEEEEVIFPLPGFRFHPTDEELVGFYLKRKVQNNPISTELIRQLDIYKYDPWDLPKLAWTGEKEWYFYCQRDRKYRNSARPNRVTSVGFWKATGTDRPIYSNSKSKSTIIGLKKSLVFYTGRACKAIKTDWMMHEFRLPQSPPNESWVICRIFKKTNISAAQRAASSAIPKQHETMREKPTSTTIDIPLSTYDPFHYFEAKLPDLSVPSEPFITAPPPMEMSDIAKSAIDVSSILFNISSSLLEDNPNDPFTSLFHSHSHGHSHGHPHPHPDTFSSISTLLHQQNASLLEYSHYPDLPLSNLNSIWDKLMN >KGN61712 pep chromosome:ASM407v2:2:11206872:11207156:-1 gene:Csa_2G230960 transcript:KGN61712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQLQNPQLLTTKQSYKKISGSGVRTKPKQLCRTYPTANFEEQIGADDGGQLGRIGRSTHNLDLTPSARVHDRHPTMVASRVPDEDLAAMMVCR >KGN60994 pep chromosome:ASM407v2:2:3281833:3284095:-1 gene:Csa_2G033980 transcript:KGN60994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDKHCHWSQWQLLDSILPTGGFAHSFGLEAAIQAQIVSSPDDLKTFVIHLLDNTGSLFLPFVHSATQSPDFETWKKNDMLLDAMLTNEVSRKASVTQGSALMRVSAIVFSEIPSLKAMRENLYGTGAVSFHHAPIFGLICGLLGWDGTMSQRAYLFITLRDVISAATRLNLVGPLGAAVLQHQLAFVAEDILKRWMNRPVEEACQTVPLLETVQGCHSCLFSKMFCS >KGN62028 pep chromosome:ASM407v2:2:13685448:13685934:1 gene:Csa_2G287100 transcript:KGN62028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGAKMEETDQSVAQHRGYGKAATDPQRKNEKFGEKWTGRWGLNEEKKIKVQIVNWESRRPSSLVFRQPVMGSALSLHKWSISQGKTKEMIQFNKLL >KGN61787 pep chromosome:ASM407v2:2:11874955:11879428:1 gene:Csa_2G245510 transcript:KGN61787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSGSPSPSSDSGSSSPPPAPPTAPPPDSSSSSSPPPPDSSPPPPDSSSSSPPPPDSSSSSPPPDSSSSSPPPPDASSPPPPDASPSSPPPKDPPSSPPPKKGDGDGKSSPSPPSPDSPFSPPPPPPPPPPPPPPPPPPPNDSSRHSPPSPRSINSRSTDSSSDGSAPEAMPIIVGVAVAAGFLLFAMALIFFACTRKKKKQNNSSMYYDDPSGPKGGDPYYNNGRNHNWNNPGEHYVNMAPITGGGGQVGVWPSPPPPPPPPGASSDMSSNFSGPQGPPLPPPHPAVALGFSKSTFTFDELMAATSGFSPANLLGQGGFGYVHKGVLPNGKEIAVKSLKTGSRQGDREFAAEVEIISRVHHRHLVSLVGYCIAGDRKMLVYEFVPNNNLEFHLHGEGRPPLDWSTRVKIALGSAKGLAYLHEDCHPRIIHRDIKTANILIDMSFEAKVADFGLAKLNQDNYTHVSTRVMGTFGYLAPEYASSGKLTEKSDVFSFGVMLLELITGKQPVDATGEMEDSLVDWSRPLCTKATSPEGNFELVDPRLEKNYDIQEMACMVACAAACVRHSARRRPKMSQVVRALEGDVSLEDLNDGVKPGQSSYFGSGTSSEYDASSYSADMRKFRKVALDTHEYASSDYGGTSEYGLNPSSTSSEISRKPSALSSPITGQPSNP >KGN62446 pep chromosome:ASM407v2:2:16519580:16520355:1 gene:Csa_2G354720 transcript:KGN62446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNKNKKTSAYFWTFPSKEYKNSGSKRDFEMKTHQLENSHPYPSLLLSIWSLKKLILLHSSISSAKHLRLFSSLRSESSATLTWAFPMLFSFFVYDDIISAERSFVYVGMDFVPLPVGAEFADAYGFPQFTLSPSVLRFDGSKDLD >KGN60815 pep chromosome:ASM407v2:2:2052684:2055387:1 gene:Csa_2G011480 transcript:KGN60815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEEQIISEFQEAFCLLDKDGDGCITINELATAIRSLHHNPTEEELQIMMNEVDVNGNGYIEFGEFFNLMAKKMKENEAEDELREAFKVFDMDDDGKISPNELKNVMIHMVEKLTDEEIEQMVNEADLDGDGLIDYEEFVKMMLLI >KGN60525 pep chromosome:ASM407v2:2:186790:189652:1 gene:Csa_2G000340 transcript:KGN60525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKDTSMNSNLKLILPPPDELTFGFITRSGTFTDGDLLVNKDGVRIVSQTDDESPPPIKPSDNHLSLADLDSIKVIGKGNGGIVQLVRHKWTNQFFALKVIQMNAEESYCRLVAKELKINQLAQNPYIVVCYQIFYDNGAIFIILEYMDGGSLADLLKKVETVLEPYLAAICYQVLNGLIYLHHEKHVIHRDLKPSNLLINHRGEVKITDFGVSAILANTADQANSFVGTYAYMSPERLNGDKYDNKSDIWSLGLILLECATGQFPYAPPDKEKGWEGFFDVMVAVVELASPSAPEQFSPEFCSFISSCLQKDPQKRSSARELLVHPFIKKFENFDVDLAAYFKDAGSPLATF >KGN62328 pep chromosome:ASM407v2:2:15847327:15851224:-1 gene:Csa_2G349620 transcript:KGN62328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKPWGGIGAWAADAERAEAEEREAQAAAAASQSESKNFPSLREAVSTKPKKKKMSLSEFTMGTLTGPGTGGRLTDTQGLTPEEMIRLPTGPKDRSGEEVRSGRLGGGFPTYDRQGMSAGRFRDRDEGDGSWGGGRRSYGGFDEERRGPSSRASNFDQPSRADEVDNWAMTKKSPTLDSGRQNRYGSLGGGVGGIASRADEVDNWASVKKPIPSRSSTFGSGYREANEPDRWGRGRSRDSDTERPRLVLDPPKGNVATNEATRTNKPSPFGAARPREEVLAEKGLDWKKLDTEIEAKRTSRPTSAHSSRPSSAQSSRSEGPGLQGLENVAKPRPKVNPFGDAKPREVLLEERGKDWRKIDLQLEHRAVDRPETEEEKMLKEEIDVLRKELEEGLSLNANKESVQESAGEMQNLRDRINKKEHELETLVLDLDDKVRFGKKATERPGSGAGRAAVFPERPGSGAGRATVFPERPPSQGSFDESRSFESVDRPRSRGTADVWSRPADDRKPIQGARDRGFLGNRDMERSRDRW >KGN62449 pep chromosome:ASM407v2:2:16533506:16534956:1 gene:Csa_2G354750 transcript:KGN62449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLREKSKSFKCLSKLSGLTVPTVKPKAVPSSPAASPPSLEMDPGIWSRLPPELLDHVLSFLSLRTYFNLRSTCKHFDSLLYSPSFVSKHSDSSFSSFLLLAHPQCFSQFPLYDSARGTWRSFPLSLSVSLLSSSPSTSLLSTANGLVCFSLRHSGSFLVCNFLTKSSRLIEFPYHPFAFELLTLVSVPLGYKIFMLFFDSALVFDSRNHSWRRFDNFEPIIGDNHRQEAAYYNGRLYFVTPEPFSIVSFDLDNGEWEQTDIVMPEELTFVRLVSDGDTKLYMIGGTGRNGISRSLRLWEFSEQGNWVEVESVPQMICKKFMSICYHNYEHVYCFWHQGTICLCCYTWPEILYYKICRRSWHWLPKCPSVPERWSCGFRWFSFVPELNASA >KGN62721 pep chromosome:ASM407v2:2:18043648:18046488:-1 gene:Csa_2G369230 transcript:KGN62721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVSDQTHHFLSKFWVETQKLWLIVGPSIFSRVSSFTMNIITQAFSGRLGDVQLASISIANTVIVGFNFGLLLGMASALETLCGQAYGARRYHMLGIYLQRSWIVLSLCCFLLLPFYFYATPVLKLLGQDDDVAEQSGVVAIWLIPLHFSFAFQFPLQRFLQSQLKTQVIACVSFIGLATNILACWLFIYVWEFGVIGAAIALDIAWWVLVFGLYTYTVGGWCPLTWTGFSSQAFHGLWDFTKLSISAGLMLCSENWYYRILVLMTGNLKNATIAVDALSICMSINGWEMMIPLAFFAGVGVRVANELGAGNGNAAKFATIVSVVQSIVIGAVICVVIMIFHDKIAFIFTDSSSVVGAVDTLSSLLAVTILLNSIQPILSGVAVGSGWQSKVAYINLGCYYLIGLPLGFIMEWVFNSGVLGIWGGMIFGGTAVQTIILLIITMRTNWEVEAQKAQEHVEQWSSPQEIEKPLLA >KGN60685 pep chromosome:ASM407v2:2:1203375:1203821:1 gene:Csa_2G006800 transcript:KGN60685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERPVEMFDETMVDCRGLLPKIKTDGISFGKLGYLAGCNGAKVVAFRTNESTVDDFRKQVISSSSSEDSHAITSYHRRVFKQTGAGHISPIGGYHAGKDMVLILDVARFKYPPHWVPLTLLWDAMNTIAIELPRGYMILSKPTSDGLR >KGN63008 pep chromosome:ASM407v2:2:19948757:19951095:1 gene:Csa_2G382810 transcript:KGN63008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPLSAVGTSFRALANLLLNHSLAVKLGTIADVYTCNNILNGYWKCKELRSADVLFDEMPMRDSVSWNTMIAGHINCGNLEASWDVLRCMRSCGFELDRYTFGSMLKGIAFAGMFHLGQQVHSIIIKMGYAENVYAGSALLDMYAKCEKLEDAYLSFLSISKHNTVSWNAMINGYAQAGDRETAFWLLDCMEQEGEKVDDGTYAPLLPLLDDADFCNLTSQLHGKIIKHGLELVNTMCNALITSYSKCGSLDDAKRIFDSSAGIRDLVTWNSLLAAYLLRSQEDLAFKLLIDMQEHGFEPDLYSYTSIISACFNENISNNGRSLHGLVIKRGFEQSVPISNALISMYLKSDYGSMKEALCIFESLEFKDRVSWNSILTGLSQTGSSEDAVKSFLHMRSAAMDIDHYSFSAVLRSCSDLATFQLGQQIHVLALKYGLESNEFVSSSLIFMYSKCGIIEDARRSFEEASKNSSITWNALMFGYAQHGQCNVALDLFFLMEEKKVKMDHITFVAVLTACSHIGLVEQGCKFLRCMESDYGVPPRMEHYACAVDLYGRSGRLEEAKALIEEMPFKPDTTVWKTFLGACRSCGNIELACQVAGHLLEMEPEEHCTYVLLSNMYGNLMRWDEKAKVKRLMKERGVKKVPGWSWIEVNNNVHAFIAQDHSHPSCQQIYFLLEVLLEEITRMEDADGFKSFLEQEELSYANA >KGN61326 pep chromosome:ASM407v2:2:6768324:6772325:-1 gene:Csa_2G083730 transcript:KGN61326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGLSVHQLQFIFGLLGNIISFLVFLAPMPTFWTIYKKKTSEGFQSIPYVVALMSAMLLLYYAALKTNAYLLVSINSFGCVIEVIYIALYLFYAPKKQKIFTLKLFIIFNLGFSGVMVGGTMFFLHGMKRTNAVGWICAAFNLSVFASPLSIMKRVITTKSVEYMPFSLSFFLTLSATMWFFYGFFIKDLFIALPNVVGFLLGMVQMIMYMIYKDSKGKVEEKLEEGAKFCEEDDQTLSIVKTQSETKEINMAETNHYKIHE >KGN63243 pep chromosome:ASM407v2:2:21609119:21616711:-1 gene:Csa_2G416820 transcript:KGN63243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLRPRKPASNVLIEEGNVDGDFSDDIDVSSLVSDCGSEDLSSSSEDFSEHSTKKSRARTQKKRIKKDGPSIEQEVGSNVGNDENLNNPRPEIADSQGVVDIEKPKTKYSRKKKTKPTLLWNIWEEEYERWIDENIEKDFDLANQNEVFAEAVETPAALTMPLLRYQKEWLAWALKQEDSSIKGGILADEMGMGKTIQAIALVLAKRQLSGTAGLRRPSSNPSSSKDLPLIKATLVICPVVAVSQWVSEIDRFTSEGSYKVLVYHGPKRERSLEVLSEYDFVITTYSVVEADYRKYLMPPKDRCPYCSKLFHKKNLKFHLMYICGPDAVKTEKQSKQQRKRPIQPQICKQEKSDKDKNNNVHKSGGQKSTLGQTVEEHENDEKHRGNSILHSVIWDRVILDEAHFIKDRLSNTAKAVLAISSSFRWALSGTPIQNRVGELYSLVRFLQIVPYSFYFCKDCDCRTLDHSSLTCPNCPHKRVRHFCWWNKNISQRIQNFGRGPEFKRGMILLKHKILSTIVLRRTKKGRAADLALPPSTVSIRRDTLDIQEEDFYESLYNDSRAKFNTFVAAGTVTSNYAHIFDLLIRLRQAVNHPYLVVYSKTNAINSGNIDDSDSNNKQVCGICYEPAEEPVDTSCKHTFCKACLIDYAGDFSKPVSCPSCSKMLTSDFITSMAFKDQTVKNKIKGFKSSSILNRIQLENFQTSTKIEALREEIRFMFERDGSAKGIVFSQFTSFLDLINYSLSKSGITCVQLVGSMSLTQRADAINRFIEDPDCKIFLMSLKAGGVALNLTVASHVFIMDPWWNPAVERQAQDRIHRIGQYKPIRIMRFFIENSIEERILKLQERKELVFEGTVGRSNEALGRLTLDDMRYLFL >KGN60545 pep chromosome:ASM407v2:2:302621:304092:1 gene:Csa_2G000530 transcript:KGN60545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSIPSHATVNSTATTNFKSRILPFHFPVLKSFRSTLLSTNALSNSRFRKSAHQYDAQSTTNTLSKSQNRTSDSVYSLPSTVDLLALCEEGKVIDVLEYIGQGAKVDYGVFTALLNSCCNLKLLEAGRRVDGLLKGTKFRGDLELNNRLIEMYSNCGCMKDARKVFDKMANKDTSSWNLMIKGYGDNGEGDNGLALFEQMKNAGLQPNSETFLVVLAACAMAEAVKEGVFYFKIMANEYGINPEIEHYLGVVDVLGKSGHLIEAEEFIEKMPINPTAKIWDALRNYARLHGNMELEDRAEELMFSLDPSTTSTTIKPSLPPRRKQSSTNMLEEKDRVREFRCAMPYKEEGEGRLKGLNGQMREAGYVPDTRYVLHDIDEEAKQQALQYHSERLAIAYGLISTPARTTLRIIKNLRICGDCHNAIKIMSKIVGRELIVRDNKRFHHFKDGKCSCGDYW >KGN61754 pep chromosome:ASM407v2:2:11616650:11616982:-1 gene:Csa_2G237725 transcript:KGN61754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYLLQIIHAVNSSLPKTHNSSASTLQYSQATSQMPNGPKLGFVITLKPQTWPILPALDLAWGSILEREERERCLDTSSIVHVHSSVMEMPRWILRSFSSNRCYFLSHCD >KGN62666 pep chromosome:ASM407v2:2:17703185:17703532:1 gene:Csa_2G366710 transcript:KGN62666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSTKLTHTAVVKQIKKRCSSLRIAKKNKNNNNNNTHLQCGGGEEIPVDVPKGHFVVYVSENRSRYIVPLTFLTRPEFQILLQLAEEEFGFSHNMGLTIPCEEQVFQSLTSMLR >KGN62131 pep chromosome:ASM407v2:2:14455405:14458482:-1 gene:Csa_2G301490 transcript:KGN62131 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zonadhesin MGACATKPKADGALAPAPEPEKKDVDAAVAVLDAVDPQKTVEVKAVEVSGEGDQSDKGKEVVDVDDDKVDDQSVKRRSLSNLFKEKEGSESIDGEKPIGETETEIQTKEIDIKAPQTEVETEKCIEEPEAKVPQTVVVKEKHIEEADIKVPQTIAETEKHTEESETKLPQTVVETEKQTEEVEVEVPITVVETKETETKAPHPVVEIEKSEIPNERIKVTDVTTTSETITVEKVIAPSPSDVTPTSETSEEKRSEEVKVPEKVEKAEVVTLVEATPAPDESITSEKKKDDSSDVKKTETETPKETEPKPVAPTETSAEPAEVKNEVVKVSAEEKISS >KGN62588 pep chromosome:ASM407v2:2:17235991:17236828:-1 gene:Csa_2G361530 transcript:KGN62588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSFKLKVRLFISFFRFCRPKYLNALTFPKTSSPENSPYRKQIPANPESCYSCYPNPPSPPPSTPVDFFADPSKEKSTSICSSCKLKSYARKNGLLQGKESRAETEHEISSEENQRSTPFSWITRKASKIKKKLKKTGLRSKPLKANGYGEKESEETDALVNSSISFSDDVSPVKRSKRALYLRKLEGKMGKSFVQVKRSKEPQEDFKRSMAQMILEKEIFEIKGLEELLQCYLTLNSPEYHRIIVGAFSEVWEFLFYDSHLNKAVQRD >KGN62852 pep chromosome:ASM407v2:2:19025970:19026662:1 gene:Csa_2G377880 transcript:KGN62852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPPLFFFFLFFLLFNLPPSTSAVPTSTETLLRFKSSLTNTLALSNWNSSVPLCSGDRRFWTGLICKNDQLYGIRLENMSLGGTVDTAALAGLPTLRTLSVMNNRFEGPMPDVKRIGALRALYLSNNNFSGSISGDAFEGMGNLKRLYLSGNGFSGEIPGSLVELKAVVELGLEDNMFEGRIPDLGERVWKYLNFSGNRLDGPIPYGLSKDSNFTSYLGKFTLLNPLLN >KGN63248 pep chromosome:ASM407v2:2:21659176:21662013:-1 gene:Csa_2G418350 transcript:KGN63248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSSFKLEHPLERRQVESARIREKYPDRIPVIVERAEKSDVPEIDKKKYLVPADLTVGQFVYVVRKRIKLSPEKAIFIFVKNILPPTAAMMSAIYEENKDEDGFLYMTYSGENTFGAC >KGN60578 pep chromosome:ASM407v2:2:481541:482003:1 gene:Csa_2G000850 transcript:KGN60578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWGNFRSSHLSLTEYDHALDAESLNLGEQNHPLPLLFVLRPRSETKTHFLSQNAAQPR >KGN62027 pep chromosome:ASM407v2:2:13681663:13685954:-1 gene:Csa_2G287090 transcript:KGN62027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGVDVKTGYSRSRRILLSLLSAHGSSVDKKAVQDDRLNNLLESSNTVKLEMDQVEEVETKKNSSPKIGLHNSLKQEIIQLEKRLQDQFKLRSTLEKTLGHGVFTCNESDKISMPKSAVELIKEIAILEVEVVHLEQYLLSLYRKAFDGQSSSSSPSAKDEKSKLPSILKGRGMESPLSDVAPKYVNSTFPSACLSLQNPRKDYSDIGRDEKLLVADYPRSQSSLTTVDAASLDKVSTSVESLDGTLRACHSQPVSMMEYAQNVSSNIISLAEHLGTRISDHIPETPNRLSEDMIKCISTIYSKLTEPSSLNLGLSSPVSSLSSASAFSPGEQSAMCSPGFRNNSSFDVRLDNPFLIEGLKEFSGPYSTMIEISWICGDPQKLCHVKSLLENFRLLISRLEEVDLGKLSYEEKLAFWINIHNSLVMHTYLAYGVPQNNVKRAFLLLKSAYNIGGHTISVDTIQSCILGCRMPRPRQWLRLLLPSRTKFKIGDERQTYIIDRPEPLLHFALCSGSHSDPAVRVYTPKRVFQELETSKDEYIRATFGVRKDQKLLLPKIIESFVKDSGLCSFGLMEMILKSLPESLRKSVKRSLLGNPRKNVEWIPPNYTFRYLISKELII >KGN60704 pep chromosome:ASM407v2:2:1340104:1343768:1 gene:Csa_2G007970 transcript:KGN60704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAIVCGKRSLFEDLPTPPVSKRIRCSSSSPVRFSPPRSSNHSVSPFPQTSSSQSAYLVDYLRAIFPDMDKQLLERALEECGDDLDLAIRSLNQLHLGYNDRNLGSASNSSDVALEANVQPQSQDTQGEAAIAEDATASENLPTNGAEWVDLFVNEMTSASNMDDARSRASRVLEVLEKSICARANAEAANNFHQENKMLREQVEALIQENTILKRAVSIQHERQKEFEGRNQELQQLKELVSQYQEQLKTLEVNNYALTVHLKQAQQSSSIPGRFHPDVF >KGN60520 pep chromosome:ASM407v2:2:164977:167476:1 gene:Csa_2G000290 transcript:KGN60520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWFQCEDCGDNLKKPKLPNHFRTCSATKLSCIDCGQTFGQESVQGHTQCITEAEKYGPKGQGAAMSVTTPKSNKDSKQQPDFDILVGLSERPPWFCSLCNTKATSKQTLLLHAEGKKHKAKARGFHAAKKQSNQTEETVPDQKLAAVGTPKCEVAVNGRVGAEKLQDQLEAKSELGKFEEENGASHTKKKRKRDAVDGASTEKTKDDDPNRGNGEVIQATEAEIEPIKDEARASKPLKEDLKMKIKWKKLITSILKSNPDGVLKLSKLRKLTLKSIRESGVTEDETKLIGMLDQKINSSSKFAVEKKYVRLTAKA >KGN60601 pep chromosome:ASM407v2:2:643713:643985:-1 gene:Csa_2G002800 transcript:KGN60601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSNSSSSREAFLQEVLSELRSPTPSSPPLSIWIPLILFLRGKPFFKRSCRSFGFLLHLTHLFLWIPLILLLRKKPSLNIMLNSKPNLS >KGN63169 pep chromosome:ASM407v2:2:20998807:21000763:1 gene:Csa_2G406670 transcript:KGN63169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLNLLSSFKGLSLASSSSFFKGDFGSIHTSPKLSVSFPNIFPLTIENAHKKGAGSTKNGRDSKGQRLGVKIFGDQVAKPGSIIVRQRGTKFHAGKNVGLGKDHTIFSLIDGLVKFEKYGPDKKKVSVYPREVQPENPNSYRARKREYFRLQRERKKARKEGIIAQPQLLLASTDDVETNPVC >KGN62335 pep chromosome:ASM407v2:2:15880704:15883739:-1 gene:Csa_2G349690 transcript:KGN62335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGETGVIRLQKSLDPAAQEFRPGYVTNLPALFGPPVRHVYYSFGTPFPPSTNELQVEPFCNSVLTRSPNFPIDFNTAFVNPVEDIAVPEVQPLSSSPTRSLLLSAVPSDVSESVVRRDLECFGDVRGVQMERIRNGILTVHYYDLRHAEKAFRKMRSQNLMRRKQFRNQHSRFLQNNFDTPPRLARALIGGCDVWAEFVIPTSNAAVPDGNNQGTIVVFNLDLGVCASTLKEIFERFGPVKDVRETPLKKHQRFVEFFDVRDAAMAVKEMNGKEIHGKPVVVEFSRPGGSGRKFFNPMIASGKLGARQHQQPLPARPWKLSGRFNDPPHRSSYSESQLSPKKVQCMNARRLTYADTLVDKLHPLNCSGNIVNEIERRGSVGTWRRMNSKKIINRKSVTSSKQEVSPQPRISIRLRKNSFLRKSDPCFLISENTMEPEASDCRDCRTTVMIKNIPNKYNLKLLLKTLDKHCMECNEEINNDGKGLPLSSYDFVYLPIDFINKCNVGYGFVNMTSPQGAWRLYKAFHLQAWQVFNSRKICQVTYARLQGLEALKEHFKNSKFPSEMDEYELPVVFSPPRDGIQLTEPLPVAGNVHVVGAHTSTGEIGGHEDRLGDTTAADQSLELVPCGGGDNGDEEGDSKRSEDG >KGN61658 pep chromosome:ASM407v2:2:10673461:10677351:-1 gene:Csa_2G215520 transcript:KGN61658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMAAAFIKPSNLFPQSPITFTKAVTPPIMLPMSLRVKTKTHRRIRVSCGLIDPDGGKLVELIVEESMRGSKNREALSLPRIKLSRIDLQWVHVLSEGWASPLTGFMRESEFLQTLHFNSLRLQDGSVVNMSVPIVLAIDDDFKNRIGDSSKVALFGSDDRPVAILNDIEIYKHPKEERIARTWGTTASGLPYVDEAIKNAGNWLIGGDLEVIEPIKYHDGLDRFRLSPAQLRNEFTSRNADAVFAFQLRNPVHNGHALLMTDTRRRLLNMGYNNPILLLNPLGGYTKADDVPLHWRIKQHQKVLEDGVLDPETTVVSIFPSPMHYAGPTEVQWHAKARINAGANFYIVGRDPAGMGHPTEKRDLYDADHGKKVLSMAPGLERLNILPFKVAAYDKTQGKMAFFDPSRPQDFLFISGTKMRNLARNKENPPDGFMCPSGWNVLVEYYKSLVPAENGRIPKPEAVAA >KGN63089 pep chromosome:ASM407v2:2:20552475:20553877:-1 gene:Csa_2G401490 transcript:KGN63089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFELYRRSTIGMCLTETLDEMVQNGTLSPELAIQVLVQFDKSMTEALESQVKSKVIIKGHLHTYRFCDNVWTFILQDASFKNEDSNEVVGRIKIVACDSKLLSQ >KGN63146 pep chromosome:ASM407v2:2:20883926:20884285:-1 gene:Csa_2G404970 transcript:KGN63146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSDSSNSPWNNFPTIFCFDQDRRNTKELPALVLEAGGGAGVGCGLGIGFGLVGGIGHAGASPWNHLHLVFGLGAGCGVGLGLGIGQGFGYGVSFQSVDSYFSHLISNPKPKQPSLIQF >KGN62278 pep chromosome:ASM407v2:2:15581625:15585315:1 gene:Csa_2G348160 transcript:KGN62278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFSSPCYSLFLFPTIISMPLRWNSSILYNFFIQSRTQYPLLLHRSFHLVRQCATPEAIVSALLIAVNSCPSISNCREIHARVFKSLLYRDGFIGDQLVTCYNKLGYAEDALKLFDDMPHKDLVSWNSLISGFSRCLHMSLTAFYTMKFEMSVKPNEVTILSMISACSGALDAGKYIHGFGIKVGGTLEVKVANSLINMYGKSGDLTSACRLFEAIPDPNTVSWNSIIAAQVTNGCAREGIDYFNKMRRLGIEQDEGTILALLQACLHLGVGKLAESIHGLMFCTGFGAKITIATALLDTYAKLGRLSASYGVFTEVGFADRVAWTAMLAGYAAHGLGREAIKLFESMANKGLEPDHVTFTHLLSACSHSGLVNEGKSYFNVMSEVYGIEPRVDHYSCMVDLLGRCGLLNDAYEVIQNMPMEPNAGVWGALLGACRVHGNIELGKEVAEHLINMEPLDPRNYIMLSNMYSASRSWKDAAKVRALLKERGLKRTPGYSSIEYGNKNHHFFVGDRSHPETEKIYSKLEELLGKIRKAGYSSKTEYVLQDVEEEVKEDMINKHSEKLAIAFGLLVSKEGEALIITKNLRICGDCHSTAKLISLIEKRTIIIRDPKRFHHFSDGFCSCADYW >KGN61758 pep chromosome:ASM407v2:2:11647225:11649756:1 gene:Csa_2G238250 transcript:KGN61758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKPNHLKMVTDSDAADLISSDLRELGNAARKLATHAVKLGASGFTASFLQWIASFAAIYLLILDRTNWKTNILTSLLIPYIFFSLPGVIFGFFRGEFGKWVAVIAVVLRLFFPRRFPDWLELPGALILLIVVAPSLFAKTIRNDPIGEAICLIISCYLLQEHIRASGGFRNSFTKANGISNTIGIILLFVFPVWALVLRVL >KGN61785 pep chromosome:ASM407v2:2:11863134:11863375:1 gene:Csa_2G245490 transcript:KGN61785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGDNYRPYKIHRLELVYSFNGVYDMTRMELVIPPDGVYHLLGSFPNGECVL >KGN62799 pep chromosome:ASM407v2:2:18642185:18647025:1 gene:Csa_2G373420 transcript:KGN62799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDQRLLERERHQIEQILQLDNEELQVEEVDYLHDSDDDDNDDRDAINGHGGTEAFPEFTFNSSLASLHTYLGEVEDAHHRMAFLDGGAILNLPVFYLEGVVLFPEATLPLRVIQSNFIAAIERVLTHFDTPNTIGVVHVSLDSDSERLRFANIGTTAEIRQFRRLEDGSLNVLARGKQRFRLRRRWIDVEGVPCGEVQIIQEDLPLRAPRDAFGELAPRSTVQRHGLSCALASYTPCSRSFTSRDEEDDSASNSEESFERELSLREKKIHNAAIDSSESCSDEEMSGSEAEHQHSMSHLNDSDSLGSMHSDCEKENEKPASDIGKSSTSARESSESKELKRCRRNSSFNPMHRVSKAFWPYWVYSMYDSYCLAQKAAAMWKQIVGAPNMDGFVKNPDILSFYIASKIPVSESTRQELLEIDGISYRLRREIELLKSIDIIQCKNCKTVIAKHSDMLVMPNEGPFGAYVNPHGYVHEIMTLYRANGLALRGRAQTEYSWFPGYAWTISICATCETQLGWLFTATNRNLKPKSFWGIRCSQLADATR >KGN60678 pep chromosome:ASM407v2:2:1169553:1173038:1 gene:Csa_2G006240 transcript:KGN60678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSICSSTPICSFNHSSNPKPGVLVGNSVPQKAFRINEAFQKTNSIRLLSFEVKASDGADSKQTTKYKSIVCTDCDGNGAVQCSQCKGTGVNSVDHFNGQFKAGGLCWLCRGKRDILCGGCNGAGFVGGFMSTADS >KGN61333 pep chromosome:ASM407v2:2:6908881:6911391:-1 gene:Csa_2G091770 transcript:KGN61333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSTNHCAFDGLSFKQFLDNFAALADNKPLVVTPCHDRRLLTARSPPRVTFPHHELLKIDTPLPSPDSSNTSVFEATPQDLDFKIFKLSGTDIATLKQKAQPLTTSKQDDGHGGKKLRITGFNVVTALVWRCKALSFEAKDNYERQSTLLYAVDIRSRMDPPLPTSYCGNAVLTVYATAKCKELKDGPFSRVVEMVSEAATRMTDEYARSAIDWGELYKGFPNGEFLVSSWWRLGLEDVEYPWGKPRSSCPVVNHRKDIILLFPDINESEKTNSGLNILVALPETQMVKFQTLFKEFMA >KGN63308 pep chromosome:ASM407v2:2:22217341:22217768:-1 gene:Csa_2G427330 transcript:KGN63308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSFSLKRIEELTPSQRLTLGSTFSTFTSKRKYVVALTNSIDSFEEVEESELRRTYGELLKVREKIDVQQSLNHETNIKLGAMAKEKCIPVTYEKLSDFCYNCGILGHVLQECEVKVEGPGYKRIKIWSLAERF >KGN62922 pep chromosome:ASM407v2:2:19445622:19454531:1 gene:Csa_2G380020 transcript:KGN62922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKKKNLLVFLDVSIDGDPRERLVFELFYDVAPKTAENFRALCTGEKGIGPKTGKPLHYKGSFFHRIIGGSMAQGGDFVKRDGTVGESIYGGKFPDELPRLKHDGPGLLSMAIADRDTLGSHFLITFKANNHLDRKHLVFGKLVQGFDVLKKMERVDVEDGIPTVTVKIVNCGEFNEEKRKINKVKTGKNASDDDSQEEKRRGKPKKSRERKRRKKKYDTSDSDSSSDSELDSDSDSDSDTDLSSSSSSSESSSSDDRSRKRKRTSKRGRYRRGRRKDKRRRKRRRHDKKSRRKSKRDLDSLSDTESDSKSRSTSEQDGLDVQGKDLNRKGISGKSAEDVPQASDARHRRRGEADVVENDGERSPKENGERRSNGVAAVDSKSDRSLERQPDIVDDHPGKSRSRSISPRRTMSKSMSISPRKTHSKSHSVSPKQSMSRSRSVSQSPPQAPLRSKSISRSPVRNGSRSVSRSPVRNGSRSPGRSISRSPGRGRKGRSISRSPLRSGHQRGISRSPVRSNPQRSPSRSPPRRASRKSISRSPARVSRSVSRSPVRSSRQSLSRSSGRAPSRRSISRSPRAPARNRRSYSRSHTPIRRPRSPTSDRGISASRSVSPEGSPKRIRRGRGFSERYSFARRYRTPSASPVRSYRYSGRVERDRYSNYRRYSPRRYRSPPRGRSPLRYRNSRRSRTPSASRSPRYRSRRYSRSRSPIRSRSPVEGSRSRLSPRVGRRRSLSRSRSPSKSRSPADSQSPRRTSRDRSRSPSASPVGKKGLVSYGDGSPDSER >KGN61285 pep chromosome:ASM407v2:2:6212262:6219162:1 gene:Csa_2G075440 transcript:KGN61285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELCAGAIVNPIAEKIANCTVDPVFRQLDYLLHFKTNVNDLKDQGKKLVETRDFVQHSVDSAKTNGYEIEVMVTEWLGIADQFSEDVDRFFNEADGRSLRWWNMLSRHRFSRRATKLAVAVDKAIQGGSFERVGFRVTPQEIMTLRNNKKFEAFESRVLILKEIIEAVGDANARVIVVHGMAGVGKTTLVEEIARLAKEGKLFDAIAMVTVKHIPNIKKIQGEIADQLGLKFEEEKERIRADRLRRRLEMEKKVLVVLDDVWSRLDLEAVGISSHHKGCKILVTSRKDDLFFNDFGTQKNIYINILSKKEARDFFNKVACDSVESSDDTDPEMEAVATELADECGGLPLSLATVGQALKGKGLPSWNDALQGMKFPGEPSNYGVNKVAYLSLKVSYRSLNREEARSLFLLCSLFPEDYQINIKYLLMYAMGLGLLNAMSSLAMAKWRILSLVDELKTSHLLLDGVDNDFVKMHDIVRDTAILIASKMKSKYLVRHGAGESLWPPMDEFKDYTAISLGCSDHSELPEFICPQLRFLLLVGKRTSLRLPEKFFAGMQELRVLDLTGLCIQRLPPSIDQLVNLQTLCLDDCVLPDMSVVGELKKLEILSLRASDIIALPRVIGELTNLKMLNLSDCSKLKVIPANLLSRLIGLSELYMDNSFKHWNVGQMEGYVNARISELDNLPRLTTLHVHIPNPTILPHAFVFRKLSGYRILIGDRWDWSGNYETSRTLKLKLDSSIQREDAIQALLENIEDLYLDELESVKNILFSLDYKGFPKLKGLRVKNNGEIVTVVNSDNMHHPHSAFPLLESLFLKNLAELGSICRGKLPQMSFRNLKRVKVESCDRLKFVFPSSMVRGLIHLQSLEISECGIIETIVSKNKETEMQINGDKWDENMIEFPELRSLILQHLPALMGFYCHDCITVPSTKVDSRQTVFTIEPSFHPLLSQQVSFPKLETLKLHALNSGKIWQDQLPSSFYGFKNLTSLSVEGCASIKYLMTITVARSLVNLERLELNDCKLMKAIIISEDQDLDNNYPSKSILQNKDVFANLESLLISRMDALETLWVNEAASGSFTKLKKVDIRNCKKLETIFPNYMLNRVTNLERLNVTDCSSLVEIFQVKVPVNNGNQVRDIGANHLKELKLLRLPKLKHIWSSDPHNFLRYPSLQLVHTIHCQSLLNLFPVSIAKDLIQLEVLKIQFCGVEEIVAKRGDDGDGDDAASFLLSGLTSLTLWNLFEFKRFYPGKYTLDCPSLTALDVRHCKSFKLMEGTLENSSSISSAVEKVEVEQSSLRGEFERRESKETSTGKEEITTIVQGVVDAELIELRAQLRALVAGQNQMMERLAQLTTIPREPVSK >KGN61489 pep chromosome:ASM407v2:2:8728027:8731024:1 gene:Csa_2G145880 transcript:KGN61489 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine hydroxymethyltransferase MDPVNDWGNTPLNTVDPEIFDLIEKEKRRQCRGIELIASENFTSFAVIEALGSALTNKYSEGMPGNRYYGGNEFIDEIENLCRSRALQAFHCDPAKWGVNVQPYSGSPANFAAYTALLQPHDRIMGLDLPSGGHLTHGYYTSGGKKISATSIYFESLPYKVDSATGYIDYDKLEEKALDFRPKLIICGGSAYPRDWDYARFRAIADKCGALLLCDMAHISGLVAAQEAANPFEYCDVVTTTTHKSLRGPRAGMIFYRKGPKPPKKGQPEDAVYDYEDKINFSVFPALQGGPHNHQIGALAVALKQAMAPGFKAYAKQVKANAVALGNYLMNKGYKLVTGGTENHLVLWDLRPLGLTGNKVEKLCDLCNITVNKNAVFGDSSALAPGGVRIGAPAMTSRGLVEKDFEQIAEFLHRAVTITLNVQKEYGKLLKDFNKGLVNNKEIEKLKADVEKFSGSFDMPGFLMSEMKYKD >KGN63335 pep chromosome:ASM407v2:2:22383697:22384700:1 gene:Csa_2G429070 transcript:KGN63335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLKVTRLKCGGFIFGLHLNHTMADGFGIAQFIKATAEIARGAFAPSILPVWQRALLTARDPPRITFRHYEYDQVVDTKSTLIPVNNMIDQLFFFSHLQISTLRKTLPAHLHDCSSFEVFAAYVWRLRTIALQFKPEEEVRFLCVVNIRSKIDIPLGYYGNAVVVPAVITTVAKLCGNPLGYAIDLIRKAKAKATAEYIKSMVDLMVIKGRPCLTTVGSFIMSDLTRIGFENMDFGWEKAIFGGPITGGSGIIRGVTSFCISFMNRNGEKGIVVSLCLPPPAMGRFREIFYTSLDEVDSHMQTLLSAL >KGN62222 pep chromosome:ASM407v2:2:15160297:15172940:-1 gene:Csa_2G336690 transcript:KGN62222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGFDGRSLAEKFSELGVSATSAEQSNSHNHGNNNDSNLFQVLKAVEAAEATIKQQVEENNRLRIELQKKIQELEKYKVGEPLAQRFHSTNQWNENDHHGSNGGHQSDNSVDNERQRFKNNISVVDSHGTLVLHQDVEQKDEVSMRVDTESRFEDSKSDRMVNALPGVQPQVDNAGCSQFSSPSTTSFSASRFTMDVEYDPRIKLSGHGIMPKAEGNNPNSLWKQDLVVKVQEHEDEIVQLRKHLADYSIKEAQIRNEKYVLEKRIAYMRLAFDQQQQDLVDAASKALSYRQDIIEENIRLTYALQEAQQERTTFVSSLLPLLAEYSLQPPVPDAQSIISNVKILFKHLQEKLLLTETKLKESQYQLTPWRSDASHSSFAPQSPFHSIGATLTASTKNGLELVPQPSYWNGKMPVSSSDAQTTADWDLSTHHQIGLGVGVGKNLEPDDLGRYSHHASR >KGN62361 pep chromosome:ASM407v2:2:16002682:16006746:-1 gene:Csa_2G350440 transcript:KGN62361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVTGSSAVAFSKVGIVGKFEGGVDRRASSVQQWSPICNGAGSNQLRGCFGLQLRSKSSFASTGIKAQVATAEQASIKEVQQVEAPVAIVTGASRGIGKAIALSLGKAGCKVLVNYARSSKEAEEVSKEIEGFGGQAITFGGDMSKEADVDSMMKTAIDTWGTVDILINNAGITRDGLLMRMKTSQWQEVIDLNLTGVYLCTQAASKIMMKKKKGRIINIASVVGLVGNVGQANYSAAKAGVIGFTKSVAKEYASRNINVNAIAPGFIASDMTAKLGAEIEKKILSTIPLARYGQPEEVAGLVEFLALNPAASYITGQVLTIDGGMVM >KGN61416 pep chromosome:ASM407v2:2:7987807:7990741:1 gene:Csa_2G119380 transcript:KGN61416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQISFLDAMHGMLEESKYIFNSFTCPDAVVVNIDSGEGIPNVYVGHVEDRPHLADVKKAPNLRKLKYIKNFHIFDLDFKRDCREGKLPNYVVIEQRYFDLASLPGNDDHPSHDVSEGQKLIKEVYEALRSCPQWNEILFLITYDEHGGFFDHVPPPSAGVPNPDGRLGPPPYNFNFDRLGLRVPTIFVSPWIEPGTVVHRPRGPDPTSEFEHSSIPATVKKIFGLKQFLTKRDQWAGTFDIVLNRHTPRTDCPVTLNNPVKLRDVEANEMRQISEFQEELVQLAAVLRGDGKKEIYPKKLVEKMSVVEAASYCENALKSFFNECEKAKENGADESQVVVCGKNNQILQPSNSKPKSLARKFFACFACHG >KGN60933 pep chromosome:ASM407v2:2:2856874:2857149:1 gene:Csa_2G027460 transcript:KGN60933 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase MFSIGKNIIEGALNTTGDLAGSVINAGSNIADQISNIGGQKIKGKVILMRSNVLDFTEFHSSLLDNFTELLGGGVSLQLISATQTCNFSFP >KGN60810 pep chromosome:ASM407v2:2:2011772:2023101:1 gene:Csa_2G011430 transcript:KGN60810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADLGQQTVEFSALVSRAADDSFLSLKELVDKSKSSDQSDSEKKVNILKYVFKTQQRILRLYALAKWCQQVPLIQYCQQLASTLSSHDACFTQAADSLFFMHEGLQQARAPIYDVPSATEILLTGTYERLPKCVEDISIQGTLTDDQQKSALKKLEILVRSKLLEVSLPKEISEVKVTDGTALLRVDGEFKVLVTLGYRGHLSLWRILHLELLVGERRGLVKLEQVHRHALGDDLERRMAAAENPFTTLYSILHELCISLVMDTVLKQVHSLRQGRWRDAIRFDVISDGITGGSTQLNHDGETDLSGLRTPGLKIMYWLDFDKNTGSSDPGSCPFIKIEPGPDMQIKCVHSTFVIDPLTNKEAEFFLDQSCIDVEKLLLRAICCNKYTRLLEIQKELKKNVQICRTADDVVLEHQVDEPDVDPKKKDKIHDPIAFEGEEILRVRAYGSSFFTLGINTRNGRFLLQSSHNKLVTSSLTECEEALNQGSMNAADVFIRLRSRSILHLFASISRFLGLEVYENGFSAVRLPKNISNGSSMLLMGFPDCGNLYFLLMQLDKDFKPQFKLLETKPDPSGKARGLSDLNNVIRVKKIDVDQTQILEDELNLSLLDWGKLFPLLPNSAGNQTPENGLLPDIGIDGALQIAGYPPSSFSSVVDEVFELEKGPPPVPSFSVSNLSQSFNSTASHYGSLSNIHNVKGVPSPKWEVGMQPSQGNNVAKLSNIPSHSNGSLYSASNLKGPVPSTSMGSISSGPGRGAATRRLSNSKSEQDLTSLRYTNPVEGGSYTALDDDHISMPSDTSKDGVYANRSSRLLSPTPHGGPRISGSIKPNGSRSSPTAAPTGSLRPSGSCSSVSTPVSQNQDTCSSPVYESGLKSDCSRKRTASDMLNLIPSLKGIDAYNGLSKRRKVSESARFSKPSSQLLISKEMVSRTEYSYGNLIAEANKGAAPSSTYVSALLHVIRHCSLCIKHARLTSQMDALDIPFVEEVGLRNASTNIWFRLPFARDDSWQHICLRLGRPGTMCWDVKIHDQHFRDLWELQKKSTTAPWGPDVRIANTSDKDSHIRYDPEGVVLSYQSVEADSIDKLVADIRRLSNARMFAIGMRKLLGVGTDEKLEESSTTSDKAPVTKGASDTVDKLSEQMRRAFRIEAVGLMSLWFSFGSGVLARFVVEWESGKEGCTMHVSPDQLWPHTKFLEDFINGAEVASLLDCIRLTAGPLHALAAATRPARAGPVSTLPGIVATLSSLPKHGGYTPTQSVLPSSSATNTGQVTNGPVGNAVSTNVSGPLANHSLHGAAMLAATAGRGGPGIAPSSLLPIDVSVVLRGPYWIRIIYRKQFAVDMRCFAGDQVWLQPATPAKVNPSMGGSLPCPQFRPFIMEHVAQELNGLEPNFPGVQQTVGLSAPNNQNPNSSSQIAAANGNRLSLPGSPAMPRAGNQVANINRVGNALSGSSNLASVSSGLPLRRSPGTGVPAHVRGELNTAIIGLGDDGGYGGGWVPLVALKKVLRGILKYLGVLWLFAQLPDLLKEILGSILRDNEGALLNLDPEQPALRFFVGGYVFAVSVHRVQLLLQVLSVKRFHHQQQQQQQPNSATAQEELTQSEIGEICDYFSRRVASEPYDASRVASFITLLTLPISVLREFLKLIAWKKGVAQAQGGDIAPAQKPRIELCLENHSGLSTDENSERSTSKSNIHYDRQHNSVDFALTVVLDPAHIPHMNAAGGAAWLPYCVSVKLRYSFGESLVVSFLGMEGSHGGRACWLRVDDWEKCKQRVARTVEVSGSSTGDVSQGRLRIVADNVQRTLHMCLQGLREGSEIATITSSTS >KGN62359 pep chromosome:ASM407v2:2:15994651:15995649:-1 gene:Csa_2G350420 transcript:KGN62359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATANRWLKPEVYPLFASVGVAVGICAMQLVRNITTNPEVRVIKERRAAGVLDNFEEGEKYAEHGLRKFLRTRPPQIMPSINKFFSDPN >KGN62980 pep chromosome:ASM407v2:2:19749745:19751063:-1 gene:Csa_2G382530 transcript:KGN62980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARESTGFRSFCSLFVALVAAGCRISKMPSHKTFRIKKKLAKKMRQNRPIPHWIRLRTDNTIRYNAKRRHWRRTKLGF >KGN63098 pep chromosome:ASM407v2:2:20602689:20608043:1 gene:Csa_2G402060 transcript:KGN63098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSGPASSSERLWSDPRKGMSSDNIKGLVLALSSSFFIGASFIVKKKGLKIAGASGVRAGAGGYSYLYEPLWWVGMITMVVGEIANFAAYAFAPAILVTPLGALSIIISAALAHIILRERLNIFGILGCVLCVVGSTTIVLHAPQEREIVSVKEVWDLATEPAFLLYAAFMIATTLILIIHFVPRYGQTYVMVYIGVCSIVGSLSVMSVKALGIALKLTFLGMNQLTYPQTWAFTMIVITCVIIQMNYLNKALDTFNTAVVSPTYYVMFTTLTILASIIMFKDWDRQGAIQIFTQMCGFVTILAGTFLLHRTKDMVEASSTPSFSMRPSKHTEDGCELEAIPLQRQASL >KGN61602 pep chromosome:ASM407v2:2:10027807:10029672:-1 gene:Csa_2G175170 transcript:KGN61602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQQQVGVLNALDLAKTQWYHFTAIIIAGMGFFTDAYDLFCISIVTKLLGRIYYHIPNGSKPGSLPPNIAAAVNGVAFCGTLSGQLFFGWLGDKLGRKKVYGITLILMVVCSIASGLSFSHSPKSVIATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILGGGIVALIVSAAFNNRFPAPPYMNDPIRPTIPEADYVWRIVLMFGAIPAALTYYWRMKMPETARYTALVAQNAKQAAADMSKVLQVNLELNEQEKNFTTESHANQNRFGLFSREFAKRHGLHLLGTTTTWFLLDIAFYSQNLFQKDIFTAIEWLPPAKTMSELEECFKIAKAQTLIALCGTVPGYWFTVALIDYLGRFFIQLMGFIMMTIFMFALAFPYNHWKEKPHRIGFVVMYSLTFFFANFGPNSTTFIVPAEIFPARLRSTCHGISAAAGKAGAIVGAFGFLYAAQSKDPTLTDPGYPTGIGIKNALILLGCVNLCGALFTLLVPESKGKSLEELTGENEEENRNGNTNMVRDVNV >KGN61559 pep chromosome:ASM407v2:2:9657006:9659135:-1 gene:Csa_2G170820 transcript:KGN61559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTLGLFRPSPLGGSVSGSAITDSNASTMDILQKDFVGNIPILSSPSPASSDLELGLGLALGGGGGKVTGVTWGERGRILTAQDFPVHCGSSSTSSSSSSSSRFHGREVTCSVSVSGTKRAADSAPNEGGSPTALNSQVVGWPPIRTYRMNSLVNQAKTARAEEEDAGCEEKKDQSKDTLKNKTCDVDGKGHLGFVKVNVDGVVIGRKVDLNAHSCYETLALMLEDMFFRSAGASITNGKSGDKEQAKKQSKLLDGSSEFVLTYEDREGDWMLVGDVPWGMFVNSVRRLRIMRTSEANGLAPRSQDNKMKQRSKPI >KGN61375 pep chromosome:ASM407v2:2:7542933:7543406:1 gene:Csa_2G100570 transcript:KGN61375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNKNKVLLNNVAPGQEIFALIVHDLNRPIKIQNTGQFDGYPGKDPTSTSKASTLYVINFTYQANRKNNFTLFPLTMRDEAKIWANSLETREVRTWEQLIEKFMKKFFQLHENSRRMRDIMNFQ >KGN60806 pep chromosome:ASM407v2:2:1975039:1976025:-1 gene:Csa_2G010410 transcript:KGN60806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFTNADLESTPLLKTTSITTKPRILPKDSFNLAYIIYFTLGLGYLLPWNAFVTAIDYFSYLYPETNIDRIFAIVYMGVSFICLIFIVFYTQNSNSNSSFRINLGLSLFVVTLLLVPVMDVVYIQGRVGLYKGFYVTVGSVILCGAADAVVQGGVIGSAGELPEKYMQAVMAGNAGSGSYFSSLHFFFSLR >KGN62039 pep chromosome:ASM407v2:2:13746498:13748715:1 gene:Csa_2G292200 transcript:KGN62039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDREETDSDAPEEFTAEQGVQQDEEIRKVQKESKARVIREGKERRRLWAEKKTPRPSKKGEIVEDLAEPPRNDDKISKLGTLPSNIVQLLVDREKQVFSSDSGDEKPAIKPKQKRKKTKSSGIETVLLNERTPSHCLQNSLEFLKKRKMNVARSSTVLSNPNQAFRLLSSSGLLTKK >KGN62450 pep chromosome:ASM407v2:2:16536976:16539786:1 gene:Csa_2G354760 transcript:KGN62450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARLYFWFSLIPIFWLCPILTETRNYIVHMNSAAMPKPFASRHSWYSATISSLLHSSSSSSSSFPSKLIHTYNHAISGFCASLTPSQLEALKNSPGYLSSVLDSSVHVDTTHSSHFLGLSSNHGLLPISKYGSDVIIGFVDTGIWPDSESFIDDGMSEIPSKWKGECESSTHFNVSFCNNKLIGARFFNKGLISGLPKATISINSTRDTIGHGTHTSTTAAGSYIKEASFFGYGRGTARGVAPRARVAIYKAIWEEGNSVSDVVAAIDQAISDGVDVISLSIGIDGVPLYDDPVAIATFAAVERGIFVATSAGNNGPQLETVHNGAPWLLNVAAGTMDRDFGGTITLSNGVSVLGSSLFPLNITTGLSPLPIVFMGGCQNLKKLRRTGYKIVVCEDSDGYSLTSQVDNVQTANVALGIFISNIFDWDNLIQTPFPSIFLNPYHGNIIKDYIHKSSDPKAEVTFHKTILRTKPAPMVARYSSRGPSQSCPFVLKPDIMAPGDTILASWPQNVPAMDVNSTPIYSKFNVISGTSMSCPHAAGVAALLKGAHPQWSPAAIRSAMMTTADILDNTQTYIKDFGNNNKFATPLAMGSGHVNPNKAIDPDLIYDVGIQDYVNVLCALNYTENQIRIITRSDSNNCENPSLDLNYPSFIMIVNSSDSKTRKRKISGEFKRTLTKIGEHRATYEAKLTGMKGFKVRVKPNKLNFKRKNQKLSFELKIAGSARESNIVFGYLSWAEVGGGHIIQSPIVVSGMRLQ >KGN62188 pep chromosome:ASM407v2:2:14904510:14909154:-1 gene:Csa_2G324430 transcript:KGN62188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMNKKSSHSKEKPTMGRSSSSSEVKKPAEKDLSSPTFVNQAAICWHESRKKWVDKNSQQQQRMERESMISWSTAYEDLLSTNDPFSEPIPLPEMVDFLVDIWHDEGLFD >KGN63115 pep chromosome:ASM407v2:2:20708084:20712731:-1 gene:Csa_2G403700 transcript:KGN63115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELHSATLHTSFSFSIRSTPLAHGDASAACSPSLPSLSRITIRNFSLGSKSRGFPSLVCHDRPKKSSFSAFVRGVKAVPSDCNSETLDLLNPSSDEPVRDVQNAKDSVENLDQHKMTKVCDKLIEVFMIDKPTPKDWRRLIAFSKEWDNIRPHFFNRCQDRAASEDDPGMKHKLLRFGRKLKEIDEDVQRHNELLEVVRATSPSELGEIISRRRKDFTKEFFVHLHTVAQSYYDDPAKQNALAKLGNSCLAAVQTYDAATENIEALNAAELKFQDIINSPTIDAACRKIDNLAEKNQLDSALVLMITKAWSAAKESNMMKEEAKDILYHLYVTARGNLQRLMPKEIRILKYLLTINDPEEKLSALKDAFTPGEELEGQDVDCLYTTPEELHTWVKTVVDAYHFSREGTLVREARDLMNPQLIVKLEELKGLIEKKFM >KGN61011 pep chromosome:ASM407v2:2:3404058:3411356:1 gene:Csa_2G034640 transcript:KGN61011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRPTTRTDVRKKSYKTGVDADEARRRREDNLVEIRKNKREDNLLKKRREGLLLHSQQLLDAAQNAVAAEKRLESIPVLVQGVWSADTAGQLEATTQFRKLLSIERSPPIDEVIKAGVVPKFVEFLGRHDLPQLQFEAAWALTNIASGTSEHTRVVIDHGAVPIFVQLLSSGSDDVREQAVWALGNVAGDSPSCRDLVLSHGALVPLLGQLNEHSKLSMLRNATWTLSNFCRGKPPTPFDQVKPALPVLRQLIHLNDEEVLTDACWALSYLSDGPNEKIQAVIEAGVCPRLVELLLHQSPSVLVPALRTVGNIVTGDDAQTQFVIDNQVLPNLYQLLTQNHKKSIKKEACWTISNITAGNRAQIQAVIEANIILPLVHLLQHAEFDIKKEAGWAISNATSGGSHQQIQFLVTQGCIKPLCDLLTCPDPRIVTVCLEGLDNILKVGEADKDMGMNGGINIYAQAIDECEGLDKIENLQSHDNNEIYEKAVKMLERYWAEEDEEQEQNPQQQNGDANQHGFAFGANQPNVPPGGFKFG >KGN62553 pep chromosome:ASM407v2:2:17077348:17079008:1 gene:Csa_2G360700 transcript:KGN62553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKIVIMWTIVQGYCLLTVQAHFPHLRPAPCKEAPAGSECEAAGSSQEAFLYTGLYLIALGTSGVKAALPALGADQFDDKDPSEAGKVSSFFNWFLFSLTIGSIVGLTLIVWINTEVGWDWAFVVCSISVLAAIFVVFLGKSFYRHNVPQGSPILRFLQVFVASIRNRKLPLPVNANELHEIRDKEAAIPYEILEKTDQFRFLDRAAIIRNDTIASISTNQQGPWRLCTVTQVEETKILIRMLPIILSTIFMATCMAQLQTFSIQQSITMDSQFLGFKIPGPSIPVIPLLFMFFFIPFYERVFVPLARKITGIPTGIRHLQRIGIGLVLTAASMAIAGFVETRRKNVAIKHNMVDSTEPLPISVFWLGFQFCVFGMGDIFTLVGLLEFFYAESSAGMKSLSTAIAWCAIAFGYFTSTVVVTVVNKASGGWLASNNLNKDKLDYFYWLLSVLSVLNFGFYLVCASWYRYKNVEIHQNDALEEKVDMAREA >KGN63062 pep chromosome:ASM407v2:2:20373072:20383367:-1 gene:Csa_2G396230 transcript:KGN63062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSFYFATPYYSSLHCSSILHSEQSFNQAITSVLFNRNLFPISAKKFRISSCRRRFHADSVRSCAETEESRTRISAESNEVPSNGSTLSTSFLSYLCPLLKLFAGGDPSRERNFTLEVATSSLSSLARLPWGSRTLSDNSHSNRNIDLESLLPLQLYEFEACPFCRRVREALTELDLSVEVYPCPKGSIRHRDIVKKYGGKEQFPFLIDPNTSTSLYESGDIVRYLFYQYGNGRSPSTGLLESTLFSGWMPTILRAGRGMTLWGKASTDPPPEKLKLFSYENNPYARIVREALCELELPYILHNVGKGSPRTKLLLDVSGSEEVPYLIDPNTGIKTGDYRQILSYIFQTYSAATR >KGN62856 pep chromosome:ASM407v2:2:19047005:19051724:1 gene:Csa_2G377920 transcript:KGN62856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNQKQSIGKERKELSTANQLVGIAIDKDKGSQLALKWATENLLVKGQTAILIHVKLKSSTYPGSSMASPKVMSASDAGNVNGNVLVSKDIDPITRDLFLPFRCFCTRKDIFCKDIVVEDLDVAKALIEYVTQAGIENLVVGSTSKNSFLRLKTTDIPGSVVKGAPDFCNVYVISRSKIQTIRSASRPAPVSSPLRSLLLSQTVVKSHSAESLVPQIQTTRPEKPPLEAARRSQDNIEFRSPFTRKGYNGKMPGEVSLPDTDISFVSSGRPSVDRLFPTLFDNVDTGRVPPRLSSGNDAELYNSFESQQFGRKSVDGRKSVDGRKSVDGRKSVDVNYPPEFLSVFSESDRLSTSSQSMEEVEAEMRRLKLELKQTMEMYSTACKEALTAKQKAVELQRWKSEEERRIEEARFAEEAALAMVEQEKAKSRAAIEAAEAAKRIAELESQKRINAEKKAFKEAEEKKKALDALANNDVRYRKYSIEEIETATEFFSESLKIGEGGYGPVYKGYLDHTPVAIKVLRPDAAQGRSQFQQEVEVLSCIRHPNMVLLLGACPEYGCLVYEFMAHGSLDDCLFRRGQNSKPLSWQRRFKIAAEIGTALLFLHQTKPEPLVHRDLKPANILLDRNYVSKISDVGLARLVPPSVANSVTQYRMTSTAGTFCYIDPEYQQTGMLGVKSDIYSLGIMFLQMITARAPMGLTHHVGRAIEKGNLSEILDPSVTDWPAEETLCFAELALKCAELRRKDRPDLGKVVLPELIRFQSVAETSIFVPRMDSVNSPEFSSSQASMRRDSDCFSSQSNDSFEVLSRSES >KGN61278 pep chromosome:ASM407v2:2:6156549:6157111:1 gene:Csa_2G075370 transcript:KGN61278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKHSMVKDLTSTRNNRALFTVSSTIPFLERSDTFTVLSTIPLLVAMIRYPYSVVRDPTSTRNNRTIYFVGNDLTSSRNDGVPLFCCHDPTTTSDQASLSCRQRSYFL >KGN62840 pep chromosome:ASM407v2:2:18943196:18945292:-1 gene:Csa_2G376290 transcript:KGN62840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLENEANPTISKSTGLPRKRFYRARAHSNPLSDSHFPIPISPSEVDYSLHYPQLFPSNDQRDKNKKIEFADIGCGFGGLLISLSTLFPETLMIGMELRDKVTEYVKERILALRVANPGQYQNISVDRTNSMKYIPNYFEKAQLSKMFFLFPDPHFKEKNHRRRVISPYLLDEYAYALRVGGIIYTITDVEELGEWMKTCLENHPLFEALTSEELEADPAVKLLSSATEEGQKVARNEGQTFQAVFRRISAAP >KGN61953 pep chromosome:ASM407v2:2:13129619:13134223:1 gene:Csa_2G271460 transcript:KGN61953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLAHPPLSFFFIFFLPLFFTFFTATALTFDSYIPYRRILHQPLFPIGSEPPPEIEFSPPPPPPPDSPADDQPFFHELPTTPDQSQPPPSSSNGTMPIPAATAQPSKPTKTVAIAISVGIVTLGMLSALAFFLYRHRAKHPGESQKLVGGSNNPERFVEDSRAPPSSFFYIGTVEPSQSSVVEQNGANGANSSPYRKLNSIKRSDRYRPSPELQPLPPLPKPPVAMSPPALSSSDEESLDTAFHTPQCSSIVSHEDGYFSPASRRSNSVKSCSAASYKNDHMNSNPPPPIPHSKRTSPKSRFSVSSTKRKSSQPQPPPPPPPPPRQFDDFRDTPNSKETMPFSATRPRFSKPPPPPNLALLQTISNTATFPQVPQPAGAPPPPPPPPPPPPPPRPSARPASYSTPQKLGLSENRMSAVTPPDSSKSQSYSTARSNSSPKSTPSSTATNSAKEDAVPSTNSMERLEAEDADGAKPRLKPLHWDKVRATSDRATVWDQLKSSSFQLNEDMMETLFGFNSANSVPKEATRKSVLPPVEKENRVLDPKKSQNIAILLRALNVTRDEVIEALQDGNPEGFGTELLETLVKMAPTKEEEIKLREYCGDASKLGTAERFLKSVLEVPFAFRRVEAMLYRANFDSEVKYLRKSFQTLEGASEELKNSRLFLKLLEAVLKTGNRMNVGTNRGDAKAFKLETLLKLVDIKGTDGKTTLLHFVVQEIIRSEGGADSTNDNLQPRTQAKIEDEFRKQGLQVVAGLSRDLTNVKKAAGMDSDVLSSYVTKLEMGLEKVRLVLQFEKPGMQGKFFNSMKTFLKEAEEEIVRIKADEKQALTLVKAVTEYFHGDAAKEEAHPFRIFMIVRDFLTILDQVCKEVGRMQDGVMVGAARSFRISATASLPVLSRYNVRHDRSSDEDSSSP >KGN60827 pep chromosome:ASM407v2:2:2132485:2136850:1 gene:Csa_2G011600 transcript:KGN60827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGICYCPPLPPSLSPKTVSVIRCFSSSPENSNGSRKKEASAIVKITVSGITELLRLFSSPISKRVDEIRDNQGEEFVVTGVDEVVNILKSDYENAYFVTGIFTSAIYTDDCLFEDPTIRFRGKELYSRNLKLLVPFFDCPSIQLQTINKSNKSGVEFVLAAWKLRTYLKLPWRPLISIDGNTLYELDEEFKIVRHAESWSVSALEAITQIFIPSFE >KGN62994 pep chromosome:ASM407v2:2:19870013:19872586:1 gene:Csa_2G382670 transcript:KGN62994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDEEHHFESKADAGASKTFPQQAGTIRKNGYIVIKGRPCKVVEVSTSKTGKHGHAKCHFVAIDIFTGKKVEDIVPSSHNCDVPEVTRTDYQLIDISEDGFVSLLTDNGSTKDDLRLPTDESLLTQIKDGFAEGKDLVVSVMSAMGEEQICGLKDIGPKN >KGN61102 pep chromosome:ASM407v2:2:4104723:4105088:-1 gene:Csa_2G049910 transcript:KGN61102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKQVGHYDGGYQNYDYSNDYSYNQGQEVQATIGYIEVIEDNQMSSYEQSWRTHDRNRQTGSCTTSSTKAVASRGETFKERSTGRVGAKDEFKTTSTYRVGDKSGYTEYQCQERLRRIIKM >KGN61990 pep chromosome:ASM407v2:2:13402241:13405448:-1 gene:Csa_2G279270 transcript:KGN61990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSISAHTHNPFRSASHSIFPSSFTFNFPSQFPSHPFLNPISPSCILNSARLRTSNPHVRRNFRSSQVLKASTSSGYVPEIGEILGDVRIFTAGGEPVLFKDLWDQTEGMAVVALLRHFGCFCCWELASTLKESKERFDSSGVKLIAVGIGTPNKARILAERLPFPMDCLYADPDRKAYDLLGLYYGFGRTFLNPASVKVFSKTRLDIMREAMKNYTFEATPDDKSSVLQQGGMFVFKGKQLLYARKDEGTGDHAPLDDIYNICCTQVPAT >KGN62539 pep chromosome:ASM407v2:2:16991007:16993944:-1 gene:Csa_2G360560 transcript:KGN62539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVELEESFLEPSLLSWSLNFRKWSLAKVLKSLEGPKYDGKYLHRLVREKLGNTKLNQTLTNVVIPTFDIKLLQPTVFSSYEMKKNSSLDALLSDICISTSAAPTYLPAYYFKTEDVAAGTVREFNLVDGGVAANNPTLLAVGEVTKEIIRQSPEFFPIKPMDYRRFLVISLGTGAPKAEMKFTADQAAQWGLFGWLTAGGSTPIIDAFYQASSDMVDFNLSVVFQALHCEDKYLRIQDDTLSNEVSTLDEATEKNLEGLVKVGEALLKKPVSKVNLETGIFQTSDSQTNEEALIRFAKLLSEERRLRHARSPHGKTTASFKKNQLTIS >KGN62780 pep chromosome:ASM407v2:2:18535040:18536907:-1 gene:Csa_2G372760 transcript:KGN62780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCNPKPSSPNNSSFLPSTNYKTNFMTTTTPNKNLDNNNNNLNTHHHHNNNLLKPSDDQLAQLHRLPTLSEAVEEMKEIGKISGPTAITGLLLYSRAMISMLFLGYLGELELAGGSLSIGFANITGYSVLSGLAMGMEPICGQAYGAKQWKLLGITLQRTVLLLLTSSVPISFMWLNMKRILLWCGQDEEISTVAQTFILFSIPDLIFLSLLHPLRIYLRTQSITLPLTYCSALSVLLHVPLNFLLVVHFKMGISGVAIAMVWFNLNVFLFLVSFVYFSGVYKDSWVSPSVDCLHGWTPLLSLAIPTCVSVCLEWWWYEFMIMLCGLLVNPKATIASMGILIQTTSLVYVFPSSLSFGVSTRVGNELGANRPAKARISMIVSLICAVALGVAAMVFTTLMRHKWGRFFTDDAEILELTAVALPIVGLCELGNCPQTTGCGVLRGSARPTTGANINLGSFYLVGFPVAILMGFVVKMGFAGLWIGLLAAQGTCALMMIYVLCTTDWMVQVERAMQLTMASSSSSSSSNSNPPLLPISLSSSCSEDEEDDDMGRKNGSQSMKKIENLEQILCSNHETHPLIPTPTKQTTVH >KGN61467 pep chromosome:ASM407v2:2:8530498:8532357:1 gene:Csa_2G138710 transcript:KGN61467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGSGICAKRVVVDARHHMLGRLSSIIAKELLNGQKVVVVRCEEICISGGLVRQKMKYMRFLRKRMNTKPSHGPIHFRAPAKILWRTIRGMIPHKTKRGAAALARLKAYEGVPPPYDKMKRMVIPDALKVLRLQAGHKYCLLGRLSSEVGWNHYDTIKELERKRKERSQAAYERKKQLNKLRIKAEKVAEEKLGPQLEVIAPIKY >KGN62593 pep chromosome:ASM407v2:2:17255961:17261973:-1 gene:Csa_2G361580 transcript:KGN62593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLISDTDAWKNLKAHVEDINKTHLRDLMSDAARCNSLMVEYDGILLDYSRQRATSDTIGKLFKLAEAANLKEKINRMYNGEHINSTENRAVLHVALRAPRDATIQSNGKNVVPDVWNVLDKIQDFSEKVRNGSWVGATGKPLKDVVAIGIGGSFLGPLFVHTALQTDPEAIKSAEGRQLRFLANVDPIDVAKNITGLNPETTLVVVVSKTFTTAETMLNARTLREWISAALGPSAVAKHMVAVSTNLPLVEKFGIDPNNAFAFWDWVGGRYSVCSAVGVLPLSLQYGFAVVEKFLKGASSIDQHFYSSPFEKNIPVLLGLLSVWNVSFLGHAARAILPYSQALEKFAPHIQQVSMESNGKGVSIDGVPLPFEAGEIDFGEPGTNGQHSFYQLIHQGRVIPCDFIGVVKSQQPVYLKGEVVSNHDELMSNFFAQPDALAYGKTPEQLHKENVPEHLISHKTFSGNRPSLSILLPSLNAYNIGQLLAIYEHRIAVEGFVWGINSFDQWGVELGKSLATQVRKQLSASRTKGEPIEGFNHSTTTLLKRYLEASSDVPSDLPTLLPRI >KGN63410 pep chromosome:ASM407v2:2:22964729:22966681:-1 gene:Csa_2G439180 transcript:KGN63410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTFLYLLSIPSLFFLLLHLPFLAVSVSFKQHQFDSIDNSMQYQGDAVASNGKILLSGPKSYSHVGRVIYKDTIPIWDSKTRKLTDFSTHFTFSIDTQNRTKYGSGIAFFLAPPDSQIPTNSAGGYLGLYNKTYKNTPINQILHVEFDTHINDEWDPSYEHVGININSVSSSNTTHFNVTLHSGDLADVWIDYSSTTKNLSVSWKYQKTSTSLENTTLSYHIDLRDILPEWVTVGITGANGANVERHTLFSWEFNSTLDMKQPSKDSGNKVTVIVGVTVSVGVLIIVLFAVLWWLKRKKRKLGEEENLEEVNLTSINDDLETGAGPRRFSHKLLAMATNNFSNERKLGEGGFGAVYRGYIPSIDLTVAVKKISRGSRQGRNEYITEVKIISRLRHRNLVQLIGWCHDKGEFLLVYEFMSNGSLDSHLFGKRTPLGWTVRYKIALGLASALLYLHEEWEQCVVHRDIKSSNVMLDSNFNVKLGDFGLARLMEHELGARTTRLAGTLGYLAPEYISTNRASKESDVFSFGVVALEIATGKKSRTSLEEESHKGLVEWVWDLYGSGQLHVGIDEKLHSDYDKKQVECLMLVGLWSAYPDPNLRPSIKQVIQVLSFETMMPNLPNKMPVPTYPAPSTSTSSNEHSFTVSLDMGR >KGN60666 pep chromosome:ASM407v2:2:1095914:1097566:-1 gene:Csa_2G006120 transcript:KGN60666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLNKQMKGFKSFYKSRDGGRNVSSREPISMSSVDKTSIYKYNWLGRKSKKATEQHGGGGGGGGVGGIREEIMGGRKVVVEGRKSVSHVETNLGSVASFLQVKVLVSDMPEIMQIQAFRTARRSYDSLEKFSSKHMAYNIKKEFDKVYGPAWHCIVGSSFGSFVTHSTGCFLYFSMEKLYILLFRTKIQKAIE >KGN61395 pep chromosome:ASM407v2:2:7738419:7738727:-1 gene:Csa_2G110210 transcript:KGN61395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIDEDFQGDGLLEKWNFLNLNAPSILRNPFKALRKLGGIELDRLEGEPVLDSLPEKNRFKWQIQTSVQLAFSRAWKRKRLHWKRENLTQRKKRQAAGHLMF >KGN61234 pep chromosome:ASM407v2:2:5614981:5622494:1 gene:Csa_2G072480 transcript:KGN61234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLATTLRTARRFSYSSSCKSLKHRYFPLPSSLAAAINIRANAFPYFSRSAFHSSLNSPTLDHCCNNGESHLNQEILCNGNDPLPSSSHKPLLITRTISRKRSTLGLQPHLNSIKSKRFTTDTFSAIELALDSVVKVFTVSCSPNYILPWQNKSQRETMGSGFIISGKKILTNAHVIADHTFVLVRKHGSPTKYRAEVQAVGHECDLAILVVDSEEFWKDTNCLELGDIPILQETVAVVGYPQGGDNISVTKGVVSRIELTQYVHGASQLMAIQIDAAINPGNSGGPAIMGNKVAGVAFQNLSGAENIGYIIPVPVIRHFISGVEESGKYVGFCSLGLACQITENVQLRNHFKMGPEMTGVLVNKINPLSDAYDIMKKDDIILAFDGEPIANDGTVSFRNRERITFDHLVSMKKPNEKSVVKVLRNGEVCELRITLRPLQPLVPVHQFDKLPSYYIFAGLVFIPLTQPYLHEYGEDWYNTSPRRLCERALRELPKKPGEQFVILSQVLMDDINAGYERLAELQVKKVNGVEVDNLKHLCQLVDKSEDSVRFDLDDDRVIVLNFEMAKIATSRILKRHRIPSALSHDLMEDLSFHNSQLASSS >KGN62330 pep chromosome:ASM407v2:2:15854803:15859592:-1 gene:Csa_2G349640 transcript:KGN62330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNICFSLFFSFGLLFNWVSSQTGPERAALPPRGWNSYDSFSWIISEEEFLKNAEIVANQLKSKGYEYVIVDYLWYRKLVPGAYTDSLGFDVIDDWGRMAPDPVRWPSSQGGKGFSEVAKKVHDMGLKFGIHVMRGISTQAVNANTPILDISKGDAYVESGKKWLASDIGIKSRACGWMHNGFMSVNVKSGAGKAFLRSLYQQYADWGVDFVKHDCVFGDDLDLDEITFVSDVLKQLNSTIVYSLSPGTSATPAMAKAVSGLANMYRITGDDWDSWNDIVSHFDVTRDFATANMIGTAGLLGKSWPDLDMLPLGWLTDPGSNNGPHRTTNLNINEQRTQMTLWSISKSPIMFGGDLRNIDNTTFSIITNPTLLEINAFSSNNMEFLKIASTNFRKRIVKWHSRGLETSASRILGLTKCAYSDTTGWITESLNEGLEKICWKENPEHESQTPFCLYKRGSRVAIDKEAATRRDQVELLSFPTSSVDVCLDATPKRKHSSEAIMRGSFFPCKGHENQKWDLYANGTLANHYSGHCAIVKYNKAKSIPTGARSWVAAGRGGEVYVAFFNLNNAKTVISVKISDLAQALPGKKLGSNSCKCREEWSGKDFGLVSDLIAAPVESHGSALFIINCN >KGN62433 pep chromosome:ASM407v2:2:16455511:16457169:-1 gene:Csa_2G354100 transcript:KGN62433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMYQPATTWREGDPKLVVGCGTQILDLETVVKDGILGGGGGIICGGGGGGGGEKLDLKEMIEELESSVEVPSVFICPISLEPMQDPVTLCSGQTYERSNILKWFSLGHLTCPTTMQELWDDSVTPNRTLHQLIHTWFSQKYLALKKRSEDVQGRVLELLDSLKKVKGQARVQSLKDLRHIVITHSSAKKMVVDNGGVALISSLLGSFTSHAVGSEAVGILVNLDLNSELKKNLIQPTRISLIADILNEGSIETKINCTKFFKMLIVGEDLKTEDVSSLSLLVGLLRMVKDQRHPNGVTAGLSLLRTLCSNEPIRKQLVSIGAVAKLGGTLSNLNTDCLESALYILDVLSSLPEGRLALKNCEDTIRNLVKLLMKVSEICTQFALSILWAVFKLAPEECAVLAVEAGLAAKLLLVIQSGCNPELKQRSAELLKLCSLNYPDSIFISKCKLTRTIQ >KGN61419 pep chromosome:ASM407v2:2:8000356:8003311:1 gene:Csa_2G120130 transcript:KGN61419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILETDAIKTVPIGVPISHCDVVVVGDNDALNLGELCVGGPCVCSGYYSDSVFLPLDGIKFSQDFIHEGSFNVTCSQIYIRTGDFVQQLRSGDLVFLGRKDRIIKVNGQRISLEEIEDALREHPDVVDAAVVSRKSDWELEYLVAFLVLKDNEKSEVFRSTVRSWMVEKVPLAMIPNSFFFTDSIPMTTSGKVDYEILTHSRPLWEQAFSDALMVEEISSGDDFFTMGGNSITAAHVSHRLGIDMRWLYHYPSPAKLLTVILEKKGLDIIRINEDADSRRNLKTDRWNKYSLDDSEFLNHFDLKEGGSSGKRKQVQPNGDFSRAVVPRNNNSLLSKHYKAVSDCSINLENISQVGGHLWHSPLTSVSCAFSRCNKVVYERKYIGDNKRAGTLLVKSPRGENGSMKKLWQVHMESCVDASPLLVFKHPNIYLFIGSHSHKFVCVDAKNASLRWEIRLEGRIECSAAIVGDFSQVVVGCYKGNIYFLEFSTGVILWTFQTYGEVKSQPVVDPDRNLIWCGSYDHNLYALDYVRHSCVYKLPCGGSLYGSPAIDGVNTVSHFLFPVLS >KGN63066 pep chromosome:ASM407v2:2:20400955:20410643:-1 gene:Csa_2G401260 transcript:KGN63066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIVATFAATFSGSLLDSADKGSAVRFHRRISWPANPVSFNCHFQNGMHLPRFAHGRSSIAVKHSSIRAALLDETISHQNLGKMASETLAFDLVQGALVKWSNVMDRSPDPPTAVFLHGILGSRKNWGTFARRLAKEFPAWQFLLVDLRCHGDSTLTTKMSPHTVSATALDVLKLVRQLKITPRVLVGHSFGGKVVLSMVEQAAKPLARPVRVWVLDATPGIVRPGGDGEDHPEQLISFLSTLGNEVSSKQDVVNALVQHGFSKDVAQWVVTNLRPIGPHISSSSGFSWAFDLKGIAEMYQSYEETNLWKIVEDVPRGVHINFLKAERSLHRWALEDIRRIHAAEEQAEGGGVEMHVLEDAGHWVHADNPDGLFRILSSSFKGIRT >KGN63350 pep chromosome:ASM407v2:2:22508194:22511184:-1 gene:Csa_2G431190 transcript:KGN63350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRSVGLPFSRLLLNQALKTPMGATYHELYPSARTSNPSLLGRPFCSTSTPTAVRHLLAKMEMEKHLKLMESGSDEKLIDVNRTRNARQNGLEEALTLYEEMVGSGIFPDVVTFGSILYGLCKHGKLSEGKLLLREMGKMGMNLNNVSYTILLDALFKAGKVAEALMTLACMIVRGNNFDVIACTVLIDGLFKSGQIKEAEYLFCNLYQLNLVPNYITYSALIDGRCKLGDINGAESALHEMEEKDCVPNVITYSSLINGYVKQGLLHDAFKVLRKMVHKNAMPNICTYAILLDGSFKAGWQDIALDLYSKMKQGGLKDNVFILDAFVNNMKRSGRMEEAEELVAKMASGGLKPDLVNYTNLMDGFLKSGKVSSALNLAQEMTSKNVVFDIVTFNVLINCLFKLGKSDTESIYSAMREMGLSPDLATYNTMLNGNFKKGNWTSALELWNEMKSRKLIPNAITCNIMINGLCEAGRMENAIDILKEMVLMGLYPTSTTYRILLNISSKSRRADTILQTHELLVNMQLKVDKDVYNILISNLCKLGMTRKATAVLKDMEERGIIADTTTYNALIHGYCISSHLKRAFMTYSTMLSERVSPDIETYNLLLGGLSKAGLIHEADDLLSEIKKRGLVPNACTYETLMCGHCKVRNTKECLRIYCEMVIKGFIPKPRAYNMLIGYFSKMGKMKQAKELMNEMQTKGVSPTCTTYDILICGWCNLLKMPDLGSTLKISYRAEAKRLFIEMNDRGFVPCESTQACISSTFAAPGKKADARMLLKSTYKRKRE >KGN61283 pep chromosome:ASM407v2:2:6193043:6195453:1 gene:Csa_2G075420 transcript:KGN61283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCFLPCFGHSKCRKPTKSSLRIPPSSNHILKASEGVCALEQAKKEVVDVCLVDLNNDSLEKLEERIKLCDTTEKACPIIEDDKIVVPTEEVEHNLDDIKIEEKSGREDDESRGSSNLSNAFSIPLNHRYAVCQNSDDDEEFVEEMDHLGEQEEERKDDADNDDGDGENKLLIKQESSESLFSLSLGSRKQVFTFEADENEINSPDPSHHSLDLQLDKASSDKISICQIENVDSVLKPIENVTHCLNAAKVATLPLVHHLEKENINLEQDCDVLISPEPTFRSMRKLKESRSDLKHVEDEIAVDTSLSNWLVESETTPKSKSNSSSIGNSPMWTKNSAKSYEDRPILGALTLEELRQFSASSTPRRYRSRSPEETPIIGSVGSYWSHTGQDADSNPGSSCRGPKTTRRNREEERVNWNSTPFLERLDMALASNSAEV >KGN61515 pep chromosome:ASM407v2:2:9058452:9058736:-1 gene:Csa_2G151060 transcript:KGN61515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPTLHPNSSSSINQTTNFSTIEQYSNPYFLHHSNNTSIVLVSNLLTETNYASWSQAMKIRLIGKNKLGFTDDSITRPKTWLHLSDTLTLKTS >KGN60617 pep chromosome:ASM407v2:2:745686:745871:1 gene:Csa_2G004670 transcript:KGN60617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCNGLFIDWAGPVLDMSGAFRYEAKMTDWALKVSRLYEPSFFNWAWAYCAGLSVEIFPSSV >KGN63404 pep chromosome:ASM407v2:2:22929316:22930888:-1 gene:Csa_2G437140 transcript:KGN63404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVREISNESEIQAPAAKVWDLYGGLEMVNFIPVHLPNLVHKIDVLQGDGGEGTLLHITFAHGLGGPTSYKEKFVKIDNENRIKIAETVEGGYLDLGFTLYKFRVEIIEKNEESCIVKSTVEYELKKEAASNISLASVQPLVAIAQAVNNYFLNTTRQPHVKGDA >KGN62229 pep chromosome:ASM407v2:2:15202743:15212590:1 gene:Csa_2G336760 transcript:KGN62229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKMNQAFEKMKMLVGVEVDDEREASTATIDDGSSSWFMDDFNRNCTLSTKQRFYGFAICFVAGVTCTLMSMLVFFNPIKFGIAFTLGNMLSLGSTAFLIGPKRQVTMMLDPVRIYATAIYLASMIFALFGALYVHNKLLTLLSLILEFGALIWYSLSYIPFARSMVSKVMVSCLDTEF >KGN62239 pep chromosome:ASM407v2:2:15322955:15324935:-1 gene:Csa_2G338830 transcript:KGN62239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLAGLVLPPGFRFHPTDEELVLHYLCRKCSSQPIAVPIIKEIDLYKYDPWHLPELAVCGEKEWYFFSPRDRKYPNGSRPNRAAGTGYWKATGADKPIGRPKTLGIKKALVFYAGKAPRGVKTNWIMHEYRLANVDRSAANKTNNLRLDDWVLCRIYNKKGCIEKHYQSTDDKAAEFPDFEDEKPNITTNNDMVQLPIHNQLQMETSDSVPRMHTESSSGSDPVTSPELTWDKEVQSQSKWEGEGGGERAAVADFDFFEFNYMDSFSMPEDVPFGSQVQFQMDHLSPLQDMFSYLQRQI >KGN62910 pep chromosome:ASM407v2:2:19376323:19378829:-1 gene:Csa_2G379900 transcript:KGN62910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEKEQKPKAVIVGGSIAGISCAHTLIKAGWEVQVLDKSPSPPTGCSTGAGLILDPLSQKLLQSWISRPELLLQSTLPITTEQNRAIVGEIKDGRILTNDENFNYRAAHWADLHSLLYKELPSHIFLWGHRFLSLSISDDKTSVKIKAKVTKTDEVVEIVGDLLVAADGCLSSIRETFLPNLKLRYSGYYCWRGVFDFSKKENREIVMKMKKGYPEIGKCLYMDLALGTHILLFEIPNNKINWVWFVNEAEPHFKARSMTMKVNDDMVKRLHKRADDVWVPELAKVIKETKDPFINVIYDCDPLEQIVWDNVVLVGEAAHPTTPHCARSTNMTLSDASILGECLRNRRLFNLKSALAEYQSLRLPILHAQVQHSRLVGRIKQGLTLPNCEPFDPNIVTTTRNLQELQIRNIPFHGDV >KGN60657 pep chromosome:ASM407v2:2:1030764:1033749:1 gene:Csa_2G006030 transcript:KGN60657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGWIFCWFLFVLVLRAVVVLWWRPRRIEQHFFRQGIRGPPYRFFIGNVKELVGMMIKASSDHSFPNSSHNILPRVLPFYHHWKKIYGSKFLVWFGPTVRLAVSDPDLIREIFTSKSEFCEKNEPHPLVKQLEGDGLLSLKGQKWALHRKIISPSFHMDNLKLLIPVMAKSVVDMLEKWSALMTSADSDEVEIEVSEWFQTLTEDVITRTAFGSSYEDGKAIFRLQAQQMVLASQAFEKVFIPGYRFLPTRTNVNSWRLDKEIRKSLMKLIDRRRENSIETSSKDLLGLMIRASKSSPSSTITVNDIVEECKGFFFAGKQTTSNLLTWTMILMAMHPQWQVQARDEVLRECGARDIPSKDDVTKLKMLSMIINESLRLYPPTVATIRQAKVDVELGGYMLPRGTELLIPILAVHHDQTIWGNDVNEFNPARFAEGVAKAANHRVGFIPFGLGARTCIGQNLAILQAKLALALILQRFSFRLGPSYQHSPAVQMLLYPRHGAPIIFKKLSTPLAHQDQQS >KGN61745 pep chromosome:ASM407v2:2:11562956:11564254:-1 gene:Csa_2G237150 transcript:KGN61745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSLLRSLSSPLLLESPDLHLRRIGSLSSSPFSSSLVVRSSSKSHSYIPKLQPFSRSKLDRAIKEPPLIQKSENELADYCSTLEGDDSYSCWKAYFELQDLEKESPKQEVEKLILQAGGVKSLIGCVHGITAIQKSKGKEKEERKAWKKEVEGRGNRECPIPDGLPKSAEEIEEEEEGRMPDSAFTRLLRSKGTFPAWYSPAPDHETD >KGN63286 pep chromosome:ASM407v2:2:22019564:22020274:1 gene:Csa_2G423660 transcript:KGN63286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMRSSTILSIVILLAGIDTAAVTLEWALCHLLNNPEVLKKATDEIDSSIGQECLVKEVDLLRLSYLQGIIFETLRLNPATPLLVPHCASEDCKIGGYDVPRDTTVLINAWAIHRDPSLWEDATSFKPERHENANGVDAYKLLPFGLGRRACPGVGMAQRVVALTLASLLQCFEWQRLGNSLVDMTEGEGLTMPKAQPLTAKCRPRPIMMKILSMKQ >KGN61468 pep chromosome:ASM407v2:2:8536240:8537830:1 gene:Csa_2G138720 transcript:KGN61468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWIIIRGIILVLMMMIFVRGNEGEPYRRVGSKKFAWKTLIVDKKGHGNFSTIQAAIDSVPSNNRFWVSIHIRPGLYREKVKIPYDKPYIILKGHRKRRTKVVWDDHLTVAQSPTFTSSADNIVVKSISFVNSYNYPWKNGNPRVPAVAAMITGDKSSFYRCGFYGVQDTLWDNQGRHYYHRCTIQGAVDFIFGAAQSIFQVFFLFIPTNLSPTS >KGN61086 pep chromosome:ASM407v2:2:3958807:3959509:1 gene:Csa_2G047790 transcript:KGN61086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNPNKLKQQFLKKWLVGLRSTTSSSTTNMNFLDRKKAIKISADYAMAETRKGTTIWSQSIIAKSLKGHAPPKAILNRGTIYINLLRKKRTMTQLQKMGRKIGRRMARRSRLPSSKVLPRTIAKRLVEKRTKVLRSLIPGGEFMEDEVLLIEEALDYIPFLQAQVDGMRFLANYYCK >KGN61104 pep chromosome:ASM407v2:2:4115829:4121005:-1 gene:Csa_2G049930 transcript:KGN61104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEQTKKQSLNSPFIPPRHHGRSFTRDEIWDEVKRQVLLAGPLVTVNVLISCLQMISVMFVGHLGQLPLAGASMATSFASVTGFSLLNGMGSALETFCGQSYGAKQYHMLGIHMQRAMVVLLLVSFPLAVVWFNAGDILRLLGQDSEIAAEAGRYARCMIPSIFAFAIQLSHVRFLQAQNNVLPMAVIAAATAVLHCFVCWCLVFRSGLGNRGAALANAISYWINAVALAVYVRVSPSCRRTWTGFSSEAFRGIFNFLKLSIPSALMLSLEIWSFEMVVLLSGLLPNPKLETSVLSISLNTAYMIYMIPLGISGAVSTRVSNELGARRSMAAILAGRVAMGMVATEGTMAAIIIVLGRRLWGYCYSTDETVVGYLTQIMGLLAILHFFDGIQSIFSGIIRGCGRQKIGAFINLGAYYLAGIPMAVFLAFFVGIGGKGLWMGIMVAVFFQALFLGILILSTNWDHEVKKAADRVTSFMPQILLE >KGN63167 pep chromosome:ASM407v2:2:20990814:20993264:-1 gene:Csa_2G406650 transcript:KGN63167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGMAMRVAVLSLALLCMLIGVVHAQLSFNFYNSSCPNVEQIVRQAVSLKINQTFVTIPATLRLFFHDCFVQGCDASVMIASASGDAEKDSEDNLSLAGDGFDTVIKAKQAVEAQCPGKVSCADILAIAARDVVVLAGGQNFAVELGRRDGLISKASLVAGNLPGPNFNLSQLNTMFAKNNLTQTNMIALSGAHTVGFSHCSRFANRLYNFSATSKVDPSLDPKYAKQLMGACPQDVDPRIAVNMDPVTPRKMDNVYYQNLVNHKGLFTSDQVLYTDPLSQATVSGFANDRSGFNNAFGEAMVQLGRVGVKTGAAGEIRKDCTAFN >KGN63388 pep chromosome:ASM407v2:2:22830292:22831979:1 gene:Csa_2G435510 transcript:KGN63388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIWFIFIISLSLCSLLTSIFTHFQTSTKLPPGPPSIPILTNFLWLRRSSLQIESLLRSFVAKYGPVLTLRIGSRPTVFIADRSIAHKILVQNGALFADRPPALSVSKVVTSNQHNISSASYGPLWRLLRRNLTSQILHPSRVRSYSEARKWVLDILLNRLQSQSESGNPVSVVENFQYAMFCLLVLMCFGDKLEESQIREVENVERQLILCFQRFNILNFWPKVTKILFRKRWEAFFQLRKNQEKVLTRLIDARRKANENRAQNEEEEIVVSYVDTLLELELPDEKRKLNDDELVTLCSEFLNAGTDTTSTALQWIMANLVKYPEIQNKLFVEMKGVMGNGSREEVKEEVLGKLPYLKAVVLEGLRKHPPAHFVLPHAVKEDTELGNYVIPKNASVNFMVAEMGRDPKVWEDPTAFNPERFMKGGKEKEEQVAEFDITGSKEIKMMPFGAGRRICPGFGLAILHLEYFVANLVWRFEWKVVDGDEVDMSEKVELTVAMKKPLKAKIHPRIHTES >KGN62100 pep chromosome:ASM407v2:2:14195505:14196014:-1 gene:Csa_2G297250 transcript:KGN62100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSVILPPNSTLLSTKHQETERPDTETQNTPETASSNRRPMCRTNTAQLNKNPQLNQPLTAQNSRLNPLLNHGQDCCRTCHKDAGRTPLKSSSPPNAGTHQLDNSLELTANAVNGAPPLSLFCVLYDLKRKVKDLQKKNAINA >KGN63359 pep chromosome:ASM407v2:2:22572620:22573021:-1 gene:Csa_2G432270 transcript:KGN63359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSLKSVKKRFCQKSESFSELISLKLIGCEDDDIVCLPLEMSEVLYNIEEFIIEDARKLIQVFETEELSRSNNNDVQRCARLKKLTLWNLPKLMHVWKESSEVTTISFDSLEKVEEVDIMESSKAYACVERK >KGN61449 pep chromosome:ASM407v2:2:8292570:8295562:1 gene:Csa_2G123600 transcript:KGN61449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLLSTFPPLNFLSSSVFSHKTTPTIKFPSGVLTPKLNVSHFRGSCVNSDAPIVKSSISIEFGHEIGDSRGNGDNMEWEGELLQELDPLGFQPPKKKKKQMKSKLLDDTEGMDWCLRARKVALRSIEGRGLASTEEDLFSVKKKNKKNKKKKKIMGSKDNGVNTKGDVIEESLEFDSDEDLELDMDLDLLDSLAINDSNHLSKSVSIMGGGMFEQRKEKTMEEFIQRLSKFSGPSDRKKEVNLNRAIIEAQTADEALEVISDMILAVGKGLSPSPLSPLNIATALHRIAKNMDKVLMMKSHRLAFARRREMSMLVGIAMTTLPECSAQGISNIAWALSKIGGDQLYLSEMDRVAEVTLTKIEELNSQNVANIAGAFASMQHSASDLFSGLAKRASDIVDTFHEQELAQVLWAFASLNESADLLLESLDNVYNDASQITCYLSEQTVNRNQESTVGVSNDLESDGAVGFPVLKFNRNQLGNIAWSYAVFGQVDRSFFSHIWRTISYFEKESISEQHRNDIIFASQLWLVHYCLKREYSHLQLSLSVDLEEKAILAGKTKRFNQKTTSSFQKEVARLLVSTGHEWTREYVFDAYTLDAVIVDKKVVLEIDGPTHFSRNTGIPLGHTVLKRRYITAAGWKVVSLSHQEWEELQGEVEQLNYLREILKDHIDLR >KGN61752 pep chromosome:ASM407v2:2:11597427:11616449:1 gene:Csa_2G237710 transcript:KGN61752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQGLHHQQQQLAALLNVALRKDDPNPTTSSSSTAGATSDEDDSARIAAINSIHRAIVYPPNSLLVTHSATFLSQGFSQLLSDKSYPVRQAAAIAYGALCAVSCSITASPNGRQNSVLLGTLVDRFIGWALPLLSHVTAGDATTKLALEGLQEFINIGEAGAVERFALPILKACQVLLEDERTPLSLLHGLLGVLTLISLKFSRSFQPHFLDIVDLLLGWALVPDLTDSDRHIIMDSFLQFQKHWVGNLQFSLGLLSKFLGDMDVLLQDGSPGTPQQFRRLLALLSCFSTILRSAASGLLELNLLEQISEPLSRMLPQLLGCLSMVGRKFGWLEWIDNLWKCLTLLAEILRERFSTYYPLAIDILFQSLEMTRANRVVKGQKITFLQVHGVLKTNLQLLSLQKFGLLPSSVHRILQFDAPISQLRMHPNHLVTGSSAATYIFLLQHGNNEVVEQTVALLIEELGMFSGLLEKGLDQRGINGILDSQFCSTMDLFALIKFDLRALLTCTISSGTIGLIGQENVAFTCLKRSERLISFIMEKLNPFDFPLQAYVELQAAILDTLDRLTTTEFFCKCSLKKLSSENRFLDSGENIDSYQKKGENIDEAHLKKDHSAIIIEQLTKYNALFSKALHKASPLTVKITTLGWIQRFCENVVTIFKNDKTYANFFEEFGYFSVIGNLIFMVIDAASDREPKVRSNAASVLELLLQAKIVHPIYFYPIADVVLEKLGDPDNEIKNSFVRLLSHILPTALYACGQYDLGSYPACRLHLLRSDHKSSLHWKQVFALKQLPQQIHFQQLISILSYISQRWKVPVASWTQRLIHRCGRLKDIDLSQSEEMGNLGANGLWLDLRLDDDFLNGNCSVNCVAGVWWAIHEAARYCISLRLRTNLGGPTQTFAALERMLLDIAHLLQLDNEHSDGNLTMVGASGARLLPMRLLLDFVEALKKNVYNAYEGSAVLSPATRQSSLFFRANKKVCEEWFSRMCEPMMNAGLALQSQYAAIQYCTLRLQEFKNLVMSHMKEKCNLQVGENIHNTNKLTRDISRVLRHMTLALCKSHEAEALVGLQKWVEMTFSSLFLEESQSLGNFTLGPFSWITGLVYQARGQYEKAAAHFIHLLQTEESLASMGSDGVQFTIARIIEGYTAMADWTSLESWLSELQSLRSKHAGKSYSGALTTAGNEINAIHALAHFDEGDYEASWACLGLTPKSSSELTLDPKLALQRSEQMLLQALLLYNEGRLEKVSQEIQKARAMLEETLSVLPLDGLEEAAAFATQLHSISAFEEGYKLTGSVDKHKQLNSILSVYVQSVQSSFCRINQDCNPWIKILRVYRVISPTSPVTLKLCINLLSLARKQKNLMLANNLNNYIDDHISNCSDEKHCLFLLSSLQYERILLMQAENRFEDAFTNIWSFVHPHIMSFNSIESNFDDGILKAKACLKLSRWLKQDLEALNLDHIIPKLIADFNVTDKSSVRGEFSICSENLHSGPGPSIELIIEEIVGTMTKLSTRLCPTFGKAWISYASWCFAQAESSLHTSSGTALRSCLFSSILDPEVHSEKYRLTKDEIIKVERLIYVLVQKSHEAKIVNDDRREWSSETLEDLKLDGTVKALLQQVINIIEAAAGLSNTENPGNECLTDVFTSELKLFFQHASIDLDDTSAVTVVQDLVDVWRSLRSRRVSLFGHAANGFIQYLLHSSIKACDGQLAGYDCGSMKQKSGKYTLRATLYVLHILLNYGAELKDSLEPALSTVPLSPWQEVTPQLFARLSSHPEKIVRKQLEGLVMMLAKQSPWSVVYPTLVDVNSYEEKPSEELQHILGSLKEHYPRLIEDVQLMIKELENVTVLWEELWLSTLQDLQTDVMRRINVLKEEAARIAANVTLSQSEKDKINAAKYSAMMAPIVVALERRLASTSRKPETPHETWFHEEYKEQLKSAIFTFKNPPSSAAALVDVWRPFDDIAASLASYQRKSSISLKEVAPMLTLLSSSDVPMPGFEKHVIYSEADRSIGSNLSGTVTIGSFSEQVTILSTKTKPKKLVILGSDGETYTYLLKGREDLRLDARIMQMLQAINSFLYSSHSTYGQSLSIRYYSVTPISGRAGLIQWVNNVMSVYTVFKSWQHRVQVAQLSAVGASNLKSSVPPQLPRPSDMFYGKIIPALKEKGIRRVISRRDWPHEVKRKVLLDLMKEVPKQLLYQELWCASEGFKAFSLKLKRYAGSVAAMSMVGHILGLGDRHLDNILMDFSTGDVVHIDYNVCFDKGQKLKVPEIVPFRLTQTMEAALGLTGIEGTFRANCEAVLEVLRKNKDILLMLLEVFVWDPLVEWTRGDFHDDATIGGEERRGMELAVSLSLFASRVQEIRVPLQEHHDLLLAALPAAESSLEGFANVLNHYELASTLFYQAEQERSSIVLRETSAKSVVADATSSAEKVRTLFEMQARELAQGKAIVSEKAQEASTWIEQHGRVLDNIRSNLIPEIDMCLNMRAIGEALSLISAVTVAGVPVTVVPEPTQVQCHDIDREISQLIAALSDGLSSAIATIQVYSVSLQRFLPLNYVTTSVVHGWAQALQLSKNALSSDIISLARRQATELMMKVNDNNDSVQVSHDNMCVQVDKYAKEIAKIEEECTELLTSIGTETELKAKDRLLSTFTKYMTSAGLVKREAIPSLQMGRVTHDGKKDINMQLELVAEKEKKEKLLSSINVALDILYCEARGKILDILNDMNDGRLVNRTTSHDFNVVFSNLEEQVEKCMLLSEFHSELLDLIDVKVLSVENKYKSWHRNHSHRNWTSTFAVMFSSFKDLIGKMTDAVLPDIIRSAISVNSEVMDAFGLVSQIRGSIDTALDQFLEVQLEKASLIELEKNYFINVGLITEQQLALEEAAVKGRDHLSWEEAEELASEEEACRAELHQLHQTWNQRDVRSSSLAKREANLVHALASSECQFQSLISAAVEETFTKGNTLLAKLVKPFSELESIDEIWSSSGVSFSSISNGIPTLSDVVSSGYPISEYIWRFGGQLSSHSFFIWKICVVDSFLDSCIHEIASAVDQNFGFDQLFNVMKKKLELQLQEYIFRYLKERGVPAFLAWLDREREHLKPLEARKDNFHEHHDEQIKDLEFIERIRYMLQEHCNVHETARAARSTVSLMRKQVNELKETLQKTSLEIIQMEWLHDNSLTPSQFNRATLQKFLSVEDRLYPIILDLSRSELLGSLRSATSRIAKSIEGLEACERGSLTAEAQLERAMGWACGGPNTGPVINTSKASGIPPQFHDHILRRRQLLWETREKVSDIIKICMSILEFEASRDGMLQFPGDHAFSTDSDSRAWQQAYLNAITRLDVSYHSFSRTEQEWKLAERSMEAASNELYAATNNLRIANLKMKSASGDLQSTLLSMRDCAYESSVALSAFGSVSRNHTALTSECGSMLEEVLAITEDLHDVHNLGKEAAVIHRQLIEDIAKANSVLLPLEAMLSKDVAAMIDAMAREREIKMEISPIHGQAIYQSYCLRIREAYQMFKPLVPSLTLSVKGLYSMFTKLARTAGLHAGNLHKALEGLGESQEIKSEGIHITKSQFNSEVDAVDFEKERESLSLSDSESSGDIPDITRLSLQDKEWLSPPDSFCSSSSESDFTTSSFPDSSNDLTEDMGQHYNGSSDREARVIPKITSFSQTDVGKMLRLEESETKSTDGSQTCFRKLSTNEFNGGIKIVATPPDESIEVPAIASHPLNETVERLEEESGVTSSDKRLEDENQEAPPAQKAAWSRASRGRNAYATSVLRRVEMKLNGRDNVDNRELSIAEQVDYLLKQATSVDNLCNMYEGWTPWI >KGN60981 pep chromosome:ASM407v2:2:3209851:3216675:1 gene:Csa_2G033360 transcript:KGN60981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGHDLELERARLLSLAAELGFDEQSAQACLDRIINLYGDDGKEFVSVEHCGDDFLAALAESAPDNEEWDDLQAMESEACGALDIILDESVQEKDGAQNVHNRECPINIIEDSSEGEENPNLVNIDSSSESDEDANFNASKKRNLDSSTSYCSDQATSILTSRASKNSTTRGSVYSSPGERQYSRTSEAGPKTLTYEELQTLDDFELANVVIFGNKAFRPLQHEACKAAASKQDCFILMPTGGGKSLCYQLPATVQPGVTVVISPLLSLIQDQIVTLNLKFGIPSTFLNSQQTSSQAAVVLQELRKDKPSCKLLYVTPERIATQSFLEILRFMHMKKQLAGFVVDEAHCVSQWGHDFRPDYRNLGCLKQNFPDVPVMALTATATHSVREDVLKALRIPHALILERSFDRPNLKYEVVCKTKEPLVQLGQFIKERFKNQCGIVYCLSKSECVEVSETLNKKFKIKAAYYHAGLAARQRVLVQKKWHVGDIQIVCATIAFGMGIDKPDVRFVIHNTMSKSIESYYQESGRAGRDGYPASCIVLYQKKDFSRVVCMLRNAQGFKSESFKMSMSQGKKMQQFCELKDECRRQMLLQHFGESFDRKACKYGSNPCDNCLKKSS >KGN62162 pep chromosome:ASM407v2:2:14625285:14631123:1 gene:Csa_2G302280 transcript:KGN62162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHFENGESDLEEGKMGKDRDKALRNNRAFRLHNQALLSGLAYCISSCSMILVNKLVLSSYDFNAGISLMVYQNFISVSIVTILSVMGIISTEPLTWRLVKVWMPVNVIFVGMLITSIFSLKYINVAMVTVLKNVTNVITAVGEMYLFGKHHDNRVWAALFLMIISAITGGLTDLSFHAVGYAWQIINCFLTASYSLTLRRVMDTAKQLTKSGNLNEFSMVLLNNTLSLPLGIFLVFVFNEIDYLSRTPLLRLPMFWLVITFSGVLGLGISFTSMWFLHQTGATTYSLVGSLNKIPLSIAGIFLFKVPTSVENSASIFFGLLAGVFFARAKIRERS >KGN60709 pep chromosome:ASM407v2:2:1379111:1383570:1 gene:Csa_2G008020 transcript:KGN60709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTPHDMMLTPYVEKTPNSDEYGALTTTETQPNKRRKKKSIVWEHFTIETVSAGCRRAYCKQCKQSFAYSTGSKVAGTSHLKRHIAKGICAALLRNQDKSQLTPSTPASKGSDLPKRRYRSPNTSYLMFDQDRCCHEFTRMVIMHDYQPHMVENSGFVSFVQNIQPRFNVKDFNHFQGDCIGTYLSEKQNVIKFIEGVPGRICLSLDMWTSSKTVAYAVITGHFVDSDWKLHRRILSVVMEPYPDSDTALSHAVSECLSDWNLEGKLFSLTFNHPATESALGNLRPLLAIKNSLILNGQFLVNNCIARTLSSIAKDVLAVGSDIVRKIRDCVKNVKLSESNEAKFLELKEQLQVPTERSLCLDDLMEWNTTYLMLIAALELKEVFSCLDNSDPDFKEVPTREDWRQVETLCSCLKVLFDAASILSTINNPTVITFFHEVWRIHLELARALTSEDPFTCSLTQMMQEKINKYWKDCGLILAAAVVMDPRFKMKLVEFSFNKLYGEEAPAYIKIVDDGIHELFHEYVTLPLPLTPTYADEGNAGSNIKSEGPQSGSLLSDNGLTDFDVYIMETSSQQMKSELDQYLAESLLPRVQDFDLLGWWKQNKMKYPTLSTMARDILSIPVCTLPPESIFDMEIKEMDPYRSSLRPATVEAIICTRDWIQCGFAEVSDSLVKMEC >KGN61293 pep chromosome:ASM407v2:2:6294629:6294847:1 gene:Csa_2G076010 transcript:KGN61293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTSKISSARTLAVLRLENFFRGAKGTMSEQPHPRHSPCRCVIDVGNGLFLMRPLCVGSKQILMQHREKFPT >KGN60702 pep chromosome:ASM407v2:2:1319905:1326880:1 gene:Csa_2G007950 transcript:KGN60702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASERNETTTTTLLPVLHKEGEDDDHGRKPGSAMTRRGAYAAISYMASAVLLLMFNKAALSSYKFPCANVITLLQIICSSTLLYALRHWKIISFTVGESQSISSSGKSIILVPYKTLVQTLPLAISYLLYMLVTMESVRGINVPMYTTLRRTTVVFTMIAEYLLTGQTHSLFVVGSVGMIILGAVVAGARDLSFDTYSYSVVFIANICTAIYLASIARIGKSSGLNTFGLMWCNGLICGPLLFFWIILRGDVEATLNFRYLFSFGFQCVMLLSCIMAFLINYFVFLNTTLNSALTQTVCGNLKDVFSIAIGWFLFGGLPYDFLNVVGQSIGFLGSCIYAYCKLHGK >KGN61732 pep chromosome:ASM407v2:2:11440884:11443276:-1 gene:Csa_2G234580 transcript:KGN61732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMVSRCGCRQAQDDGWGRARGRGRLKRRPKQICFRFQTSSAITIHQPLLPIMDQSFLLMLSTLLHLHNYLDPTISLLPSTPSSASSPSSASLNSPTSLLSSSSAAPLLFFTIASVLSFIASSRPNPTSPSSPTPTPTPTPPPPSSDYSVSAFRAFSTDHIWSLEAPLRDAQWRSLYGLSHPVFTTIVDKLKPHIALSNLSLPSDYAVAMVLSRLCHGFSAKTLASRFSLEPYLVSKITNMVTRLLATKLYAEFIKIPVSRRRLIETTQAFEELTSLPNMCGAIDGSPIKLRRLPADQNFSTNYNCRFGYPSVLLQVVADNKKIFWDVCVKAPGGSDDASHFRDSLTYHRLTSGDVVWDNVINVRGHHVRPYIVGDWGYPLLSFLLTPFSPNGMGTPAQNLFDGMLMKGRSVVVDAIGLLKARWKILQDLNVGLSHAPQTIVACCVLHNLCQIAKEPEPEPLRDPDETGPAPNILDSEKSLCYYGESVRQALADDLHHRLPSR >KGN62058 pep chromosome:ASM407v2:2:13870218:13871544:1 gene:Csa_2G293860 transcript:KGN62058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIFGKVTGPKYDGKYLRTLINNLLGDTTLKETLTQVIIPAFDIKRLQPVIFTTVEAKLDELKNPKLADVCISTSAAPTILPSHEFEIKDSKGNKRRFDMVDGAVAANNPTLAAMTHVRKEMSIWKEKSELMPIKPMETSKRMLILSLGTGAPKNEEKYSAAVSSKWGILGWIYHSGSTPIIDIFSDASADMVDYHIASIFQSEHHQKNYLRIQDDTLSGVVASVDVATEENLLKLIEVGEDLLKKQLSRVNLESGKFEPLDGHGTNEDALVEFASMLSEERKLRSSS >KGN62441 pep chromosome:ASM407v2:2:16504480:16506938:1 gene:Csa_2G354670 transcript:KGN62441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLSSDYDEMGSFSFTDFPEDVQLCILSFLSPSDIATFSCTSKRFVSLCRNDRKLWFTMCDRKWGSKTQIKNWGKGKITYRLLYKTLHQWENLIGFWRRSGSSTISVTSPPLVFFEWGPDFLAGCRVSPSKNGTYDVFKSPFLWMTLSSEGQAMSFINLDGRSEFAGKFADLGESDFSDCDLIPIDLSFMGKNHFVIEENLAFPYPTSPERSKKGFRRSSSSANLLAEDGAAAITDVSRVESGSPGSLPDRLMSEIYQHFANRTSPGGDRASRRQRRRERERLGKRKWEPEHFVKIVNCSPTPLRPLQGLWKGISEDLSMDFYLVVYDDIGGIACRKVGDSSERLSSYAPVFWTSNTTFLEAPFSVEEEYLHDCRIHVQPLAANDIDELVPSIENKNISRILCVNSSYDLVLPDLAGSAPNPRNVEGRIWQYSNGTFGFGFLRDNFIIDLKHLARNGTIVDTVE >KGN60619 pep chromosome:ASM407v2:2:760338:761434:-1 gene:Csa_2G004690 transcript:KGN60619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNQQNDAVAPFVMKTYEMVNDPSTDDLIGWSKGNNSFVVADPLELSRRILPSYFKHNNFSSFVRQLNTYGFKKVDPDQWEFASQWFLRGQKHLLKNICRRRHSRNSYFQTKYADDDGELAIEISKLKREQRALELEVESMNKRIEATEKRPQQMMAFLYKIMDNPEILPRIIIQNHRVRRQLPSKRRRVVMPPPPSPTTVKVDKIPDDDSSPETGVFVDNASLSSPETTLWWDGAASAPVSSPLTSDSGGGLSDYISLSPPESDVSMYGIGGVGDCYMAELVAGGGSRPPPPYPFSLFSGGF >KGN63017 pep chromosome:ASM407v2:2:20015581:20019003:1 gene:Csa_2G383390 transcript:KGN63017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQANFIINIGDKAEVPIISFSATRPSLTSHRSSFFFRAAQNDSSQVKAIGAIVKTFKWRQVVPIYSDNEFGEGIIPYLIDALQEVDTDVPYQSKISPSAKDKQIIDELNNLMKMPTRVFVVHMAPHHASRLFTMAKEIGMMKRGYVWIITDAIANLLDLIHPSVLKAMQGVVGIKTYVPRSKGLDSFKHDWRKRFMSYYPRRKEEDIPEVDVFGLWGYDAAWALAIAVEKAGTDNLRYSSTNITASKINSTNYLYTLGVNQNGQKLRDTFSNLKFRGLAGEFSLINGQLQSSLFEIVNVNGNGRRNVGFWSAESGLRRKVEDSERSAKGLRSIIWPGERIVTPKGWEIPTNGKKLRIGVPVKDGFKEFVSVIRDPKTNATIDVGGYCIDVFKAVIATLPYKVDYEFVPANPDFTYNEITYQVFLHKFDAVVGDITIRANRSSYLDYTLPFTESGVAMVVPMKNSKNTNAWVFLKPLTLNLWIITAFFFVFVALVIWILEHRVNEQFRGSALDQLCTSLWYSFSTMVFAHRISNRFAFSSSYLKKNLGGDRKRDNEKH >KGN62047 pep chromosome:ASM407v2:2:13787099:13790356:1 gene:Csa_2G292770 transcript:KGN62047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHSLWKPLSHCAALIMDKKSRKKDGSDSAMDIKKHKLILRKLEEHKLREALEEASEDGSLFKSQDVDSEPLPNDDSNGLGRSRSLARLQAQREFLKATAMAADRTYESDDDIPDLHEAFSKFLTMYPKYQSSEKIDQLRSNEYSHLVKVCLDYCGFGLFSYVQSLHYWESSTFSLSEIAANLSNQALYGGAERGTVEHDIKSRIMDHLNIPEHEYGLVFTVSRGSAFKLLAESYPFNTNKKLLTMFDYESQSVNWLAQCARDKGAKAYSAWFKWPTLKLCSTDLRKQITNKRRKKKDSVGLFVFPVQSRVTGAKYSYQWMALAQQNNWHVLLDAGSLGPKDMDSLGLSLFRPDFIITSFYRVFGYDPTGFGCLLIKKSVMGSLQTRSGCTGSGMVKITPEYPMYLSDSMDDLDGVSRFEDDQVAGVVDKTSETRQGSQLPAFSGAFTSAQVRDVYETEMDHDNSSDRDGTSTILEESETISLGEVMKSPVFSEDESSDCSIWIDLGQSPLGSDNGGQMYKQKIASPLPQHWLKGRKNKLLSPKPTSKIHSEPTYDNEKDFNFRPCDEQPVLSFDAAVQSVCQELDCVEEVPKELFAEASTMPANSKIISNNRVVTEIDEVTEASKPLSNGSSKSYTVNNGFHLDISTSDFRYRGLENGTTSEICPEVKESAIRRETEGEFRLLGRRDGSKHVGGRFFGLEDSNMQSRGRRVSFRMEENGKEQLSHNIDPGEVSVTSLDDEDYTSNGEYDDEEEWNRREPEIICRHLDHINMLGLNKTTLRLRFLINWLVTSLLQLKFPGSEGSNKVNLVQIYGPKIKYERGAAVAFNVRNRNRGLINPEFVQKLAERDGISLGIGFLSHIRVLDSSKRQYGVLNLEESSLCRETKNGRRGKHGFARLEVVTASLGFLTNFEDVYKLWGFVAKFLNPSFIREGTLAPVEEGSETT >KGN60980 pep chromosome:ASM407v2:2:3203171:3207450:-1 gene:Csa_2G033350 transcript:KGN60980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMFQNALGEDSPFAFLEPLIRKVVREETEGAISKFFPSSSSSSVSESETTTAGYSLQLLFESKLPDGIFTNNPLKAEGGKPLKIQLCHANSKTIVKSGPLSSAKVDIVVIHGLFSRGREDWTEEKFNANILSERDGKRPLLAGPQSVVLKNGVGLISDLSITDNSSWIPNKKFILGAKISQKNSGEERVKPAISCPFSVKDSRGEVLFYSLKLILERMSDKIWRKVLGHAKTCTMDDCTVPRCSLGWNGGLVGDLDKPIYLNRFDEQPTPKLSLTYQEAGPSSISSTLGSQPLGPGIALSQENLQICAPNTYNSEEDGARPPIFQICYNHTNQTFSQTLQPDYTEEECSFLPHSPIYFTPAPSEHGYDLLPSSSYTAETGGSRIFPYPDLGANILNGAD >KGN62421 pep chromosome:ASM407v2:2:16366683:16369970:1 gene:Csa_2G353490 transcript:KGN62421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASWWGRESHKGTPVVVKMENPNWSIVEVESPSDEDFIIGAESPPGRARDKGRGKNAKQLTWVLLLKAHKAAGCLTSIGSALVNIVTVVKRRLASGRTDADTDADNDNADGGVRENPIVKTRFYLFIKVFLWLSVLLLGFELAAYYKGWHFEAPHLQLDYLWTTPFGVKDAFDWLYSKWVVIRVAYFAPPLQFLANVCIVLFLIQTLDRLILCLGCFWIRFKKIKPVPKDAAMDLESGETGYFPMVLVQIPMCNEKEVYQQSIAAVCNLDWPKDKLLIQVLDDSDDPITQLLIKEEVHKWQQLDAKIEYRHRVIREGYKAGNLKSAMNCSSVKDYEFVAIFDADFQPASDFLKRTVPHFKDNEELGLVQARWSFVNKDENLLTRLQNINLAFHFEVEQQVNGVFLNFFGFNGTAGVWRIKALEEAGGWLERTTVEDMDIAVRAHLHGWKFIFLNDVECQCELPESYEAYRKQQHRWHSGPMQLFRLCLPDIVHSKIGISKKFNLIFLFFLLRKLILPFYSFTLFCIILPMTMFVPEAELPAWVVCYIPATMSFLNILPAPKFFPFIVPYLLFENTMSVTKFNAMVSGLFQLGSAYEWVVTKKSGRSSEGDLSSMVEKKRMNSEKSVSANNLEEIELIQKQDKKLPRKKHNRIYVKELALAFLLLTASARSLLSAQGIHFYFLLFQGISFLLVGLDLIGEQVV >KGN62998 pep chromosome:ASM407v2:2:19893381:19896965:1 gene:Csa_2G382710 transcript:KGN62998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEPNSNLSTTTTTTTTTTTTTTTTTTTTTTTTTTTTTTTTTTTTTTTTTTTTTTTTTTTTTTNNNKLFSIMLQPFRWLKMLSTELHPSFIAGVILIYGLSHGFSGSYFKVVSDYYWKDVQKLQPSVVQIYIGIYTIPWVMKPIWGLLTDAFPVRGYLRRPYFVISGVVGATAAVGMAVKGGLGVLEALGLLIGISGAMAIADVTIDACIVRNSIEVQWLAQDLQSLCGACSSIGHLIGYSTSGFFVHLLGAQEALGILAIPPALVVLLGFFIHETPSPTLQYNGKSKGGSIKQVGVAIRDTCKAIKYPHVWRPSLFMFLCLSLSISTHEGQFYWYTDKKAGPAFSQESVGLIYAVGSAASLIGVLIYHKTLRDLKFRRILFFAQLFYGVAGFLDVIFVLRWNLALRIPDELFVVIEECVTRIVTRIRWTPMMVLNTRLCSVGIEGTFFALLACIDSLGTLCSKWSGGLVLHAFGVTRSDFRNLWLVVLLRTVLRFVVVGFVFLVPDANQTDILIPGDHTMVRKSSSEDGDNIPLVSMKSEGRD >KGN61522 pep chromosome:ASM407v2:2:9137790:9139241:-1 gene:Csa_2G154090 transcript:KGN61522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFLFKNLERLLIKFYSPREELPLIRKFGVRYAVFEDFGNVDFEIFVSIDSLQFRHIIQECRDYMVRVTPTHSHVRFCNEVKEIIFKKEEGECIIEGVGKGPAVEFLIPVYPTHVYYNITFQAQRVWLFKSADKCGTFIIAPVGLFAQFAIYFPLG >KGN61767 pep chromosome:ASM407v2:2:11699336:11700962:1 gene:Csa_2G238830 transcript:KGN61767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRASHSLLLLLLSTVYLFFSISVSTSKFISLSLNNLCFISINLHLPFPLFFAITMGNHHTTKRTTEELQPAVAAYEAACRADDDVRSFDKTLQARANQVLTTLADDGGVEVRALSFDSLKQVTECLLEMNQEVVRVILQCKKDIWKNQELFELVEDYFENSLETLDFCTALENCLKRARDSQVMILMAVRQFEEDERESQMGPNQFDKTLRELRNFKASGDPFTDEFFRIFHSVYKHQTAMLEKLQQKKNKLDKKLKSISTWRKLSCMMFAATFAAVLICSVVATVIMAPPVAAALSAASSIPLGSMGKWIDSLWKSYENAVKGQKEVINSMQVGTYIAIKDMDNIRILVEKLEIEIEGMLEKADFAIKEDALKFVVEEMKKKLEVFMKSVEDLGVQADLCSRDITRARTLVLQRIIKHP >KGN60945 pep chromosome:ASM407v2:2:2976710:2985788:1 gene:Csa_2G030060 transcript:KGN60945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMARASSGLQYPDRFYAAASYAGFDGSPKSSSKALRSKFSDEAALLLYGLYQQATVGRCNVPEPSSWHAIEKSKWKSWDGLGNMVPAEAMRLFVKILEEEEPGWYSRVSSFVPEPEPILDVQINNDPKIEPIIENGNSIPETKNISTENGSLPETQDKDVLVEGLGSIVVYDQWISPPVSGLRPKARYEHGAAVIQDKMYIFGGNHNGRYLSDLHVLDLRSWAWTKLEAKTQSPESPPEKLTPCAGHSLIPWENKLLSVAGHTKDPSDAIQVRVFDVQTSTWSNLKTYGKPPASRGGQSVTLVGTSVVIFGGQDAKRTLLNDLHILDLETMTWDEIDAVGAPPSPRSDHAAAVHAERYLLIFGGGSHATCFNDLHVLDLQAMEWSRPTQQGDIPTPRAGHAGVTVGENWFIVGGGDNKNGVSETAVLNMSTLVWSVVTSVQGRVPIASEGISLVVSSYGGEDILVSFGGYNGRYTNEVNVLKPSHKSTLQSKMMATPVPDSVSAVHNITNPTRDVESEFEGAQEGKIREIVMDNIESDHLKNKSEPTKELVSTLKAEKEELESSLNKEKIHSLQLKQELSDAENRNDELYKELQSVRLQLVAEQSRCFKLEVDVAELRQKLQTMETLQKELDLLQRQKAASEEAFKAKQKQGSGGVWGWLAGSPPPEEA >KGN61048 pep chromosome:ASM407v2:2:3618006:3622660:1 gene:Csa_2G035480 transcript:KGN61048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIVGSLGTTFVSSSSSYSFRSSSFLFTKLTHFYRSSSSASRRVSFAFDPFSSRRGKFMAHSLAQANLGLTNPSPNETPQISFGAKDIDVLEWKGDLLAVGVTEKDVAKDENSKFKNPILNKLDSRLGGLLAEASAEEDFTGKAGQSTVLRFPGLGTKRVSLIGLGQSASNVAAFRSLGEAVASAAKASQASEVAISLASPEELSSESKPNFASAIASGTILGIFEDTRYKSESKKSALKSVEIIGLGSGAEVEKKLKFAQDVSSGIILGRELVNSPANVLTPGALAAEASKIASTYSDVLSATILNEEQCKELNMGSYLGVAAASTNPPHFIHLHYKPPSGPVSVKLGLVGKGLTFDSGGYNIKTGPGCSIEIMKTDMGGSAAVLGAAKAIGQIKPLGVEIHFVIAACENMISGTGMRPGDIITASNGKTIEVNNTDAEGRLTLADALVYTCKQGVDKVIDLATLTGACIVALGPSIAGIFTPSDDLAKEVLAASEISGEKFWRMPMEDSYWESMKSSVADMVNTGGRPGGAITAALFLKQFVDEKVQWMHIDVAGPVFSDKKRTATGFGVATLVEWVQKNAS >KGN62416 pep chromosome:ASM407v2:2:16325302:16326037:1 gene:Csa_2G352950 transcript:KGN62416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQMKRSSENNNATITTIAHMGENNSSIFSLNQSHNNNILPYWPQQQHLPLAPHFNSNLTPFGKPIIENDLSKFGVQFMDQESNLYSSNNNNNGNGNEYGNYLPIMQGQSSSSNSQMVEIENYNNMANYEDPRRVLCGLEFLYGDHHIMSGNNNDLQISSCLPNYEEILLQDLSTTTTTTTQEYGAKFDDLRILDNNAL >KGN61964 pep chromosome:ASM407v2:2:13207264:13207992:1 gene:Csa_2G277060 transcript:KGN61964 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mads box protein MKKSLGRQKIEIKKLNVKSRRQVTFSKRRAGLFNKAAELSILSGAEIAILVFSSTDKIYTFGHPNVDFLIDRFLTSNFVPPKPVEAYLPLEELNRDLKDVTAEFETEKRRAERMRKTGGFWWDEAMECMGIEDLKRFRSSLMELRGKVAERVEELAAVRNQGFLTTSPSFHHLSVATEIDDLFYFSI >KGN60789 pep chromosome:ASM407v2:2:1906289:1911806:1 gene:Csa_2G010250 transcript:KGN60789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHLKGGGEGRDKGVGNQGDLVRSARGAGNSRVMGREIVGDVLEKPNDLVVVLNGVSHEKAHVSPKISEESIDLEEYEEKESSEENLFPENYQETDHDVAAIKSSNLDASVPAPVTMPVPAPPPPPAPAPTPAAVLVLEEKKKEERTVAQKISDFNKSISPGTVAVTPKIMRVNHKIQQPPVQEPEKAVMCSQTIETEPTSTTVPVVEASPNTIELQPPSPEKNSHPNSPQSSSKSSQNDLTKHHEEDHWSIASSTVQSIRQLKSKVTIGMAPTFRSAERAGKRKEFYNKLEEKHKAMEAERVQYEARIKEEQEAAIKQLRKGLVIKANPVPSFYYEGPPPKTELKKLPLTRPKSPNLTRRKSCGDSMNFSIEEKGKLCTRGQRHSFSSQKSEESTNGVARKSKAQVNGQSHNNESFKHRNHVKRDRETKKTTFATTDPHTSNVDIPIQS >KGN62178 pep chromosome:ASM407v2:2:14778646:14779045:-1 gene:Csa_2G310380 transcript:KGN62178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGRDRRKKKLILKGFGSCFRGDEEADGDFDGEKERAYIHARRNVAIAIDVSRFLNILSHDRNLKNMFLSIMVIVIKI >KGN61423 pep chromosome:ASM407v2:2:8028921:8031454:1 gene:Csa_2G120400 transcript:KGN61423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYEETECSDPNSISPETMPHISAFPNSFPPPLIAQQTHPNFHHNNNLNLSIDHISYHHHSTALQPADAMELDFQQAAAAPTPGFDQELTSDSNPMLCLDQSNWVGTQIQEMGFNHNHVQSQFSDSAIPPTPYTQPPDLLNFLNMPPTARCSNNSSISFSNLHTPAMGAFLGDLPPGDAPNSSSTSLSILYDPLFHLNLPPQPPLFRELFHSLPHGYGMPAASSRGRGGSLFPEGSEIVEREGTAGVYEDGDGSGVLEFSRDMADCIGKRRDGKMTKHFATERQRRVQLNDKYKALRSLVPIPTKNDRASIVGDAINYIQELLREVKELKLLVEKKRSSRERSKRVRTAEEIEQGGGSGGGGGGGGGGGAWDVESSNAKGGEGVVEDQRYNLRSSWLQRKTKDTEVDVRIVDDEVTVKLVQRKLNCLLLVSKLLEDLQLDLHHVAGGHIGDYYSFLFNTKIYEGSSVYASAIANKVMEAVDRQYNNTSISPLTNTY >KGN63094 pep chromosome:ASM407v2:2:20585655:20591086:-1 gene:Csa_2G402020 transcript:KGN63094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIKRLWSTPFLILLHSVSFSVSAATSVTGSSLPFHSPSLPPSSSVRSAVVSLWRLFTDFLLPSCFWYSYKIMQRSMRRHLLLATRCYSRISPPPPAYAKPIIRVSNNIAQLGSPKEGPKARQLLSLPPFPGYPLPGKNQVGVSGESTHVTAIRWVKYYFDEIQDSQIQSHFRKGLVQVECPQLGGLDEKGNLKPMRKIRSGEIMETGARIHLPVSVAEMKVARRFDTIPSGTLYPNADEIKYLQRLVKYKDSAIIVLNKPPKLPVKGTLPVHNSMDALADAALSYDYDDGPKLVHRLDRESSGILLMGRTKESVDHLQWLFSNIRNAKFTCKAWEEACNATYQKYWALVIGCPREREGVISAPLSKVLLDDGKTERVVLANKASIEASQEAITEYRVLGPTINGCSWIELCPRTSRKHQLRIHCAEALGTPIVGDYKYGWFVHRRWKQMPRVDVEPISGRPYKLRRPEGLDVQKGSVLSKVPLLHLHCRELVLPNIAKFLNVFYGKGPNRPVSSFDSDLLRFVARMPSHMRISWNLMSSYLV >KGN61484 pep chromosome:ASM407v2:2:8673276:8677046:1 gene:Csa_2G139860 transcript:KGN61484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILCTSHFDLTADLKFKWKNRQTEHQAARAAMVVLYVIAVICFFIFLHLFESLFLKPERLRSKLRRQGIDGPSPSFFLGNIPEIKNIRSLKSFDEKEDSIAHGWSSNLLPHLEHWRYRYGRNFVYSSGTVQILCITDVEMVKEIGMSTNLSLGKPAHFSKDRGPLLGLGILASSGPLWVHQRKTIAPQLYLDKVKDMTNLMVESVNSMVKLWETIIENGGGESEINVDGYFRAMSADIISKACFGSNFYEGKEIFQKLRALQIIMSTATIGIPGFRYLPTKNNREIWKLEKEVESMVLDVVNKRIKQCSNEKDLLQIIIEGGKCLNKDGNSLKISRDKFIVDNCKNIYFAGHETTSITTTWCLMLLAIHQDWQTRVRSEVLECCQDRTLDVETIKNMKTLGCGA >KGN62870 pep chromosome:ASM407v2:2:19114291:19120659:1 gene:Csa_2G378520 transcript:KGN62870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDIEESIRKKVRKNPHPGESSRKSGLLDEDIRMETTRARFSNVLKRHSELTERLSRDSDKMIFERLQKEFEAARASQTQEIYLDGEQWNDGLLATIRERVHMEAERKAMPEDADILPQEKITYKVGTKVICCLEGARIGIQYETSFAGEPCELYHCVLESKSFLEKMTVLEHTIPFFLPVREAENDLLSSNAMKFIDYIGELLQAYVDRREQVRLIKELYGNQIRELYHSLPFHMIEFVVDDSDCTVTVSLRYADLIYVLPTKISVLAWPMPHMKKNTTNSSILSIKKENGGTVSHPIPARLSYAEDALRTMSLPEAYAEIVLNLPQAIQQLFPPKPHS >KGN61258 pep chromosome:ASM407v2:2:5887964:5894731:1 gene:Csa_2G074200 transcript:KGN61258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSVELKLRTPKMEIKNGVDGNSNNTHQPTSSRANETEKSSNNNENQQDFNNKNGDGKTNSVPFYKLFSFADSNDVLLMIIGSIGAIGNGLSLPLMTIVFGELTDSFGVNQSSSNIVKVVSKVCLKFVYLGIGCGVAAFIQVSSWMVTGERQASRIRGLYLKTILRQDVSFFDMETNTGEVVERMSGDTVLIQDAMGEKVGKCIQLVSTFFGGFIIAFIKGWLLTLVMLSSFPLLVIFGGITSIIVTKMAYRGQNAYAKAADVVEQTISSIRTVVSFTGEKQAVTNYKKFLVNAYRSGVHEGLAVGIGFGTVSAVLLFSDSLAIWYGAKLILDKGYTGGEVLNVLIAVITGSMSLGQASPCLSAFAAGRAAAFKMFETIKRKPLIDAYDMEGKILDDISGDIELRDIHFSYPTRPNEQIFNGFSLKIPSGTTAALVGQSGSGKSTVISLIERFYDPSMGEVLIDGINLKEFQLKWIRIKIGLVSQEPVLFASSIMDNIAYGKDGATMEEIKIAAELANASKFIDKLPQGLNTLVGAHGTQLSGGQKQRVAIARAILKDPRILLLDEATSALDAESEHVVQEALDRIMVNRTTVIVAHRLSTVRNADMIAVIHKGKLVEKGSHTELLKDPEGPYSQLIRLQEVNQESQEAGIDKVKQESKSGSFRRYSKGAPMTRSLSRESSGVGNSSRHSFSVSFGLPAGVPITDVPIADESASVDTKERSPPVPLRRLVFLNKPEIPILVLGSMAAIINGVILPIFGLLFANAIETFYKPPDKVKKESKFWAMILMFLGIASLLAAPAKTYFFSVAGCKLIQRIRLLCFQNIVNMEVGWFDRTENSSGSIGARLSANAATVRSLVGDALSQLVESLATVTAGLVIAFVASWQLALIVLAMFPLLGLNGFVQMKFLKGFSADAKLMYEQASQVATDAVGSIRTVASFCAEEKVMLLYKKKCEGPMKAGIRQGLISGTGFGVSFFLLFSVYAATFFAGAHFVQDGKATFSDVFQVFFALTMAAFAISQSSSLAPDSTKAKEATASIFSMIDRKSEIDPSVETGEMYENLKGEIEFRHVSFKYPSRPDVQILRDLSLTIRSGKTIALVGESGCGKSTVISLLQRFYDPDSGSITLDGIEIHKFQVKWLRQQMGLVSQEPVLFNDTIRSNIAYGKGGDATEAEIIAAAELSNAHKFISSLHQGYDSMVGERGAQLSGGQKQRVAIARAIIKRPKILLFDEATSALDAESERVVQDALDKVMVNRTTIVIAHRLSTVKNADIIAVVKNGVIVEKGKHDSLINIKDGFYASLVHLHTNASSSSAA >KGN61222 pep chromosome:ASM407v2:2:5520557:5526964:1 gene:Csa_2G070880 transcript:KGN61222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METCYCIEPQWPADELLMKYQYISDFFIALAYFSIPLELIYFVKKSAVFPYRWVLVQFGAFIVLCGATHLINLWTFTMHSRTVAVVMTTAKVLTAVVSCATALMLVHIIPDLLSVKTRELFLKNKAAELDREMGLIRTQEETGRHVRMLTHEIRSTLDRHTILKTTLVELGRTLALEECALWMPTRTGLELQLSYTLHQQNPVGYTVPINLPVISQVFSSNRAVKISPNSPVASLRPRAGRYVAGEVVAVRVPLLHLSNFQINDWPELSTKRYALMVLMLPSDSARQWRVHELELVEVVADQVAVALSHAAILEESMRARDLLMEQNVALDLARREAETAIRARNDFLAVMNHEMRTPMHAIIALSSLLQETELTPEQRLMVETILKSSNLLATLINDVLDLSRLEDGSLQLDIGTFNLHAVFKEVLNLIKPVTLVKKLSLTLHLGLDLPVFAVGDEKRLMQAILNVVGNAVKFSKEGSISISAIVAKAETFREIRVPDFHPVPSDSHFYLRVQVKDTGSGISPQDIPKLFTKFAQTTVGPRNSCGSGLGLAICKRFVNLMEGHIWLESEGLGKGCTATFIVKLGIAEQSNESKLPFTSKIHENSIHTSFPGLKVLVMDDNGVSRSVTKGLLVHLGCEVTTAGSIEEFLRVVSQEHKVVFMDICTPGVDGYELAIRIREKFAKCHERPFMVVLTGNSDKVTKESCLRAGMDGLILKPVSIDKMRSVLSELIERRVLFETS >KGN62546 pep chromosome:ASM407v2:2:17048325:17050808:1 gene:Csa_2G360630 transcript:KGN62546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTPKQPFFCFKWPWDVDPKNRSDCSFESPWLFKSLQNVGGFAFDFVNKASKSSPPWMTFKSLQFNPLTGGNKISQSRKMLTPEEQGEAENRALAAALASGKEATIIEFYSPKCLLCNSLLNIVTEMEARNSDWLNIVMADAENDKWLPELLHYDITYVPCFVLLDKHGKALAKTSLPSSRLHVIAGLSHLIKMKSPKSTP >KGN63411 pep chromosome:ASM407v2:2:22975044:22978844:1 gene:Csa_2G439190 transcript:KGN63411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVIWFLVRLHWVAQHLDLRSEEVISVGFGSRFQRQQPTPAQLSCLSSQFAPNTNTASAPDGLLHDSSKLKNSEPLDSFDSKAIDYSLVDSFLDYDSLNDWFDELTSPNMVDTQTLLPEGDAHLSQSVQKSVPVIDGVQSNFVRSEDFKIEMKTSGEACSNSTDFNSITEEGMPKVTLVLDGEACSNSTDFNSIIEEGMSKVSLVLDGGCISKVELESEADDGEGDVESEGTSSASTSSSSSSGGSSDNEVDEEEEDSSSSTSAGCDDEEEKENIVEAEGKREIGELEEGEIRDADDEDEEAFADDMVAWDNDGEDLKEDDEDLDGEEEEAGAEGGPITSKNELKVLPPVPQVHATLQPHHQMLPVGVVLSMLGNQVIVEGVEKHNPLSEGSILWITEARSPLGLVDEIFGPVKNPYYSVRYNSESEVPLGISGGTHVSFVLEFADYVLNNKDLYKKGYDASGVNDEEVSDDGEFSDDEKELEFKKMQRLAKRAMNDNQQINANKNNGRKKKNNAKARKFGERTFENANVPDEPKKFYGQCTPEQAKISEGRKFDHPTPHQAKMDMVRPSPNQNQQTGPPLAPFQ >KGN62795 pep chromosome:ASM407v2:2:18627665:18627940:1 gene:Csa_2G373390 transcript:KGN62795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWWHGIWFSSNMLFIVLDHGNPKQQCWGKNLKDCMQCFEESGAFHFRCLALEPSQLPIFRRWEILCEGTAHAVVSGVNAIYTSKTFNLAV >KGN61505 pep chromosome:ASM407v2:2:8949798:8970785:-1 gene:Csa_2G149490 transcript:KGN61505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWIEPHTSVFKLDFDTYSSFINVHQDEVVPFISSIFVRRLLQPGVYNERVLRATLLEYSRHRTDSNFQSMTSDELKQEILSLIEDEGATGTPVSIYLWWKTFCTHYIHHWCTDNAPSFILIDSTSAAVGLVRRNSVSLFRCLDNVEQLLDGFSGELDELGGPDIGWIGNDSDSELLFEVLRCVISISRRLGKTTLAIFYESLMSTPIIPFGEIVGKILKILESGYSSSVVMVKRSDLQAGCGWEREADKKNFQKFSIDMMLSLHTLCKKGATWGRVFDVIESFLKYFVPRKMSQKVQPQTSSDANTSILVHATSQISKVMLESALDVLLFLNYMVSASGQIGLLHDDTSRVKLELIPMIEEIICEWHIINFLAITPSESAAVEDFSSQLSLLQIDTYGGKEIWKGKLGKCDFTLASLLLLKMNSSTEGPVYLSTKCLLNPQDIVLATQNFTSWIIWGSSREPSAFLNTSTELAVVLLRHGQYDAVEYLLSVVKSQSQNEKTSHCMQDVDGGWSIMHHLLGCCQLGQAHYKLHGPLKERKVHEAICSFFRASSGNRSFQALQSLPHEVGFSSVESSGCVSSIAWKFHYYQWSMQLFEQYNISKGAFEFALAALELVEEAVSPKDDYCGRLPFNESAITVQGRLWANVFKFALDLHQLYDAYCAIISNPDEESKYICLRRFIIVLYECDAIKILCCGELPFIGLAEKVEQELVWKAERSDILSRPSLYKLLYAFEIHRHNWQKAASYIYLYSARLKTEGALGDNHFSSSLVLERLNGLSAAINALHLVHPDFMWIEPLFERDAIQSKHYPSKKAKRTVDEQLGRDDTKSQKQHSYIDMKQLENEFLLTSSEYVLSLANVKWPFTDSRMSGAHEAPSELVDLLVQNNFYDMAFSVIIRFWRDSALKRELERVFTAMSLKCCPSRLGSSAVLIDPRINSLLLISPNGGGEDVHGSLDALPSSQQTDGNGHWETLEVYLVCTSILFHEFWLRYFLVLLISASFTKI >KGN62000 pep chromosome:ASM407v2:2:13452314:13453106:-1 gene:Csa_2G285350 transcript:KGN62000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYNPRVSSSRRKNRKAHFSAPSSVRRILMSATLSGDLRSKYNVRSMPIRKDDEVQVLRGTYKGREGKVVQVYRRKWIIHIERITREKVNGSTVNVGIKPSKVMITKLKLDKDRKSLLDRKAKGRASADKDKGTKFTAEDIMQSVD >KGN61549 pep chromosome:ASM407v2:2:9565802:9583856:-1 gene:Csa_2G169730 transcript:KGN61549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALVPPGAPRPNESNSNQGPPPPPNYYPNSQTNPGSLADNFNNMNLNRPPSMPNSFPRPPFGQSPPFPSSAPQPAGMPGAPPQFSRPGPPPASITGPNVPSSVPPPSALPPNMAPMRPSGPPVGQPSPLVSRPPPPGVGGPGQPAFRPPSGTVPSSGFSSSSVTPPLGAPPLGARPNAAFPPSVSSPSIPPPSAQSGTLSNGPPAFVQGNFPGGPRFPPAVNAPQGPPPFVGPPPMTASVRPPFMHSVPGGSEFSAPPGPTGQPASPFQPTSQGVSPPSGSPFGPPSWPMQPGQAPAPPPISGQLQPPRMFGMPPPPPNQSMTTISPAIGQTGSPAATQSKIDPNQIPRPVPNSSVILFDTRQNNQANLPPPASSEFIVRDTGNCSPRFMRCTIGQIPCTADLLSTSAMQLALLVQPFALLHPSEEPIQVVDFGESGPVRCSRCKGYINPFMKFIDQGRRFICNLCGFTDETPREYHCNLGPDGRRRDADERPELCRGTVEFVASKEYMVRDPMPAVYFFLIDVSMNAIQTGATAAACSAISQVIADLPEGPRTFVGIATFDTTIHFYNLKRALQQPLMLIVPDVQDVYTPLESDVIVQLSECRQHLDLLLDNIPTMFQSNRTTESAFGAAIKAAFMAMKNTGGKILVFQSVLPSIGIGALSAREAEGRTNISSGDKEAHKLLQPADMSYKTMAIELAEYQVCVDVFLTTQNYIDIASISVIARTTGGQVYYYYPFSVLSDPAKLYNDLRWNITRPQGFEAVMRVRCSQGIQVQEYHGNFCKRIPTDVDLPGIDCDKTIMVTLKHDDKLQDGSECAFQCALLYTTVFGQRRIRVSTLSLPCTSMLNNLFRSADLDTQFACFLKQAANEVPSSPLLQIRERITNLCVNVLLSYRKYCATVSSSGQLILPEALKLLPLYTIALIKSTGLRTEGRIDDRSFWVNHVSSLPIPLAVPLVYPRMLAIHNLDTEDGDSTPGTPIPLSSEHVSEEGIYLLENGEDCLVYVGNLVDRDILQQLFGISSVDEIPAQSVLQQYDNPLSKKLNDLMNEIRRQRCSYLRLRLCKKGDQSGMLFFSNMIEDKSSTGPSYIEFLVHVHRQIQIKMSSS >KGN61581 pep chromosome:ASM407v2:2:9813156:9818723:-1 gene:Csa_2G172510 transcript:KGN61581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVEEGLADDYTQDGTVDLKGNPVRRSKRGGWRACSFVVVYEVFERMAFYGISSNLLIYLTNRLHQGTVVAANNVTNWVGAVWMTPILGAYIADAYLGRFWTFIVASIIYLSGMSLLTMAVSLPTLRPPPCADPTSGHCEKASSLQLAVYFGALYILAIGTGGTKPNISTIGADQFDDFHPKEKKQKLSFFNWWMFSIFFGTLFANIILVYIQDNIGWTLGYGLPTLGLAISIGIFVAGIPFYRHKVPAGSPFSRMGKVLVAAIRKRKLPLPRDVKELYELDLEEYTKKRKFRMEATPSLSFLNKASIKTGSTHPWRLCTVTEVEETKQMIRMIPILFATFVPSIMLAQVNTLFIKQGTTLNRKIGNFEIPPASLSGFVTVSLLISIGLYDRVFVKIMRKFTKNPRGITLLQRMGIGIVLHMLIMVVCSLVERRRLAVAKEHGVVQSGAQVPLSIFILLPQFILMGTADAFMEVSKIEFFYDQAPESMKSLGTSYSTTAIGTGNFLSSFLLSTVANLTRRNGRKGWILNNLNASHLDYYYGFFAILNFLNFIFFLVVSRYYVYKAEVSDSIRLLTEELKEKAPSKESSNNPH >KGN62855 pep chromosome:ASM407v2:2:19043223:19045104:1 gene:Csa_2G377910 transcript:KGN62855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGPDYKANQDKDDFLQLLAVLGVDFLLSGEEKVSPILCAGKMICLFFSANWSRPCRTFTPQLVQLYNSLQKRGEKLEIIFISLDHDKNEFEQYFKTMPWLAVPLNDKLQKQLCGKYHVDCIPSFVPLCGDHILKEDDLIGFLEDYGAEVFPFTRKRMQELKAMDCAKRVEGRLEELFGNRGYNYVISSHGGKTQISQLVGKTIGLYFGAYWSPPSRSFTAKLSKVYKEIMDKTENHHSSLEVIFVSTDRNLDEFKLNIMDMPWLAIPYEDETRGDLYRIFDVKAIPTLVLIGADGKTSSENGRGLVCLYGAEAFPFTAERIYELERAVKKEGEDLPSKVEDIKHEHVLKLEFAKAYVCDFCKLQGRFWAFSCHICDYDLHPTCVQLTNNV >KGN60615 pep chromosome:ASM407v2:2:729209:732339:1 gene:Csa_2G004160 transcript:KGN60615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRLWKWYQNCLTFHPVKTQVISSGFLWGTGDIAAQYITHSATKTHLPTSSDAVEEFKINWKRVGITSMFGFGFVGPVGHMWYEGLDRFIRLKLQLQPKSAKFVGAKLAMDGLIFGPIDLVFFFSYMGFANGKDVAEVKEDLKRDVLPAFILSGTVWPIIQVANFRYVPVRYQLLYVNMFCLLDSAFLSWFEQQNDAPWKQWFTSFNPFKDR >KGN60942 pep chromosome:ASM407v2:2:2961083:2962647:1 gene:Csa_2G030030 transcript:KGN60942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFVSLSFSVPQLTLKEIPPRKLAKATLVSRRAGTASKLVLPWYSKKSISINWENFQSVRLTSRPFWGIVSSSSRNMVLLTGYWVGPDVDDGWGYIEAFIDRIT >KGN62332 pep chromosome:ASM407v2:2:15868066:15871425:1 gene:Csa_2G349660 transcript:KGN62332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAAPRKLSLIKPDRQLFAGGDENALTKQVLATHSEEPLEFPVTPLLSLVEQIFLRAKLNTLQGTTRAQLEAIEDKSPSPTDLLDLLDFVSFTINRVSNEIQYKCSGAGDPHTVTMEVFNLLSSWPWDAKVVLALAAFAINYGEFWLLVQQSSTDLLAKDISLLKKLPEIFERVDIVKQKFEALDKLIKSLVDVAKCIVDFKMLPPHYITPDTPEMKSATTLIPTAIYWTIRSIVACAAQNAGLIGVGHEYLASASETWELSSLAHKIDNIRKHLEQLLLACHHYINEKMHHEAYMNLVRLFEIPHIDNNKILRALIYSKDDKPPLLDGLSKEKATLEVLRKKNVLLLISDLDLSIVELSMLDQIYRESRQNKTRSESDYEVVWMPIVESPWTEDKQVKFEALLGLMPWYSVAHPSLIESAVIKYVRQVWNFIKKPLLVVLDPQGKVVNTNAVHMLWIWGSLAYPFTSAREESLWKEETWRLELLVDSVEPLIFQWMEAGKYICILGGEDLAWIRGFSAKALGVAKDAGINLEILYVGKSNPGEKIKKNIAGILADKMIRTLVDPTLIWFFWVRLESMWYSKTQRGNTIEDDPVMQETMTMLSFDSGDQGWALFCKGSTDILRAKAETITNVVDGYEERWKVHVKEEGFIPAMTKDLQDIHTPEHCNRLILPSSNGTIPEKVVCSECGSAMEKFIMYRCCND >KGN62534 pep chromosome:ASM407v2:2:16976860:16977643:-1 gene:Csa_2G360020 transcript:KGN62534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFGETDNQNNDLKNEQSKEYLNSLIRKNRFSNRTDLPGRRSAEKVCGAEQGGERGGGMIKCVWAVRLGRRADEGWVWLY >KGN62814 pep chromosome:ASM407v2:2:18712035:18716963:-1 gene:Csa_2G373570 transcript:KGN62814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHLFRDLTLGHSKRESTPPPPSPPPSITPVRPVIVAPDLPSPFGQLASQLSDSDLRLTAFEIFVAACRTSSGKHLTYVSSANSHADSPTHHHSPSSPGLQRSLTSTAASKVKKALGLKSPGSGSKKSPGSASSQGKSKRPLTVGELMRLQMGVSETVDSRVRRALLRISAGQVGRRIESVVVPLELMQQLKASDFTDHQEYDAWQKRTLKVLEAGLLLHPKIPVDKSNATGQRLKQIIHAALDRPIETGRNNESMQVLRSAVTALASRSLDGSLNEVCHWADGMPLNLQLYVMLLEACFDANDEISIIEEIDELMEHIKKTWGMLGLNQMLHNLCFTWVLFHRFVATGQAELDLLHGADSQLTEVAKDAKTSKDSDYAKVLSSTLSSILGWAEKRLLAYHDTFDSGNIDTMQGIVSLGVSAAKILVEDVSNEYRRRRKGEVDVARSRIDTYIRSSLRTAFAQKMEKADSSRRASKSRPNSLPLLAILAKDVGDLAVNEKEVFSPILKKWHPFAAGQFISGIGELTPDAIQVLRAADKLEKDLVQIAVEDSVDSDDGGKAIIREMPPYEADSAIANLVKSWIKTRLDRMKEWVDRNLQQEAWNPKENQGFASSAVEVLRIIDETLDAYFQLPIPMHPALLPDLVAGLDRCLQYYVTKARSGCGSRNTYIPTMPALTRCTIGSKFQGFGKKKEKLPNSQRKNSQVATLNGDNSLGMPHICVRINTFHRIRGELEVIEKRIVTHLRNSESAHAEDFSSVGKKFELAPAACVEGVQQLSEAVAYKVVFHDLSHVLWDGLYVGEPSSSRIEPFLQELERHLLIISDTVHERVRTRIITDIMKASFDGFLLVLLAGGPSRAFSRQDSQIIEDDFKLLKDLFWANGDGLPLEMIDKFSTTLRGIIPLLRTDTESIIDRFKRVTVETFGSSAKSRLPLPPTSGQWNPTEPNTLLRVLCYRNDDAASKIPLVKKTKRAFILPSPLAAAAALDFGKSNHIGEGSDEAPEEGW >KGN61220 pep chromosome:ASM407v2:2:5482359:5490285:1 gene:Csa_2G070860 transcript:KGN61220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLMSTSMLLPHHFIFHDCTKLSSSLSNFPSCNSFHEFSAFGRKGKGFYRHVLNAKKNGYSRKRSWWQRFFFDDDGNWLGLKDDGMLEDELESIASDEDLSDDKKFEAWKKRAEAIIELKEAQEDVRNEQGQRWTDWLYEDTNHARTSWSQDWDNGLGELNEESSDASDLVPEKGFVESVRDLVLGKEEDDMLYEDRVFQYASLNSAKFLTVLIIIPWALDFVVHDYVLMPFLDRYVKKVPLAAEMLDVRRNQKLEMVEELKIEKERFKLEMEIGKSPPLSDEELWWELRHRALTLRDEWRLENRKAFANIWSDMVFGISLFILLYFNQSKVALLKFTGYKIISNISDTGKAFLIILITDIFLGYHSESGWQTLLEIIVEHYGLEVDQSLITIFICLVPVMMDACVKLWLFKYLPRLSPRVSNLFQEMKRH >KGN60655 pep chromosome:ASM407v2:2:1007522:1010689:-1 gene:Csa_2G006000 transcript:KGN60655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPVDPSSREAFPRKQSAPYKFLVPLVYAPVLPLIRIALRKNPVVRDRLFTAVLAGAFAHGFYLVTDIYDAESK >KGN61447 pep chromosome:ASM407v2:2:8286248:8286815:-1 gene:Csa_2G123580 transcript:KGN61447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKKSHNHGSFLIPPEVFPLFSSPKKPKREKHTERERMMEGVHRRPNKGDRNLRIIDVRKLSENKIHLNQSGRQSTMNPSSVKPRGMKTASESSKTSGGKSWGKKLEVKRRRRVAKYKLYTVEGKVKDSIRKGINWFKCKYTRIMSGF >KGN60563 pep chromosome:ASM407v2:2:415149:416854:1 gene:Csa_2G000710 transcript:KGN60563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECWVFLGLFLSGLILPTGAVIGGVSGRVGFSFGGGGGSGGNGIWIGGGGGNTPNPTPTPTPTPNPLLETVLNRAYTVLQTWKSAISDDPTGMLTTWVGSDVCSYQGIFCTRLNSGQMSITGIDFNGKNLRGTLIKELALLNDLTLIHLNSNRFYGIVPVTFRQLVRLQELDLSNNNFSGGFPSATLYIPNLRYLDLRFNSFTGGIPESLFYMGLDAIFLNDNQFAGEIPQNLGNSPASVINLANNNFTGAIPASFGYMGPRLKEILFLNNQLSGCIPQGVGFLTDIQVLDFSSNKLFGHLPDTISCMNQVEILNLAHNQLSGVVSDLVCSLRSLIHLSVADNFFSGFNQQCRNLFGGFDLSFNCIPGVTLQRPSPECSVIPGIGLNCFRVPVIPRPLVCGRIVETPTP >KGN62143 pep chromosome:ASM407v2:2:14531424:14532251:-1 gene:Csa_2G302100 transcript:KGN62143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPPGYSAAGSPTTPRKKEIQLQGPRPPQLRVSQESRKIKKPPPHPQPVPQPGRPPLPPGPSQWPQPLIIYDISPKVIHVAENNFMSVVQRLTGQSSTAVTDGDLSPAARLATIEKASPRSEREREINVSDMMDLAEVSVELGQIPGILSPAPGTLAPIPTGYFSPAIEPQSFSYSLFHELSPHWASPSALFSTPLISPISSPNIFNNLFDI >KGN61711 pep chromosome:ASM407v2:2:11197084:11197443:-1 gene:Csa_2G230950 transcript:KGN61711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESWDLITIHMGINKKGDEGKNKKRGKPEDPREDNHLRLRTPMMSFHAPTTLASTSLARPPRTNHSNFSQFLFQVIHVLLFQTLPNPKKYNDSVAKVRIASLDPHPKKPPFVVKISRIC >KGN62007 pep chromosome:ASM407v2:2:13518559:13526127:1 gene:Csa_2G285910 transcript:KGN62007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRKLVVLGIPWDVDTEGLREYMSKFGELEDCIVMKERSTGRSRGFGYVTFATDEDAKNALSSEHFLGNRMMEVKVATPKEEMRAPPKRVTRIFVARISQTVTEAAFRSHFEKYGEITDLYMPKDQGSKTHRGIGFITFASSDSVENLMADTHELGGSNVVVDRATPKDDDFRPIGKMPQGGGGGGGGYGAYNAYISAATRYAALGAPTLYDHPGSVYGRREFRGMGKKIFVGRLPQEASADDLRQYFGRFGRILDVYVPKDPKRSGHRGFGFVTFAEDGVADRVSRRSHEICGQQVAIDSATPLDDAGAGAGASGASGTFMMNSAADSFGGYVGPMRTYGRMYGSLDFDDWGYGIGGGRPSRADWRYRPY >KGN62117 pep chromosome:ASM407v2:2:14358539:14360924:1 gene:Csa_2G299870 transcript:KGN62117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILHHPLLSPISCFTSTQNSLPSHHPKFYVFSQTVKQLPTVRKAYDDSIIRRSANYQPPIWKHEFVQSLQSEFMDDRCLNRREVLIRRVKMMLNEELLVDDSLKGLEFVDELQRLGISYHFEMEINQLLEMINERFNNGEEGLERNNNKSLYAISLHFRILRQHGYHIPQDVFKEFKNDIENLDNICEETAKGMLSLYEASFLAMEGDQSFMDEARQVAVQHLSKYLKSNNNNDQIICTMTSHALQLPFHWRMPRLEARWFIDNVYQTKPDSNPVLLDLAKLDFNVVQSIHQDDLKDISRWWKSTGLGKKLEFARDRLMANFFWSVGMGCEPHLQYLRTMSTKIASLITIVDDVYDVYGTLDELELFTNVVER >KGN63048 pep chromosome:ASM407v2:2:20210764:20216101:1 gene:Csa_2G386160 transcript:KGN63048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLMLFVERVYMGIVILFVKLFRKNPHKTYKWEPIKDDLELAHFAYPMVLVQIPMYNEKEVYQLSIGAACGLSWPTDRIIIQVLDDSTDPSIKDLVELECKRWANKGINIKYEIRDNRNGYKAGALKEGMKHNYVKLCDYVAIFDADFQPEPDFLWRTIPFLINNPEIALVQARWKFVNSDECLMTRMQEMSLDYHFKVEQEVGSATYAFFGFNGTAGVWRIAALNEAGGWKDRTTVEDMDLAVRASLKGWKFVYVGDLKVKNELPSTFKAYRYQQHRWSCGPANLLKKMVIEIMRNKKVSLWKKLYLIYSFFFVRKIVAHIVTFVFYCVILPATVLVPEVSVPKWGAVYIPSTITLLNAVGTPRSFHLIIFWILFENVMSLHRTKATFIGLLEAGRVNEWVVTEKLGDALKTKLGSKAPRKPRFRMGERLHMLELCVGGYLFFCGWYDLNFGKNGYFIYLFLQSFAFFIAGVGYVGTLSSLRVAVLDSPIEPTGIPSVVAMFVPAGLETDWMFSTESGHYQFLFNLPGILCPILVGDQESVNADNSAVYNRSLKEVTASLWSRLVVSLQPLFLALFPKSCFENAILGISILSYVDNVICCEVLDKCIGSSIGEFLVENVEIERESGIGMSETREFRRRLRYKTMPNLIQTEIRIIPQANQNLDNIEIQNIKFKQDTKNLVHPYLPAMVASLSLINSSIDEHIQNE >KGN61585 pep chromosome:ASM407v2:2:9876619:9876994:1 gene:Csa_2G173040 transcript:KGN61585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPGGSPRKRKEVEPLVKPKVAEADSISANRLLAGYLAHEFLCNGTLFGEKYEPALNEAVGMANSQSTECKRTKLEAAAASIKKVNHSYAEVARILKMDGAHLPGIVNPGQLAWWIKM >KGN62902 pep chromosome:ASM407v2:2:19312201:19322904:-1 gene:Csa_2G379330 transcript:KGN62902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQNSDKTASCKNKIEFSNLYYCISLTICGFNSFDYCKFLQVDLGKQESEFMSTCNSKRSELQDEIIELGGRLTEDHECLNFFDSLDDLISASEEELDSVKKALALSVRETLALKRLLDDVPTQTELIQYERRFSELYTNIQDKLRQTRRYYATYNALLEIKDLMLKETSLLNSISSQFQDAIASSASKMKLIDSMVGILKGIQQKLNKVHLSHQEEQQVCNALKEKYAAATAEQRRCYTLLKAFQEECAKNEKLRTQNFV >KGN62964 pep chromosome:ASM407v2:2:19661048:19662072:-1 gene:Csa_2G381890 transcript:KGN62964 gene_biotype:protein_coding transcript_biotype:protein_coding description:proline-rich protein DC2.15 MASKSLSLPTILLLSLLLCSALATPCDQPKTKPAPSVPKKKPAIPKIIRPPAASSPAVQSSYCPKDTLKLGVCADILGIGSTVIGSPVSNNCCALLSGLTDVEAAACLCTAIKANVLGINLNIPVSISLLISSCQKTLPDGYQCK >KGN61241 pep chromosome:ASM407v2:2:5708284:5708994:1 gene:Csa_2G073040 transcript:KGN61241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRLKTRDEEQSDPLSTTPTSLSSNSKTIKQKDILTTPLSSAKLTKRELDNSLALVELDSASKFKLPNLNGSRQDEFCKHVRELHTIIDQEDMVDNQNSSSKEKKAIGVGIVDPLPKEKEPNIGQVGGTHLQAPQKETETTTQKETV >KGN61321 pep chromosome:ASM407v2:2:6689744:6690614:1 gene:Csa_2G081200 transcript:KGN61321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYLEDKGVSGGCEELEQLVLGGLSYYVGIEAVGMQQDFIGVLSPNFPFCPSCTYVHKASLQMLGSLLSWWVDSFSFDMVFLFSSFSNSSATPSTTFVSHSLPLVLLPRTRIPFVDLAGILVVNPVKSTLGEEEYDEEHGRRAFWNGSILEIN >KGN62038 pep chromosome:ASM407v2:2:13745471:13746297:-1 gene:Csa_2G292190 transcript:KGN62038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTAISPALQILRPRCRLSNTVRAAAVKSPSESLSASRTRRQTLLFLTATATAAVVGRENPSMAEDIPLFGLRKKLKKVEEEAEEIVREGFEAAEKGLETAERGIVTAEKGIIAAEREIETAEKEIETAVNFGALSQAGAVAGAEVVGVLIATSIVNGILGPEGQS >KGN62620 pep chromosome:ASM407v2:2:17397985:17401110:-1 gene:Csa_2G361840 transcript:KGN62620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLCFWCSAAVATAVALSILVFFQFFLKNKSPSSRISHAGTPPGSRGLPFIGETLQFMAAVNCSSGVYDFVRIRCLRYGGCFKTRIFGETHVFVSNTEWAKLILNDGGGRFTKKYIKSIVELVGHQSLLCAPHLHHKFLRSRLINLFSSCFLASFVPQFDRQIVETFQRWESGFTVFVLTEALKITCKAMCKMLISLEEENEVEMIQKDVGYVCEAMLAFPWRFPGTRFDAGLKARRRIIKKLKNIIQKRRELESQYEDFLQRLLMEEDNGEPLSDMEIGDNILTMLIAGQDTTASAITWMVKFLDENQDVLQNLKEEQFKILEEQREDNRSFLTLEDVANMSYATKVVKESLRLASIVPWLPRLILHDTDIQDYKIKKGWNVNIDVRSLHSDPSIYKDPIKFIPSRFDEETKAFSFLAFGMGGRQCLGMNLAKAMMLVFLHRLLTSFRWKVMDCDSSIEKWALFTKLKSGCPILITPLQSS >KGN61260 pep chromosome:ASM407v2:2:5912185:5912232:-1 gene:Csa_2G074215 transcript:KGN61260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHGLLLGLVSKRST >KGN63267 pep chromosome:ASM407v2:2:21876535:21878613:-1 gene:Csa_2G420990 transcript:KGN63267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASFSVPSMIMEEEGRFEAEVAEVQAWWNSERFKLTRRPYTAKDVVSLRGSLRQSYASNDLAKKLWRTLKTHQANGTASRTFGALDPVQVTMMAKHLDTIYVSGWQCSSTHTSTNEPGPDLADYPYDTVPNKVEHLFFAQQYHDRKQREARMSMSREERAKTPYIDYLKPIIADGDTGFGGTTATVKLCKLFVERGAAGVHIEDQSSVTKKCGHMAGKVLVGVSEHINRLVAARLQFDVMGVETILVARTDAVAATLIQTNVDKRDHQFILGATNPNLRGKSLAGALAEAMAAGKTGAELQALEDQWISMAQLKTFSECVTDAIMNTNATENEKRRKLDEWMNHSSYEKCISNEQGREIAEKLGLKNLFWDWDLPRTREGFYRFKGSVMAAIVRGWAFAPHADLIWMETSSPDLVECTTFAKGMKSIHPETMLAYNLSPSFNWDASGMSDKQMEEFIPRIARLGFCWQFITLAGFHADALVVDTFARDYARRGMLAYVERIQREERNNGVDTLAHQKWSGANYYDRYLKTVQGGISSTAAMGKGVTEEQFKESWTREGAVNLGEEGNVVVAKSRM >KGN61534 pep chromosome:ASM407v2:2:9330657:9332857:-1 gene:Csa_2G162660 transcript:KGN61534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSLAFMDDLLDFSSDIGEEDEEDDAVPPFSVKPKSSSTTAPDSSDLNAAAMHPDDSSSCRVLPEEYAEEELEWLSNEDAFPAVETFVDILSDHHHHHAPQPPPLPSVSKQNSPVSVLESTSISSHGETTNGGNKTSVHSSSILMSCCGSLKVPSKARSKRRRGRHISGHHLLFKQQPSSKNLKQVVPTTATAAVVAATTGTAGIGRKCLHCGAEKTPQWRAGPFGPKTLCNACGVRFKSGRLVPEYRPASSPTFSAELHSNSHRKVMEMRRQKQLGMVVNPMDKG >KGN61227 pep chromosome:ASM407v2:2:5565400:5565564:1 gene:Csa_2G070930 transcript:KGN61227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAKGDILNVHCVRPCSDTYDDESCYNDCIRENLGAGFCYPKLPSTDKDCCCNV >KGN63166 pep chromosome:ASM407v2:2:20987407:20988940:-1 gene:Csa_2G406640 transcript:KGN63166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEFGGGRRRRRMRWFLVFIILMGIFVEIGEGQLVKNFYKSTCPNVEQIVTQAVRNKFSQTIITISATLRLFFHDCFVEGCDASVMIASPTGDAEKDAQDNLSLAGDGFDTVVKAKQAVEAACPGRVSCADILALAARDVVVLAGGPNFNVELGRRDGLISKASRVDGNLPSPNFDLNSLTSMFAKHGLSQTDMIALSGAHTIGASHCNRFSDRLFSDSGVDPSLNPGYAEELKQACPRNVDPGVVVKLDPTTPDSFDNAYYRNLVEGKGLFRSDEVLFTNSASKGRVVGFANNKGKFNGAFVKAMRKLGRVGVKTGKAGEIRRDCTAFN >KGN61463 pep chromosome:ASM407v2:2:8471000:8471407:1 gene:Csa_2G136690 transcript:KGN61463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDVRDQDGEDLNGERDKRCTQKKMWLRITFNYLRQRQNDGRMERLPGCDGGKGGETKPREKRTRRVSSWVTHEERENVLGLCIWITIYGLAPFGFWTEGIGMGIRVEMRMTYAICTQFCNCILSFNNMKINFFL >KGN63056 pep chromosome:ASM407v2:2:20329645:20334643:1 gene:Csa_2G395940 transcript:KGN63056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLEIEARDVIKIVLQFCKENSLHQTFQTLQNECQVSLNTVDSIETFVADINSGRWDAILPQVSQLKLPRNKLEDLYEQIVLEMIELRELDTARAILRQTQVMGVMKQEQPERYLRLEHLLVRTYFDPNEVRAS >KGN62166 pep chromosome:ASM407v2:2:14648536:14649037:-1 gene:Csa_2G302320 transcript:KGN62166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVKVEEAYYHPHVQMGERKKKTTMLETWYRNMVFVGKSTIFVGRLHFGIGLFTSLQVGGLSFHSLYSLYLSTWNATLTMEFSAILSLLVAYDAILVLDCSLSLQIGLSYYSLREIMSDIMVSVSIHV >KGN62681 pep chromosome:ASM407v2:2:17791476:17792867:1 gene:Csa_2G368820 transcript:KGN62681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSSTHQCSISFDSDDFSPEEHFVAQILQQLPLLIQQSHFSLGLSPSWPIRRKRSAVDSPPDTSSLITQPPLPPPPCLPSSEREKESSPTTPLSLHSLPLSRSESDENTTIAKVSKKKAPVDKKSQYLETIEKLTHQKQALEGDIEAMKRHFINLKTINSELKAKKQEILGGFSNLSVNPKFGTSTSVAMEIAKLTVKSSDSNVENNHDECEPSMKNQTVPVAEQSNSIQNYQIPIGGIPLYDPSLGPMGIPDLNLSLEDILHKNYTKYLAAKARQNRIQIWKNKNNNNNNNGAPKLQS >KGN63212 pep chromosome:ASM407v2:2:21344394:21349907:-1 gene:Csa_2G415540 transcript:KGN63212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERVSWRTQVLSNHLLPHQSASLLTPNPCLQFSPPELSERFSFDIVEMRNLLDAHNLPDRDWIFGLMVQSKLFNPLQSGGRVFVSPDYNQSMQQQREMTMKRIEYLLDNGVFKGWLTDNGIEAAWRKFALFEAIGIYDHSLAIKLGVHFHLWGGAIQFFGTKRHHDAWLKNTENYAIRGCFAMSELGHGSNVRGIETVTKYDSSTGEFVINTPCESAQKYWIGGAANHATHAIVFSQLDINGKNEGVHAFIAQIRDADGNISPNIRIADCGHKLCLNGVDNGRIWFDNVRVPRENLLNSVADVSVEGKYISATDDPDKRFAAFMAPLTSGRVTISVSAIYSAKIGLAIAIRYSLTRRAFSLTPNEPEIQLLDYPSHQKRLLPLLAKTYAMSFAAIELKNIYVKRTPESVKTLHIVSSAFKATFTWHNIRSLQECREACGGQGLKTENRIGQLISEFDVQSTFEGDNNVLMQQVSKALLAEFIAAKKRNKPFKGLGLEHMNKACPVIPSKLTSSILRSCQFQTDAFCLRERDLLNRLAAEVSQDVARGNSKEYAFIQSFLFAEDLGRAFSEKAILHCFIEVENSVPSGSIKNVLGLLRSMYALICLEEDASFLRYGYLSPENVAAVRQEVKKLCSEIRPHALALVNSFGIPDAFLAPIAYNWVESNSWSSVQQKEGTADGSA >KGN62234 pep chromosome:ASM407v2:2:15276254:15280820:-1 gene:Csa_2G337790 transcript:KGN62234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLTSSMQANLLSDHSLSPPFHSSSTVSYFPASFSLHFSALKVHALSSNASAFFNSCKNFGTIETASRNRHASNSFSIRMTWDGPLSSVKLIVQGKNLELTEAVKKHVEEKVGKAVQKHSHLVREVDVRLSVRGGEFGKGPRIRRCEVTLFTKKHGVVRAEEDAETVFASIDLVSSIIQRKLRKIKEKDSDRGRHVKGFDRSKVREPAPIVVEDEEEEVFQEDGGEVIDEVIPSTFGFLLRVHFAV >KGN63173 pep chromosome:ASM407v2:2:21021674:21025331:1 gene:Csa_2G406710 transcript:KGN63173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDENPSFVTESTLTNSMEAEPQDEERDPESNPLNQPLLKRNRTLSSSPLAIVGAKVSLIESLDYEINENDLFKHDWRSRSKVQVLQYIFSKWTLACLVGLLTGIIATLINLAIENIAGYKLLKVVDYIKEERYLMGFAYFTTANFLLTFVAAALCVCFAPTAAGPGIPEIKAYLNGIDTPNMFGATTLIVKIVGSIGAVAAGLDLGKEGPLVHIGSCIASLLGQGGPDNYRVKWTWLRYFNNDRDRRDLITCGASSGVCAAFRAPVGGVLFALEEVATWWRSALLWRTFFSTAIVVVVLRTFIEICKAGDCGLFGEGGLIMFDVSGVSVSYHIMDIIPVAIIGLLGGFLGSLYNHLLHKILRVYNLINQKGRMHKLLLALAVSLFTSICQYSLPYLVQCTPCNSSLSDSACPTNGRSGNFKQFNCPKGYYNDLATLLLTTNDDAVRNIFSINTPAEYQPLSLVIFFLLYCILGLFTFGIAVPSGLFLPIILMGSGYGRLIGLLMRPYTNLDQGLLAVLGAASLMAGSMRMTVSLCVIFLELTNNLLLLPITMIVLLIAKTVGDSFNPSIYDIILHLKGLPFLDANPEPWMRNITVGELADAKPAVVTLRGLEKVSRIVEVLRNTTHNGFPVVDADAVVPPVGMAVGATELHGLVLRAHLLQVLKKKWFLRERRRTEDWEVREKFTWVELAEREGKIEELVVTKEEMEMYVDLHPLTNTTPYTVLESMSVAKALVLFRQVGLRHLLIVPKYEAAGVPPVIGILTRQDLRPYNILSAFPDLARIKGNEKRN >KGN60786 pep chromosome:ASM407v2:2:1888753:1889603:-1 gene:Csa_2G010220 transcript:KGN60786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPYWERKFTYKLSPPRKVLQRSMFGIQWNSIHLLEEDEPKPNVTQQETNEAINTNKAANRQLKKSQIWSQVSDSNLVVATIIATVTFSAAFQVPGGYNNYGIAMFITFFTGLFGVGSYSRRWMTFLTGLSVWFMVFAFMMGTSLAVDEHSKRGWVARYVPCISFISPEFLLGVLAVNWFTYFT >KGN63406 pep chromosome:ASM407v2:2:22949410:22951362:1 gene:Csa_2G439150 transcript:KGN63406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTHIFLLFLVFPYLPLLVDSIYFKIDQIKPNENRLLYQGDAVPNNGGIIFSDPAYSCLVGQAIYKDAIPIWDSQTEKLTDFTTQFSFTIDTQNALHYGNGVAFFLAPAGFHIPPNSAGGYLGLFNKTYTESSINQIVHVEFDSYPNEWDPNFEHVGININSVSSSNFTKWNVSLHSLDTVDVFISYDSTTKYLSVSWNYEKTPISLENTTLSYMVDLMKILPQWATVGFSAATGAYLERHLLFSWEFNSSLEMKETVGVGTEKNGKKVDVIVGVTVSVGASILMAIVAFVVRRRLKQKKRKSEKKVAEEINLTSINDDLERGAGPRRFSHKLLAMATNNFSNERKLGQGGFGAVYRGYIPDIDLAVAVKKISRGSRQGRKEYITEVKIISRLRHRNLVQLVGWCHDKGEFLLVYEFMPNGSLDSHLFGKRAHLAWAVRYKVALGLASALLYLHEEGEQCVVHRDIKSSNVMLDSNFNVKLGDFGLARLMDHELGAQTTGLVGTLGYLAPEYISTGRASKESDVFSFGVVALEIATGRMSRTSMEAESHKGLVEWVWNLYGSAQLIDGMDEKMQSDFDKKQVECLMLVGLWSAYPDPNLRPSIKQVIQVLNFETTMPNLPNKMPVPIYSAPPTSMSSNEASITVSLDMGR >KGN62571 pep chromosome:ASM407v2:2:17160190:17161541:1 gene:Csa_2G361370 transcript:KGN62571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSSFFLLLVVLSLGNLAFATVFTLQNHCSYTLWPGTLSGNGAAVLGDGGFPLAPGASVQLPAPPGWSGRFWARTGCDFDGSGNGKCQTGDCGGTLKCNGGGLPPVTLAEFTIGSSSGNMDFYDISLVDGYNVGMGVRANGGTGDCQYAGCVSDVNGICPSELQVTNSGSVVACKSACAAFNTPEFCCTNDHSTPQTCPPTKYSEMFKNACPSAYSYAYDDASSTCTCTGSDYLISFCPSG >KGN60634 pep chromosome:ASM407v2:2:866462:870927:1 gene:Csa_2G005310 transcript:KGN60634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTELRRINNKAIKKQDTKKVASSVNNQSSSRKQLRKSENPSRLPIVTDQSSDFGHSSSWICKNSACKAVLSIDDTFCKRCSCCICHLYDDNKDPSLWLVCSTESGGDSCGLSCHIECAIQREKVGVVDLGQLMQLDGSYCCASCGKVTGILGCWKKQLITARDARRVDVLCYRIYMSYRLLDGTSRFKEMHEIMKDAKVKLEAEVGPLNGISAKMARAIVSRLSVASDVQSLCSLGIEKSEKWLASASNANPNYREDSLPAACKFLFEEISSSSVVIILVELSSASSNGVKGYKLWYEKSREELHTKDPICVFPRSQRRIMISNLKPCTEYTFRIISYTDNGDLGHSEARCFTKSVEIISKNLKLADSSNCKREHTTHIEGSSCSKMGPDNTKVVGSASQFKVRDLEKILHLPCDQDQGCNEGFCSADAEKCCGVGKVVKPKTPEEQLPPVSRDLDLNVVSVPDLNEEVTPPFESSRDEDDGCTLQQVVEADDDAASHDKEKNGLVRSHGSGDSQTWTWTGGRRGDASAVDSGVALCRKRGTSSNEEIHDCDSTLINGSPFRNSNGSCCLDENFEYCVKIIRWLECEGYIKQEFRLKLLTWYSLRSTERERRVVNSFIQTLIDDPSSLAGQLFDSFSDIISCKRLRS >KGN61271 pep chromosome:ASM407v2:2:6055558:6059772:-1 gene:Csa_2G075300 transcript:KGN61271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIEDLAPREEKRSDRKKSAVDEKSPLLPSRQDEGSGVNEFSGASFSGAVFNLSTTIIGAGIMALPAMVKELGLLLGVAMIIIMAFLTEASIELLLRFSRPRKSTSYGGLMGDAFGRYGKIMLQISVLVNNIGVLTVYMIIIGDVLSGTTSGGVHHAGVLEGWFGQHWWNGRFFVLLFATLGIFAPLASFKRIDSLSFTSALSVALAVVFLVITIGISLYKLIDGSVEMPRLLPEIVDISSFLKLFTAVPVVVTAYVCHYNVHSISNELEDSSQIKAVVRTAIGLCASVYVMTSIFGFLLFGEGTLSDVLANFDADLGIPYGSVFNDAVRVSYAAHLMLVFPIVFYPLRINLDGLLFPSARSLLRDNLRFSLITVTLMTLLFLGANFIPSIWDVFQFTGATAAVCLGFIFPASVALRDSHNIATKKDKVLGVFMVVLAVFSNIIAIYSDAYALFKRDSSPRD >KGN61250 pep chromosome:ASM407v2:2:5800988:5805232:-1 gene:Csa_2G074120 transcript:KGN61250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNEKAILALLQGCNSLKRLRKIHAHVIVSGLHHHVPIANKLLNFCAISVSGSLAYAQLLFHQMECPQTEAWNSIIRGFAQSSSPIDAIVFYNQMVCDSFSIPDTFTFSFVLKACERIKAERKCKEVHGSVIRCGYDADVIVCTNLVKCYSAMGSVCIARQVFDKMPARDLVAWNAMISCFSQQGLHQEALQTYNQMRSENVDIDGFTLVGLISSCAHLGALNIGVQMHRFARENGLDQSLYVGNALIDMYAKCGSLDQAILIFDRMQRKDIFTWNSMIVGYGVHGRGSEAIYCFQQMLEARIQPNPVTFLGLLCGCSHQGLVQEGVKYFNLMSSKFRLKPEVKHYGCLVDLYGRAGKLDKALEIVSNSSHNDSVLWRILLGSCKIHKNVTIGEIAMNRLSELGATSAGDCILLATIYAGEKDKAGVARMRKMIKSQGKKTTPGWSWIEIGEQVHKFVVDDKSHRYSVEVYEKLREVIHQASFFGYVGDESISSLDMLSTMETLKTSCTYHSEKLAIAFGLARTADGTQIRIVKNLRVCRDCHSFIKAVSVAFNREIIVRDRVRFHHFKGGECSCNDYWVMVGRIIMVGQLVQMKTKDASRVKNVFFHVGWRKEERKRGLCA >KGN62813 pep chromosome:ASM407v2:2:18704375:18710871:1 gene:Csa_2G373560 transcript:KGN62813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGPASDSSPSGALVLSSWEAREVVYPIRHELKPPIARLSISWARGNSFRVSIFTQHPANHTSDSNDGEVGGQVLEVKIRNGDGEISAAEFRRIAYGSVSPFALLQSRKHMVSGLSKMSMGLPRFNPDGWEYLAEYSKDISSVIGNPKLIPSSAIEDPLEILKKVEEPTCLKAAWELMDVFYADKQSHWWLNERLVDWLAEYDSVLSTTQSTIHSKLVDFQKELNNLQVVEDNPRYWDVILSALAVGWQEIVVKMLRLHGSYQLDQLSRRETENGLVEAVAFLISEMPRMRPELDSGKFGQCFKTKPDFTKAWEKWRSKITKLDGSGFWVHCTHVQTRKGLQNMLRIILGDVESLSGATCNWMELYISQLLYVRPFSMGLESMYNLAEKCMQLKPASNTDRLMALIIGILGESPEIVLAECSRGFGPWMATHAMELLIEENDEAETLLHEERHDLGGVSIEELHRLVYAQVLCSHAFTWQIAPIYLTSCAKQGIGFLETLLYSQAVQHNGVLLKNLEICRLYELDSLSSNIMKIAGVYHWKHGRKGCGVFWLKQARDEVRLNKIAQQLFDSVGKSISDESFKQWEGLIELLGSESKNTGGLEFLHKYRDFKKSLHQVHQKGKTTGAAQSAVDSLIALMKNPSTPQRFWLPILNDSLKLIDWHGGPLLNTEQTNLLLNKLQELSMAKLRPDFVESDLPPLALSSIRLALAKNLGRAFLEGC >KGN62918 pep chromosome:ASM407v2:2:19410076:19414480:1 gene:Csa_2G379980 transcript:KGN62918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAPFEGIVKDLKGRAACYKQDWICALCSGVRILAPTMYIFFASALPVIAFGEQLSRDTGGRLSTVETLASTALCGIIHSIFGGQPLLILGVAEPTVIMYIYLYSFCEGRPDLGGKLFIAWAGWVCIWSGVFLILLAIFNACNIITKFTRVAGELFGMLIAVLFFQEAIRGLISEFQIPKSEKPELLEYKFEWLYTNGLLAVIFSVGLLFTALKSRGARSWKYGTGWFRSFIADYGIPLMVVFWTALSYGVPGKVPDGVPRRLFCPLPWEPASLYHWTVVKDLGKVPVTYIFAAALPAVMIAGLYFFDHSVASQLAQQKEFNLQNPSAYHYDVFLLGIMTLICGLLGLPPSNGVLPQSPMHTKSLAVLKRQLFRKKMVKRAKECIKQKASNSEIYGKMHAVFIEMDAAPVPKDLETLTKAVMNADEGDQKGKFDAEKNIDPYLPVRVNEQRMSNLLQSFLVAASIFAIPVMKMIPTSVLWGYFAYMAIDSLPGNQFWERMLLLFITPSRRFKVLEGSHLSFVESVPFKIIASFTLLQFAYFLLCFGVTWIPVAGIMFPLPFFLLISIREHLLPKFFKHSHLQELDASEYEEIEGAGHRPMNLTAPEKEPPDTIAEESNEEYYDAEILDEMTTHRGELKLRTVSFKEERSFQVHPEDSLRM >KGN60885 pep chromosome:ASM407v2:2:2598644:2600098:1 gene:Csa_2G021560 transcript:KGN60885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCLQEKLRFKKGNFSPRRGPTLKVQTILNLLPCPTRHRFSSVFLLPPFLSTDSPSLFSFKPSLDFPLPWLPLPPTSTFSHNNSPLFSLFTPSSKTMPSLTAPHGLPLFLLLFLFLFSSVSAQSQPSPDPRSDPYQYRLSGSMAVIIVILIAALFFMAFFSVYIRHCNDSQSNTIRPITVAAGRSRRATRGLDPAVIETFPTLIYSDVKEHKIGKSALECAVCLNEFEDDETLRLIPKCDHVFHPECIDAWLASHSTCPVCRANLSPQLTESVHRVDDSNAVVNSDTDGGDIEAQSTDVVLETTAPPTVQIQTESELTTTTGNKALNRTRTRGSRSNRLRWLFPRSHSTGHSLVQPGEDTERFTLRLPVEIRKQVVNRKLNRAMSMVVLARQSSSMRGYRFGSGEGSSRGKYYRRLERLDRTSKSDRWVLSMTPPFFTRMSSMKTPRGGSNRGEPGSGRELGQGNTAVESSMLPV >KGN61410 pep chromosome:ASM407v2:2:7931756:7940826:-1 gene:Csa_2G118330 transcript:KGN61410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVRKSDNDHLVLTIDPPDKEQISPSPTTTPTPTRTKTLRRLRTKPKSRFDEPNYPLSTPKTIPESTDLLQPPLQQEDSTSSSSSSSSSSDYEDGEIGPENENERKAGRRRRRKGKRKKINKRVLIEWILFLTITTCLICALTLESLQEKQIWSLEVWKWCLIVMVVFCGRLVSEWLVGVLVFVIERNFMLRERVLYFVYGLRKSFQNCAWLGLVLIAWMIMFPDVHHNNKVLLKVFRFLIAVLIGATIWLLKILLVKVLASSFHVATFFDRMKESVFNHYILETLSGPPLDEEERDKEVNRRRRLVHMSKSLPARWREGGGGQTLSRSKRQDSCQKIDMERLRKLSLERRPSAWSVKRLVSYVRSSGLSTISRTVDDFANAESEITSESEARNCAQRVFKNVAKPGARYIEEEDLLRFLKDEEVNTIFPLFEGAIETGKISKSAFRNWVVHAYIERKALAHSLNDTKTAVQQLHKLASAVVIVIIIVISLLVLGVATTKVLFVITSQLLLVGFMFQNTCKTIFESIIFVFVMHPFDVGDRCVIDGVHMFVEEMNILSTVFLRFDNEKIYYPNSVLLTKPISNFRRSPDMSDTVDFTIDVSTSFDIITALRKAMQIYIESKPKHWSPKHSLVVKEIENVDKMKMSLCVQHTMNLQNFPERNNRRSDLILELKRVFENLGIKYHLLPQEVLVTQFNLTNGRMAIPSS >KGN61497 pep chromosome:ASM407v2:2:8858493:8858798:-1 gene:Csa_2G148420 transcript:KGN61497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRRITDLSSGSSSSLRPVSNLFESLTVAPTPTNQVSPAELTTSMWEAKLVFGCWRATGLGRRRTSEGEKELRMGEEKEENENGEGTNGEEGRRRERLRV >KGN62734 pep chromosome:ASM407v2:2:18129584:18132313:-1 gene:Csa_2G369850 transcript:KGN62734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEAWEAGRPPRLLFDWEPSLLNSFSAAPNLGRPNLVASSISNNMVMKNGTFPVSSALKVPQPQVGLVNEPRSWLHCLGPSQQATLPVKSPIYNDNLVAQSKGLLKEDVAPLCGSGTQQKGFLVIDQSADKTTLVLCSGVGGPLQLLTSWSPQPSAAYKFNGEDTRNKQDFIYDSKPVLSNDFAENHETDEQSEMQEDTEELNALLYSEDESEFDEDEDEVTSTGHSPSAMTTKDKRYPCEEMNEEVASSAGSTKKRKIDGGFDVMSVMDTASSPMPRRSPEYEDDAESNCGNVGSQDIEDVDSSSINKKIRKEKIRETVGILESLIPGGKGKEAIVVLDEAIQYLKSLRLKAETFGLNTCC >KGN61466 pep chromosome:ASM407v2:2:8521607:8529340:1 gene:Csa_2G138700 transcript:KGN61466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLVSSAGGLLAMLHESHPLLKLHALSNLNNLVDNFWPEISTSVTVIESLYEDEKFDQHQRQLAALLVSKVFYYLGELNDSLSYALGAGSLFNVSEDSDYVHTLLAKAIDEYASLKTKAAVSNAESTDVDPRLEAIVERMLNKCITDGKYQQAMGIAIECRRLDKLEEAITKSDNVQGTLSYCINVSHSFVNLREYRHEVLRLLVKVYQKLPSPDYLSICQCLMFLDEPEGVASILEKLLRSENKDDTLLAFQIAFDLIENEHQAFLLNVRDRLSDPKPEPPAAAQPSSNDSAQSESSPAPEDAQMTDGSSATSLTVQPADPKEVMYAERYTKIKGILSGETSIHLTLQFLYSHNKSDLLILKTIKQSVEMRNSVCHSATIYANAIMHAGTTVDTFLRENLDWLSRATNWAKFSATAGLGVIHRGHLQQGRSLMAPYLPQGASGGGGSPYSEGGALYALGLIHANHGEGIKQFLRDSLRSTNVEVIQHGACLGLGLATLGTADEEIYDDIKNVLYTDSAVAGEAAGISMGLLMVGTASEKASEMLAYAHETQHEKIIRGLALGIALTVYGREEEADTLIEQMTRDQDPIIRYGGMYALALAYRGTANNKAIRQLLHFAVSDVSDDVRRTAVLALGFVLYSEPEQTPRIVSLLSESYNPHVRYGAALAVGISCAGTGLSEAISLLEPLTSDVVDFVRQGALIAMAMVMVQISEASDSRVGAFRRQLEKIILDKHEDTMSKMGAILASGILDAGGRNVTIRLLSKTKHDKITAVVGLAVFSQFWYWYPLIYFISLSFSPTAFIGLNNDLKVPKFDFLSHAKPSLFEYPKPTTVPAATSAVKLPTAVLSTSAKAKARAKKEAEQKNIAEKSAAESSSAGSNSAKGKATAEKDSDSMQVDNPPEKKAEPEPSFEILTNPARVVPAQEKVIKFLEDSRYVPVKLAPSGFVLLRDLHPSEPEVLSLTDTPSSTASPASGSATGQQGSGSAMAVDEEPQPPQPFEYTS >KGN62331 pep chromosome:ASM407v2:2:15861952:15867040:1 gene:Csa_2G349650 transcript:KGN62331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSGTVRIRLLFEQGQLLSKSHRKNGLKRSWILLKSHLPTISDFSSYLLDYFFLRTACPHGLILSMDGFVLPPFEPTSILKDKDIVRVKKNVDNVTAADKMEELVNKCTDYKNEADAVDEPYELLRQLEDTLDAGSVKKTLSSKRKALKTLHSSKMKKKRVVPTSKYLKFRTEHNGRFQHKVLSEKSLVEKHKSSSGHTDTSISNEQKLQKFSSPDKDKRKMMRKQVKTKKKKVQQQRVEKSNRKLPDENYIEDSEQLAGSSDDKEIVPVVIRPGHVRFLPLGQAEANQIVHPGQASMDIIRLNGMAVKNVRNRGQRKSSSWTSNCKNCEGQSSKPQAKKGLSTMNPPIDFDKLKPCASLPERGDIIAYRLIELSSTWTPELSSFRVGKVLWCKPEANKIMVIPVPEYPFVYKAAMNDESIKHPYAEDGSLKTDYSSLIDIKIVEHKNSVGFEATAGNIREASGTKQSWNKWENHSTAPKQSWNKLGENHPKPPKQSWKKWEDRTSVRVISGNGKENGWDEILQAFGAKKAKLCNEVRWRTGENKAWEGAHDP >KGN60662 pep chromosome:ASM407v2:2:1063001:1063791:-1 gene:Csa_2G006080 transcript:KGN60662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMNWSGGDWMCGVCEHVNFKKREACQRCGYPKYGGPDPTTYDQYNIIHSKSTDEVLAGDWYCNCGAHNYASRSSCYKCNSNAYKSLDIGALPGWKSGDWICSRIGCETHNYASRMECYKCKAPRHFGKNIKFSSLFLFL >KGN60562 pep chromosome:ASM407v2:2:406857:409716:-1 gene:Csa_2G000700 transcript:KGN60562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIVELEEAGNDRQNEKIGSGQIVKVDAKRALVGAGARILFYPTLLYNVLRNKMEAEFRWWDEVDQFLLLGAVPFPKDVPRLKKLGVGGVITLNEPYETLVPSSLYYRHGIDHLKIPTRDYCFAPKFSDISRAVDFIHRNASSGKTTYVHCKAGRGRSTTIVLCYLVKYKHMTPSAALDYVRSRRPRVLLAPSQWEAVQEYSNRGPVTCSSSLSGGDAVLITKDDLEGYHGTCIDSAGRDLAVVPWMGKSKPMIARLSCLFSSLIVHGSTGSLIKRLPIPEARAC >KGN60720 pep chromosome:ASM407v2:2:1427981:1431664:-1 gene:Csa_2G008110 transcript:KGN60720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVATPRSITEKVFQRVGGHYQWSSTHKFFGNDGRVEDCFDDDDGTLEMVQIGAERTKNVLILMSDTGGGHRASAEAIRDAFRIEFGDEYQIFVKDVWKEYTGWPLNDMERSYKFMVKHVQLWKVAFHSTSPRWIHSVYLAAIAAYYAKEVEAGLMEYKPDIIISVHPLMQHIPLWVLKWQGLQKKVVFVTVITDLNTCHPTWFHPGVNRCYCPSEAVAKRALLDGIEESQVRVYGLPIRPSFARAVLQKDQLRGELEMDPDLPAVLLMGGGEGMGPVKKTAKALAESLYDKENEKPIGQLVIICGRNKPLASTLEAFEWKIPVKVRGFEKQMEKWMGACDCIITKAGPGTIAEALIRGLPIILNDYIPGQEKGNVPYVVDNGAGVFTRSPKETAKIVAEWFSTKTEQFHTTSQNALKLAQPEAVFDIVRDIHDLACQRGPMANIPYMLTSSFTTLI >KGN63393 pep chromosome:ASM407v2:2:22862836:22864737:-1 gene:Csa_2G437040 transcript:KGN63393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLPHSMTHYHMDLDLKSDSSSRVNQSQTHKKMDTWFIIILISFSICYLLSSIFTKFQTSTKLPPGPPSIPILSTFLWFRTSPLQMESYLRTAVAKYGPIVTLRIGSRPSIFIADRTIAHKALFQHGALFADRPPVPPLTKILTSNQHSINSAAYGPLWRLLRRNLTSQILHPSRIRSYGHAREWVLGILLNRLFSHSESGSSVYVVDHFQYAMFCLMVLMCFGDKLEESQIKEVENVQRTMLLNFRRFGLLNLSPKLTKFFLPKRWEEFLQLRRNQERVIIPLIEARREAIKSRANRGKREGEKQEQEDGKEFVLSYVDTLLELQLPNEDNRKLTNSEMVTLCSEFLTGGTDTTSTTLQWIMANLVKNPEIQHKLFTEMKEVMGDGTREEVKEEDLGKLPYLKAVVLEGLRRHPPGHFLQARGVKEDIQFENYLIPKNGTVNFLAAEIGRDPTVWEEPMAFKPERFMNGDGGEEAAGFDVTGSKEIKMMPFGAGRRICPGYGLGILHLEYFLANLLWKFDWRGVEGDNVDLSEKLEFTVVMKKPLKANIILRL >KGN61118 pep chromosome:ASM407v2:2:4210988:4212024:1 gene:Csa_2G055060 transcript:KGN61118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDIKSRFVPAYIRITDDLGNSFPIQIVSHTQGKWLVERNVRKHGIFKSQATTSFGEFNPNAKQFTFIGSEGLPPKHPTSSKNKVLNDEIKNGLNAKFGVLSTQKCVAKKQLCVSTTFTDAEKHVDAGVVNDAL >KGN62781 pep chromosome:ASM407v2:2:18539279:18541396:-1 gene:Csa_2G372765 transcript:KGN62781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAVWWWKLSSFCCGSTCSLCKWTHCHLGSSSVWCSEPQKPHMREFCCLILTLHLHQLIEPKTHGI >KGN60553 pep chromosome:ASM407v2:2:333084:334059:1 gene:Csa_2G000610 transcript:KGN60553 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S30-like MLVLYPNPNLCQFAFLVSRKRSRQVSPPLIRFAMGKVHGSLARAGKVRGQTPKVAKQDKKKKPRGRAHKRMQYNRRFVTAVVGFGKKRGPNSSEK >KGN61424 pep chromosome:ASM407v2:2:8032133:8034369:-1 gene:Csa_2G120410 transcript:KGN61424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKRTKKAGIVGKYGTRYGASLRKQIKKMEVSQHSKYFCEFCGKYAVKRKAVGIWGCKDCGKVKAGGAYTLNTASAVTVRSTIRRLREQTES >KGN61174 pep chromosome:ASM407v2:2:4867706:4874912:-1 gene:Csa_2G061530 transcript:KGN61174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTFQSFRKAYGALKDSTKVGLAKVNSEFKDLDIAIVKATNHVECPPKERHVRKIFSATSVVRPRADVAYCIHALAKRLSKTRNWIVALKTLIVVHRTLREGDPTFREELLNYSHRGHILQISNFKDDSSPLAWDCSAWVRTYALFLEERLECYRILKYDIESERLTKTSPGSTKVHSRTRLLNSDELLEQLPALQQLLYRLMGCQPEGGAYSNYLIQYALALVLKESFKIYCAINDGIINLVDMFFDMPRHDAVKALNIYKRASNQAENLADFYEYCKGLELARTFQFPTLKQPPPSFLSTMEEYIREAPQTGSVNKRLEYREAEQLTQEQDKPEEPGEIEKEVENVEDNKPPVETEEEPQQKEGEVAEPPPLIATHDASDLLGLNEINPRAAEIEESNALALAIITNGNDPSSSNRALSEIGGSGWELALVTTPSNNAGPSVEGKLAGGFDKLLLDSLYEDEHARRHLQLQNAGYGPYGEMMVHNPFEQHDPFSLSSNIAPPPSVQMAMMAQQQQMLFQHQQQQPLQSNAFPQQQQQLHSNDSMMMVPYQQQLPQYPQQQMQQMQQIGPSNPFGDPFLSFPQTSVPPGGHHNLI >KGN61844 pep chromosome:ASM407v2:2:12338513:12345321:-1 gene:Csa_2G250960 transcript:KGN61844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLSLSLLPFSFHPPKMPFKFLHSPSPSSIIPQTHIPKFPNPLSHSRPSFSFSFTPTLPFPSPSPPLPLNVSSPITRCFALPHDDHAREVSSAESASETDNGVQGNEQLLATGIKDLESQGLVNQMKEIVTFTGPAIGLWICGPMMSLIDTAVIGQGSAVELAALGPATVLCDYTSYVFMFLSIATSNMVATALAKQDKNEVQHHISVLLFVGLMSGLLMLLVTKLLGSLALTAFVGTKNPGIIPAANTYMQIRGLAWPAILVGWVAQSASLGMKDSWGPLKALAVASIVNGMGDVILCMVLGYGIAGAAWATMASQVIAAYMMIEQLNKKGYSGYSLSIPSPSEFLSILGLAAPVFITLMSKIVFYTLLIYHATSIGTFTMAAHQVMSQTFYMCSVLGEPLSQTAQSFMPGFIHGVNRSLDKARMLLKSLLIIGGIFGLVLGTIGTLVPWLFPNLFTPEVKIIQEMHKVLIPYFLALLIMPATLCLEGTLLAGRDLKFISLSMCGCLSFGALLLLFVNSRGYGLAGCWCALVGFQWARFFNALRRVLSPNGVLYSSDVSHYEVVKQKAA >KGN60507 pep chromosome:ASM407v2:2:116001:123046:1 gene:Csa_2G000160 transcript:KGN60507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKNEETGSPGWGASLFLQTTEDVARAVAAAAAAATDARSPRPSVIYSSKDDEGGSPLQRLQRQVNKVLKGFSSPPQVKTAGTYNPEVLTTQKRQWANFQLQYLDHRSQKEPTRIFESMVVVGLHPNCDIQALQRQYAAKRSEGSGRLRTALNNSQNQSRVEPHLEPQVLFVYPPEKQLPLKYKDLLSFCFPGGVEVHAVEKTPSMSELNEILLGQEHFKQSDLSFVFRLQVADDSTLYGCCVLVEELVQKPSGLLSVSEKPSSHSSLSRYVLTTRRCYCILSRLPFFELHFGVLNSIFTEERLQRLTKGIGVLNLESTENLSNDEDLVEDMGSMTLDQSAAEDMDEGKEEYSQRMGDENHVDHQVLDGHFQCLRKGVSNDIVAKLDPEPEVVTDKIESVSVHKENHDIEVDDFTSNKQAIDRRLPNAVLPLFRYYQYESSESSSSFQGSPSEDRNFRSDADDTETEEASFSGQDDSTDLLDILEWAKENKNGSLQIICEYYQLHYPARGCSVKFHPLEHLHPMEYYRSGKTVLHVAGSTIDPRSCSTSLELAEAHGALMVEEEANALSIWTVASICGSLRLEHILSILAGALLEKQIVVVCSNLGILSASVLSIIPMIRPYQWQSLLMPVLPNDMLDFLDAPVPYIVGVKNKTSEVQSKLTNAVLVDVNKNQVKAPTIPQLPKQKELFSSLRPYHAELVGESFLGRKRPVHECTDVQVEAAKGFLKVLRLYLDSLCSNLRSHTITNVQSNDDKVSLLLKESFIESFPSRDRPFLKLFVDTQLFSVHTDLVLSFFQKE >KGN61359 pep chromosome:ASM407v2:2:7314930:7316857:-1 gene:Csa_2G098440 transcript:KGN61359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKQIHEIKDFLLTARRKDARSVKIKRSRDVVKFKVRCSKYLYTLCVFDSEKADKLKQSLPPGLSVQDL >KGN61456 pep chromosome:ASM407v2:2:8392838:8396655:1 gene:Csa_2G129150 transcript:KGN61456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKAINRQKVLLHHLRPSSSLPSHDSSLSASACLAGDSAAYQRSSVFGDDVVIVAAYRTALCKSKRGGFKDTHPDDLLAPVLKALIEKTNLNPSEVGDIIVGSVLGPGSQRASECRMAAFYAGFPETVPVRTVNRQCSSGLQAVADVAAAIKAGFYDIGIGAGLESMTANPMAWEGSVNPKVKMFEQAKNCLLPMGITSENVAHRFGVTREQQDQAAVESHRKAAAATASGRFKDEIIPVSTKLVDPKTGEEKSFTVSVDDGIRPNASLADLAKLKPVFKKDGSTTAGNASQVSDGAGAVLLMKRSVAIKKGLPILGVFRTFAAVGVDPAIMGVGPAVAIPAAVKAAGLELDDIDLFEINEAFASQFVYCRNKLELDPEKINVNGGAMAIGHPLGATGARCVATLLHEMKRRGKDCRFGVISMCIGTGMGAAAVFERGDVADELCNARKVDNHNLLSKDCL >KGN60895 pep chromosome:ASM407v2:2:2635249:2636071:1 gene:Csa_2G021660 transcript:KGN60895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSSSSSSTLFSVFRVFVIALLFFSPVLSKGHLKLVRCYCMNMILRCRYLEVFPKSVKIPLYEQLKMSVLSTPPSTYLVTRPLFLVLFHDGKPLGAAWVVMGWALNVELLRGVRSSEMDEFTIVI >KGN60941 pep chromosome:ASM407v2:2:2943671:2959664:1 gene:Csa_2G030020 transcript:KGN60941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPKNLKSLKKRPLRSNDPSAEENYRSSQTSKKRTKKLSDKLGPQWSKEEIESFYEAYRKYGQDWKKVASSMHQRSTEMVETLYNMNKAYLSLPEGTASVVGLIALMTDYYNVMGGNDSERENYDASGFQELPKTNQVQVQLSISNEGHFSTRSVAASGGCLSSLRSLYGNRLRVVGKRTPRVPISYLEERDKGENHASGNKCSQKSEFDVISDEVAHGAASALAEASQRIDSSATFIPSKIKENMKFSYEVSGGHKGRPNETYDYDLSSPVATECVGTEKTHHKMKKRYRKEKVLDNQNSLSVLEGKVDSKSSNAVCVLSSSLVQRKKRRKLPHGDENTTLDALQILADVSSMIPFTTMKSEPSVQIVEETESFNLEDKSYIPEDTLSDRSDKGKQVMVNAMPNIEDRVRGKLKPGNGLSIDVASKRKKRLEHLGTMRKGKRNFVIPDTKVPVDVHLREDLTTITLGRIKPLKNENQATLPIKLGRRSRCKMELWKLLTRQKTKFCDDKLGKELMKYSSSVQAKAFFLKDKLSNCMSSTMVRRWCIFEWFYSAIDYPWFARSEFVEYLHHVGLGSITKLTRVEWGIIRSSLGRPRRFSDNFLHEERMKLQRYRESVRQYYGKLRAGICKGLPTDLARPLSVGQRIIALHPYPYRLEVHNGSVLRLQHDNYRIQFDNQEIGVKPVMDFECMPFNPMDNFPETFRRQICSINRAPLEYKELQRNNHPNVPSTTFNLKQHNTFSGNSLAPANARALGSIPCSLNVSQGSGRGAVDIVQGSREKAQMMVNVAIEVLLSKNDGDDPLTIIYGALHSSDNQNSSFKVQKPSSMSQNMKDCLGAHVKELFPSKHLSTADLSSLRSRHFNRDYRGIPSNLITSCVATLLMIQACIERPYPASDVSQILGLAVKSLHPRCSQNLHFYKEIETCVRRIQTQLLSIVPT >KGN61288 pep chromosome:ASM407v2:2:6253719:6256963:1 gene:Csa_2G075960 transcript:KGN61288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEASAEAMATVADKSPITSRRIVRDDLDSKLPKPYLARALTAPDLENVNGTWNHKHNGMSVLQQHVSFFDQDGDGIIRPSHTYTGFRTLGFNAIVSFFFMIFIHAALSYATLPTWIPSPFFPIHVKNIHRAKHGSDSGTYDTEGRFIPAQLENMFSKYARTRPDKLTLREIWHMTQANRDIFDFFGWVASKLEWGALYVLAKDENGLLSKEAVRRCFDGSLFDYCAKMQKGAVDKLG >KGN60594 pep chromosome:ASM407v2:2:600583:604546:-1 gene:Csa_2G002000 transcript:KGN60594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDAQTQNDSYEIASTAHGDTLQIFSIVSPMDEILTHLLALTSYVTRRFVRFIEDLIARDVDRFLTNHIIVPQGVCSSYSGQNRQRSVSEGSSSSIVASDSRNGLLVDRTSYVETIYSYEVASPIFEGLMLPLYGLQFVQKLASCSLRNCFSCIQCVELCLYNIMCRIRKTLLGSSNDIGWLQTTPGMPPVVDGTARFLELLSDIRNGEHRLPNSFVYLLIPGLFSNHGPLYFVGTKKFFSKMGLTCHIAKIHSEASVEHNAWELKEYVEELYWGSGKRVMLLGHSKGGVDAAAALSIYCNELKDKVAGLALVQSPYGGTPLASDFLRDGQIADKETRKIMELLICKIIKGDIRALEDLTYDKRKEFIMNHNLPENVPILSFHSEAQVAPGVLATMTHIAHAELPWLPLPRSWTESDTVVQGGRRVPVVIPLSAVMALCALHLQLRYGEKSDGLVTCRDAEVPGSVVVRPNQKLDHGWMVYSSRKKSTGDPDACEMCEAILTLLVELGMGMKQVK >KGN60774 pep chromosome:ASM407v2:2:1785644:1787212:1 gene:Csa_2G009610 transcript:KGN60774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIQWWDEVEEPQEGKGFMEQSEKECSQLINLAKPRMERSLVSAQNTNWEGVVSSRRTSSGRFLAKGQNQLVRRIEKRIAEFTFIPVENGEGLSILHYEVGQKFEPHHDYTHPDSFSFKSLGQRNATLVMSGVKEGGATVFPEAKKCASSARRWWKKLPEYGKDNGLSVKPKMGDALLFWSVKPDGTLDPTSLHASSPVVKGDKWVGVKLMHVKAKDLTQEVMANRCRSTSNR >KGN63298 pep chromosome:ASM407v2:2:22100888:22103682:1 gene:Csa_2G425750 transcript:KGN63298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVLILSISLSLFSLLLAFNFLLRPHRQNLPPTPFFCLPVIGHLHLIKHPLHRILHNLSKSYGHVFSLRFGSRLVVIISSPSVVQECFTKNDIILANRPLLDTGKHLAYNHTTMAVAPYGEHWRNLRRIGALELFSTSRINLFSRMREEEVKALMVRLCGSSSLEGFRAVEMESMLLDLMYNVIMGMMGGKKGCEEDEGKSKEFREMVTKIMAVGGASNPGDFIAIWNWIDPSGLKKKILKLGQTMDVLLQELVDGMRNESGEGNTMIHRLLQLQKIEPENHSDQIIKGLIQIILIAGIDTAAVTLEWALSHLLNNPDVLEKAKIEIDNVVGQKRLVNEADLPSLTYLQGIIFETLRLSPAAPLLVPHCSSEDCKIGGYDVPRDTIVIINAWAIHRDPNLWEDATSFKPERHTNPIGVESYKFLPFGLGRRACPGIGIAQRMTNLTLATMIQCFEWKREGSSLVDMSEGEGLTMPKAQPLIAKCKPRPIMKAMFSDEREFDQNISQNGM >KGN60931 pep chromosome:ASM407v2:2:2845862:2846718:1 gene:Csa_2G027440 transcript:KGN60931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRLIPEVGSAEYKELESKPEKAYLKTVNSMLQTLLGVSLIEILSRHASDEVYLGQRASIEWTSDKAAVEVFENFGKKVFEVESRIIERNKDVNLKNRSGPVNVPYTLLLPSSTEGLTGRGIPNSISI >KGN63116 pep chromosome:ASM407v2:2:20713217:20715470:1 gene:Csa_2G403710 transcript:KGN63116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSPAIISQSPPCLTFQPTSTSLSTRRTCSKWNLTTFNRCKSSTSFPFNFVEDHSKALPVACATGKCTTTEEYADVESCSNQSVSGCLSPYLIGVWLRSSRSVKKLRAVHAFILRNFTSFGIYVGNNLLSSYLRLGMLVDARKVFDEMPMRSVVTWTAIINGYIDLDLTEEALALFSDSVKSGVLANGQMFVCILNLCAKRLDFELGRQIHGVIVKGNRGNLIVDSAIIYFYAQCKDISSAFVAFERMRRRDVVCWTSMITSCSQQGLGREAISMFSNMLSDEFLPNEFSVCSVLKACGEERELKIGRQLHGLIIKKIIKNDVFVGTSLVDMYAKCGNLADSREVFDGMRNRNTVTWTSIIAGYAREGLGEEALNLFRLMKRQRIPANNLTIVSILRACGSIEASLTGREVHAQIVKNSFQTNIHIGSTLVWFYCKCRNQLKASMVLQLMPLRDVVSWTAIISGCAHLGHESEALEFLKNMIEEGVEPNSFTYSSTLKACAKMEAVLQGKMIHSSANKTSALSNVFVGSALIYMYAKCGYVTEASQVFDSMPVRNLVSWKAMILCYARNGLCREALKLMYRMQAEGFEVDDYILGTVYGACGDVKCDVDSSLEYRLQTH >KGN62288 pep chromosome:ASM407v2:2:15658664:15662722:1 gene:Csa_2G348260 transcript:KGN62288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKTSTEVSKNERNDVLEALNPAISNFLGQLSQKLQKSLKLKKLANDGQKLMSMTTSPFTKGEKKGPSTSWELDVEKQLQAWRENPSWTDKPPQIKVSVPKDTLSRLNVKVDVGLPPDAVYNIVTDPDNKRVFKNIKEVISRRVLIDEGSRQVVELEQAALWRFLWWSGTISVHVLVDQNRADHSMMFKQLNAGFMKRFEGCWRVEPLFVDERMCFPVKPKNLGDYHACTKGKGRVGSRVSLEQLIEPAIVPPPPISWYLRGITTRTTEMLILDLLAEAERIRGDVKGEVLNNELEISHEMSDSNLLDSVLDIKERWAMRRRYAKQCPGARRRSAAK >KGN61797 pep chromosome:ASM407v2:2:11966324:11968729:-1 gene:Csa_2G247580 transcript:KGN61797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNIFHIYDQVLRWVMKAAGIKPVQLQIKPGTAMNFWVPAGKPNKNHQNHPPLLFLHGFATNAIMTWQFQVLKFAKNHAVYVPDFMFFGDSVTDRPDRTTEFQAECVVEGLRKLGVDRRFVLVGFSYGAMVGFRLAEMYPEMVEAMVVTAAPTVLTERITGEAMEKIGYKSWSEYLIPETVKGAISMLQIASFEFPRFPRWIFKQYLEAMVVHRKERAELLEALVAPNDVTISQYPQKLHIIWGRNDNLFDIQIAYNMKEKFGEKATMDCIEKAGHIVAMERPFIYNKCLQKFLHSLEDNPYE >KGN61622 pep chromosome:ASM407v2:2:10269489:10270222:1 gene:Csa_2G190790 transcript:KGN61622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYEEEKGELRNICISFICIYTMLNLHTMHFILPSSMLVRLVNISMFRCNNKMLFVTIWIQQNNSLISKKYIRSNKYLVSELKNSIAPIFNCVMLTLKLFVYTVVIFFVSLFIFGFLSNDPGRNPGREE >KGN61510 pep chromosome:ASM407v2:2:9028891:9029300:1 gene:Csa_2G150520 transcript:KGN61510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIAQVASQYMFRNVFCLRSRLVIPAIFDWLSSIAFLQPPVHSYFAQLVRLFAYLLRDHRSFMIKAIRFPQFFLLSLIKMNRFNVLMDRTEAHQKQG >KGN61781 pep chromosome:ASM407v2:2:11834423:11834846:1 gene:Csa_2G245450 transcript:KGN61781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSEGLRKLKEMDYQVRRRIGGDQKRQQFTLYEEARWKRYAERNIEMEESSPAQDYGGWMNVGRRCSSGAAATCVGGAVPRRAETVRDVEREN >KGN62667 pep chromosome:ASM407v2:2:17716867:17718695:1 gene:Csa_2G367210 transcript:KGN62667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METERRDGSQEAERTAAETAGQSKFRRICVFCGSSSGKNPSYQIAAIQLGNQLVERNIDLVYGGGSIGLMGLVSQAVYDGGRHVLGVIPKSLMPKEITGETIGEVRAVSGMHQRKAEMARQADAFIALPGGYGTLEELLEVITWAQLGIHEKPVGLLNVDGYYNSLLSFIDKAVDEGFVSPAARSIIVSAPTPHALITKLEEYVPKHSSVASKLSWEIEQQLGFTVKSDIAR >KGN61942 pep chromosome:ASM407v2:2:13013805:13014520:-1 gene:Csa_2G270850 transcript:KGN61942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCEVFVVGDEDGWNSGTNFATWSQSHNFTKGDFLVFNYAKNVHNVYEVIEETYRSCEAKNGVLGEYDSGNDKIELKEARNYWFICNVAGHCLGGMRFGIVVKESNSSTHLPLNPIDQSPPPNTNHASICCGRFPMWWTFFICILSFNVLFY >KGN62067 pep chromosome:ASM407v2:2:13946456:13951941:-1 gene:Csa_2G295430 transcript:KGN62067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGWYCVKEQKRCVGWVETYFKDCLCNLRDDMSFGFGLVSLLCWGLAEIPQIVTNFRTKSSHGVSLLFLLTWVAGDVFNLVGCLLEPATLPTQLYTALLYTVNTIVLVLQSVYYDYVTKCCIDRKAKSDHTGEEEKTPLKGNKGVGYVGIPIPKASPKPTPRREFYYTSARSLAGSDTPPFRAFLRLPKSGPSALGNDSSSSDDESDTAAVFSHSAVTQPRPIPRSVGYGTFLAASANLPFQTKGFSDGFSGRKLLQEHSSHSGFGQLLGWLMAAIYMGGRLPQIWLNIKRGSVEGLNPLMFVFALIANATYVASIVVRSTEWESIKANMPWLLDAVVCVLLDLFIILQYIYYRRFRRQRQSGGGRDEFKDYEEATKHATL >KGN61880 pep chromosome:ASM407v2:2:12582410:12582982:1 gene:Csa_2G258760 transcript:KGN61880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIRLLSLVPYGKQILKIQSGFIKGQLDVPKGHVAIYVGEIQRKRFVVPISYLNHPSFQQLLNHSEEEFGFHHPQGALTIPCKEDAFIDLTSRLQIS >KGN62537 pep chromosome:ASM407v2:2:16981957:16984092:-1 gene:Csa_2G360050 transcript:KGN62537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTVILRSLLRSVRARSYGIAGVTHRNLLQSNHQVARSFFYLSSHRPSFSDSPQRVSSDCSYPSGVGHARYFSADVVSMPTIEDPKLQNVFKDLMAASWDKLPEAVIYDVKTALSGSTDDKAGKEIVENVFRAAEAAEEFGDMLINLKMEIDDSIGLSGENVKPLSDELKKALHTVHERYIAYLDSFGPEENYLRKKVETELGTKMIYLKMRCSGLGSEWGKVSVLGTSGLSGSYVEQRA >KGN61568 pep chromosome:ASM407v2:2:9715605:9718023:1 gene:Csa_2G171890 transcript:KGN61568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEVAVEGAVAAAALATEVADSNPQAHKLERKWTFWFDNQSRPKQGAAWGTSLRKVYTFDTVEEFWCLYDQLFKPSKLPANADFHLFKTGVEPKWEDPECANGGKWTVTSSRKANLDNMWLETLMALIGEQFEESDEICGVVASVRQRQDKLALWTKTATNEAAQMSIGRKWKEIIDVTDKISFSFHEDLRREKSAKARYSV >KGN61578 pep chromosome:ASM407v2:2:9785432:9787820:-1 gene:Csa_2G172480 transcript:KGN61578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAGTMVAQKLLGKSFTLLSNNPNHMVPSLIETGARYLVTKFFARYISIGSLTIMEEGGRLFTFKGTDNKFLPNVVLKVHNPNFYWKIMTRADIGLANAYINADFSFVDKNEGLLNLVQILIANRDANSLVAKLNKKRGWWTPPLYTASIAYAKYFFQHTLRQNTITQARTNISRHYDLSNELFSLFLDDTMTYSCAIFKREDEDLRVAQLRKISHLIKKARIDKNHHVLDIGCGWGSLAIELVKQTGCHCTAITLSEEQFKYAEDKVKVLGLQVLVIYITIIEMKSNV >KGN63345 pep chromosome:ASM407v2:2:22461645:22463761:1 gene:Csa_2G431140 transcript:KGN63345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDELRSVMEEHMDQMSDLIQKLSSELRSGLRPALDNFIGFFHAIDWKEPWLMGLLGFHGLLLIITIFTRKRTNFQMFLFLLALAGVYFAEIINGILSKNWKNFATQNYFDPNGVFLSALWSGPLLVISMIILINTLFTLCYLVVRWKRAELRHRARLSQSKED >KGN62429 pep chromosome:ASM407v2:2:16438112:16444437:-1 gene:Csa_2G354060 transcript:KGN62429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKHSNLIGQTVVDEQDVSDVERDPRFWHDVMDLYFIRGKESRGRQDDDLVFFVRKVKSQGYGSDDDNGGTSPYFVRRWASKLDNLVGDASVDVDWRRSFYLNLIAHTSFTVTVAICSHLVLRNHQAGHTTSLSPIYKVVKTVYASPSRVNFHLDSKKEVETTPAYPDICFAVDDFDSTFDAVVLTETDHCYCVLLNAHDGAAFPAKDNAENCNSSNFVASSLDSDSQNTKNSKITLFSGFVSYQMVRDAYDAGKSRFGSLLSLGHASGKTDKIYMKGPGGRGEVEVAVSGVADQSLQDSGPFSPVVSKTGFGIGTIVRRAASVATVAARQAYAAASSSSSDDEMIPLKCCLMSISLPWEYIAHDLLFKGSPPVNL >KGN60514 pep chromosome:ASM407v2:2:139252:142141:1 gene:Csa_2G000230 transcript:KGN60514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQMSKKRKFVADGVFFAELNEVLTRELAEDGYSGVEVRVTPMRTEIIIRATRTQNVLGEKGRRIRELTSVVQKRFKFPENSVELYAEKVNNRGLCAIAQAESLRYKLLGGLAVRRACYGVLRFVMESGAKGCEVIVSGKLRAQRAKSMKFKDGYMISSGQPVRDYIDSAVRHVLLRQGVLGIKVKIMLDWDPKGKQGPTTPLPDVVTIHSPKEEEEIVHRPPAVLTADIEVPVAVPPVPVA >KGN60669 pep chromosome:ASM407v2:2:1108427:1113276:-1 gene:Csa_2G006150 transcript:KGN60669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWIGETIESFKSIKIRKALSQAISFGLIVSSALMIWKGLICWTGSVSPVVVVLTGSMEPGFARGDILFLHMNKDPIRTGEIVVYNIEGRDIPIVHRVIKVHETKDTGEVYFLTKGDNNEYDDIPLYAENQLWLQRQHLMGKAIGFLPYVGYATIIMTDMPIIKYILIGALGLLVLTSND >KGN61898 pep chromosome:ASM407v2:2:12670075:12675148:1 gene:Csa_2G263930 transcript:KGN61898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKAFRIFFLALLLLASPFLQVVRCQSDAESESEEAAVDDATDLGIVGEEELDYGDGSFSAAPGIDTVCVFPKNSARLVVAGEETEILAGVKNNGDSSLNVIAIKASVHYTFDHRLLIQNLSAVGFNNASVPASSQATFPYLFAVSKYLQSGNFDLVGTIIYEIDQHPYQSTFFNGTIDVAEAGGFLSIESVFLVTLGIALIVLLGLWIHGQIQNLSKKTKRAPKVEVGTKSSDASLDEWLEGTAAYSGSLSNKSKKKK >KGN61157 pep chromosome:ASM407v2:2:4670336:4677984:-1 gene:Csa_2G060380 transcript:KGN61157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGEKVAASSGPSSGSVDVVKGINGLDKVLLRDPRGSSAEVYLYGAHVTSWKNDHGEEMLFVSSKAIFKPPKPIRGGIPICFPQFSNLGPLEAHGFARNKFWSIDNNPPPLQTNTTSKTFVDLILKPSEEDTRVWPHSYEYRLRVALGPAGELSLTSRVRNTNADGKPFSFTFAYHTYFSVSDISEVRVEGLETLDYLDNLQSRARFTEQGDALTFESEVDKIYLSTPSKIAILDHEKKRTFVVRKEGLPDAVVWNPWDKRAKAISDFGDEEYKYMLCVEAAAIEKPITLKPGEEWRGRLELSAVPSSYCSGQLDPQKVLHGI >KGN62478 pep chromosome:ASM407v2:2:16663528:16664008:-1 gene:Csa_2G355040 transcript:KGN62478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDTAIRYKDNLKKFFTDIRDDIKPRYLPIIVVKIALYDFFRPHDTHNLPAVREAQEAVSKELPDVVAIDSLKLPINYTTNEGINLDHGHFNTTTEITLGKWLAETYLSHFGQLL >KGN60969 pep chromosome:ASM407v2:2:3126717:3136454:1 gene:Csa_2G032750 transcript:KGN60969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTKRLISSGTTPSEAEPPRLFASASHSFRQCVESARVFEELPIVSIVSVSRPDTGDISPLLLSYTIEIQYKQFKWRLVKKASQVLYLHFSLKRRAFIEELHEKQEQVKEWLHNLGIVDHPAVVHHDDESDDGAFSLHDEQTTRNRNVPSVAALPIIKPALGGQRSISDKAKLAMQGYLNHFFGNLDIVNSREVCKFLEVSKMSFVREHGPKLKEGYLMVKHLKQVTGSDSSIKCFACHWCSCCMYNWKKVWAVLKPGFLALVADPMDSKLLDIIVFDVLPMLEEKEGSQACLSYHVKERNPLRYSFKVRRGDGDIRFRTTSTAKVREWVSSINDAGFGAKDGWCQPHRFGSFAPQRGLSDDESQAQWFIDGRAAFEAIACSIEAAKSEIFITGWWLCPELYTRRPFHNHSSSRLDALLETKAKEGVQIYILMYKEVPIALKINSMYSKKRLLNIHENIKVLRSPDHMSTGIYYWSHHEKIVVVDHHICFIGGLDLCFGRYDTMEHKVSDFPPYTWPGKDYYNPRESEPNSWEDTMKDELEREKCPRMPWHDVHCALWGSPCRDIARHFVQRWNHAKRNKAPNEEKIPLLMPQHDMVLPHYMGKRTELSFKNTDSEQDHQKQTTDDHFSSLSPQDDIPLLMPQETGGLPDSNEQTNNLSNHNSFNQPMEIGRSGTGSFQAYNAEPWTQFEQTNGLLDEFGFLDEFGAFGHLREATFDTPPYMKNSNDWLETERKSNHVAINEVNEIGPLTSSNCQVIRSVSQWSAGTSKPEASIHAAYCSAIQEAKHFIYIENQFFISGLSGDETIQNRVLDALYQRIWLAHKEKQCFRVIVVLPLLPGFQGGVDDNGAATVRALMHWQYRTISWEKTSILYRLNLLLGPKTQDYILFCGLRSYGRLFDGGPIATSQVYVHSKLMIIDDCITFIGSSNINDRSLLGSRDSEIGVIIEDKEFVDSSMNGKPWKAGKFAHSLRCSLWCEHLGLHLREVCQILDPIVEATYKHLWLATAKENTTIYEEVFSCIPNDNINSRSSLRQSLADLKVKLDHNTLDLGIAAEKIESHENGEVKMIDPMERLKCIRGHLVCFPLKFLWQEDLRPGFIESEFYAAPHVFH >KGN62445 pep chromosome:ASM407v2:2:16516272:16520016:-1 gene:Csa_2G354710 transcript:KGN62445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCACSGEQFKFEEPPQSPESLATRDFSASCLSSRTGDWDLKFEDSQVDEVESTLREALSLNYEEARALLGRLEYQRGNFDAALQVFQGIDIKSLTPRMVKAITEKTREEKPRPKGDSTAPPSGVMSMHSVSLLLEAILLKAKSLEELGRYIESAKECRIILDTVESALPNGMPECIGEDCKLQEMFHRALELLPTLWTNGGCLDEAINAYRRVLVKPWNLDPNKLAAIQKELAGTLLYGGVEASLPLKFHVFGPRTPKNNVEEAILLLLILVRKVAMQEINWDPEIMNHLTYALSITRQFELLAEHVERILPGIYSRAERWYFLALCYNAAEQNEAALNLLTKVCGSSEVNHKPHFHSFLLLAKLCSEDTKYARDGIKFAHIMMNMASEQSKHFNPEAHKYLGICYGNAARASVSDSERTLFQKESLNSLRISSLSRRHDPEVMFNISLENAVQRNLDVAFYSAMAYSNMVADGSGRGWKLLTLILSAEKRLMDAETIVDFALDEADRMDQLDFLRLKAVLKIAQEQPKQAIETYRILLALIQARDEHQLRTKNFDQSKDLELEAVAERNLERAAWQDLAAIYSKLASWADAEICLNKAKSLDFHCPRGWHTTGKYFEARSLHKEALVSFSVALSIDPDYIPSIISTAEVLMKCGNQSLPIARSLLMNAVRLDPTSHEAWLNLGMLSKMEGLLLQAADFFQAAHELQLSAPPQSFV >KGN62802 pep chromosome:ASM407v2:2:18666831:18668584:-1 gene:Csa_2G373450 transcript:KGN62802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPEYFQINAYSSQFSSPDDADATTTAAAAAAPDHFIVEELLDFSNNEDDAVLTDSGGGGGGGGGGGGGGLFYNNNNTSTNDHNNNNNSTESSAVTVMESCNSSSSFFEDISGSNLGDAHFSSELCVPYDDLAELEWLSNFVEESFSSEDMQKLELISGVKVKSDEPPTQSPQPTATRSAAAIFKPEIVSVPAKARSKRSRALPSNWNNSALLPLSSPTAESETTPPIEQPHPIKKTLPKAAATAKKKDSPDLGFSSGEGRKCMHCATDKTPQWRTGPMGPKTLCNACGVRYKSGRLVPEYRPAASPTFVLTKHSNSHRKVLELRRQKEILRAQQQQPQHLLLDHRQDMIFDASNGDDYLIHQHVGPDFRQLI >KGN60791 pep chromosome:ASM407v2:2:1918530:1919742:-1 gene:Csa_2G010270 transcript:KGN60791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAADSVIISDAPAAAVAQPAENDSKAKKAAASKASKAKKPSGAKKARSSPTHPPFLQMISEAIVSLKERTGSSQYAITKFTEEKHKQLPSNFRKLLLVHLKKLVAAEKLVKVKNSYKLPSARSIQAKAAAAAAAPVTAKKPVSSKLKAASIKKAAVAKSKAKTAAKPKPKAVAKPKPKTVAKSKTVAKPKTAAKTKAAEKVKKVAPKPKPAAKAAKVAAKKPKTVKSPARKVQARKVKK >KGN61617 pep chromosome:ASM407v2:2:10225213:10225766:1 gene:Csa_2G190740 transcript:KGN61617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSHPPTSPAATPSRLQRRAPASLQINRSDWKVAIPLLSPLVSPSSSPKDIHSWSTPDSRQPSSTTSSSSHHHHLLHHHHHHKESDPEKAPVFKKWQHPAAPFHYDSGARAPRFVPV >KGN62130 pep chromosome:ASM407v2:2:14452110:14452760:1 gene:Csa_2G301480 transcript:KGN62130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTAVTFCLQAWVIEKKGPVYLAMSTPLALIITAFFSAIFLGESITLGSTLGGMLLVGGLYFVLWGKCKEQTISEALKEDTKEGNMEEGKYITKSDNENSHKMFEFTSRI >KGN62432 pep chromosome:ASM407v2:2:16451828:16452790:-1 gene:Csa_2G354090 transcript:KGN62432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPFRGQGGIQMLLTAEQDAQQVVSTARNMKMARLKQAKDEAEREVAHYRAHLEAEYQKKVSESSAGSYTQRLEEETNVKIDNLKESSARVSKDVVNMLLQYVTSPRT >KGN60527 pep chromosome:ASM407v2:2:194780:199611:1 gene:Csa_2G000360 transcript:KGN60527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECMGLTARNFAAMAASYTSNLPSTGTRRLRLLCRTESLQFRLRRPSVFVMASAGSESCIAVREGFADDEDYIKGGGSELLFVQMQQNKTMEMQSKLADELPPISVGNGVLDLVVIGCGPAGLALAAESAALGLKVGLIGPDLPFTNNYGVWEDEFKDLGLEGCIEHVWPDTVIYLDDKDPVLIGRAYGRVSRHLLHEELLKKCIKSGVSYLNSRVDSITETATGHSLVACEHDIFVPCRLATVASGAASGKLLQYEVGGPKVSVQTAYGVEVEVENNPYDPSLMVFMDYRDYAKQENSSLEAQYPTFLYAMPMSPTKVFFEETCLASKEAMPFDLLKKKLMSRLKTMGIRIVKTYEEEWSYIPVGGSLPNTEQKNLAFGAAASMVHPATGYSVVRSLSEAPKYASVIAKVLKQGQLKDGLASGRNYGNISMQAWNTLWPRERKRQRAFFLFGLALIVQLDIEGIRTFFRTFFQLPDWMWQGFLGSTLSSGDLALFALYMFVIAPNDLRMCLVKHLLSDPTGATMIRTYLTVA >KGN62809 pep chromosome:ASM407v2:2:18691589:18696272:1 gene:Csa_2G373520 transcript:KGN62809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDLPRNPLTTRSFQLPLPIAHKTPIKLTLTTRHPSQPAKGSGGKSIEEGKDAIGADGDRDFVNPGIGFETKVLPESKDEVGKEEEVGIVGVGGMDEFDSGGKNGDKGPVAEDEGTTTPLPEKVQVGGSPLYKVERKLGKGGFGQVYVGRRLGPMSLNERSGPGAVEVALKFEHRSSKGCNYGPPYEWQVYNALGGSHGVPRVHYKGRQGDYYVMVMDMLGPSLWDVWNNNAHTMSIEMVACIAIEAISILEKMHSRGYVHGDVKPENFLLGPPGTPEEKKLFLVDLGLATKWRDSSTGQHVEYDQRPDVFRGTVRYASVHAHLGRTASRRDDLESLAYTLVFLLRGRLPWQGYQGENKGFLVSKKKMATSSETLCCFCPQPFRQFVEYVVNLKFDEEPNYARYISLFDGIVGPNPDIRPINTDGAQKLIFQVGHKRGRLSMEDEDDEQPKKKVRMGMPATQWISVYNARRPMKQRYHYNVADMRLSQHIEKGNEDGLFISCVASCSNLWALIMDAGTGFSAQVYELSPYFLHKEWIMEQWEKNYYISAIAGANNGSSLVVMSKGTQYLQQSYKVSDSFPFKWINKKWREGFYVTAMATAGGRWAIVMSRGAGFSDQVVELDFLYPSEGIHRRWDNGYRITSTAATLDQAAFVLSVPRRKPADETQETLRTSAFPSTHVKEKWAKNLYIASICYGRTVS >KGN60799 pep chromosome:ASM407v2:2:1956086:1956283:1 gene:Csa_2G010350 transcript:KGN60799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKVLCPYSFRQMFEASIYAKRQKRSAFRHRETEASATSSPTSYFCYVLSRFALVDRLIPNCSN >KGN61524 pep chromosome:ASM407v2:2:9176181:9180039:-1 gene:Csa_2G155100 transcript:KGN61524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKSKHSQKLTLPERERRKNERTINTHSHRDRVFKPQNYANPRTEVWNLRQMSIQFLFFSLSFLILFPHSSSSSSSSNHEASLLFSWLHSSNSPVSPLFSNWNVLDSSSPCNWSFISCSSQGFVTEINIISIPLHLPFPSNLSSFHSLQRLVISDANLTGPIPSDIGDSSELTLIDLSSNTLVGTIPSTIGKLQKLEDLVLNSNQLTGKFPIELTDCKALKNLLLFDNRLSGGIPSEMGRMGNLEIFRAGGNRDIIGEIPEEIGNCRNLSILGLADTRVSGSLPNSIGRLQKLQTLSIYTTMISGEIPPELGNCSELVNLFLYENSLSGTIPKEIGKLKKLEQLFLWQNELTGTIPPEIGDCVSLKKIDISLNSLSGAIPLTLGGLSLLEEFMISSNNVSGTIPLNLSNATNLLQLQLDSNEISGLIPPELGMLRKLNVFFAWQNQLEGSIPWSLSNCSNLQALDLSHNSLTGSVPPGLFHLQNLTKLLLISNDISGTLPPDVGNCTSLIRMRLGSNRIAGEIPNSIGALRSLDFLDLSGNHLSGFLPAEIGNCRALEMIDLSNNALKGPLPESLSSLSQLQVLDVSSNQFDGEIPASLGQLVSLNKLILARNTFSGTIPTSLKLCSSLQLLDLSSNQLTGNLPIELGLIQSLEIALNLSCNGFTGTLPSQMSGLTKLSVLDLSHNRVDGDLKPLAGLDNLVVLNISFNNFTGYLPDNKLFRQLSPTDLAGNIGLCSSIRDSCFSTELSGKGLSKDGDDARTSRKLKLAIALLIVLTVVMTVMGVIAVIRARTMIQDEDSELGETWPWQFTPFQKLNFSVEEVLRRLVDSNVIGKGCSGMVYRAEMDNGDVIAVKKLWPTMMATDNNYNDDKSGVRDSFSAEVKTLGSIRHKNIVRFLGCCSNRNTKLLMYDYMPNGSLGSLLHERNGNALEWDLRYQILLGAAQGLAYLHHDCVPPIVHRDIKANNILIGLEFEAYIADFGLAKLIDNGDFGRSSNTVAGSYGYIAPEYGYMMKITEKSDVYSYGVVVIEVLTGKQPIDPTIPDGLHIVDWVRRNRGDEVLDQSLQSRPETEIEEMMQVLGIALLCVNSSPDERPTMKDVEAMLKEIKHEREEYAKVDVLLKASSSPANGGQLENNKSSNNNNNNNSNNNNNNVSGVGIATSSSKMSTRSLLPKSTNTSFSASSLLYSSSSSNGRKS >KGN63346 pep chromosome:ASM407v2:2:22474507:22485026:-1 gene:Csa_2G431150 transcript:KGN63346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVLVSPQGQKQNVQTSFRKSSSMSSQKDLWLIVHEGSLADVDSALAVLKRNGGNINARNTFGLTPLHIATWRNHIPIVRRLLAAGADPDARDGESGWSSLHRALHFGHLAVACILLQCGASITLEDSKCRTPIDLLSGPVLQVVGGEPCSVATELFSWGSGTNYQLGTGNEHIQKLPCKIDSLHGSSIKLVSASKFHSVAVSACGQVYTWGFGRGGRLGHPDFDIHSGQAAVITPRQVIFGLGSRRVRAIAAAKHHTVIATEGGEVFTWGSNREGQLGYTSVDTQPTPRRVSSLRSKIVDVAAANKHTAVVSESGEIFTWGCNREGQLGYGTSNSASNYTPRVVEYLKGKVFARVAAAKFHTICLGVDGEVYTWGHRLVTPRRVVIARNLKKSGNTPLKFHRMKRLHVVNIAAGMVHSMALTDDGAVFYWDSSDADLRCQQLYSLCGRDVVSISAGKYWIAAVTSIGDVFMWDGKNGKDKPPAATRLHGIKRATSVSVGETHLLIVGSLYHPAYHVNGNKISKNHSSSGMYELHELDEDLMFHDIDSATESSASPKVATELHCVPSLKSLCEKVAAESLVEPRNAIQLLEIADSLEANDLRKHCEDIAIRNLDYIFTVASQAIANASPDVMAKLEKLLDLKSSEPWSYRRLPTVTATLPVIINSEEEDSENEILRSRENHLMSNMTKEMERSSDSFFHEGNQNEAISKQIRALRKKLQQIEMLESKQSCGYLLDEQQIAKLQTKSALESSLLDLGVPVVNLLEKLSLMAPEDKGNKNTVASKKHRRRNKCKLEPLETSAGFTKSAVEPDHIEGSCNVEMLSVVKNKEDNTIFEETANNTNTLEPSTCISMKSNSSLVKNADLSKDKNSYTTAVKKKKNRKGGLSMFLSGALDDMTKDVAAPPPPPPPKMEGPAWGGAKVAKGSTTLREIQDEQRKTIGKQMSESKDQADLLDCKTEGKIRFASFLSSKPIPVVPSQAFQATDGERNTPPWSASGTPPPSRPSLRDIQMQQKGKQQVLSNSPKVRTAGFSITSGQGSPSDSSGINRWFKPEVDTPSSIRSIQIEEKAIKDLKRFYSNVKIVKNPS >KGN61343 pep chromosome:ASM407v2:2:7125382:7132762:-1 gene:Csa_2G093850 transcript:KGN61343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSSYQRFPKVKIRELRDDYAKFELRDTDASMANALRRVMIAEVPTIAIDLVEIEVNSSVLNDEFIAHRLGLIPLTSERAMSMRFSRDCDACDGDGQCEFCSVEFHLRAKCHSDQTLDVTSKDLYSSDHTVVPVDFSDSAAATGEALDTKGIIIVKLRRGQELRLRAIARKGIGKDHAKWSPAATVTFMYEPSIHINEDLMETLTLEEKRTWVESCPTRVFELDTVTHQVMVVDPEAYTYDDEVIKKAEAMGKAGLVDITAREDSFIFTVESTGAIKASQLILNAIDILKQKLDAVRLSDDTVEADDQFGELGAHMRGG >KGN60757 pep chromosome:ASM407v2:2:1674137:1677296:1 gene:Csa_2G009450 transcript:KGN60757 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium ion binding protein MFSSTFLVSKSSKPICRVIPSQHLTVRFLDNQLGGSLGSPSTSPLFSSSSSSSITSQQTGCGGGENRRSFVFDFLIKLISSGILIGGVGSLLSSNSSASNSHLSFADFPKETTWTTVKEDQFQYPSSPNHQNINPKKKSKFLFGDDYRRRVFFNYEKRIRIQSPPEKVFEYFASIHTPEGEIYMRPSDLMRAIVPVFPPSESNRVREGFLRGERRMSGELCCAPSTFFMLFDTNNDGLISFAEYIFFVTLLSIPESSFSVAFKMFDIDNNGEIDREEFKKVMGLMRKQNRQGAHHREGRRFGMKVSVENGGLVEYFFGQDGKASLHHDKFVQFLRQLHDEILLLEFSHYDFKSQGSISAKDFALSIVASADINHIDKLLDRVEALNKEPHFKNIRITFDEFKDFAELRKKLESFSLAIFSYGKVNGELTKQDFQRAASHVCGVSITKNVVDIIFHIFDANEDGDLSSDEFVRVIQRREVNSTQPAVGAGGLLSCWFGCAAKCSYAKLFVRS >KGN60818 pep chromosome:ASM407v2:2:2071649:2077012:1 gene:Csa_2G011510 transcript:KGN60818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDREAFKKKIRSYVDQVRLYEDPKSQESARKTVPVDELQEKAIVSLAKEGKYEPSKQEQDHAFLLQLLFWFKQSFSWVNAPSCEHCGDTTTYQDMGNPLPSELQFGGYRVELYGCNSCQKVTRFPRFNNPVKLTETRRGRCGEWANCFTFYCRVFGYESRLILDLTDHVWTECFSHLLGRFMHLDPCEAVYDQPLLYEKGWDKKLNYVIAISIDGVRDVTKRYTRKWNEVLSRRNIITEEILSNMLAEITRQCRSTFTSQLLSELEDRDEKENQARERNTHTIDDSSVILPGRQSGDKEWRKSRLEIASDEEGSLSSSACSVRNCVDEHVTRIYSAFGCILSQLPDEEFSKSATFEVLSFIRGIVTDLKKSAFRTRTALVDSYLDETKAFSHRLFPSLKCFLGVLSLDSNLDNDGRVEIWLAKEPVYTSLALPVALDALEEVIQDVNKCDNFGRAFLCLPRLKLNRIHSGSVLASGEELPFGIATSAFDGIRSSKWEEPNGAKGCWIMYKVFDNKMEELAAYELMSANDAPERDPMDWIVEGSEDGGSSWHLLDEQTNQIFDNRFQRRSFFVTKTGLLSNTFRFRFLAVRDGEATSRLQIGSIDLNKEMANGFYGNCMQR >KGN61924 pep chromosome:ASM407v2:2:12880380:12881215:1 gene:Csa_2G270170 transcript:KGN61924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAFKMATTGMWVSDECKNSFMEMKWKKVHRYIVFKIDEGSRLVTVDKVGGPAESYDDLTASLPNDDCRYAVFDFDFVTVDNCRKSKIFFIAWSPTESRIRAKILYATSKDGLRRVLEGIHYEVQATDPTEMGIDVIKDRAK >KGN62125 pep chromosome:ASM407v2:2:14386811:14390226:-1 gene:Csa_2G299950 transcript:KGN62125 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cinnamyl alcohol dehydrogenase-like protein MAKSSPEDEHPIKTLAWGARDSSGLISPFPLSRRENRDEDVNIKILYCGVCHSDLHAAKNEWGFTSYPVVPGHEIVGVVTSVGNNVKKFKAGDQVGVGVIVESCKSCENCEEDRENYCPKLVYTYNAHLHDGSKTYGGYSDKIVVDQRYVLRIPENLPLDGTAPLLCAGITVYSPMKYYGMNEKGKHLGVVGLGGLGHVAVKIGKAFGLKVTVISTSPKKKAEAISKLGADSFLVSTDPEQMKAAAETMDYIMDTVSAVHALAPLLSLLKLNGKLITVGLPNKPLELPISSLVVARRMVGGSNFGGLKETQEMLDFCAEHNIAADVEVIKMDDINNAMERLAKSDVQYRFVIDIANSLK >KGN61820 pep chromosome:ASM407v2:2:12141236:12142412:1 gene:Csa_2G249260 transcript:KGN61820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSGTSSTSSSMEEGELAALMEQRKRKRMISNRESARRSRMRKQKHLDDLMAMVTQLKKDNQQIVANLAVTTQHYAAVEAENSILKAQAAELSHRLQSLNEILAFLNPSDGVFDDDTYGCNGGGDGPGGGGGFFNPLQMAFHMSQPLIASSDVFQEY >KGN62254 pep chromosome:ASM407v2:2:15445154:15448254:1 gene:Csa_2G345950 transcript:KGN62254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASRFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGSTVNLGLWDTAGQEDYNRLRPLSYRGADVFILAFSLISKASYENVAKKWIPELRHYAPGVPIVLVGTKLDLRDDKQFFVDHPGAVPISTVQGEELRKVIGAPAYIECSSKTQQNVKGVFDAAIKVVLQPPKSKKKKKKSQNVCSIL >KGN61908 pep chromosome:ASM407v2:2:12760152:12760484:-1 gene:Csa_2G264030 transcript:KGN61908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNDPLLTKIHSRAWGRSNLLCLRLAQSLLVLHVGVTLLSVSLAWCHSRPSSVGLTLPPPIFKSLSKKFLAHACCAGVEEEVGESSCVKTKEEEARENDEDWLDKREKKS >KGN63423 pep chromosome:ASM407v2:2:23130626:23130867:-1 gene:Csa_2G442270 transcript:KGN63423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKQSESGKCEEMEKLCRICNRPYLPSSNSSSSCRFHPSFFVCRRHDDQKR >KGN62181 pep chromosome:ASM407v2:2:14793965:14795965:1 gene:Csa_2G315400 transcript:KGN62181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFALSNYTLFSPRPSSPFLSSSSKTLDSSSSFHQPLPLLHNPRHRSRLYDPLFSFSPTSSSSPLTRPFASCLSAHNSVANVNNEEDDGKAMEKDGYKFDGSGLQTLIEVYREAFLDGDQKTVSEVEARIKIIGREKDELSRKLMNISTEMTSGKEKYIRLQADFDNFRKRSEKEQHTVKNNAQKEVLESLLPMIDHFDKARQQIVPQTDKEKKIDISYQGIYKQFVETLRSWRVSAVATVGRPFDPSLHEAVAREESQEIKEGIIIQELRRGFLLGERLLRPARVKVSKGPGRKSSRTVDGEQQPAAAAVVDEH >KGN62051 pep chromosome:ASM407v2:2:13813081:13816637:-1 gene:Csa_2G292810 transcript:KGN62051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKRGSKRTVICISSSSSSDADEEESEEYDDEDDGVGDSEEEFDDKDDDEGESGEEFDNEESDNGCDEVLSKRVIRFLKENKNLDSLTLNDCKAYLRESRLRIAGTKAVCIQRVKEHWRLKNGNGEVQYPKSSFVVNCTGDVCRGDTVLFTQKVYAKFDKVTRHGGLIGKRTVAGRVVKESYGASKQQHTFTVEVLWSRGVRKLRPLYPLLVKGRNLYKLRTFRLLWNDEAERVQALAEKHRRGVAARGLRAMQKKKRKTIQTKGCAENQGHVHLARQPLKSKEKRQRMPSRDNNNVVRRHST >KGN62829 pep chromosome:ASM407v2:2:18844642:18850907:-1 gene:Csa_2G375200 transcript:KGN62829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNSVVARATSDMLIGPDWAMNIEICDMLNHDPGQAKDVVKGIKKRLGSKNAKVQLLALTLVKMVKKKPDFRVKEKILILIDTWQEAFGGPRARYPQYYAAYQELLRAGAVFPQRSESSAPVFTPPQTQPLASYPPNLRNPERNQQDGAETSAESEFPTLSLTEIQNARGIMDVLSEMLNALEPGNKEAIRQEVIVDLVDQCRTYKQRVVHLVNSTADESLLCQGLALNDDLQRLLARHESISSGNPVVQKPKSESATTLIDVDRPLIDTGDNSKQPETNAATSNTGEGSQTLNQLLLPAPGAANGPAPAGRVDPNVDLLSGDFNSPKAETSLALVPLGEQQQQPNPPVSDQNALVLFDMFSDSNSASNPANPPPVNPGAQPLHPHGSQLQQQQQQQQVHSPQAGMYPNGNVMNMGSPNYEQSMYMQGVGSAWNGQTPPQQQQQPHSPGYGSQIGSLPPPPWEAQSSDDGSPVAGSHYSQPMQVTTQVIVSHGLGGHPQGPQSMGNEVVGIGMYIQPITSGQMSNMNSHVNPNHQLGMPMPPQQIPGMQNMGMPMPQQHPQANQMTQQYYPQQMYGNHNQYNPGYGYGHGQPQMPQYLEQQMYGLSVRDDMSVSNSSSQASALSYVPPMKPVNKPEDKLFGDLVDIAKFKPAKSTPGRAGSM >KGN62753 pep chromosome:ASM407v2:2:18293081:18297936:-1 gene:Csa_2G370520 transcript:KGN62753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKSSRQSKHSGEADVSSSSVTSATDSKDYEKRILELTRENEAFQKEIKDLKDRLEIATSSAANSAKKLREGYIQKLDVLEDQVTELKKKLDVQSQLSTRRPKGEAATKQTDLEIVSLKAQKVQLQCKMKLESVQFRLHKGSLEKEILQLKRENRRNQHEMNKILTANQRLKMVLQRKTEEASEVTKRMRNLLESRRTSAQRRAGAKHGNITSTQYVENEFEVTARLHELCSQYESQIEEKDKEIAKLQEEADALQQEKSGYPSQETDVNILENDQDMNELKEQMVILSGLFNQMQIQKVNKIHMDTSKDGSAQTSSASVGSNNLLEEFDAAGQAHQSGFDAVTKRSAKAECCSCSKKSLCKTTKCKCRSAGRSCGTLCGCTVGKCSNRSNRDEKPGEMKPLSDIRNVLTT >KGN60751 pep chromosome:ASM407v2:2:1625122:1633972:-1 gene:Csa_2G009390 transcript:KGN60751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNFIGEATSCGEADGSETTIEIKLKTLDSQIYTLRVDKQMPVPALKEQIASVTGVLSEQQRLICRGKVLKDDQLLSAYHVEDGHTLHLVVRQPLPPSETLPNRPETDPNSSTSRVHSNRVAPGVVIETFSMPVQGDGMPPEINRIVSAVLSSIGLSNSVTGSDGMDVVREIDQQRSGERVIAAGMIDLNQHQSGDNGSRPLSDRFHGTSGHPSIPSLGSFPPPVIPDSLTTLSQNLGNMRRDFENIGRVGGNNAQETNIHGDEESSSNSSSRPSTTQESFPTPASLAEVMLSTRQMLTGEVSECLLQLARQLENHRNVTDPTLRMNTQSSAWRSGVLFNNLGAYLLELGRTMMTLRMGQNPSEAVVNAGPAVFISQTGPNPIMVQPLPFQQNASLGPVPMGTMQPGSALIHGLGSGFLPRRIDIQIRRGSPTTASNGNPEERSGAQQTSGQQEAARGAGENSTNQATTRVVEGPSVGGESGVRVVPIRTMVAALPGPFSRLPSNSSGNSFGLYYPVLGRFPHPASGNARAERGSQASSERQSTGLQSEQHTILESVVEQQNVEDAARDGGAQGTLESERQVPSNVVQFLRTLFPGGEINIEDASFQEISGSIPAHHSMASSSIANVQESESRTTDEGMFLSNIFHQIMPFTAQGGNEPDMPSVEANASERQNTPDSSAQASNRDAETSRRRGDSEAGAPSSKRQKKD >KGN61035 pep chromosome:ASM407v2:2:3526253:3527734:1 gene:Csa_2G035350 transcript:KGN61035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRHSCCYKQKLRKGLWSPEEDEKLHNYITIHGHGCWSSVPKLAVLSLVVILYFVAMFNVFYQIRWSQIAAQLPGRTDNEIKNLWNSCIKKKLKQKGIDPNTHKPLIEKEIINNSDNNDNIIITSDDKKSHEKTFPIEEVVPPTNSTTTTTTRKSIESCFDMSTTTTSTTSCNFSNFHQLNDGSSHMDLPIIQNNNTNNASTPFEAAISNLFFPSPNSCGVAHVRPSINLIPSENNPSSTVSSTSEVVAHNNNNNNNGFLWHSDDQFLQTASILMRNDWHHHQINHRHTTLQAEILGQPTFPKY >KGN61323 pep chromosome:ASM407v2:2:6758062:6761674:1 gene:Csa_2G083700 transcript:KGN61323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYIPPHKRHSRDMENTSPTPESLSSQFNRKLNLKRKFNGKLTITYAEGAISKWFVIGSSDDGNQFLPCVHLEPFSVPSIELKWGEKPLALLNSSVSQGNREEEEETETGPWESIIVNLLPELLSSVEHIKNELYQHDGVKPKLVARVGKVLFHGISKIDRNELPTERTLRQLKGLFYTSVSDTYMENRTERVIPLIGLEFEVEKDIYIVKVSDEERPSVTLSCKCIALPHSNNLKLYKVEINQVRHMVGDISCLKQNVDMRLMLYSKKNLQKLTDDEMEGIVGLINSAVLDQDMMGGLRWPLGKATSGNRFRVVEVWHTVSKYYVNPFLRLQLRNANRYDLSTSIGEASKEVTLNLKHVTFELLVSIFLTVSMNMIFAPKKMMLYL >KGN62519 pep chromosome:ASM407v2:2:16906855:16907046:-1 gene:Csa_2G358890 transcript:KGN62519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQALSSTGFMINSFQKAFYGMAFADKDGDGFCDENELEKLVDHVMRFNNAKQRQDDKSSRSTK >KGN61806 pep chromosome:ASM407v2:2:12035981:12040151:-1 gene:Csa_2G248640 transcript:KGN61806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGIGGEAASAAGPLSQWQHDVSRLYQYYLDKITPHAVYRWIGTLFIVAIYALRVFYVQGFYIVSYGLGIYILNLLIGFLSPLVDPEMEVSDGPLLPTKGSDEFRPFIRRLPEFKFWYSFTKAFCIAFVMTFFSIFDVPVFWPILLCYWIVLFVLTMRRQISHMIKYKYLPFSFGKQKHGGKKPSASSVVTSDD >KGN62242 pep chromosome:ASM407v2:2:15348264:15358135:1 gene:Csa_2G338860 transcript:KGN62242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQPGQSLILDKLFEEAWVNLVHSWLWGEDVYILNVSCKPSVSDDEVESLLPAAAYALAKIHMHLVHSGFCYTARGAFCYSEDDIFDFRTDDGQDVDGLPNEGVEITCFHMDGAHYMIYTPSDPLLFVAIKDKLGQLTIADDELLEDPAIISAIDEETEFNALVEEEAALLESVLGKE >KGN62514 pep chromosome:ASM407v2:2:16892894:16893689:1 gene:Csa_2G357860 transcript:KGN62514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSRSQLKLTRDEVREILEEHDVDGDGSLTKQEVMQALNSMGSMMSFQKAHYGVSHADKDGDGKVDLGEAEMENLIDYVMRFQTPRAPKTPKVPKVPAQKPCHDGKSIV >KGN60721 pep chromosome:ASM407v2:2:1431615:1431953:1 gene:Csa_2G008120 transcript:KGN60721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGGGEGMEKRVLRGNTLKLYAENCGMKEKEKEGMVESGFAFSCFERMRMFVEEDSNLLQLHESSNNSNPMTL >KGN61550 pep chromosome:ASM407v2:2:9594363:9594828:1 gene:Csa_2G169740 transcript:KGN61550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLDYHDTNFANFNALGFNDGAMTSSQQTDSGAPSERVLFCKKSSSLPLPATADLPAARFPLSCHSLCHPRTHRRSLHQALISVVLLAYSETETVVQLLTPGVRGRAAAHS >KGN62703 pep chromosome:ASM407v2:2:17945855:17949375:1 gene:Csa_2G369040 transcript:KGN62703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISSTSLIPTKSFVGSQSIFPSSKLHHCSLYSNDQKPIRIVAQASESANKPVVSDPPAEKKSVASSVSAVTNSTVKTPQKLGSEKWTPDSWKSKKAHQLPEYPNQEELQLVLHTLEAFPPLVFAGEARSLEERLAEAAMGNAFLLQGGDCAESFKEFNGNNIRDTFRVLLQMGAVLMFGGQMPVIKVGRMAGQFAKPRSDSFEEKDGIKLPSYRGDNINGDAFDEKSRIPDPQRLIRAYCQSAATLNLLRAFATGGYAAMQRVTQWNLDFAEHSEQGDRYQELAHRVDEALGFMAAAGLTIDHPIMTATDFWTSHECLLLPYEQSLTRLDSTSGLYYDCSAHMLWVGERTRQLDGAHVEFLRGVANPIGIKVSDKMNPSELVKIIEILNPHNKPGRITVITRMGAENMRVKLPHLIRAVRRSGQIVTWVSDPMHGNTIKAPCGLKTRPFDAIRAEVRAFFDVHEQEGSHAGGVHLEMTGQNVTECIGGSRTVTFDDLSSRYHTHCDPRLNASQSLELAFIIAERFRKRRIKLQTSLPSSGL >KGN61422 pep chromosome:ASM407v2:2:8025333:8026337:1 gene:Csa_2G120390 transcript:KGN61422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGIIWATAEDLARNRGRVISLYRQILRSLNSPNLPLSFAARLAKKAEVRAIFMLASEERSLHNIEDLIDTAEYSLSLLRKGEIPKYIQ >KGN61932 pep chromosome:ASM407v2:2:12943973:12949094:1 gene:Csa_2G270740 transcript:KGN61932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIKTKQRDYEQQDAEAMGTEEDDLDLLLSLQDKVLETPPGTPPHTSDLLSDDESPRRAGPADMSIFRNAVKDCLDYDHIPTEKNGKTNRSKASNDVSIEKFSGLRMRNQVVAPAELRDRFSDIRFVRLSTIKNMLIGDTLSGCWVTVGVLTEKGSPKTSSTGKAYCIWKLSCLDENTVSVFLFGDAYKRNCKELAGTVFALFNSTVRKDATGMGFSLSVYQPNQLLMMGTSDDYGVCKGKKDGIACTAVINRRRGIYCKYHKSKASEKYSTTTRTELKGGNLRTAFRDYHHKPEGVYMVDPLAGKVTSKKPTQPIKLLSVEGLKRALSNADKVTTNAHSQGKRFLAEITGKLTSQSVNKESTKRSQQRINSEKTSILKSTVENQQPDPKRKKTDHTPANKTTKDIGKMIELDYVSSEDDDDDINLIFGR >KGN62693 pep chromosome:ASM407v2:2:17884014:17885959:1 gene:Csa_2G368940 transcript:KGN62693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKCFFFSIVETKNWFHRYSFTKSGLRSTITDLKDGTTVHCWVPKNPIHTKPNLLLIHGIGANALWQWGDFIPALIPYFNLYIPDLIFFGDSFTTQPDRTEWFQAQCLIRVMEANTVGKFSLVGLSYGGFVGYSIAALRPEMVERVVICCSGVCVEEKDFKDGLLKVSALEDATAILVPQKPEKLKQLVGYSFFRPPPLRLIPSCLLNDFIESMCLDHIEEKRELIRTIPRGRKLSDLPKIQQRTMIMWGEHDQVFPLELGHRLKRHLGDNATLVVIKNTGHAFNSEEPKEFLSHLISFLVDP >KGN63047 pep chromosome:ASM407v2:2:20199350:20203803:1 gene:Csa_2G386150 transcript:KGN63047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGDDALTRKRNKASRKKLRSKSGDSSAVSARVASIIAAKKRRMSGKRRQCQGMCFSLPTPDDPYNDRNGKKDTKVKPSKSSKREFPKEKSTSAPNGTLRDVCFENARSSKEGSGGTLPSGRVAKRSKTDPERTKEYGNAKGSVQGFQEEDSESSVAPSKFLILCLSAIENALYHDSIKSINKPLFADTWGIEFWKCYSSGKDILDTSGLSSTDEKIAWVVSSAADSIARKEKEGSSFSSPFLLFLVPNQEKATQIRSMCKPLKALGVHTVSIHPGASLGHQIQGLKSCEPEFLVSTPERLLELVAMQAIDISGVSLLVVDGLESLSRGGYLDMTQSIRKSISSKLHTIVFSDSFSCAYVPFIQSLLGGPIRRLSLNTSVACQSACIIQSINFYTSEKEKLSKVIQALDRANGSQIRPQPLKMLFILGKECNVHDLAAALKFKGHDIVAGALCGVPEIKNNLKVDGKLRPVVAKTDIEQINTIDLGTYESIFILSAFPPIDKYVEILTGMARHTNNGVLHSFISKEEASVAGSLVEILEQCGQDVPKTVRNLSLTQATSHS >KGN61532 pep chromosome:ASM407v2:2:9292788:9297441:-1 gene:Csa_2G162150 transcript:KGN61532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYYFESGSVSSAKLVVVLLLLACLFNISHGLNQEGHFLLELKNNISDPFGSLRNWDSSDETPCGWTGVNCTSSEEPVVYSLYLSSKNLSGSLSSSIGKLIHLTYLNVSFNELTGIIPKEIGDCIRLEYLILNNNKFNGQLPSELGRLTSLVKLNICNNGIHGSFPEEIGNLKSLVELVAYTNNITGPLPRSFGKLKSLTIFRAGQNAISGSLPAEIGQCENLETLGLAQNQLEGDLPKELGMLKNLTELILWENQISGILPKELGNCTSLTVLALYQNNLGGPIPKEFGNLISLMKLYIYRNALNGTIPAELGNLSLAIEVDFSENYLTGEIPKELSKIEGLQLLYLFQNQLTGIIPNELSSLSSLTKLDLSINNLTGPVPFGFQYMPSLSQLQLFDNSLSGSIPQGLGRNSPLWVVDFSDNLLTGRIPPHLCRHSNLIILNLESNKLYGNIPTGILNCKSLLQVRLVGNRFTGGFPSAFCKLVNLTAIDLDQNRFSGPLPPEIRNCQKLQRLHIANNYFTSHLPKEIGNLVQLATFNVSSNLFTGPIPPEIVNCKILQRLDLSNNFFENTLPKEIGSLLQLEILRVSDNKFSGSIPRELKNLSHLTELQMGGNSFSGSIPSELGSLKSLQISLNLSFNMLTGTIPLELGNLNLLEYLLLNNNSLTGEIPSSFANLSSLMGCNFSYNDLRGPIPSIPLFQNMPLSSFVGNKGLCGGPLGDCNGDSLSPSIPSFNSMNGPRGRIITGIAAAIGGVSIVLIGIILYCMKRPSKMMQNKETQSLDSDVYFPPKEGFTFQDLIEATNSFHESCVVGKGACGTVYKAVMRSGQVIAVKKLASNREGSNIDNSFRAEISTLGKIRHRNIVKLYGFCYHQGSNLLLYEYMERGSLGELLHGTECNLEWPTRFTIAIGAAEGLDYLHHGCKPRIIHRDIKSNNILLDYKFEAHVGDFGLAKVMDMPQSKSMSAVAGSYGYIAPEYAYTMKVTEKCDIYSYGVVLLELLTGKTPVQPIDQGGDLVTWVKNYMRDHSMSSGMLDQRLNLQDQATVNHMLTVLKIALMCTSLSPFHRPSMREVVSLLLESTEPDEDHIPALTYNLAPNDVAAS >KGN62788 pep chromosome:ASM407v2:2:18593890:18597967:1 gene:Csa_2G372830 transcript:KGN62788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGTSFRPNLRWGFQIQTHSLKNSPSKLSPHASKGREAAFNSGRLSFFSSICEEGESIPRETLKTYTCLSEAPQTSANDLLNLEEIEMNSGAKSLGPMHYGIKSTRPSKVEDNFSSCTSLPTGKASPFGILMENLDVLEETFTESGMLSLERDIVLQLTKLGALEFFNTCLSRTLKTSSFHDSSGLPIEGGEDHNVNQKTNDQNDNVTVYSAKKAGRRSVKKRAMDNADKIASRLLTTRAVKEKIHRSTVFSRKKSSNSSKRRLIVAINEAEMSTGVKVVANLERIRETLEKESGRIASMSCWAEAASVDIKDLQKQLQFGSFCRDELLRSTNSLVVFLAKKYRCSGLPMEDLVQAGALGVLQGVERFDPKRGFRISTYIQYWIRKSMSRVVARNSRGIQIPRSLTKAINQIQKARKVLNHSGRRYSDDDIARATGLPLAKVRVASNCLKVVGSNDQKMGDGVNMKYMEFTADMSIQSPEETVKRKLMKKDIFNILQRLESRERQVLVLRYGLVDFEPKSLEEIGKLLHISKEWVRKIEKKAMTKLKNEETVKHLSHYLD >KGN63287 pep chromosome:ASM407v2:2:22030150:22030506:-1 gene:Csa_2G423670 transcript:KGN63287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSTQEWLELSLGLGSTGKEQLQKQEKEDEKRSRLYQEKLVICGTTSHEESEYVNLDLRLQIGPNNKSNYCNMGIRDFMLQHQSKKKHFHSDYSSIITNSAGLWFSLLSSPNRSNL >KGN63126 pep chromosome:ASM407v2:2:20775144:20778501:1 gene:Csa_2G404780 transcript:KGN63126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEESSSVEKSYESEELLPWRKQLTARAFVVSLFLSALFTFIVMNINLTTGLIPPLNVSAALLGYVFLKIWTKFHHKSLPFTRQENTVIQTCVVASVSVAFTGGFGSYLLAMSQRINELSEAKDNDFKNPSLGWIIGFLFIVSFLGPFLVLLFRKRMIMDFKLTYPSGTATAHLINSFHTSRGAKKQVRTLGKFFSFSFLWGFFQWFFTAGKDCGFNSFPTFGLKAYQNEFYFDFSATYVGVGMICPYTINISLLLGAILSWGLMWPLIEKKKGDWFSAELHASSFHGLQSYKVFFFIALTLGDGIYNFVKVLATTILHLFSELKKDVNAVSNPSLRSTSGVSFDDNLRTQHFLKELQIPSRFAIGGYVILAVISITTLPRFLPLLKLYYMLGDTLPHIFPQLKWYYILVLYVIAPVLAFCNAYGTGLTDWSLASAYGKLAIFIIGAWAGATHGGAVAGLAACGVMINIVATASDLMQDFKTGYMTLSSPRSMFVSQVVGTAMGCVISPCVFWLFYKAFDDIGQPESAYPAPYATVYRNMALLAVEGVSSLPKNCLYLSYLFFAAAIVLNLIRDLLEKKVSQYIPIPTAMAIPFYIGPYFAIDMCLGSLILFVWEKINKAKADAFAPAVASGLICGDGIWTLPRSILALAGVKPPICMKFLSRTANVKVTTFLAT >KGN63385 pep chromosome:ASM407v2:2:22821148:22821620:-1 gene:Csa_2G435490 transcript:KGN63385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCLMMSFSESFNGVKERELLSLQKSAKSGFMFLTRGPLPMLPLWKRFFADHDKLFSIHVHALPGYELNLSTSSVFYRRADPQSAIEVLHMDSNKNSIRKSCNTWRP >KGN61791 pep chromosome:ASM407v2:2:11917339:11922221:-1 gene:Csa_2G246540 transcript:KGN61791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNVLCIMFLLLLLLLCFEPTFQQLSSRAERVALLNLRSSLGLRSKDWPIKADPCSVWRGIECQNGRVVGINVSGFRRTRLGSLHPQFVVDALANLTLLQSFNASNFLLPGVIPDWVGSTLKSLQVLDLRSCSILGSIPLSFGNLTNLTALYLSNNKLNGTIPTSIGQLVQLSVLDLSHNELTGSIPLSFSSLANLSFLDLSSNGLDGSIPPLIGSIRQLQSLNLSSNNITSSLPASLGDLSRLVDLDLSFNKFSGLLPTDLRSMSSLQRMVIGNNLLGGSLPEDLFPSLRQLQELTLNDNGFTGAVPDVLFLIPGLRLLDISGNNFTGMLPNSSLASNSTGGALNISRNMFYGSLMPVIGRFSAVDLSGNYFEGRIPNFVPRDASLESNCLQNVSSQRTLADCSSFYAEKGLSFDNFGKPNSVQPPLAEKSSKNNKRVILGSVIGGVGFIVLVLLVVLLFLYIGGKRASGNQRGVSVGPIPTGSSEPPSGLSINFASLGESFTDKQLLQASGGLSDENLIKLGHSGDLFRGVLDNGANVVIKKIDLRTVKKETYLVELDLFSKVSHTRLVPFLGHCLDNEHEKYLVYKHMPNGDLASSLVRKTNVDDENIQSLDWITRLKIALGAAEGLAYMHHECSPPLVHRDVQASSILLDDKFEVRLGSLSEVCAQDGDSHQNRISRLLRLPQSSEQGSSGSQTSICSYDVYCFGKVLLELVTGKVGISATPDTQLREFYDQTFPYISIHDKELVSKIIDPNLIVDEDFLEEVWAMAVVAKSCLNPKPSRRPQMRYILKALENPLKVVREESSGSARLRATSSRSWNAALFGSWRQSLSDLTIVPAAAMSRTVGGSFKQSGTSGSQGSGQNNSGEASRRRHSKEIFPEPPDEQGERAEYHYQ >KGN62502 pep chromosome:ASM407v2:2:16821545:16824682:1 gene:Csa_2G357250 transcript:KGN62502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGSDIYLLYFVGAVLLLVGSCSESANTNETGNLRSCSGENLKRVVKMGVIVDSSSRLGREQLVAIQMAFQQQHHLHFSNSCQKFELLLRDSPDNSAQATATALNLITHKQVKAILGTLTREEVSSIYEIHKPSKNIPIISLSSSSLLLPNSKSNHQLSSFLQMGNDITHQIRCMAAIVGEFRWRRVTALYEIKNEDFTTNSIAILKLLSDSLRDVNSEIENHIGFSLSDSKLLMEEKLTNLSSQSNRVFILVQSSMELGIILFKKAKKLNMMTDGYVWIVGDQIANLMDSLDSSVFHNLQGIIGCRIHYEEKKTRFKKFKTKFRRNYISEFPDEEEDANPSIFALRAYDAYKAAIIIASTNKNYNSMEGYLKFEGVNGEVSFKKYDGILSKLPMFEIINVVGKSYKEIGNWSPEIGFSEKLSQKRSTNNNNNSDVISMKNLWSSTLLWPGESRRVPRGWDFREGNKLVLKLGVPASATFHDLLHVKYNNQTGDGSDGPPHFSGYSITVFKAVVDNLPYELPYELVPYNGSYDSLLQKVGKKEFDGAIGDFGIIAYRFKYVEFSEPYLENAAVMIVKEKPLKWTKLWLFMRAFTPEMWFIVLSMHLFVSFVIWLIEREHNDALKGFGNMLWFSVSVLFYAHIGEIGEPIKKGLARLVLGPWLFGILIITASFTASLSSMMTITMSKPWVYDIETLKLKNATVGCITDSILIRFLSQASIPPQNVKQIPSLDLFPNALENGDIQAALLTAPHARVFLAKYCKGLTKLTLFKLLGMGFAFPKGSPLTLDISSSMGELMERKEMPDLEATLLSTYNCSNNNIDGLGLGPGPFAGLFLLAAVVASIAVLFTATRLVLLKYQYPNPQLKTPFPFPN >KGN61743 pep chromosome:ASM407v2:2:11527139:11544539:-1 gene:Csa_2G237130 transcript:KGN61743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKDFKFLRRSSGKNEELENVPVNPRDSSINRIGMDVSRPPLNSIQEPIRNSEQEQEVGLKSRVERTPVKAKGKGSDLALPLRTPEKHGGGGFSSRKRFGWAQKSESGSLPDEEKADSVTCSGQLSRGGVGGYGNGGFSNVTTPRITRTVGRATSSYSESNSTQSTPTKSVSKPPHSVCRSRTDRINNFSALYKGIPANPVPPSVVNTVEVPHFDLKEDPSFWMEHNVQVLIRVRPLNNMERSNNGYNRCLKQESAQSITWIGQPETKFTFDHVACETVDQEILFRMAGLPMVENCLSGYNSCMFAYGQTGSGKTHTMLGEIDDLEVKPSPHRGITPRIFEFLFARIHAEEEIRRDEKLKYNCKCSFLEIYNEQITDLLDPSSTNLLLREDVKKGVYVENLSEFEVRTVSDILRLLTQGSSNRKVAATNMNRESSRSHSVFTCVIESKWEKESSTNLRFSRLNLVDLAGSERQKTSGAEGERLREAANINKSLSTLGHVIMVLLDVARGKPRHIPYRDSKLTFLLQDSLGGNSKTMIIANVSPSICCAAETLNTLKFAQRAKLIQNNAVVNEDSTGDVIALQRQIQLLKEELAYLKRQNVSRSLSFDSFVKDTVMENEDYDTENICDMDISQDDDSFKPQSKEVRMSTKQLKSLETLLAGALRREKMAEASIRQLEAEIEQLNRLVRQREEDTRCSKMMLKFREDKIQRMESLLGGSIPAETYLLEENRSLSEEIQLLRAKIDKNPEVTRFAIENIRLLDQLRRFQEFYEEGEREVLMGEVSRSRDQMLQFLSGSPEQQDESKPTMQPQLKKTLAELEETKCSLESCLEENRKLNREVDQLQSMLKNLKSEQHQDVTIEGSSRTDFEDLVPKAIHQKLRERNDDSSLEKHDEEILNLRMELDVLKIILNEGMLSHREVDEKVICLNNDLQHARKDLLQMNERCEAVGRELEEAKSIIEALESQQIISINEIEELRNSNCQNSQLLSEREHEIMCLKKCLALKEMHDNSPESPSVHSRFDESRLRTRLTKMQVSLEKAKRLNALCQRDRECQASNDKEIDEVRRQAEAETAEVIVCMQEELAILQQQVQESHLKEIEMKKDVNSLESELKHMQDELQFMSEHNESLSETLEKKDQELTTLTEEWELLTCEMEEILSDGYVALVDASNHLDNISSSFPGKRIWISEQVSRMIRILSEKDLLIEELRRCLEDANNKRIDMESMLKSLRGAALAITEAHQLECKEKDEEILHLTSLIKEKATCIAEMEDTIKLAEERVRRTSVCATVAFVLVNRLSELNHSHSSALKDKDTQFKELVESNLMKDAHVEHQANLIKAAEEQIEHLRKQLEESRGICATLGKQLTEEQEYHHSMQVKLDEFENDKILTIEKLAELKTGVSTLRSCMSSNMGEQHINNEEQESKDMPTSLGEREERWTGVNTHQENNVNMDADQRAEILDRSLKIGKDLSGYPRNEKNLESETFNSNSGKDITITLLKKEIESALRSLGVVQAQMVKLQNEKKEMLINEKHNQQNLQCLMNQVLTLQDTIEKFEKQSENVMESFSHRLKAFEQNVFEAGSHWCQTKELLELEFGEAKVLAVYKTAEASCILAKFEEAQETIREADIMINELMIANETSKLEIERLREKEMLQTSEQELLRKEVRSLQNYNTLSCQQFQTLEEHMRSNSMEIEASIVELEDIIAEVQTTVNSSFMSLASEIQSMKSLQLDSTKFVRSCLENAWSEIIVKDCAISVLHLCHMGILLETVMGLNAENGLLQHGLCESDAAVAGLREQNLKTKRDLDMCKILKGKLLSDLKSGFHRIQKKEEEAGEMSSKLNAFEKKVLDLQIQEEQMLQRSNYMGHQLVVLMKELDDSNKIFISSLLGQEELLKERENFLEFQTDFFVTNFVLRDLESCILDEEIKEFTLQKSIVDREHINCIAFLEILKERAIISKVDEGLREQLLLDKEVEVVLLQKEVQETQVERNSLLSKLNESNMCVRKMDETNRTLERDIQLLKEVSVSNDVLKDELDEVRGKCQALEAECDRLLKDLQMKEKLLEDSSDYIHAIDQKNQNLNTDLEVRNIELLELQYLQFVLKETLSSKRQDFEICVNHITTLNEENVSFRNKLQYFDENMNGVLRNMSMNIAKSIDSMGMLDVDCTRVVDGLNAYFPVLDKIYHEMLESMGEISTCLEEFEYLELSTKEVELENLTLQTELIRKDEVLAGLLFDLRLLQESASESKDRKDELDKMAASMKTLDSELAEKSAELDKSIAHACRLEAQLQDKMDIIYDLELNLSKESDSKKLILSENLELKTQIENFLVGKCSIEEELSEKRKLTEDLEIELLEVGNQFSQMNDMIEFLKRNLNELTTERDQLQMELCNVKEKLGTLEALAEENEANLMEAQEVAESQKIYAKEKEAEVQLLERSVEELECTINVLENKVDMVKEDAEQQRLQREELELELHSVKNQMHNFKNADADLKRYVSEIEKNLAEACKHVQILEGDVKEKIAEIAQLKAHISELNLHAEAQANEYKQKFKSLEAMAEQVRPEGHATYATNASSNKTDKYATRPRGSGSPFKCIGLGLAQQMKSEKDEDLSAARNRIEELEYLAVSRQKEIFALNARLAAAESMTHDVIRDLLGVKLDMTTCMSLFDDNHQMQKTSESVQFNTVESQEKEKVVKLKKQLTEFVEERRGWLEEIDRKQAEILALQVALEKLRHKDQLLKTENEMLKSENFNHKQKVLELEGELKKLSGQQNIQQRIHHHAKIKEENNMLRAQNGDLSAKLHKTEILLSRVKEELAYFRTSTGKTPNIDFDEEQRLNIKLKETEEEKVQLARKLLNLCTSVLKAAGVTKPASEICPSVAEEALDQLMIRISSNKIANERIRLSELIPQPSPKSSKTEDNCQSPLRLLQTSYFSALDR >KGN60902 pep chromosome:ASM407v2:2:2675082:2678316:-1 gene:Csa_2G021730 transcript:KGN60902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREMANNGMDFQVETDSLLGLSESGKLISSSPQVLSILSSVFEKLIQKNEKLLKRLKKKDSVTIFHSSRAPTMGIGQYIDRILKYTCCGTACLVVAYIYIERYLQKTDVYLTSLNVHRLLITSIMVAAKFIDAGCYNNTFYAKVGGVSTKEMNSLEIEFLFNLDFRLHVTADVFSTHCLQLQKEVLGAENQVERRPGNKARTKCLPQITGYTCSAI >KGN62333 pep chromosome:ASM407v2:2:15872989:15874025:1 gene:Csa_2G349670 transcript:KGN62333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKVATFFAMSLGAFVFWQSMDKLHVWIALRQDEKKERLEKEAEIRRVREELLQQAKQNDSLA >KGN62706 pep chromosome:ASM407v2:2:17968965:17971125:1 gene:Csa_2G369070 transcript:KGN62706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPSVREENVYMAKLAEQAERYEEMVEFMEKVSASLDKEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNDDHVSVIRDYRSKIETELSNICDGILKLLDSRLIPSAASGDSKVFYLKMKGDYHRYLAEFKTGAERKEAAESTLTAYKAAQDIANSELPPTHPIRLGLALNFSVFYYEILNSPDRACSLAKQAFDEAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDMQDDGADEIKEAPPKREDEKQ >KGN62452 pep chromosome:ASM407v2:2:16554249:16555611:-1 gene:Csa_2G354780 transcript:KGN62452 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sigma factor sigb regulation protein rsbq MVNNALLEALNVRVLGTGDRFLVLAHGFGTDQSAWQLVYPSFTPYYRVILYDLVCAGSVNPDFFDFSRYTTLDAFVDDLISILDSLHVHRCAFVGHSVSAMVGILASIRRPELFSKLILIGASPRFLNDGDYHGGFEQNEIDRVFAAMKANYQSWVNGFAPLAVGADVPAAVQEFSRTLFNMRPDISLFVSKVIFSSDLRGVLGLVKVPCCIIQTAQDVSVPTSVAIYLRDHLGGRNTIEMLDTEGHLPHLSAPQLLVRKLRRALSR >KGN60543 pep chromosome:ASM407v2:2:295144:298266:-1 gene:Csa_2G000510 transcript:KGN60543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSNNITAILNFLALLCSIPIIGAGIWLASKPDNECIHFFRWPVVLIGVLILLVSLAGFIGAYWNRQGLLAFYLFCMALLIGLLLVLLVFTFVVTRPDGSYTVMGRGFKEYRLDGFSSWLKSHLTNSRNWPKIRTCLAESDVCPKLNQQYFAADQFFAADISPLQSGCCKPPTACGFNFVNPTLWLNPVDPMADPDCYIWSNDQTQLCYNCNSCKAGLLGNLRREWRKANVVLIVTVVVLIWVYVIGCSAFKNAQTEDLFRRYKQGWI >KGN61988 pep chromosome:ASM407v2:2:13388215:13392190:-1 gene:Csa_2G279250 transcript:KGN61988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWDLNDSPDHGGTDEFEVLSSIDGDDDRGKWIGSINSNSSSSVVVMEDGSDADEASVGEGEPLLRRNNFSVTHPPATRQFFPMEDSDVEASSAAVGGSTTFPPARWVGVKFCQTEPIAAVRPVAVLQPIKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGTEADINFSIEDYEDDLQQMGNLTKEEFVHVLRRQSTGYPRGSSKFRGVTLHKCGRWEARMGQFLGKKYVYLGLFDSEIEAARAYDKAAIKCNGKEAVTNFDPSIYEDELSTTESPSTKVLEQNLDLRLGNSSSKKHTLSFGNHCTNVTPNIDLQISNESNPQESNIFENDNVICHTLLQTEKMQFRSEMIVRSPPSVETTKHGCLETLHNYSPHINQSNSQIHLLRSSNEEGLGGSDEVSLSLSEGHQWQQQSGGSQQFANAAASSGFPQLQFSTPKNWLQKNNGCFFLQRPS >KGN62207 pep chromosome:ASM407v2:2:15058996:15059427:1 gene:Csa_2G335560 transcript:KGN62207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKHTVTSDELLGIKIYTEEHANGGGRKRRAMAKGMQKTRSKTSMLASKLPSNRHSPNLRNASAVGLRQGGVHADSFKLGVDGKVYYGIVTRRGLAVFKTGLSEVEVAKEERLWKAFL >KGN60674 pep chromosome:ASM407v2:2:1132895:1158708:1 gene:Csa_2G006200 transcript:KGN60674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKQNLHIIESALFGPSPPSPAQRVELLHAIHNSIPAFRSLLQFPPPKASDRAQVQSKEVRRPDSSTITLDDQDVEITLKLSNDLHLNEIDCVNLLVAAHQEWDLTERDPLEIFRLAAGLWYTERRDLIMSLHTLLRAVVLDPGYEVGLISDIQRHLEDLVNNGLRQRLIALIKELNREEPAGLGGPSCERYLLDSKGALVERRGVVSRERLIIGHCLVLSILVVRIGPKDARDLFSVLKDCAAELNETKAPIKLQIVFSLLFSIIIAFVSDALSAVPNKASILSSDASFRNEFQDNVMASGNDPTVEGFVDAVRFAWTVHLLLIHDMVDAREAIPNASPKDLDYLQSCLEVIFSHNAFQFLLQEVIQTAAYQNDDEDMIYMYNAYLHKLVTCFLSHPLARDKVKESKDRAMHTLSQFRASGSQDFMQDGDSSFHQASGTVPSPFVSLLEFVSEIYRQEPELLSSNDVLWTFANFAGEDHTNFQTLVAFLNMLSTLACNEEGASRVFELLQGKAFRSVGWTTLFDCLSIYDDKFRQSLQTVGALLPEFQEGDAKALVAYLNVLQKVVENGNPVERKNWFPDIEPLFKLLSYENVPPYLKGALRNAIASFIEVSSESKDIIWLYLEQYDLPVLVASHVQNGTKPITSQVYDMQFELNEIEARQERYPSTISFLNLLNALIGKERDLSDRGRRFVGIFRFIYDHVFGPFPQRAYANAAEKWQLVVACLQHFIMILKMYDIKEEDIDIVIDRSQSPMESQSSSLQTQLPVLELLKDFMSGKSVFRNIMGILLPGVGSLINERTSQIYGQLLEKSVELSLEIMILVLEKDLLLADYWRPLYQPLDVVLSQDHSQIVALLEYVRYEFHPKIQQLSIKIMSILSSRMVGLVQLLLKSNTASSLVEDYASCLELRSEECHTIENSGDDPGVLIMQLLIDNISRPAPNVTQLLLKFNLETSIERTILQPKYHYSCLKVILEILEKLSNPEVNSLLYEFGFQLLYELCLDPLTSGPVIDLLSNKKYYFFVKHLDTIGVVPLPKRNNHTLRVSSLHQRAWLLKLLAIELHAADLSSPIHREACQSILAHLYGMEIVDTGSGPIFSLQNHVVDPGVRTTSKSKALELLEVVQFRTPDTSIKLPQVVSNMKYELLTKDILGNPSTSQKGGIYYYSERGDRLIDLTSFCDKLWQNFNSDNPQLNNIGSEAELEEVKETIQQFLRWGWKYNKNLEEQAAQLHMLTSWSQTIEVTVSRRISSLENRSDILFQLLDASLSASASPDCSLKMAYLLCQVALTCMAKLRDERYSCPGGLNADSVSCLDIIMVKQISNGACHSILLKLIMAILRSESSEALRRRQYALLLSYLQYCQNMLDPDVPTSVLQVLLLNEQDGDDVDLQKIDKNQAELAHANFSILRKEAQSILDVVLKDATQGSEPGKTISLYILDALICIDHDRFFLNQLHSRGFLKSCLISISNVSLQDGAHSFDSLQRACTLEAELGLLSRISHKYGKFGAQLLFSTGALEYLASCRVVNIQGGLRWVDTNPHRDVAGNINKRQSIITPILRLLFSLTSLVDTSEFFEVKNKIVREVVDFIKGHQRLFDQILGEDVTEADDVTLEQINLLVGSLGKVWPYEETDEYGFVQSLFQLMHSLFSRELNSFSSGPGVKLLKNRRSSELYSIELNFSLISYLYFLVTRKSLRLQVSGCSSSHKSPVRSQPPSLDLLGTLLNSMTTTLERAAEERSLLLNKIQDINELSRQDVEEIIVQCVGEDFASLSDNIQRRRYVAMIEMCKVVGNKNQMITLLLPLTEYILNVILIHFQDSTSIPSGNANIKAISYHAESDSAQEITSLSGKLIPILERLELLSENKVGHNLKVFRRLVTSLKELAIQKLAL >KGN61540 pep chromosome:ASM407v2:2:9404367:9404745:1 gene:Csa_2G166180 transcript:KGN61540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIYFLDLRSAKCLFYEGLPEMIPILRPGEIDLKDGPLFAALQAKTQAKEVEIPICWNTRM >KGN63123 pep chromosome:ASM407v2:2:20749390:20758837:1 gene:Csa_2G404750 transcript:KGN63123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGTFNPQILVDKLARLNNSQASIETLSHWCIFHMNKAKQVVETWDKQFHCSPREQRLAYLYLANDILQNSRRKGSEFVGEFWKVLPDALRDVIGNGDEFGRNAALRLIGIWEERKVFGSRGQSLKEEIMGKHLETGNRNGKPFNSKLKQSASVSLDKIVSGYQVVYGKEIDEDAVLSKCRNSISYLEKLDKEIGNDVNSGQYRGSSIADDLRGHHSILRDCIEQLTTIETSRASLVSHLREALQEQEFKLEQVRNQLQASHSQSEQTQNLCRQFLNGENVQPMTEEGSKDAQTSVAPHSLVSREREQSAPVMYAASVPFPSKPGPNEEDPRKSAAAAVAAKLTASTSSVQMLSYVLSSLASEGVIGNPNKDLPGDYPSEKRPKLENDQLPYPLPPNPQRPPVSSFPHPESLQHNSSSTSQQYTPSDPPPPPSSSPPPMPPLPPVAQFPLPQFTQNAGSVSSIPIPYSYSMTQSLPPLAMPGYPNAGAPVTGMSPFTIPTNSYQNFQAPDGSFYSQSSSMPMAPISRQ >KGN60937 pep chromosome:ASM407v2:2:2871834:2872710:-1 gene:Csa_2G028490 transcript:KGN60937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCQSSAPPNTFYCIYYDKSAWRTDAEFAREMIAGVNPILISRLEHFPPLSKLDPKRYGNQNSTITEEQIKDGLEGLSVQELCHSSQLDDYP >KGN61530 pep chromosome:ASM407v2:2:9271276:9271821:-1 gene:Csa_2G162130 transcript:KGN61530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQLRSIITLHVCGYKSETNQAQPFTNKVYSLSFSLLISKKMSSFSTFGLSLFISLLFATIFTIEGKPFQSPPVTVNITNALKDVNNQLTIHCKSGDDDLGVHQLSHLASYAFNFRPNFWGSTLFYCAFDWTGSSHYFNIYQDLRDRAKCNDTLCLWIVGEQGLCMFDYKTNAYDICYTWS >KGN61692 pep chromosome:ASM407v2:2:10938899:10945499:-1 gene:Csa_2G225310 transcript:KGN61692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGDGFVIPVESQVSSVKKKKKQTTTKKKTTAVSRSWVSLDREGRSTILDVDKYVIMERVQINARDLRLLDPLLSYPSTILGRERVIVLNLEHIKSIITADEVLLRDPMDENVVPIVEELQRRLPSTNSLYQGQGEEEEPSTTQNELAENEFPFEFRALEVALEAICSFLDARTRELETDTYPALDELTSKISSRNLDRVRKLKSAMTRLTNRVQKVRDELEQLLDDDDDMAELYLSRKVAGTPESGSGTPIWFLASPKDYSKISRTSRVSAITIRGENDVEELEMLLEAYFMQIEGTLNKLITLREYIDDTEDYINIQLDNHRNQLIQLELFLSSGTVCLSIYSLVTAIFGMNIPYTWKEDHEHVFKWVVIVTGIVCATIYVSLSSYARYKGLVGS >KGN60639 pep chromosome:ASM407v2:2:900680:902981:1 gene:Csa_2G005360 transcript:KGN60639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVPNGLSVGVNWGTMATHQLPPEKVVKMLQENGFHKLKLFEADDRILEALIGSDIEVMLAIPNSMLFLMSQDPHAAASWVDSNVTAYTYHGGVKIKYVAVGNEPFLKSYNGTYLPLTLPALRNIQQSLNDAGLGSKVKATIPFNADIYNSPDSNPVPSAGEFRPDVRDLTIEILHYLSINNAPFTVNIYPFLSLYGNDYFPIDFAFFDGTAYRPIKDRDLTYTNVFDANFDTLVSALAKAGYPDMKIIVGEVGWPTDGDKHANVQNAKRFNQGLLRHALSGQGTPARVGIIDVYLFSLIDENAKSIEPGSFERHWGIFEFDGKPKYELDLAGTEEEKGLIPVEGVRYMGKRWCVLNPNVNDWEGLADSVDYACSLSDCTALEYGSSCNQLSAQGNASYAFNMYYQVNSQKSWNCDFDGLAVVTQQDPSYGNCQFPIMIDYTSSSSSSSSFLVYERFSDLLVAVFMGIVVFFIVQYEQ >KGN61038 pep chromosome:ASM407v2:2:3549811:3551958:-1 gene:Csa_2G035380 transcript:KGN61038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLESRHAENNISDVGCSGVNDDGDDEDDETRNTMAKTQIRDDEFDDDRKMEMGKKGFGKAKQVMFYPFRKAKKQILRRRIKRDSSCSSSSSSVTCSRRTNSLDKRGFDGSVNQGCGFCLTKLSISDSKNGSPTDPNHRKFTNEMLKVLIEKNDFYSKESNPHFDVQVDTRQKH >KGN63127 pep chromosome:ASM407v2:2:20779196:20784229:-1 gene:Csa_2G404790 transcript:KGN63127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNWELRNCCHRDQVLFLVTIGVFTLVILALWRTFIITPFKLITVFLHEASHAIACKLTCGEVEGIQVHANEGGVTQTRGGAYWLILPAGYLGSSFWGMAMILASTNLLTARIAAGCLGLALLVVLFIAKNWTLRGLCVGFIIFLAVVWVLQETTTVRILRYVILFIGVMNSLFSVYDIYDDLISRRVNSSDAEKFAELCPCPCNGIGWGVIWGMISFIFLCASIYLGLVILS >KGN60904 pep chromosome:ASM407v2:2:2688483:2693546:1 gene:Csa_2G021750 transcript:KGN60904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDIFASVRRSLVFRPPLDNDDSHSPAIGVGALVDKINSSIRKSRVFSRHSPSSSSLPPIPKDTDPPIRWRKGELIGCGAFGRVYMGMNLGSGELLAVKQVLIAANGASKEKAQAHVQELEEEVKLLKDLSHPNIVRYLGTVREDDSLNILLEFVPGGSIASLLGKFGAFPEAVLRTYTKQLLLGLEYLHKNGIMHRDIKGANILVDNKGCIKLADFGASKQVVELATISGAKSMKGTPYWMAPEVILQTGHSFSADIWSVGCTFIEMATGKPPWSQQYQEVAALFHIGTTKSHPPIPEQLSVEAKDFLLKCLQKEPNLRPTASELLKHPFVIGEETQSQLMSRDACTEPLETHSPQCTSELEMSKTPTHPGSSDICNLDSLRCSKVYSTNKLESDMWGRNSDDEMCQIDDKDDFMLDEVKIGSSIIHENMKSYNPICEPSDDEDCKFDRSPVVDRGSSLHEEALAPGSCSGAFDEEQNFSFPSGRSLSEDEDELTESKIKAFLDEKALELKKLQSPLYEEFYNSLNASCSPVFMESKQDESTPKYLKLPPKSRSPSRSPGNPSPALDAFGTGSPGSGSRGNANDQRSQLNDWKGLHGQSEAGSPSKNYSEIQRKWKEELDQELERKREMMRQAGVGAKTSSPKDKAMGRPRERTRFASPFRDDVSGAGRDNRENFIS >KGN63297 pep chromosome:ASM407v2:2:22093047:22093619:-1 gene:Csa_2G425745 transcript:KGN63297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFFSRPVGSIQFHNGIKSPGLVAPAYNITLFTTSLNFSACPSSNTSSPKYLFPPTILMTILDVKSNNIGSSSTAENNSNSSTELRLHNLCNNRFTSSCLIHENELSLLVEKISQVLMRRRRRQSSPYGATASTVKLYDRCFPVFKNGRFARMMSFFVKHSRTAEGDDITTTRWEPNRREKTWPYFFERL >KGN63144 pep chromosome:ASM407v2:2:20876926:20877798:-1 gene:Csa_2G404950 transcript:KGN63144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRPRVPPKVKLSMWKTATNSLPTLSNITKRGIITNPLCLFCRKSVEDAKLSKLNGSLERNYRGTKRVESGTRARLEELVGVYSLEAPVLWEKFQVIWSCGCRCLLGSGSSIRMRLDWIMKGLGALVEWFLTPPCWMRESLPWLVQTLEALSLDWYMARPTDVCGDWSGFLLPTFIALKLWLDFNVISSESI >KGN63011 pep chromosome:ASM407v2:2:19977475:19979080:-1 gene:Csa_2G383330 transcript:KGN63011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKLCDSCKSATATLFCRADSAFLCLGCDSKVHAANKLASRHARVWVCEVCEQAPAHVTCKADAAALCLTCDHDIHSANPLARRHERVPVTPFYDTSNSDNSLPVKPSAAINFLDDRYFSDVDADAADVSREEAEAASWLLPNPNPKAIESSDLNSGKFEFPEMDPYLDLDYSHVDPKLEAQEQNSSGADGVVPVQSKGVHLSSANDRCLGIDFTGTKSFPYGHNPQSISHSVSSSSIEVGVVPDGNAMTDVSNPYTKPSTESSVQPLQISPADREARVLRYREKRKNRKFEKTIRYASRKAYAETRPRIKGRFAKRTDIELDVDRVSGYGVVPSF >KGN61334 pep chromosome:ASM407v2:2:6923154:6923690:-1 gene:Csa_2G091780 transcript:KGN61334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFHQKSPILLPQDLKVSIKECSVVFPSQLETDQKKKSNVLFLTNIDQVLNFSVETLQFFSPHTKFPSHVIIEKMKTTFSKLLVPYELLAGRLKVSHENGRLEIDCNGAGAGFVVASSDYCLDEIGDLVYPNPAFHQLVTKSLAALFKPHDQPLVILQVHFISFVRVFSLSSCSFAS >KGN63200 pep chromosome:ASM407v2:2:21233928:21236619:1 gene:Csa_2G408950 transcript:KGN63200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVDYYRILQVDKNASDDDLKKAYRKLAMKWHPDKNPTNKREAEAKFKQISEAYEVLSDPQKRAIYDQYGEDGLKGQVPPPNAGGPGGATFFSTGDGPTTFRFNPRNANDIFSEFFGFSTPFGGSSGRGQRFSSSVFGDDIFASFGGGDGESVGSSMSRHPSRKAPPIERQLPCSLEELYKGTTKKMKISRQVTDIRGKTMKTEEILTINIKPGWKKGTKITFPEKGNEEPDIIPSDLVFVIDEKPHSVFTRDGNDLIVTQKISLVEALTGYTVHLTTLDGRYLSFPITNVITPNYEEVIPSEGMPLQKDPTKKGNLRINFDIKFPTRLTPEQKAGIRKLIG >KGN61362 pep chromosome:ASM407v2:2:7366328:7377383:1 gene:Csa_2G099460 transcript:KGN61362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAFRRQLDQLMGANRNGDVQEVSRKYYDRDVCRMFLVGLCPHELFQLTKMDMGPCPKIHSLQLRKEYEEGKAKGIHNYDRDLEDVIDRLIIECDRKIARALKRLEEEDAKAAIAISVSEVTQIPEVLELSKEIKEKLKEADQYDLEGKTDLKIRVLEVVEELRTRRADKQSMLLLDAFNKDRASLPQPLPNPPPLAPLPVVAPDPRTQEMINEKLKKAEELGEQGMIDEAQKALEEAEALKKLPARQEPVVDSSKYTAADVRITDQKLRVCDICGAFLSVYDSDRRLADHFGGKLHLGYMQIREKLAELQVLLYVSNSTIEILSYYFICEFSFP >KGN61479 pep chromosome:ASM407v2:2:8632160:8635899:-1 gene:Csa_2G139810 transcript:KGN61479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENDKPLSSSSSSSDSTRLSDPENAKVIEWEDFEQELARLWSLSSALQEAKQHKESLKQKLDSLIQVDSESVSRSNELAEMREKLEARKCIVENMGMRSKLVSEDVKKQEESLSIEVRSLLVAGTALSKARKRLQESNRLLSGEEGYDRLKSLQKMLRRRQQFMVSQVAFLYPVKILTGPANDQELESFPSTSRLGNSSGSKPVNHGSLTILGLSLNVLPFKKMSFFSDKKETQRSASALGYVAHAVSLISSYLKVPLRYPLRLGGSRSYLCDYAPSIEPTSSVTLSTTQPSTNMKHVEFPLFLDGQDTTRAAYAVFLLNKDLEQLLNFIGVKSLGPRHILANLKEIFRVIQSADYIDK >KGN62034 pep chromosome:ASM407v2:2:13733796:13735163:1 gene:Csa_2G287160 transcript:KGN62034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLFTSPNPHFFKIVLHQNLTQQKLDLPNKFVKNNAHSLLFNNATLSLPDGSKWNFQLTRLDGKICFLRGWPEFVNFYAVQPGYFLVFQLKGICCFNVLIFDTSATEIDYPMRRLPVIVPKSESDDEGESIQILHEEVLKKRRRMEKKEKETSAYYSLREMKKNMKIKIMKRREFTTPQGFSDDDEDEDLETDYSPRQTNYRASEYNEREDGRSSPESMRPMNPRKTQQVLTENQLVVVRRASSFKCRTKNPSFMVTMRPSYIQTGNCLSLPRIFSERYIKESVDVKLEVGDGRIWKVWCGVRWAFTRRRTELKGGWKRFAVDNELEEGDICVFELMNKTPKVHFLVTIFRLPSP >KGN62194 pep chromosome:ASM407v2:2:14966982:14970217:1 gene:Csa_2G326470 transcript:KGN62194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENIISLDPENCGVVGQNVNVAGEKTMGSSKVGGGQRKRLSDISNWKEQPTLQKRDTKTQPGLLMTCEYVDKLQKENMTLMKVIAERNRIIEISGKELEKLRTNFQKLQQQNMQLAQTNSQMLAELNSCKDRLKALQHELGCKNGILMSRKLDLESKGKSATLQPGEVGTTECNEAEESTNANQDNRPCKSNRRRQSRRQSFGTSSLQTEVPKIEGKRPCLRQQSAMFKTEEPLAANDILETENSNSNDASQCKETSVLQTEVQKVESKRPCSRRQSARFKAEEPVTTNDLHQMETSDSTNTPQCQETSVLQAEIQKVEVKRPCLRRQSTKFKLEEPVAIKDSLEIENSNSTSTAFPCKETKCEVVPTSSVGKEDYDNSIDISEVQECRRTSVGRPSRRAAEKVISYKEIPLNVKMRRQV >KGN62689 pep chromosome:ASM407v2:2:17864199:17865158:1 gene:Csa_2G368900 transcript:KGN62689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPFQSHPSSLNDKITSAIQEPADNLAKKRKWEESMIPTPPLNSVLDIELHLETPLPFYWQRCLDIQSGKIHFYNMTTQKRTWKDPRDKLEKDDDDDDEEDDNRYDKNDMSLDLELNLTCESMEKNNNHQTVQGVRRAINDGMMFGLSENYNHNNNKKAEMVAAVCMRCHLLVMLCKSSPECPNCKFMNSPPEQTSPAMSKRRCHLSW >KGN62778 pep chromosome:ASM407v2:2:18505951:18508807:1 gene:Csa_2G372740 transcript:KGN62778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTGWRKAFCTTISRDSESNNASEKQRSSATPNPSPRSCVRLGFFSNPSTPRMQSHQPLSSPGLRCRTAQDATVNQSPTLHCKTSSSSSSTPKSAKSQRGILGSNPSSPRSPLKLSLFKNSFKFRSSCGICLNSVKTGHGTAIYTAECGHAFHFPCIAAHVRNHATLVCPVCNTTWKDVPLLAAHKNLGPLTQHDPKPKIEDKTMIESSPRAVKTKLNPKEKEFRSYDDDEPLLSPTSGGRIIPIPEADENQDDVEEFQGFFVDPKPPSSSVKSSIQRTNVQVRLLPETALISSGHTHETYAVALKVKAPPPHPARNRANANLLDPSRRAPIDLVTVLDVSGSMTGPKLMMLKRAMRLVISSLGSSDRLAIVAFSATPKRVLPLRRMTAQGQRAARHVIDTLVCSQGTSVGEALRKATKVLEDRRERNPVASIMLLSDGQDERIQSNQRQVTRHESSTRFAHIEIPVHAFGFGKSGGYCQEPAEDAFAKCVSGLLSVVVQDLRIQLGFSSGSSPVVISAIYSCTGRPTVCSLGSVRLGDLYGEEERELLVELKIPTSASGTHHVMTMQCLYKDPSTQEVVYSREQDILIARPTAVGSSTPKIERLRDMFITTRAVAESRRLIEYEDHTSAHHLLASARALLIQSGSPSADVYVRELEVELAELHWRRQQQFELHQHQQQQQILVTTTPRRRGGDKENPTMVDENGEPLTPTSAWRAAEKLARVAIMKKSLTSRVGDLHGFENARF >KGN63318 pep chromosome:ASM407v2:2:22303918:22308322:1 gene:Csa_2G428410 transcript:KGN63318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGIARGRLAEERKSWRKNHPHGFVAKPETMPDGTVNLMIWHCIIPGKVGTDWEGGFFPLTLNFSEDYPSKPPKCRFPQGFFHPNVYPSGTVCLSILNEDSGWRPAITVKQILVGIQDLLDQPNPADPAQTEGYHLFIQDAVEYKKRVRQQAKQYPPLV >KGN61448 pep chromosome:ASM407v2:2:8289697:8292009:-1 gene:Csa_2G123590 transcript:KGN61448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFRISCPRSSSFLLNISTLSTFHLNTLSSSDLFYDHLEKSNGNLDKTLATLKTKLDSRCVNEVLYKCSFELSQMGLRFFIWAGRQPNYRHSSFMYSRACELIGINVSPCLLFNVIEDYRREGCLVDIRMFKIILNLCKEAKLAKEALSILRKMSEFHLRADTTMYNLVIRLFTEKGEMDKAMELMKEMDSVDIHPNMITYISMLKGFCDVGRWEDAYGLFKDMKENGCAPNTVVYSVLVNGAIRLRIMDRLMEMLKEMEKQGGTCSPNTVTYTSIIQSLCEEGHPLEALKVLDRMEEYGYAPNRVAVSFLVKEFCKDGHVEEAYKLIDRVVARGGVSYGDCYSSLVVTLVKMKKIAEAEKLFRNMLANGVKPDGVACSLMIRELCLEERVLDGFNLCYEVDRNGYLCSIDADIYSLLLVGLCEHDHSVDAAKLARLMLKKGIRLKPHYAESIIKHLKKFEDRELVMHLGGIRK >KGN62705 pep chromosome:ASM407v2:2:17963669:17965906:-1 gene:Csa_2G369060 transcript:KGN62705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFNIANPTTGCQKKLEIDDDQKLRAFFDKRISQEVSGDSLGEEFKGYVFKIMGGCDKQGFPMKQGVLTPGRVRLLLHRGTPCFRGYGRRNGERRRKSVRGCIVSQDLSVLNLVIVKKGDNDLPGLTDSEKPRMRGPKRASKIRKLFNLSKEDDVRKYVNTYRRSFTTKAGKKVSKAPKIQRLVTPLTLQRKRGRIAEKKKRIAKAKSEAAEYQKLLSSRLKEQRERRSESLAKKRSRLSAASKPSIAA >KGN62784 pep chromosome:ASM407v2:2:18554159:18557294:1 gene:Csa_2G372790 transcript:KGN62784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFHSAFFLLLFFTTFSIPPSQALTPAITNQSQFFNLIQKTASGEFLSDWNLSGGKSFCNFTGIRCNDQGHIIEIDISGQSLSGSFPEDVCSYLPKLRVLRLAGTGFYGRFPSGITNCSLIEELNMSSLYLNGTIPDLSQMKQLRVLDLSYNSFTGDFPMSVFNLVNLEELNFNENYKLNLWKLPDKISSLTKLKSMVLTTCMLDGEIPRSIGNMTSLVDLELSGNFLKGEIPKEISLLKNLQQLELYYNELTGNIPEELGNLTELVDMDMSVNLLTGELPESICKLPKLKVLQIYNNSLTGEIPNVLANSTTLTMLSLYDNFLTGQIPQKLGKFSPMVVLDLSENRLSGPLPLDICRGGKLLYFLVLLNSLSGEIPSSYAECVSLLRFRISFNQLTGTIPEGVLGLPHVSIIDVAQNKLTGSISNSISQARNLSELFLQGNRISGVIPPEISGAANLVKLDLSNNLLSGPVPSQIGDLMKLNQVMLQGNQLDSSIPTSFTSLKSLNVLDLSNNRLTGKIPESLSELFPSSFNFSNNQLSGPIPLSLIKQGLADSFFGNPNLCVPPAYFISPDQKFPICSNFSFRKRLNFIWGIVIPLIVFFTCAVLFLKRRIATRKTSEIKNEEALSSSFFHLQSFDQSMILEAMVEKNIVGHGGSGTVYKIELGNGEIFAVKRLWNRRAKHLFDKELKTEVETLGTIRHKNIVKLYSYFSGLNSSLLVYEYMPNGNLWDALHKGWIHLDWPKRHRIAVGIAQGLAYLHHDLSPPVIHRDIKTTNILLDANYQPKVADFGIAKVLQGTKDSTNSVIAGTYGYLAPEYAYSSKATTKCDVYSFGVVLMELITGKKPIETEYGENKNIVFWVSNKVDTKEGVLEILDNKLKGLFKDDIIKALRIAIRCTYKNPVLRPAIGEVVQLLQEVDPCKFDHPFEDVEKGEDTYMMSLK >KGN63170 pep chromosome:ASM407v2:2:21002220:21004900:1 gene:Csa_2G406680 transcript:KGN63170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKATIDSASDVEEEYVLLDLGDVSLLDIPPNAPYVLSGLDTMNPVLTICDKFKMIGEYEETIGTCLTFAEEEVPVVEEEAQPSETLRCSREEVEPEQATKKELKLVACVHKILKFKLLDSDVPSSIS >KGN61837 pep chromosome:ASM407v2:2:12278556:12283698:-1 gene:Csa_2G249910 transcript:KGN61837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTKFETKSNRVKGLSFHTKRPWILASLHSGVIQLWDYRMGTLIDRFDEHEGPVRGVHFHKSQPLFVSGGDDYKIKVWNYKTHRCLFTLLGHLDYIRTVQFHHEYPWIVSASDDQTIRIWNWQSRTCISVLTGHNHYVMCASFHPKEDLVVSASLDQTVRVWDIGALRKKTVSPADDILRLSQMNADLFGGVDAVVKYVLEGHDRGVNWAAFHPTLPLIVSGADDRQVKLWRMNDTKAWEVDTLRGHMNNVSCVMFHAKQDIIVSNSEDKSIRIWDATKRTGLQTFRREHDRFWILAAHPEMNLLAAGHDSGMIVFKLERERPAFAISGDSLFYVKDRFLRYYEFSTQKDTQVVPIRRPGSTSLNQSPRTLSFSPTENTILVCSDLDGGCYEFYTIPKDSFGRSDSLQDAKRGLGGSAVFVARNRFAVLDKSHNQVLLKNLKNEIVKKVPIPITADAIFYAGTGNLLCRAEDRVVIYDLQQRIILGDLQTPFVKYVVWSNDMESVALLSKHAIIIANKKLVHQCTLHETIRVKSGAWDDNGVFIYTTLNHIKYCLPNGDSGIIRTLDVPIYITKVSGNTLFCLDRDGTVRSLIIDATEYIFKLSLLKKRFDHVMSMIRNSQLCGQAMIAYLQQKGFPEVALHFVKDERTRFNLALESGNIQIAVASATAIDEKDHWYRLGVEALRQGNAGIVEYAYQKTKNFERLSFLYLITGNTAKLSKMLKIAEVKNDVMGQFHNALYLGDVRERVKILENVGHLPLAYITASTHGLHDVAERLAAELGDDIPSLPEGKTASLLLPPIPVMCGGDWPLLRVMKGIFEGGLDNVGAGRADEDDDEVADGDWGEELDVVDVDGLQNGDVAAILDDVEGAEENEEEGGWDLEDLELPPEADTPKVSVTSRNSVFVAPTPGLPANLVWTQRSSLAAEHAAAGNFDTAMRLLNRQLGIKNFAPLRPIFLDLHAGSQTYLRAFSSAPIISLAVERGFSESSNANAKGSPALIYSFSQLEEKLKAGYKATTTGKFSDALRLFLSILHTIPLIVVESRREVDEVKELIIIVKEYVLGLQMELKRRELKNNPVRQMELAAYFTHCNLQLPHLRLALLNAMTVCYKAKNLASAANFARRLLETNPSIENQAKTARQVLQAAERNMTDASQLNYDFRNPFVTCGATYVPIYRGQKDVSCPYCSSRFVPSQEGQLCSVCDLAVVGADASGLLCSPTQNR >KGN62552 pep chromosome:ASM407v2:2:17070705:17071984:-1 gene:Csa_2G360690 transcript:KGN62552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSKRDEWKEVTNGEQMRSQSDVALSIAKRLLQDNVKNSNIVFSPLSIQVLLSLIGAGCNGPILDQLLSFLKANSIDQLNHFGSFVTSNLLADASRTGGPKLLFANGLWLNQSHSPKHSFKHIVETYYKATLRQADFHTKGEEVVLEVNSWVKDKTKGLITDILLPGSVDRLTQIILVNALYFKGVWTNKFNDSETKKEDFYLVDGSSIKTPFMSSSKDQYIAAYDGFKVLTMPYRQGQDKDRRFSMCIFLPDAKDGLASLIEKVDSESGFMDRHIPRKKVEVGEFKIPKFKVSYEFEVSDVLKKLGLVLPFEERSLLEMVETETGELTFVSSIFHKSIIEVNEKGTEAAAASVYLCGLTCSMECVKRINFVADHPFLFAIRENVTGTLLFVGQVLHPTL >KGN61514 pep chromosome:ASM407v2:2:9057406:9057711:-1 gene:Csa_2G151050 transcript:KGN61514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNGLARDIHEGRRTGVMGVHKGVRYKKIKGSRVELDGTTTREGCSATVVWTFMRRVRQQCGSVRDIRDRRVRLGSWNNGGWGERTRIVKRGKESERERNN >KGN63247 pep chromosome:ASM407v2:2:21656591:21659458:1 gene:Csa_2G418340 transcript:KGN63247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPEDHGAVQRSMAHYAPTDRTQFLRAFGAKIPKNRRGVDTAWNLETKLRSKTPHFFFFIFFFNPLSLSLTNVFFNFNFIFKLKMGSKAPSWADQWGSGNYGVEDDDNNNAVTKKGSSNGNSSKKMAEVKAAASAGFVKAKAVATVGAQKVKSGTSVGIKWVKNQYQKKVSK >KGN61865 pep chromosome:ASM407v2:2:12534285:12534900:-1 gene:Csa_2G258610 transcript:KGN61865 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAUR family protein MGFRLGRMVNVMQNIRLSSLTTHHGSSAIRKGYCAVYVGENQKKRFVIPIAYLNEPFFKDLLSQVGEEFGYNHPMGGLTIPCSNDTFMDLISRLNES >KGN61624 pep chromosome:ASM407v2:2:10304534:10313524:1 gene:Csa_2G191300 transcript:KGN61624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLPDLGGNGGGIVNQARMIAVSLAGVVAAAGTHYHWGWKSRSSSPSFIEDQKIVPVMGRTESGRAATFEAFPDYVARQLGFKDAGECPKLGKLINDYLKKKKGCEEWIYDYLVDREKDNADSLYVKLIQELETCILTYFAFHWDKAPQMVSQALTDESEHKTKLKSFIMAATRKRRFERVTKDLKVTRVISTLVEEIKAIGGSSNGVEADSKCTDVMAPVALNKRSPVLLLMGGGMGAGKSTVTKDILKEPFWLDAEPNAVVVEADAFKESDVVYKAINSMGCPDDMLQTSELVHQSSTDAASSLLVTALNEGRDVIMDGTLAWEPYVNQTIEMARNVHKHRYRMGVGYKVNEDGTVIENYWEQVSPEEEEQYDFDGIVEKDRKPYRIELVGVVCDAHLAVIRGIRRAVMVGRAVRVNSQLKSHKRFSEAFPRYCDLVDNARLYSTNVLGISPKLIGWKDGDNKLLVDPEEIKCVSVTSKLNENANSVYELYQDATNVLNEKSSIWKETVLSSSRPSVQMELKASIQSIESK >KGN63193 pep chromosome:ASM407v2:2:21168427:21168896:-1 gene:Csa_2G408390 transcript:KGN63193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIGARSAFRSFSGSARRAAAHIGSQPKASSSSPFRMATNKPLSHRTFRCAPEMSFCLESMMPFHSVSSSALMTSMLSISRHSCGWLPEGA >KGN60671 pep chromosome:ASM407v2:2:1120589:1124340:1 gene:Csa_2G006170 transcript:KGN60671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGKQRLTVADAVDYKGCPANRSKTGGWVPAALVVGIELCERLSTMGIAVNLVTYLVGTLHLPSANSANIVTDFMGTCFLLSMLGGFLADSFLGRYKTIAIFASIQTLGTGTLAVITKLPQLHPPPCHPIASKNCKQANGFQMGMIYLPLYIIALGTGGIKSSVSGFGTDQFDEKDDKEKAQMAYFFNRFFLFVSSGTLLAVTVLVYLQDEVGRSWAYGICSVSMFTAILIFLCGTKRYRYKISMGSPIVHIFQVLVAAINKRKMELQLNATLLYEDSAATSRIDHTNQFQFLDKAAIVADGDFEKSVSSAPNPWKLCSVTRVEEVKMMMRLLPIWATTIIFWTTYAQMITFSVVQASTMERSLGNFKIPAGSLPVFFVAAILITLAFYDRLIMPLWKKWKGQPGFTNLQRIAIGLILSTFGMAAAALVEMKRLSVAKAVGRSTATLPLSVFLLIPQFFLVGSGEAFIYTGQLDFFITQSPKGMKTMSTGLFLTTLSLGFFVSSFLVAVVKSVTGSMDGQGWLADNINYARLDCFYGLLTILSTINFVAFLVCAIWYKPQKPKQLLEMETSTNGGSGAEKC >KGN60932 pep chromosome:ASM407v2:2:2847948:2848250:1 gene:Csa_2G027450 transcript:KGN60932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKPLDDGTKVFHLARRLRISIPSSLFKQCCLMNLKKKILDKSIMPKLFIAKDAAVEDVRDNSLLVEEIFLKADSKKIRA >KGN62683 pep chromosome:ASM407v2:2:17808393:17812220:1 gene:Csa_2G368840 transcript:KGN62683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVCSNGIVKDDFVSEKIIQASEDRKGNSYLNSEARDPNEMPEKSRSDVILLPSPPSKTGSNKVAPMNAQAGARGRAVDLWKTIGISVSNFHINSGVSTGMAPSGREISILAFEVANTISKVANLSKSLSEENIQLLKNELLQSEAIKQLISASLEELLSIAAADKRQEFGVILREIIRFGNRCKDSQWHNLDQYFSRLDSNDSSQKQAREARAALQELTVLAQNTSELYHELQALERLEQDYRRRVEEVEFLNQAGIGETLSIFQGELNVQRKLVRSFQSKCLWSRNLDEIVEKLVIVVTWINQTIIKEFGVDNTDKTLLIKDRSNGQKLGAVGLALHYANIISQINLIACRPTSIPSNMRDALYRALPTSIKIALRSRLRAVDAREEPTYYDVKTEMDKILEWLVPIAANTSKAHQSCGRIGEWATQSKEHSKGRATQNNNANRLQTLYYADKVKTELQILELVTLLHHLIHLAKHQQRRSSSLRCRSPTPKDMANTSRRIQFKSQIIRTTKDGFPTDNIPSPGQTPIRKKVLGNKKGMESYKNENKGIWTLSKAVSVSTLRSLGRV >KGN62567 pep chromosome:ASM407v2:2:17145296:17146431:-1 gene:Csa_2G360840 transcript:KGN62567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQPPSSISLLFLLLFFFISPITAANVTVLFKEAPQFYNSPDCPLVFIGQEDSHQLCSDDAVHVAMTLDTAYIRGSMAAILSVLQHSSCPQNVIFHFVSSASANASALRATISSSFPYLKFQIYPFDDGAVSRLISTSIRSALDCPLNYARSYLADLLPLCVRRVVYLDSDLILVDDISNLANTQLNDAVLAAPEYCNANFTSYFTPTFWSNPSLSLTFANRNPCYFNTGVMVIDLSRWRLGDFTSKIEEWMELQKRMRIYELGSLPPFMLVFAGNIVPVDHRWNQHGLGGDNFRGLCRDLHPGPVSLLHWSGKGKPWARLDANRPCPLDALWKKKTGVGEEEGIEF >KGN62835 pep chromosome:ASM407v2:2:18892205:18895352:-1 gene:Csa_2G375750 transcript:KGN62835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTYLRELEGKLSNDPFFTEKMNGGGGVDGNVGMRRVWIPGPVIVGAGPSGLAAAACLKQRGVPSVILERSNCIGSLWQLKTYDRLRLHLPKQFCELPFMGFPVEFPTYPSKQQFVKYLEDYAERFDIRPRFNETVIEAEYDRTLGFWRVKSKRGRSEETEYVSRWLIVATGENAEAVVPELDGMDVFGGSITHTSLYRSGEEFRGKKVLVVGCGNSGMEVCLDLCEHSATTYLVVRDTVHVLPREMLGRSTFGLSMWLLKWFPIRLVDAFLLMVSRFILGDTARFGLDRPIMGPLRLKNSCGKTPVLDVGTLAKIRSGHIKVRPSIKRLKRQAVEFVDGKTERFDSIILATGYRSNVPSWLKEGEMFGKEDGMPRMPFPKGWKGESGLYAVGFTKRGLLGTSMDAKRIAEDIERCWKADAKLCTPTMQSPPST >KGN61144 pep chromosome:ASM407v2:2:4494985:4497446:1 gene:Csa_2G059750 transcript:KGN61144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISRDSMHKRRETGGKKKAWRKKRKYELGRQPANTKLSSDKSIRRIRVRGGNVKWRAFRLDTGNYSWGSEAVTRKTRLLDVVYNASNNELVRTQTLVKSAIVQVDAAPFKQWYLQHYGVDIGRKKKTSASVKKEEEGDAGTEEVKKSNHVQRKIEKRQQDRKLDPHIEEQFSSGRLMACISSRPGQCGRADGYILEGKELEFYMKKLQRKKGKGAGAA >KGN61473 pep chromosome:ASM407v2:2:8573387:8577052:1 gene:Csa_2G138770 transcript:KGN61473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFSRLRRCFSQKPSPYTPTSPPVRMEEKTSWTRGIDHQKEDISWGNNEEKSSVAMYRIENELKSMNEEVATHCSFGPVGDDIFRWEGVVIGPAGSCYEGGIFHVSIQFPSDYPFTPPSINFLTKIFHPNVEVDGSIGMDILNENWSSALTIEKLLLSICSILSNPIPHGSTNEASTMFLNNWLDFNDTAKQWTKLYAMPN >KGN62064 pep chromosome:ASM407v2:2:13913761:13915054:-1 gene:Csa_2G294910 transcript:KGN62064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNIILCSYFLCSLVNFFGKVMGPKYNGLYLRSLIKGLLGDITLKQTLSQVVIPAFDIKLLQPVIFTTIEAKCSELKNPKLADVCISTSAAPTFLPGYEFQTKDSKGNIRNYEMVDGGVAANNPTLAAMTHVTKEMSILRHRSELLKIKPMETERMLVLSLGTGTPKNDEKYSAAKASKWGMLDWVYHGGGTPIVDIFSDASADMVDYHISSIFQSDHCHKNYLRIQDDTLSGEVSSVDIATEENLLNLIYVGENLLKKPLSRVNLESGKFEPLDAKGTNEQALAEFAKMLSNERKLRLSP >KGN61213 pep chromosome:ASM407v2:2:5420087:5422338:-1 gene:Csa_2G070300 transcript:KGN61213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGGAQKKDVAVAIDKDKCSQYALKWAIERLLSRGQVVTLLHVKQKPSSSAAHSITSLSADSDVDEAALNRQQIENHARDQFFLPFRCICTRNNIACNEVMLEEGEIPKTLTNYVTKNVVDILVLGAPTRSIYKRFKSDVPSTVSKGAPDFCTIYTIASKGKVTVKQSTAPAPVKPVASPCTQPQPPPPRPQLLHQLTNTSEVVSLETNQSHTQSAKCLETQTSIKKVPPRSFQEDWEIKYVVFALSYTHIS >KGN62647 pep chromosome:ASM407v2:2:17552105:17556471:1 gene:Csa_2G363570 transcript:KGN62647 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine protease MERFNAIPLFFAILLSATVAYGVSSDQINSAVSDEEDILIRQVVSGADDRPLTAEQHFQDFKLKFGKTYTTDEEHDYRFRVFKANLRKAKRHQKLDPDAVHGVTRFSDLTESEFRENFVGLNRLRLPADAHQAPILPTDNLASDFDWRDQGAVTPVKDQGSCGSCWSFSAVGALEGANFLSTGKLISLSEQQLVDCDHECDPEEAGACDAGCNGGLMTSAFEYIVKAGGLEREEDYPYTGTDRGSCKFQNGKIAASAANFSVISNDADQIAANLVKNGPLAIGINAVFMQTYMKGISCPYICSKRNLDHGVLLVGYGAAGFAPIRLKEKPYWIIKNSWGENWGENGYYFICKGKNICGSESMVSSVAAIPK >KGN62889 pep chromosome:ASM407v2:2:19238473:19240735:1 gene:Csa_2G379200 transcript:KGN62889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGKYVQNNSLPKYCSARHCISREVKFWFSHRAERGVFLKLTASLILRQFLEREHQRRGREETTPLLKFQSSFSRFHKPRGEWRIETMLRKRTRSVQKDQYRMNQMNVPCSGSELHTKCSSIFKRSHLFTGLSPKGLESDSAKSPTSPLDFWVLSSLGNPLRSPRSSSNEGHRKNWDSSKVGLSIIDSLNNDDSKLFGKVLRSSDSKTALFGPRSVAKKSNCPPQANLIQGPKSLPKNYAIFQVPKTKTPMEQGNSDVIFEIGETPLECEPFGNYSRSFDSYRAFAPRSVINGHSVSSSSTTTESAASPCLGEEPRVSEKYPLTKPCSTSLGLSCDNGSNKPLSASEIELSEDYTCVISHGPNPKTTHIFGDCILGCHSNYLSSSSENEMKEMEFPRPLKSLNTSTSYSLTDFLSMCYSCHKKLDEGKDIYIYRGEKAFCSLTCRSQEMLMDEELEKSIDKTSESSPKSSADHDEDLFETSIGGFA >KGN62358 pep chromosome:ASM407v2:2:15990594:15994546:1 gene:Csa_2G350410 transcript:KGN62358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLSDKPRPIDIYKEEGSRDMLIEVASNGDHHIQPHQPQPQPQQTHQQHQMMLGDSSGEDHEVKAPKKRAETWVQDETRSLIALRREMDGLFNTSKSNKHLWEQISTKMRERGFDRSPTMCTDKWRNLLKEFKKAKHHDRGSGSAKMSCYKEIEEILKERSKSTQYKSPTPPKIDSYMQFSDKGIEDNGLSFGPVEGGRPSLNLERQLDHDGHPLAITAADAVAATGIPPWNWREAPGNGGESQAFGGRVISVKWGDYTRRIGVDGTAEAIKEAIKSAFGLRTKRAFWLEDEDQVVRSLDRDMPLGNYTLHLDEGVAVKICLYDESNHLPVHSEDKVFYIEEDYRDFLARRGWTSLREFDGYRNIDNMDDLRSGALYRRNIDNMDDLRPGAIYRGVS >KGN62543 pep chromosome:ASM407v2:2:17024182:17030343:1 gene:Csa_2G360600 transcript:KGN62543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVSLSSFSHPPSVVVPSPCLQFYGRILLPRRTLACSSSTSVSSSSITSSSSISAPKVVVTRERGKNGKLVNALARHGINCLELPLIQHRQGPDLNRLHSVLSDSVFDWIVITSPEAGLVFLEAWKAAGMPKVRIGVVGAGTANIFEEVLQSSKLLEVAFAPSKAIGKVLASELPKLGNTKCSVLYPASTKASNDIEECLSNRGFEVTRLNTYTTAPVDYVDQTILEQARSLPVVTVASPSAVRAWVNLISDPKEWDKSLACIGETTAAAANRLGLKNVYYPKNPGLEGWVDSILEALRSEAQVVGHFSE >KGN63303 pep chromosome:ASM407v2:2:22157346:22158066:1 gene:Csa_2G425800 transcript:KGN63303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFQDTIFSAPFFLFSQNLLLFFSITYLKTFTIKPHHNNHNNNNINYNIMRHLCLLSLLLLPLLLPTTTSNLLVHGRALQPDATVEIGSHAHEFKLKPKDDDGGGDSGGGGSDTSNQRVFTLASGPSRRGDGHK >KGN61438 pep chromosome:ASM407v2:2:8161073:8163390:1 gene:Csa_2G122020 transcript:KGN61438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLNKTVAHYSQNADLTKDDNFGDTTLSLNCFGFGGRKSSGCEVALNDLNFNFSYSPDDGCRLVLGLGPTPSANCDDYYNVGYNKTKAQVASLPEEISPSDSVLQLGLSGGTNEVSSVVECSVSAETDVSTTYLISQWAAEANQLSIPLVDEGSTSAKKSGGYMPSLLFAPRMGTSNILIQQEILETDSRNQLSQGLSPTVEYSLGTVIDQTTKSVCSDHQANNPKRCKYFGCEKGARGASGLCIGHGGGHRCQKPGCTKGAESRTAYCKAHGGGRRCQHLGCTKSAEGKTEFCIAHGGGRRCGYSGGCAKAARGKSGLCIRHGGGKRCKMDGCTRSAEGHAGLCISHGGGRRCQYECCTKGAQGSTMYCKAHGGGKRCIFAGCTKGAEGSTPLCKGHGGGKRCLFDGGGICPKSVHGGTNFCVAHGGGKRCVVSGCTKSARGRTDCCVRHGGGKRCKFENCGKSAQGSTDFCKAHGGGKRCTWGEGKCEKFARGKSGLCAAHSSMIQDRETNKGSLIGPGLFHGLVSASAASTVGDSFDHYKSSSAISFICDSIDSAEKPMKRHQLIPPQVLVPSSMKSSASYSSFLSTEKGEEDGNGYCIGTKFLEYSIPEGRVHGGGLMSLLGGHLKMKNMSDGI >KGN60855 pep chromosome:ASM407v2:2:2392567:2396125:-1 gene:Csa_2G014580 transcript:KGN60855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRLKVGDGGNDPYIYSMNNFVGRQIWEFDPNAGTPEERVEVERLRQNFINKRHKENSFLSADLLWRLQFLREKKFKQSIPQEKVEDGEEISYEKASNAMRRGAYFLAAIQASDGHWPSETSGPLFYMCPMLICIYVMGIMDTILSPEHKKEMLRYIYNHQNEDGGWGLHVGGHSNMFCTTFNYISLRLLGEGPEVEQLFRSRNWIRHRGGVTSIPSWGKTWLSILNVFDWSGSNPMPPEYWMLPTWLPIHPLNMMCYTRITYMPMSYLYGKRFQAPLTSFILQLRDELHTQPYCQINWKKARHMCAMEDLYFPHPFVQDLLWDTLYLLTEPLLTRWPFNKLIRQKALNETMRHIHYEDENSRYITIGCVEKVRNNPSGDYKSMFRYMSKGSWTFSDCDHGWQLSDCTAENLKCCLLLSLLPPEIVGKKMEPERFYDAVNVILNLQSKNGGIPAWEPASSYYWMEVYEKYLPST >KGN62150 pep chromosome:ASM407v2:2:14568003:14574639:-1 gene:Csa_2G302170 transcript:KGN62150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLLVPYSSDPSLKTIQWPPFLLASKIPIALDMAAEFRSRDSDLWKRICADEYMKCAVIECYESFKNVLNVLVVGENEKRIIATIIKEVEDNISKNTLLTTFRMSPLLILCKKFVELVEILKDGDPSKRDTVVLLLQDMLEVVTRDMMLNEDREMAELGHNKDSGRQLFAGTDTRPAINFPPSVTAQWEEQIRRLYLLLTVKESVTEVPINLEARRRIAFFTNSLFMDMPRAPRVRKMLSFSVMTPYYSEETVYSKTDLEMENEDGVSIIYYLQKIFPDEWNNFMERLNCEKDSEIWENEENILHLRHWASLRGQTLSRTVRGMMYYRRALKLQAFLDMASESEILEGYKAITVPSEEDKRSQRSLYAQLEAVADMKFTYVATCQNYGNQKRSGNRRATDILNLMVNNPSLRVAYIDEVEEREGGKAQKVYYSVLVKAVDNLDQEIYRIKLPGSAKVGEGKPENQNHAIIFTRGEALKAIDMNQDNYLEEAFKMRNLLEEFNEDHGVRPPTILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLARPLKVRFHYGHPDVFDRLFHITRGGISKASRGINLSEDIFAGFNSMLRRGNITHHEYIQVGKGRDVGFNQISLFEAKVACGNGEQILSRDIYRLGHRFDFFRMLSFYFTTVGFYVSTMMIIITVYVFLYGRLYLSLSGLEKSIMRYARSKGDYPLKAAMASQSVVQLGLLTALPMIMEIGLERGFRTAIGDLIIMQLQLASVFFTFSLGTKVHYYGRTILHGGAKYRATGRGFVVRHEKYAENYRMYSRSHFVKGLELLILLVVYQIYGTAASDAIAYIFVTFSMWFLVVSWLFAPFLFNPSGFEWQKIVDDWDDWSKWINSRGGIGVPANKSWESWWDEGQEHLQHTGFVGRFWEIVLSIRFFLYQYGIVYHLHVAGNNKSIAVYGLSWLVIVAVMVILKIVSMGRKKFSADFQLLFRLLKLSLFIGSVVVVAMLFTLLHLTVGDIFASILAFMPTGWAILQIAQACRPITKAMGMWGSVKALARGYEYMMGVVIFAPVAMLAWFPFVSEFQTRLLFNQAFSRGLQIQRILAGGKNK >KGN61891 pep chromosome:ASM407v2:2:12629687:12632703:-1 gene:Csa_2G263860 transcript:KGN61891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPARNPIHIASVWVRRQPPKVKAFMAVVLGMLTLVVLRFIVHDHDNLFVAAEALHSIGILVLIYKLTKERTCAGLSLKSQELTAIFLAVRLYCSFVMEFDIHTLLDLATLATTLWVIYMIRFKLKSSYMEDKDNFALYYVIAPCAVLALLIHPSTSHHFVNRVFWAFCVYLEAVSVLPQLRVMQNTKIVEPFTAHYVFALGIARFLSCAHWVLQVLDSRGHLLVALGYGLWPSMVLISEIVQTFILADFCYYYVKSVLGGQLVIRLPSGVV >KGN62849 pep chromosome:ASM407v2:2:19009430:19014042:-1 gene:Csa_2G377360 transcript:KGN62849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLNMDLNAPHSMGTTIIGVTYKGGVVLGADSRTSTGVYVANRASDKITKLTDNVYVCRSGSAADSQVVSDYVRYFLHQHTIQLGQPATVKVAANLVRLLAYSNKNMLQTGLIVGGWDKYDGGRIYGIPLGGTIIEQPFAIGGSGSSYLYGFFDQAWKEEMSREEAEQLVVKAVSLAIARDGASGGVVRTVTINSEGVTRKFYPGDQLPLWHEELEPKNSLLDVLNASSPEPMNI >KGN63217 pep chromosome:ASM407v2:2:21391836:21395208:1 gene:Csa_2G416080 transcript:KGN63217 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oligopeptide transporter MVLVSKHGSGGEEDDAAVDFWGNPVDKSKTGGWLAAGLILGTELSERICVMGISMNLVTYLVGDLHLTSAKSATIVTNFLGALNLLGLLGGFLADAKLGRYLTVAIFASITAVGVILLTLATTIPGMRPPHCDDSTRQLHQCIEANGGQLAMLYAALYTIALGGGGIKSNVSGFGSDQFDTNDPKGEKAMIFFFNRFYFAISIGSLFAVIVLVYVQDKVGRGWGYGISGGTMAVAVIVLLCGTTFYRFKKPRGSPLTVIWRVVLLAWKKRSLPHPAHPSFLNDYQNAKVPYTYRFKCLDKAAILDEYATTGPNNPWIVSTVTEVEEVKMVFKLIPIWSTGILFWTIYSQMTTFTVEQASFMERKVGSFEIPPGSMSAFLFIAILLVTSLNEKLFIPIARKLTHNVQGLTSLQRIGIGLVFSIFGMVAAGAVEKERKASAVGQSTRISAFWLIPQFFLVGAGEAFTYVGQLEFFIREAPEQMKSMSTGLFLSTLSMGFFVSSLLVTIVDKVTNKQWLRSNLNKGHLSYFYWLLAVLGLLNFFFFILLARKHQYKDQHYVSSKDNNEELKISNDMVALEMEGPISKKAAET >KGN61137 pep chromosome:ASM407v2:2:4423412:4424373:-1 gene:Csa_2G058700 transcript:KGN61137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPENLLHLLQTTQKCTFGCPIIDRSVGGGVACSSLTEIVAESGCGKTQLCLQLSLCAQLPLSNGGLNASSLYIHTEFPFPFRRLQQLSQAFSSSYPQFSNPSDHIFVEPVHSAHQLFDIMPKIESSLENRNSQLPIRLIVIDSIAALFRSDFNNTPSDLKRRSSLFFKISGKLKLLAKKYSLAVVLTNQVVDLMGSSDGLNALRIGNLKFLCSSERRVCPALGLAWANCVNSRLFLSRNEEVVGEGEGMMNGSELVQRRRTRRWLHVVFAPHLPKSSCEYVINREGVVGVESQ >KGN61188 pep chromosome:ASM407v2:2:5187406:5191135:1 gene:Csa_2G062630 transcript:KGN61188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMLFEQQLRQPPEDAGVTRRTMTVTMPGAERKRRVVEEERLEIVDLSGISLNSLPNPNLNLTTICKLDLSNNNLQSIPESLIARLLNVVVLDVHSNQLKCLPHSIGCLGKLKTLNVSGNLIASLPKTLVDCRSLEELNVNFNKLMKLPDALGFELTNLKKLSVNSNKLIYLPHSISHLTNLRVLDARLNCLTSLPDDLENLIKLEVLNVSQNFHHLQTLPYSIGLLLSLVELDISYNGITTLPESIGCLKRLHKLCVDGNPLSSPPSLVFEQGLHAVKEYLSEKMNAGHQNSHKKKSWVGKLVRYGTFNGGYGYFRTTEPREDREAFMWSQYRSIDGLTSPRYTGMFSARRFFTTRGYFTR >KGN61910 pep chromosome:ASM407v2:2:12765945:12766512:1 gene:Csa_2G264050 transcript:KGN61910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEITKLAMGVLVLFLSAISARSEYYSKTVPRVQLKEKVTNLHFFLFDILSGKKPSAVEVAHANITIGEQSATPFGSVYAVDDPLREGPDPESKVIGNARGLYVSASQGADLCLAMYIDYGFTTGPFNGSSISVFSRNPVTEQRREVAVVGGRGKFKMARGFAKLKTHYLNVSNGDAIIEYNVTVFHY >KGN61431 pep chromosome:ASM407v2:2:8092059:8099136:-1 gene:Csa_2G120970 transcript:KGN61431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDHSGKAAGANASKLPIPGKRNILITSALPYVNNVPHLGNIIGCVLSADVFARYCRLRGYNAIYICGTDEYGTATETKAMEEKCSPKEICDKYHAIHKEVYNWFGISFDEFGRTSSPQQTEVCQAIFGKLLENNWLSENTMQQLYCDTCERFLADRLVEGICPTPGCEYDSARGDQCEKCGKLLNPTELQDPRCKVCQTTPRIRDTNHMFLELPLLREKLEEYINKMSVAGSWSQNAIQATNAWLKEGLKPRCITRDLKWGVPVPLERFKDKVFYVWFDAPIGYVSITSCYTNEWEKWWKNPENVELFQFMGKDNVPFHTVMFPSTLLGTGEDWTLMKTISVTEYLNYEAGKFSKSKGIGVFGNDAKDTNIPVEVWRYYLLANRPEVSDTLFTWADLQAKLNGELLNNLGNFIHRVLSFIAKPLGQGYGSIIPDVPDVVSHELTLSLADKVGKYVDQYMESMEKVKLKQGLKAAMAISSEGNIYLQTAQFWNLYKADKPSCDIVMRTSAGLVYLLACLLEPFIPSFSLEVFRQLDLPLERHISLCEEKGDIETVKQPWKILPSGQKIGTPEPLFKELKDEEVELYRDKFAGSQAQRIVRAEAEAEKLAAQLKKTNVSGGGKKQQAKSTGGKQKAAVEQEITITRLDIRVGLITKAQKHPDADSLYVEEIDVGESQPRTVVSGLVKYIPIEEMQNRKVCVLCNLKPATMRGIKSQAMVLAASNSDHTKVELVEPPKEAKVGERVKFGGMEGDADDVLNPKKKVWETLQVDLCTNGDLVACFKDIPFTTTAGICKVSSISNGSIR >KGN62820 pep chromosome:ASM407v2:2:18783866:18786836:1 gene:Csa_2G374620 transcript:KGN62820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDRDDGRTPNQLRPLACSCNVLNRAHGSASWSQGDTKVLAAVYGPKAGTKKNENPEKASIEVIWKPKTGQIGKLERECEMILKRTLQSICILTTNPNTTTSIIVQVIHDDGALLPCAINASCAALVDAGIPLKHLAVAISCCLSENGYVILDPTKIEEEKMKASVHLVFPNAPVSVVPEGSTQGVGEPLEHGIITSVTQGAMSVDDYIHCLERGRAATTKMSAFLRRSLQPQLPMNSSKAG >KGN61184 pep chromosome:ASM407v2:2:5021534:5022077:1 gene:Csa_2G061610 transcript:KGN61184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPMTEKERCFRFGIQRRHSKYGKVDENKEIRFGEGTKDLEKGEGLRGGRRITRGRKEWEGEC >KGN61726 pep chromosome:ASM407v2:2:11395269:11401281:-1 gene:Csa_2G234530 transcript:KGN61726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTNKNDDVAFDFFPFLRVYTDGRVQRLMTTSDIVPADADDPKSPFRSKDVTISTDPAVSARVFIPSSADPNQKLPLLLYVHGGAFCIESAFSLQYHQHVGSLAAKANAVAVSVEYRLAPEHPIPACYEDCWDALRWVAAHVNRDGSEPWLNTYVDFNRICLAGDSAGANICHYLAARASSSAEELGGAKVVAMALIHPFFGDGGENRLWKYLCSETKLLRPTIEDLAKLGCKRVKIFLAENDFLKSGGKNYEEDLKSSGWNGTVETVEHGEENHVFHLKKPECEKAVDLLEKLASFINLD >KGN61864 pep chromosome:ASM407v2:2:12527439:12529189:-1 gene:Csa_2G258600 transcript:KGN61864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIRSLDSFASFFHHSSQFKNLKTIKKIHAHLLRTHFQFFSPNLHSKLILSYSQIIPNFDAKSLNGIFQCIIPRTSLTFNVMISDFSRHGFQDFALMGFSFMHSNGVPIDTYALCSSLNGCSFVQNVVYGKQIHAFVGKSGWLCSVFVGSAIVDMYAKNSLIYDAVEVFDEMPMKNTVCANALLAGYGEARMWVEGLELLRKMQGLDLKYDQFTLSASLRACTGLSAIALGKQVHAYILRTVYDITSDVHLQSTLVEMYGKNGMVEKAWNVFKFAGLGRQEDGKRDIIMWTSMLSVYGRNGCYKRVIELYNQMLMEGIKPDRVAFVTVISACGHTGEVNLGVQYFDSMQSDFGLEPGQEHYSCLADLLCRAGELEKAWKLVNDIKSRDYNVSLWGALLRACLEQGNIKLGNLAARRALELDPQNTGILVMLSNLYAGFGLWNEIEHLRESVRKEGLYKDVACSWIEITS >KGN61247 pep chromosome:ASM407v2:2:5770233:5775097:1 gene:Csa_2G074090 transcript:KGN61247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIAEIPSPSQSQSQSQSQSQSQSQQQQSNSQFRFHLFNPILLQIETLIKKAELFSSVSAADHPLSPAIPDDLRHSLTHLAQFTPFPNSTKLHIWKLSYRLWNACVDLSNTSAARRSSTDHANLRHVASDLLYLAGDVTGVPSPAVKFASFYYKTGLIWHGLKNFELASSCFERASDIVSKIDLTSVVDSDAKKLLLDLNIARARTAWQVSDKNLAMVLLSRAKGLMFGSPEHYKALGDEYLSFGKIELSKGETQAFREALKLMNEAFDLFEKGLRVARGREDMVEFKALRSKTLRFISAVHLQVEEFESVIKCVRILRDGDCGDNHPSLPVLALKAWLGLGRHGEAEKELRGMIENKGIPESAWVSAVETYFEAVGGAGAETAMGVFMGLLGRCHVSAGAAVRVAYKVVGHGGEVSEVRARVAAKLVSDERVLTLFRGETTAKQRKAMHTLLWNCAADHFRSKGYVISAEMFEKSMLYIPYDIENRNLRAKGFRVLCLCYLGLSQLDRAQEYVNEAEKLEPSIACAFLKFKISLLKNDNTTAINQIQSMMSCLDFTPDFLSLSAHEAVACRAFPVAVASLNSLLDFYSTGKSMPAREVVVFRTLVTILTQESNDDSEILRVLKRACDRAVELGPGCFFGEAEVGKREQKWFSVACWNFGTKMGRERKFELCSEFMHLASKFYAALADEEQVEEHNVLVFRSLTLTVAATIASEEQTKTTLTNAKIKQAKELLDRAGKIMKLSSTENQVNNEEIHRQEAENFFIYTVTAYDIHGRLNDTVSQQQLVKSFASSKVCNSKYLLQIGLYALQGPRFNQEVANFALKECLSAQLSSPSPDYQTVALVFRKLVGITSINKGEGDDEAVYEMYQRAYRIMVGLKEGEYPLEEGKWLAMTAWNRASVPVRMGQCEMAKKWMDLGMEIARHVGGMETYSSCMEEFVNGFQNKFSMQTE >KGN61982 pep chromosome:ASM407v2:2:13327656:13332014:-1 gene:Csa_2G279190 transcript:KGN61982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSTSLWEIPSSSAILYIFLTLFSFLISISFACFNGNCQVLEACTDATDCGSGLYCGNCPASGKNQPVCTRGQAIVPTSVINGLPFNKYTWLVTHNSFSIVDAPQLDGVQRLTFYNQEDTVTNQLRNGVRGLMLDMYDFQNDIWLCHSFRGQCFNFTAFQPAINTLREVEAFLTENPTEIVTIIIEDYVHTPKGLINLFTNAGLDKYWFPVSKMPKKGEDWPTVTEMVQQNHRLLVFTSIASKEAEEGIAYQWKYMLENEPGDPGVVPGSCPNRRESKPLRSRSSSLFLQNYFPTYPVEAEACKEHANPLFNMISTCYKASGILPNFLAVNFYMRSEGGGVFDALDKISGQTLCGCSTLAACQAGAPPGTCKSIPAPNTGSMSSTSGSFTGSVQFSKSSASRVHSPNLLVLWFFYLPLLALLKTTINTHLIGF >KGN62751 pep chromosome:ASM407v2:2:18276454:18277677:-1 gene:Csa_2G370500 transcript:KGN62751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPNCSQNNKSFLLKSSTTLQLPALFFPIHWLKFCGVQFEFESSICRTATVFRFQISSLREKLAPFHISYFRIYL >KGN61936 pep chromosome:ASM407v2:2:12966406:12969545:1 gene:Csa_2G270780 transcript:KGN61936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDGELESSNPEVFSSSNAIELPSSCSMDSFFDEILKDTHACTHAHTCNPPGPDYSHTHTCFHVHTKIVSSPTEEKVSTDDTADSVDKKNKKRPLGNREAVRKYREKKKARAASLEDEVVRLRALNQQLLKRLQGQAALEAEISRLKCLLVDIRGRIEGEIGNFPYQKPTNSNPPNQNVSGSYMINPCNVECNDQAYCLHPGDDGKSGESALLNGQSFSACDFENLQCLANQNTGAKEPPDCGLGNTIANVNCAELNPKKGGGVCKATKNGKS >KGN61128 pep chromosome:ASM407v2:2:4317020:4317280:1 gene:Csa_2G058610 transcript:KGN61128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKFQPLSGYLLLDFLFPTLLHYSLTLQGVSLFDVDQQHGASFYVIPTEFIDEVLVLVLGNCICQYQNYQRGFLLQLLCCLLIQLG >KGN62183 pep chromosome:ASM407v2:2:14825893:14827078:1 gene:Csa_2G317400 transcript:KGN62183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSSTNSVNGFYTFLTRGIDDLERVYLSNNFMSIQFLQRVLSHLRSFHSQLILLVQKLHLPVGEKWLDEYMDESSKLWDACHVLKSGISGIENFYSAGFNITSSLETHRHLSPQLSRQVIRAISGCRREAVGLEEENRALMEARIQPLSLRFDEKVSIESKLNGFNGFRGVLYAMRNVSSLLLMILLYGLVYCWPESNFVRGGYEGCLFFGSAFMISTARLQERVAGEINQMNGRPGILLYEFRRSKMAMEELRAELERRVGMGSQGLVEWETEIGIRERVENLRACFGVLRSGAENIVCQLDDFIDEIVEGRKKLLDFCSHR >KGN61699 pep chromosome:ASM407v2:2:11042204:11046751:-1 gene:Csa_2G227860 transcript:KGN61699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTGMVQDQNLEKQIEKQMGCMAGFLHIFDRHQILAGKRLYSTKRLPPSVGNSTPPPPENSISLPEATVELEKLQQTRTMPSPDRVKHFASVTDLRSPAPEPATPVQTKPKHTLPLPVFEYKEGNRSLWKFSREAPRLSLDSRAIVDGKGSIYPREIRTNASILSANRSETSTEEGDEQRRSPSVIARLMGLEPLPNSEPEPIKNAELRRSASESRVSKDFYHNRFIDGNNFRLKQSQHLSSQDNNGSNVLIKNAANMDHSSNVKMLDRSDFAARSTKAEPVRSQRGIGPRKIFFDSGDVFPEPKQPASIYGEIEKRLKMRGIDEPSKDLETLKQILEALQLKGLLHSKKSPSQRKLVYDRISSQAESPIVVMRPARSPTSVNRLGRISNDSPPSSYRARQIGRRNVNVTSDSMPSVTTRSDRLEFDRNLRNQPRNRFSNSPTRSESNVKSPSRRGLFVETQRRINDPVDQRRSSKINSSKFGSDPQMSNRSPKNRKPMGSVHHPKERKIYISQAEDESSTFSESSISNSSQTDTERSNKIEEYKEGRTLLERCGKLLHSIAEITASTELQPSPVSVLDSSFYKEESSPSPVLKRQIDFKDQVVDVEDEGWFQAISSMELGLADGSDEGDFVYVMDVLRASRCLQDDDSDIFLLLEEQQYLKGKDVSKVPRLQRRLIFDTITEILDRNRQLPPWKSNAQPESMTEPTSVQEIWSEFQRMRDRENDTSEDLFEVICSVLKKDLTRDAPSGWRDWPVETSQAVLDIERLIFKDLIGETIRDLATITGKCNLNNAINMMPRRKLVF >KGN61795 pep chromosome:ASM407v2:2:11954527:11954904:-1 gene:Csa_2G247070 transcript:KGN61795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQQKEKPSTAANPPIPSCRKKKNEEATFLEDLKDHIDEFINASMDEHKSCFKKTINKMFRMSKVVADRNSETNGVESSLPLRTTVSE >KGN62482 pep chromosome:ASM407v2:2:16679953:16681895:-1 gene:Csa_2G356070 transcript:KGN62482 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin D3.1 protein MVPPYALDALYCSEEHWEDDDDDDEEQETAFRFDNQSYSNLTTETSSPILAVVAHHDLLWEKDELISLFSREKPNELFKTIQIDPSLAAARRTAVEWMLKVNAHYSFSALTAVLAVDYLDRFLSCFHFQRDKPWMSQLAAVACISLAAKVEETQVPLLLDLQVEDSRYLFEAKTIKKMELLVLSTLQWRMNPVTPFSFVDYITRRLGFKDHMCWEILWQCERTILSVILESDFMSFLPSAMATATMLHVFKAMEEPHCSVDYHSQLLNILGIDKGNVEECCKLISNASRRNGNQFNKRKFGLSIPGSPNGVMDVAFSSDSSNDSWSVASSVSSSPEPLTKKNRVNGSVTGDCETFRTLS >KGN60642 pep chromosome:ASM407v2:2:928082:928336:-1 gene:Csa_2G005880 transcript:KGN60642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEIKEIVVLTERRDTAFILIGVFGHRLAMESLECGKGLNGSRWAITMSEEET >KGN61235 pep chromosome:ASM407v2:2:5624418:5641670:-1 gene:Csa_2G072490 transcript:KGN61235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVATSSTVSNLTQRRPLLSLKDQTTPIKRVNSVQLPSRSISAHLSRFDVDSRFVVPLRRHSRDDGIGRHKFRRNKDNARRPCAYKIGEHGNETLTNCISCFLNQKRRCPSIKRPTSRFILDKSAFQLSKNERDDRVVKHARIVCGTVGPDEPHAAPTAWPDGILEKQDLDVSYPEFGRAELEAFLSSELPSHPKLYRGQLKNGLKYLILPNKVPPNRFEAHMEVHVGSIDEEDDEQGIAHMIEHVAFLGSKKREKLLGTGARSNAYTDFHHTVFHIHSPTSTKDSDGDLLPSVLDALNEIAFHPKFLASRVEKERRAILSELQMMNTIEYRVDCQLLQHLHSENKLSKRFPIGLEEQIKKWDADKIRKFHERWYFPANATLYIVGDIDNISKAVNQIEAVFGESGLENEAVSTPNPSAFGAMASFLVPKISVGLGGSLSNERSNSVDQSKIVKKERHAIRPPVMHNWSLPGSNVHANPPQIFQHELLQNFSINMFCKIPVNKVRTFSDLRNVLMKRIFLSALHFRINTRYKSSNPPFTSIELDHSDSGREGCTVTTLTVTAEPKNWQSAIKVAVQEVRRLKEFGVTKGELTRYMDALLKDSEHLAAMIDNVSSVDNLDFIMESDALGHTVMDQRQGHESLVAVAGTVTLEEVNSIGAEVLEFISDYGKPTAPLPAAIVACVPKKAHIDGLGETEFKITASEITTAIEAGLREPIEAEPELEVPKELISSSQIAELRIQHQPSFIRLNPETNVTKFHDKETGITQCRLSNGIPVNYKISKSENKAGVMRLIVGGGRAAESPDSQGAVVVGVRTLSEGGRVGTFSREQVELFCVNHLINCSLESTEEFIAMEFRFTLRDNGMRAAFQLLHMVLEHSVWLEDAFDRAKQLYMSYYRSIPKSLERSTAHKLMLAMLNGDERFVEPSPKSLQNLTLQTVKDAVMNQFVGNNMEVSLVGDFSEEEIESCILDYLGTVTATTTSEAALASVPIVFRPSASELQFQQVFLKDTDERACAYISGPAPNRWGVTFEGLELLESISQISRTGESDESDNDIEKGLQRKLRSHPLFFGITMGLLAEIINSRLFTSVRDSLGLTYDVSFELSLFDRLKLGWYVISVTSTPAKVYKAVDACKSVLRGLHSNKIAQRELDRAKRTLLMRHEAEIKSNAYWLGLLAHLQASSVPRKDLSCIKDLTSLYEAATIDDVYIAYDQLKVDADSLYTCIGIAGAQAGEESIVSFEEEGSDQDFQGVIPSGRGLSTMTRPTT >KGN62418 pep chromosome:ASM407v2:2:16345626:16347484:1 gene:Csa_2G353460 transcript:KGN62418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSSKASHDSHGQNSSYFFGLQEYEKDPYHPIQNPSGIIQMGLAENKVCPDLLDEWMENNPDALGLRRNGVSEFRELALFQDYHGLPAFKKVLVESMEEIRGNKMKFEKNKLVLTAGATAANEIIISCLADPGEAFLVPTPYYPGFDRDLKWRTGVQIIPIHCSSSNGFRITEVSMEEAMEQAQSLNLRVKGIMITNPSNPLGTTLSQKELNSVVDFATTNAIHIVSDEIYSATVFEQPNFRTVMDPNLQKLPIWDRIHLVYSLSKDLGLPGFRVGMIYSNDPAVVDAATKMSSFCLVSSQTQYFVSQIVGDENFRGNYMQEMKRRIRKRRLMLESSLRQGGVRCLKGNAGLFCWVDMRHLLKYPSFEEEMEIWKTILYEVGINISPGSSFHCSEPGWFRMCFANMEEHTFKEAMHRLKAFLNSTSSLNGHELSPTNV >KGN62572 pep chromosome:ASM407v2:2:17162503:17168783:-1 gene:Csa_2G361380 transcript:KGN62572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETIRCSLCAARKFDAKIRFTVVVFEILLLLALDVAYAKSEDRQLERGAESIVSHACIHDQILEQKRRPGLKVYSVTPQVYDVSGTAKPIHRKGRALLGISEESDQQKSAKQPIRIYLNYDAVGHSPERDCQKVGDIVKLGEPPVTSSFLGSPSCNPHNNPPISGDCWYNCTLDDISGKDKRHRLHKALGQTADWFRRALAVEPVKGNLRLSGYSACGQDGGVQLPREYVEEGIPNADLVLLVTTRPTTGNTLAWAVACERDQWGRAIAGHVNVAPRHLTAEAETLLSATLIHEVMHVLGFDPHAFAHFRDERKRRRSQVTEQVLDERLGRTVTRVVLPRVVMHSRYHYGAFSENFTGLELEDGGGRGTSGSHWEKRLLMNEIMTGSVDTRSVVSKMTLALLEDSGWYQANYSMADRLDWGHNQGNDFVTSPCNLWKGAYHCNTTQLSGCTYNREAEGYCPIVSYSGDLPQWARYFPQPNKGGQSSLADYCTYFVAYSDGSCTDTNSARAPDRMLGEVRGSNSRCMASSLVRTGFVRGSMTQGNGCYQHRCINNSLEVAVDGMWKVCPEAGGPVQFPGFNGELVCPAYHELCSKDSVSVPGKCPNTCNFNGDCVDGKCFCFLGFHGHDCSKRSCPNNCSDHGRCLSNGLCECGNGYTGIDCSTAICDEQCSLHGGVCDNGICEFRCSDYAGYSCQNSSRLISSLSVCKNVMQRDMTGQHCAPSEPSILQQLEEVVVMPNYHRLFPGGARKLFNIFGGSYCDAAAKQLACWISIQKCDQDGDNRLRVCHSACQSYNLACGASLDCSDQTLFSSEEEGEGQCTGSGEIKLSWFNRLRSNLFVSNSTSKGGRFVK >KGN60558 pep chromosome:ASM407v2:2:382117:382679:-1 gene:Csa_2G000670 transcript:KGN60558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLPSWNARGLESLRAFRAVSDLIRSKNSSIMFFSTKSGEEVANQIKIMELLLTILLPSSCPSCDNTGAVNESISPKADAIMDSMLFSPNVSSEH >KGN62774 pep chromosome:ASM407v2:2:18469610:18469798:-1 gene:Csa_2G372700 transcript:KGN62774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHYGDSEKTLDRDSRYSEKRHSSREKGHGSSEQAKRSRRRWDEPDTVKKIEESYSEKVCTYV >KGN61093 pep chromosome:ASM407v2:2:4043612:4045345:-1 gene:Csa_2G049330 transcript:KGN61093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNNNELLTLILDRGSGSGFESKNEYLFAKVQMRIKLVSGNSAGTVTTFFLSSKGDYHDEIDFEFLGNTSGNPYIVHTNVFCEGIGNREMQFYLWFDPTADFHNYTIFWNHQHIVFYVDDIPIREFKNFQDKGVPFPQYQAMRLYSSLWDADNWATRGGLEKTDWSQAPFKAYYENYNEDGCFWYNGYSSCTPNSNSWLWGNFDYDYAMKGQMKWVQDNYMIYSYCQDSKKFPQGYPLECYLNTY >KGN62933 pep chromosome:ASM407v2:2:19523809:19524290:-1 gene:Csa_2G380620 transcript:KGN62933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLFMCFHFLRIKGSSVEGKDYGRNRLGKAVYVYPTMILTVICAFSSVKYDVKKVPRGAPARPIAKPPQSQY >KGN63180 pep chromosome:ASM407v2:2:21060279:21061201:-1 gene:Csa_2G406780 transcript:KGN63180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKIALYEKVFKQVDGDGDGKLSPPELQRCILGVGGSLTIEEAETVVEKLDSDGDGLVGWDEFVEFVEGVGEEEKVNDLKEAFRMYEMDGCGFITTKSLKRMLSKLGESRSIDDCKKMIAKFDLDSDGVLNFDEFKFMMS >KGN60557 pep chromosome:ASM407v2:2:367355:378622:1 gene:Csa_2G000650 transcript:KGN60557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQYCLYTCQAFFISLLAFLTFSICVEFDYGDEFSIISYDGDYSPPSPPPPTPFPHPPSFSCEGDLKGIGSLNKICELNSSLSFGDDVYIEGNGSLYILSGVSLSCPVMGCTIQINMSRDFSLGHNSLIVAGSLRIDALNISLVDGSVVNVTALAGNPPAQTSGTPSGYQGAGGGHGGRGASCVTDNTKLPDDVWGGDTYSWSSLHEPWSFGSKGGTTVKEESYGGEGGGRIWLETKNSIEVSGNLYADGGDGGIKGGGGSGGSIYIKAQRMTGSGRLSTVGGNGFAGGGGGRISINVFSRHDNTEFFAHGGKSYGCSENAGAAGTYYDAVPRSLIVSNDNLSTQTDTLLLTFPKQPLWTNVYIQNHAKALVPLFWSRVQVQGQIHLSVGAVLSFGLAHYASSEFELIAEELLMSNSVIKIYGALRMFVKMHLMWNSKILIDGGDNEIVATSLLEASNLLVLKESSSIHSNANLGVHGQGYLNLTGPGNLIEAQRLILSLFFSIYVGPKSFLRGPLDDSKSNNTRPRLYCELSDCPAELLHPPEDCNVNSSLPFTLQICRVEDLTVEGTITGSVIHFHWVRDIFVYLSGAISASGLGCTGGVGRGRIFANGLGAGGGHGGKGGDGYYNGTFIDGGVAYGDPDLPCELGSGSGNGSLAGETAGGGIIVMGSLEHSVVSLSLNGSLRADGETFGRVVGGKGGGELLNVGPGGGSGGTILLFVQTVSLSESSVISAVGGQGSSNGGGGGGGGRVHFHWSDIPVGDAYQPIASVKGNIYTGGGLGSSHGSDGENGTITGKACPRGLYGIFCEECPLGTFKNATGSDRGLCTKCPSYELPNRGIYVSIRGGVAKRPCPYRCISDRYHMPQCYTALEELVYAFGGPWLFGLILVGLLILLALVLSVARMKYVGGDELPATVPVRQSSRIDYSFPFLESLNEVLETNRTEESKSHVHRMYFMGPNSFSEPWHLSHSPPEQVAEIVYEDAFNRFVDEINDLAAYQWWEGSVYSVLSVLSYPLAWSWLQHCRKKKMQCLREFVRSEYDHSCLRSCRSRALYEGLKVAATPDLMLAYVDFFLGGDEKRVDLPPRLLQRLPVSVIFGGDGSYMAPFTLHSDNILTTLMGQSIPPTIWYRLVAGLNAQLRLVRYGHLKKTFEHVISWLETHANPTLSAFCMRVDLAWFQPTASGYCQFGLLLSALENDNVQPYAEGQHKLPIMPERRSCLPRFADRKPLDQLQITEQKMVQKRIFGGIIQAKSLEALKEKKDISYPLSFMIYNTKPVGHQDLVGLVVSMILLGDFSLVLLTLLQMYSISLLDFFLVLFVLPLGLLSPFPAGINALFSHGPRRSAGLSHVYGLWNITSMINVVVAFICGLINYLYHSSKKNPSFQTWNFSMDDSEWWMLPAGLALCKIIQARLIDWHVANQEIQDHSLYSNDPEVFWQT >KGN60835 pep chromosome:ASM407v2:2:2196552:2199884:1 gene:Csa_2G012660 transcript:KGN60835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDTKSTIAKDVTELIGNTPLVYLNRVVDDTCVARVAAKLEMMEPCSSVKDRIGYSMISDAEKNGSIIPGESVLIEPTSGNTGIGLAFIAAAKGYKLIICMPASMSLERRTILRAFGAELVLTDPARGMKGAVQKAEEIKAKTPNSYILQQFENPANPKIHYETTGPEIWKGSGGKVDALVSGIGTGGTVTGAGKYLKEQNPSIKLYGVEPVESAILSGGKPGPHKIQGIGAGFIPGVLDVNLLDEVVQVSSEESIETAKQLALKEGLLVGISSGAAAAAAIKLAKRPENAGKLIVAVFPSFGERYLSTVLFESVKRETENMTFEP >KGN62536 pep chromosome:ASM407v2:2:16980947:16981828:1 gene:Csa_2G360040 transcript:KGN62536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVFYQEDPPNPSRKCFLAGALKDAFCNCHTFRKPLPKFNDEDDYPTSDFDDTEEEIVSEIRTRAMEKLKRKTNLSDSFSWVFSPATKELYITSMEIEKMDESGNEDNMGDEFYSVRSFLTCCSTAATNEAFFSVEANFSRCSSLNGLNFLDEDLKRRTILQEVFHCEGWPFGLCRKAVLLPPLPKSPSESWLWSKGTKIVKTY >KGN62592 pep chromosome:ASM407v2:2:17254955:17255993:1 gene:Csa_2G361570 transcript:KGN62592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNIGAIVDNGAFLSRLPLYNPHLSPSNSKSNFINFRYDSHFSQTLTMAQNGTSEVQVSVRSPKVQKLTHENGESEDVTKSSMRLRVKKLSEKAVLPSRASALSAGYDLSSAIETKVPARGKVLVPTDLSIAIPKGTYARIAPRSGLALKHAIDVGAGVIDADYRGPVGVILFNHSDVDFEVKAGDRIAQMILEKIVTPEVIEVEDLDSTLRGEGGFGSTGV >KGN62769 pep chromosome:ASM407v2:2:18438494:18440320:-1 gene:Csa_2G372160 transcript:KGN62769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLTLPAKHYTDGFCLFHRHTAKNRDRRVMAKGRVSSETNSLRLHAGEKGRFFVIPSYGSEEQLVRAVPRVDTFSSNGRLSHGEKNLHTHLNGSSSSSSSYSNHSQSSEEVENNNHLRRLVRNGELEEGFKFLEDMVCRGDIPDIIACTSLIRGLCKTGKTWKATRVMEILEDSGAVPDVITYNVLISGYCKTGEIGSALQLLDRMSVSPDVVTYNTILRTLCDSGRWMDAEKFLAEMIRKGCSPSVVTFNILINFLCRKGLIGRAIDVLEKMPQHGCTPNSLSYNPLLHALCKDKKMERAIEYLDIMVSRGCYPDIVTYNTLLTALCKDGKVDVAVEILNQLGSKGCSPVLITYNTVIDGLSKVGKTDDAIKLLDEMKGKGLKPDIITYSTLVGGLSREGKVDEAIAFFHDLEEMGVKPNAITYNSIMLGLCKARQTVRAIDFLAYMVARGCKPTETSYMILIEGLAYEGLAKEALELLNELCSRGVVKKSSAEQVVVKNTF >KGN63261 pep chromosome:ASM407v2:2:21801529:21805840:-1 gene:Csa_2G418960 transcript:KGN63261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSKIQEILEKQVLTVVKAVEDKIDDEIQALDRLDLDDLEALRERRLQQMKKMAEKRNRWISLGHGEYSEIPVEKDFFSVVKASDRVVCHFYRENWPCKVMDKHLSILAKQHIETRFVKINAEKSPFLAEKLKIVVLPTLALIKNAKVDDYVVGFDELGGTDEFSTEELEDRLAKCQVIFHEGESSINTSKSSAQTRRSVRQSTRSDSSDSE >KGN61827 pep chromosome:ASM407v2:2:12197999:12203006:1 gene:Csa_2G249820 transcript:KGN61827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSQSISQSPTNFPSFSIPSIPFKPTSLVSHFSTSSLPSFPSLFVSLPSRPSHFLSSVMSSNSQFSNDVHTAIDMGSDAHDLDRFAEVASWAADAAGEVIRKYFRKKFEIIDKPDFSPVTVADQAAEESMVSVILENFPSHAIYGEEKGWRCKENSADYVWVLDPIDGTKSFITGKPLFGTLVALLYRGKPILGIIDQPVLRERWIGLNGRKTTLNGQNISTRTCSDISQSYLYTTSPHLFSGEAVEAFARVRDKVKVPLYGCDCYAYALLASGFVDLVVESGLKPYDFLSLIPIIKGAGGEITDWKGDELYWEASPNSQATSFNVLAAGDKGIHKQALESLRWV >KGN62345 pep chromosome:ASM407v2:2:15927811:15928547:1 gene:Csa_2G350280 transcript:KGN62345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPQQQQQIHGKRDNQTNNNANKPLSPPPLLKINKDSHLIRKSSSSSNTSSPSSSSSSTTSLLNGVIPTAAAKPPQRHPVIIYTHSPKIIHTHPRDFMALVQKLTGMSRSDDEASTKATAKSVVDENNKASKVVNDDNESSSVVTTDENCCGGSGSSGAVEGGQVNSCFGPAIFEPPPPPPPPQLASSYLSNIPVYGPNSTEFLCGNQPIFNYDDSLLFGSNIPSLSSNGVSDFSEF >KGN62092 pep chromosome:ASM407v2:2:14126354:14131821:-1 gene:Csa_2G297160 transcript:KGN62092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFWKDRDREHKELNGGPLCGQVRVLVVGDSGVGKTSLVHLIVNGSSISSPSQTIGCTVGVKHITYGNAGSSSSSIKGDAERDFFVELWDVSGHDRYKDCRSLFYSQINGVIFVHDLSQRRTKSSLQKWAVEIATIGTFSAPLGSGGPGGLPVPYIVIGNKVDIAAKEGTRGSSGNLVDVARQWVEKQGLLSFSEEIPLTESFPGGGGLLAAAKEARYDKEAVTNFFRTLIRRRYFSDSLPAAITWSVSPVPKSVQRLDDTISDEEQSYSRPSFSSETYKYNALPPLPAQRNLTPPPTLYPQQPFSASENYSLPKFALSASQEINNSSRSKRSDINV >KGN61142 pep chromosome:ASM407v2:2:4479860:4485825:1 gene:Csa_2G059730 transcript:KGN61142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATETDFDLRQSPQSIYSVGDYTFADVNNLDHCAKYLNQTLITFGFPASLDLFSNDPVSIARTCNCIYFLLQQRQRDIEFRESANEQRQRLLSDISRLETKVERLESVVQAKDREIATITRTEAKGKAAFKAQIDKLQQEKDEFQRMVIGNQQVRTQQIHEMKKKEKEYIKLQERLNQVLMEKKKESRSGMEIMNLLQKEGRQRGTWNGKKTDNDFYKKIMDAYEVKNQELMSENADLKTLLRSMQVDMRDFLNAPNGLPKQTLTVNERVESEGAQSPFGGRTDVFDLPFHMARDKIEESLRNKMASIKERMGQLQDAQKESEVTSEATERELELEAQLVEARSIIQEQASIMSKHLAKSERPRNLNGPFDSGRESIISSPTEGVGNKQAQRL >KGN61228 pep chromosome:ASM407v2:2:5572446:5572924:1 gene:Csa_2G071930 transcript:KGN61228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQKLATISIVVLMIFACIASTTKGDVLNVHCVRPCSDTYDDESCYNDCIQENLGAGFCYPKLPSTDKDCCCNV >KGN61928 pep chromosome:ASM407v2:2:12895264:12898535:1 gene:Csa_2G270210 transcript:KGN61928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSNQQPTSSSSSSSSLYPSIDMKDLAENLFPDEDPPVSGHKHPDSSEQVLLQIPGAILHLIERQNSIELASGEFSIVGLIQGNNVVAVLARIGDQVQWPLAKDEPAVKLDDSHYFFTLSVPSNGSSENPDSVAGKANQEPEMLNYGLTVASKGQEDRLKELDRILDQYSCFSVQKVGESAKWEVLDGSVAKEISPEDMAVSEEKRELLEERSAAYWTTLAPNVDDYSGKVARLIAAGSGRVIKGILWCGDVTVDRLNWGNEFMKKRMGPRSDVEISSAAMKSIKSVKKMTKMTEKVATGILSGVVKVSGFFTSSIVNSKVGKKFFSLLPGEIVLASLDGFNKVCDAVEVAGKNVMSTTSVVTTGLVSERYGEEAGKATNEGLGAAGHAIGTAWAVLKIRKALNPKSAFKPTTLVKAAAAHSSSSSK >KGN63311 pep chromosome:ASM407v2:2:22252821:22253021:-1 gene:Csa_2G427850 transcript:KGN63311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWGCSATLYLHRATNLRHKHLRTKCWALRRSPVALQSHYNILQRHGASPALHPTQGLLYATWWCP >KGN61254 pep chromosome:ASM407v2:2:5844301:5844639:-1 gene:Csa_2G074160 transcript:KGN61254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLHSQNFFEILKCGLINSCANEVINIIAIALRRGFLRFNETWPKGACLVSYSKTCCFPLESGSSTLTLISICNYGLSQWSLLNAFYNLLISQLLERLSSCPASEEESHHY >KGN62191 pep chromosome:ASM407v2:2:14923811:14927446:1 gene:Csa_2G324460 transcript:KGN62191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKDEYRIFVGGLSWNISERQLENAFIRFGKMLETQIMLERDTGRPRGFGFITFADRRGMDDAIREMHGQELGERIISVNKAEPKMEGDDTEQGFRGGGYSSGGRASFGRGKDRSVGQDECFKCGRPGHWARDCPSVGGGRGGGRGSFSSRSRFDSDGRGDRFGGDRDRYVDERYNGGRYGDRDRFDRRDDKYGSRDRYFDDRYPSAGDRFTGSDRYDVSDRYPQNGYVKDRVYDRDIGPRSGSDRYGSGGPGRHEGRSYRDRTGPYDRPSRVGRPSSYDRY >KGN63299 pep chromosome:ASM407v2:2:22109380:22111680:1 gene:Csa_2G425760 transcript:KGN63299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIFSPTRLNASLAFRKDEIQRLLVKLHSESFAKVELTPMFSELSFNIVMRVVAGKRYYGEKVSDDAEARKFRELMDEVSRQGGTSQWVDFMPIMKWIGFGGYEKILAKSAIWADRFVQELVDEHRNKKVLGREEQSSLLHRLLELQLSQPEYYTDQIIKGLVLVLLRAGIDTSSVTLDWAMTELLNHPEVLAKAKAEIDTKIGQDRTVEETDVANLNYLQAIISETFRLHPPAPMLLTHYSSDDCVVAGYNIPRGTMLLVNASAIHRDPKSWDDPTSFRPERFLGAGNELQTNKLIPFGVGRRACPGEIMGLRVVGLTLGLLIQCYEWKKHGYDNVDTTEYGGITILKVKPVETMCKPRPVMAKLLSNSLD >KGN61677 pep chromosome:ASM407v2:2:10830922:10832674:1 gene:Csa_2G223680 transcript:KGN61677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIILTKIRKVPFALGLLSQSSCRQSLLATVRAAAVPSLTLNRRLPLTVVPGFLWISINPLVLVQLKVYARIELQHLFCFAGDLTNETLLE >KGN61725 pep chromosome:ASM407v2:2:11391179:11392695:-1 gene:Csa_2G234520 transcript:KGN61725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTEIAYNLSPMLIVYKDGRAERLVGNELVHPSLDPLTVVESKDIVISPETPVSARIYRPKPTAEPHKLPLLIYIHGGGFCIESAFSPTYHHHLNSLVAEANVIAISVEYRRAPEHPLPIAYEDSWTALKWVAAHSAGTGPEEWLNKIADFNRVYFAGDSAGANVANKMAIRVGMEGVAGLNLKGLMLVHPYFWGEKLIGDEEKLKPEERWFIEKLWYVACPTISGLDDPIVNPEFEPNLGKVTAERVAVYVAEKDALKDRGRFYSECLKKSGWGGAVEVTETKGQGHVFHLFNPTSDDAVQFVGKLAAFLNGGPRD >KGN62558 pep chromosome:ASM407v2:2:17107733:17109933:-1 gene:Csa_2G360750 transcript:KGN62558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFGNLFTDNTDLKIDDDGDFPTPTSDESPPIFSPWNQTYPFLKSPCFSEFQNGFVLHRNNNSLIGSLVREEGHVYSLATAGELLYTGSDSKNIRVWKNLKEFTGFKSSSGLVKAIIISGEKIFTGHQDGKIRVWKVAPKNPSGGHRRAGTLPALRDILRSSMNPQNYVVVGRNRSRLWFKHADAVSCLCLSEDKTLLYSSSWDKTLKVWRISDSKCLESLTVHDDAVNAVVAASNGLVITGSADGTAKVWRRQHEEENDATKHVLDQTLLKQDSAVTAVAVNAAGTVVYCGSSDGLVNFWEREKRLTYGGALKGHNLAVLCLAAAGNSMVLSGGADKTICVWRRDGPFHTCVSVLTGHTGPVKCLAVEEDRVRCPDKREGQRWIAYSGSLDRSVKVWGISDGVGG >KGN63028 pep chromosome:ASM407v2:2:20070910:20076108:-1 gene:Csa_2G384970 transcript:KGN63028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAIKGGWTGRPLALAKNNEAEGRRTRIRRSKEERKAMVEVFIKKYQESNNGSFPSLNLTHKEVGGSFYTVREIVRDIIQENRILGPGNLLLEEHNPDHSLEQNPLHSIAIEPHSPLTLSSNEVHFPVNYNKYISEEPIFVSDEQCTATNIQGSQNESIINGSLVDVSNEDSDEFIQSELLVNGHKEVEEMVEKESGMPKNHVTSLATDVVLVNEHNKVEEVVKEESGMPINYVTPLATDVVVETFPLDSVPWDVNGFDVRSEILISTSASEKQVSQSIELESDVGLFNITTSDCVVEKAEENLTEPLTKTKSDLVDEAQIVEISNGSTVKEGSIHEVGGPELEVCSDTPVSVSFEQGQKSSKMKSPIASENLNKTFSNDFDQASKIEIKNKVDPGQTGGSQKESVPTLNRINLDSWEGMSKNSSKPGNNPLLEIIKSFITAFVKFWSE >KGN62551 pep chromosome:ASM407v2:2:17067538:17069783:-1 gene:Csa_2G360680 transcript:KGN62551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDMREAIRNQGDVALSFSKRILLQADNSNVVVSPLSIHLVLSLVAAGSSGFFLDQLLSFLKFNSLRQLNQFAAQIASIVLADGSSSGGPRLAFPNGVWVEQSLPFKDSFEHLVHNVYKANLCPVDFKTKYNEVTSEVNSWAEKHTNGLITNILPNGAVTQMTRLILANALYFKGSWKTKFKPSETQNQEFHLLNGTTIEVPFMSSQEEQYIAAFDGFKVLALPYQLGFDQHRRFSMYFFLPDAKDGLPSLIQKLDSQSGFIDNHIPYNRVRVDKFKIPKFKFSFGLEVSDTLKGFGLTLPLAGLSEMVECEKTSRELYVKNIFHKSFVEVNEEGTEAAAVNVALVQRCSSRLPSKNTMDFMADHPFLFAIREDMTRTLLFVGQMVNPLN >KGN62598 pep chromosome:ASM407v2:2:17280106:17282054:-1 gene:Csa_2G361630 transcript:KGN62598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRGGPTPVPTSGSGTGALRIQHSTRLPDFLQSVNLKYVKLGYHYLISNLLTLCIVPLIAVTLIEVSQMNLDDVRHLWFHLQYNLVSVIICSTVMVFGLTVYTMTRPRPVYLVDYSCYRPADDLKAPFHRFMEHSRLTGDFDDSSLEFQRKILERSGLGEETYVPEAMHCIPPTPSMAAAREEAEQVMFGALDKLFASTNVKPKDIGILVVNCSLFNPTPSLSAMIVNKYKLRGNIRSFNLGGMGCSAGVIAVDLAKDMLQVHRNTLAVVVSTENITQNWYFGNKKSMLIPNCLFRVGGSAVLLSNKSADRRRAKYRLIHIVRTHRGADDKAFRCVYQEQDDVGKTGVSLSKDLMAIAGGALKTNITTLGPLVLPISEQLLFFATLLVKKFFNGNVKPYIPDFKLAFDHFCIHAGGRAVIDELEKNLQLLPIHVEASRMTLHRFGNTSSSSIWYELAYTEAKGRMHKGNRVWQIAFGSGFKCNSAVWEALRNVRPSQSSPWEDCIDNYPVKLVA >KGN62691 pep chromosome:ASM407v2:2:17873679:17878986:1 gene:Csa_2G368920 transcript:KGN62691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSAGGCFDADGGCACLAQQNGDAETAANCKSGDSYCEHCSYGSADSSSFPSFSCSSSSLWLDSTRLREYGKLSRILVASAKGFTIGAGLKGGLSLFSVLAGLKRRKALASLGKKGVITNRDAISMALKETLRYGLFLGTFAGTFVSIDEIIGNMAGHRRTARWRALLAGALAGPSMLLTGLNTQHKTLAIYIFMRAAVLASRCGIKSKRLGHICKPLTWSCGDIFLMCLSSSQILSAYVLKQDSLPPSFRSFLNTHGGKDTVILEGLKSFVSGMPSSNKFKAVEKYYSAMGSTVKLDPQMKTPCTIIHGNQSCGGHFLSFLIQGYKRALPVYLPVYLIPALIVHREGLMNRPYEILARGLLGTARSSLFLSAYCASAWMWTCLTSRTFKKINIPLVALATFLTGLALAIEKKSRRIEISLYCLSRGIESFFSCMTDLGYLPPSLNFKRADVIVFSISTSIIMHCYAQEREVFRSKYLNVLDWVFGVPPPPCETPRCKNGNKC >KGN61161 pep chromosome:ASM407v2:2:4715994:4718468:1 gene:Csa_2G060420 transcript:KGN61161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRTKASPHLISRYLNLQKPHSKPNPNRQALSDKFNSLLQQCLSIKQLKQIHAQLLTNSIHKPNSFLYKIADLKDFAYASVFFSNILDPTEYSFNVMIRGLSTAWNKSSLALEFYSRMKFLGLKPNNLTYPFLFIACSNLLAVENGRMGHCSVIRRGLDEDGHVSHSLITMYARCGKMGDARKVFDEISQKDLVSWNSMISGYSKMRHAGEAVGLFREMMEAGFQPNEMSLVSVLGACGELGDLKLGTWVEEFVVENKMTLNYFMGSALIHMYGKCGDLVSARRIFDSMKKKDKVTWNAMITGYAQNGMSEEAIKLFQDMRMSSTAPDQITLIGILSACASIGALDLGKQVEIYASERGFQDDVYVGTALVDMYAKCGSLDNAFRVFYGMPNKNEVSWNAMISALAFHGQAQEALALFKSMMNEGGTVSPNDITFVGVLSACVHAGLVDEGRRLFHMMSSSFGLVPKIEHYSCMVDLFSRAGHLEEAWDFVMTMPEKPDEVILGALLGACQKRKNIDISERVMKLLLELEPSNSGNYVISSKLYANLRRWDDSARMRMLMKQKGVSKTPGCSWIDINSQLHEFHAGDVLHQEWIEIHQILDLLIDDLRREGYIPNANLL >KGN61185 pep chromosome:ASM407v2:2:5105674:5106032:1 gene:Csa_2G062610 transcript:KGN61185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDVGGSAFEALYLSNPTWIPFARPKPHHLSQEIGFLLNCPTPTLIIIIAKPHLLVTGNFPDAFPLLFAFSFLLLRLLLSRFK >KGN62366 pep chromosome:ASM407v2:2:16036029:16038709:-1 gene:Csa_2G350490 transcript:KGN62366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELRDQVDIEDPQAHIPLLDSNHNQSSQPTKEEDDEEAHLDSAFKLFDTLLGLLGFHQSSVFSCVLSWSVFVLVGIVLPVVVLQLSDCAAYEKYQIKGFELDVVASQACLAAVSLLCLSHNLRKYGIKRFLSVDRQITSLARFRKEYVKKIRGSIRLLVFWALPCFLLKTAREVIRILYAERVSWGLSVATLLAMIISWTYLTLISLSAAIVFHLMCNLQVTHFDNYAKLLQTESEVLVLIEDHIFLRYHLSKISHRFRIFLLLDFFVVSASQFMTLFQTTRYTTRVTLINGGDFAVSAIVQVVGVILCLHGATKISHRAEGIASVASRWHALVTCGPGEVSQPRYPNGNGNSESPDRLKSMTCTYSESDLESLDIVTMPTTTQLASYMSSYHKREAFVMYLQMNPGGITIFGWTVNRALLNTIFFLELTLVTFVLGKTLVFT >KGN62862 pep chromosome:ASM407v2:2:19080769:19083502:1 gene:Csa_2G377980 transcript:KGN62862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTVSETQSGDLPMMTVDDECPIKQVDITVPKTDDPSLPVLTFRMWILGIAACVILSFVNQFFWYRSNPLSVSSIAAQIAVVPLGHLMAKTLPTQPFFKDTRFEFTMNPGPFNIKEHVLITIFANSGAGSVYATHILTAVKLLYKRQLDFFPALLIMITTQILGFGWAGIFRKYLVEPGEMWWPSTLVQVSLFRALHEKEKRPKQSTTLTQFFLLAMICSFGYYIFPGYLFMMLTSFSWLCWFNSKSLLLHQMGSGMKGLGIGAFGIDWSTISSYLGSPLASPWFATVNIAVGFVLVMYVMTPLCYWLDVYEAKTFPIYSSSLFMANGHKYNISSIVNSDFHLDRGVYSTTGRVNLSTFFAMTYGLGFATLSATVVHVLLFNGREILNQSKSAFGGKRKIDIHTKLMRAYKQVPTWWFIVILVLNIGLSLFACQYYNISLQLPWWGLLLACFIAFFFTLPIGIICATTNQAPGLNIITEYIIGYAYPERPVANMCFKVYGYISMTQALTFVSDFKLGHYMKIPPKTMFMAQIVGTIIAVFVYTGTAWWLMGSIQDLCDTNLLPDNSPWTCPMDRVFFDASVIWGLVGPRRIFGDLGEYGAVNWFFLGGAIAPLLVWIAHKMFPNKAWIRFIHMPVLLGATSMMPPATAVNFTSWLICGFVFGYYLFRYKTEWWKRYNYILSGGLDAGTAFMTILIFLSLGSISIDWWGNNTDGCPLASCPSAKGVVAHGCPVF >KGN62086 pep chromosome:ASM407v2:2:14069325:14072386:1 gene:Csa_2G296110 transcript:KGN62086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFSNFCICCFFIFFAAVLEAARIQLPGNSSPDTSFGFFIFGDSYVDAGNNNYIITTSDFQANFPPYGESFFPNPIATGRFTDGRNIPDFLGEYANLPLIPPYLDPHNDLYDYGANFASGGGGAIAMSHQEQAIGLQTQMEFFRKVEKSLRNKLGHARSKSFLSNSVFLFNFGGNDYLNPFDISYDIFKTIEAQEQFVNMVVGNITIAIKEVYEYGGRKFGVLAVPPLGYMPSSRLKKSAQFFEEASSIARIHNKFLLIALEKLSKQLKGFKYTFADVHTALLQRIQNPTEYGFKVVDTACCGSDEFRGIYNCGREFGSSPYTHCQNLEDHMFFDSFHPTQKVFKQLADEFWSGDEDIVKPVNFKQLFHYDDSTLASY >KGN61127 pep chromosome:ASM407v2:2:4304623:4310339:-1 gene:Csa_2G058110 transcript:KGN61127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPTSRGGDDSTTRIASRSSMIESFKGCGLTGLRIDKEDLRKKLQMPQYLRFAMRDSIRLQDPTAGESRLPGTRLDSISASTSVPETTEQPPDSPMVVFINPRSGGRHGRLLKDRLQMLISEEQVFDLTDVKPHEFVRYGLGCLELLAELGDACAKDVREKMRVMVAGGDGTVGWVLGCLLELEKKDRRPVPPVGVIPLGTGNDLSRTFGWGGSFPFAWKSAIKRSLDRATTGQIRKLDSWHVFLSTPSGENGKLPHCMKPTEELALDESLEIEEALSEKASCYEGVFYNYFSIGMDAQVAYGFHNLRNEKPYLAQGPIANKLIYSGYSCGQGWFFTPCSSDPGLRGLKNILRMHVKKVNCSDWEQVLIPSSVRSLVALNLNNYGSGRHPWGNLTPEYMEKRGFVEAQVDDGLLEVFGLKQGWHASLVMGELISAKHIVQAAAIRFELRGGEWKDSFLQMDGEPWKQSMSNEFSTFVEIKRVPCQSLMISGE >KGN62006 pep chromosome:ASM407v2:2:13511411:13517364:1 gene:Csa_2G285900 transcript:KGN62006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSHDFSNNYVVLVPQGLSFYDLFKMLWSNEIDQMGFVHSQKDREDYLQNRLMVVLFSLLFQKLLLLFSKLLAKLGSMVEFCLNLVSSNGGLLMLQGKMEIPKAESEKFMSFIGQIDRRVELDSSIKSGDYRYFSSLTVMASKLSYENHAFVKVTVQDHWKMELIGFYQFWNDFQEHHTTNAFILRDKISNPNIIVVVFRGTKFFDANAWCTDVDLSWYEFEEMGAIHGGFIKSLGLQRKTGWPKDVKTDPDRPVAYYFIREKLKELLRLNRRAKFIITGHSLGGALAALFPAVLALHEETWLLNRLHGIYTYGQPRVGNDKFKDFMEKVLHKHGCRYFRFVYSNDIVTRLPTNNPNFMFQHFGTCLYFNSCYKGKEVEEEAVKNYFSFGGLIQHSFVALWELIRSFLIPYIEGPEYTETWLLKAIRLISVVFPFIFPGLVAHNMQDYVNLTRLGCQSLFINLQDNVIVESYYSSDEDSCYDIELEHEEAIF >KGN60997 pep chromosome:ASM407v2:2:3300811:3303180:1 gene:Csa_2G034500 transcript:KGN60997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFEFDFEKKRIQLLVFIIGTIVLSFTAEKCRHLVGEEASSQSGKFTFLNCFDMGSGSVACGVKEGVKLYFYNIRSAHVESVRHTALETALADAITQGMSAKEAAKHAQKEGVKAAKLAKRQAKRIIGPIISSGWDFFEALYYGGTITEGFLRGSGTLFGAYAGGFIGDQRLGRFGYLIGSHLGSWVGGRIGLMVYDVVNGVHFLLNFVQGEEESEVHEKEAAYVENEASSDGSHVNDAPIYNNLEDIEESYHYESSPSDESLDHENSEFR >KGN61919 pep chromosome:ASM407v2:2:12842956:12846395:-1 gene:Csa_2G264630 transcript:KGN61919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVNLPPSMTVLKWLGFVSAVWVQAISGNNYTFSNYSDALKSLMNLTQLQLNNLSVAKDVGKAFGLLAGIASDKFPTWVILLIGSVEGLVGYGTQWLVVSRRISPLPYWQMCIFLCMGGNSTTWMNTAVLVTCIRNFRKNRGPVSGILKGYVGLSTAIFTDLCFALFSSDPSSFLLMLSLVPLAVCLFAMFFLREIPTQTTITAADTQQESNYFSVFNALAVVVAVYLLCFDFVKNSGRLISQLYSIGLLILLGSPLIIPIYSFFKSWNSIRSRLDLEEPLVKEEVVTGAVKEEAGETAVIEQRAPVIGEEHTIFEAVRTIDFWVLFVSFLCGVGTGLAVMNNMGQIGLALGYADVSMFVSLTSIWGFFGRILSGTISEHFLKKAGTPRPLWNAASQILMTVGYVLMAMAMPGSLYIGSVIVGICYGVRLSVTVPTASELFGLKYYGLIYNILILNLPIGSFLFSGLLAGFLYDMEATPTEGGGNTCIGGHCYRIVFLVMALACVIGFVLDIWLAFRTKELYSKLKANKKSKKVNSNNS >KGN61965 pep chromosome:ASM407v2:2:13208254:13214492:1 gene:Csa_2G277070 transcript:KGN61965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSPFLVAAILLHIFLSADPISPNPLLSPSHRAMVLPLYLSSPNSSKFISNPHRRLRQFPTSDNLSNARMRLYDDLLLNGYYTTRLWIGTPPQQFALIVDTGSTVTYVPCSTCEQCGRHQDPKFDPESSSTYKPIKCNIDCICDSDGVQCVYERQYAEMSTSSGVLGEDVISFGNQSELIPQRAVFGCENMETGDLFSQRADGIMGLGTGDLSLVDQLVEKGAINDSFSLCYGGMDIGGGAMVLGGISPPSDMIFTYSDPVRSPYYNVDLKEIHVAGKKLPLSSGIFDGRYGAVLDSGTTYAYLPAEAFSAFKDAIMDEIHSLKKIDGPDPNFKDICFSGAGSDAAELSNKFPTVDMVFENGQKLSLTPENYFFRHSKVHGAYCLGIFENGNDQTTLLGGIVVRNTLVMYDRANSKIGFWKTNCSELWERLRISDDNADGPSVSTKSHDSDIAPASAPSERPHYTIPGELQIGRITFAILLNKSYTDLEPHITELSDHIAQELNVSHSQVIILNFTMRGNDSLIQLAILPYGSSEIFSHATANTIISKIVEHHMQLPPTFGSYQVVRWNVEPPMERSMWKRLYVLVGLVIVVIFILGLSALGAWFVLRSRQQAINSYKPVNAAVPEQELQPL >KGN62744 pep chromosome:ASM407v2:2:18245818:18251412:-1 gene:Csa_2G370430 transcript:KGN62744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDQIADCSDTGEPLDNRLVRYGAHSLEDGVGVGGMVEVLNPDAVYVPAGDGSDLAVQRSDGSNQLTLSFRGQVYLFDAVSPEKVQAVLLLLGGCELSSGQQSVDLVNPNQRNALDLPGRSSQPQRAASLNRFRQKRKERCFEKKVRYGVRQEVALRMQRNKGQFTSSKKLDGSYSHGNVSELGQDESPSETSCTNCGISSMSTPMMRRGPSGPRSLCNACGLFWANRGTLRDLPKRSQDHPVTPAEQCESDGGKDLDCRHGNHAPSNLVSFSNGDTAALMAEH >KGN60758 pep chromosome:ASM407v2:2:1678018:1681526:-1 gene:Csa_2G009460 transcript:KGN60758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPSISTTLLAQLCLCFAFYLSLNMGRSKNYDFLKIPDQNPLDFYFISVWGGLRSVKEETLLLKQMEKMAKVSHAKFILHIREPGENDRLMQNGTWYFSSLKVPWHSIRASRGDGGHFIERTKLQYGQTLDIIAIDTALLQEPIAMGSASQALKSHLLWLKRTLQASSSNWRIVVGFHPLLTCENNTRSLETKHLFESVHRIFVENGVNAYLSRRGCTYNVRIGSIAYIGIPGRIPIQKTHFQSRKSSFREFLLQHVSSLEMVFYYVNTEGDVVHKTELQQKGREVI >KGN61338 pep chromosome:ASM407v2:2:7069614:7086106:-1 gene:Csa_2G093800 transcript:KGN61338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGGGGLLSLPCFGSRKSEPEPDRDPVLLVSGIGGSILHSKNKKLFGLQTRVWVRVFLSDIVFRQNLISIYNPHTGYTECVDDNIEILVPDDDDGLYAIDILDPSSMVKCLHVDDVYHFHDMIDMLVGCGYKKGTTLFGFGYDFRQSNRIGKAMDGLKAKLETASKASGGRKVNLISHSMGGLLISCFMSLHNDTFSRYVNKWISIACPFQGAPGCVNDCLLTGLQFVEGFESQFFVSRWTFHQLLVECPSIYEMLASLGFNWHAQPHIQVWQKSSVDGETSVNLKSYGPTDSIALFEEALRNNEIKFHGKTIPLPFNFDILKWATGTRQVIDSAKLPDGISFYNIYGTSFDTPFDVCYGSESLPIEDLSEICQTLPQYSYVDGDGTVPSESAKADGFEATERVGVAASHRGLLKDKTVLQYIQKWLGVEQKVGKHLTTSKVVDASLK >KGN63408 pep chromosome:ASM407v2:2:22953920:22954363:1 gene:Csa_2G439165 transcript:KGN63408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRPILIAPTCEHEEMTETKLFKSGSLPKRSISLKKEIHFSFCPILLYPNIIVLQSSLLLWKLWKILFAVPKCPNLQYMESNALWVIPLNAQHCFRILAWKAFPSKILSELPNPISMQLITISSGIISLACISQNKCRHSLINPNLE >KGN63355 pep chromosome:ASM407v2:2:22551475:22556300:-1 gene:Csa_2G432230 transcript:KGN63355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNSGGGGEDGSRTVVLGGTASGMMGMNRSPFTVLQWQELELQALIFKFMMAGQPVPPELVLLIQKSFESISHRFFHQPTMAYCSFYGKKVDPEPGRCRRTDGKKWRCSKDAYPDSKYCERHMHRGRNRSRKPVESQTMTQSSSTVTSLTATGSSSTGTGSFHGLPLNALSNSQTTTTGTSQPHYPLDSIPYGIPSKDYRYLQGLRPEAGVHSFFSEASGSSRAVQMDAPIDNTWPMMSSRSPSFPASKSTENSIFQSGYAQHSFFGGEYASGETLKQEGQSLQPLFDEWPRTRESWTGLDDERSNQTSFSTTQLSISIPMASSDLSTTSSKSPHDN >KGN61145 pep chromosome:ASM407v2:2:4504318:4508495:1 gene:Csa_2G059760 transcript:KGN61145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADSSCDGAIFDSPKCSKLTMQEKRELVHEISKSNVASETLQSWSRQDILQVLCAEMGKERKYTGLTKQKIIGHLLRLVSEKKSSVSEVLKNLEPQSPSGGHKITKRQRKSEHVAQLSVPATDFPTSSSHNDLVSTACCKNLACRATLNPGDAFCRRCSCCICRQYDDNKDPSLWISCSAEPPFQGDSCNMSCHLECALKDVRSGILKAGRSKGIDGSFYCVSCGKLNDLLGCCRKQLIHAKDTRRVDILCYRVSLSQKLLHGTEKCKVLYQIVDESVRKLEEEVGPIAGVPVKMGRGIVNRLSSGPEVQKLCASAIELLDSMVSSQSLHLSPNPDVQDANFVPANMIRFEDVKSTSLTLVLSYENGSSENQIGFTLWHRKADDADYPAEPTCILRQPKARCLVMGLSPATKYHFKIVQFEGTRELREFEVQFSTIGEVEENPGCLEIERSQSHATNCSDLSNPSSVEDETTDILPYGDRTNNLGKNSPAYSKGIEILSSAILSTDAFNLSDNGEEGMPAGTVSALNEATAAGMVGLIPNSAGSKLENRHGPAAPKLNTDNQLSTLVRSGMDGQQFVSCSQDGLPITPCKLEVLKDSLGRGERPKSSCKDQENRTRKGGEPQDGGTSKMRTGERQDDKCAENGVSDRDFEHYVKVIRWLECKGYIEKNFRQKFLTWYSLRASQQEVKIVKVFVDTFIEDPASLAEQLVDTFSECISSKKPTTTPPGFCMKLWH >KGN60796 pep chromosome:ASM407v2:2:1944106:1950113:1 gene:Csa_2G010320 transcript:KGN60796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQVVRVKREILEACMTCPLCNKLLKEATTISLCLHTFCRKCIYEKLSDDEVDCCPVCDIDLGCLPVEKLRPDHNLQDIRAKIFPLKRRKICAPEISPLASLPVKRKERSLSSLVVNTPKVSMQSGGLTGRRSKNVGRTAAALRRCNFGTEEPLKKEEDSGEDHTTSSSSSDYLKNVRFRQRRQDSSMPEPSNSLRHEHLKNNVEAVEGKADLWTPLNCLVEAANRTKSTKLNFQGSSMAKLEPSNVADGDVDAEETKEKALSLGAPNYGLFMPKARNKEHGSNPKAKDNHNNGTASLPETMKRKRLRATARNKAAASAELSSPAQLVLDASAAKCRRNSPIWFTLIASEDSFRKGGFPLPQISTPYLRIKDGKMPVSSIQKYLVKKLDLKSEAEVEILCRGQPVLPTQQLQNLVDLWFRTASTAKKTPASVGSSAKDFVMVLSYCRKVQSP >KGN61852 pep chromosome:ASM407v2:2:12408355:12408766:-1 gene:Csa_2G251520 transcript:KGN61852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFVEEYLPAMAMFGLQVTYAIMALLSRAALLKGMSPRVFVVYRQAVATLFIAPIAYFSRSKSRRVSLNLRSFSLIFLASLVGYNSKDSENSSSFFLLG >KGN62625 pep chromosome:ASM407v2:2:17433185:17436835:1 gene:Csa_2G361890 transcript:KGN62625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALSISFMDMFTKSTRYFSIDVSVNKMSAQENGTHLESEIKEVEQEVVEEGNNPNPQIIDYITYPNCKFTEGGIKSCARELPHLVAKKFPLPHSQFTRFHAFQLPIRAGVLLILGFFFLSPSGVSLFLLILSWNLLISTFFKVLEANFELDQCSLSVLMLYLTSVWLLLSLVGMATPVEPPNGVRSQGKHYYSMWQTLFEIDTKYVPIKPIGRGAYGIVCSSVNRETNEKVAIKRIHNAFENRIDALRTLRELKLLRHLRHENVICLKDVMMPIHRRSFKDVYLVYELMDTDLHQIIKSSQTLTNDHCQYFLFQLLRGLKYLHSANILHRDLKPGNLLVNANCDLKICDFGLARTSNGKNQFMTEYVVTRWYRAPELLLCCENYGTSIDVWSVGCIFAELLGRKPIFPGTECLNQLKLIINLLGSQREEDLEFIDNPKARRYIKSLPYSPGAPLSRLYPSAHPLAIDLLQKMLVFDPSKRISVTEALQHPYMSPLYDPNSNPPAQVPIDLEIDEELGEEMIREMMWKEMLHYHPEDLEEHAEMTRFHPEPTTSSAAVYS >KGN62304 pep chromosome:ASM407v2:2:15751578:15756107:1 gene:Csa_2G348900 transcript:KGN62304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPHFLFSIPLFLLFPLLHLASATLSPSGVNFEVVALMAIKYDLLDPHNVLENWDSNSVDPCSWRMVTCSPDGYVSVLGLPSQSLSGVLSPGIGNLTKLESVLLQNNDISGPIPATIGKLENLQTLDLSNNLFSGQIPSSLGDLKKLNYLRLNNNSLTGPCPESLSKVEGLTLVDLSYNNLSGSLPKISARTFKIVGNPLICGPNNCSAIFPEPLSFAPDALEENLGFGKSHRKAIAFGASFSAAFIVLVLIGLLVWWRYRHNQQIFFDVNDQYDPEVRLGHLRRYTFKELRAATDHFNPKNILGRGGFGIVYKGCLNDGSLVAVKRLKDYNTAGGEIQFQTEVEMISLAVHRNLLKLFGFCSTESERLLVYPFMPNGSVGSRLRDRIHGQPALDWAMRKRIALGTARGLVYLHEQCDPKIIHRDVKAANILLDEDFEAVVGDFGLAKLLDHRDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGQKALDFGRGANQKGVMLDWVKKLHQEGKLNMMVDKDLKGNFDRVELEEMVQVALLCTQFNPSHRPKMSEVLKMLEGDGLAEKWEASQHIETPRCRPCENPPQRYSDYIEESSLIVEAMELSGPR >KGN62406 pep chromosome:ASM407v2:2:16264539:16266573:1 gene:Csa_2G352360 transcript:KGN62406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKNVICNGLMFKMLVGILTVIVCGVVEVGSLSKLKTKKMSSLRKQATKSIQSEDGDIIDCVSIYDQPAFDHPALRNHTIQMAPTYDPTMDKHSKKATAEEEGMGEKSSMGVKQPWRKSGSCPKETIPIRRIRKHVQLKANSVYSYGKKRPTPLLEIAQLSNSRSSHFLLKNHSKAILLAVGDNFNGAKGDIKVCNPNVEFDDEYSTSQVALLTGPYYNYEAIESGWAVNPGVYGDRQTRLFVYWTVDASHKTGCFDLTCPGFVQTSNEIALGSAIYPISTSTDLPFEITMFLFRDFETNNWWVQYGESINIGYWPSELFKALKYTAETVQWGGEVYSTKLGGPPHTGTGMGNGKFPDYISGDSGWVKRIRVRDNSMILKFPNFVEHYSDEYDCYDVDFIREYLDDPELYYGGPGKNWRCP >KGN62549 pep chromosome:ASM407v2:2:17060448:17063879:1 gene:Csa_2G360660 transcript:KGN62549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAVRLLFSLRPVTTLPSPSVALFSSSSSLITKSMNSVATEAKKQGSVYEHQPKFKWKSANFFGTVERPLKVTTRNCRTVRAWTILRAKASPDSSSSFRIFLKLEKEMAESWIERLKPNDYVNVAGPLESYKKVGKSGKSYLSYQLTVSELNCIAHNDQGSKSQNSVGMLHEEGHDCRSSYRERLYLWQVFFSSPHEWWDNRNKKSNPNGPDFSHKSTGEALWLRSTDPPWIRKQLELLDTQMKKKDGDGHLVSDSSMSNWYI >KGN62911 pep chromosome:ASM407v2:2:19383439:19388099:1 gene:Csa_2G379910 transcript:KGN62911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVGRQVPISLDGVRDKNLMQLKKLNTALFPVRYNEKYYADVLASGEFTKLAYYSDICVGSIACRLEKKEHGSVRVYIMTLGVLAPYRGLGIGSRLLNHVLDLCSKQNIAEIYLHVQTNNDDAINFYKKFGFEITETIQNYYANITPPDCYVLTKLITQTQTKK >KGN60660 pep chromosome:ASM407v2:2:1054227:1057800:-1 gene:Csa_2G006060 transcript:KGN60660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSISLSPRHFNSYKSFHSHNPFNPPSSSSISSSTSIPTMSISLNSHAFAGNPIKLKTPKPENPFSASSALESLNSQLLNNTHFSSSINFKVLPFKKGKPLATFSARPNDTSSTWHLGWIDLTDFKALFANSTLELTGDLFVYLGYLDEENSVYWGIDVSSEEVLVSEFASKSLCFVEVRTLMVASDWADARAMGELAIAGHARALLEWHNVSKFCGHCGGKTVPVEAGKRKQCSNPSCKKKVYPRVDPVVIMLVIDRENDRALLSKQSRFVPRMWSCLAGFIEPGESLEEAVKRETWEETGIEVGEVVYHSSQPWPVGPSNMPCQLMVGFFAYAKSFDINVDKGELEDARWHSREDVRNALTFAEYEKAQRTAAAKVEQMCKGVERQQSLSSDFNVESGELAPMFVPGPFAIAHHLISSWVYNEGSGSGLNKDRNSFSNL >KGN62682 pep chromosome:ASM407v2:2:17796605:17800379:-1 gene:Csa_2G368830 transcript:KGN62682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREILHVQGGQCGNQIGSKFWEVICDEHGIDATGKYNGDPSSDLQLERINVYYNEASGGRYVPRAVLMDLEPGTMDSIRSGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLSTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYISLTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGRMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPKGLKMASTFVGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATVDDDADFEDHDQDEYADQ >KGN62463 pep chromosome:ASM407v2:2:16610009:16611820:-1 gene:Csa_2G354890 transcript:KGN62463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNTNPIFNTTSTKRSKMTSCTNSLCFFCLMKENHLATRRAGLKKCFNELPYSDDQDHVLVLSALWHIAMAQPNHKEYPSLGVFECMASLIQRGLKDKNWLLRNQNIYIPYYAAHVIGSYTMHKAEFAEKAVKSGVIPPLMELLRGKMSWVEQRVTVRALGHLASYNSTFEALVEYEEEIVKWAMEIASSCLDLVYESFVGSSEENREKYHRDLLTRGIGGREIEDKKAEEWASQLQCWCLHLVKCFASKGKCLNLICNDNPNFLKDLCGMWGGLSNYTSTGGVGLIRILSYNKSSRKFIAESKDIVQTLCNLSRSSDDWQYIGIECLLLLLKDSQTRYKVIEIAAFYLIDLVEIRTLGDKTGINLGESITQALLSDYHQTETKLFLKNKKNLQRVLTEIWDLKVERKRKEKLLGEETLEKKKALVNLIKQQANELFRLGETKGALRKYKEGLDICPLKLRKQRMVLHSNKSQCHLLLREADEAISDSTRALCYSNPTNSHSKSLWRRSQAYDMKGLAKESLMDCIMFVNGGMKMDEGANKRIKIPYHAARMISKQMEATWLFATARLKKLASTTTQVKKAEDSSNNSSERNGNTMIRTTMTI >KGN62576 pep chromosome:ASM407v2:2:17186488:17188504:-1 gene:Csa_2G361420 transcript:KGN62576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPDGVWFYVVSHGNADSSFSPWKLKSGAWKLFSDSSFTGWRTTYEYYEGQAPYECKTAWIMQKYWMSQTDLSENSKQKETISLCKIFLGDEQFQNHENTLKIDLSITLNSEPNLNHQLVVSDGSTSNNMANGSTSKSEMSEDDKMVELAVSGKPVDLHLEDVHPERDAISEGDYLELLDLLNDVPSSSSSSSPNSSCLTMSSDEDFDVMDVLCDAEHDINHDRAQRHAACIRSSAPFRIKKEVAHQGASESLVSIKRSSHSPTTENLKTDSTESSSGRLEPELKLPSQSEKKCYKLQGASSSHNKKMVSSGQETAVDGGKKKKKKAVGRMKKIQKKYLCFFPF >KGN61006 pep chromosome:ASM407v2:2:3365724:3369087:-1 gene:Csa_2G034590 transcript:KGN61006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSVVVGGGGGGGGGGGETSKKKAMWLYPKVMGFTPSERWGHSACYYQGNVYVFGGCCGGLHFSDVLVLNLDTMVWTNMVTTGQGPGPRDSHGALIVGNQMIVFGGTNGSKKVNDLHILDLGTKEWVQPECKGNPPSPRESHTATLVGDDKLVIFGGSGEGESNYLNDLHILDLKSMVWMNIEVRGDIPVPRDSHSATAVGHKLFVYGGDCGDRYQGGVDMLDVHSLTWSKLSVQGSSPGVRAGHAAVNIATKVYILGGVGDRQYYNDAWVLDLCTCSWTQLDTCGQQPQGRFSHTAVVADSDIAIYGGCGEDERPLNDLLVLQLGAEHPNGRYNVSMCKIFGKHWNNQTRSSLREDQSSVKTKLMGNNIELVRKEDHGPKLETKHSSQFMSETLHPKRRRTMNPKVWEVESEQEEHSLSLSQHSSPSQSDQEQTPVRKVSDSVTSSQGLRLLKRVNHSSTSEPYSISRTQPEFRNVVQTAPQQDLSYFGHQNLLKTEQQQLLHVVRPVKEHKSLETGLIQNMIGSEVRGRVDGAFDSGFLMTATVNGKVYRGVLFTPGPGVFSRASIVTESPPLPTNTVPNSNHIERSKSLQQRPSVVVPESGQSFRQAQLSPPPVPIIKPTPSSLPVKLRDDLQGVFLTLGGPGNGSA >KGN60741 pep chromosome:ASM407v2:2:1565028:1570784:1 gene:Csa_2G009300 transcript:KGN60741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGWDEGGIYYSDQAQSLGDGTGIGRSGDADDKATHHSVLRKFKEFIRGFEADKNVFPYRESLLHNPKFLRVDMEDVNAFDSDLPAKLRSAPADFLPLFETAAGEVLMNLKTKVAGETGEMVEPVPGDVQILLTSKEDSVSMRSLGAQYISKLVKISGITIAASRTKAKATYVTLICKNCRSTTRVPCRPGLGGAIVPRSCTHVPQPGEEPCPLDPWIVVPDKSMYVDQQTLKLQENPEDVPTGELPRNMLLSVDRHLVQTIVPGTRLTIMGIYSIYQASNSSTSHKGAVAIRQPYIRVVGIEECNETNSRGPASFTTEDIEEFKKFAAEPDVYKSICSKIAPSIFGHDDVKKAVACLLFGGSRKNLPDGVKLRGDINVLLLGDPSTAKSQFLKFVEKTAPVAVYTSGKGSSAAGLTASVIRDSSSREFYLEGGAMVLADGGVVCIDEFDKMRSEDRVAIHEAMEQQTISIAKAGITTVLNSRTSVLAAANPPSGRYDDLKTAQDNIDLQTTILSRFDLIFIVKDIRMYSQDKIIASHIIKVHASAGATLGENRASKEENWLKRYIQYCRTQCYPRLSESASTMLQNNYVKIRQDMRQQANETGEAAAIPITVRQLEAIVRLSEALAKMKLSHVATEENVQEAIRLFTVSTMDAARSGIHQQVNLTPEIANEIKQAETQIKRRIGIGNHISERRLIDELAKMGMNESIVRRALIIMHQRDEVEYKRERRVIFRKA >KGN62380 pep chromosome:ASM407v2:2:16130647:16133458:1 gene:Csa_2G351610 transcript:KGN62380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPSQGSTLSANVAGFVDGSSAKREVSYIDSLPIYVKELIAGGAAGAFAKTAVAPLERIKILLQTRTEGFHSLGVFQSLKKVLKHEGVRGFYKGNGASVVRIIPYAALHFMTYEQYRCWILNNYPGLGVGPHIDLLAGSVAGGTAVLCTYPLDLARTKLAYQTTDTRMRNSGLRSYHSQPAYNGIKDVLVRVYSAGGARGLYRGVGPTLTGILPYAGLKFYVYEKLKSHVPEEHQSSIVMRLSCGALAGLLGQTFTYPLDVVRRQMQVGDMPSSLNGQVRFRNSIEGLKMIVRNQGWRQLFAGLSINYIKIVPSVAIGFAAYDSMKIWLRIPPRQKTQSISSAS >KGN63236 pep chromosome:ASM407v2:2:21559056:21561090:-1 gene:Csa_2G416260 transcript:KGN63236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQTLLYVWYNSNNVQPTYGSSLGAFVPFQRFLMLYFHPTVSSITPLSSLLWKRAIFIPIPLFIIYNFHQLLQYKETCQSIKARWNNQRMGRVNTTCAWLFAVGNVVLKLLGVRETVFGITKKDTCCEADLGDFTFDESPMFVSGTTILLIQLIALLMSFIRQKDMDFHSPPYSRSATLASFSLSRFLFKRLLFVDFCLRPSLQSIFVHLYLSVVVSITTPLLSSLCPSSCLCPCVSVRVHRPFPKSSLFSASISQS >KGN61074 pep chromosome:ASM407v2:2:3840480:3844072:-1 gene:Csa_2G036720 transcript:KGN61074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRIEMEEPHDEVPSPPKPSSSVKTTTDSSSVPSTSNASKNPSDGYETASDGELGDSADECQENPDQHSEQEERIATLSEDEIKEKALAEANNAKLAGNKLFGEGKYEEAISEYDRALNIAPDVPAAVELQSICHANRGVCFLKLEKYADTIKACSKAIELNPAYVKALSRRGEAHEKLEHFEEAINDMKKILELDSSNDQAKKTIRRLEPLAEQKREKMKEEMIGKLKDMGNSLLGRFGMSVDNFKAVKDPNTGSYSISFQQ >KGN61316 pep chromosome:ASM407v2:2:6619145:6623770:1 gene:Csa_2G080160 transcript:KGN61316 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger protein MENPSPTFSLFPILGFILFFLASFVCQAQARAFFVFGDSLVDNGNNNYLLTTARADNYPYGIDYPTRRPTGRFSNGLNIPDLISEAMGSPSTLPYLSPQLRGENLLVGANFASAGIGILNDTGIQFLNIIRIRQQLEYFRQYQARVSALIGEEETVRLVNEALVLITLGGNDFVNNYYLVPVSARSRQFTLPDYVVYIISEYRKVLASLYEFGARRVLVTGTGPLGCVPAELAMRGRNGECSAELQRAAALFNPQLAQIINSLNEEIGSHVFIAVNTQMMHMDFVSNPQAYGFITSKVACCGQGPFNGIGLCTPASNLCRNRNVYAFWDPFHPSERANRIIVQQILTGTQEYMHPMNLSTILAMDSRT >KGN61531 pep chromosome:ASM407v2:2:9281584:9281925:1 gene:Csa_2G162140 transcript:KGN61531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQGHHLCHQHLQLRLRSHRDQLQSHHQFHRTCRLPQPHQDVETTLQCSHPFLQKPQLHQICSFGRQRRLWMVVPPRVLLPVLGFGTSPADDHQLLCEEYVSKTTFDLILAVV >KGN61552 pep chromosome:ASM407v2:2:9603811:9612830:1 gene:Csa_2G169760 transcript:KGN61552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSIYKLGFKEPTAIQKACIPAAAYQGKDVVGAAETGSGKTLAFGLPILQRFLDEREKSGKMSEEKGVDAKKYAPKSLLRALIITPTRELALQVTDHLKAVAVGIDIRVVPIVGGMSTEKQERLLRTRPEVVVGTPGRLWELMSGGEKHLVELEALSFFVLDEADRMIENGHFRELQSIIDMLPVTNGSAENLQNAENSLTTPISQRKKRQTLVFSATLSLSSDFRKKLKRVSSKPNQSGMDGLNSIEALSERAGIRPNVAVINLTNTSVLANNLEESFIECREEDKDAYLYYILSVYGQGRTIVFCTSIAALRHIAALLSIVGVNVLTLHAQRQQRARLKAIDRFRGSQNGILIATDVAARGLDIPGVRTVVHYQLPHSAEVYVHRSGRTARASADGCSIALVSANETSKFASLCKSFSKESFQRFPVDNSYMPEVLKRLSLARQIDKIVRKESQEKASKTWFERNAELVELVVDNDDSEEERANNYKQKKVGCIQLKKLQQELDKLLSHPLQPKSFSHRYLAGAGVSPLLQHQFEELAKQNTSVQTMGDNKRRKLAAFGQDLTEPLQALRTGGQQVHMNAKEMAEKRRKMENVKKKKKEEKKRLRDQRRNKRKQMKGKI >KGN61008 pep chromosome:ASM407v2:2:3383176:3384721:-1 gene:Csa_2G034610 transcript:KGN61008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVQPPFLLLFTLFNAVVFGFEAVNQARDFVLTIQSVVIVDKSGNGNFQTVQAAIDSVPPNNNHWIKIQINPGVYKEKVTIPLEKPFIYLEGADSSNTVITFDDHQQTDTSATFTSRPPNIIVRGITFENSFNLREAPELFSCDDGTYITQAIAARIYGDKSAFFNCGFKGYQDTLWDVQGRHFFSHCYIEGAIDFIFGSGQSVYEDCMINVNVASLPQVYQGYITAQSRQSAADPSGFVFKECTIKGSGKALLGRAYGPFSRVIFKDAIMGSVVAPEGWYAWHFKGKEENFMYVEENCTGPGASTSMRVPWAKTLDASHLTGFSVESFINQDGWIPTVL >KGN61520 pep chromosome:ASM407v2:2:9110042:9112567:1 gene:Csa_2G153580 transcript:KGN61520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPPEHDRSTAPAAAAAAATTTTISHPRPDLLIHAVPSAPDTFSILRQSLFSLTLKFENISYSIKVQTNKRGCLSLRNNESQSNTTRTILNGVSGLVRPGELLAMLGTSGSGKTTLLTALAARLPGKISGTITYNDKPFSSSIKRKIGFVSQDDVLYPHLSVLETLTYAAMLRLPNKLTYEEKVAQTEMIIEELGLTRCRNSVIGGGILRGISGGERKRVSIGHEMIVNPSLLLLDEPTSGLDSTTAQRIVATLRGLARGGRTLVMTIHQPSTRLYRMFDKVVVLSDGSPIYSGDAVRVMPYFESIGYLPPFNLINPADFLLDLANGIAPDSIREDQVEHFHGGLLLDRQDDQNSIKQSLVASFRKNLYPQIKAQILTETNISTVARSNSLKGSKNNEWTTSWWEQFKILLKRGLRERRHESYSGLRIFQVMSVSFLSGLLWWHSDPSHIQDQVGLIFFFSIFWGFFPLFNAIFAFPLERPMLNKERSSGMYRLSSYYMARTAGDLPMELVLPTVFVTVTYWMGGLNPSMITFLLTLLIVLLNVLVSQGLGLALGAILMEVKQATTLASVTMLVFLLVGGYYIEHIPLFISWLKYVSFSHYCYKLIVETQYHSLNEVYHCGGSFGYCKVGDFPAVKCLGIGNHSLWWDVTALFFMLVGYRILAFLALKMGHPC >KGN61966 pep chromosome:ASM407v2:2:13216133:13223728:1 gene:Csa_2G277080 transcript:KGN61966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSLLKVLLRHISSFLQLSSSDYINLQPTLKYYHKIEGALKLLRPILDAVVDSDIASDEELTQAFEELDHSVDELRVLFENWQPLSSKVYFVLQSETLISKIGKFSLDIFQLLQSSNENLPEELSSKSLEHCVQKIKNIGKEEISSVIKDAIRNQVDGIAPSSDVLVKLADSLSLRSNQAILIEAVALEKLKESAEQAENTGEAEDIDQMIGLVTRMHERLIMIKQSQSSSPVSIPPDFCCPLSLELMTDPVIVASGQTYERVFIKNWIDQGLNVCPKTRQTLVHTNLIPNYTVKALIANWCDTNNVKLSDPSKSVNLNQISPLLVGSFEPDTHREPLFPHSPGYQPMSPQSTRSAGSGKNSNSLGGTHRDGSSSLLPHSLSEDSLSNDAGDEGAIEVDRLLLSSSEDQMAKLEENGCDPVAKPSMSPSRTNVLNSCGEDEPSHSHNRSSSTSSGVSNANHSRGTSGEANEATHLSTNLTGYGSDAAGESKSEPLAAATPTTNHREPEREHPPRLADHPRPRGNTMWLRPSERFASRIITSSANETRPDLSAIEAQVQKVVEELKSSSLDTLRGATAELRLLAKHNMDNRIVIAQCGAIDYLVGLLLSEDSKIQENAVTALLNLSINDNNKSAIAQANAIEPLIHVLKTGSPEAKENSAATLFSLSVIEENKVKIGRSGAIGPLVELLGNGTPRGKKDAATALFNLSIFHENKARIVQAGAVRHLVELMDPAAGMVDKAVAVLANLATIPEGRSAIGQEGGIPVLVEVVELGSARGKENAAAALLQLCTTSNRHCSMVLQEGAVPPLVALSQSGTARAKEKAQALLSHFRSQRHGNSGRG >KGN63301 pep chromosome:ASM407v2:2:22118473:22121968:1 gene:Csa_2G425780 transcript:KGN63301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIMLFLSHLLPLLLLQFSSSLAYSPPNKYFLNCGSESDTELINKRRFVGDAKPNDWSIYPGKSKIVQNTTIPKSINEIYQTARVYNKATWYVFHNITPNGTYVVRLHFFPTLPQIMSQARFNVSVSCGFVLLSNFSVENDLKAAVVKEFAFAVNDGPFGIGFSPMESSLAFVNAIELFLAPGDFKPDSVFPISPEVRRMNTMYTLTFDAWNAVYRVWMGRGMITPENDTLWRTWLPDSEFMPLQSSARTVTYNQRLNYDIQETIYVAPVFVYNNAKVLDMNTSTSSGDSTLTWIFNVKKKSKYFLRLLWCDIITPHSTPFYFNIFFDINQTDLRPTDVTQNNVFALPFWYEFLIVTDHSGFFNLSISLDKKDPLSWGFLNGIEIMELIEKSFVGVVDLSMGEEKQSPKMIIVGVCVGGVVIVGLIIGLAVFCFVRNRKLGKHRPILLPQNDPSSEKIVSIADIAPNLNLELKIPFGVINDATNGFEDKKMIGIGGFGKVYVGRIGEKDVAVKRSQPGHGQGIKEFHTEVIIFSQIRHRFLVSLYGYCDENQEMILVYEYMEGGTLKDYLYGSKAKDNVPLTWQKRLEICIDAAKGLDYLHTGSTATIIIHRDIKTTNILLDKELNAKVADFGISKTGVPDAKELDTTIRGTYGYLDPEYFNTGQLTEKSDVYSFGVVLFEVLSARAPIVKTAPSEETNLADWAVLCKSRGEIEKVIDPFLIGTIEANSLRKFVEVAEKCVDEVGANRPSMHDVVYDLELAFQFQFTPVGEGKAYEGMSTTIVEAPWEIDSGILDRIPSKGIDDSVMLDEDSTTMNARELAAEFKIDCAR >KGN63414 pep chromosome:ASM407v2:2:22991758:22993730:-1 gene:Csa_2G439220 transcript:KGN63414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSNAFPVSNHLFFILLLLSFLTPTTDSISFKIDRFKTNESNTLYQGDAIPSVGAIEFNNINYLCRVGWVIYKDVVPIWDSKTGQTTDFTTHFTFLIDTRNIQNYGHGVAFFLAPIGFQIPPNSAGGFLGLYNTTNSDSTINQIVHVEFDSFYNQEWDPPFEHVGINVNSIASSNYTHWNASLHSGDIADVWISYNSSTKNLSVWWKYQNGSNSFENSTLSYQIDLMKVLPQWATIGLSAATGILCSTLEIETKETKIRGKKAEEVNLTSINDDLERGAGPRRFSHKLLVMATNNFSKERKLGQGGFGAVYRGYIPDIDLSVAVKKISRGSRQGRKEYITEVKIISRLRHRNLVQLIGWCHDKGEFLLVYEFMPNGSLDSHLFGKRAPLAWTVRYKIALGLASALLYLHEEGEQCVVHRDIKSSNIMLDSNFNVKLGDFGLARLMDHELGAQTTGLVGTLGYLAPEYISTGRASKESDVFSFGVVALEIATGRMSRNSMEMESHKGLVEWVWDLHGNGKLLMGMDEKLVESDYEQKQVECLMLVGLWSAYPDPNLRPSIRQVIQVLNFETTMPNLPSKMPIAVYHPPSTSMSSNEPIITASLDVGR >KGN61541 pep chromosome:ASM407v2:2:9406444:9407066:1 gene:Csa_2G166190 transcript:KGN61541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSPMEFFAELEEQGSTVAMDVDDVDPLEILGEGVISAENKLADADFFNSFEDDFDDSDIN >KGN60579 pep chromosome:ASM407v2:2:482177:482553:-1 gene:Csa_2G000860 transcript:KGN60579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRKRDLNYAFHRFRMEVSSAGSGFEGRKSGDTHLWGFVCGSCSYQIDARTEDFVIGGFHGRGSGGKSEKRRSGDEHSFLEEDTSGLELRRQRERKTEGRSTLYLGRDRSRLEMV >KGN61600 pep chromosome:ASM407v2:2:9996924:9997531:-1 gene:Csa_2G174660 transcript:KGN61600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSSQQSSSCEISSSLTDHTYITENEYYSYTAEDAMPPIDESFWSEVVDNSMTNSNSNSDSSSNSNYDSEEKMEEFPPVSMDMMETDSDKRLHGQCVVDDDMEFWYNVFVKAGEISELPEF >KGN60795 pep chromosome:ASM407v2:2:1940993:1942478:-1 gene:Csa_2G010310 transcript:KGN60795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQGGGSESEVTWEDQQNINKFSRLNNRFHELEDEIRTAKETNENLEDASNELILSDDDVIRFQIGEVFAHIPKEEVEGRLEQMKEENVENLEKLKEEKDSIVAQMAELKKILYGKFKDSINLEDD >KGN61108 pep chromosome:ASM407v2:2:4134687:4140674:1 gene:Csa_2G049970 transcript:KGN61108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILFTRMFSSLFGNKEARILVLGLDNAGKTTILYRLQMGEVVSTIPTIGFNVETVQYNNIKFQVWDLGGQTSIRPYWRCYFPNTQAIIYVVDSSDTDRLVVAREEFHAILEEEELRGAVALVFANKQDLPGALDDAAVTEALELHKIKNRQWAIFKASAIKGEGLFEGLDWLSNTLKSGGG >KGN62590 pep chromosome:ASM407v2:2:17240627:17242292:1 gene:Csa_2G361550 transcript:KGN62590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSELSPTMAALKKDTSSDSAFSFFLSKKARYKFWALAVILLLAFWSMFTGSVSLKWSAGTFARFYDGPLKPIFDDLDILEVEERERDVRHMWNLYTHGGGGRLPRFWSDAFEAAYEDLIGDVPGARDAALLEIARMSLQSVHVDFDPIPMKSKGESKLKSSSKQKQMV >KGN63304 pep chromosome:ASM407v2:2:22172736:22173815:1 gene:Csa_2G426800 transcript:KGN63304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVADQNQKTQVLMVSAALQGHLNPLLKFAKYLNSKGIHVTLVTTELARHRMLKHAAAATNPLIKLEFFSDGLDVDFNRESDYDLWLETLRTKGRENFSNLMTKLSQHTKFSCLILQQFVPWFIPVAKEHNIPCAVLWIQPCALYSIYYRFFNKLNDFSILQNPDQLLELPGHPLMEIQDIPSFILPNIHLCFQKVLAEFFAYLEDVKWVLGTSFEELEEEVLGAMVGDGIRPTVTTIGPLVSKFLLGKKEEEEEEENGVSMDMWKADESCLRWLDGKEMGSVVYVSFGSIIVLGQEQVDNIAMGLLNSGKPFLWVFKRTGGSNVELPSGFLEAVGDRGLVVNWCSQEQVCFPIIYDNFF >KGN62923 pep chromosome:ASM407v2:2:19459949:19465408:1 gene:Csa_2G380030 transcript:KGN62923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYNSSTVGSGSRTGRMTFEFGRTHVVRPKGKHQATIVWLHGLGDKGSSWSQILETLPLPNIKWICPTAPTRPVALFGGFPCTAWFDVGDISEDSPDDLEGLDAAASHVANLLSTEPADIKLGIGGFSMGAATAIYSASCRILGQYGNGNLYPINLSAVVGLSGWLPCSRSLRNQINVSHEAARRAACLPILLCHGSGDDVVAYKHGEKSAHTLSSAGFRNLTFKTYNGLGHYTIPEEMNVVCNWLTVILGLDGLRLVDH >KGN60515 pep chromosome:ASM407v2:2:142992:145195:1 gene:Csa_2G000240 transcript:KGN60515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSSFCSALSVLFVPNSSSLPLDHIQQLPQWFEEELEDDLKWSFAVNRVLHATTSEFQDIVLLDTKRFGKALLLDGKLQSAEKDEFIYHESLVHPALLLHHNPKTVFIMGGGEGCTARETLKHKSIEKVVMCDIDRDVVNFCRAHLKENQDAFQDERLHIIFDDAKAGLEGRPEKFDVIIGDLSDPHEGGPCNHLYTKSFYEDVIKPKLSDNGIFVTQAGPAGILSHKVFSSIYNTVKHVFRYVIAYTAHVPSYADSCGWVLASDHPIKLDIEDLNNKIRERVQGELHYLDGAFIVSSTVINKTIRTLMMNETHVFTEEDARFAHGRGLVANA >KGN61770 pep chromosome:ASM407v2:2:11714789:11715997:-1 gene:Csa_2G238860 transcript:KGN61770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATSTSINIFPFPSYQIFRSKRKSSPNDIIVPFAALPTSNLLPKRCQKCGGKGAIDCPGCKGTGKNKKNGNIFERWKCFECQGFGLKSCPQCGKGGLTPEQRGER >KGN61547 pep chromosome:ASM407v2:2:9545124:9549832:-1 gene:Csa_2G169710 transcript:KGN61547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEAAIRKKPGMASVKDMPILQDGPPPGGFAPVRYARRIPTKGPSAMAIFLTAFGAFSWGMYQVGKGNKIRRALKEEKYAARRAILPVLQAEEDERFVKEWKSYLEYEAEVMKDVPGWKVGESVYNSGKWMPPATGELRPEVW >KGN62382 pep chromosome:ASM407v2:2:16136957:16137972:-1 gene:Csa_2G351630 transcript:KGN62382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAILLLFVWNRFQLARVGRNRGCCRGATDCSCFLISFETHWLFQYYVLNILGCVQLVCGFFFCSSMVLFAGMFRERKPARRFSHILISLPLTRR >KGN62913 pep chromosome:ASM407v2:2:19395643:19395915:1 gene:Csa_2G379930 transcript:KGN62913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQKEMGSPVRNNVLALLISRPAMVDKPFYTTSSSFYNSPTKVTSNSTSSNTWYSNSQISFLYFLYSSTPSVNCCVISTLLTLSGFQSAA >KGN61078 pep chromosome:ASM407v2:2:3877117:3881222:1 gene:Csa_2G037250 transcript:KGN61078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEIELKTAPADFRFPTTNQTRHCFTRYIEFHRCLTVKGEESGECERFAKYYRALCPGEWVDKWNEQRENGTFPGPL >KGN63221 pep chromosome:ASM407v2:2:21437719:21444827:1 gene:Csa_2G416120 transcript:KGN63221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVMTVGDAASSSIKTSPGPFLASNLPLLSAFLAGAIAQYKERKWESKRMLDSGGMPSSHSATVSALAVAIAFQEGSGGPAFAIALVFACVVMYDATGVRLHAGRQAELLNQIVCEFPPEHPLSSIRPLRDSLGHTPLQVIAGAVLGCVVAFLIRNQN >KGN61943 pep chromosome:ASM407v2:2:13015094:13015862:1 gene:Csa_2G270860 transcript:KGN61943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFFTGGGRFRELLKKYGKVAIGVHFSVSGASITGLYVAIKNNVDVESLLDKLHMDRFFSKDQPQINPSEATSSVDDGFMNEERSASEIQPTRNRTAELAASTGGALALAVLCNKALLPIRIPITIALTPPIARLLARRGIVRSG >KGN61407 pep chromosome:ASM407v2:2:7906037:7906645:1 gene:Csa_2G118300 transcript:KGN61407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKLAQGSATKGVAVPSRRKVLRVQFGGRTTILDNFIRQLIFSFIIFFPLFGGSDNPCNYKGCKGLSRPPSLFVEEVPCCSPLSLGESHFELNSDVAFLTTKWASGSLCSQSWYISRLLDGN >KGN61183 pep chromosome:ASM407v2:2:5013156:5017079:-1 gene:Csa_2G061600 transcript:KGN61183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSVTTCVSSQQTLTPEAASVLKHSLSLAARRGHSHVTPLHVASTLLSSKPSTLSLFRRACLKSHPPHPLQSRALELCFNVALNRLPTSSPPLLHSPSLSNALIAALKRAQAHQRRGSSLDHQHQQQQHPLLTIKVELQHLVISILDDPSVSRVMREAGFSSTAVKNNIEEYSSNIITTSTATTQTTTTPLFFFPGSGSSSGSENASKFVFEVFLGMRKRKNVVLVGDSSEGVVLEVMRKFKMGEVPEEMKGVKFVEFVPYNNNNNSNVSEFLRRKLEENYDHTENNEGGVVVYVGDLKWIVERGSCSNFGVDGLVGEIERLLLEGFHYNDRNNLNIKKKIKIWVMGVASYQIYMRCQMRLPSLETQWDLHALPLPSSGLALALHSSSVYDSRLSFFSQSMETKPFIIGKEEHQNLTCCEECTSNFQNELLHLKSFHSKQLPSWLQSPPKEELVELKRKWNKLCNTLHRDNSVQSLIGKSFSYSSSYPWWPKSNISFTDHHHHQTSKPLQTSNFVPRFRRQQSCTTIEFDFGNAKTKQEQSGELSLNSLKNMDGKEVKITLALGNSLFSDSSAESMEMESERKSERGEILKVLEENVPWRSELIPCIAEAVISMKKDDKLIQWVLMEGNDFIGKRKMGIVIAELLFGSVDFLLDLNAKSEEMGISKCEMLEKALKLNKELVVLVEDVEMADSQLMKLLENAFHNGKFEDMKEETVQKVIFILTKDNSSDKMKNRDLWPPQSSSSSSSSSVINMILKIEEPNSDHKRKAEWEFENKPKNQRINKQSSMNNTLDLNIKAEDEEEEEEEEENGGISTPITSDLTGETTLPNGFMESIRNRFVMNKKAKQESGIREELVGKMREAYKEKCKWDSRFRVEEGVIERILEGFGSFSKRMFEKWVKEIFQTSLENGRYGGKGEGGIDIINLCLDHKHILEEDGYMGSCLPKKIQLSSMD >KGN61280 pep chromosome:ASM407v2:2:6171029:6171340:1 gene:Csa_2G075390 transcript:KGN61280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKWVLLCSSSEWEFLAWDFVLWGFQALFGVSDCFIVWQKAAEFEIRLFVWCLSVQLAAENGRDLRTTKLCELSDLRLFRLSSY >KGN63257 pep chromosome:ASM407v2:2:21747650:21752790:1 gene:Csa_2G418920 transcript:KGN63257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLFVLTLLSSIWAFLTGSACCQRPAVVNIGAVFTFDSIIGRAAKVAMEAAVSDVNADPSILNGTKLNLVMADTHCNVLLGSIGAFQVLEKDVVAIVGPQSSVVAHMVLQIANNLQVPLISYAATDPTLSALQFPFFLRTTQSDANQMTAMADLIDFYEWKEVIMIFVDDDYGRNGISTLTDELDKRMFKISYKIPLPSHCNLSEITAILNKSKLLGPRVYVVHVNPDPRLSIFKIAHQLDMMTSDYVWLATDWLSTTLDSILLVQQTSLNILQGVVVLRQHIPESSQKVTLWSRLRKMLPEDSRNSSLNVYALSAYDTIQVVAHAIDKFLNEGRSITFSLKNKFHDLNTSRMPWGKLKIFDDGALLLSILLQANFTGLSGQIEFNTDRNIVTRGYEVINIDQTGLRRVGYWSNVTGFTIQSPETLKRKQISYSHLNQTLGNVTWPGGKTEKPRGWVIADNERPLIIGVPHRVSFVEFVTAINGSHKNIEGYCIDLFNEARKLVPYDVPYRLIPFGNGYSNPSYDDLVKNVANGIFDAAVGDIAIVTNRTRIVDFSQPFASTGLVIVAPIKNSKSNAWVFLKPFTVEMWCITSASFFMIGAVIWLLEHRVNDDFRGPPKRQLMTVILFSFSTLFKTNQEATVSPLGRMVMVVWLFLLMVITSSYTASLTSILTVQQLSSPIKGLDDLITNEQPIGYQVGSFAYSYLTESLYVPRSRLVSLGSPDEYEAALLKGPFRKGGVAAIVDELPYVELFLSGRNDFGMIGQPFTKSGWGFAFQRGSPLAVDMSTAILKLSENGKLQKIHEKWFCRMGCPAERRRKSKPIQLQLVSFWGLYLLCGAFSLIALFIFLLRIVRQFARYIRQQKESSQADLMSSNSNSSWTQVIYKFIDFVDEKEEAIKRLFRKHDTLNQANR >KGN62739 pep chromosome:ASM407v2:2:18194863:18197640:1 gene:Csa_2G370390 transcript:KGN62739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRRSSGGRSASRPAPRAPLRNPPAPASPAPPPAPVQGGGGGSMLGGLGATIAQGMAFGTGSAVAHRAVDAVMGPRTIQHETVDSSASSAPSVASNSGGSDACNVQSKSFQDCLNHYGSDISKCQFYLDMLQECRRSSGSVLGA >KGN61276 pep chromosome:ASM407v2:2:6122495:6122647:-1 gene:Csa_2G075350 transcript:KGN61276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSQNPNANTNSNPNTISSSIPMLWPTIDGYLCFSEEELVSYARRLYKF >KGN62651 pep chromosome:ASM407v2:2:17607815:17608558:1 gene:Csa_2G365090 transcript:KGN62651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMGNVMIQEPHSLVLIDGGVHVPRRKRSGSVESKKEDDGASISMVEAAKILIAMANDGFCKRKKKESPTVKQSKKSPTAKKRKTSPTAKQKKKSMELEESFPEMPASMRDRVVGKGGYEIQLVIQKQLEESDVSRNHGRLCLPAKKVKTEFVREEERNILEEENDGKKKNKKGLEVGVMDDSLRESSMCLKKWKIGSGKFYCLMKNWNCFVEENGLRSGDYIQLWSFRNNISDKLCFAIVKLLHVA >KGN63315 pep chromosome:ASM407v2:2:22286920:22288559:1 gene:Csa_2G428380 transcript:KGN63315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVELLSGFTNAPQLSSRSPMDQDSAVQEAASGLDTLKKLVTLLSHSPPSNLDSDCQAVANAAVSHFRKAISLLGRSSRTGHARFRRAPLDSSKIYNATPIQQIPPPSLDRLDSATTINFSYSTAPTSSFLTSLPASDSEIKLQHQPSSSSFQITDLSRVSSVVSKPSSGLKRKCGSENLGSGKCAGSSGGRCHCSKKRKLRLKRVVRVPAISSKNADIPPDDYSWRKYGQKPIKGSPYPRGYYKCSSLRGCPARKHVERASDDPSMLIVTYEGDHNHSQSVAEASSLILESW >KGN62152 pep chromosome:ASM407v2:2:14582634:14582950:-1 gene:Csa_2G302190 transcript:KGN62152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWKWNGNGTQFSGDHPTSTSDNGVRTSATNVYVTALMVLLKNSRLSLTFDNFNNDGTCIRRKYWPRCCFWND >KGN63395 pep chromosome:ASM407v2:2:22868177:22869785:-1 gene:Csa_2G437050 transcript:KGN63395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLLRTFVSKYGPIVTLPIGSRPAVFIADRSIAHKALVLNGALFADRPPAPPVTKIASSNQHNINTASYGPLWRLLRRNLTSQILHPSRVKSYGRARKWVLDVLINRFVSQSQSGNPVCVIDHFQYAMFCLLVLMCFGDKVSESQIKEIENVHHIMLINLRRFNILNFWPKLTKIFLRKRWETFLKFKKNRDEVLIPLIEARRKAKENRGGEEKQDEEFVVSYVDTLLDLDHPEEKRKLTEEEIVAIASEFLNAGTDTTSTALQWIMANLVKNPEIQNKLYAEIKGVMGDGSREEVMEEELGKLPYLKAVVLEGLRRHPPGHFVLPHAVKEDTELENYVIPKNGSVNFMVAEMGWDPKVWEDPMEFKPERFMKGGAGEEGVVEFDITGSKERKMMPFGAGRRVCPGFGLAILHLEYFIGNLVWKFEWKGVEGEDVDLSEKVEFTVVMEKPLKANVICRF >KGN63402 pep chromosome:ASM407v2:2:22920569:22923642:1 gene:Csa_2G437120 transcript:KGN63402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVRARKVSTRGEAVAANYAFGPLEDDVIIKHRLLTRTTTTRGEPPLKKLQKKFTSFVLEIEKDGSNNDDCEKLSRAFLQELSTFEIPLLKSKAVVDANIREKESFHEFKDEINKQILLAQDDIEDLKKQLEESKIERQHKEECEAIRKLIAAQPPRSVTQKTIVDLEKEIAALDSENTASSRMLELRKKQFALLLHVVDELQNTIEDEQKSLIEETRITAEENKIGVDDASGSLEAMAVD >KGN61190 pep chromosome:ASM407v2:2:5207996:5210191:-1 gene:Csa_2G062650 transcript:KGN61190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVVEGDQNFTSLVKLLASSNKATRDKTLRVIIKTWLPTQTSLSDGDMKKLWKGLFYCVWHADKVPVQSELINRLASLLLHLDLSLAVQYFSVFLLTMRREWAGIDALRLDKFYLLIRRFLHQFFALLKKHSWDLELCRRLVQVLEERVYFNEDKFHGNGVNYQIASVFLEELRPFLPLQKEVVDVLFKPFLLSMTKLPDKVLLGKIKSNLFDVILKKGKQLLESRKRGDDVDSVDDTVVYGTVSLTNQFSTTFYELGSSPDCCQGNRKVLFAMHEEFQKLEKDFFSSGIEISFPDLQEQDGDEVPTLVPISSIEEAPSEISLVDVDVGTESTDKALKKQKKSKKATDGSGKKKAKKAKKAKKTTNGISDLVSENTPANKDNENIVVANGENSNNEQISDGNMITFDETVISNLQMQFERVAAEADFGKSTVSPVISTNGSVKKRKRAKNVGELQKHDAGLPSEAVAEGNTAAKSEEKSVKKVRFSMKNNLVWKPHNPLPPESLRLPPSVTPRGSALKKGIPPGPILEFPATTKRTKRRAVSMRTKNGVRSLPVKRLKKLKSKST >KGN63136 pep chromosome:ASM407v2:2:20832995:20835029:-1 gene:Csa_2G404870 transcript:KGN63136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASDLSVILPRVLVVSRRCVRKNKFVDFVGEYHLDLIVGYGAVPVIVPRVSGVHMLLDSFEPIHGVLLCEGEDIDPSLYETDTSGLSQEELEEIRRLHTSDTAIDKEKDTIEFRLAKLCLERNIPYLGICRGSQVLNVACGGTLYQDIEREIRKKSPGGEKVVHIDYDNYDGHRHRVKVVENTPLHNWFGDSLDEEDMEIMVNSYHHQGVKVLSQRFVPMAFAPDGLIEGFYDPDAYNPEEGKFIMGLQFHPERMRHPDSDEFDYPGCPAAYQQFVKAVVAYQKKLNSSKLSAPKKTLKLDNEMEKKRKIIVRSFSLAKNLYTTGRDAQPEKEPELEIGAEFLESNTALSVQQENRLKQMGATVRNGSSYIEKLKLNEARERTAKNVMGKMTIDQLSDLLSFYHMMGQICSDVLERKLNDIVK >KGN60560 pep chromosome:ASM407v2:2:387297:392510:1 gene:Csa_2G000680 transcript:KGN60560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRAAASRITSLKGHANNGVCRFASSSAVASKQKSSGGLFGWLLGDRSALPPLDFPLSDVTLPPPLPDYVEPGKTKITSLPNGVKVASETSPDPVASIGLYVDCGSSYETPETFGSTHLLERMAFKTTSNRSHLRVVREVEAIGGNVLASDAREQMGYTLNALKSYVPEIVELLVDCVRNPVFLDWEVNEQIIEASNNPHGLLLEAIHAAGYSGALANSLVAPESAIHSLSGTILENFVSENYTASRIVLAASGVEHEELLSIAEPLLSDLPSVPHQEPKSVYNGGDYRHQGDSGDGRTHFALAFELPGGWRKEKDAMALTVLQMLLGGGGSFSAGGPGKGMYSRLYLQVLNEYPQVQSISAFSSIYNNSGLFGIKGTTGSDFVPKAFDIAASELLAIATPGKVQQVQLDRAKQSTKSAVLMNLESRVVASEDIARQVLTYGERKPVEHFLKAVDEVTLDSVASIAQKLLSSPLTMASYGDVIHVPSYDSVSSKFKSK >KGN60499 pep chromosome:ASM407v2:2:67733:74160:-1 gene:Csa_2G000080 transcript:KGN60499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKGFYGLRGKELSLVTIALMCTVIIMLTWEKTPLLNTIPPPQTRLQLSLDRGRLVSISPSGQQEHTSEYVPIFEDKNTVSNQEARRPSSHSYSNEEDTVSSQNKGNHIGSREVTHKQIVELRNDGNSGSPKEIIEDETIHNQIVVKGKTAPIKKEVLKPKPKELDEKIEEAVEENYSSQAEQSVEENYSRQAEESVDSVLPIVYNISTIDEKLKKNQACNYAKGKWVVDEKQPSYSGFECKQWLSSMWACRLTQRADFSYENLRWQPNNCEMERFKGSEFLKRMQDKTLAFVGDSLGRQQFQSLMCMVTGGKEQQFVDVGKEYNLILAPGNTRPNGWAYRFPSTNTTILYYWSASLCDVEPLDEKDGSTDYAMHLDRPPAFLQRYINKFDVLVLNTGHHWNRGKLKANRWVMHVDGKPNNDKKLAMIWSAKNFTVYSIVNWVNSQLPKYPGLKAFYRSISPRHFVGGDWNTGGSCDNTRPMSIGKEVSQDESSDESAAGAVKGTGVKILDITALSQLRDEAHISKYSITAKVGVQDCLHWCLPGVPDTWNEILFAQI >KGN62357 pep chromosome:ASM407v2:2:15987710:15990152:-1 gene:Csa_2G350400 transcript:KGN62357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFAAVSFSQSGASRSLLHGGSSFNQLLPVASISARQFGSFNSNSLLVCGLCRTLRQSSSLVETAIMSTMNNISIARICCRHSRKNARLYLKRNHEIASRPFSTCVSPSSSTKNPLVIWLPSPLVLASQANQSVAPQRSEEWFALRRDKLTTSTFSTALGFWKGNRRIELWHEKVFPSEIQKTEAPQQNAMEWGVLNEVNAIDRYKGITGRDVSLLGFATHSEQQFDWLGASPDGLLECFQGGGILEVKCPYNKGKPEKGLPWSTIPFYYMPQVQGQMEIMGREWADLYCWTPNGSTIFRVCRERGYWDLIREILREFWWENVVPAKEALLLGSEEKAKSYKPTSTHKQTGLAIAKSIKLASEAKLFCREIAGHVEFYR >KGN61619 pep chromosome:ASM407v2:2:10246618:10248693:1 gene:Csa_2G190760 transcript:KGN61619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASEMHLQRDLSQLCKSMLPFSIFANLANSNPWWVSPVGFPTTAPISTTVDGSGRDKDEEEDEAKGGGVEVGNRRSRGRPPGSKNKRKSPIIVTRDSPHTLSTHVIEIVGGADVADSINQFCCRRQRGVCVLSGSGTVVDVTVRQSAGSGAVIQLRGRFEILSVSGSFLPGRDPPCSTGLTVYLAGGQGQVIGGTVVGPLLAGGPVILIAATFANATYERLPLQHHHNYEEREVSPATTSAGELEEPLPYPRIETSIYDLIPPNNNNNHALDGYAWTHDRPSLV >KGN61594 pep chromosome:ASM407v2:2:9939154:9945463:-1 gene:Csa_2G174110 transcript:KGN61594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATRRWFNKFRSKDKPKPSTNKESTGNARDPSRAPTSEDVPSNVTKQKVAAAKQYIENHYKKQMKSLEERRERRHVLEKKLADAEVSQEEQSNLLKHLEKKETEYMRLQRHKMGADDFEPLTMIGRGAFGEVRVCREKATGHVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSNCIVKLYCSFQDEEYLYLIMEYLPGGDMMTLLMRKDTLTEDEARFYVGETVLAIESIHKHNYIHRDIKPDNLLLDKDGHMKLSDFGLCKPLDCSNLQEKDFSQGSNLSGALQSDGRPVASKRTQQEQLQHWQKNRRYLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGFPPFYSDEPMSTCRKIVNWRTHLKFPEEAKLSPEAKDLISKLLCNVEQRLGTKGADEIKAHPWFKGIEWDKLYQMKAAFIPEVNDELDTQNFEKFEETENALQTSSKSGPWRKMLSSKDINFVGYTYKNFEIIDDNQLPGIAELKKKSAKSKRPSIKSLFDNESAMANQPVQGSFLKLLPPQLEVPEKPMNTNEKHS >KGN60667 pep chromosome:ASM407v2:2:1101584:1105328:1 gene:Csa_2G006130 transcript:KGN60667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRTFTKRFSNPTALNFLLRFSQHRELHSRNRKAMEFIAKGWNALKEVDRVIDYCELNDRRLIPHLRTAKENFELALEVDNSNTHARYWLSRLHLKYHVPGACKAVGAALLVEAAEMGDADAQYELGCRLRVENKYVQSDQQAFYFLEKAVDQLHPGALFLLGAVYLTGDCVKKDIASALWCFHRASEKGHAGAAIAYGSLLLKGVEVPESLTKFSLKNGSPTRKARKNPDASMMSSIEMAREQFNAAAISGCDLGLKWLNRLEEEEKSLSTESV >KGN62031 pep chromosome:ASM407v2:2:13716673:13717176:1 gene:Csa_2G287130 transcript:KGN62031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDKSLPYNLRLRKDHKSSSPTEHSKTHKHDRDFQEKASDDQIYVSHLIVDYRCEKCGCSFDIESSLSSHHCKNKNEREAMEIEGHGILGENKSFRKKSRKGVPRRAPFF >KGN61881 pep chromosome:ASM407v2:2:12585104:12585585:1 gene:Csa_2G258770 transcript:KGN61881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIYLPFRILFVKQILKVPSGFTKNQLSVPKGHVVVYVGEMQKKRFVVPISYLNHPSFQQLLKYAEEEFGFQHPQGGLTIPCKEDTFIDLTSRLQVS >KGN63356 pep chromosome:ASM407v2:2:22556018:22556269:1 gene:Csa_2G432240 transcript:KGN63356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHRSASVSTIWIALTCPKVCVGKGGAVSVSVEEPKEAKFGLIFIFGYLGFGILGIWVLDIGGFGIKKISSFCF >KGN60641 pep chromosome:ASM407v2:2:922836:926705:1 gene:Csa_2G005870 transcript:KGN60641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKQILSKLPKKLQKSDTVDSATNESGNNTSRLGHVFQCTNVGSAFSSKLNVVKRVSSAVFPASIAAEAVDPHLSFKDVPNPQKQNLFVSKLNYCCEVFDLNDMEKQDLKRQMLIDVVDFVTSGSAKFTETAISSVCKLCAANLFRVFPPKSRSTSTGGETEDEEPIFDPAWSHLQNVYDLLLHFVSSNSLDAKVAKKYLDHSFILRLLDLFDSDDPRERDCLKTILHRVYGKFMIHRPFIRKAISNVMYRFVFETERHNGIAELLEIFGSVITGFALPLKEEHKTFLWRVLIPLHKPKSVGIYHQQLTYCIVQFIDKDPKLASTVIKGLLRYWPLTNSQKELMFLSETEEILEMISMVEFQKIMVPLFRRIGYCLNSSHYQVAEKAHLLWNNEHIINLIAHNRQAIIPIIIPALERNTQKHWNNAVLNLTLNVKKLVHEMDEELVLACQVDLKEEQSKLVAAAEKRRLTWERLENAARPQPISPNISFLVEPATCAVAG >KGN60630 pep chromosome:ASM407v2:2:836189:844359:1 gene:Csa_2G005270 transcript:KGN60630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSGGGSSDEGRRIFKADTWFSQFRNGSNPWMARYIYALMFLIANLLAWAVRDYGRGALTEMERLKGCHGARDCLGAEGVLRVSLGCFIFYFTMFLSTTGTSKMRGRRSTWHSGWWSAKIILWVAFIIIPFVLPATVIRLYGDVAHFGAGVFLLIQLVSVISFITWLNDCCQSDKPADRCQIHIMLLATMAYVICLVGIISMYIWYVPQPTCLLNIFFITWTLVLLQLMTSVSLHPKVDAGILTPGLMGLYIVFICWCAIRSEPGGGKCVRNADSSNKTDWLTIISFIVAVLAMVIATFSTGIDSKCFQFRKDDKQDEEDDVPYGYGFFHLVFATGAMYFAMLLIGWNTNHPIRKWTIDVGWTSTWVRIVNEWLAVCVYLWMLVAPVIWKNRQMVVGAV >KGN62309 pep chromosome:ASM407v2:2:15776948:15777605:-1 gene:Csa_2G348950 transcript:KGN62309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKANRRFVELLMFPLSTVCIYRGYMAPEYLAYGQLSEKADVYSFGVLLLEIVTGWQYSGIQVSGNIESLVTVIWRHFQAGTIERLFDPSLNLQNHYNKKVQDEVVRVVHIGLLCIQEIPSLRPTMSKVLRMLTMEEEHLPPPTKPPFMDEMTMELDDSCKYSRCYSNTEGSSTATIGHSSFYPR >KGN61930 pep chromosome:ASM407v2:2:12918810:12924617:-1 gene:Csa_2G270230 transcript:KGN61930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLNALWSICGFSLGISAGFILGYFFFIYFKPTEVKNPEIKPLTEPDPETMQRMLLELPLWVKNPDYDRMDWLNSFIDYLWPYIDKAIAKTVRTVIKPIIAEEIPKYKIQSVEIQELTLGSLSPTLQGMKVYEMHENELILEPAIKWAGNPNIMVAIKAFGLKATVQMVDLQVFAIPRIILKPLVPSFPCFANISVSLMEKPHIDFGLKLMGVDLMSIPGLYTFVQERIKDQIASMYLWPKTFKIQILDSAKAYKKPVGILHVKVVKAMNLRKKDLLGASDPYMKLKLTDDKLPSKKTSVKHNNLNPEWNEEFKLVVRDPESQALELHVYDWEQIGKHDKMGMNVVPLKDLPPDEVKVLTLALRKKTDSDGIENEKDHGQVVVELKYRPFKEDEIPKGFEEMHAVPKAPDGTPAGGGLLVVIIHEAEDVEGKHHTNPFVRIYFRGDKKKTKRVKKNRDPRWEEEFHFALDEPPTNDKLHIEVISTSSKIGLLHPKECLGYVDISLSDVVANKRINEKYHLIDSKNGRIHVELQWRTSS >KGN61722 pep chromosome:ASM407v2:2:11328683:11342269:-1 gene:Csa_2G233010 transcript:KGN61722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSPQSSKKFTNSMNQTVHMRGESGTCNVCSAPCSSCMHLKRALTVSKTEEFSDETSHVNATSQYSANDADAISSIKSRVCESSLHANSETSNLLSVNSSHDSFSENADSMATIRSFDAANFSVDIDDMHKKLFSGIVPEGHIATEPTVQTTSEKHRSIKGAEGHDDNISCVSGSSDANIAVVSHEKIMDNKNVSSGSASVDSLCREGSDKVVFSSKLAISDIPASKEVHNSSKEAHTVDSFSPSDKPLSEIGYEQNPSTCVKGEPLESSLVHSDSLTREVVTAPPHGEKFVTNICNEVGDDFKVSSQILLKSEEENHVDRSEPPDGDMKIQYEDEHCENFKDLSGSSDVKEHHSQSASGSESDESDIVEHDVKVCDICGDAGREDLLAICSRCTDGAEHTYCMRERLDEVPEGDWLCEECKSAEENENQKQDIEGKSYISYKRKDEGRKPNIVSPSTQVSDTEGKRVSRDGSSMRNFGKKNVDNVDVSVAAKRQVLETNKGSTKASSPGRSIGLSRDSSSKSLDKGKSMLSQSKCLGDQCNNDVSEMARSPSVGSRLHSLKGTLLKSNSFNTLNSKPKVRLVDDFIPQKPRGPREHTSLEVKEGPSRALGKSQSFKTPSFGRASMSEAKVKMIPSKFPHVQDPKGIKQGKDRNVLDRKNPSKVDRSWISSVTTSSAVSTSKIESKLSSRGETNFGNNRDQKIIQSDGISSTHPKSRSSLVHKGVDSPLSPARALSTNGTCSSSVDQKINHVIPKEEPLSSSLTVERVSYNDNGRSREMTGLDEKNRESSANPSKPTVATSPKSGHCLKCKGTEHATESCISGSPYVSDNNIISSREDTCEENKLKAAIQAALLKRPEICKKRKFSDPSDEVSSSSTVSNSDIVHQDQFSFSFSNKLKTELSSERAHEGKTIVNSSATNFHRQPVSSIPKLPVLPNLDAPVPSQSEDTDSTSIPVEKVWMSSLLLKIVIPEYEYIWQGGFELHRCGKLPDFCDGIQAHLSTCASPRVIEVASKLPQNISLKEVPRLSTWPSQFHDCGVKEDNIALYFFARDIHSYERNYRGLLDHMTKNDLALKGNLDGVELLIFSSNQLPEKSQRWNMLFFLWGVFRGKKTNCLNALKISNIRSTEAVPLDKNLPDITATKSDDVCLAKCANGEIFPCYSPKLGKASSSADQMSDTTSTDCHKCESSVYQAPLNSLENSGCQVHQFETKASSVLASSMEFCQGTTTSASMKESRRLESIHGEHFEPSIQVKEIVGVNDNKKAKVDFSSTEEMPPLIKTTDDMKKTSTGEKIVDRLVCEGEKAVLRTAEGNSDSEGLLKRDLNTEGINCLESHHRKRRQVDILESAALVSISANNRPRDEEVDCIVLDEENVRKKTRTGFGNSYENSCSTGGINSQSDPYISPRTDIGPTFLFQKKGGDKVCDVNVIPEDFEMAEKHFFPVGSHQQEDHYLALPAKDEDQYHDAVPNLELALGAETKLQKKSMIPFLMDLVDDKHNHSESSEKVIDLEEEDDSTSLTLSLSLHSQRSNNSQKLFRKQNSFYPIGGM >KGN62365 pep chromosome:ASM407v2:2:16030197:16034612:1 gene:Csa_2G350480 transcript:KGN62365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGRHGGYRENEFRDRETNFHVSRRSFGSARQEFGRVKISNGDHGVRRSLARDVKDKFRVRHEDMKENAVMNGHYHSSSTRSNSSNSDGVSGSDYGLIENRVKSIIDREPGELSSGSGSDDAIESGLGVRDREVSKVANNGKLSSMEKKRKFSPIVWDRDDNKLSHPSRNGTVTTVMGLPRPQKLTRQSPNIISDRGEHTSSVRNSDNHNVASSSVFKSPLASGLEMSESLASPVLPKHLHHNVEVELLDNEDNGPARNISFSRWAGGNTSPANEGEILGKKEILRQQKIPITEIWESELYGKTPGESFSETGDCKSNGFKTNGTRERSSESNEQGTYCRFLRVNANSDSGVEKGDSMEVDERHNISDVSCSPSDTESDEDNDVCSPQEPPTTTQRGVNMLQGCRSVDEFERLNKIDEGTYGIVFRARDKKTGEIVALKKVKMEKEREGFPLTALREINILLSFHHPSIVDVKEVVVGNSLDSIFMAMEYMDHDLKGLMETMKHPFTQSEVKCLMIQLLEGVRYLHSNWVLHRDLKTSNLLLNNQGELKICDFGLARQYGSPLKPYTHLVVTLWYRAPELLLGTKQYSTAIDMWSLGCIMAELLSKEPLFNGKTEVEQLDKIFRTLGTPNETIWPGYSKLPGVRANFVKHQFNQLRKKFPATSFTGSPVLSESGFDLLSKLLAYDPQKRISAEEALDHEWFREVPLPKSKEFMPTFPAQHARDRRMRRILRSPDPLEEQRIKELQQQELGTTGLFGER >KGN60909 pep chromosome:ASM407v2:2:2717642:2719268:-1 gene:Csa_2G022780 transcript:KGN60909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METNILSKFCLDCERLKYVDLSYSTLLEQIPDFTAASNLEELYLINCTNLGMIDKSIFSLNKLVVLNFDGCSNLKKLPKGYFMFSSLKILNLSYCKKLEKIPDLSSASNLTSLHLYECTNLRVIHESVGSLDKLDHLNLRQCTNLVKLPSYLRLKSLRYLSLSGCCKLESFPTIAESMKSLRSLDLDFTAIKELPSSMGYLTNLSRLNLDSCTGLISLPNTISLLMSLLKLDLRNCRSLQEIPNLPQNIQILNANGCKLVGKSPDNIGDIISKKQDLTLGEISREFLLTGIEVPEWFSYKTTSNLVSASFRHYPDMERTLAACVSFTVNGDSSERISCNIFICNRLHCSFSRSFLPSKSEYVWLVSTSLAWGSMEVNDWKEVLVWFEVHDEVNTSIRRCGVHVTEELHGIIQMDVKWPVVNYADFYQLEKLQNL >KGN61863 pep chromosome:ASM407v2:2:12514990:12515693:-1 gene:Csa_2G258100 transcript:KGN61863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACPSLLSLLHISFSISPPFFLNTPFSSPSYPYPPFFFSSNLQAALRGDLFHSLFPTDLFQITTKTLIHSSQMAILKGNKLPQSTVLKQILKRCSSLGKKSNNGAYDADEELPLDVPKGHFAVYVGENRSRFIVPISFLTHPEFQCLLRQAEEEFGFDHYMGLTIPCQEHVFRSLTSSMLR >KGN62586 pep chromosome:ASM407v2:2:17231088:17231321:-1 gene:Csa_2G361515 transcript:KGN62586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQISKSRDGLTSDEILWIGSGKVEKRRRSRAIKGRKEAGHSSGRKRREGRSRASSTKKKIRERVEFRANSSGGKSRE >KGN62624 pep chromosome:ASM407v2:2:17423562:17426649:-1 gene:Csa_2G361880 transcript:KGN62624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVKSPDLPDCRYLSPPVVIRPANPTPKHSLYLSNLDDQSFLRFAIKYVFLFEKGVSLCNLKRSLAILLEHYYPFAGRLRVSAGGGGSSLEFDRKLEVDCNGEGAVFAEGFMDLTAEEFLQMADTPNRSWRKLLYRFENLGFLDIPPLLIQVTNLGCGGMILCTGINHCLSDGVGTSQFLHAWAHLTTKPNLPLPIKPFHFRHVFIPRNPLHVTFPHPQYSKTTPSNNNNAFLLNLLRSLPLRPVSVVFSATDILRLKRRCTPSLKCTSFEAVAAHTWQSWVHSLVIAAAATNTTLIVSPLPPSLPVNLLFSVNVRRRMLHPEPPAGFYGNAFVLACAQSTVKDLTANAAVGNIHHSVTLVQQAKAAVTEEYVRSVVDMLDDRSVRTDMSATLVISQWSKLGLEDLDFGEGKPVHMGPLASDIYCLFLPVCGDLSAVRVLVSVPECVAQKFEFNMKSCCYSDDEDEDTIKNNNNNTNEQKNCFV >KGN61214 pep chromosome:ASM407v2:2:5427208:5429443:1 gene:Csa_2G070310 transcript:KGN61214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKTEGKAIGIDLGTTYSCVGVWLNDRVEIIPNDQGNRTTPSYVAFTDTERLIGDAAKNQVAMNPQNTVFDAKRLIGRRVSDPSVQSDMKLWPFRVIAGPGDKPMIVVKYKGEDKQFAPEEISSMVLTKMKEIAEAFLGQTIHNAVITVPAYFNDSQRQATKDAGAIGGLNVMRIINEPTAAAIAYGLDKKASRKGEQNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRLVNHFVTEFKRKNKKDISGNARALRRLRTACERAKRALSSTTQTTIEVDSLYEGIDFYATITRARFEELCMDMFMKCMEPVEKCIRDAKIDKSQVHEVVLVGGSTRIPKVQQLLQDYFNGKELCKSINPDEAVAYGAAVQAAILSGEGDEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQIFSTYSDNQPGVLIQVYEGERARTKDNNLLGKFELTGIPPAPRGVPQINVTFDIDANGILNVSAEDKTAGVKNKITITNDKGRMSKDEIERLVKEAEKYKAEDEEVKKKVDAKNALENYAYNMRNTVRDEKFAGKLNPDDKQKIEKSVEETIEWLDRNQLAEVDELEDKLKELEGVCNPIVAKMYQGGAGGGAPMGDDMPGSGSGQSGGAGPKIEEVD >KGN61273 pep chromosome:ASM407v2:2:6063254:6071241:1 gene:Csa_2G075320 transcript:KGN61273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENTDVQAKATDLLSQFEQILQSDPLIDEIGFVHPSQFVKLNEELGSSKSASSEITGNENSEFWLQHHKLGISTQILHPLYIAARNTFMAATRKYRSSCNQDDQTMAGNSLCGLSNSLNIVESDVMKHSRALLLLSCDFGTAWNSRKFILSKKQNLSLYLDELLLSKLVLSFFPKSEQAWSHRRWVIKLLVEKGYSVEEILKKESELVERIAEMSKMNYRAWNHRRWLVSYMSREQALYELNETRKWAALHVADNCCFHYRRRLMLKILAESSCAEINSGLTLGIYQVWKEELDWDEMLIKRYIGREALWIHRRFLSVCWMRHFATDNKIFNQEGGIKLDIPFFIENELNLVNSCSFLSNEDFEDFQTQATCSGAYLLWLIKNCPNLKVDDKLRTYNLNTLLKKVCPERYPLWDSLIDSIESSD >KGN63289 pep chromosome:ASM407v2:2:22041996:22042378:-1 gene:Csa_2G423690 transcript:KGN63289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPISSLDFSSFSSGISSGISSFILWHQISLALRLSHSASQFTHSLTYVLPLPPPLGSSIR >KGN60828 pep chromosome:ASM407v2:2:2144898:2146488:1 gene:Csa_2G011610 transcript:KGN60828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPSCFSHSSISSTLSNEPFQPQSLISCIYQTNLFNHSPPTLLTLTWSLSLSSHSLYLHSSPSSSSSSSSSSPSLSTTISLSPSSFSLFSPTSKSISLPDSHKLKLHWDFSKAKYTPNSAQPISSFYLAITCDGKLHFFIGDLLEDFARRAKTISLSDPSLREDYSTLLSRREHVFERRNCYVSRVEFLGSQREIAVELCSGILKVSVDGEVKLVVKRLAWKFRGNERFFISGNAVDFFWDVFNWVKSEGGAGSGGPGVFVFQVGEGGVWPEVIGAEGKLMKRCLSSSAAAAGIGSTPAAAFPAMSPAGSNSSVLQWAEESSSDGGRSSCSSSSRSGGINGGFSLLLYAWRKN >KGN61242 pep chromosome:ASM407v2:2:5709253:5709438:1 gene:Csa_2G073050 transcript:KGN61242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSSKQSARWNNVQFRSTLRYLHAALCSIIPVHEDPQEIATPLSHNDFAVCNEGESSQLSP >KGN63322 pep chromosome:ASM407v2:2:22328427:22328873:1 gene:Csa_2G428950 transcript:KGN63322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNSNSLSEQGSAHQQSSSCDLDKDEKLEPKNSKIDSLRAEEKEGSKEIFFRIWFGLSNIEIMKTIFGSFAAAVSGISKPIFGFFIITIGVAYYHTNAKHRVGLYSLIFSMVGLLSFFMHTIQHYFFGIVGEKSMKNLREALYSGII >KGN60859 pep chromosome:ASM407v2:2:2443870:2445621:-1 gene:Csa_2G015350 transcript:KGN60859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKKPTRSAKELKQTPNNQEETSDSEQPKSAMDDDSKLQSLKSLNERLLKEMVEKRVEVGDLVQSKEALELDLKRNVNEKEQVMGELSEARDGVYGLELERNVVCVYLQSRIQEMGGGICGLLESERVKGLEIRNLKAEITGLVSEVVEMERNERRALEEIDDLKGKCKKLLSEKKECEILNANLTKDNELIKKLLEESGRVIEDLERKVDVKMKEKGEIEKEKNGLEMEVEKLEKEVTQLKQSTFCLKQEKEENGMRIYELQMRNEEALNIIGDLQKESSKLKEAIASLTKMSDVGKARNEELINQIGRLRDTLDEVSFERDDARKRFGDEKEKVEKLSLLLKDKERRIEEAIKEVEKAKIAQEEESLNVKKEMERRINALIGERELMEKNLLAAKSRIDELKAKVNSAVCNSEKALSLLKKTRLTVCDGYGKREVEEVSSDEHKVGKEMQPFVEHLDAIKTSFTNKEKAVEEMTRVLETERMEQQKKKSFFTVVTAATTILAAVSALYVSKGR >KGN61960 pep chromosome:ASM407v2:2:13171805:13176285:1 gene:Csa_2G277020 transcript:KGN61960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWHEARRSERKVHDMMDAARKRAQRRAVFLAKRRGDPQQSIQVVGTRCRVYRDDGLYQATEDQQGLIPWNGKQDVMIDRFDGRALLDFIREPGSRHIRTHEKSEEEEELEEFVNFQRYRDLIKHRRRGFNDEDGLQHVNQEMEAKMSAPFVSDRSQQPQPPSASKGSYSQVGFSYEADGKEESNSDADDNASDDEDDEDDEYEDFHSDDSNDEGMEVIAKEFGVKRYGWLVYMDKKAKEEEKRQKEIIKGDPAIRKLSRKERRKASQMEREREREAARITGTRVLHHDPYRESRRSPTYDAYPRSRRSRSRSHSPSHSRHHSHGHFDDVHRSKPKTPKIEFITEFGGSGESKEPRLEGLSPPQSPPSQPDMINRPSSGRILEALHIDPASGVTLDKEKSSRAVKPSVSTSSALAKLTKASSSGGPLKLGEKKETPQERLKRIMSQQLNKQIKKDTAAEMAKKREQERQRLEKLAETSRLNSRRHRSRSRSYSRSPRRRYRRSRSRSRSRSRGSRRYYSRSRSRSRSRSRSHSRSHSRSPYSRSPRVRSRTRY >KGN62797 pep chromosome:ASM407v2:2:18630603:18630947:1 gene:Csa_2G373407 transcript:KGN62797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDRLKVVQRPGGISPEKLLLESWTVRKVLQLPSPFGNLPLRLLEKATTPCKTLFLQRCSGKEPLSLFIETSNASRFECRPNSCGKPPVRLLLNTRKPFSSGKRPRLPGISPDN >KGN60940 pep chromosome:ASM407v2:2:2921729:2942281:-1 gene:Csa_2G030010 transcript:KGN60940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSQSESRVFSVRIVSIDYYLAPPIPGLDISYSSFQGGKVNEVPVLRIYGSTPAGQKTCVHVHGVLPYLYVPCSEILLPLNDKGEALGNNVSLALEKALKLKGNSGSKRQHVHGYNLVRAKKLYGYHSSEEFFIKIYLYYPQDITRAANLLLNGAVLEKSLQPYESHIPFVLQFLVDYNLYGMGLLHLVKLKFRHPVPDAPGKKLDEIFRYMHENHAMDNPNCMPSDPQADTSNEAASTSPVWISSKIPADWMWKFPTAMDTLDDNGINFCKRQSVCELEGDVTVEDILNQHSKLYTSFSQNHSDVKMVQSLVSIWEEYRRTGVQEAPLPPDPGKPLAKEVLETFSPGMDYEKKLTELYERPKSPSVLTPLEKDQRLVQSLTSSVKEANITRVGCSEGEFLKHVEETGRTNSDLFFASSFEDYDKMLTEGENVVPRLSMDEVQATPKAVDEEALGLLRWLATSQAAQDINSDDELLCETILGPLLPAANMDQVLERASQDYGSESQKECQDILDSVEDLDGFEGFNKTKCCTDDEHFFRSSSEETIPQLDGAADDMFSSSGGSTENTPDRDLNVENERSSKLAILLHDIDSGSCSRKKEKSFWGSLPFHEAEKVNTDSRCVNSCRPGIYTSSTKDSEFVSCFSGEDGGQVDVTLQNADTSTYNSREGHLFVERSVRDLMRRKRNYRSEPLDCGYGKANNFTVDSRQKKVVLSRDLDSEVLRSNEPSLRYRDSSHLMPCLTNPKAIVNVFYENKPGYSNSSMYGKLPLVDVCDGLEQASSPNVGEIPGSETVSGPSQVCFDPCLSEAETIGVGPVSLDGCEILASKKSNSGACNADAHDSTPSMQCADKDYFSPSTKRRLLLGNQNSNDRKQKDDAVLPALSQSMPMVTNFDGEQILSIGLTTCRKPPNADLMHKEPFASTSSTMSWKRALLKQKDVEGETGRALDDLLPFFLDRDKNDIFEEHGYSSKEAAMGVPIHYRNDGSFMYILTPVNSPPSKNSVRQWLTSGQGALGINLGKTLVDDRNKPLPQPASSSHTNIVIHGGLPNSSADETSFPENLEPVKSGGVTVEVRACASLSQDISQISGPDEISKATPLSQIGFRDPASVGGIQQLTLLSVEVQAECRGDLRPDPQFDAVKMIALTIQTDSGPVFEVVLILCTKIDSSKRNRYGIGYKLLVHHEEKCLFQSFMKIIYLTDPDILIGWDIQGSSLGYLAERASQLGINLLNKISRTPDEAKMLDGDSKTHTEIPENLVSELVDFDSTVVEDMIIEDEWGRTHASGIHIGGRIVLNLWRLMRNEVKLNIYTLEAVAEAVLRRKLPYIHHRVLTQWFNSGPRQARFRCIEYMMERAKLNLQLISQLDMINRTSELARVFGIEFFSVLSRGSQYRVESMLLRLAHSQNYLAVSPGNLQVASQPAMECLPLVMEPESGFYADPVVVLDFQSLYPSMIIAYNLCFCTCLGKVVPSKVNTLGVISYSPEQQVLNELKDQILFTPNGVMYVTPKVRKGILPRLLEEILLTRIMVKQEMKKLAPSQKVLQRVFNARQLALKLIANVTYGYTAAGFSGRMPCAELADSIVQCGRRTLESAISFVNSQEKWKAKVIYGDTDSMFVLLKGRTVKQAFGIGQEIASAISAMNPNPVTLKMEKVYSPCFLLTKKRYVGYSFESPEQIEPTFDAKGIETVRRDTCAAVAKTMEQSLRLFFEHQDISEIKTYLQRQWKRILSGRVSIQDFVFAKEVRLGTYRSRGPSALPPAAIVATKAMRIDPRAEPRYAERIPYVVIYREPGARLADMVVDPMDLLAVDSPYRLNSLYYINKQIIPALQRAFGLVGANLNQWFLEMPRPVREVFFKQPVSAANPNRTRIDYYYLSKHCILCGELVQTSSNLCNQCLQNEAASTTTIIRRTSKLESEMQHLAAICQHCGGADGIVEFGVKCTSLACSVFYERLKAQKELRGLVAVAAHKDLYPKLPVEWF >KGN60732 pep chromosome:ASM407v2:2:1491495:1495642:1 gene:Csa_2G008720 transcript:KGN60732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKGIFLLLICLLLLCIAFAIPATFSPRVYYPLDSLKQATSLKPKIHFETRFYPQLLDHFTFTPKSSKIFYQKYLINEEYWRNGAPIFVYTGNEGDIEWFAANTGFLPDIAPEFHALLVFIEHRFYGESTPFGNDSYNSAETLGYLTSQQALADYAVLIRSLKQNLSSEASPVVVFGGSYGGMLAAWFRLKYPHITIGALASSAPILHFDNIVPWSSFYDAVSQDFKDASLNCFEVIKGSWTELQQEFSEEGLAELSKTFRTCKNLHSVSSVQDWLWSAFVYTSMVNYPTEANFMRPLPAYPVQEMCKIIDAFAPETSKLNKAFAAASLYYNYSHGEKCFNVENGPDLHGLSGWNWQACTEMVMPMTCSNQSMFPPSKFDYEEFATDCKKKYGVSPRPHWITTEYGGERIEEVLKRFGSNIIFSNGMQDPWSRGGVLRNISTSIVALVTEKGAHHVDFRSATKDDPDWLVEQRRQEVEIIHQWINEHYADMKQDKKFM >KGN61005 pep chromosome:ASM407v2:2:3356979:3362794:-1 gene:Csa_2G034580 transcript:KGN61005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSSAKHGRDQLLDFQGFLNDLQDWEVSFKGKDKKLKPQAIGKEKEDRRQTEKASAADYMKQYDAVNRLSRNFQTEGSFVDAASEKEQGNEYFKQKKFKEAIDCYSRSIALSPTAVAFANRAMAYLKIRRFQEAEDDCTEALNLDDRYIKAYSRRATARKELGKAKEALEDAEFAQRLEPNNQEIKKQHADLRAFVGKAILEKASGASRSSTKNKKTLKKSDSDAKIQDIPPVSSSTSRTGLLAARERVEENGGGNAVKTSARLEESEDTSSGAEITSKKVATNGFHKDSSSYLSALERDHLPRKQELKASVYELASQAASRSMVEAAKNIIAPTTAYQFEVSWRGFSGDQALQARLLKTISPAKLPQIFKDALTAPILIDIVKCVATFFIEEPALAISFLENLVNVPRFSILMMCLSSSEKFDLLKIWDEVFCDEAVPIEYAEMLDSLRSKYFLKQ >KGN62772 pep chromosome:ASM407v2:2:18449755:18457261:-1 gene:Csa_2G372190 transcript:KGN62772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVRKVGKYEVGRTIGEGTFAKVKFAQNTETGESVAMKVLDRSTIIKHKMVEQIKKEISIMKLVRHPYVVRLHEVLASRTKIYIILEYITGGELFDKIVRHGRLSEAESRKYFQQLIDGVDYCHSRGVYHRDLKPENLLLDSLGNLKISDFGLSALPEQGVSLLRTTCGTPNYVAPEVLNHKGYDGAMADVWSCGVILYVLMAGYLPFDELDLTTLYSKIERADFSCPSWFPVGAKALICRIFDPNPSTRITIEQIREDEWFKKNYVSVKLVEDEDVNLDDVNAVFDDPEEPRTEEQRSNENMCPLALNAFDLIILSQGLNLGTMFDRGQDSMKYPTRFVCQKPAKVLLSTMEVVAQSMGFKTHIRNYKMRVEGPSASKTSYFSVIMEIFEVAPTFFMVDIQKAAGETSEYLKFYKSFYGNLEDIVWKPSFDTSKSRIAKNKSKKR >KGN63254 pep chromosome:ASM407v2:2:21725978:21726696:-1 gene:Csa_2G418890 transcript:KGN63254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNICFKSNKVMAQDDSYDDFPPHHLIEPKKVQQQPLPGSAMAKPKNGTGGAAGKKVVRFNLQEEEKDEEGRNSGDSGPGVLRIKVVISQKELKQILKSRENNSCSLEELIEELKVKGRATTVSADETGSWKPALECIPEGESTLMN >KGN62279 pep chromosome:ASM407v2:2:15585371:15586493:1 gene:Csa_2G348170 transcript:KGN62279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYSSSSSSLSDQLHLLGKVEVFRLHGRDKAGRNVLLIVGKYFPARFVSSQAVNVYLKDKIFPLLKDGPFTVVYIHTDVHWTENLPGISNLKAIYEAIPITIKNNIEAVYFLHPSLQTRVFFATVGRLMLDAELYNKVKYVKRVEFLWEHVRRKEMDLPKFVYDHDEKLEFCPVMESDLENDYLRVFSPSPSLNSGVSTYSMRCFA >KGN60935 pep chromosome:ASM407v2:2:2864106:2864400:-1 gene:Csa_2G028470 transcript:KGN60935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVIEIQTEKKRKRKKKKRQRFHHNLEQNVKETRIGQGLSTASTRDDDGGNGGGDCLEKRGKSEIEKRR >KGN61189 pep chromosome:ASM407v2:2:5201407:5201637:1 gene:Csa_2G062640 transcript:KGN61189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPIAATIGDVTTSIPGGLSLLSLILLSISLLSMIIFACSNFFNTRRRRGGDDGGAGGCACGGGGCGGGGCGGGD >KGN62819 pep chromosome:ASM407v2:2:18783540:18783801:1 gene:Csa_2G374610 transcript:KGN62819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHSAAAPQNSSVSLSFSPPPSFYLSLLIRFSSLSPALYRTSAASSLHRKKLKTD >KGN60780 pep chromosome:ASM407v2:2:1842928:1843350:-1 gene:Csa_2G010160 transcript:KGN60780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENFTTLPSNFHHKKVSQVSNKATIDHQNKPIKVKYISSPMMVKANNESEFRAIVQKLTGQHSPDHDDQSVQDFNHVFYPPPPSSSPGVSFDPKDCYGNSASGDVLFDRIEDGDEGRYWRGEMELGSFSGFQASSCVNIW >KGN62782 pep chromosome:ASM407v2:2:18543880:18546788:-1 gene:Csa_2G372770 transcript:KGN62782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMPESSEGHRTASRRANRPLVGPRVPLRRLLRVASIACGIQFGWALQLSLLTPYIQELGIPHAWSSLIWLCGPISGLFVQPLVGHMSDHCTSRYGRRRPFIVAGALSIVLAVLVIGHSADLGWWIGDRGDVRPRAIVFFVVGFWILDVANNVSQGPCRALLADLTGKDHRRNRVANAYFSLFIAVGNIFGYATGSVSGLYKIFPFTLTSACSVNCANLKSAFLIDIVFIAITTYLSVSAAQEIPLVSNDRSSLVVEESMGESGHASEAFFWDLFHTFRHFSGYIWVILLVTSLTWIAWFPFILFDTDWMGREIYGGKPNEGQTYSSGVRMGAFGLLCNSVVLGITSLLMEKLCRKWGAGFIWGISNIFMGICFLTILVVTYVANNMGYIGHDLPPNSIVSAALIIFALLGAPLAITYSVPYAMISSRAESLQLGQGLSAGVLNLAIVFPQVILVLHISSIIFFSSATVK >KGN60957 pep chromosome:ASM407v2:2:3049956:3052006:1 gene:Csa_2G031160 transcript:KGN60957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPLPIFVGVIGNIISVLFFISPIKTFWRVLKKRSTEEFDSLPYVSTFLTASLWAYYGLIKPDGFLIVTVNIFGLSLQICYLTIFLLFSPPHMKVRTTTLVAIFDVGFVGGTISISYFMLHGNSRINVIGFICAALNIINCGSPLGIAFDYYSLFGSQSFFFENPFLSVEILLIMKMKIMQRKVVRSKSVEYMPFLLTLCIFLNSGVWTFYALLVKDPFIGVSFLFLS >KGN61139 pep chromosome:ASM407v2:2:4444505:4448781:-1 gene:Csa_2G059700 transcript:KGN61139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRASGSSSSSPFRCSFDVFLSFRGEDTRSNFTSHLNMALRQRGINVFIDNKLSRGEEISASLLEAIEKSKILIVIISENYASSSWCLNELEKIIMCNELRSGQLVLPIFYRVDPSEVRKQSGRFGEEFGRLEVRFSSDKMQAWREAMIYVSQMSGWPVLQEDDEANLIQEIVQEVLKKLNRGIIMQLRIPKYPVGIDIQVNNILFQIMSDKKIVMLGLYGIGGIGKTTLAKALYNRIAHDFEGCCFLEKIREASNQYDGLVQLQKKILCDILMDNSINVSNLDIGVNIIRNRLCSKKILLILDDVDTREQLEALAGGHDWFGHGSKIIATTRNMQLLASHGFNKLEKVNGLNAIEGLELFSWHAFNNCHPSSDYLDLSKRAVHYCKDLPLALEVLGSFLNSIHDQSKFERILDEYKNFYLDKDIQDILRISYDELEQDVKDIFLYISCCFVGEDINEVKMKLEACGCLCLEKGTTKLMNLSLLTIEFNRIKMHDLIQQMGRSIHLSETFTSHKRKRLLIKDDAMDVLNGNKEARAVKVIKLDFPRPTQLDIDSRAFEKVKNLVVLDVRNVTSSKGTDLEYLPGSIRWMNWPQFPFSYLHTSFTIENLVKFNLPYSSIKNFGKALMCGEWLKEINLSYSKFLVEIPDLTTAINLEKLNLEGCEKLVKVHESVGSLSKLVEFYLSSSVEGFEKFPSCLKLNSLEALVVRYCRIEECCPQFSEEMNSLEILEIDDSIINQLSPTIEYLTGLKELWITECTKLETLPSTIYRLSNLTSLEVKKSDLSIFPSLNDPSSSSLSIPYLTSIKLFNCQITNLDFLETMVQSLEEILKVPKGVVRMDTRGCVSLARFPNNIPDFISCDDNVEYDKKDGVIKQLILMNCDIPDWCKYKSMNDSVTFDFLADYLSWKRKAFIALCVKFHVTNDHELVKLNCRVLFINDIEVWSRMSISNFNFWLSRGECLWMAVLHPCMHRLINPYGDDIMDISPNFSIGILDDKITLLFEVNPECKDTVSIKMCGVHVIMEK >KGN63374 pep chromosome:ASM407v2:2:22702211:22706095:-1 gene:Csa_2G433400 transcript:KGN63374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLKVLDIEGMSFLQPFWTSLKNLRTLFMSYCECEDIDAIGHLKELEILRICNCEGITELPTSMSELKELKVLVVLDCSNLVVIHKNFISSMTKLEELDIQGRFTKWREKIVSVNPTKLSILLEGAEELYLKILENSETPHLRGNDFTSLEWLVLKGMVMLESIVPRHSPTNPFNKLKVVEITRCKQLRNFFSLSIFKGLSNLREIKISECDMMEEIVSIEIEDQITICTSPLTSLHICHMNKLASFCSTKSSIQQTIVPFFDERRVSFPQLEDLSIFRANNLEMLWHKNGTSFSKLQTVEISYCKKLRCVFPSNIVTSLVSLDTLEINCCGLLEMIFEIEKPKTSCDTKVVVPLRHLYLQVLPSLKYVWDKDGDDVVAFPNLKKVEVSRCPKLRSIFPPSFTKYMKEIEELIVEDEPIFPVEDEASKLKEVALFQSLKTLKMSCKEAVDERFWVMSKFFKLKRLELVGCEDDDDDDDKMISLPMEMSEVLYSIEELTIRGCLQLILIGGWNSSIPILPTQGINDIIHARFTFEIGSLQGMRHLELSLKSVKKSFWHKSESVSKLIGLTMIGCEDEDIVCLPLEMSELLYNIEEFIIEDAHKLVQVFENEELSRSNNNDVQRCAKLKNLTLITESSKAYACVERK >KGN62438 pep chromosome:ASM407v2:2:16498799:16502437:-1 gene:Csa_2G354640 transcript:KGN62438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPLLHRYNFDRSRLSAFVHCTRLLSSDSLVEVKPGEIGIASGIPEEHLHRRVIIYSPARTATQQGSGKVGKWKINFLSTHKWENPLMGWTSTGDPYANVGDAALSFDSAEAAMRFAEKHGWQYEVKKRQTPLLKPKSYADNFKWKGPPRAEEN >KGN63230 pep chromosome:ASM407v2:2:21494726:21496542:-1 gene:Csa_2G416200 transcript:KGN63230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYIVSGLAGSQGPSYMGTGCIHRRKVLYGHSPNDHNINGRSIQETKLRKTFGNSEEFIKSVSFASMGTTPYPNSLQCSIEALHNVATSNYEQDTCWGAKVGWYYGSVTEDIFTGMMIQGKGWKSIYLNPQPAAFLGCAPTNGPSTFTQLKRWTTGFLEILLTKNCPIFGAVFGKLDLKVCMFYLWIYLWGPKSIPELCYSILPAYSLLTNSHFLPQASFTQNTYIYIYVCYFFSTVLSCC >KGN60851 pep chromosome:ASM407v2:2:2347019:2349314:1 gene:Csa_2G013320 transcript:KGN60851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKHFLGNMRKHVVCACEVNRFRPYLLVPTSDFHKGEVHCAPRSFFGIEDFLDDDNSRPYTYQKSKKSKNPKKHISFKQRTLAYMEPFTLDVFISKRFVSASLTHRVTCKQVAVAGTNSKDIKAVLQSRSDIPACLAVGRILAERAREADVYTASYTPRERDKFEGKIRAVVQSLIDNGIDVKIYLE >KGN61670 pep chromosome:ASM407v2:2:10771023:10773572:1 gene:Csa_2G222130 transcript:KGN61670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKTSKWLRNFLTGKKDKEKEKCPSNQNFSEYPATPISIRHNPKEKKRWSFRRSSAAAAVAVLPRDSFPFPLEMVSTTMPVAAMDVESEEHKKQSLAMATAKAAMDVDYEEKKQAVAMVVAKAAAADAAMAAAQAAAAAIRLTEVAYVKATAFEEAAAIKIQSTFRSYLARKALRALRGLVKLQALARGHLVRKQAKATLRCMQALITAQARARAQRIKMIEATNNLSYQRQPFLAESVNDHFGYANHAAEENVKIVEMDRVEYKRGSKNRTSYEHVFATNHVSQVPSAKTDIDARGCSGHFEDYSICTVQSSPQDYLAKSKPDLSESGPIGFSTPECMQSMSFEYPMFPSYMANTKSSRAKARSQSAPKTRPESFERQPSRRKASTEGKSIPKAVQIQRSASLVGCAAQDLQYPLLMRLDKSTSSLNNSECGSTSTVLTNTNYRSLVTCEGYGNRSQ >KGN60888 pep chromosome:ASM407v2:2:2612769:2616316:1 gene:Csa_2G021590 transcript:KGN60888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSPARVEETRKNEADSSNPRQRILNVAPINSMPYIGPPLPHSYIPSSPRVEDPEAMVKVGPAMVYCPLTTSQEWDDIVSATKTGVSLTGTAAMGKVGPVIGRVDIGENENSYFFRVSLPGVARDQTDSFSCDMEPDGQVKIRGVTTTGENIVCKNSQIFRMQSKNLCPPGHFSITFQLPGPVNNLQFSGAFGADGILEGSVAKR >KGN62299 pep chromosome:ASM407v2:2:15726422:15727547:1 gene:Csa_2G348860 transcript:KGN62299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRPNSHHFLPCFHCQPHAYIRMVQHLIERCLLLHMSRDECVKALADHANIRPLITLTVWKELQKENSDFFRAYFHTISPNPFLAKFTGSERCIMRRQYLYWR >KGN60967 pep chromosome:ASM407v2:2:3118492:3119663:1 gene:Csa_2G032730 transcript:KGN60967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGISSIGVLPEDCVSAILSLTSPSDAGKLALVSSMFRSAAESDVVWGRFLPENYEEIVAASEMSGEAPLRSKREAFFRLCSPILVDEGKKSFELEKLSGKVIYMLSARELSITWSSDPLCWTWKSHPQSTFPEVVELRTVSWLEINGKIRTKMLSPNTKYGAYLLFKISERAYGLELMPAQLSLQLFPINQPNTNSSNNNHNNSEAYVWLHHKHHDQNNQSNLESLLYGNRRERATKFIQNHVQNKEFRVLNQREDGWLEVELGEFFTTQNDQQLHMSFMETEGFQLKSGLLIQGIQIRPKH >KGN61874 pep chromosome:ASM407v2:2:12566233:12566729:1 gene:Csa_2G258700 transcript:KGN61874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIRLLSLVPHAKQILKIQSGLTKNQLDVPKGHVAVYVGEIQRKRFVVPISYLNHPSFKQLLCHAEEEFGFHHPQGGLTIPCKEDAFTEITSKLQAS >KGN62189 pep chromosome:ASM407v2:2:14910843:14911299:-1 gene:Csa_2G324440 transcript:KGN62189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAIDAESSNRRRKRHASPKTQIDEYDSRFRFFSSSLLVSIPIFCGLRDRDLFIFDRTQIPRLDFF >KGN61305 pep chromosome:ASM407v2:2:6433405:6439936:-1 gene:Csa_2G078090 transcript:KGN61305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSHIWYLIVVYVLVLSLEGKSMVSGEPQVPCAQVPCYFTFGDSLSDNGNNNNLATRAKANYRPYGIDFPGGTTGRFSNGRNLVDFIAEKLNFSNYIPPFMNTRGFNIAQGVNYASGGAGIRFQTGRALGQVISMGEQLRNHNIIIRQIRRSMRNNNSATMAYLKQCLYMVEIGSNDYLNNYYVPSFYSTSRRFSTQEYATRLINQLSLQLEDLIAKGARKVATFGVGLLGCTLYARATFETNGSPCVNDINDAIQLFNIGLKSLIDKLNSRYKNAKFIMIDVAQISTVQPPNQGQIISDAPCCEVQYDNVQCVPFGRVCDNRDGYLFYDGVHPTEFGFEGLANRSFIAQFPNDTYPCDIQQLVQLKLPY >KGN63118 pep chromosome:ASM407v2:2:20723847:20727609:-1 gene:Csa_2G403730 transcript:KGN63118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQSFDRSSRVSKYFCHSCKIFGLSSVVIGSGHHFRLNSAMKEIKIKGGSNADPHPLNDETHAQLSCAIQGCTASNVQCTPEIEKKYVHRVYDAIAPHFSSTRFAKWPKVASFLSSLPLGSLVLDAGCGNGKYLGYNSNCFFIGCDISAQLIKICNERGHEVLVADAVNLPYRTGFGDAAISIAVLHHLSTENRRKKAIEELIRVVKKGGLVLITVWAVEQEDKSLLTKWMPLSEKYVEEWVGPGSPRVRSPSSMALESIPEMNENNSGICLKDSKENLTGSIPENKPPPSQSENDLANCNHENLLKTQQEYFVPWHLPYHRAEVSGTSASALASGLAKKDDKKAAVVYNRYYHVFSEGELEGLISGMDNAVVVDRFYDKSNWCIVLEKLV >KGN62815 pep chromosome:ASM407v2:2:18722077:18726621:1 gene:Csa_2G373580 transcript:KGN62815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLQAFGVILKRFPLNSIIHKSPRFFHPHSSGLCSSSSLAAVTSSSPLWAFDSELKSRGNQVRRIWMSPVCMGRRSCKIAGRKGAQDAKKAKLYARIGKEVVSACKRGGTNPTSNPLLTTLLEKIKELDIPKEIFDRNIKRASEKGQEAYIEKTYEVYGYGGASMVVEVSTDKVNRSVAAVREVLKDYGGKMADSGSVMFKFRRARVVNVKASNVDKDQLLNISLDAGAEDVIEPPEYEDDTEEDKSERYYKIVTSTENYSGILSKLREENIKFETDGGSELLPMSSIEVDDEAMDLNKELMDKLLELDDVDAVYTDQK >KGN61796 pep chromosome:ASM407v2:2:11960458:11960717:1 gene:Csa_2G247080 transcript:KGN61796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNESGEGVEPFRKGEKYGSELLICVLAQRDGKGAEAYGRLLVSAAIAGLLSYASAGEELVVRSRGRWSVTGDEN >KGN60580 pep chromosome:ASM407v2:2:483502:484033:1 gene:Csa_2G000870 transcript:KGN60580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAMHHDTSSDLKVVASKLKDVLEQEKIIVELCDVVATRGARLSAAGIFGILKKLGRDTVRIGEKQKSVVALDGGLYEHYTKFRTTMENTLKELLGDEVAANVFVEHSNNGSGIGAALFAASHSQYLEVDEPQGRKRIKLQIVYCGVAEALILY >KGN61866 pep chromosome:ASM407v2:2:12536441:12536922:1 gene:Csa_2G258620 transcript:KGN61866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIRLPSILLHTKQILKIQGVSTKVKSDIPKGHIAVYVGEIQTKRFVVPISFLNHPSFLNLLKRAEEEFGFNHPMGGLTIPCREETFIDLTSRLHTS >KGN63187 pep chromosome:ASM407v2:2:21132989:21133291:-1 gene:Csa_2G408330 transcript:KGN63187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAARSWTCLGPYGRFSRSLDVGQTQAANRGPRVWAARNIGHGRARMVCLYGLSGAHAHDVACAMLRRAWATIWVVCGCLAYRLAMCLGLMVGVGAWRL >KGN61366 pep chromosome:ASM407v2:2:7440823:7443559:-1 gene:Csa_2G99990 transcript:KGN61366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPSSTSKFPISSPFSSPFFFSVMTLFLFSPFFIILFFFASPFITASDPSTIYDHLHLYGLPIGLLPKNITKFSIDSSTGRFQVFLDQPCNAKFENEVHYDFNVSGRLSYGQIAELAGISSQELFLWFPVKGIRVDLSTSGLIHFDVGVVDKQFSLSLFESPIDCTAADPVDRSIAFNAASLDMDRPLSTKEDQRHNPQLEVSELRASS >KGN62157 pep chromosome:ASM407v2:2:14600319:14600657:1 gene:Csa_2G302240 transcript:KGN62157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLFGCMMSIYTGRGGGGGGGEYLIESATWSLSTIRFGYNVAREGRGSPTTWPSHVPVSVTSKSLVLIGSLRDGPMGSCHGDTTLGSWRCGESRPKWTRVWLERRVHGTSCT >KGN61798 pep chromosome:ASM407v2:2:11976604:11979504:1 gene:Csa_2G247590 transcript:KGN61798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLESEMTASARFKRQKTHPDSLSLSSVSTPASRVDHFEDLVAPGGWPELAKYEISSKELRTNPMGSSRVKAINWRTVEGEIPKKMRKGKNKETKSKIDKENNNNVEWDENGSKDDRLEKKMKEKVEEEDEESKVTEETERWKKHNNSKGSEEIKKMDYVHVRARRGKATDSHSLAERFLSMKVAALNHRVDFINVDDLLAKQMFPTITDNRSSYDTQLGIMGSSSLTIPQTPLINSNLSSITHFEVSSTWGGELQRFEEGGGGPPLLSPSSFLSHQCSVIEDENETLFMDHKLLLPF >KGN60593 pep chromosome:ASM407v2:2:584624:585382:-1 gene:Csa_2G001000 transcript:KGN60593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADATVPDIDSGGANSSSSSSSSSTSARAHDVAALTIKGNSAILNFPELAASFPRPASSSPRDVQSAAALAASMQLPDVMISPPPPPPSPPSSPPCSSSSELDESTPEELSEIVVLPSLGTGYEESAESVTEFVFDEWQYSYGPWDQEKDSSKEEEEGYEYFIDQTAMAERECVIPTALFQPLSFSW >KGN60976 pep chromosome:ASM407v2:2:3184268:3188512:1 gene:Csa_2G033310 transcript:KGN60976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRNRTLLFKKYRDALRSVRVPTSSSPAFASPSTSSAGGGPVIELVSSSLLHPNRSYAPLSTEDPGNSSKGALTVGLPPAWVDVSEEIAANVQCARVKMMELAKAHAKALMPSFGDGKEDQRLIESLTQDITSLIKKSEKGLKRLFVAGPSEDSNIRKNVQRSLATDLQNLSMELRKKQSTYLKRLRQQKEEGQDGIDIEMNLNGNRSRMEDDDLEHMVFNEHQMAKLRKSEAFTAEREREIKQVVESVNELAQIMKDLSVLVIDQGTIIDRIDYNIQNVATTVEEGLKQLQKAERTQKQGGMVMCASMLVIMCFVMLVLLILKTILF >KGN61009 pep chromosome:ASM407v2:2:3391891:3393038:1 gene:Csa_2G034620 transcript:KGN61009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDADDSNNSKTLLQHSNKCLPKILTPEPTQHRWKTEIQQQIYSSRLTQALRRVTHPRSSSPLNGNLVRRTADSVLAATAKGRTRWSRAILATRFRQSLARRRRRTKKKLLARKPELKTEKVRKLPAVQRKVKILGRLVPGCRKLSFPNLLEEATDYISALEMQVKAMTALAELLAGNQRNFAGISNDS >KGN61095 pep chromosome:ASM407v2:2:4054109:4057384:-1 gene:Csa_2G049350 transcript:KGN61095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARALPLYSVVLLVCLLGFGSAGDLNKDLEITWGGDRAKLLDAGNTLTLSLDKGSGSGFQSRNQYLFGKINMQIKLVHGNSAGTVTAYYLRSDESRWDEIDFEFLGNLSGDPYIVHTNIFTQGKGDREQQFYLWFDPTADFHTYSFLWNPQTIIFYVDGTPIREFKNKESKGIPFPKSHPMRLQSSLWNADDWATRGGRVKTDWTQAPFTAAYRNFNADQACIWSTLGSSSCGNGSSKNDSWLSQQLDSAGRQRLKWVQRNYMVYNYCTDSKRFSHGLPPECSV >KGN62176 pep chromosome:ASM407v2:2:14744333:14746830:-1 gene:Csa_2G309370 transcript:KGN62176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVIILFALIMLPALALASRPVRTPFVVRGKVFCDTCLAGFETSATTYIPGAKVRIECKDRNSMELQYTHEATTDSTGSYTLLVNEDHGDELCDAVLVSSPQEKCSSVSEGRDRARVILTRYNGIASNERYVNAMGFAMDEPMSGCNQVMSQYQDIED >KGN61736 pep chromosome:ASM407v2:2:11467050:11468537:-1 gene:Csa_2G235100 transcript:KGN61736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQTVSCADIVNLAAREAVYLVGGPFWHLPLGRRDGLTASIKSVLAQLPSPKASLENNTAKFISKGLDLKDLVVLSGAHTIGFARCVTFKGRLFNFKGSGNPDPDINAAMLTDLRSMCPNRNDGTGANLAPLDVASYDRFDNEYFTNLIGNVGLLESDQGLMADPQTGRMVREYSFDPNLFFEDFAESMFRMSLVGVMTGREGQIRKQCGVVNNDDGY >KGN60824 pep chromosome:ASM407v2:2:2101643:2101946:1 gene:Csa_2G011570 transcript:KGN60824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKKKRRNKNRVLRVTKETRNDTVFEEEGRQTEAEAEEEEDNEGLSPLLGFWFKAQKERK >KGN61073 pep chromosome:ASM407v2:2:3835353:3839187:-1 gene:Csa_2G036710 transcript:KGN61073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQGAKKRKEENARHMANLRRLIIACNVIYILVRMLIFHSSFTWKHWIGLIFTSAAYFIPYNQLEKMAQPIYGDDGELLDGGFDMSTGGICGYMHDVIYITSFVQIMSILSGKFWYTYLVIPGFGVYKSSGFIRGLLSQGSEDEPEDEKTRKKREKMEKKASRVKFMKTKNR >KGN62008 pep chromosome:ASM407v2:2:13527151:13538282:-1 gene:Csa_2G285920 transcript:KGN62008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGALVYGEAKLFSSFLGVGANHFLRSRLPVHQLFNPGSKHVSMQLSRALSGLTNLFFSRRNLDEMQNTKRKRLRPGKISPRLPVPDNITRPPYVKSKRPPGIASGPEVHDEKGIECMRASGRLAAQVLEYAGTLVKPGIMTDDIDKAVHQMIIDNGAYPSPLGYGGFPKSVCTSVNECICHGIPDSRALEDGDIINIDVTVYLNGYHGDTSATFFCGNVDDEARKLVQVTKECLDKAISICAPGVEFKKIGKIIHDHADKHRYGVVQQFVGHGVGRVFHADPVVLHFRNNDAGRMVLNQTFTIEPMLTIGSINPVMWNDNWTVVTEDGSLSAQFEHTILITNDGAEILTRC >KGN62040 pep chromosome:ASM407v2:2:13749768:13754574:1 gene:Csa_2G292210 transcript:KGN62040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTTSFNPLSNSLQLSPPWTLPETHLPIANGVPNTLCFRPRTVTRSLRTCYRADDGIDDGPGPSSPVRLPLVLRRSGRVSQYVWDGFSLQLIGFDGGASSVSFDFGDGFRTLYRVSVLAVKDFFIPKNVSEHYVIYVKWKLLHRVFSSALQVIATQAMFRAIGVGHSRSLASAAALNWVLKDGLGRLSRCLFTASIASAFDTNLKRVRFSTAVLFSLSIGVELLTPAFPQYFLLLASIANIVKQISLGCYLSTASAVHRSFAVADNLGEVSAKAQIQSVCFDNLGLVLAAFLNFLSKNDRRLQAALPFVVYPIFAAMDLFGTYQGLKHVHLQTLTKDRLEIILSNWIEQGYVPTPAEVSEREGIDLLCRQGKDSWPIRIGCLNLEAHVPKLSILAMRSVCSKDYYFICMDAFFRGSTTNTHGILLCLREGARATDICIGLLQACFIRKTIVSNTRIWEEKMVKGNEVSDAIAKEWINLVEDSKKYAEENGCFVLQQMSSLGWAVKNVLLSTNEQIRYSFVDDP >KGN63278 pep chromosome:ASM407v2:2:21970541:21971424:1 gene:Csa_2G423580 transcript:KGN63278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASRTLIMALAIAATMAVELAMATNYTVGDSGGWEIGPNFQAWASSKNFTIGDVLIFEYSSNHDVVEVNEPDFSSCSASNPIEKHIGGSTAITLLTSGKRFFICGVPGHCLAGMKVEIDTLANPSPPPSSIVMPPSPPPEETPSSPPPTSSPPDPTSPPPSSPPKASTKPPAKPPQATPPDSKPSPPSPPTEPTPPSSAPTPEPPIPPFPFDQPLIPSAAPKPPPRPSLAYRCSFRIHLSFGFTFVAIMILAL >KGN62062 pep chromosome:ASM407v2:2:13903504:13905562:1 gene:Csa_2G294890 transcript:KGN62062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDSELDSGKGEYRTILSIDGGGIRGIIPGVILKFLDNPLKSVTDVFWKFWGPRYKGDYLKDLLKKELGDNTLKETITPVIIPTYDINRLFPLIFTTAEAKIDESKNAKLLDVCLSTSAAPTYLPCHEFETNGNSRKFNMIDGGVAANNPTLTAILNERKEMILRRQLETEKNKEAALKITPKRMLILSLGTGSFKKVGKYNAANSSTWGLFGWVQKNKTSPIIDIFRDASADMVDIHVGTIFQYDHDLHKNDPDKRNHTRKKDYLRIQAQNLTGDLCSVDISTEKNLRDLETVGEKLLDERVSRVNLKTGEFEELRHKKETDGEALLEEFEGLPVKKGTNRHALIEFAKLLSEERKLRQSS >KGN62326 pep chromosome:ASM407v2:2:15839332:15842124:1 gene:Csa_2G349600 transcript:KGN62326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGIDLRFSLSSCCLKRKRPANIQIPTLLQPQEIHPHKLDQDATPPTHSFSSTDFADHVGVFSVKGKKRFMEDTHKIIPCLKGHLNNAFFGVYDGHGGRKAATFVADNLHNNILEVVANCMGSANKEDAVKAAFLKTDQNFLNLGLGSGVCCVTALIQGEEVIISNLGDCRAVLSRGGVAEAVTKDHRVEQEDERKRIENKGGYVEIHRGAWRVHGVLSVSRSIGDAHLKDWVIAEPDSKILLISEDMEFLVLATDGLWEKVENQEAIDVVTRSRLMDQSFGLSKGSLRSISHNCCSVNPSASKVRKVSLVTQPKVGVGQSSICEKPMESCEEGEYDYACEIESPGSKSRRISLVRHKKMKVEFSPKENKDCYRKIPTSSRLVAACKELVNLALSRGSLDDITVMVIDLKYFRCKSRSESSCNL >KGN60914 pep chromosome:ASM407v2:2:2742678:2748027:-1 gene:Csa_2G022830 transcript:KGN60914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSIPSQAIRPLSLSSSSSTSLYLRSISSTFSISPYFNLQSPVFAAISRRLRRSTLRSCSSITAKPSSEIRRNRTNNDEPDSKLRALRDLFSKPNIGIDAYIIPSQDAHQSEFIAECYMRRAYISGFTGSAGTAVVTNDKAALWTDGRYFLQAEKQLNSSWTLMRAGNHGVPTPSEWLADILAPGGVVGIDPFLFSADAAEDLKETISRKNHKLVYLYDYNLVDAIWKDSRSKPPRGPIRVHDLRYAGLDVASKLASLRSELKEAGSSAIIISMLDEIAWLLNLRGSDVPNSPVMYAYLLVELDGAKLFVDDCKVTSEVMDHLKTAGVELRPYDSIISAIENLAEKGANLWLDTSSINAAIANAYRSACDKYFIRLGNKRKGKSKTSETSNSQVGPTGVYKSSPISMAKAIKNYAELEGMRNSHLRDAAALAQFWFWLEQEILNGVKLTEVEVADKLLEFRKKQDGFVDTSFDTISASGANGAIIHYKPEPSDCSVVDANKLFLLDSGAQYVDGTTDITRTVHFGEPTARQKECFTRVLQGHIALDQAVFPQDTPGFVLDAFARSSLWKIGLDYRHGTGHGVGAALNVHEGPQSISFRFGNMTGLHNGMIVSNEPGYYEDHSFGIRIENLLIVKDANTPNHFGGIGYLGFEKLTFVPIQTKLVDITLLSASEVNWLNDYHSQVWEKVSPLLEGSASEWLWNNTQPLVKS >KGN60738 pep chromosome:ASM407v2:2:1526272:1526883:-1 gene:Csa_2G008780 transcript:KGN60738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVILPPQDCLGKGLRHQGLVLSAPLSSRRNSNPNSTSTSSNPNLNHDARSCSGRRRRSASVGLKANRQANRERSRVRESSTTAKMATRSLVMGQVKILKRGEILTPDRGVGAGGDDSCGKRRLESKGEEVDLVLGSTDRLGPDADLMQKQVVLTDFKDGMYAGSGAFFASPPPSSVPLPSFAVKNGIATTDLRRLLRLDLE >KGN61232 pep chromosome:ASM407v2:2:5597053:5602617:-1 gene:Csa_2G072460 transcript:KGN61232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCKEVSRMCKVTSSVPPLRSEDAGDHRLPSPIRRRRRLKLRSDQPRSISVLVTCCIGLEYYHFERQMATFIRALNKAHQVLYLKASPTILRSKSMPLLPTAFIGLKNLQRELTNRNQNHHGCFSSVHFSSTSTNPDIDLSNEDSKRQLFNRLLYRSKQRGFLELDLILGKWVEDHIHSLDADGIRALINVLDLENPDLWKWLTGQEQPPEALKTNPVFTGVKEKVIDNLNKHASPETRTPPGQQWVRGWDDFKKGRDGPITGNQ >KGN63036 pep chromosome:ASM407v2:2:20112945:20113190:1 gene:Csa_2G385050 transcript:KGN63036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDILLRPAHTSNLRGSRSLPSFSATTALRRCPTATFLLIGLNTHHSPEPQS >KGN61052 pep chromosome:ASM407v2:2:3651846:3656792:-1 gene:Csa_2G035520 transcript:KGN61052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEQLLIFTRGGLILWTCKELGNALRGSPIDTLIRSCLLEERSGAASYNYDAPGAAYTLKWTFHNELGLVFVAVYQRILHLLYVDDLLAMVKQGFSEIYDPKRMVYDDFDETFRQLRMEAEARTNELKKTKQVGKPLNNARKQGQDQKTGFGENKKSNSGLADDGDAERTKGHKLENGYSNGNHVIESKLTAVVNGKENTSSNVGAFDVNKLQKLRSKGGKKTDPVANKGSKEEPKKKVTKKNRVWDEKPTEAKLDFTDPVGENGDNNIDVLAADQGQSMMDKEEVFSSDSEDEEDEEGDKGSKPDAKKKGWFSSMFQSISGKASLDKADLEPALKALKDRLMTKNVAEEIAEKLCESVAASLEGKKLASFTRISSTVQAAMEEALVRILTPRRSIDILRDVHAAKEQKKPYVVVFVGVNGVGKSTNLAKVAYWLLQHKVSVMMAACDTFRSGAVEQLRTHARRLQIPIFEKGYEKDPAVVAKEAIQEASRNGSDVVLVDTAGRMQDNEPLMRALSKLINLNSPDLVLFVGEALVGNDAVDQLSKFNQKLADLSTSPEPRLIDGILLTKFDTIDDKVGAALSMVYISGAPVMFVGCGQSYTDLKKLNVKSIVKTLIK >KGN62656 pep chromosome:ASM407v2:2:17630001:17633617:-1 gene:Csa_2G365140 transcript:KGN62656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTINRLRISSSFAKMAEEISKAGLGTSTSSSETAKSRSLWPSVLRWIPTSTDHIIAAEKRLLSLVKTPYVQERVNIGSGPPGSKVRWFRSSSDEPRFINTVTFESKPESPTLVMIHGYAASQGFFFRNFDALASRFRVIAVDQLGWGGSSRPDFTCKSTEETEAWFIDSFEEWRKAKNISNFILLGHSFGGYVAAKYALKHPEHINHLILVGPAGFSSESDAKSEWITKFRATWKGAVLSHLWESNFTPQKLVRGLGPWGPDLVRRYTSSRFGTYSIGDILNDEESRLLSDYVYHTLAAKASGELCLKYIFAFGAFARMPLLQSASEWKVPTTFIYGSQDWMNYQGAQEARKSMKTPCEILRVPQAGHFVFIDKPSTFHSTVFYACRRFLSSEPDKESLPEGIISA >KGN63424 pep chromosome:ASM407v2:2:23146724:23150412:-1 gene:Csa_2G445270 transcript:KGN63424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKIGEIDKNEEEEGEGEGEIEWPPWLEPLLSTSFFVQCKNHADSHKSECNMYCLDCMNGALCSLCLNSHKDHRAIQIRRSSYHDVIRVCEIQKFVDITGVQTYIINSARIVFLNERPQPRPSKALTNICLVCHRSLLDSFHFCSLGCKLIGTSKNNGKKIIKVVENESSDTEKSKTSGSNRGRILRSKIQSFSPSTPPPTAATHRTAKRRKGTPHRSPMGGLLLEF >KGN62283 pep chromosome:ASM407v2:2:15625125:15629955:-1 gene:Csa_2G348210 transcript:KGN62283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAAPKPKRAVSSLCCLCNNRKAALKRPKTLQQICRECFYEVFEEEIHQVIVKNQLFRPGERIAVGASGGKDSTVLAYVLSELNQRHNYGLDLFLLSVDEGITGYRDDSLETVKRNEIQYGLPLQIVSYKDLYGWTMDEIVKMIGLKNNCTFCGVFRRQALDRGAALLKVDKLATGHNADDMAETVLLNILRGDIARLSRCTAIITGEDGPIPRCKPFKYTYEKEIVMYAYFKKLDYFSTECIYSPNAYRGFAREFIKDLERIRPRAILDIIKSGEDFRISTSTKMPEQGTCERCGYISSQKWCKACVLLEGLNRGLPKLGIGRSRGLDSEGKRETIGSNNVHTRSIESKQCGSLDF >KGN61727 pep chromosome:ASM407v2:2:11403092:11403430:1 gene:Csa_2G234540 transcript:KGN61727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNTPHLSFVQPTPVPLSVVRCLKRRMTTTLRCPFRELPISKVGFPLCRSVRVPERFGRQSNSPSPSHTSSLFRNPSPLALLLSNHHFTSNSRLEVSFIVLVGFYFRFSNTY >KGN62601 pep chromosome:ASM407v2:2:17296084:17301933:-1 gene:Csa_2G361660 transcript:KGN62601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIPRRQVSFCLSLFSLEFTYPLSSPGFLGIPNSFIRKPKRIHTLGLNQLRPIDAMGENLGTVLKDKRTHSLDVTHVEDGQEPCIWSSAEECKIDIGKQIFCNRSLNMKNIVAVGFDMDYTLAQYKPETFESLAYEGTIRKLVYDLGYSEELLNWSFDWKYMVRGLVLDKRRGNILKMDRHKYVKVAYHGFKELSKDDKVDTYGNTLVRDSFDEPDYALIDTLFSLAEAYLFAQLVDFKDNNPDKVPEDIDYARMYKDVRAAVDLCHRDGTLKQMVAKDPERYINVDTTILPMLKMLRDSGRSTFLVTNSLWDYTNVVMNFLCNSSTPDGDQKCNFDWLQYFDVVITGSAKPGFFHDDNRANLFEVEAKSGMLLNTDNGSPMPQVGMASIALSPTKLSKSCRVFQGGNVRHLHKLLSIESSSQVLYVGDHIYGDILRSKKALGWRTMLVVPELEREQLQQLRNERDAIEDQIHHLKWSLKFEDIEADDKDRLSTELHQLEGEREQVRLSHQDALRECHRKFHGVWGQLMKTGYQNSRFAHQVERFACLYSSQVTNLSLYSPDKYYRPSEDFMPHEFGIIPL >KGN60910 pep chromosome:ASM407v2:2:2720652:2722956:-1 gene:Csa_2G022790 transcript:KGN60910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSVVGDESFSSSPNFNYDYDVFFSFRGEDTRSNFISHLHMALRLKEVNVFIDDKLKRGEQIYESLLKFIERSRLSLVIFSKDYASSTWCLDELVKIIECKKSKGQAVWPVFYKVDPSEVRKQTGGFGEALAKHEANKLLTNKIQPWREALTFAAGLSGWDLANSKDEAELIQKIVKRVLSAVNPMQLLHVAKHQVGVDSRLRKIEELVSHIGSEGVNLVGLYGIGGIGKTTLAKALYNKIATQFEGCCFLQDVRREASKHGLVQLQETLLNEILKEDLKVIVSRDRGINIIRSRLCSKKVLIVLDDVNDLEQLEALVGGRDWFGQGSKIIVTTRNEHLLSSHGFDEKHKIQELNQDHALELFSWHAFKKSHPSSNYLDFSKRATSYCKGLSLALVVLGSFLCGRAKEEWNGMLDEFENSLRKDIKDVLQLSFDGLEDKIKDIFLDISCLFVGEEYKCAKKMLSACHLNIDFGIMILMDLSLITVEMDRVQMHELIQQMGRSIVHNESSEPGKRSRLWLEHDIWEVFVNNSGTDAVKAIKLDLPKSTRLNVDPRAFGSMKNLRLLIIRNARFCTKIRYLPNSLKWIEWHGFAHRTLPSCFITKNLVGLDLQHSLIKRFGKRLKLNYIFKKITNTWISKNYHRLTLASHIFFKNYKIYLLTIDSSIAQQ >KGN61435 pep chromosome:ASM407v2:2:8142506:8142919:1 gene:Csa_2G121995 transcript:KGN61435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHMSKIQHIHLSTHLQKLVYIPPVTTGNNRQIIITAICRRYIPKNILISQEVRPISHTLHPIIVFLGIPTGVFNPGFGFLNLLLHKQILVPHILDLSRNIFPSPLPWIRQRILNMVHRVHIICNWPKLFLTGFHLS >KGN61731 pep chromosome:ASM407v2:2:11441475:11441786:1 gene:Csa_2G234582 transcript:KGN61731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFISMLSSDHNSFLRNESTFEFPRRHFRSMQFNQLIYSSASLEYMDQLQWLGIKSQQNRNKTTKHGNKERATRKTTFLHMSERGRKLIFSSKKKTSYLDGSL >KGN63141 pep chromosome:ASM407v2:2:20868889:20871175:1 gene:Csa_2G404920 transcript:KGN63141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKKLEIFEVGPCESAYQMGFLIGKRFSDTIKSRLHTDLVLRNELLPFAQSPQSHPLIEALCNNNKTRFPIYWDELVGTAEGSGVPILEIVLINFRKEILPFLQKEVPSSVDCSDDCSDLLLVSDNMAIAAHNEDANNALVGHTYLVKGKLQNGLSFLAYTYAGELPSCAFGFNDHGLAFTLNSVPPTNDEIAAGAIGRNFISRDLLESTSLENAIFRIRSAEVSVGHSYNLIDVQTRRIVNVETASRYRFSVSEVGATPFFHANMYTHLQINQVQDPNSISRQKRANDLPKESKNDFLSVLGDMDNKKYPIYMTGKY >KGN60775 pep chromosome:ASM407v2:2:1793023:1795473:1 gene:Csa_2G009620 transcript:KGN60775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVLALGFFMLIALRFLSPPETSHHRFSSVRHTAFLSDGLGKRGDQWVEFISWEPRAFVYHNFLSKEECLYLISLAKPHMEKSTVVDSKTGESVDSRVRTSSGMFLNRGQDKIIRNIEKRIADFTFIPIEHGEGLQILHYEVGQKYDAHYDYFVDEYNIKKGGQRMATLLMYLSDVEEGGETVFPAAKGNFSSVPWWNELSECGKGGLSVKPKMGDALLFWSMKPDATLDPTSLHGACPVIRGNKWSCTKWMHVDKYI >KGN63224 pep chromosome:ASM407v2:2:21459004:21462273:-1 gene:Csa_2G416150 transcript:KGN63224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVKPVGSCSPGLTKVGLFLMALCIAAYILGPPLYWHFMEGLPAFSSSSLSTCPPCFCDCSSLTDFAFTEELENTTFRDCVKHDSGMNEETEKNFAELLSEELKLREAEALENHRRADISLLEAKKMTSQYQKEADKCNSGMETCEAARERAEATLASQKRLTALWETRARQRGWRDNIVTSRGTIQGS >KGN63290 pep chromosome:ASM407v2:2:22043047:22045591:-1 gene:Csa_2G423700 transcript:KGN63290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPDDQQQQPLIEEEEEETAYDLTEKVVVIGIDETESDSDVGLLPFSWRKLWLFTGPGFLMSIAFLDPGNLESNLQAGATAGYSLLWLLLWATAMGLLIQLLSARLGVATGRHLAELCREEYPTWARIVLWVMAEFALIGADIQEVIGSAIAIKILSNGALPLWAGVTITALDCFIFLFLENYGVRKLEAVFAVLIATMALSFAWMFGDTKPDGKELLLGILIPKLSSKTIKQAVAVVGCIIMPHNVFLHSALVQSRDVDPSKKGRVQEALRYYSIESTLALLVSFIINLFVTTVFAKAFYGTDIANSIGLVNAGQYLQDKYGGGLFPILYIWAIGLLAAGQSSTITGTYAGQFIMSGFLNLKLKKWLRALITRSFAIVPTMIVALVFETSDSMVDVLNEWLNVLQSIQIPFALIPLLCLASKEHLMGTFRIGPVLKTTSWLVAVLVMAINGYLLVSFFSSEVNGVVVAIFVFVFIAAYLAFVVYLVYRSISFTSWHNFINRKTYVGNGN >KGN63313 pep chromosome:ASM407v2:2:22263687:22264120:1 gene:Csa_2G427870 transcript:KGN63313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNISYSLKLIIQEVERRNAQGQVEKRVETVDYRSSVGQGLEKRNVQVVHLPHSSTENFATSGGVLAGAAAAVANTLQSAKEAISRK >KGN61739 pep chromosome:ASM407v2:2:11488808:11489288:-1 gene:Csa_2G236110 transcript:KGN61739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNQSIYLIHLNFFLHFPPNSYLGIAIVQVTNVLIHVVAIVSYANNNQLGNAFASVKTGANAGLATKASNNVIVVMSNTVNASLVMAATLLAATISYIVIAINGDNVPQADDMNHAENEDATLKMC >KGN62390 pep chromosome:ASM407v2:2:16172017:16174791:-1 gene:Csa_2G351710 transcript:KGN62390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKPSRALAVFGDGLARFLDHSHSNLHALASLASCGFLSLPNAPPSESEEKRMIRELELLFDASDSDVSKNGGGCEGSSQKKSIPERFMGMRAALLTNNSVVQSLGSELGISLLRLDELMQMNHLGLPPVDFLASELLKRLGFQDGKIQDASEFDLLFVHIGVGDKVNGEKDRTASDEMKYIDALVGDILQKTQPGSEIGSRLHLSLLMSYGDVFEDDENSLSVLTSNCGKNSDLSVLFPRQSYTMMGEVQRNDIRHHSPMLAAQWQYGVTRKDKAETFSFKEFKEHGSNLVIPADRFIHEVAFKLWKAPKYGA >KGN63245 pep chromosome:ASM407v2:2:21630693:21634946:-1 gene:Csa_2G417830 transcript:KGN63245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLATAMALGDSSSLWCFMPKLTPSPLSFKLDFRGGILGLPPSSMACSIEAEKPPNRTTELQPVNDQAHGSIVRKFNKDMASFHKPLSVSNISAYPNDDRKVRISFKGLPGSYSEDAALKAYPNCESVPCNEFEDAFKAVELWMADKAVLPIENSSGGSIHRNYDLLLRHRLHIVGEVQLATNLCLLALPGVRAEQLKRVLSHPQALALSDTVLNKLGVVQENVDDTAGAAQYVASNNLRDAGVVAGARTAELYGLNILAEGIQDDLSNVTRYLVLAREPIIPRADRPYRTSIVFTLDEGAGVLFKVLALFALREINLTKIESRPQRNCPLRVVDDSNMGTAKYFDYLFYIDFEASMAEPRAQHALGLLQEHAPFLRVLGCYPMDVAS >KGN62217 pep chromosome:ASM407v2:2:15112311:15116231:-1 gene:Csa_2G336150 transcript:KGN62217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLPDPAAPPGGSVVVVGVKMDSHSRELLTWALVKVAQPGDSVIALHVLDSAAVPEGKSTLLSLVKSFDSVLSAYEGFCNLKQVELKLKVCRGSPVRKVIRREVNSYEEASLILGTSKTHHRIRSSASVAKYCARKLSSRFTVLAVNNGKVIFQRLATFPNKGQSPDKDGDKNFAAIVEVTNETTAVGLASNFIDSHRCLQKSDSGCNDKTERDCVEGAPSSLERNDSGIDLVDELPEDNDVGNSLALVPFHKPRENLKSNSIVCRESSHWKSSWPLLRRIFLSKHQAEKSSKKFSVFQGVLHIPNFQSSSALVYPDQKPNCSDQDQGFTIDGECGAIVPYGSSHILESLPKEVLDLKDKYSSTCRVFTYEELSFATSNFMSEHLVGRGGSSYVYRGLLPDGKEIAVKILKPSENVLKEFVQEVGIIATSSHKNIISLIGFCLEDNNLLLVYDFLSRGSMEENLHGCKKDMNSFGWQERFKVAVGIAEALDYLHNCREEPVVHRDVKSSNILLSENFEPQLSDFGLASWASSCFQVTCTDVAGTFGYLAPEYFMHGKVSDKIDVYAFGVVLLELLSGRKPISNNCPKGQESLVMWAKPILTEGKVSQLLDPSLGSDYNHDQIGRMILAATLCIRRAPRLRPQISLILKLLQGDEEITTWARQQIDESDEMDASDGEPLPTNIQSHLNLALLGLEDDSLSVGSGIQSISIEDYLQGRCSRSSSFN >KGN63206 pep chromosome:ASM407v2:2:21287582:21287971:-1 gene:Csa_2G412500 transcript:KGN63206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPPWAATVVGGSAASMPSEGGGRRRDVVASVSESAAIVFARRGCCMSHVVKRLLLGLGANPAVYEVDEEQESGVLKELEAFAKSSNVNLQLPAVFIGGTLFGGLDRVMATHISGDLVPILKQAGALWL >KGN61396 pep chromosome:ASM407v2:2:7741828:7746233:1 gene:Csa_2G110220 transcript:KGN61396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHVQELTCKSKNLEAELEKTSKKLLEVTAIAADEAEKCKSAKEVIKSLAAQLKEMAEKMPEGQTAIVNSSTVTGQNGSNLNQLSTESLSMSINSRLESNGISKGQTLSTGIKALNEKAEWVVQDEPGVYITLSTLPGGFNELKRVRFSRRHFTEAQAEKWWADFGAKVCERHKVKSTD >KGN61831 pep chromosome:ASM407v2:2:12228795:12231645:-1 gene:Csa_2G249860 transcript:KGN61831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYFSSSNNERDTTPILYSRGSLLGSYEETTILPRNMMMHVNSGTYMDSLPSQAQNGCGQITSVGAAGTTQQQQEFLSNLGGSQIAEHDFNTWREDRSEMLGANSMRGPTNVLHGGQNLQGQGLSLTLSTQIPSAIQIPSIPYRNSDMGLTSFLSPNPTNSGEDGCRNGASRDEQLRNGENLPPNFQELAKGEISQYSMSTIARTMPNSKYLKAAQQLLDEVVNVRKALKRPNNDRNQSSHEHETRSAKNGDTGTKNDSSMLTASGTSSNPQETGSNSTCELSHAEKQDLQNKLTKLLYMLDEVDRRYNQYYHQMQIVVSSFDVIAGCGASKPYTALALQTISRHFRCLRDAIAGQVRATRKSLGEHENSGSDKGVGITRLRYVDQQLRQQRALQQLGMIQQHAWRPQRGLPENSVSILRAWLFEHFLHPYPKDSDKIMLARQTGLTRSQVSNWFINARVRLWKPMVEEMYKEEIGSVDMDSISSSENAGKATKGDNKTFDDDKEEDLQQSASSTATERCSAGDIIDLKSDQVSNLGNSCSNRVASFQNGAHIEARNELAKPNDELRPNVNNSSFFPDAIVHSQGESDRFMAAAAAYHMSELGRFGTVGGVSLTLGLQHCEGGGLPLPAGTHHGFAAMRGDDMYNAAASSLGETVHFECVNSGNPQPRFGPSHLYHDFVV >KGN63325 pep chromosome:ASM407v2:2:22336870:22337122:-1 gene:Csa_2G428980 transcript:KGN63325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVQFEEDKALRLRQLYMGDRSNMNGSKLDKEYPTSILRAMRMLPHRRLGALREL >KGN60570 pep chromosome:ASM407v2:2:442915:445989:1 gene:Csa_2G000780 transcript:KGN60570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTKTPLKQLKLSVPVQETSIRSFLTASGTFHDGNLLLNQKGMRLISEEKESQTTDSKELDVDFSLEDLETVKVIGKGSGGVVQLVRHKWVGKLFALKVIQMNIQEDIRKQIVQELKINQAAQCSHIVVCYHSFYHNGAISLVLEYMDRGSLADVVRQVKTILEPYLAVVCKQVLQGLVYLHHERHVIHRDIKPSNLLVNHKGEVKITDFGVSAMLASSMGQRDTFVGTYNYMSPERISGGTYDYSSDIWSLGLVVLECAIGRFPYLQSEEQQSWPSFYELLEAIVAKPPPSAPPDQFSPEFCSFVSACIKKDPKERSSSLDLLNHPFIKKFEDKDIDVGILVASLDPPVSFPRQQQQ >KGN61368 pep chromosome:ASM407v2:2:7451116:7452510:-1 gene:Csa_2G100010 transcript:KGN61368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSLLYKKCLVVHRFKFTCDLRRYDDPDSAQSSFSMLLGDAPHLDGTYAIFGKVTKGDDTLKKLEELPTRREGIFVMPTERITILSSYYYDTNLDSCEEDRSTLKRRLAASFVEIERQRMKCFP >KGN60752 pep chromosome:ASM407v2:2:1637015:1637667:-1 gene:Csa_2G009400 transcript:KGN60752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLLLFLMIMLQAQGIRLLEKGMSKEYKKSGGFLVNKNGGDSLMTMKKRAPIRALRKPYSHWLPGIQEDYHGPRSHKSRHH >KGN61929 pep chromosome:ASM407v2:2:12909823:12911162:-1 gene:Csa_2G270220 transcript:KGN61929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSPCCEKAHTNKGAWTKEEDERLISYIRAHGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFTEEEDELIIKLHSLLGNKRKLLNRGIDPVTHRPINETSQEQVASTTISFTATDVKVKVEEDKSMVVEEFQDLEREDFPIEERCPDLNLELRISPPYQSHPEKIVGPKNLCFACSLGLQNSKDCSCKIGCSIGTSTGNNNKVGYDFLGIKNGILDYRSLEMK >KGN62052 pep chromosome:ASM407v2:2:13818095:13818984:-1 gene:Csa_2G292820 transcript:KGN62052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKSLLFPLFTLLLLFLSSSSAHLSVDTSLKLMADALEWPTTTSLIQSPTEDDLDDDLDLQQDPRRSLFWRRVHYYISYGALSANRIPCPPRSGRPYYTHNCYKARGPVNPYTRGCSAITRCRR >KGN62756 pep chromosome:ASM407v2:2:18325201:18330592:-1 gene:Csa_2G370550 transcript:KGN62756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKFIILFGLPIWFILIIKDVIARYNLHSSNLGKLEYPSIGLQVEDSNHVQLNKEVEDMNQQLRQMRGEDLQGLNLEDLKQLERKLEVGLTRVLHTKEKKIMREIDELELKGARLMEENKMLKQQMLRLSNERLMAVLVDSSDVRVAAEEGLSSESAANVYSCNSGPPADDDSSDTSLKLGPPCPN >KGN62875 pep chromosome:ASM407v2:2:19144798:19147762:1 gene:Csa_2G378570 transcript:KGN62875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNQFGPNWDDVICSICLDFPHNAVLLQCSSYDKGCRPFVCDTDHLHSNCLDRFKNAHNTIPPSTSDVVPPMNTEPAAPEDDCKLCCPLCRGDVSGWKVVDEARIQLDEKKRYCEEEQCRFMGTYLELQQHAQSEHPHARPSKIDPARQLDWENFQQSSEIIDVLSTIHSEVPRGVVFGDYVIEYGDDESGDEFEDFPGDDGNWWTSCILYQVFDNFRNSRNRRRSRVGDTRRGTRRSSNDLSNSDDSSVASVEFAEYGVEEIDDEIVTTNVSSRGSSNHRSSRRRRSRFYDN >KGN61163 pep chromosome:ASM407v2:2:4724238:4724429:1 gene:Csa_2G060440 transcript:KGN61163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMFACEKEGCLPSTSPLSSKLVNTRFIPIVDSVVTSFSIFMIRTSTTDSNEVAQYLSSIHQY >KGN61551 pep chromosome:ASM407v2:2:9594911:9595912:1 gene:Csa_2G169750 transcript:KGN61551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAESTQSTSSQKRRLKRKKTQKDPEFERLDSLPWNSSIPIDDTLSAFIGSNDLEGGFLSLEEIDEAEYGMVIPEPDTRKHKLIPKASGNTRKEEQNNADYCEDASRGSNDSIDKEAAGHNVNTKTSKKGKKEKKKKKKKKVIHEVPTAEKDVAIDIGGNDNDGIETEIGDEMDDDDHLETEKKQQKKEKETKDHGIGWYYYHI >KGN62290 pep chromosome:ASM407v2:2:15673593:15677543:-1 gene:Csa_2G348280 transcript:KGN62290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTGHLAPISWTDSAILSKHSTSTAILRVALKVHFSCSLHTLQYTSVQLSEDFFFDFELLDVFGGSMVLYESTGASDDHNSDLTLSHALCRTSALRTEMGLTFGKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKA >KGN61800 pep chromosome:ASM407v2:2:11989417:11998335:-1 gene:Csa_2G247610 transcript:KGN61800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAKNENVDKDKLLEQFLRIGLDERTARNTVANNKVTANLNAVIHEAGVLDGCNKTVGNLLYTVATKYPTNALVHRPILLQYITSNKVKMPAQLEAAFSFFSAAGQEDIKLNDFEDACGVGVEVSVEEIKQTVTEVFEEHKNEILEQRYRTNVGDLFGQVRKKHPWADPKIVKQFIDSKLFELLGERTAADNEKIAKKKKEKPAKVEDKPAAIVSPEQPPSEEDLNPYLIFPQPEDNYKVHTEVFFSNGTILRCCNTKELLEKHLKATGGKVLTRFPPEPNGYLHIGHAKAMFVDFGLAKERGGGCYLRYDDTNPEAEKKEYIDHIEEIVRWMGWEPFKITYASDYFQDLYELAVELIRRGHAYVDHQTADEIKEYREKKMNSPWRDRPVAESLKLFEEMKQGLIDEGKATLRMKQDMQSDNFNMYDLIAYRIKFTPHPHAGDKWCIYPSYDYAHCTVDSLENITHSLCTLEFETRRASYYWLLHALDLYQPYVWEYSRLNVSNTVLSKRKLNRLVTEKWVDGWDDPRLLTLAGLRRRGVTSTAINAFVRGIGITRSDCSLIRFDRLEYHIREELNKSAARAMVVLQPLKVVITNLENGSILDLDAKKWPEAQADEASAFYKVPFSNIVYIEQSDFRLKDSKDYYGLAPGKSVLLRYAYPIKCTDVILADDNETVLEIRAEYDASKKSKPKGVLHWVAQPSSGVNPLNVEVRLFDKLFLSENPAELDDWLADLNPHSKVVIPSAYAVPELRNAVVGDTFQFERLGYFAVDKDSTPEKLVFNRTVTLRDSYKSSK >KGN61506 pep chromosome:ASM407v2:2:8975012:8975276:-1 gene:Csa_2G149990 transcript:KGN61506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLISAYSSDRGEAQCYALQEEFVADQLFQSADYSSDAVLWITHSIFSSAKLLDT >KGN63137 pep chromosome:ASM407v2:2:20839188:20844597:-1 gene:Csa_2G404880 transcript:KGN63137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLLSSPALLPFSSSSSSSSSFLLHLRIPTTTSSKLRILSTHATNVELIADPPTPSSQRLSFGFQNVADTFWVNVQRAEGRPLSIGLNSPLHFGNSKLETLNNVAIRVELSNGCVGWGEVQVLPSVTDVTLEMALAKAQEVCNFLLRTPPATLTSVFDDVTALLSPREFAPIRAGVEMALIDAVANSISVPLWRLFGGVTSTLTTVITVPILSPEEASILASKYYNQGFETLKLVVGKNFAAEIAAIEAIHAAQPCCSFMFDANEGYTPDEAIKFLEKLKDVGIVPLVFEQPVDRDDWKGLHEVSNVARTYGIPVAVDESCRSLTDVWKIIDKNLVDAINIKLPKFGVLGALEIINLARKSGLILMVDSMAETRLATGFAGHLAAGVGCFKYIVLDTPLLLAEDPVVGGYEASGAVYKFNNARGQGGFLNWNLLPDAGGLP >KGN60693 pep chromosome:ASM407v2:2:1258152:1260005:1 gene:Csa_2G006880 transcript:KGN60693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANWTTILFLLVAAAFCRSSSAATYTVGDALGWTVPPNPTVYSDWASTKTFVVGDILVFNFASGRHDVTEVTKSASDSCNSTNPISVANNSPARITLTSAGDRHFICSFPGHCSNGQTLSITVTSTSSSPAPQPSSRPSPSPVPVPVPSPSPSARSPSPSPSSTPSSSPVPSPTPSREPMTYIVGDSFGWNVPTSPTFYDSWAQGKTFVVGDVLEFNFLIQRHDVAKVTKDNYASCSGQSPISLTTSPPVKITLSEPGEHFFICTFAGHCSFGQKLAINVTGAPATPPSSIALPPSDTVPSTPSPTTAPPPPNAAASLRASAFFATFLAVAVALFY >KGN62066 pep chromosome:ASM407v2:2:13938740:13941465:1 gene:Csa_2G295420 transcript:KGN62066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKKTLAHRLFNLSKISAQALTKCRISSSSLALRFPPHTNTSNIAHDPGDHSVFRRFLHKRAVVTQPAISPELRELAAGGNIVEKFKSLGIASDRIRLDCLRPPASETLATDWDRSDVKNGLTVEDARKLLRVTRMEMVKRDLREIQKSWVPYSEFVRVCCQGCDDSDQGLEIAQMLDESGTVIVLGNVVYLRPEQVTKAIEGLIPLPSVIPNDAIRKEFEAMEIQKAAIDKRAETLTRRELWCGLGFLVAQTAAFMRLTFWELTWDVMEPICFYVTSGYFIAGYTFFLKTSKEPSFEGFFQSRFSTKQKRLMKLQNFDIARYNKLRRACFPNSSAQELPSSSDSMVYDNSTKMQLDSIHH >KGN60788 pep chromosome:ASM407v2:2:1899460:1900854:-1 gene:Csa_2G010240 transcript:KGN60788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATIAVSGATPATETQKKNRIQVSNTKKPLFFYVNLAKRYIQQHNEVELSALGMAITTVVTIAEILKNNGLATEKKVLTSTVGMKDENKGRLVQKAKIEIVLGKSEKFDSLMTAATAVPETVVAVAAAAEEEKKAEESKEEQ >KGN61907 pep chromosome:ASM407v2:2:12756852:12759489:1 gene:Csa_2G264020 transcript:KGN61907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQSFLLDISMLLGLMAILSSCGSCSFHTELSLEEATLKDLQRAFYQNKLTSRQLVEFYLEQVRRLNPILKGIIEVNPDALDQASRADLERKKSSPRSLSPLHGIPVLVKDNIATKDKLNTTAGSFALLGSVVPRDAGVVTKLRMAGAIIFGKASLSEWSYFRSNALPSGWSARGGQGKNPYTMGEPCGSSSGSAISVAANMVTVSLGTETDGSILCPSTLNSVVGIKPTVGLTSRAGVVPISSRQDTVGPICRTVSDAAYVLEAIVGADRYDNSTIEASKYIPKGGYGQFLRAGGLKGKRIGIVREFYDFGPDDTFYTQAYEKVVKTLKKGGAILVDNLMIDNLEQIFDGSSGEQIALLAEFKISLNAYLKELVASPIRSLSDAIEFNKKNSKLENLEYGQEEFLKAEATNGIGDAEKAALARLAKLSKDGFERLMIKNKLDAVAAPGSLISPVFAIGGFPGVSVPAGYDPQGNPYGICFGGLKGFEPRLIEIAYGFERLTKSRKPPSIKRQ >KGN62859 pep chromosome:ASM407v2:2:19058314:19061517:1 gene:Csa_2G377950 transcript:KGN62859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGTTEQYAPKNILITGAAGFIASHVTNRLIKNYPHYKIVALDKLDYCSNIKNLGPSQTSPNFRFIKGDIVSADLINYLLVAEEIDTIMHFAAQTHVDNSFGNSFEFTNNNIYGTHVLLEACKVTQRIKRFIHVSTDEVYGETDLETDIGNPEASQLLPTNPYSATKAGAEMLVMAYHRSYGLPTITTRGNNVYGPNQYPEKLIPKFILLAMKGEKLPIHGNGSNVRSYLYSEDVAEAFEVILHKGVIGHVYNIGTKKERRVLDVAGDVCKLFGSTPEKAIDFVQDRPFNDQRYFLDDQKLKKLGWQESTPWEEGLKRTMDWYTQNPDWWGDVSAALDPHPRISVATHSNEDSWFFQYGFSRLTRTCSELNKDSGSERNQQGLKFLIYGRTGWIGGLLGKLCKEKGIEFAYGSGRLEDRRSLIEDIQRVRPTHVFNAAGVTGRPNVDWCESHKIETIRANVVGTLTLADVCKEQNLLLMNFATGCIFEYDKEHQLGSGVGFKEEDKPNFIGSFYSKTKAMVEELLRDYPNVCTLRVRMPISSDLSNPRNFITKISRYNKVVNIPNSMTVLDELLPISIEMAKRNCRGIWNFTNPGVVSHNEILEMYKKYIDPKFKWENFNLEEQAKVIVAPRSNNELDASKLKKEFPELLSIKESILKYVFEANKKT >KGN61792 pep chromosome:ASM407v2:2:11938711:11939943:1 gene:Csa_2G247040 transcript:KGN61792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSVEFRCFVGGLAWATDSNSLEKAFSVYGEIVEAKIVSDRETGRSRGFGFVTFLEEEAMRSAIEAMNGHILDGRNITVNEAQQRGGGGGGGYNRGGGYGGRRDGGGFSRGGGGGYGGGGGGGYGGGRDRGYGGGGGYGGGRDSRGSGGGGSEGGWRN >KGN62893 pep chromosome:ASM407v2:2:19256745:19260256:-1 gene:Csa_2G379240 transcript:KGN62893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLNSSLSVSTSTNVSLPNLPFFRNQPKNLPCRKRIGMYKKFNVCSTSISKPTSENGLSRRDLLLFGLTSSVALSFPSLGSLAEEELKAATMVDEINAYSYTYPLELSSTNFAFKWVESRKPERYSSAAPLSPDARLRIVSERVDFIDNLIISVTIGPPNSIFIKSKDKSTWAAKDVADSVLSDKSALRVTSSQRMAESSVLDTNSSNIDGEPYWYFEYLVRKSPTKIVGESNIYRHYVASTAERDGKFLIFSLHSVKQAINEQNLLFYSITTVL >KGN61721 pep chromosome:ASM407v2:2:11323033:11327262:-1 gene:Csa_2G233000 transcript:KGN61721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAACFAPSLSVSGGLIKPSDLSSKSISFGQTSKLAIRRKCSKTNHKLSVRADYDDGGRSGSGGGDFVAGFFLGGAVFGTLSYVFAPQIRRFLLNENEHGFRRAKRPVYYDEGQDGLEATRETLNAKIKQLGSAIDNVSSRLKGGKKKPPLPVEEPDRWAQGV >KGN61952 pep chromosome:ASM407v2:2:13113310:13121180:-1 gene:Csa_2G271450 transcript:KGN61952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLNNDNIDKDKLLEQFLRIGLDERTARNTVANNKVTANLSAVIHEAGVLDGCDRAVGNLLYSVATKYPANALIHRPILLDYITSNKVKTPAQLEAAFSFFSAAGSEIRLNEFESACGVGVEVSVEEIEQTVNEVFEERKNEILEQRYRTNVGDLFGQLRKKHPWADPKIVKQFIDSKLFELLGERTVADNEKIAKKKKEKPAKVDDKLAATVAPKQPPSEEDLNPYLIFPQPEDNYKVHTEVFFSNGTILRCCNTRELLEKHLKATGGRVLTRFPPEPNGYLHIGHAKAMFVDFGLAKERGGGCYLRYDDTNPEAEKKEYIDHIEEIVKWMGWEPFKITYASDYFQELYELAVELIRRGHAYVDHQTPDEIKEYREKKMNSPWRDRPIAESLKLFDEMKQGLIEEGKATLRMKQDMQSENFNMYDLIAYRIKFTPHPHAGDKWCIYPSYDYAHCTVDSLENITHSLCTLEFETRRASYYWLLHALDLYQPYVWEYSRLNVSNNVLSKRKLNRLVTEKWVDSWDDPRLLTLAGLRRKGVTSTAINAFVRGMGITRSDCSLIRFDRLEYHIREELNRTAARAMVVLQPLKVVITNFENGSILDLDAKKWPEAQGDEASAFYKVPFSNIVYIEQSDFRLKDSKDYYGLAPGKSVLLRYAYPIKCTDVILADDKETVLEIRAEYDASKKSKPKGVLHWVAQPSPGIKPLNVEVRLYDKLFLSENPAELDDWLADLNPHSKVVLPSAFAVPELRNAVVGDTFQFERLGYFTVDKDSTPEKLVFNRTVTLRDSYKSSK >KGN62424 pep chromosome:ASM407v2:2:16395210:16395490:1 gene:Csa_2G354010 transcript:KGN62424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANMFLPSNLKLSIANQVLRDYISISNVRELITSIHSNNIQLSTLNIDRAYVNQKGLTYQNRFSCW >KGN63165 pep chromosome:ASM407v2:2:20983071:20984947:-1 gene:Csa_2G406630 transcript:KGN63165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLRFLWKGLVLAVVVAWAATGTVKRGEAQLVENFYGSNCPNLEQIVTQSVQTKFAQTFVTIPATLRLFFHDCFVEGCDASVLIASLNGDAEKDAKDNLSLAGDGFDTVVKAKQAVENVCPGLVSCADILALATRDVVNLAGGPQYSVELGRRDGLISQASRVAGNLPEPFFDLNQLTNMFAAHNLTLIDMIALSGAHTQGFSHCDRFANRLYSFSPSSPTDPSLDPEYARQLMDACPQNVDPSVAINMDPITPQTFDNVYYQNLISGKGLFTSDQILFTESESQPTVSSFATNGAEFNAAFITAMTKLGRVGVKTGNDGEIRRDCTAFNS >KGN60853 pep chromosome:ASM407v2:2:2365062:2373980:-1 gene:Csa_2G013830 transcript:KGN60853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRLKIGEGGNDPYIYSMNNFVGRQIWEFDPNAGTPEEQAEIEHLRQRFTKNHLKGFPSGDLLWRLQFLREKKFKQSIPQVKVEDGEEISYDKASNAMRRGAYFLAAIQASDGHWPSESSGPLFYLCPMLICMYIMGTMDTILSPEHKKEMLRYVYNHQNEDGGWGLHVGGHSNMFCTTFNYISLRLLGEGPEVEQLSRSRNWIRQRGGVTSIPSWGKTWLSILNVFDWSGSNPMPPEYWMLPTWLPIHPSNMMCYTRITYMPMSYLYGKRFQAPLTSFVLQLRDELHTQPYHQINWKKARHMCAVEDMYFPHPFVQDLLWDTLYLLSEPLMTRWPFNKLIRQKALNETMRHIHYEDENSRYITIGCVEKVRNNPSGDYKSMFRHTSKGSWTFSDCDHGWQLSDCTAENLKCCLLLSLLPPGIVGEKMEPERFYDAVNVILSLQSKNGGLPPWEPASSYYWMEWLNPVEFLEDLIIEHEHVECTSSALQAILLFRKQYPSHRTKEINSFINKAIQFILDIQLPDGSWYGNWGICYTYGTWFALKALSMAGKTYENCEALRKGAHFLINIQNSEGGFGESYLSCGTKRYIQLEGKRSNLVQTAWGLMGLICAGQANIDPNPIHRAAKLLINSQTEDGDFPQEEITGVFFKNCTLNYGAYREVFPVMALGEYCNKISLPSKKKQ >KGN60734 pep chromosome:ASM407v2:2:1499831:1504220:-1 gene:Csa_2G008740 transcript:KGN60734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVEVRKTNLVGENDDMEQFPIGMRVLAVDDDPICLKVLENLLRKCQYHVTTTNQAVQALKMLRENKNRFDLVISDVNMPDMDGFKLLELVGLEMDLPVIMLSAHSNTELVKKGVLHGACDYLLKPVRIEELKNIWQHVLRRKKPSVKNQNKSIIGNNTSQSVEVAKGCPPAVSTDNEKSGKRQKDQDDDEEGGEEDSTYENDDSSTQKKPRVNWYDGDENLHRKFVAAVNILGYEKAVPKKILDLMNVEGLTRENVASHLQKYRQYLKKLCSEESEQCNKMGAFGGTHTSFRPMASLDGFSMTGTGRLSNATFSQYPSREIVGQLNSTAGLSLNDIASSGMIQSHKLSNSLSFIGKPHPPFFHTNQPPHTFNGISMSLDHIQLHRDKRTLSFKESNLINDASSFTVSTSFPDAGRAVGSSSNYDFGVSSHSLMLREHKQQIHGDGEFGGQSSLKITLDNREPLDSGTSVSNILGHSQSNKNWPGSIQLPKFSSNVSVTGIYPPDGMPGTYLTISSAQPDNDNFPVGFPSTSGDSSSHLDLRGDTQCYEELIGSVVEATNGRSKQQWEAAKQDYYGNHSSVVRDSFVSGNSVADPLIQSANQNNAICLGNMSSSSTNQLNIGAPTFIHDGVEISTMNTWMNYDGKIPMDQTKLQHGFSSSSFNSLDELVNALSKQDQDQSIQINGEFGFDAQSLGSSCI >KGN60877 pep chromosome:ASM407v2:2:2526850:2536725:1 gene:Csa_2G020990 transcript:KGN60877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVEVIHLWSTPRSLSTSLMYSFAQRDDMEVLDEPLYANFLRVTGYDRPYREELLSKMEPDGNKVIKEIIFAPGEKKFRFCKHIAKQKVPGLASDLMKKGRHFILIRNPLDILPSYDKVIPASFSELGFSELVSIYNELKELGRLPPIIDAAELQKNPEATLRGLCEELGIPFQHKMLKWEAGPKAIDGVWAPWWYKTVHRSTAFEAPRKYPLPFPFNLYDLLEQTIPLYNFLRRHVKQKSLLLMSPLPTPDLPVPANEKLLAWVGDEILPRDSAKVSVFDSVVQGGDSVWEGLRVYRGKIFKLDEHLDRLFDSSKALAFQNVPSREEVKDAIFRTLIRNGMFDNAHIRLSLTRGKKVTSGMSPQFNLYGCTLIVLAEWKPPVYDNSSGITLVTATTRRNSPNNLDSKIHHNNLLNNILAKIEGNNANAGDAIMLDKDGFVSETNATNIFLVKKGNVLTPHADYCLPGITRATVMDLVVKEKLILEERRISLSEFHTADEVWTTGTMGELTPVVKIDGRVIGDGQVGPVTRMLQNAYKKLTEESGVPIPTYTTK >KGN62480 pep chromosome:ASM407v2:2:16673020:16674108:-1 gene:Csa_2G356050 transcript:KGN62480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPQLSIKGDDDQQGEGRGKDQPTKTRVVENGISPGMGFAHEILRKAGPRAGVVGLVPTAIGGTVIRQWMKNTTDPNATYYQHLVERIKASDKDGGVVRALLWFQGESDAAVKDYAINYKDNLKTLINDLRNDLKPRFLPVILVKIAIYDFFAVNGTDNLSTVRAAQEAVSNEVPDVSIIDSWKLPMNLTTREGFNLDRGHFNSTVLLTAGRWLADTYLSRYSQLL >KGN61584 pep chromosome:ASM407v2:2:9873411:9875647:1 gene:Csa_2G173030 transcript:KGN61584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSKVQSLFCFGLARTDRLRSMVVDFGGLYASFVLGLYASFVLGLYASFVLGLYAYFINKLEDLSCQIYSSIFDHQNIGDGCEVFDMDLEMETGIHGILVVDLEIDSAVDFHEKISLLGPWKCFFLVLDVDSSLLEECLPVLDFLESSMRVLLSTLTFQQGSPIYWLLNEHGLVFGLKIGRSNYQLMKSVLGECLGQSLS >KGN61158 pep chromosome:ASM407v2:2:4683334:4694579:-1 gene:Csa_2G060390 transcript:KGN61158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSMRDLDPAFQGAGQKAGLEIWRIENFNPVPVPKPSYGKFFTGDSYIVLKTTSLKSGSLRHDIHYWLGRDTTQDEAGTAAIKTIELDAALGGRAVQYREVQGHETEKFLSCFKPCIIPQEGGFASGFKHAEAEEHKTRLFVCKGKRVVHVKEVPFSRSSLNHDDIFVLDTKSKIFQFNGSNSSIQERAKALEVVQYVKDTYHNGKCEIAAIEDGKLMADPETGEFWSFFGGFAPLPRKTTSDEDRPVDSHPTKLFRIEKGQLEPHGDGSLTRDLLETNKCYILDCGFEVFAWMGRNTSLDDRKKATAAAEQLVHGPDRPKSQITFVIEGFETATFRSKFDSWPQVANVVVSEDGRGKVAALLKRQGVNVKGLLKADPVKEEPQPYIDCTGNLQVWRVSGNEKILIPASDQSKFYSGDCYIFQYSYSGDDKDEYLIGTWFGKQSVEEERASALSLVNKMVESLKFLPVQARIYEGSEPIQFYSIFQSFVVFKGGLSKGYKNYVAEKEIQDETYQEDGVALFRVQGSGPENMQAIQVDPVASSLNSSYCYILNSSSSVFTWSGSLTNSDNQELVERLLDLIKPNVQSRSQKEGSESEQFWNLLGGKSEYPSQKISRDAESDPHLFSCTFSRGNLKVVEVHNFDQDDLMTEDIYILDNHSEIYVWIGQQVDAKSRLHALTIGEKFLEHDFLLENLSSKAPVYIITEGSEPPFFTRFFKWDSAKSSMHGNSFQRKLTIVKSGGTPTVDKPKRRTPVSYGGRSAVPDKSQRSRSMSFSPERVRVRGRSPAFNALAANFENPNARNLSTPPPVVRKIYPKSMSPDSAKLVSAKSTSIASLSASFEQPPPAREAIIPRSIKVTPVTPEPPKPKPETNNNDKPETNDKEKENAKTVRIETLTIQEDVKEGEAEDDDGLTTYPYERLKTTSTDPVSDIDVTKRETYLSSEEFRQKFGMTKEAFYKLPKWKQNKHKMALQLF >KGN62914 pep chromosome:ASM407v2:2:19396014:19400163:1 gene:Csa_2G379940 transcript:KGN62914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTASTGYPFGTAVHSYGNSALLSRKLEFSRSNFPFPAEFSRINPNSGSVFHFSSKSSVCKIHSLPDIDNIFWDKVPTPLLDVIDNPIHLKNLSLKELKQLAEEIRSELASFLSNVPASYKASLAVVELTVALHHVFHAPVDKILWDAGEETYAHKILTGRRPLMSTLYKKNGLSGSTSRLESEFDPFGAAHGCNSVSAGLGMAVARDIKGKRERIVTIINNLSTMTGQIYEAMSNVGYLDSNMIVILNDSRHSLHPKLDDGSKTSVSALSSTLSRLQSSKSFRKFREAAKGVTKRIGKGMHELAAKVDQYARGMMGPSGSTLFEELGLYYIGPVDGHNMEDLICVLQEVASLDSMGPVLVHVVTEENYSEGYKRVAGRQLDGLYNIDPLLYGIDSRTYGDCFAEALVVEAEKDKSIVTVHAGMQMEPSIQLFRERFPDKFFDVGMAEQHAVTFAAGLSCGGLKPFCVIPSAFLQRAYDQVVHDVDQQRIPVRFVITSAGLVGSDGPTQCGAFDITYMSCLPNMIVMAPSDENELVHMVATAARIDDQPVCFRYPRGSIVTTNNLALEGVPIEIGKGRVLAEGKDIALLGYGAMVQNCLNARALLSKFGVEVTVADARFCKPLDIALLRQLCDNHAFLITVEEGSVGGFGSHVAQFIALDGRLDGRVKWRPIVLPDNYIEQASPQQQLSLAGLTGNHIAATALSLLGRPREALLMMC >KGN61763 pep chromosome:ASM407v2:2:11679806:11682766:-1 gene:Csa_2G238790 transcript:KGN61763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKARSENGWHCIKSLYFRGKPTKDLCLLQKVKASASTPGEAPVYLNVYDLTPANGYVYWAGLGIFHSGIEVYGIEYAFGAHDYPTSGIFEVEPRTCPGFKFRKSIYIGTTCLDPIQVRDFMERQAANYHGDSYHLIVKNCNHFCEDVCRKLTGKCIPKWINRLARIGSKCNCILPKALKATTMQHDPRFEGQDSEKKRLRSGFSCLSSISMNQKEVSISSLFLHSHYKGCLPPWELKRSKSWSFK >KGN61940 pep chromosome:ASM407v2:2:13000062:13002279:-1 gene:Csa_2G270830 transcript:KGN61940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDEGRVHPDCRNASNPYHECSEYCFKVIAELKARSQKNEPVPTSSETVQAGRSSGSSSFVPPDEYEDLHDEKPDEEGHSDGDLDKFSGEENVEGDFTKLTGRKKKLFEIRLKMNEARKANQTAIAAEKKKMEPPSESRGISKQKWLEDRKKKIGKLLDANGLDMTKAYMLDTQEAAENKYKKWEKDPAPYGWDVFNQKTLYNAYKKRTKNVNIDLEEYNKMKESDPEFYREASSLQYGKAPKISEDKIDNMVKELKDRDEKRKSFSRRRKFHEDKDIDSINDRNEHFNKKIERAFGRYTLEIKNNLERGTALPD >KGN62503 pep chromosome:ASM407v2:2:16825981:16837245:1 gene:Csa_2G357260 transcript:KGN62503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGRKDWVSCFVRFVFVLIVVKNLEETNAISVSSSYRHVDIGAVTDQSSRMGRQQKIAIEMAFQTFHFSTNTFPKLELSHRNSNGNSARAIISALDLIGNKEMSTILGAFTLQEIQLMSEINKNFIDISIISLPVAASLPPHNNNLFPLPSFIQMAHNITFHIQCTAAIVAHFEWHKVTLIYDNTNDISFNMEALTLLSNQLGAFNVEIDQISSFSSSYTESMIEEKLKSLVGGERNRVFILVQFSIELAKLLFHKAKKMNMMDNGFVWIVGDEISSHLDSSDSSTFSDMQGVIGFRTYFDHNKDSFKKFRSKFQRKYASEYDDEEEEMKNGEPSIFALRAHDAGWAVALAMHKLQANFSNKQLLKEILRSEFEGLSGKIGFKNGVLKEPPTFEIIYVVGKSYKEMGFWRENVGFFNNMIENNDQEMSSSIIIHEGRSRSSSNNNDDNKNGVLELPRFVLWEGNAGTGLIKRRMIDVENSNFGVTGRILKIGVPANNTFQDFVRVCYNHLNGMYISGFSITVFEAVAKNLPYPLLYQLVPFNGSYDGLVEQVYTKGLDGAVGDIGIFADRFRYVDFTEPYLVSGLLMIVKEKTKIWKEIWAFMKTFTTTMWIILPISHIFIISVVWLVKDDSGDDPSGFGEMLWFSITVIFYAQRFLLLNGSSSKKDANFQCSSDEPKTVLNVGVIADNSSRVGREHIIAIQMAVKDYIFTSCYKVELLLLDSPENSAQTTATSLDLISNKEVKAMFATLTMEEVSLIFELNKTSMNIPIVSLSLASLVPPPLPPNQPPRPPFIQISNDIAHEMQCIAATIGNFQWKRVTVIYEQKNGFPTNMAILNLLSNSLGDVYSKIENHLAFSLLDPEPLIEQKLMNLSINSNRVFVLVQSSVELATLLFEKAKKLKMMTNGYAWIVGGEIANLVDSLYSSTFNNLQGVIGCKIYFEETEDSFKKFRTKFRRNYMSKFPEDEGQGDPSIFALRAYDAYWAIATALDEIVSKGNPNRRIKEWPKKVLRSKTEGLSGVVSFKNCILSNLPTFQIINVIGRSYKEIAFWSPKFGFFEEINNTGSRNESMDFSSLVNWPGNAKTVPKGWDFSYGEKALKIGVPTTAAFKEFVSVNYNHTDGPHVSGYSISVFEAVVSNLPYFLPYDFIPFNGSYDDLLKKVYTKEFDGAAGDFGIFADRFKYVDFSEPYLDNAAVMIVKEKALKWTKLWLFMKAFTAKMWLIMLSMHVFISSSIWLIERKHNEALKGIGNMLWFSVSVIFYVHREPVKNGLARMVLGPWLFAILIITASFTASLSSMMTISRSQPWFLDIETLKLKNATVGCNKNSVMVRFLTQVLLIPQEKIKQIPSVDMFPDALEKGEIQAAFFSGAHAKVFLAKHCKQYTKATIFKLVGMGFAFPKGSPLTVDISASIAELTERREMPDLESTLLSTFNCSLNDNDPDGSALGPEPFAGLFLISGSIALGALLFTAGRLILRSLGWIKQHPATKPKSHFPIYTS >KGN61607 pep chromosome:ASM407v2:2:10094399:10096479:1 gene:Csa_2G176700 transcript:KGN61607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAAFFSSSFITNMSSSNYLLALLLGLLSVVLTKPVVGDYILNPQPPHPPFIPIYEPPKPPVFPIYEPPNPPILPIYEPPKPPVAPIHKPPKPPVVPIYKPPKPPVVPIYKPPKPPVVPIYKPPKPPVVPIYKPPKPPVVPIYKPPKPPVVPIYKPPKPPVVPIYKPPKPPVVPIYKPPKPPVVPIYKPPKPPVVPIYKPPKPPVVPIYKPPKPPVVPIYKPPKPPVVPIYKPPKPPVVPIYKPPKPPVVPIYKPPKPPVVPIYKPPKPPVVPIYKPPKPPVVPIYKPPKPPVVPIYKPPKPPVVPIYKPPKPPVAPIHKPPKPPKPPVGPIYKPPKPPVVPIYNPPKPPVAPIHKPPKPPVVPIYKPPQPSLGPIYKPPKPPVGPIYKPPKPPVVPICKPPKPPVAPIHKPPKPPKPPVGPIYKPPKPPVVPICKPPKPPIAPIHKPPKPPKPPVGPIYKPPKPPTVPIYKPPKPPVAPIYKPPKPPKSPVGPIHKPPKPPMVPIYKPPKPPVVPIYKPPKPPVAPIYKPPKPPMAPVYKPPKPPVVPIYKPPEQPVGPIYEPPKHPGDPIHKPPVPIDKPSLPPPYSYNPPYNPPPSN >KGN62104 pep chromosome:ASM407v2:2:14235516:14240925:-1 gene:Csa_2G298260 transcript:KGN62104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGERNLEEAIEQLLNVEKQMRLAGDVAGTKKAATDILQLCFEAKAWRTLNDQIMLLSKRRGQLKQAVTAMVQQAMQYIDETPDIETKIELIKTLNNVSAGKIYVEIERARLIKKLAKIKEEQGLIAEAADLMQEIAVETFGAMAKTEKIAFILEQVRLCLDRQDYVRAQILSRKISPRVFDADPTKEKKKPKEGDNIVEEAPADIPSLMELKRIYYELMIRYYSHQKDYLEICRCYKSIYDIPSVKENSAHWIPVLRKICWYLVLSPHDPMQSSLLNSILEDKNLSEIPNFRLLLKQLVTMEVIQWTALWNDYKDEFENEKNLLGGSLVEKAAEDLKQRIIEHNILVVSKYYSRIKLNRLAELLCLNLQEAEKHLSEMVVSKALVAKIDRPMGIVSFQTSKDSNDILNSWAMNLEKLLDLVEKSCHQIHKETMVHKAALKV >KGN63250 pep chromosome:ASM407v2:2:21686760:21701307:-1 gene:Csa_2G418860 transcript:KGN63250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPKVKYDRQLRIWGDQGQAALEKASICLLNCGPTGSETLKNLVLGGIGSITIVDGTKIEEGDLGNNFMVDESSIGQSKAKCVCAFLQELNDAVKAKFIEEYPEALIETRPSFFSQFTLVVATQLVEEWIVKLDKICRNANVILVVARSYGLTGLVRISLKEHTVIESKPDHFLDDLRLNNPWPELRRFAETIDLNAPDPVAHKHTPYVVILVKMAEEWAKSHGGSLPSSREEKKAFKDLLKAKMIAMDEDNYKEAIEASFKVFAPRGISSDLKQIVNDSCAEVDSNSSDFWILVAALKEFIVNEGGGEAPMEGSIPDMTSSTEHYVNLQNIYQAKAEADFKIIEERARNILKKIGRDPNSISKTTVKSFCKNARKLRVCRYRSLEDEFNSPIVPELQKYLTDEDFSVAVGFYLLLRAVDRFAANYNSFPGQFDGGIDEDISRLKTTAVGLLSDLGCNGLTLSEDLINEMCRFGAAELHVVAAFTGGIASQEVIKLITRQFVPMSGTFVFNGIDHKSQLLSL >KGN62261 pep chromosome:ASM407v2:2:15475648:15476965:1 gene:Csa_2G346020 transcript:KGN62261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLFFTFLLLFFSLLLPSLNALSHHYYDHTCPNLESIVAREVRLATANDKTVPAALLRMHFHDCFIRGCDGSVLLDSKGKNTAEKDGPPNISLHAFYVIDNAKKAIESTCPGVVSCADILALAARDAVVVSGGPHWEVPKGRKDGRISKASETRQLPAPTFNFSQLQQSFSQRGLSLHDLVALSGGHTLGFAHCSSFQNRIHNFNSSLDVDPSLDSSFAASLRRVCPARNKVKNAGSTMDSSSTVFDNAYYKLLLEGKSIFSSDQSLLSTPKTKALVSKFANEQHLFEKAFVKSMVKMSQIAGAGQEVRLNCRLIR >KGN62485 pep chromosome:ASM407v2:2:16701932:16707150:1 gene:Csa_2G356100 transcript:KGN62485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTMFSNIVKSTVDWVTLILDAPSARAVIFGVHIGGHLFVEVLLLVVIIFLLSQKSYKPPKRPLTKKEIDELCDEWVPEPLIPSITEEMESEPPVLESSAGPNTIINGKEVVNFASANYLGLIGHTKLLESCTNALEKYGVGSCGPRGFYGTIDVHLDCEARIAKFLGTPDSILYSYGLSTMFSAIPAFCKRGDVIVADEGVHWGIQNGLYLSRSTIVYFKHNDMKSLRDTLEKTTAGNERAKKLRRYIVVEAVYQNSGKIAPLDEIIKLKEQYRFRVLLDESNSFGVLGCTGRGLTEHCGVSVDKIDIVTAAMGHALATEGGFCTGSARVIDHQRLSSSGYVFSASLPPYLASAAITAIDLIEENPMLLTKLKKNIAILWQGLLGIPGLKLVSDQESPIVFLVLDKSTGSLQNDLQLLEKIAELALNEHSVFVVTSKRSTLDKCRLPVGIRLMVSTGHSESDLLKATKSLRSVAAVVLKDHI >KGN63371 pep chromosome:ASM407v2:2:22683359:22688743:-1 gene:Csa_2G433370 transcript:KGN63371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDILVSVIAATIKPIGHQLGYLVCYNRNKKELREQLENLETTKKDVNQRVEEAKGKSYTISEEVSKWLADVDNAITHDELSNSNPSCFNLAQRYQLSRKREKQVNYILQLMNKRNSFVEVGYRAPLPDTENTVVPGDYQVLESKTLLAKDIKNALSKPEVNKIGVYGMAGVGKTYFLNEVKKLVLKGEDRLFDRVIDVRVGRFNDVTDIQEQIGDQLNVELPKSKEGRASFLRNNLAKMEGNILILLDDLWKEYDLLKEIGIPLSKDGCKVLITSRSQDILTNNMNTQECFQVSSLSEEESWKFFMAIIGDKFDTIYKKNIAKNVAKECGGLPLALDTIAKALKGKDMHHWEDALTKLRNSIGMDIKGVSDKVYASLRLSYDHLDGEETKLIFLLCSVFPDDYKISIKNLQMYAMCMRLLNKVKTWEDSKNRVMKLVNDLISSSLLLEAESDSKDKYVKMHDVVRDVAIHIASKEGNMSTLNIGYNKVNEWEDECRSGSHRAIFANCDNLNNLPLKMNFPQLELLILRVSYWLVEDNLQIPYAFFDGMVKLKVLDLTGMCCLRPLWTTPSLNNLQALCMLRCEFNDIDTIGELKKLEVLRIVKCNMLDHLPPTMSQLTHLKVLEVLNCPKLEVVPANIFSSMTKLEELKLQDSFCRWGEEVWYKDRLVKNVTVSELNCLPCLSNLSLESWNVKILSEISSQTCKKLKEFWICSNESDDFIQPKVSNEYATTLMLNIESQVGSIDEGLEILLQRSERLIVSDSKGNFINAMFKPNGNGYPCLKYLWMIDENGNSEMAHLIGSDFTSLKYLIIFGMKRLENIVPRHISLSPFKKVKTIAIQFCGQIRNLFSFSIFKDLLDLQEIEVINCGKMEGIIFMEIGDQLNICSCPLTSLQLENVDKLTSFCTKDLIQESSQSIIPFFDGQVSFPELNDLSIVGGNNLETLWHKNNNPTTVLWSLNELHLLNLPNLKQVWRKDIIKILTFPSLKRVKIHGCTKLTHVWKDNNKVTRSFDSLERIEVEKCKNLKYLLPSSIAFLNLKELHIKKCNGMINLFSSTVTKKLVNLSSIKVSYCKGMRCMVEVDQAENDEIITFKKLSTLELDYLPRLDSFYSGKCMLEFPCLESLVIKRCPEMKTFSYGVIIAPRLQTLWMNDKEFGVSSPACGINETIQNFPRRVVALKSFGRGIGVDICHQLL >KGN60503 pep chromosome:ASM407v2:2:96006:98743:-1 gene:Csa_2G000120 transcript:KGN60503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLDSDVPMVPAVEASSSAGPSSSKKPKRFEIKKWNAVALWAWDIVVDNCAICRNHIMDLCIECQANQASATSEECTVAWGVCNHAFHFHCISRWLKTRQVCPLDNSEWEFQKYGH >KGN60739 pep chromosome:ASM407v2:2:1532258:1555600:1 gene:Csa_2G009280 transcript:KGN60739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSPFKKTGSESESSGNSFVGGREERGSCDYFGWVYHIGVNSIGHEYCHLRFLFIRRKYVELYKRDPHENPGIKPIRRGVVGPSLMVEELGRRKVNHGDVYVLRIYNRLDDSKKGEIACATAGEVRKWMEAFDHAKQQAEYELTRGGSPRDKLNMEEEINLDGHRPRVRRYAHGLKRLIKIGQGPETLLRQSSNLNTRTGSDGFFEGDFGDALERHRWKCVRTFNGVRIFEDVADSKSGKGVLVKSVGVVDAHADTVFDIVLNFDWSQRYEWDTLISDLELVESYDGHYDILYGTNNPTYLSQSQCKRDFIFSRQWFRGQDGTYTILQVPCSHKKKPPRSGYRRSRINPSTWEIRCLNTAMGSNAPKCLVTQILEIQPAGWFKWQRNHPSKFEKSVPYALLCQVAGLKEYVLANPALNYENLPTVVRSKISDGSTTNSDYDDGEVQDEFYDAIAADSSSSEEESDNDKELNNKELKVKLKNVSWAIAGFSLKRKSAVDANKELDPNVAPIILETSQFHGSLQRGRDEKDTNCWTSPSGTGFMIRGKNYLKDNSKVMGGDPLLKLIAVDWFKVDNSFDGIALHPRNLVQSEAGKKVPFMLVINLQVPAKPNYSMVMYYAADRPVNKNSLLGKFVDGSDMYRDSRFKLIPSIVEGYWMVKRAVGTKACLLGKAVTCKYLRRDNFLEIDVDIGSSTVARSVIGLVLGYVTSLVVDLAILIEAKEEEELPEYILGTVRLNRVKLDSAIHLET >KGN62160 pep chromosome:ASM407v2:2:14609566:14615700:-1 gene:Csa_2G302260 transcript:KGN62160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLEEVKAAVGALWNTRGLNWPSAFEQRRQKAGDLDLLDWLRAMFGFQRDNVRNQREHLILLLANSHIRLHPKPEPLNKLDERAVDAVMNKLFKNYKTWCKFLGRKHSLRLPQGELEIQQRKILYMGLYLLIWGEAANVRFMPECLSYIFHNMAYELHGLLAGNVSIVTGENIKPSYGGDDEAFLRKVITPLYRVIEKEAKKSQNGKAPHSVWCNYDDLNEYFWSSDCFSLGWPMRDDGEFFKSTRDLAQGRKGPQRKSGSTGKSYFVETRTFWHTFRSFDRLWTFYVLALQAMAIGAWKGVSPLEIFQKDVLYALSSIFITAAVLRLLQSILDLALNFPGFHRWKFTDVLRNILKVIVSLGWAVALPLCYLHTFKMASEKFRDVLSFLNPLRGIPPLYIMAVALYLLPNLLAAVLFIFPMLRRWIENSDWHIIRFLLWWSQPRIYVGRGMHESQFSLIKYTIFWVSLLCCKFAFSYFVQIKPLVKPTKDIMNIHRVEYEWHEFFPKAKHNYGAVVSLWMPVILVYFMDTQIWYAIFSTIYGGFIGACDRLGEIRTLGMLRSRFQSLPGAFNTYLVPSDKSKKRGFSFSKRFDEITTNRRSEAAKFAQLWNEVICSFREEDLISDRKGCVN >KGN60609 pep chromosome:ASM407v2:2:691604:698836:-1 gene:Csa_2G003610 transcript:KGN60609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHKTGDTSLLPDVSVDIAVNTMEDAKKPIVAAIEGLALGGGLEMALASHARIAVPKVQLGLPELSLGVIPGFGGTQRLPRLIGLPKAIEMMLLSKTITSEEGEKLGLIDAVVSPNELMKVARKWALDIAERRKPWIRTLHRTDRIGSLAEARSVLKSAREQAKKIAPNTPQQLACIDVIEDGIIHGGYSGVLKVPLCIPCEDKVFRELVATDTAKGLVHVFFSQRLISKVPNVTDRGLKPRNVKKVAIIGGGLMGSGIATAFILSNIHVVVKEINPEYLQKGIKTIEANLRGLVVKGKLTQDKANKALLILKGSLDYSDFKDVDMVIEAAVENVPLKQKIFSEIEKICPAHCILATNTSTIDLNLVGEKTRSMDRIIGAHFFSPAHVMPLLEIVRTEKTSPQVILDLMTVGKIIKKVPVVVGNCTGFAVNRTFFPYVQAAQLLVHLGVDLFRIDRVITNFGLPLGPFQLQDLSGYGVATAVGKEFSASFPDRVLFSPLVDLMRKNGRDGKNNGKGYYIYEKGSRPKPDPSIAPILEETRRIANLMPSGKPIAISDQQILEMVLFPVVNEGCRVVEEGIVVRPSDLNVATVLGMSFPSYRGGLLFWGDLVGPKHVYASLKKWSEQYGDFFKPSKYLEERAAKGIPLSEAISENAASRSKL >KGN63182 pep chromosome:ASM407v2:2:21073131:21074264:1 gene:Csa_2G406800 transcript:KGN63182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLHMIFLFGIWLTLLCSSPVCARTAAKPPAASPIPAPVPAPAPAPAGDHVDLADLLTVAGPFHKFLGYLESTKVIETFQKQANNSEEGITIFVPKDTAFSSLKKPSLSNLTKDQRKSLLLFHGLPHYYTLADFNELSQKSPITTFAGEQYTLNFTDASGTIHISSGWTNTKVSSSVLSTDPVAVYQVDHVLLPEAIFGTDFPPAPAPVPTPDVAPAADTPSAETEGSVSPSSTESPSSSFRVGGGVLWIQLVLAISGGLLLF >KGN63312 pep chromosome:ASM407v2:2:22260198:22262440:1 gene:Csa_2G427860 transcript:KGN63312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSIGIMDSAYFVGRSEILSWINSTLHLNLSKVEESCSGAVQCQLMDAVHPGMVSMHKVNFDAKSEYEMIQNYKVLQDVFNKLKITKICCSETAILFFYNALERREACKGGKEASKKFAASQSSAKNSVATSRSQTSQNARRNEATASINSANQSAKASRPSSSHGAAVYDEQITELKLSIDSLEKERDFYFAKLRDIEILCQSHQIQDSNVVRAIKKILYAGEDDASVVVEAQAMVSMDAQKEGKTTTEGISANMETQKRKTIQNLDVDAVGISTLSPRQRISGVSDVHCSSSPLMTY >KGN62761 pep chromosome:ASM407v2:2:18383992:18386923:1 gene:Csa_2G371100 transcript:KGN62761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKLFFFLSLALLLLTSNAFDLCASGSDGDLSVIPIYGKCSPFTAPKSESWMNTVIDMASKDPARIRYLSSLTAQKTVAAPIASGQQVLNVGNYVVRVQLGTPGQTMYMVLDTSNDAAWAPCSGCIGCSSTTTFSAQNSSTFATLDCSKPECTQARGLSCPTTGNVDCLFNQTYGGDSTFSATLVQDSLHLGPNVIPNFSFGCISSASGSSIPPQGLMGLGRGPLSLISQSGSLYSGLFSYCLPSFKSYYFSGSLKLGPVGQPKAIRTTPLLHNPHRPSLYYVNLTGISVGRVLVPISPELLAFDPNTGAGTIIDSGTVITRFVPAIYTAVRDEFRKQVGGSFSPLGAFDTCFATNNEVSAPAITLHLSGLDLKLPMENSLIHSSAGSLACLAMAAAPNNVNSVVNVIANLQQQNHRILFDINNSKLGIARELCN >KGN62991 pep chromosome:ASM407v2:2:19833628:19833819:1 gene:Csa_2G382640 transcript:KGN62991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKGSKAQRLKGSKAQRLKGSKAQRLKGSKAQRLKGSKAQRLKGSKAQRLKCSNSLTFLFEL >KGN60953 pep chromosome:ASM407v2:2:3020020:3021743:1 gene:Csa_2G030630 transcript:KGN60953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLELPSKASNPPQSLPRTEQLIKASVPLMAEQEPKPEPKEVEENLDPPLIYKTWVLKVSIHCEACKRKVKRVLKDIEGVYETDIDLKQQKVVVKGNVESETLIKKLLKTGKHAELWPEKGKSKGKSKKKEKHSDSESSDESSGHEDEKDRVKFDVQDPKKNGDPTGKIIDGGGDSQAKQPGPAPAGDGQTGGSAGKKKKKKKKKKSGGGGGGNAGGEAPVDPPANGAPPSEPNPGHSDTGPHPVAGPVPVPIVVNESPTRHYVTQYQPHYIPHPVYSVRYSTAYAMSSQPRRESYYATPQQHSYAYVHPGVRPETDSPPSDFEPYSSQPSDSLEIFSDENPNGCSIV >KGN61556 pep chromosome:ASM407v2:2:9637716:9641505:-1 gene:Csa_2G169800 transcript:KGN61556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVQQSWRLRFSFRNATIALCLLNLVAAFLLLQGFLSVASSRNRPLSTNHKLDQLKYIKEAQEIRLSMQPLELIKRVREIQEEAYYETEAVQDKDSKQNAAMDLSKRLKDFRSLNDASSLKALEEWRKRKMERARLREIEKNGTLNSPSQLRKHNF >KGN61857 pep chromosome:ASM407v2:2:12438289:12438754:1 gene:Csa_2G252060 transcript:KGN61857 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dynein heavy chain MEVSEFCASGGFKTRRGRGPGYPPKRSRKSSYFHRQIYHDHLYTCNIPPRSDLETNSKNGIKNFGPKTKKKKKRKQRGIGNEWKGELSGQKRRRKRRRKKRKPNGENAERNVEPSETTECV >KGN62037 pep chromosome:ASM407v2:2:13737927:13742421:-1 gene:Csa_2G287190 transcript:KGN62037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEDPPSEVSVTVDKPKLDETLNVSEVTTESIVQGGLQSSCNSPNEKKPITQPTAQTSDESGDKSLDLAEELLEKGSKAMKDNDFNEAVDCFSRALEIRAAHYGELASECVKLYYKYGCALLYKAQEEADPLGAVPKKEGQSDKDDSVKSAVNGESSKASVSSNAEAVDGVTDDVSETVSKKDRDEEESDGSDAEDLADADEDESDLDLAWKMLDVARAIVEKDSADTMEKVDILSALAEVALEREDIGTSLSDYQKALSILERLVEPDNRQLAELNFRVCLCLEFGSQPQEAISYCQKAISICKSRVVRLTDEVKSVIVPTTASSTSGSEPEVPLSSNGSQTDNENATTEKQSEIDTLSGLLVELEKKLEDLQQQASNPKSILSEILGIGSAKPNLEKITPPVPSVFNSSQMGSAHSNGGFDSPTVSTAHTNGVTHLGVVGRGVKRVSTNSESNDSNPTKKLAKDLSSSQDKGDSSSA >KGN62975 pep chromosome:ASM407v2:2:19711222:19711446:-1 gene:Csa_2G382485 transcript:KGN62975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACFFLDPFVKIQSITPGIVLKPHTPSLVNYSINQTSPLPPCHSFYNLVQSLHLGHHPTLVLLHFFGLPIGLQR >KGN63317 pep chromosome:ASM407v2:2:22298447:22302335:-1 gene:Csa_2G428400 transcript:KGN63317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTDFTSFPVIDVGPLMEKRDDPKMGEDASVIEVVKQLDQACRETGFFYAKGHGISESLLSEVKKVTRMFFQLPYEEKIKIKLTPDSGYKGYQRVGENITKGVPDMHEAIDCYREFKPGTYGTLGKTMEGSNQWPLDPPNFKQLMEEYINLCTDVSRNIMRGIALALGGSPYEFEGDRAGNSFWVTRLIGYPGISSLKASDVPENDIGCGAHTDYGLLTLVNQDDDITALQVRNLSGEWISAPPIAGSFVCNIGDMLKIYSNGLYESTLHRVINKSLKYRVCVAYFYETNFDTAVEPLEICKNRTGGESKFKRAVYGEHLVSKVLTNFV >KGN63072 pep chromosome:ASM407v2:2:20453208:20454409:1 gene:Csa_2G401320 transcript:KGN63072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGNQKTLKPPDKEASELSREEEETEFGAKSSELSKKLNRQTRGMKKNRKNHRIRKVRVLGGAAAERDEQIGGDCLQMLWPDSPLEDTSSSPLFILLIWNKSPGAEKNVQVRDSEWA >KGN60793 pep chromosome:ASM407v2:2:1927414:1935128:1 gene:Csa_2G010290 transcript:KGN60793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPDASDALAARETVQQFLNAARIGNIDLLKNLAARLDDGKGLSGTVADIKDANKRGALHFAAREGKTEVCRFLIEELKLDVDTRDEDGETPLIHAARQGHTDTAKYLVERGANPAIASDLGATALHHSAGIGNIELLNFLLSRGPDVNSQSDAGTPLIWAAGHAQQEAVKLLLEHHANPNAETDDDITPLLSAVAAGSLACLDLLIQAGAKVNISAGGATPLHIAADSGNLEIINSLLQAGADPNATDEDGLKPIQVAAARGSRAGVEILLPLTSAVKEIPNWTTDGILEYMQNEINKDQAVSRNPEDNKHKDSTAREDLPEVSPEAKKKAAEAKSRGDDAFNTKDFHTAVDAYTQAIDLDPTDGTLLSNRSLCWIRLGQAEHALADAKACRALKPDWPKACYREGAALRLLQRFEEAANSFYEGVQLDPNNMALVNAFREAVEAGRKFHGTDKQKS >KGN63277 pep chromosome:ASM407v2:2:21963573:21965351:-1 gene:Csa_2G423570 transcript:KGN63277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILHSSIKPQSSSKLITFFLISSSFCVGYFLSSVFLFHTFQPSLTHIPSSDLSLHQIVFGIASNKDSWPKRKDYIKIWWKPNLMRGCVFVDDIPQNHDASSSSSSLPAVCVSADTSRFRYTYRGGFRSAIRVARVVLETVAAGHSNVRWYVFGDDDTFFFPENLVKTLSKYDDGLWYYIGSNSETYVQNRNFGFEMGFGGAGFAISQPLAQTLRNVFDSCLQRYPHLYGSDSRVHSCLTELGVKLTHEQGFHQVDLKGDIFGLLASHPLTPIVTLHHLDRINPIFPNKTIKESLQHLYKAVEIDPYRVVQQSVCYDRWFSWTISVSWGYAVQIYDHHVFLTDAINVQQTFTPWLKGSKVEPGSFTFNTREIHEDPCRRPTVFYLDQVSSDWSGLIKTTYKKDFLNCSFGSASPRRHDEVRVFSRKLNMDAKQLQAPRRQCCDVLPSTAGEVLEMAIRDCKEEEMIHMH >KGN62865 pep chromosome:ASM407v2:2:19088096:19090264:1 gene:Csa_2G377990 transcript:KGN62865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCVISASRFTLSISKSISLCSCPRSRVFPSSSLSLHSSIRKTHPFCSASMSQSVETNSVSTPDDAGAKAEETPADVLIQYVVLRRDLIDSWPLGSVVTQGCHASVSAIWLNKDDPHTSDYCNPHNIDSMHKVTLEVKGETQMVNLSEKLKANSIVHKLWIEQPENIPTCLATKPYPKSVVSPFFKKLKLCK >KGN61122 pep chromosome:ASM407v2:2:4272891:4274291:1 gene:Csa_2G057080 transcript:KGN61122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSFAAPWSGVPASTKPLCCSCKSATAALFCRHDTAFLCLRCDAQIHTLSGTRHPRVWLCEVCEQAPATITCNADAAALCPSCDADIHSVNPLARRHDRSAIQPFYDSPPSSSVASVFKFLIPTQHQHDAVQPDLKSEDIFFSDMDSLIDFDYPTAGDGVVPEQSNPGTESTTQLTDSSTRNFSGFQLCSTRSKLDAISYPSQNLSHSVSSSSLDVGVVPDRNTASDASFPTVEKAVQLRGMEREARVLRYREKKKNRKFEKTIRYASRKAYAEIRPRVKGRFVKRNETNCEMERIYGSAGVGFMVGEGQYGVVPSLRV >KGN61935 pep chromosome:ASM407v2:2:12960623:12963556:1 gene:Csa_2G270770 transcript:KGN61935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVDQEDSEAIEEEKKKKTRKNVLVGIRMNGDSRDLLNWSIVKVADPGDCVIVIYVCQSSDRASKDKPLFDEFLEGYRSLCDVNKVTFIAHMVTGSSVKKTLVRQAKIYAAGAVVLGTSKPCNLGGWSSITRYFVKRLPPTTNILVLNNGKIVFRRSTNDQLTGLSLDPKPSFSQASQSDFDGSETEKSVSYGVGSEDLKDEVDGVVLESKRNCSKPDSAMMMEHSEPGLGWPLLRTTPRISQTSSVHNMSVVQWVMNLPDRSPHRSLSITVNDPSKSEIHRKVRAKGNLSSFSELPEDLEDLLKTNSTTYKWFSPYVLKTSTSHFSSENLIGKGGCNLVYKGILPNGKPVAVKVMNSSKQAWDEFFREVDIMSSLHHKNISPFLGICIADNKLISVYDFFSKGSLEANLYGRNKEKNILSWEVRFRLAIGIAEALNYLHDECPRPVVHRDVKTSNILLSDELEPKLSDFGLAIWGPTESSFQIEADVVGTFGYLAPEYFMYGKMSNKIDVYAFGIVLLELLSGRKAISAETSKEQQSLVMWAKPITESGNVKDIVDPNLEGKFDEEQLQRMILAATLCITRASRIRPRISQILKILRGESDTETLPVEDSQSVENGDDEVYPNSSSELHLNLALLGVDDDGGDSFNSMEQKKKLTLEKYFKERWSRSSSFN >KGN62334 pep chromosome:ASM407v2:2:15877354:15878779:1 gene:Csa_2G349680 transcript:KGN62334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIGKFLLVPLLLIVLVSGLAESFEFDEKELATEESLWQLYERWGKHHTISRNLKEKHKRFSVFKENVNHVFTVNQMDKPYKLKLNKFADMSNYEFVNFYARSNISHYRKLHERRRGAGGFMYEQDTDLPSSVDWRERGAVNAVKEQGRCGSCWAFSSVAAVEGINKIKTNQLLSLSEQELLDCNYRNKGCNGGFMEIAFDFIKRNGGIATENSYPYHGSRGLCRSSRISSPIVKIDGYESVPENEDALMQAVANQPVSVAIDAAGRDFQFYSQGVFDGYCGTELNHGVVAIGYGTTEDGTDYWLVRNSWGVGWGEDGYVRMKRGVEQAEGLCGIAMEASYPIKY >KGN60978 pep chromosome:ASM407v2:2:3197987:3200621:-1 gene:Csa_2G033330 transcript:KGN60978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRGGGSDGGTSYYAILGIRKDASLSDIRTAYRKLALKWHPDRWMRNPAVAGEAKRQFQLVQEAYSVLSDQTKRSVYDAGLYDPTEEDDEEFCDFMQEMITMMNNVKPEGDSFEDLQKMFMEMVGSDGVGMFNMNDNPTASKRPRPNGSRSSAPKRSSSRR >KGN61694 pep chromosome:ASM407v2:2:10956231:10961476:-1 gene:Csa_2G225330 transcript:KGN61694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIVLNSSRLFQFNKIPTTLIFPPHPCKISVFQSKRVVPMASLTASSAVGLSETFSKLKEQGKVAFIPYITAGDPDLSTTAEALKVLSTSGSDIIELGVPYSDPLADGPVIQAAATRSLARGTNFSAIISMLKEVIPELSCPIALFSYYNPILKRGIGNFMLTIKDAGVRGLVVPDVPLEETEILRKEAVKHSIELVLLTTPTTPRDRMKAIVEASEGFVYLVSSVGVTGARASVSNKVQTLLEEIKEVTEKPVAVGFGISKPEHVKQVSSWGADGIIVGSAMVKLLGEAQSPEEGLKALENFTKSLTSALP >KGN62196 pep chromosome:ASM407v2:2:14980640:14982475:1 gene:Csa_2G326490 transcript:KGN62196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMGHSFFSELLPNNCDVIGECHSFLNFSSSSNSSNIGRDDGGKDDEDMANCLILLAQGEPAAASQPSSYSLGKFFRRDPEGQNGASKAADGCAYECKTCRRTFPSFQALGGHRSSHNHKNTPITPTATPANHNSISTTSPPNKQLQQRFNNNNNVSNQLDQVRMSRTVYYNNLKPANHRIKVHECSVCGADFISGQALGGHMRRHRRGNPPPPWLRRWSFERFQ >KGN62561 pep chromosome:ASM407v2:2:17122789:17124654:-1 gene:Csa_2G360780 transcript:KGN62561 gene_biotype:protein_coding transcript_biotype:protein_coding description:Allene oxide synthase MSSIVIPSLQPHLRFPSSQETPQRSRSRVGFVSIRPIVYAADGVSSSSSSSLRVPQRIVSPPEPIKLPLRKIPGDYGPPMFGALKDRQDYFYNQGREEYLKSRMLRYESTVYRTNMPPGPFITSDSRVVVLLDGKSFPVLFDHSKVEKKDLFTGTYMPVTELTGGYRVLSYIDPSEPDHAKLKQLVFFLLKHRRDKIMPEFHSTFSELFETLEKDLAASGRAEYNAPGEQAAFNFLARSLFGADPVDSKLGRDAPKLIAKWVLFQLGPVLSLGLPKVVEELLLRTVRLPPALIKADYRRLYEFFYKSSEAVFEEADRLGISREEACHNLLFTTCFNSFGGMKIFFPNMIKWIGRAGVNLHTQLAREIRTAVKANGGKITMGAMEQMPLMKSVVYEAFRIEPPVPVQYGRAKKDLVVESHDAAFEIKEGEMICGYQPFATRDPKIFDRADEFVPDRFTGDGEELLKHVLWSNGPETQSPSVQNKQCAGKDFIVFISRLMVVELFLRYDSFDIEASNTPLGAAVTVTSLKKASF >KGN62847 pep chromosome:ASM407v2:2:18994497:18996667:-1 gene:Csa_2G376850 transcript:KGN62847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIATTLTKINLVRFSPSRSSSPRSSFNFARCSPIQQVQADSTIDCEPCNGKGWIVCDFCEGQKTNVKVEKNRIYRRCPTCRAVGYVLCSNCKVFKCVTFPNFNDGADLSF >KGN61934 pep chromosome:ASM407v2:2:12960141:12960599:1 gene:Csa_2G270760 transcript:KGN61934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLDDEPLQVLVESEMVEGFKSGESLNQRLPAEAPEIEEDSKSVGFGGL >KGN61112 pep chromosome:ASM407v2:2:4172281:4174383:-1 gene:Csa_2G055000 transcript:KGN61112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHSRVTILILSLMISIFQLQLHSSHAIPNQDVSYMKFVHNATDLPPKEEYDYIIIGGGTAGCPLATTLSSKFSVLLLERGSDPNKYPSVLDEQGLLNVFAAGDDGRNPFQRFVSEDGVENIRGRVLGGGSMVNAGFYSRGHREFFASAGVDWDMELVEKAYEWVEETVVSQPILNAWQSAFRSSLLEGGVVPDSGFDLRHLVGTKTGGSIFDNKGNRHGAVELLNKANPTNIKVAIEATVQRILFSGLSANGVLYSDSKGKLHRAIIRKKGEIIVSAGAIGSPQLLLLSGIGPKSHLSSLKLPVVLHQPYVGQSMSDNPRFGTNIIIPFPVLPSSVKVVGILQDNIYIQSIASPFPILIPQIFSLLPPQATSIIPTLAMFVGKFSEVHSEGSLRLNSSTNVKKSPIVGFNYYSHPDDLGRCVKGVRKMGDLLKTRTMEKIKTKNLEGNKGFEFLGVPLPENLWNDSSVEEYCKKTVTTYWHYHGGCLVGKVVDGNYKVIGIKNLRVVDGSTFSESPGTNPMATLMMLGR >KGN63035 pep chromosome:ASM407v2:2:20110975:20111906:-1 gene:Csa_2G385040 transcript:KGN63035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNETLREEEEEERQRRRKKASSGTLGYKEDARNRKENISSEWTDLQCRRAWVFLKGISPSPGRGDAHQTTLQISTPPLNSRMIYIHI >KGN62412 pep chromosome:ASM407v2:2:16296486:16297695:-1 gene:Csa_2G352420 transcript:KGN62412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAESMLLNPTSHISTWDSLDDPSPAISSYFSTAHVSPLDSPTAALMDFDSSLWEDPDLPAPVDAYSCDQFRMYEFKVRSCARGRSHDWTKCPYAHTGEKARRRDPRKFNYSGAECPDLRHGCCKKGDACEYAHGTFEIWLHPDRYRTQPCRDGTGCRRRVCFFAHTSEQLRIPGKQSVRSPRAREMAIPAVSSPTSILLSPSSDSPPLSPISPVISGGESFSRLVALMHSLRLDELKTNPGVSSFSPNLRRSSGAAFDLWDRENEEEPAMERVESGRNLRAQMYAKLMRENSVDRVRPMISAGSLN >KGN60663 pep chromosome:ASM407v2:2:1068188:1070610:-1 gene:Csa_2G006090 transcript:KGN60663 gene_biotype:protein_coding transcript_biotype:protein_coding description:Early nodulin 93 protein MAKNLAQAFLERKNIASHDPKVAMAKRCAKEGVIAGAKAAAIASIATAIPTFASVRMLPWAKAHLNHTAQALIISTVAGAAYFIVADKTVLATARRNSFKQKPNTEAYEFN >KGN61690 pep chromosome:ASM407v2:2:10925447:10929994:-1 gene:Csa_2G224300 transcript:KGN61690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRVVKVKREAIAACITCPLCNKLLKEATTISECLHTFCRKCISDKISDEEIENCPVCNIDLGCAPLEKLRPDHNLEDLRAKIFPSKRRKVKTPEVAPVVLPPVRRKERSLSSLVVNSPRVSSHATTTGRRTAAAAARIASILRTPRVSSEKRVKKEDDSVDDRSESSSSFETSDKFNQNKRTDSSPTKSTIPLRSKETENGVNSVERNLDIWKPLNCLVEVANRSKCSKSNSQGFETKVEAAEANGSEAQASKSRNREGKRKQKRENGKTRADPVSPETEKPKKLRRVRQKRESFYGDSSLTPQVVLDASSARHEIKAGPIWLSLIASDQEGDVSLPQIPAKYLRIKDRNLPVSFVQKYLMRKLDLPSESEVEVKCMGHPVVPTLDLHSLVDLWLQTASTSEKIPASIGSSAEDFIMVLYYARKTIVS >KGN60614 pep chromosome:ASM407v2:2:722523:727587:1 gene:Csa_2G004150 transcript:KGN60614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDEKSAIAMASRERDRELLIPVAESAIDEASAKPSSSSSSSHHSGRETFSKVVRSWASKKFMTGCVILFPIAITFYITWWFIRFVDGFFSPIYAHLGINIFGLGFVTSITFIFLVGVFMSSWLGASVLGLGEWFIKRMPFVRHIYNASKQISSAISSDQNSQAFKEVAIIRHPRIGEYAFGFITSSVVLQSYSGEEELCCVYVPTNHLYIGDVFLVHTKDVIRPNLSVREGIEIVVSGGMSMPQILSTMNSEIMTIDRSRLERS >KGN61146 pep chromosome:ASM407v2:2:4510112:4524211:-1 gene:Csa_2G059770 transcript:KGN61146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWDSNSDLSGDEEEEGFLLNDGGPLPFPVENLFQTAPCGFVVTDSLEPDHPIIYVNTVFEMVTGYRAEEVLGRNCRFLQCRGPFAKRRHPLVDSSVVSEIRRCLEDGTEFQGELLNFRKDGTPLMNKLRLTPIYGDDETVTHVIGIQFFTEADIDLGPVTSSTTKELAKSSDKFCSGLSSFRFTSVGDRNICRGVCGILQLSDEVISLKILSRLTPRDIASVGSVCRRFYELTKNEDLWRMVCQNAWGSETTRVLETVPGARTLGWGRLARELTTLEASAWRKLTVGGSVEPSRCNFSACAVGNRVVLFGGEGVNMQPMNDTFVLDLNSSKPEWQHVQVSSPPPGRWGHTLSCVNGSHLVVFGGCGRQGLLNDVFLLDLDASPPAWREISGLAPPLPRSWHSSCTLDGTKLIVSGGCADSGVLLSDTFLLDLSMEKPIWREIPVTWTPPSRLGHTLSVYGGRKILMFGGLAKSGPLRFRSSDVFTMDLSEEEPCWRCVAGSGVPGAGNPGGVAPPPRLDHVAVSLPGGRILIFGGSVAGLHSASQLYLLDPTEEKPTWRILKVPGRPPRFAWGHSTCVVGGTRAIVLGGQTGEEWMLSELHELSLASSVI >KGN62218 pep chromosome:ASM407v2:2:15124217:15131261:-1 gene:Csa_2G336650 transcript:KGN62218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFISLPFHRPFCSSSTTRNLLGNIMGDIVQYRGQSCRLLRKGRLLISLFCSSRNIQASRKISISSSSINEEKPHQNFTRDKQLVPDSDPPSMKDVDLLYNFLDRSSKLVVLTGAGISTECGIPDYRSPNGAYSSGFKPITHQEFVRSIRSRRRYWARSYAGWRRFTEAQPGPAHLSLASLEKVGRINLMVTQNVDRLHHRAGSDPLELHGTVYSVICLECGFSICRNSFQEQVKALNPKWAEAIESLDVGDPGSDKSFGMKQRPDGDIEIDEKFWEHDFCIPTCQKCNGVLKPDVVFFGDNVPKDRANKAMEAAKNCDAFLVLGSSVMTMSAYRLVRAAHEAGAATGIVNVGVTRADDFVSMKINARLGEILPRVLHIGSLSIPSVQ >KGN60554 pep chromosome:ASM407v2:2:335510:338939:-1 gene:Csa_2G000620 transcript:KGN60554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNRLFGKPKQEANALATLEKLSETLEMLEKKENVLVKKAAAEVERAKEFTRGKNKRAAIQCLKRKRLYEQQIEQLGNFQLRIHDQMIMLEGAKATTETVDALRTGASAMKAMQKATNIDDVDKTMDEINEQTENMKQIQEALSAPIGAAADFDEDELEAELEDLESAELEEQLLQPASTAPAAPVSVPGGRVPARPAPQKRTAEEDELAALQAEMAL >KGN61535 pep chromosome:ASM407v2:2:9346543:9346887:1 gene:Csa_2G163160 transcript:KGN61535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPTIDSEAHALNPNARREEEEALYDRFAQRLINALAQQLKKNFGIERVKALGATEFNGIENHEEAEKWIRTLEKCFRVMQCPKERRVNLAVFLLLERAEDWWILEENRRGIVS >KGN61345 pep chromosome:ASM407v2:2:7148167:7154558:1 gene:Csa_2G094360 transcript:KGN61345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAKLPPPVKQLTLQHPAVRSKLGLPSRDAPVGTTTLRETNNPGKIPLETTTKWKKISVEKLSPKELLALSVQLLSKGQKEKAIPLLRQALNKEPEYVRALVVMGQTLLQNAQPAEATVYLERAISKLFLSGHPTEVEGVDLLILASQWAGVAFIRQGKMVEGIAHLERVANLKEPEEPKSKAHYYDGLVLLASALYNEGRKAEATKYLKSAVAYNPAYKEYLDQCEDDNDKLVGDLVSSRRGDY >KGN63124 pep chromosome:ASM407v2:2:20765213:20770929:1 gene:Csa_2G404760 transcript:KGN63124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRNGRDQRNMGEEEEEDKRDELDPNQKSKRAREDNQQGLVMAEESMSVERIFEAQEVPSWQNQLTVRAFVVSFGLSVLFTFIVMKLNLTTGIIPSLNVSAGLLGFFFVKSWTKLLEKSGWLKQPFTRQENTVIQTCVVASSGIAFSGGFGSYLFGLSQRISQLSSDDINEFKNPSLGWIIGFLFIVSFLGLFSVVPLRKIMIIDFKLTYPSGTATAHLINSFHTPRGAKLAKKQVRTLGKFFSFSFLWGFFQWFFTAGDDCGFVSFPTFGLEAYKNKFYFDFSATYVGVGMICPYIINISVLLGGILSWGLMWPLIEKKRGDWFSAELPLSSFHGLQGYKVFISIALILGDGIYNFVKVLATTLIGLHRQLKKRDVIPVSDGSPSSLSDLSFDDKRRTQRFLKDQIPSWFAVGGYVAIAAVSIATLPHIFSQLKWYYIIVIYVIAPVLAFCNAYGSGLTDWSLASTYGKLAIFTIGAWAGAAHGGVIAGLAACGVMMNIVSTASDLMQDFKTGYLTLSSPRSMFVSQVVGTAMGCVISPCVFWLFYKAFDDLGQLGSAYPAPYATVYRNMALLAVEGFSSLPKNCLSLCYGFFAAAIVINLIRDLSGKKVSQYIPIPMAMAIPFYIGSYFAIDMCLGSLILFVWEKINKAKADAFAPAVASGLICGDGIWTLPSSILALFGVKPPICMKFLSRTTNVKVDKFLTP >KGN60848 pep chromosome:ASM407v2:2:2312901:2314937:-1 gene:Csa_2G013290 transcript:KGN60848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASITASSLSLRSAAPQWRQIAGTSKLSGSRRVSFSFQGRNNFSSRRLQSLRISCAAKPETVEKVSKIVKKQLALPDDSTVNGESKFSTLGADSLDTVEIVMGLEEEFGISVEEESAQSITTVQEAADLIEDLILKKGA >KGN61970 pep chromosome:ASM407v2:2:13243556:13246074:-1 gene:Csa_2G277610 transcript:KGN61970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIAEDAQNVKVIGAGQQIVVLGHGFGTDQSVWKHLIPHLLDDYKVILYDNMGAGTTNPDYFDFERYRTLEGFAYDLLAILEELHVDSCVFLGHSVSAMIGALASITRPDLFQKIIMLSPSPRYLNDENYFGGFEQEDLEQLFQAMQSNYKAWCSGFAPLAVGGDMDSVAVQEFSRTLFNMRPDIALSVAQTIFQSDMRNILSFVTVPCHIIQSMKDMAVPVVVSEYLHRNLGGNSIVEVMESDGHLPQLSSPNTVIPVLLKHIKYDIAT >KGN61244 pep chromosome:ASM407v2:2:5739370:5749657:1 gene:Csa_2G074060 transcript:KGN61244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCPSNSFRYNGSLCACPPGQLLNRANNSCVLFSRTSAITTGRLQNYAVSFPETIFSFDSIRKITQSQAVFLEATLVMLLSWLFFCIFLRFMKLGDGRNIWFRIRWWVSRLDVCFATRHWLDDQRIVTKRKTELGGMFSIASWILFIGLFAALLYQIISKRSIEVHNVKAANAPDLVSFVNDIEFNITTVSTMSCANIRGLDTVVFGNPGFLEQKVMPLSSFANFSCQNRSEGPTISLKCERCRFIQDDVYISWQFVDLPNNPASAVGFEFNISAKDQVQRSQESFVSGTLKNRSNFDDTPVTFRGKSANIVQFNLFPRIYSNKQDSKLMQPLFHEFVSGSSFQNTNDLQLSLENTNDGLLNITLYINLLSSYIVEVESQNILGPVSFLADLGGLYCISFGIFFYLLVQFEYRIKRLRNEDSVMRKIRNRRKAQEHWNKLRKYVMYTWGCSALLDGDYNDPSKTSSCPNCIGQPSHKNGSSRKRRLKSGSSTAISFNIDVNGATNRTVNQDMKSPKATATDQEMRMIATKQEQPLHHQVLGSTYEEKQRTVPFKGDSSQPVDFSRSEDIPPPPLIDFNDSSDIDMSNILKNMKSLYEYNVFLREKLLSTQSEVRALATKSAL >KGN61439 pep chromosome:ASM407v2:2:8176614:8180834:1 gene:Csa_2G122520 transcript:KGN61439 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplast HSP70 MGASTAQIHGLGAPSFAAASMRKSNNVSSRSVFFGQKLGNSSAFPAAAFLNLRSNTSRRNSSVRPLRIVNEKVVGIDLGTTNSAVAAMEGGKPTIVTNAEGQRTTPSVVAYTKNGDRLVGQIAKRQAVVNPENTFFSVKRFIGRKMSEVDEESKQVSYRVVRDENGNVKLECPAIGKQFAAEEISAQVLRKLVDDASKFLNDKVTKAVVTVPAYFNDSQRTATKDAGRIAGLEVLRIINEPTAASLAYGFEKKSNETILVFDLGGGTFDVSVLEVGDGVFEVLSTSGDTHLGGDDFDKRIVDWLAANFKRDEGIDLLKDKQALQRLTETAEKAKMELSSLTQANISLPFITATADGPKHIETTITRAKFEELCSDLLDRLKTPVENSLRDAKLSFKDIDEVILVGGSTRIPAVQELVKKMTGKEPNVTVNPDEVVALGAAVQAGVLAGDVSDIVLLDVSPLSLGLETLGGVMTKIIPRNTTLPTSKSEVFSTAADGQTSVEINVLQGEREFVRDNKSLGSFRLDGIPPAPRGVPQIEVKFDIDANGILSVTAIDKGTGKKQDITITGASTLPSDEVERMVSEADKFAKEDKEKRDAIDTKNQADSVVYQTEKQLKELGDKVPGPVKEKVESKLGELKEAISGGSTEAIKEAMAALNQEVMQLGQSLYNQPGAGAAPGPGASSESGPSESTGKGPEGDVIDADFSDSK >KGN60512 pep chromosome:ASM407v2:2:132451:132753:1 gene:Csa_2G000210 transcript:KGN60512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGETLSRGEIDGKMVQRFQKNFVQVQNILEQNRMLINEINQNQESRMAGNVSRNVGLIRELNNNIRRVVDLYADLSTSFTKSNEHGDSAAKPGYKRNRP >KGN60869 pep chromosome:ASM407v2:2:2487319:2491385:-1 gene:Csa_2G020920 transcript:KGN60869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPAASFLFFLLFFGAPTARSSHFNRPAVFNFGDSNSDTGCLVSSGIEAIGPPYGHLFFGNPSGRYCDGRLILDFLLDAMDMPYLNPYLDSLGAPNFRKGCNYAAAASTVLPATPTSFSPFSFGVQVNQFIHFKARVLELRSKGKKLDKYLPDEDYFEKGLYMFDIGQNDLAIAFYSKTLDQILASIPTILAVFETGLQKLYDQGARNFWIHNTGPLGCLAQNVARFGTDPSNLDELGCVSSHNQAAKLFNLQLHALCKELQEEYTDTNVTYVDIYTIKSNLIANYSRFGFEQPIMACCGYGGPPLNYDSRIICGQTKILNGTVVTAKGCDDSSEYINWDGIHYSEAANKYVSSQILTGKYSDPPFSDKMPFLLKLKF >KGN62395 pep chromosome:ASM407v2:2:16208915:16210622:1 gene:Csa_2G351760 transcript:KGN62395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTALQRIDMGDACLGPSGNAEFQNQLAVAIPISLFQSTSLAPVNDIRGNTKDSAFPDPQFQQLETFRTCFLHSYSNASNSSFASSLICEGDESLCNANNKWESDRFHCIQQLSGENPETSDSQTVLSMEHLHQNGWMSSNTMNSKLWNELSLSLATTEPVLSGGTDFLDQYSQLTFSGATQPCLNSTELASNLNSGSSRDLSLSYGCGNSVRLSRAIAGSRYLSVIQDVLSQIASYPLENSDQVDHSTTATGFVPLSSSSSLDDATIEYGSDVTGRYSSQMEPEWQNLSVDAKKSHLLTLLQLIDERYTQCLDEIHTVTSAFHAATDLDPRLHTRYTLQTITSVYKNLREKITSCIFAIGKHSNATCTKEKEKFFEATFLQKQWALQQLKRKDNQLWRPQRGLPEKSVSVLRAWMFQNFLHPYPKDTEKHLLAVKSGLTRNQVSNWFINARVRLWKPMIEEMYAEMSRRKSNQNEEGIERIHCPR >KGN62741 pep chromosome:ASM407v2:2:18208371:18208601:-1 gene:Csa_2G370415 transcript:KGN62741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNSHSVMEMSKKPKRKLTGEAAIGDGKSGSIGKCVGEYQKIEFRRIKQSAITAHNFISKCKHQKQLNPRIIEVQN >KGN63283 pep chromosome:ASM407v2:2:22001683:22003675:1 gene:Csa_2G423630 transcript:KGN63283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVCCNLEVDVNGEKIFMVDKKIVASYSGRLSKLFGKSKSTSRNLKLIFQDFPGGAEGFELILKFCYNNGNIKLNPSNVPLLYSAAQFMEMNSSFSGTQNLQERTEKYIEDISEWSWSELLNALKRCQDLSLSPSIVIEKCLDSLVGRLNLAVEANSCPSTSSPDSSALRLSCDTKSTESLKTGFSNGLWWFEELLIFTPDLVKMFVQFILERNFDEVVIGRFLIYYQKLKSSNATRDEKRKIVEVVVEMLYVLEHSSVSCKSLFSILRVALGLNIDKYIRNKLEKMIGARLDQGSLDNLLLPSPSGMNYLYDVNLVLRFVKAFLLEKTNRTSPMPLKRVARLMDLYMAEVAPDPCLKPSKFLALAKALPDFARSSHDDMYRAIDMYIEVHTEMSEEEKVKLCCALNYEKLSAETCINLSENIRFPSTSSIQALISEQLKLKTLLQTANSSSSITSLPCKLEEKLDFPEENEKLKAHIQGIQWRVMELEHVCKKIQTQMTKMMKSKVTNDSQLKSLPWLCS >KGN60717 pep chromosome:ASM407v2:2:1422866:1423021:1 gene:Csa_2G008090 transcript:KGN60717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEEEEEEGRREEDEDDDGVSPRFHSHKLHGFEISTARIGEWGVTNHCGQ >KGN60611 pep chromosome:ASM407v2:2:705093:708249:1 gene:Csa_2G004120 transcript:KGN60611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLKAVSRLSSRLQSLAPKFNKRSSSSELFSFKSTCQSQPSAASTSRLHCTSRLPVELSSQGSLMPLHSAIAAARLISSLTIESQGWGLVPQGISMPL >KGN61252 pep chromosome:ASM407v2:2:5816521:5819692:-1 gene:Csa_2G074140 transcript:KGN61252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLRNAVPRRPYKERAQPQSRKKFGLLEKHKDYIQRAKAFHKKEDTLRKLKEKAAFKNPDEFYFKMIRTRTVDGVHKPESHVNKYTAEQLMLMKTQDAGYILQKMQSEKKKIEKLTATLHSLDNQPSNKHLYFAEDREEANEILSRSSKGLVASSEAVPDSIRRKTISSYKELEARRSRVNELEKLYMDMTLQQELRKKGRKRKLREDELSNPTLKPVYKWRAERKR >KGN60830 pep chromosome:ASM407v2:2:2171671:2173309:1 gene:Csa_2G012120 transcript:KGN60830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKAVTIRTRKFMTNRLLSRKQFVIDVLHPGRPNVSKAELKEKLGRIYDVKDPNAIFVFKFRTHFGGGKSTGFGLIYDSVENAKKYEPKYRLIRNGLDTKVEKSRKQMKERKNRAKKIRGVKKTKASDAAKGGKKK >KGN61561 pep chromosome:ASM407v2:2:9675206:9676017:1 gene:Csa_2G171830 transcript:KGN61561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRGNKRPSITNGDDNLGILSRVSRSVSDSQIVRRAKSTASDAAFVSKKLLRSTGKAAWIAGTTFLILVVPLIIEMDREQQFNELEMQQASLLGTPATAGSK >KGN60838 pep chromosome:ASM407v2:2:2244274:2245005:1 gene:Csa_2G012700 transcript:KGN60838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADFRYGFFVRPDNKKIESNPAELKSKAGHISDPKSKPPYLDAGGRQQPIAILPRTRYVTPIEKYTEEVHTKKYEPVKNSDKGTLNSHLAINQRIEFNKLLANVQKEARLPKYEMRLLSEPMTDIGKAIECLKEVVNLDCSKNNACAASARRKDSCTKTIDSKEAARRYGKFGAPVPVSNANVATIDCKEAARKYNGAAV >KGN62384 pep chromosome:ASM407v2:2:16146157:16147493:1 gene:Csa_2G351650 transcript:KGN62384 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin MHRSTNDAVSFEFPPSPTSSSSLSIDVDESSETRIRRLITDHPVIIFSRSSCSMCHVMKTLLAIIGVHPTVIEVDDHDEIAAVPSSSFVRDSSAPAVFIGGASFGGLESLVALHLSGHLVPKLIEVGALPVGHVQC >KGN63305 pep chromosome:ASM407v2:2:22175580:22176206:1 gene:Csa_2G427300 transcript:KGN63305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDIDVLKHKAVGCFLTHCGWNSTQETVVTGVPVIAFPEWTDQPTNAKLLTDVFKMGVRMRKGDDGIVGQKEVERCIKEITEGPAAKAMSKRAEELKESAIKAVEDGGSSHRNLEKFIADILG >KGN62186 pep chromosome:ASM407v2:2:14849502:14860019:1 gene:Csa_2G318420 transcript:KGN62186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLPSLAVVLQAVLSPNPDERKAAEQSLNQIQHTPQHLVRMLQIIVDNNCDLAVRQVASIHFKNYIAKNWSPVDPDEHQKISESDKDAVRKNILPFLSQVPSLLRVQLGECLKTIIHADYPEQWPSLLEWVKENLLASNVYGALFVLRILARKYEFKSDDDRTPVYRIVDETFPLLLNIFSRLVQIGDPSLEVAELIKFICKIFWSSIYMEIPKHLFDTHVFNAWMMLFLNILERPVPLEGQPADPELRKSWGWWKVKKWTVHILNRLYTRFGDLKLKNPESRAFAQAFQKNYAGKVMECHLNLLNVIRSGGYLPDRVTNLILQYLSNSISKNSMYSLLQPRLDSLLFEIIFPLMCFNDNDQKLWDEDPHEYVRKGYDIIEDLYSPRTASMDFVSELVRKRGKENLQKFIQFIVGIFNRYDEATIEFKPYRQKDGALLAIGALCDKLKQTEPYKSELERMLVQHVFPEFNSPVGHLRAKAAWVAGQYAHINFADQNNFRKALHSVVAGMRDPELPVRVDSVFALRSFVEACRDLNEIRPILPQLLDEFFKLMNEVENEDLVFTLETIVDKFGEEMAPYALGLCHNLAAAFWRCMNTAEADEEADDPGALAAVGCLRAISTILESVSRIPQLFVQIEPTLLPIMRRMLTTDGQEVFEEVLEIVSFMTFFSPTISMDMWSLWPLMMEALSEWAIDFFPNILVPLDNYVSRGTAHFLTCKAPDYQQSLWNMISSIMTDKNLEDGDIEPAPKLIQVVFQNCKGQVDQWIEPYLRITIDRLQRTEKSYLKCLLMQVISDALYYNASLSLNILQKLGVAADVFNLWEQDKKVCCLGLTSLLALPADQLPGEALGRVFRATLDLLVAYKDQVADGDEVDSIKLQKLAAQAKSFRPDDDDFDSDDDYSDDEEMQSPLDDVDPFIYFVDTIKGMWSFF >KGN62711 pep chromosome:ASM407v2:2:17986004:17986306:1 gene:Csa_2G369130 transcript:KGN62711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTIMLNNQHPFSPKSSDLGNFSKCVHLQLLPSTTCRKGVAIPRPTDLPSIPPSPPPPTTKTQVINAPSFRRSWLIGCTKLVTLRVVACIYIELSKSILS >KGN62763 pep chromosome:ASM407v2:2:18390218:18391548:1 gene:Csa_2G371610 transcript:KGN62763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKGFAEGGIASIVAGCSTHPLDLIKVRMQLDGEKPPLPNLRPALAFNASRSLVAPESFHIPPPQPPRVGPISVGVRIVQSEGVAALFSGVSATVLRQTLYSTTRMGLYDILKTKWSNPDSGSMPLTRKITAGLIAGGIGAAVGNPADVAMVRMQADGRLPVAQRRNYAGVVDAITRMSKQEGITSLWRGSALTVNRAMIVTAAQLASYDQIKETILEKGVMKDGLGTHVTASFAAGFVAAVASNPVDVIKTRVMNMKVEAGEAAPYSGALDCAMKTVKAEGPMALYKGFIPTISRQGPFTVVLFVTLEQVRKIFNQL >KGN62065 pep chromosome:ASM407v2:2:13920798:13923988:1 gene:Csa_2G295410 transcript:KGN62065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFCNIFSLLLSNYVVSSAIRKRIYQNISSKCLHSLHQYKRDKPISRFSRQSRKGTKVAKKEEVIPRLYTRDTVRNICNILRNCSWASAQKHLEMLPIRWDSYLINQVLKTHPPLEKTWLFFNWASTLQVFKHDQYTYTTMLDIFGEAGRISSMNYVFQQMKEKGIKIDAVTYTSLMHWRSNSGDVDGAIKLWKEMKANGCHPTVVSYTAYIKILLDNGQINEATATYKKMLQSGLSPNCCTYTILMEYLIGEGKCKEALDIFSKMQDAGVYPDKAACNILIQKCCKSGERLVMTQILEFMKENRFVLRYPVFVEAHETLKSCSVSYALLKQVNPHMEIESISKGEVVDVSTGSNTVPPNVDNELLAMLLKDNKLTAVDHMLIGIVDKNIQLDSSIIYSIIEVNCKSNRPNSALLAFDYCLKNSVNIKRKLYLDLIGILIRSSIYPKLLEIVQEMYTQGHCLGLYHATLILCSLGKAGKPQYARKVFNMLPEELKCTATYTALVDGYFSAGSSGKGLKIFETMRKKGFTPSLGTYNVLLNGLAKNGRGVELNIYRREKKSFEISHHSRLNTILDDERICDLLFGELVS >KGN63059 pep chromosome:ASM407v2:2:20360249:20360983:1 gene:Csa_2G396205 transcript:KGN63059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWNAEVPNPALLFREISLTQKSHGEIVLNHSVHIMNEHISLTLLSIPSHKASRVNGAHSSRCLIEVTCWSIHHYQVPFHLINLAWKPFKCKLTLAKSGVSHNLAFFSHSYLHQLIRLMDLGVVLHMIRLQVGQPLLNLVDPCFIFFKENRAAAVVESRPHEAVMAQSEHQEVAGRLSFQDSGGHRDLLEGLLRGYVGGCVMNESIGHRRRGFCANWKRWAFWFIGQNMGLGDRGWIILGRLKT >KGN60864 pep chromosome:ASM407v2:2:2463123:2465993:-1 gene:Csa_2G020870 transcript:KGN60864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNAAGAESSSSSPINWIYDVFLSFRGEDTRSNFTSHLHMFLRHKGVNVFIDDRIERGEQISEALLKTIQCSLISIVIFSENYASSTWCLDELVEIIECKKSKGQKVLPIFYKVDPSDVRKQNGCYGEGLAKHEANFMEKIPIWRNALTTAANLAGWDLGTIRNEADLIQVIVKEVSSTLNVTTPSDKPLLVGIDSKIESLYWPTEEMYKSECVDMLGIYGIRGIGNTTLAKALYYKMASQFECCCFLSNVREASKQLNGLAQLQKKLLFQILKYDLEDVDDLDRRNNIIKHRLHSKKVLILLDDVDEMKQLKALAGGHDWFGQGSKIIVTTRDKHLLDSHGFGQTYEVEGLWEHNAFELFCWHAFKKSHPSSNYLDLSERATRHCKGHPLALVVLASFLCGRDQAEWSGLLDGFENSLRKGIKDVLQLSFDGLEDEVKKFFLDISCLLVGETVTYVKKMLSEFHSILDFKISNLRHLSLIRMEEYDDDRVQMHDLIKQMGHKIVYDECGDEPGKRSRSGWRRTFWRCLVTIQEAMQ >KGN61707 pep chromosome:ASM407v2:2:11117048:11149655:1 gene:Csa_2G229920 transcript:KGN61707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFQKIEATNFYTVLLWFMVYAITHCFAESSTCLMVYKEGGAPAVFQSPKCPLWEHSDYTFQSPNAPHCQIAMHQGRRKYQEDRTLCALDVRIPFPSKTGLMEVPVGIIAVFDGHNGAEASEMASKILLEYFVVHTYFLLDATYSGIFKRPFKTFSNEREHGAIFNQLSWRDTICNRDLELGRLKYLLPANFDDDFHLEILKEALLRAIQDVDKTFSKEAHKNNLVSGSTATVILLADAQILVANIGDSKAFLCSEKFQSPAEAKATFLRLYKQKRYSGASRARGYGNSRPDSYDGLKHFYVKELTRDHHPDREDERSRVEIAGGHVVDWGGVPRVNGQLAISRAIGDVSFKSYGVISAPEVTDWQPLSANDSFLVASSDGIFEKLSSQDVCDLLWEIHNDGMSSFEHSPSCSYSLADCIVSTAFERGSMDNMAAIVVPLRPASSSGRFQEGSFVAQRDSSFPISGIENLIREHSGKGISSSAMQLEHSHPVMSKFNRLLVEGRHNNLGCFYLSENLDEYKDYMLRTQNEDEYVCDLPHALPDSLNQPYGTSLSKLMYSIENADEEKVEQKNHVQILRPSKWWHWLKTTEAGQAEMKNLIRQLLMALKSCHDRNITHRDIKPENMVICFEDQATGKCLNGSRMEDWNVSTKMRIIDFGSAIDEFTVKHLYGSTGPSRAEQTYDYTPPEALLNSSWYQEMSGATLKYDMWSVGVVMLELILGSPNVFQVSDLTRVLLDQHLQGWNDGLKQLAYKLRSFMELCILIPGSSSRSYQKNGHQGDSPASWQCSEEVFARQIKSRDPLKLGFPNVWALRLVRNLLQWNPVLMPTVRSSIDALFFVS >KGN60842 pep chromosome:ASM407v2:2:2269704:2282961:-1 gene:Csa_2G013230 transcript:KGN60842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAQRKGASRVSDDHEELARVSLQAVLLADSFTTKFRPITLERPKVLLPLVNVPMINYTLSWLESAGVEEVFVFCCANSKQVIDYLENSDWVSLPDFAVRTIESHNSISAGDALRLIYERNVIHGDFVLITGDTISNMSLTQALQEHKERKKKDSNAVMTMVVKRSKPSPITHQSRLGTDELFMAIDHNTKQLVYYEDRVDYSKGIIHLDKSLIMDNSSISLHNDMQDCYIDICSPEVLSLFTDNFDYQHLRRHFVKGLLLDDIMGYKIYTHEICSSYAARIDNYRSYDTVSKDIIQRWTYPLVPDVKFFGNSTYKLERQGMYRASEILQARSAQVGPFTVIGDNSKIGDNTKITNSIIGHGCSIGSNVKIEGSYIWDNVTIEDGCKISNAIVCDGVVIKSGAELEPGVILSFKVVVGDQFTVPSYSKVSLFEQPTNQDSDEELEYADNSSGIVEFADTTDKSNSALMSNPLEMQGWPASELGKGGLGYVWSICEGSVEEEWRHSVAPIPADKLEKVLHKAPDDEVELTQDANVLPPSGELKSDSYVSDGDDNESSRDDSIHFEKEVEATFLRAVHENIEVANVILEVNSLRLSFNKVASDCAGAIFYSLMNFALDSSRGSSSELLKNTTSIITKWKKLLKYYLTDLDEEIEVILKFEEICLESAKEFTPHFTKILWQLYDQEIIQEEAILKWDAEKKEADDSDKLFVKQAEKFIEWLKVASEEEEEEEEE >KGN60887 pep chromosome:ASM407v2:2:2608820:2611919:-1 gene:Csa_2G021580 transcript:KGN60887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDSSPQNSKSPIPVVPTKAPENDQHFLLYFIAGIYFGPNLKGETAPKSALQRLAEKLPPYTSDQLAGSLMKMVEVERIFYYVLRKADESLIMKMSLLHQFFQGKFPSQGRDTSSPQFPDLFPLELHPHTRSKNWYRYIESLLFINNPEVYYLNPEDVERFKRLTGLNDFFLDRDAARSHNSSARKASLNVEATENRSNKEFSPLKDDQQHDLVTSPVRSVPYNGNLTPPHTNSDSNLLEKKFGPAMLFLPGQPSEEDWANLVAATNTGFALTGTAAMGNVGPIIGSMDIGECEDSYLFRVSLPGVKRDPCGFNCEVEKDGRVVIKGVTTTGERTVKKHSQVFEMVTHNLCPPGEFSLSFQLPGPVDPQHFLANFDIAGILEGVVMKDLQS >KGN62630 pep chromosome:ASM407v2:2:17459637:17463505:-1 gene:Csa_2G362430 transcript:KGN62630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGEEAARRHTAVNDYRKKLLQHKELDARVRSLRENLRGAKKEFNKTEDDLKSLQSVGQIIGEVLRPLDNERLIVKASSGPRYVVGCRNKVDKDKLTSGTRVVLDMTTLTIMRALPREVDPVVYNMLHEDPGNISYSAVGGLSDQIRELRESIELPLMNPELFLRVGIKPPKGVLLYGPPGTGKTLLARAIASNIDANFLKVVSSAIIDKYIGESARLIREMFGYARDHQPCIIFMDEIDAIGGRRFSEGTSADREIQRTLMELLNQLDGFDQLGKVKMIMATNRPDVLDPALLRPGRLDRKIEIPLPNEQSRMEILKIHAAGIAKHGEIDYEAVVKLAEGFNGADLRNVCTEAGMSAIRAERDYVIHEDFMKAVRKLNEAKKLESSAHYSADFGKD >KGN63375 pep chromosome:ASM407v2:2:22711267:22721467:-1 gene:Csa_2G433410 transcript:KGN63375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGSPNPFGQPSTSPFASQPVFGQTANASNNPFAPKPFGSTSPFGPQTGNTVFGGTSTGVFGAAQSSSPFPSTTTFGGSSSPAFGATPSTFGSSSTPAFGSSSSSSFGGSSIFGQKPLFGGFGSTPAQTNPFGSTNQQSQPAFGSNVFGSSSPFGAPSQSAFGATSTPAFGSTSTPAFGATSTPAFGAASTPAFGATSTPAFGATSTPAFGAASTPAFGAASTPAFGATSSPAFGSTSTPAFGSTGNAFGSLSTPVFGSGGGFGASSTPAFGASSTPAFGASSAPAFGASSTPSFSFGSTPAFGQSTSGFGSSTFGTNTSPFGAQSSPFGAQSTSSFGTSGFGQAGFGGQRGGSRVTPYAPTPEPDPGSGSTQAAGKLESISAMPVYKDKSHEELRWEDYQLGDKGGPLPAGQSASGVGFGVPGGQPNPVASSTFSQSSPNPFSTSTPTNPFAPKPSGFGTFGPSTTFSFNSSAFAPSTPSNPFASTTAASTSAFLSSTTSQFGSSSLFSSSNTQPLASQSAFSSTTSPGTNLTFPSSLNFGNTQSSSLFQSTTPAIGQTGSAFGAPFSQSSLFSQPSSGVGGNLFSSTPSLLTSSNPMAFGQTSAPFSMPFQPAQAQAPTSFFSNMGQAQPIGSSGFAGTSSIFGQSNFGQSPITQTPAVQPAPATNPFGTLPAMPQMSISRPGAAPSIQYGISSMPVVDKAAPVRISSFLTPRHLSHRRMRLPVRKYNPKNDGSPRVPFFSDDEETPSTPKADALFIPRENPRALVIRPTDQWPSKGNLDKSLPSKDTSVRENGKVAERTSSAVNNLKDTNGNVVENGTSKENIHLNEVNQKPNGVHEDHSAPKEDLYRTFAGHRAGEAAIVYEHGADIEALMPKLRHSDYYTEPKIQELAAKERAEPGFCRHVKDFVVGRHGYGSIKFFGETDVRRLDLESIVQFNNREVIVYLDESKKPPCGQGLNKPAEVTILNIKCVDKQTGHQYTEGPKVEKYKELLRKKTEAQGAEFVSYNPVKGEWKFRVEHFSKYNMEDNEEVEDWE >KGN63418 pep chromosome:ASM407v2:2:23061851:23064322:-1 gene:Csa_2G441240 transcript:KGN63418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPAKTVCVMDASSRVGSALVAALLHRGYTVHASLQNHDDLQCVKGNANKLKVFRSDLLDYHSIMIALKGCSALFYSFQPPPDHSTYDELMVEIEVRAAHNVLEACAQTETMEKVIFTSSITAVIWRDGLKTMSSDVDERHWSDVNLCKKFKLWHALSKTVAEKTAWALAMDRGVNMVSINGGLVMGHDVTMNNPYLKGAAEMYEDGVLVTVDLKFIVDAHICVFEDVSSYGRYLCFNNIINSHKEALRLAHMLLPPSSEAFSHSPPSLEDSVVYQQGISNKKLNKLMVDFESGDSC >KGN62215 pep chromosome:ASM407v2:2:15103196:15105622:-1 gene:Csa_2G336130 transcript:KGN62215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDEESPLKLYSFWASTCAQRVRIALNLKGLNFQYKAVDILKGEHLAPEYLKLNPVGFVPTLVDGDVVIADSFAIIMYLEEKYPERPLLPTDLVKRAINHQVANIVSSSIQPLQNLIVEKYIEEKCGTEEKLSWVHMIIGKGFLALEKLLTVEAGNFATGDQIYMADLFLAPQLHRAIETFNLDMSKFPILSRLYEEYKKIAAFQDAAPENQPDAPSQN >KGN62173 pep chromosome:ASM407v2:2:14717236:14719681:-1 gene:Csa_2G308355 transcript:KGN62173 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH dehydrogenase ND1 subunit MNCSSTVDIVEAQSKYGFWGWNLWRQPIGFVIFLISSLAECERLPFDLPEAEEELVAGYQTEYSGIKFGLFYVASYLNLLVSSCSLLGSQLNF >KGN62385 pep chromosome:ASM407v2:2:16148286:16150711:-1 gene:Csa_2G351660 transcript:KGN62385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVEDADDLEMGDSRSIPVSPRTYNWLTNFHRDLLAGAFMGGLVHTIVAPIERAKLLLQTQESNLAIVGVGRRRFKGMFDCIFRTVREEGILSLWRGNGSSVIRYYPSVALNFSLKDLYKEMLRNSFVDGHFLSGPSANFIAGAAAGCSTLVLIYPLDIAHTRLAADIGRTDVRQFRGICHFLSTIRKKDGIRGIYRGLPASLQGMIIHRGLYFGGFDTVKEILVEQSQSELALWKRWGVAQVVTTSAGLLSYPFDTVRRRMMMQSGLDKPMYNGTLDCWRKIYRMEGVSSFYRGAVSNMFRSTGAAAILVLYDEVKKFMKWGGL >KGN60965 pep chromosome:ASM407v2:2:3107472:3109954:1 gene:Csa_2G032220 transcript:KGN60965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIDLVAGGKSKKTKRTAPKSDDIYLKLLVKLYRFLVRRTGSNFNAVILKRLFMSKVNKPPISLSRLIKYMNGKEGRIAVIVGTVTDDIRVYEVPALKVTALRFTETARARIEKAGGECLTFDQLALRAPLGQNTVLLRGPKNSREAVKHFGPAPGVPHSHTKPYVRSKGRKFERARGKRNSRGYRV >KGN62505 pep chromosome:ASM407v2:2:16849818:16852995:1 gene:Csa_2G357280 transcript:KGN62505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWPLIGETFHFYSQHPTSFFLGKQKRYGEIFKTHIHGCPCVMLATPEAARFILVTQAHLFKPTYPQSKERLIGPSALFFHQSETHLRLRKLIQSSLSPDPVRALVPHIHALAISALPSSLNNGHLIDTYHQMKKFSFEVGILAIFGHLENTNYREELRTNYSIVNKGYNSFPTNIPGTLYKKAIKARKRLEEIISCIISEKKEKRSEVVLGEKNLLSCLVKWRDEKGESLSDEQIADNIIGVLFAAQDTTASVLTWVVKYLHDNPKILEAVKVEQKVIEEDINEGSGPMRWAHTRTMPFTNKVVLESLRLASIISFTFREAVADVEYKGYLIPKGWKVMPLFRNIHHNPDYFVDPHKFDPSRFEVAPRPNTFMPFGSGVHACPGNELAKLEILIMIHHLVTNFRWELAGQRDGIEHGPFPVPINGLPIRVWRLSTLN >KGN61749 pep chromosome:ASM407v2:2:11578731:11579022:1 gene:Csa_2G237680 transcript:KGN61749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSYDESRWATLFHRLKRNLTARRRISGRRASRSETSYIRRRLGEKNPGTVGDCFSISTIPKK >KGN61784 pep chromosome:ASM407v2:2:11851934:11853260:-1 gene:Csa_2G245480 transcript:KGN61784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRLQVTSCSLILLGLLIAMVVQQSSARKLTDGYDKDIEQFVNLKINDQTNTHIKEVDDGHPKMSKNHKYAHAPSHMEHLDPLLYVFFTLSDLKVGKKMPIYFPYSRPSETPKLLPKEEADSIPFSSSQLEYLLTLFSFPKDSPQAKAVEYTLKQCELESIKGETKFCATSLKSMLDFATKMLGGDTQLKVLTTTRLSNSTILLQNYTILEEPRETVSAKMVACHSMPYPYAIFYCHCQESNNRLFEILLGADNGDRVKASAICHMDTSQWDKDHASFKVLKTKPGASHVCHFVSTDSLVWLAA >KGN61927 pep chromosome:ASM407v2:2:12894463:12895315:-1 gene:Csa_2G270200 transcript:KGN61927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEESGRYLREGNRRSEGKTERVGLRSDEPSRRVAVPDVAAFTIIPHKEAAPNYLASLVLLEKSKFGWFCRSNTDYVLPISAIEKKLQALYVYFASPFFSSFMYTTDFSPSFDKFFILQPWMRKILA >KGN63163 pep chromosome:ASM407v2:2:20977651:20977924:-1 gene:Csa_2G406120 transcript:KGN63163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPLKGNWEKWVGQNTAQEKNLHHSGWRFITALRSVMHDTQESRSWDMAMGVACGGYGDSN >KGN61314 pep chromosome:ASM407v2:2:6596566:6597865:-1 gene:Csa_2G079660 transcript:KGN61314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFNWFKPNIQFFHRLRKFHQQLLPIKHLILSPSTCGYHYFIGFISSSSKTINIFFIHQLFEGIEKKDHSKHKRAMAMAASNLSCIASGVVIPSSTTISSSSSRLSSLAFFPKNSNSISRSSRLVIRASDEAAPPPAATAPTAATTEEPKPKPAPIGPKRGAKVKILRKESYWYKDVGSVVTVDQDPNTRYPVVVRFNKVNYASVSTNNYALDEIQEVA >KGN60946 pep chromosome:ASM407v2:2:2987390:2989132:1 gene:Csa_2G030070 transcript:KGN60946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISVMNNDFEFEKKPDALEVSHAEDTVLDHADDSSNHNRKVSDSGVVNEARVSLMEMDPGAPGSEFDAKMLGNGRSAEFRVFPSEEVRFLVSSDGEGGGGADMDLKFSDSLVDVKISKTDRFDGSVGDLDAENDRKGNLSQYKCLMSEFDDYVANESSGAMVAAATSRAMSYGFEVGDMVWGKVKSHPWWPGHIFNDALASPSVRRTRREGYVLVAFFGDSSYGWFDPAELIPFEPNYYEKSRQTTSRTFLKAVEEAVDEASRRRGLGLACKCRNRYNFRPTNVDGYFAVDVPDFEAGGIYSWNQIRRSRDSFKPGETLSFIKQLALTPRGGDHRSINFLNNKATVFAYRRLVYEEFDETYAQAFGVPSGPGRPPRNSVASLDQHRQPARGT >KGN63001 pep chromosome:ASM407v2:2:19918165:19921432:-1 gene:Csa_2G382740 transcript:KGN63001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEEEKLKISVEDLEEDEPQKESNVSIGTAFYWFKLLVTEMHWSFVFGVVIVYGISQGLGGALNRVSTKYYMKDVQKVQPSEAQVYSGITYIPWMVKPIWGLFTDLVPILGYHRRPYFVFAGFLGVISLLSLALHEKLHLVLAILLLTAGSASVAIADVTIDACVAQNSNIHPTLAADLQSLCALSSSVGALLGFSISGVLVHLIGSMGVYGLLVIPGGLVFLVGILLNEPHMPDFNYRQVNEKFVGAGKAMWSTLKIPNVWRPCLYMYLSLALCLDINEGLFYWYTDSKNGPKFSQENVGFIFSIGSVGSLLGALLYQYVLKDHQFRDLLFWTQLIFSLSGMLDFLLVLRLNLKFGIPDYFFIVIDESVHQLVNRLKWMPLLVLSSKLCPRGIEGTFFALLMSIDNVGLLSASWGGGFLLHILQVTRTKFGNLWLAILIRNLLRLSPLCMLFLVPRGDPNSSILPTELPSSEVDNDTSEEADNIELVSLVNGMNIDSQKEAF >KGN62735 pep chromosome:ASM407v2:2:18148483:18150700:-1 gene:Csa_2G370350 transcript:KGN62735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSFDLAVSSLLCAEENCIFDNNDGDDETVVEEFVMAPYYLRTGRNRNSRRGGGGDGLLFMSDECLIEMVEKEAQHLPVDGYLVKLQNGELDVGARKEAVDWIEKVSAHFSFGPLCTYLAVNYLDRFLSAYDLPKGKAWTMQLLAVACMSLAAKLEETEVPLSLDLQVGGSKFVFEARTIERMELLVLTTLGWRMQAVTPFSFIDHYLHKIHDDKLSIKMSIARSIHLLLNIIQGIDFLEFKPSEIAAAVAISVAGEAQSVDPERAIPLLIQQLQMERVMKCLKLINGMLICGGGSMKDSRVSMSEPRSPSGVLDVTCLSYKSNDTAVGSCANSSHHNSSEATKRRRLNRPCEVEL >KGN60600 pep chromosome:ASM407v2:2:634879:638379:-1 gene:Csa_2G002550 transcript:KGN60600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERVIGGKYKLGRKIGSGSFGEIYLATHIETFEIVAVKIENNKTKHPQLLYEAKLYSILQGGSGIPATKWSGIDGEENVLVLDLLGPSLEDLFVYCGRKFSLKTVLMLADQMITRIEYVHSKGFLHRDIKPDNFLMGLGRKANQVYIIDFGLAKRYRDATTNRHIPYRENKNLTGTARYASCNTHLGIEQSRRDDLESLGYVLLYFLRGSLPWQGLKAATKKQKYDKICEKKLSTPIEVLCKSHPVEFASYFHYCHSLTFDQRPDYGFLKRLFRDLFAREEYEFDYVFDWTIIKYQQSQKNRTQPRVSPVVGGTHNHHATPMEGESRQGGFNTYSSAEVTDRVRSDNVSSPAVRLHFKQPTPKNLSSDNALDMNALNDPRMATSSFTPAGSSRGNSSKPLQSTESPNRVQGNGHRIGPSSSWISSLQRISSAK >KGN61475 pep chromosome:ASM407v2:2:8595523:8596519:-1 gene:Csa_2G138790 transcript:KGN61475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRGNQREKDRERAQARNGGKGKNKDDGLTPEQRRERDAKALQEKTAKKAAQAGSGGNSSGGKPSTKK >KGN63384 pep chromosome:ASM407v2:2:22812825:22817696:1 gene:Csa_2G435470 transcript:KGN63384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESEKRRERLRAMRMEAAQADVANYVETSLPNHLSNPLVESSATMVGQLAPCTAPRFDYYTNPMAAFSTSKKKGKIENQPVSDNFVPYHHNTSSTTYFPPTFPGDSEAGGHGRPGMPRPYAVNQGDLHMWRGPRGPFVNQFPTQPPREMNSPSHVSGPRGNPYTNPTQNRANYRSSSPNPGFRGSFSPGRGSYGHHGNMTPSPRFGYGRATGSHGRHSSSDKSGPEQFYNISMLEDPWKVLQPCIWTTIAPLSNSAKPSEYWISKFGTKKARVSDSSSSRSSSQQPSLAEYLAASFKEAIEEAPNA >KGN63185 pep chromosome:ASM407v2:2:21117360:21117617:1 gene:Csa_2G407820 transcript:KGN63185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPRACEEGLWPRLVRLAMWHGLVRRLWERSQVARRFGSLSGVQGRRLSGGHAMPHPGAPHHSTQGDDVKPTNIILLLMTPVDPV >KGN61166 pep chromosome:ASM407v2:2:4751800:4752217:-1 gene:Csa_2G060470 transcript:KGN61166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPVVGNKDICTEGLGPCGTNCDARCKAQHSPNENPQGSCDQIGSSISLCNCLYSCDRSTPMPKPPLNCISGLGLCTAQCKSDCCNAKCGQQYKGGEGFCDTNVGVSLCQCSHPC >KGN60555 pep chromosome:ASM407v2:2:347099:348289:-1 gene:Csa_2G000630 transcript:KGN60555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVGEQPKRTNPTVQIPQWSFLEDSGMDVYSHSPGSGGSSGSVKCDGCSSGGGYSPYYLQEALAMLKRYLPSNEAEMDSDIDVSGKEIDSPLDAYSSDQFRMVLPQQSPRSTSSIPSYDGSPLRQAIEACAKQMPYLSSPGTSPPVSPRIESPPQSPIIKSLNRPHAPSSIKEMVASLRNLQLNKELCKLPSPSSSSSSWNVQVGSPVFGSPKESTTRPGLSTLPETSTPPGIRYLDFWDLGTGEEPAMERVESGRDLRAKMFEKLRKENSVGCGDQDKNSSGAGGLDVDWISELLQ >KGN61570 pep chromosome:ASM407v2:2:9720304:9722018:1 gene:Csa_2G171910 transcript:KGN61570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLATDLSASLFLIPIGLRRLLCSSSIYLNNPSLYRSKTWYLSEPKWKNFDLYSLILLLPIAAFSEIFLFLAFSGNPTYKFAFSQQSLAIFFFWALAILIVLRENVDPLLVSESFIFVFAGIAFLVEYSVIGKGITGLGGAFYHISGGLTILCACSCFYLSMKPSAFFAEFLLSSGLTFKGTWLFQVGLSLYTKAFALKGCRAMLVLPATGDGFVHCDLEEDGLRGIALMNLIFIGHAFLVLILGLGLVCLLSSNRKFRFGEASGPLLAELGPGTMLIRSSPEIEMD >KGN63260 pep chromosome:ASM407v2:2:21796114:21798321:1 gene:Csa_2G418950 transcript:KGN63260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRPSRKHPLRRFTLTRRNALKRHACHFFDSILTCYSSKKPETYDDAALASSASVVDQEFMPETLTPSLCHNPDLVSLKISLLGDSQIGKTTFLVKYVGNEMDEEKSEQTGLKLMDKTLMVRGARIYYSIWEVEGDTKSQDYISTACKGSVAILYMFDLTSRRTLNNTLRWYRQARRWNQTAIPVLIGTKFDDFIQLPIDLQWAIASEARAYAKALNATLFFSSATYNINVNKIFKFITAKLFDLPWTIERNLTIGEPIIDF >KGN62896 pep chromosome:ASM407v2:2:19272997:19280730:-1 gene:Csa_2G379270 transcript:KGN62896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKEIKGAVRITRSQYKSSSRIGDISPSLQLSLTELRKRGHTENSELAQLDGSNASSNITVGVRRKRRAVLKDVTNMSCESNNLGYLHASKVQVQEVSQTESLEDSSIKGMAESQRSFPVMKSNKKETKQENKFQSVIGCRNCASPLPSGSNEHQMKDEAAVCEKLNHLGTLDAVSNSEDPQACTPYAHNIYDTNRVIELDQRPSTNYMEKLQKYISPIMRGILIDWLVEVSDEYKLISDTLYLTVNLIDRFLSQSCIERHKLQLLGVTCMLIASKYEEVCAPFVEEFCFITDNTYAREEVLKMEGEVLNVLNFQLSVPTTKTFLRRFVQVARASCKESCVELEHLTNYLAELTLGEYSFLRFLPSAVAASVVFLARWILHQPNQPWNSALEHYTNYNASQLKIPVLALEDLRLNSTSCGLNAVFQKYRQQKFGSVATLASTKSVLSAFPTQTDNLNSRDI >KGN62153 pep chromosome:ASM407v2:2:14586790:14587590:1 gene:Csa_2G302200 transcript:KGN62153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYIGATGIPITFDNVPIFDDIDFHFILSFAIDADPSANPQNGKFSPYWQPSLTPESVAAIKAQHPNVKALASLSGWSLDNIVLRWRNPPDPNLWISNAFSTLQDLVNEYHLDGIDVDYENFPRHGSNFAFCIGELITLLKNQSVISVATIAPYYSTVLPYLELFHGYGKVIDFVNYQFYTDKVTTAEAYLKRFKTRAKEFGSAKLLPSYEVNGRGIQGDAFFEALSLLDENGFDINGVMIFSADASLSNDFLFETKSQQFLLNTA >KGN62003 pep chromosome:ASM407v2:2:13475336:13477448:-1 gene:Csa_2G285380 transcript:KGN62003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNARVRAKAQFQIPKPSSIHEKEERDETEMGDEKGGIIKINNRRRLNREKKMALLQDVDKLKKKLRHEENVQRALKRAFNRPLGALPRLPSYLPPSTLELLAEVAVLEEEIVWLSKRVVNFRQHLYEEAIFVNAVESISMKSLQHNQSKSLASYEHISSPTPTTFGRQPGNSYARLMNPKQSSWKSNSPSKENQFASSCYVKDKASPEKKGTKIVSSSKNTKKPINREVVEKSLDGLKFQVSIDYYFVP >KGN60755 pep chromosome:ASM407v2:2:1661794:1665443:1 gene:Csa_2G009430 transcript:KGN60755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLLRPIFFFSLLFYSLFLVSHSSLTVSALSAIPIFENSAGVEEFQVSEPWRTGRSLAEQDPAVNSSLVLAEDRTRRKDPLDDFKPYTGGWNISNQHYWASVAFTSIPFSVLGIAWFVLFGICLFITCLCCCCCRREPYGYSRTAYALSLAFLIFFTISAIVGCVVLYVGQGKFHSRTSSTLEYIVNQADGTAENLKNLSVYLSSAKSIKVDSIFLTPDIQKGIDDIGTKISSVSSTLTDAASNNSDTIQKGLDKNRLILIIIAAVMLLLAFIGFLCSIFGLQCVVYTLVILGWILVTVTFFLCGAFLLLHNVVGDTCVAMEDWLQNPTANTALDDILPCVDNATAKQIQSVTKNVSFQLVSLVNGVINTVSNVNPPPNIGPPVNYNQSGPLVPPLCSRFNSDLTSRTCLANEVQLNEAPAVWKDFTCQVSASGICTTTGRLTPALYNQMTAAANVSYGLYRYGPFLVELVDCTFVRQVFTDISNNHCPGLRLYTKWIYVGLVLMSGAVMFSLIFWIIYARERRHRVYTKQFISRSPGGEAKGN >KGN63361 pep chromosome:ASM407v2:2:22585950:22588922:1 gene:Csa_2G432780 transcript:KGN63361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLTAATPIHTSSYPPSSLHSNPFNSISPRPSFSSFTPRRFSPLLASSSAATTPPSLHMDSHRLHKGIAEFYDQSSGLWENVWGQHMHHGFYDPHSSVSLSDHRAAQIRMIEETLRFAGVEAATAVVDVGCGIGGSSRYLATKFGAKCRGITLSPVQAKRAQEISAAEGLSDKVCFEVADALNQPFSDGEFDLVWSMESGEHMPDKSKFVSELVRVTAPGGTIIIVTWCHRDLGPSESSLQPWELKLLQKICDGFYLPAWCSTADYVKLLQSHNLQDIKRADWSENVAPFWPAVIRSAFTWKGFTSLLRTGWKTIRGALVMPLMIEGFNKDLIKFAIITCRKPQ >KGN62545 pep chromosome:ASM407v2:2:17044124:17045087:1 gene:Csa_2G360620 transcript:KGN62545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRSSSSNSSSSDSTSSDSSFSGKGLRRPEKIKGPWSAEEDRILTQLVDRYGARNWSLISRYIKGRSGKSCRLRWCNQLSPNVEHRPFSPTEDETILAAHARFGNRWATIARLLPGRTDNAVKNHWNSTLKRRAREQQHHQQLVMDGITPECHGISNNNNNNVVNIASDLKLSVSVSVPLEDDPLTALTLAPPGIGGVRTTAAEERRVESFPAGFWDAMRDVIAREVREYMTTTFMENPEFQ >KGN61451 pep chromosome:ASM407v2:2:8326893:8337100:1 gene:Csa_2G123620 transcript:KGN61451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEYDVIVLGTGLKECILSGLLSVDGLKVLHMDRNDYYGGESSSLNLIQLWKRFRGDDKPPAHLGSSRDYNVDMIPKFMMANGTLVRVLIHTDVTKYLSFKAVDGSYVYNKGKIHKVPATDMEALKSPLMGLFEKRRARKFFIFVQDYDESNPKTHEGMDLARVTTKELIAKYGLDDNTIDFIGHALALHRDDRYLDLPALDTVKRMKLYAESLARFQGGSPYIYPLYGLGELPQAFARLSAVYGGTYMLNKPECKVEFDDEGKVIGVTSEGETAKCKKVVCDPSYLSNKVRKVGKVARAIAIMSHTIPNTNDSHSVQIIIPQKQLGRRSDMYVFCCSYSHNVAPRGKFIAFVTTEAETDHPESELKPGIDLLGAVDEIFFDTYDRYEPVNEPSLDNCFISMSYDATTHFESTVVDVLNMYTMITGKAVDLSVDLSAASAAEE >KGN63228 pep chromosome:ASM407v2:2:21480021:21484374:1 gene:Csa_2G416190 transcript:KGN63228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKPNEVALYEKIEVKRPIQRLLDLTIFFLLISLLLYRFLILRSHAFTYLHTLAFLCELWFTFTWLLLINVTWNPIHYNTYPQRLLKRVDELPPVDVFVTTADPVLEPPLITVNTVLSLLAADYPANRLAVYVSDDGCSPITFYSLLEALAFAKIWVPFCKKYEVQVRAPFRYFSGDLSFDGTEEFQCEWRRMKDEYEKLRRNVEEAAKNVVSPEIMRDLADFSNIESSNHPPIIKAIWENKEGLRDGLPHLIYVSREKRPQHPHHYKAGAMNALARVSGLMTNAPYILNVDCDMYVNNPSVLLQGMCLFLDPTIDKEYAFVQFPQRFYNGLKDDPYGNQWIVMMEFTFRGMAGIQGPGYMGTGCIHRRKVLYGQSPDGANIFGKHYDSELHKTFGSSKDFVNSAAHALRNLADYPNSLSNSIISLKEVATSDYEITSCWGTKFGWLYGSLLEDVLTGSEIHKKGWKSAYLTPTPPAFLGCAPSGGPIPLNHQKRAMTGLLEIFFSKKCPIFNSLFGKLQFRQRMVSVWMSLWGIRSIPEICYATLPAFCLIANSHFLPKIQEPVVCIPLLLFVFYNLQQLLQYWETGQSARAWWNNERMARINTICASLLGAVAVALKLLGLSETVFEVTKKESSSSSDDTESSSDGDLGRFTFDESPLFVPGTTILIIQLLALSIAFSRIRQPNVVEFGVGEVTCSVWLILCFWSFLKGMFAKGKYGLPWSTLCKSSALAFLFVCFCIMQY >KGN61905 pep chromosome:ASM407v2:2:12749045:12750217:1 gene:Csa_2G264000 transcript:KGN61905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLFKFKLCFLVASLLSATTAYGAAIISGTVFCDQCKDGQISFFDFDLPVNGVKVTLACGDGKGGFFNVRDDVTNFLGSFVMKVDGTPDLRGCTAKVSGAAPGSRTNCTVPGSPPRSLKLVFRLLNLEMYSLVGPLVSQPAQPKPFCSRHVPVPGPKPPSPPSLPPIPKLPPLPPLPQLPPLPPLPPTSAASIVSTADANWTNPDYKCYWRAVNPDTKVAVVFGVIAAKRYGTDLTLEKGLQGRGDPYKTLLREAITALLNSYNSLHFPYPPLSVVKHFNWALLSSQRSVLLTALHFKHANSGGYSHVSCKFERCK >KGN62011 pep chromosome:ASM407v2:2:13559289:13563692:1 gene:Csa_2G286440 transcript:KGN62011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLYRGVSRKEKPKGRHGLTPQKKQEIKEAFELFDTDGSGTIDAKELNVAMRALGFEMTEEQIKQMIADVDKDGSGAIDYDEFEYMMTAKIGERDTKEELTKAFDIIDYDKNGKISGNDIKRIAKELGEVFTDKDIQEMIDEADRDRDGEVNVDDFFRMMRRTTYGS >KGN61268 pep chromosome:ASM407v2:2:6014093:6020462:-1 gene:Csa_2G074290 transcript:KGN61268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSLNLLKATLSLSLSPSISFTSKCSFSSSVFSPRPIFSRVSRCSLSTKTAMGSAPDAAMDAVQRRLMFDDECILVDETDNIVGHDSKYNCHLMDNIELNKALHRAFSVFLFNNKFELLLQQRSATKVTFPLVWTNTCCSHPLYRESELIEDNALGVRNAAQRKLFDELGIVAEDVPVDQFTSIGRILYKAPSDGRWGEHELDYLLFIVRNVNVNPNPDEVADIRYVNQEQLKELVRKADAGEDGLKLSPWFKLVVNNFLFKWWDHLEKGSISEIVDMKTIHKLT >KGN63390 pep chromosome:ASM407v2:2:22838499:22840298:-1 gene:Csa_2G435530 transcript:KGN63390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIWFVILVSLCISSLLTSIFTHFRTSSNLPPGPPSIPIFTNFQWLRRSPLQIESLLRSFVTKYGPVVTLPIGNHPVVFIADRSIAHKALFKHGALFADRPPAPPLSKVISSNQYSISSASYGPLWRLLRRNLTSQILHSSRIVFYSQARRWVLDILLSRLQSHSQSKIPVLVVENFQYAMFCLLVLMCFGDKLEESQIREVEKVERELILSFQRFNIFNFWPKFTKILLRKRWEAFLQIRKNQENVLNRLIEERRKANKNRANKAQNEEKEEFVVSYVDTLLDLELPNEDNRKLSNEEIVTLCSEFLNAGTDTTSTALQWIMANIVKNSKIQNKLLAEIRGVMGNGSTEDEVKEEDLEKLPYLKAVVLEGLRRHPPGHFVLPHAVKEDTILENYVIPKNGTVNFMVAEMGLDPKVWEDPMVFKPERFLKGGEGEGAVFDITGSKEIKMMPFGAGRRMCPGFVLAILHLEYFIANLVWRFEWKEVKGDEVSLGEKIEFTVVMEKPLKADIIPR >KGN60923 pep chromosome:ASM407v2:2:2801738:2802276:-1 gene:Csa_2G023900 transcript:KGN60923 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase MPEVGSAEYKELESKPEKAYLKTVNSMLQTLLGVSLIEILSRHASDELYLGERASTEWTSEKDALELCEYFGKAMSEVESNIIERNKDVNLKNRTGPVNVPYTLLLPSSAEGLTGRGIPNSISI >KGN61156 pep chromosome:ASM407v2:2:4666105:4668203:-1 gene:Csa_2G060370 transcript:KGN61156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVATFSTRAQPFKSTPIAATLYRSPSHPASPSYLSFFKSSFFRGQFCSRHFLRFNSSCTRIRHLGNVVSPSCVLPLTEENVEKVLDEVRPGLMADGGNVALHEIDGLVVILKLQGACGSCPSSTMTLKMGIETRLRDKIPEILEVEQIMDTETGLELNEENVEKVLSEIRPYLAGTGGGILEVIEIKDYVVKVRLSGPAAGVMTVRVALTQKLREKIPAIAAVQLIE >KGN61265 pep chromosome:ASM407v2:2:5942128:5942946:1 gene:Csa_2G074260 transcript:KGN61265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAGKDVINILSAIVPMYFPLTIAYITVRWFNLFTPDQSAGISRFVRTLAIPFLCFQVISSNNLLTINLKLFAADSLQKLISLAALFLWKLLCTNASLDWMITLFSLSSLPNTLIIGLPMTTAMYGQGSVGFMIQTLVFQNAIWINVLIVLFEYRAARMIVAAEEDRRGGGGECGLVDLNGLKQVFPDKTEVSVGCVDGDGEVGSEGNGNGGFPVKEIVSADGDGGSRHIGVIVEAEKENDQSEDTFSSKVTSFITFNK >KGN61140 pep chromosome:ASM407v2:2:4452802:4453069:1 gene:Csa_2G059710 transcript:KGN61140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRDVTSGELFSRCLFVTSAESYVRRDSIVDKLDIRGREFSTLDLCSLGMGLSMQDYIGRTFVDAIVYLRQRCRVSKKP >KGN61818 pep chromosome:ASM407v2:2:12106219:12110639:1 gene:Csa_2G248750 transcript:KGN61818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDTMSSGSLDRPNKGANEAEMSEEERKTRLASLKQRAINASNKFRHSMKKRGRRNSSRVMSISIEDDIDAEELQAVDAFRQALILEELLPSKHDDHHMMLRFLRARKFDVDKSKQMWSDMLQWRKDFGSDTVMEEFEFKEVDEVLKYYPQGHHGVDKDGRPVYIERLGQVDSTKLLEVTTMDRYVNYHVREFERTFALKFPACSIAAKKHIDQSTTILDVQGVGLKHFNKSARELIQRLQKIDGDNYPETLKRMFIINAGSGFRLLWNTVKSFLDPKTTAKINVLGNKYQSKLLEIIDASELPEFLGGSCTCADQGGCMRSDKGPWKDPEIVKMVQNGEGRCRRRSLSNVEERTISEDDNTTSTKRSGSFNSEAAPDATAAAAAATESCMSPKQAKLTPVLEEIRMSQKLGGCEYQYEKFIPVVDKAVDSNWALSTEKYTFSKDPFAVHENYKVPEGFSNQIVGGIMALVMGIVTMVRLTRTMPKKLTEAAIYSSTVYYDGSMAKHPALPPPAAVPLSDYMTMMKRMAELEERVNVLNMKPAAMPADKEEMLNIALGKVETLEQELEATKKALEESLSREAELTDYIEKKKKKKKMVNPFRW >KGN62223 pep chromosome:ASM407v2:2:15175572:15184302:1 gene:Csa_2G336700 transcript:KGN62223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKISNKEVKSGSMKMSLTADESRTLLSLLAGGDHRPFTDILADFTSKIPRTRHFVACYSLLVLFEPKTLLRATQRLVGFSILHQTYSSQKSSFNPFISFIVNAASDEEAEKYERAFVFQLLATDSSSSGKEFLKQTASDYIKGFDPSLHDDPREFRTQVQLWEESNLLDLLPGVVPKLGSGERDKTLLGLLSNLSLQGSSPEWIRPLPPRLPIQNGELVWLNLDDHHELLWDHRMCVDTSRGAAVRDLIAKALKGPLIPAQQEQVVVELANDPKLVYHCGLTPRKLPELVENNPLIAVEVLKKLINSPEIAEYFTVLVNMDMSLHSMEVVNRLTTAVELPSEFIHMYITNCISSCEGIKDKYMQNRLVRLVCVFLQSLIRNNIINVKDLFIEVQAFCIEFSRIREAAALFRLLKSLE >KGN62738 pep chromosome:ASM407v2:2:18178196:18193611:1 gene:Csa_2G370380 transcript:KGN62738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTQRSSLPSRLRQLLSGEGSFGPSIKLDSEPPPKIKAFIDKVIQCPLHDIAIPLSGFRWEYNKGNYHHWRPLFLHFDTYFKTYLSCRNDLLLSDKILEDDSPFPKHAILQILRVMQIVLENCHNKGSLDGLEHFKLLLASTDPEILIAALETLSALVKINPSKLHGRGKLIGCGSVNSYLLSLAQGWGSKEEGLGLYSCVIANERTQEEGLCLFPHEVENDMDNAQYRIGSSLYFELHGCGAKDSEESSSSSSSSNSQVIHIPDLHLEKEDDLIVLKRCIELYNVPPELRFSLLTRIRYARAFRSSKICRLYSRICLLAFIVLVQSGDSHDELVAFFANEPEYTNELIRIVRSEETVSGSIRTLAMLALGAQLAAYSSSHERRILSGSSISFAGGNRMILLNVLQKAILSLKNSNDPSSLAFIEALLQFYLLHVVSSSASGNNIRGSGMVPTFLTLLEDSDPTHLHLVCFAVKTLQKLMDFSSSSVSLFKELGGVEILVERLQTEVNRVIGLSGANIDSMIIGESSKCNDDQLYNQKRLIKVALKALGVATYVPTNSTNSLPVILSQIFGNIDKFGGDIYCSAVTLMSEIIHKDPTCYPSLHDMGLPDAFLASVAAGILPSPKAVTCVPNGIGAICLNARGLEAVKETSALRFLIDVFTKEKYVLAVNEAIVPLANAVEELLRHVSSLRSTGVDIILEVIEKVTSLGEKDPIGSSGKLNGNTAMETDSDDKENNSNCSLVTEEGISNEQVIQLCICHLMVLVHRTMENSETCRIFVENSGIEALLKLLLRPSIAQSSNGTIALHSTMVFKGFTQHHSAPLARAFCSSLRDHLKKALTGFDLISGSFLLDPRTTPDEKIFSSLFLVEFLLFLADSKDNRWVTALLTEFGNESKDVLEDIGRVHREILWQIALLEDIKPELEDESTGSVTDLQNSEVHTNEIEEQRFNSFRQFLDPLLRRRTSGWSIESQFFDLINLYRDLGRAPSSLQRMSSDSSSLLQFGVGNQGLRAGSSDTTGTSNEKECSNQRNCHASCCDLVRSLSFHTTHLIQELGKVMLLPSRRRDDVVNVSSSSKAVASTLSSLVLDHMNFGGHVNASGSEGSISTKCRYFGKVIDFVDGILLDRPDSCNPVLLNCLYGHGVVQSVLTTFEATSQLLFTINRTPASPMETDDANLKQEEKADNDHSWIQGPLASYGRLMDHLVTSPFILSSFTKHLLAQSLTSGDIAFPRDAETFVKVLQSMVLKAVLPVWTHPQFIDCSCEFITTVISIIRHIYSGVEVKNVSSNSSARMTGPPPNETTISTIVEMGFSRSRAEEALRQVGSNSVELAMEWLFSHPEEVQEDDELARALALSLGNSELEMKEPVSSEVSKQIEESVHLPCTEELLSTCIKLLRAKEALAFPVRDLLVMICSQNDGQNRSNVISFLIDSVKGCDTVADSGNSTTLSALFHVIALILNDDTVARDAAYKNGLVAVSSNLLSRWDTGFSDGVKVEVPKWVTAAFLAIDRLLQEEKKFNPEIADQLKRDHGGGDTLTIDEDKQTKLQSALGLSPKYIDVGSQKKLIEIACSCIKKRLPCETMHAVLQLCSSLTRSHSVAVCFLEAGGLTSLLSLPTTSLFPGFDSIASSIIRHILEDPQTLQQAMESEIRHTLITAMNRHPNGRVTPRNFLLGLNSVITRDPVIFMRAAQSVCQIEMVGERPYIVLLKDREKDKSKEKDREKEKLMEKEKLHNHDVKVSLGNVNSTVVGNVHSKLHDSNLKSSRVNKKFSQNFVNVIELLLESVYTFIPPVKDDVTTELTCSARASSDMDIDVSAVKGKGKAIASLSDDNDANSQEASASLAKVVFILKLLTEILLMYASSVHVLLRKDTEVCCSRPVHQRANGGCTGGIFHHILHEFIPLSRNSKKDKKVDGDWKHKLATRGSQFLVASCVRSSEARRRIFVEVGSMLNQFIDSCNSSRPPNSDLQAFVDLLNDMLAARTPTGSYITTEASATFIDAGLVSSFTQILKVLDLDHPDSPKVVTGLIKALEMVTKEHVQFADSNTGKGDSSSKTPDHNQPGGENIGETPRSMETASQSNHELIPGDQIESYNANQNYGGSEAVTDDMEHDQDLDGVFGPNAGDEYMHDTPEDARGLENGIDTVDIRIEIQPHVPENLDEDDDEEMSGDDGDEVDEDEDEDEEEQNDLEEDEVHHLPHPDTDHDDHEIDDDEFDEVLEEDDEDDEDDEDGVILRLEEGINGINVFDHVEVFGRDTSQNETLHVMPVEIFGSRRQGRTTSIYNLLGRTGDNVAPSRHPLLGGPALHAAPFRPSENNRDMVISERTLENNSSGLDTVFRSLRSGRHGHRLNLWANDNQHGGGSSNGVIPQGLEELLVSQLRRPTPEKSTELNAAVEPDNKDGTGQIQTSEPVGSSETIIENSGQHDRDGLPPLAASHSSDGTSSGPAVIESLQGTQVTQQSQAVDMQFEHSDAAVRDVEAVSQESGGSGATLGESLRSLDVEIGSADGHDDSGDRQGSAADRMSLGDSQAARLRRSNVSYSNSTPLSGRDASLHIVTEVSENSSREADEEGPVGEQQTNSETGSGAIDPAFLDALPEELRAEVLSTQQGQVVQPPSNEPQNAGDIDPEFLAALPPDIRAEVLAQQQAQRLHQSQELEGQPVEMDTVSIIATFPSDLREEVLLTSSDAILANLTPALVAEANMLRERFAHRYHNRTLFGMYPRNRRGESSRRVEGISGLDRTGGSISSRRSLGARLIEADGAPLVDTDALHSMIRLLRVVQPLYKGQLQRLLLNLCAHNETRTSLVKILMDMLLFDRRKLTDQSNSTELSYRLFACQRNVIYSRPQFFDGAPPLVSRRVLETLTYLARNHPYVAKILLQFKFLKPTLQGSENVYRDCGKAAMAVEQNLQAEGYLSIALLLGLLNQPLYLRSIAHLEQLLNLLEVIIDNAESKSHLSEQSAPSTAEQPAAPEVSSSDAEVNADSGGVSSGVGTSAKIGGSKTTASAANSECDSQSILANLPEAELRLLCSLLAREGLSDNTYALVAEVMKKLVAISPIHCRLFITELSESVQKLTRSAMDELRMFGEAVKALLSTTSSDGAAILRVLQALSSLVASLIEKGKDSSILPEKEHASALSLVWDINAALEPLWLELSTCISKIESYSDSSPDVLASFRAPTAKPAGVTPPLPAGSQNILPYIESFFVVCEKLHPAQPGSDQELNIAAVSEVEEAGVSAVAQQRTTVPTQKVDEKHVAFVRFSEKHRKLLNAFIRQNPGLLEKSFSPMLKVPRFIDFDNKRAHFRSKIKHQHDHHHSPLRISVRRAYILEDSYNQLRMRSTQDLKGRLTVHFQGEEGIDAGGLSREWYQLLSRVIFDKGALLFTTVGNDSTFQPNPNSAYQTEHLSYFKFVGRVVGKALYDGQLLDVHFTRSFYKHILGAKVTYHDIEAIDPDYYKNLKWMLENDISDVLDLTFSVDADEEKLILYERTEVTDYELIPGGRNIKVTEENKYQYVDLVVEHQLTTAIRPQINAFLDGFHELIPRELISIFNDKELELLICGLPDIDLDDMRANTEYSGYSAASPVIQWFWEVVQSFSKEDKARLLQFVTGTSKVPLEGFSALQGISGSQKFQIHKAYGSPDHLPSAHTCFNQLDLPEYPSKQHLEERLLLAIHEANEGFGFG >KGN62246 pep chromosome:ASM407v2:2:15378904:15386821:1 gene:Csa_2G338900 transcript:KGN62246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLKRKPFQLADSPKDLENHELVYQVRFTKEIFRDYNEYLGRIDLYRRRVWMCKFTGKSNLTYEEALVSEKCAAEKVQQFPKDLIVPALQIIQYSMLSLKDLANTVAVKLQKCLFVGTELYGRKDDQIYPCKIFKVLENGADEVQYEVVWLDKNKKVTETSLLNAEDLVQKKPPLSRRFLKSFIRESTYRSAPWVLHDKLAQNHGISTALPQELRSKAFFRDGLLICNKKRKTNDMETSDPKNCKRKSMERGKMNGSIAENGAKKGEGDLLDQDIKYPIDDLLVQPGPDDPVFLERPSASREFNVPMNCVGDLLMVWDFCSSFSRLLHLWPFSLDDFERAIYHKESTAPLLVEAHSAFFRLLLKDEGEYSSLVQGKKRKMKITLINWTEYLCDFLEMIGNAKLCANTATIKRGHYGLLATHVKLGILCELVNHSLESNIFREKLDEIIEQRQALGATRRGEALEEGRKKREEKERLKSEPMSNGHVNGHLMDKEKSEIAKTDHGRRSKDSSKNRNGVVISSQSGLSPVKSEDDHPIAYLKKMAKKRNSDVTAASANSPKEAKNDRMEFNDRKTKEQRREYYERELEKRSIKTNPLGKDRHHNRYWWFRRDGRIFVESSDSKEWGYYSSMEELDTFMGSLNCKGERERALSKHLEKFYSKICLELNKRSKELTNQIAVEEAVLRRSTRVRAPPRENPATAYLRYVNRWKED >KGN62984 pep chromosome:ASM407v2:2:19777033:19778428:-1 gene:Csa_2G382570 transcript:KGN62984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCRIVTVSNRKKIGAVPVYLNVYDLTPINGYAYWLGLGVYHSGVQVHGVEYAFGAHEHASTGIFEVEPKHCPGFTYRKSILIGRTNLSPREIRSFMEKLAEEYSGNTYHLITKNCNHFCNDVCIRLTGKPIPRWVNRLARLGFLCNCVLPVGLNEMKVGEVKADQNREKKKLRTQSSRYQSGLKSGAAATPTPLSSSKASNSVVRKTSKQTHSAPSSSSILLSSSTSTFLLKL >KGN61660 pep chromosome:ASM407v2:2:10706027:10707128:1 gene:Csa_2G222030 transcript:KGN61660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGELKKLVEEKIKYIGLSEASADIIRRAHAVHPITALQMEYSLFSKESIEVNEPIYKRLVKLAANCCCTTAQLTLAWLLHQGIDIFKLTVSLPIRNRDNQSWKPSQQHWVTKREASREISDAVPIDEVSGKREYDAFSRYMWNYADWSSKG >KGN63396 pep chromosome:ASM407v2:2:22874105:22882738:-1 gene:Csa_2G437060 transcript:KGN63396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLAWLSAIVVGAGCLVLGYYIGSKYPPRVFVKAKLAKSNESSRNGKKKDKTKEPLEVENLADILDDFKMVLVVRNDLKMGKGKIAAQCSHATLGLYKKLHYRAPKALNRWEMCAQPKVVLKIESEEDMLVLQERAKSLKLPTHITIDAGRTQIAPNSRTVMAILGPVEVVDDVTGGLKLL >KGN60770 pep chromosome:ASM407v2:2:1757718:1761004:1 gene:Csa_2G009570 transcript:KGN60770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKGRNSGNFSNPCLTMHQPWASLLVYGIKRIEGRSWPAPLRGRLWIHAASKVPEDSTIKAMEDFYRELYALDGITDLKFPEHYPVSRLLGCVEVVGCVRGEELKNWDKVPEGVRVEAQTDFCWLCEQPQKLLIPFEMRGFQGVYNLEKRIFEAAVRGLTRVKCPLPVKFQLPDPRDPFSLKPGSVSSCSPETKGSGSEVDKSSKLNAAIAGARAAATQFTRKYQDPQTSSDFGMGSKSRTEFLGGDQFQSSNFRQDNVSRGNFEVGMGSKSRTEFLGRDQFQSSHFRQDKVSRGNIQEQTSGYHVRSQGLFAQRQQQSRAPSKIFAAAVRDLKPT >KGN61747 pep chromosome:ASM407v2:2:11568232:11569416:1 gene:Csa_2G237170 transcript:KGN61747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCRQKTLGHHTHCSKCKLGQGQFCGDCLYARYGENVMEVNLNPNWVCPVHNLGFKSVAHYLIQTRCNQTNQKGSSSKHVDAGDSSQALANDPQHHDYSLSSGNSLDIEGTME >KGN61443 pep chromosome:ASM407v2:2:8247927:8248204:1 gene:Csa_2G123050 transcript:KGN61443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIARVSRRKFASESVTRVDWWEAEFDRQRLLELVVGSLPRVTRCGGWRLTSDDHRRWFPKVG >KGN62924 pep chromosome:ASM407v2:2:19465592:19466564:-1 gene:Csa_2G380040 transcript:KGN62924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCSIHVAMIYLSGLLWILGLNLFMNAIPKKHLEKLFRWVSLILSHWLTQKNITERHRLQFTCFSI >KGN62025 pep chromosome:ASM407v2:2:13675376:13676258:1 gene:Csa_2G287070 transcript:KGN62025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVCASTQTPLTRNPKCGVKFPKQQQQQQQLLNLDSIKVVHMDGFIEEFSDPIKASKITSRNPNFFLCNSEQMLIGSCVPSLSSDENLQMGQIYFLLPLSLAHSPLSLPDLCNFAIKASSALRNIQSSLFR >KGN62794 pep chromosome:ASM407v2:2:18621988:18627264:1 gene:Csa_2G373380 transcript:KGN62794 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly(A) polymerase beta MVGSDCSTGLPSVSHPVTNYGVTKPISLAGPMDADIHRNIELEKFLVDSELYESKEEAAKREEVLGRIDQIVKSWVKQLTLLRGYTEQMVEDANAVIFTFGSYRLGVHGPGSDIDTLCVGPSYVNREDFFIILHNILAEMEEVTDLQPVPDAHVPVMRFKFLGISIDLLYASISLLVVPEDLDISHGSVLYNVDEQTVRSLNGCRVADQILRLVPNVEHFRTTLRCLKFWAKRRGVYSNVTGFLGGVNWALLVAQVCQLYPNAIPSMLVSRFFRVYTQWRWPNPVMLCSIEENELGFPVWDPRRNPRDRFHLMPIITPAYPCMNSSYNVSTSTLRVMMEQFRYGNSICEEIDLSKAQWSALFEPYLFFETYKNYLQVDIIAADADDLLAWKGWVESRFRQLTLKIERDTRGMLQCHPYPIEYSDTSKPCSHCAFFMGLQRKEGLRGQGGQQFDIRGTVDEFRQEINMYAFWKPGMDIYVSHVRRKQLPTFVFPDGHKRAKPLRHEGQQVDTVCADMLQDQSGITEKGKKRKSDHEEEEKEKKQALISPPAEQSPMPEFFGGDPDGKWPSSKFANADCHLKVWSSFEQPVSRTDTNGNGTDIATLTKETGSTGDQLGLPAKEIEESSSRKEVPDLYKGSISTSKEALQIGTDRENVDGLAPNMNGSVQTVSIRTLLHWTKDVVRIDSESGNTYGEMTGGESTQVEFQPNCNTHNLSCKGNDSRTDPDLALDNGSVVTGRVSQNGQSKVLEPKISNQAVTEWS >KGN61269 pep chromosome:ASM407v2:2:6021229:6031393:-1 gene:Csa_2G074790 transcript:KGN61269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTELIRVCVEDDSDDFPSVPPGFESYISFSLGKVHNLEKQDIHAPPVSLPTVSEPQPAKVGSEVEVPKVAKVTRSLRRKPCINYKQYDYCSDDEINSTNCLDQNSSSRPSLSKGVIRGCPQCNNCQKVVARWRPEESCRPNLENAPVFYPTEEEFGDTLTYIASIRAKAEPYGICRIVPPSSWKPPCPLKQKHIWEGSKFVTRVQRIDKLQNRESIRKNSRICGQMRRKRRRCNRKGVDVTTLNGKIADAGSVEAERFGFDPGPDFTLAMFQKYADDFKSQYFSKPLIDTAKGCNPSMLQDNENWKPSLEAIEGEYWRMVEKPTEEIEVLYGADLETGEFGSGFPKISCQEGSTLDEEKYVKSGWNLNNFPKLPGSVLSYESSNISGVLVPWLYIGMCFSSFCWHVEDHHLYSLNYMHWGDPKVWYGVPGNGAGKLEEAMRKHLPNLFQEQPDLLHKLVTQLSPSILKSEGVPVYRCIQNPGEFVLTFPRAYHSGFNSGFNCAEAVNVAPVDWLPHGQIAVELYREQGRRTTISHDKLLLGAAREAVRAHWELNLLKKNTLDNLRWNSVCGKDGILARAFKTRVEMERARRNLPCSSSQAMKMESNFDASNERECSSCLFDLHLSAVGCRCSPDKYVCLNHAKQLCSCAWEERIFLFRYDISELNILLEALEGKLSAVYRWARQDLGLALSTSRELSFQSSTKSHGNPQWKELLRQSSLLPTLTALNSSHHAQKLSEVTTSFLEVKKEISTVNGSEKEIGQQNHKIEVKKESHDLVATNSKHADSQSCKEDTNALNKIEVKSTTDKMCPENVILLSDDEGDDHKKTISNGLAESSSVKQLGNSDRFTEIDSKASLCNYNENAILHTPATDATTMGDKEVNLLIEKRLNNCQSRIVPLYSKKSQNSNLSVRNAANAIQNNTCSDSGLGHSNREFLESTDTDCQKPQTCGSGKLNEGTHGNAGMSATSCVLDSSRTTANLSCNQANMDRFMRQKGPRMAKVVRRINCNVEPLEYGIVLSGKSWSNSQAIFPKGFKSKVKFINVLDPSTLCYYVSEILDAGRDGPLFMVVLEHCSSEVFVHVSATRCWELVRERVNQEIAKQHKLGRTNLPPLQPPGSLDGLEMFGFTSPAIVQAIEAMDRNRVCGEYWDSRPYSRPQVHSPQLSQSTEISRNMQTTERNGIDPRPAGVDIVLRGLLKKANLEELSSLYTLLNDNRPTVDQGVLARLLNEEIQSHRR >KGN60647 pep chromosome:ASM407v2:2:975547:979553:-1 gene:Csa_2G005930 transcript:KGN60647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVDDDSSIYVGGLPYDATEDSLRRIFDLYGAVVAVKIINDRSTRGKCYGFVTFTNPRSAIDAIKDMDGRTIEGRVVRVNGVKSRLGGRFGKEGNRFDAERDVSWERDRDRGRDYDHDRYRHRGRNNDWSRDHDRSREHDLDKERGYKHSQDRDLPRDQFLDREPELEKTSKDNEKVHDLKLNHDQDWEKDHGADLSGGRGIDKTDDYDKSLDNDRDQVLRRDKSLDNDRDQVLRRDNGLTIEGRTARDLSSDSSDDYIHELKEQLEISTERLEELRKEVSQIEERSGEKEKLVVELQKKAKKLEDALISAKKRSSFRQTQLIKLHKSFLLVKDYSKRLKTSEQELQALVESTAIESDVG >KGN63014 pep chromosome:ASM407v2:2:20003489:20007025:1 gene:Csa_2G383360 transcript:KGN63014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHNQQATGSRHDDDAALSEFLASLMEYTPTIPDELVEHYLGKSGFQCPDVRLIRLVAVATQKFVADVASDALQHCKARQAAVVKDKRDKQQKDKRLILTMDDLSKALREYGVNVKHQEYFADSPSTGVDSTSREE >KGN60585 pep chromosome:ASM407v2:2:505352:505952:1 gene:Csa_2G000920 transcript:KGN60585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSANYAASYIMDIESMFDLDTVLTGADDFYYFHTVVAPPPVMVAELPTVAAADDVCAVCMEDFLPDEGGKQIPCGHVYHQSCLSSWLSIRDSCPLCRCHIAPGDKTETSKTVQV >KGN61059 pep chromosome:ASM407v2:2:3689638:3696294:-1 gene:Csa_2G036080 transcript:KGN61059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVFESDSIRKRSTGETPRLPLGLAERNNVSATRRSRTREVSSRYKSPTPSAISTPRRCASPNASRTVFSSSQMGQKRAVSAERKRPSTPPSPTSPSTRTQDTSADLRLSSRRMAGGRMAESLWPSTMRSLSVSFQSDIISVPVSKKEKPVPASPSDRTLRPSSNFAHKHIETPMVSRKPTPERKRSPLKGKNVSDQLENSKPIDSLHPRLVDQQRWPSRIGGKVSLNALSRSVDLTDKIIRSSSGPLPGIGLSSLRRTSSDSMNKLFQRSNNDCKKILPLDDGLRMEDESNSVEDCSLQASGIPRLASNSLPDRSKPTPAVRSQSLTLPVSRLPSPIRTSVPSASVSRGSSPTRPRPSTPPPRGVSPSRARPTNSIQSNSSTSVLSFIADFRGKKGANYIEDAHQLRLLYNRYMQWRFSNARAEAVLDMNKVNAERMLCNVWKAMIRIWDSVTRNRIDLHRLKLELKLNKIMNDQMLYLEEWDSLERDHINSLSGALLDLEASTLRVPLTTGATADVESLKGAICSALDVMQVMASSICSLLSQVESMNGLVTELAVVASQEKAMLDECESLLASTTAMQVEEYSLRTHLIQMKQALENTTLNLLPHQYNYRTTFITSHQPS >KGN60790 pep chromosome:ASM407v2:2:1912974:1918161:1 gene:Csa_2G010260 transcript:KGN60790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLFTPFISSSLILQPLKFSQLTIFSSKPYSNTNFIPVVASHSQPKPNSKHNSRKPTMDGSKPTSKFRRKSSYGTSRRSILKKTFNQEQVTFTSALSDDPLIAIIGGGMAGIMCALSLEKRGVRSTVFDTGIHGLGGRMGTRSLGPEPLMFDHAAQFFTVTDNQFAQLVDGWLAADLVKEWKGTVGELELGGRFVPMSSCPRYIGTNGMRPLADSLLSQTSLINVIRPCWISKLEPFNGMWHLSENGKPCGHFDAIVIAHNGKCANRLLSTSGLPLIARQMKRLELSSIWALLAAFEDPLPFPDTAEKFPFEGAFVKGVDSLSWMANNNKKFLNFQKDGPHCWTFLSTAAYGKQNKVPQENIPTSTAEKVKKNMLEGVEAALGLSKGSLPKPFYTRVQLWGAALPTNSPGIPCIFDPHGRAGICGDWLLGSNIESAALSGIALGNHIADYFRSGSEHSEEFAVGLHKEFQPIQGHDIGQFPGLGTEKQAESTLAFQLAT >KGN62754 pep chromosome:ASM407v2:2:18301591:18308133:1 gene:Csa_2G370530 transcript:KGN62754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHPGSTQFSHKLGIQSVHGCNKLHISVKGKARLHLVTIVPTSLGLRHNPGSLQLLRSVSRPMYPVSSRANVFVCRSVLESGGGAGTAVLKSAAVVLTRSCDALRSNPLLLKLIPAACVIAFAAWGIGPLMRLGRILFLHEPDGSWKKSSTYYVTTSYVQPLLLWTGATLICRALDPVVLPSVASQAVKQRLLNFVRSLSTVLAFAYCLSSLIQQVQKFAYESNDPGDARNMGFDFAGKAVYTAVWIAALSLFMELLGFSTQKWLTAGGLGTVLLTLAGREIFTNFLSSVMIHATRPFVVNEWIQTKIDGYEVSGTVEHVGWWSPTIIRGDDREAVHIPNHKFTVSIVRNLTQKTHWRIKTHLAISHLDVNKINYIVADMRKVLSKNPQVEQQRLHRRIFLDNVNPENQALMIMVSCFVKTSRFEEYLCVKEAILLDLLRVISHHRARLATPIRTVQKIYGEADLENVPFSETMYSRSGATNRPLLLIEPSYKVNGDDKTKVSSRPTRSSTEEKEAKQEAVSTSGTKAPDTTGSTSNLDMKADDKKPISPSGITPKPSAPILSTSSSEQSSAEKPVTSNEIKGEKKDILGLNSKDNMPRATLPKRSPSASSPGSEKADIPSTSSQNKQDGEKTSASPPSVARPPLEENIVLGVALEGSKRTLPIDEDLDSKENSTQRNGSEFPPNSKDLKDGQMPAVPGATKND >KGN62295 pep chromosome:ASM407v2:2:15711452:15714278:1 gene:Csa_2G348820 transcript:KGN62295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKQPVRMKAVVYALSPFQQKIMSGLWNDLSGKIHHKISENWISAALLITPVVGSYTYVQQYKEKEKLSHRCHKFHLHRWIPYSFNHLELDAVASSQASDLNLTTNPTHRS >KGN61126 pep chromosome:ASM407v2:2:4302378:4303034:-1 gene:Csa_2G058100 transcript:KGN61126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEAAAPITPWHSPLPYLFGALAAVCILISFSLLILGCSYCRKVSVSILNGNHGAARDADMESGRGKSDGDLNPLPCAMFNDKVLVIMAGQVNPSFIATPMSTGLS >KGN61328 pep chromosome:ASM407v2:2:6782095:6788779:1 gene:Csa_2G083740 transcript:KGN61328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTVWPSSASASSSSPSFPPPSFTTNSFATPRRKVLCYQGILVDKIYSKYSCKSTSTGRFSKSRSLAIPSHRPEKTVLCEMKISKYKVDECFDFIFGKSLSFLQEWSQIQSVGIVVILTCTFMFIPSAQAVDALKTCTCLLKECRLELAKCISNPLCAANVACLQTCNNRPDETECQIKCGDLFENSVVDEFNECAVSRKKCVPMKSDVGDFPVPDPSVLVKSFNISDFSGKWFITSGLNPTFDTFDCQLHEFHVDNGKLVGNITWRIRTPDSGFFTRSTMQRFVQDPERPGILYNHNNEYLHYEDDWYILSSKVENKPDDYIFVYYRGRNDAWDGYGGAVVYTRSAVLPESIVPELERAANSVGRDFNKFIRTDNSCGPEPPLVERLEKTLESGEKTIVREVEQIEQEVEKEVEEIEKEVEKEVERVGKTEMSLIEKLGEGLKELQQDEEFFLRELSKEETDLLNELKMEANEVENLFGRALALRKLR >KGN60628 pep chromosome:ASM407v2:2:818565:818921:1 gene:Csa_2G004770 transcript:KGN60628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPAPVQLNLQNSLGNFVEHLPSKKNKAVKLRSPVPAPVYDHFITIGQPDIQTGKSLAASEKSTEFCRLDSETGYVKTRDNRFPWAYGFSAT >KGN62609 pep chromosome:ASM407v2:2:17347972:17348591:1 gene:Csa_2G361730 transcript:KGN62609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPRAYVLIFFFWSLLTILTPALVFLSENSKPSLLSFESTDGKNGGIEMSRKVVGLTRKYQMLKILAEEQRAPPLIPTPITAPAPSPTPAPAPVRRFMADAGEVILRTLKGLKEAL >KGN63420 pep chromosome:ASM407v2:2:23081935:23087135:-1 gene:Csa_2G441750 transcript:KGN63420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISDGPSSVLAFSNIIWETSSRAISQDSCPLTVYSAESISPGVRNSIGLGTEGDKGFIAADIEHSMLILCGTAFSDINGTKETLVALSEPVIFARGGLPLPGRLLAFGDSVVLLLAPEDIIQSCTVFLISRDAGVILSSEGVVPFFRFGDTNTNGLNLYKLPSAIVLITSDDSRTIPSASKLSPGQAAYHFLAGYQNGKFVPAFHNGPSSIDPLELAKALMFVLKEQQIPSFLVNAKGIESGKELVTLVESTLSMNIPPFQAKGGEIKRRYKSFLSGKYQQLPEGFSF >KGN63314 pep chromosome:ASM407v2:2:22274239:22276159:-1 gene:Csa_2G427880 transcript:KGN63314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQFYSSAGTSPDLNCLQQWPPGSQIGGFSSPLALPSTEQNDEGLLDFVDFTFSLPDECLASIFRFLNSGDRKSCSLVCKRWFQVEGQSRHRLSLNAQDEILPFLPSLFTRFDSVKKLSLRCNRKISRINDDALILVSIRCRNLTRIKLSGRFQLTDLGIAAFASNCKTLKKFSCSTCALGGNSINALLKHCSTLEELSLKGLRGVIAGTEPIVPGAAATSLKSILLKDLVDGLSLIPLIMGSKNLKALKIIRCQGNWDDLFQLFGHGNAMASLIEVHIERIQVSDCGVSAISNCLDLEILHLIKVWDCSNFGLARIAEYCKKIRKLHIDGWRINRIGDEGLMAIAKQCVDLQELVLIGVNPTCLSLSLLASNCVNLERLALCGSRVGDEEIACIAAKCKSLKKLCIKGCPISNIGIESLAWGCPNLAKIKVKKCKGVTGEIKEWLVEKRTSLSVNWDVEEIDHLDASSSDAGSAGEVAVLEPRLMETGVGAPVAGDGRLTILKTTLGLLAGRSLMACTFGRWSSRSI >KGN62116 pep chromosome:ASM407v2:2:14345637:14354453:1 gene:Csa_2G299360 transcript:KGN62116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWFSGRVSLGNFADIAGAVNKLQESVKNIEKNFDSALGFEEKSESSSDATGFWQSATEGKALFDPVRALIGQPKTDENAVDDDPSELQSSPRPLEVGEASEKQDSSKLQSDLNKKEDVETEKSVSSSPKEPTGGKYVEVPTEKDGERPDVQKESQGEAESESPVTPIEVLGSSVHNYEVSDSSVEANHESPRMSIESPEPTTETSDSVHNLQQKEFSEMEASKHPEIDINSGATDISQDEGSIKLSVESQSSFDGHSRSMEPVSVADRLNEPMVEGESTDKLETEEKEALKTIPHIESESFNDNQGEGGSETSSVHSGSTEVKEGAHEVSGSELSNAPLFDEASHRISSSDSHESDNSIKANETEQHPKDNEKETKDRDLSSEANISIHLDSMHELERVKGEMKMMETALQGAARQAQAKADEIAKLMNENEHLNTVIEELKKKSSDAEIESLREEYHQRVSVLEKKVYALTKERDSLRREQNRKSDVAALLKEKDEIINQVMAEGEELSKKQASQESQIRKLRAQIRELEEEKKGLITKLQVEENKVDSIKRDKTATEKLLQETIEKHQTELAAQKEYYTTALTAAKEAEALAEARANSEAKTELESRLREAEERETMLVQTLEELRQTLSRKEQQAVFREDMLRRDIEDLQKRYQASERRCEELITQVPESTRPLLRQIEAMQETTARRAEAWAAVERSLNSRLQEAEAKAAAAEERERSINERLSQTLSRINVLEAQVSCLRAEQTQLSKTLEKERQRAAEIRQEYLAAKEEADTQEGRVNQLEEEMRELRRKHKEELQESLRHRELLQQEIEKEKNARSDLERKAHLHSTAAADHSPIKRHSSSFENGDMARKLSSSSSLGSMEESYFLQASLGSSERLSDRKITGDVPMSPYYMKSMTSGSLEAALRQKEGELASYVSRLKSIESIRDSLAEELVKLTSQSEKLRAEAGMLPGIRAELEALRRRHSAALELMGERDEELEELRADIVDLKEMYREQVNLLVNKIQIMSSS >KGN60897 pep chromosome:ASM407v2:2:2638521:2645872:1 gene:Csa_2G021680 transcript:KGN60897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDDDDDDNFGDFNFGSNHPDPINNRTSSTTIDDDDWGDFVDHSSQIGDHFDLSRPQPSPNSNLSDTSPAIQWAKPQGAIPLSIFGEEEEKEEMGSDVVGSSVGFGEISFVGKESGSAKKGGSLGVGVGIDDLISNLYGPNHQIKAGSPLKSSMAFDPLNFNNSLDLKSIDSNFNVNGVHSYGSQTNFDGDALNFEANGVMSNGFQSELKNVGESIEEDDEEVDDFDGWEFKAAESVTPTGDYQNSKVDRSIQEGFDGVGKAFESTINGHNHGDSVVQSNGAVNNIDERDFGFSLDAIPVAQNGVLPNSHNKNGQNDLDNGLNPSPIERDVNDVGHVWDFKDTFSDAPDYKLEESESAIFTPNGVEVLVLNGSVDVSLFASDGISHKSGEQQNFDSSFNLNWGKEDGKSFNGNQGDNFHATGKDLNTSLVNENDDFNENIWDFKSALSDSGSNNKVERVEFATGFEAPAFGFSNGIQKNSELLSSHHKALPLSIFGDEELETTDDFSMNQDASTFVSVTREGLDNKNPGPTVSINDLISSLYSQAENNGSIKSSPEENENGIISSPRMSHSDFGNDDDDDSWEFKDASPDVNILDQTYATTLGDVPRRSSTKLKFDCYVDFYHKLNLVLNHVVHGLLENLKKAQSNACLSGEEAEVRTICEEIQIFSAELSQENIAADSFSSDIFLPENNTFSELLEMLRDPRIYNFMAENDLRSAVELLKHVVSTLKILKLGSVEEQSNYVSIWNEIIFICFQELKHGALIWKESIQRNVGSYILSEPQGKQYICALGEIYRVAQVLRASFVLYKPWVLLGQVDPSGLISLVNECSNIWLSSGLVGALCKIDGPIDCKALLDSINAIDNLDEWGLRKHVLFRQQPICNLSLLSAESIPGMDLVVWNGENYFLKLANLWANLIGRDPPIIQHSSNR >KGN62032 pep chromosome:ASM407v2:2:13717916:13718718:-1 gene:Csa_2G287140 transcript:KGN62032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIVEEHANVGDVDYGSFFVSMALDDFRCVVQDMEDSDYVPITIRSSQINFVVDHDWETSFTAKEGECIIGGIEEGDEINCGISFYPMEFYKGFTSKRVWFFSSCDTNGLLLIAPMGVYTHISSFFPQDISIGGNTLT >KGN61563 pep chromosome:ASM407v2:2:9687328:9690939:1 gene:Csa_2G171850 transcript:KGN61563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAMKEKVSERFSGLFSNSTSSESSKPPPPDPRTQARPKSKGRKSLSSYLSLIIPSIHGSKPSASRQDTDAVQSPSVRYCDANNDFQEEGSDTSLGCSIPFKTEEIPRHQGENKDCGSAYDEGKLNKLGVEYDSACRKSTCSSDGFEEAMERPTPRNPLSDLMYESAFITSHLYEFLGCCLPNIVKGCKWVLLYSTMKHGISLQTLIRNSHNLPGPCLLIVGDTRGAIFGGLLECPLKATAKRKYQGTHQTFVFTTKYGDPRLFRATGANHYYYICLKDLLALGGGGSFALCLDGDLLSGTSGPCDTFGSLCLAHDPEFELKNVELWGFTHASRYFT >KGN62947 pep chromosome:ASM407v2:2:19586928:19591693:1 gene:Csa_2G381730 transcript:KGN62947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEEGEKIVVTQVSFGGFDSDVKARDLMSYLESEIGLVDRCRLKTSWTPPESYPDFEVSNVANVIKSDDYKKVVPHAFVHFVSPDSAAEALHAAGRGELIFNDKLLKASLGPESPFHINQRRRTKVPFKLSDVQVDIGNLTCHDGFSVAWRGPSSGMDFLVDPFDGTCKFCFTKDTAFSFKDNNKHTFLKCDYKMEFIVRDINQITRYTDTSCYVILLQLTASPCIWYRTADDDIAKLVPYDLLDDDDPWIRTTDFTISGAIGRCNTFRVSVPPRYGIKLNNAMNYLKEQRVHQVSLNRPPKILNEPDYGVQMTDHFFCILYKKDISFEILFLVNAVMHKGIINQHQMSDRFFDLLRNQPNEVNLAALSHIHAFRRPVLDACKKLKLVQEWLLKNPTLLKRSKELVDIVEIRRLVITPSKAYCFPPEVELSNRVLRRYKDVADRFLRVTFMDEGMKKINSHVYTYYVAPIVKEITSSSFPQKTKIFARMKHILKHGFHLCGRKYSFLAYSSNQLRDQSAWFFAECKSISVDAILGWMGKFTNKNVAKCAARIGQCFSSTYATIEVPRNMVNHDLSDVERNGYVFSDGIGTITPDLAQEVADKLKMDGSPPCAYQIRYAGYKGVVATWPSKKDGIRLALRPSMNKFESNHRILEICSWTRFQPGFLNRQIITLLSTLSVPDEIFWSMQETMISKLDRMITDTDVAFEVLTASCAEQGNAAAIMLSAGFEPRTEPHLRGMLMCIRAAQLWGLREKARIFVTEGRWCMGCFDESGVLQEGQCFIQVSTPLLEKCFSKHGSLFAETKNNLTVVRGTVVIAKNPCLHPGDVRILEAVDAPELHHLYDCLVFPRNGERPHTNEASGSDLDGDLYFTTWDGNLIPPSKKSWPPMEYAPAEVKTLQRKITRWDIMEFFAKNMINESLGTICNAHVVHADRSKHGALDENCIQLSELAATAVDFPKTGKLVTMPPHLKPKLYPDFMGKEATQSYKSTKILGKMYRRIRDAYDDDDMITSRELNFTPGDVHYDVDLEVAGAEDFIAEAWDQKCSYDGQLSGLLGQYKVNREEEIVTGHIWSMPKYVSRKQGELKEKLKHSYSTLKKDFRQVFENLGPEFEQLTCDERNALYEKKASAWYQVAYHPTWLKKSLELREPDAPEAVPMLSFPWIAADYLARIKIKCRRTKSFDPTKPINSLASYLSDRM >KGN62906 pep chromosome:ASM407v2:2:19355589:19362608:-1 gene:Csa_2G379370 transcript:KGN62906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVFSRSSCGDDDEEALKWAAIEKLPTYLRIRRGILKEEQGEAREIDIRKIGLLERRHVLERLVKIAEEDNEEFLLKLRGRIERVGLEIPTIEVRFEHLNVEAEVYVGRRALPTMFNFSLNILEGLLNYLHILPSKKKSLSVLHDVSGIIKPKRMTLLLGPPSSGKTTLLLALAGKLGKDLKFSGKVSYNGHGMEEFVPQRTSAYISQHDLHIGEMTVRETLAFSARCQGVGPRYEMLAELSRREKAANIKPDPDLDIYMKAEALEGQETNIITDYILKILGLEMCADTLVGDEMIRGISGGQRKRLTTGEMLVGPARALFMDEISNGLDSSTTYQIVNSLRQSIHILNGTAVISLLQPAPETFDLFDDIILLSDGHIVYQGPREDVLTFFAHMGFKCPERKGVADFLQEVTSRKDQEQYWAIRDEPYRFVSVKEFSDAFQSFHIGRELGDELATPFNRSKCHPATLTSKKYGVSKKEVLKACISRELLLMKRNSFVYIFKLFQLIIMALITMTLFLRTELHRDSEIDGGIYMGALFFTLVVIMFNGFSELAMTVVKLPVFYKQRDLLFYPSWAYALPTWILKIPITCLEVGIWVVMTYYVIGFDPNIERFFKQFLLLFCINQMASGLFRLAAGLGRDVIVATTCATLALPVVMVLGGFIVAREDVHSWWLWGYWVSPMMYGQNAIAVNEFLGNSWRHVPSNSSEPLGISILKSRGIFPEAYWYWIGVGATIGYVLVFNFLFILALHYLDPFGQAQAVLSEDTLAEKNANRTGKIEQPKKTNIFFETESQNMPSRTLATSVGSTNEVKHNDNRGMVLPYEPHSITFDEIRYAVDMPQEMKAQGVVEDKLELLKGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGFTDGKVTISGFPKRQETFARISGYCEQTDIHSPHVTVYESLMYSAWLRLPSDVDSATKNMFIKEVMELMELTPLRDSLVGLPGVNGLTTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFDAFDELFLLKRGGEAIYVGPIGRHSSHLIEYFEGIEGVPKIKDGYNPATWMLDITSPAQEAALGVNFTDIYRNSELYRRNKALIKELSMPSPGSKDLLFPTQYSQSFLNQCMACLWKQHLSYWRNPPYTVVRLIFATFVAILFGTIFWDLGSRRKTRQDVFNAIGSMYVAVLFIGTQNSSSVQPVVAIERTVFYRERAAGMYSALPYAFGQIVIELPYVFIQSTIYSVIVYAMIGFEWTAIKFFWYLFFMYFTLLYFTFYGMMAVAITPNHQISSIVSASFYAIWNVFSGFLIPRTRIPIWWRWYFWGCPVSWTLYGLVASQFGDVEETLQSGETAGFSRYCWCGAYWDVTSLWIHLCLLNKGI >KGN62276 pep chromosome:ASM407v2:2:15573174:15574325:1 gene:Csa_2G348140 transcript:KGN62276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSISSSPIVLKTSDKPFYTFDLAVVATLFDLWSHSLPTVHPFYAVKCNPNPDLLAAMAALGSNFDCASRAEIQSVLVLGVSPDRIVFANPCKPESHIEYASSVGVNLTTFDSVDEIQKIRRCHPKFALLIRIKPSDDGSARCPLENKYGTLPEEIIPLLQAAKSAELSVVGVSFHIGSGATETCAYSAAIAAARGVFETAVRLGLPPMNVLNIGGGFTAGPQFEEAAGAVKSAIKEYFPEELNTILIAEPGRFFAESAFTLAVHIIGKRVRGDLREYWINDGIYGSMKCILYDHATLSCKALAVKSNRENPTCGGGRMHRSIVFGPTCDALDTVLTGKQLPDLEMGAYTAAAGSNFNGFNTAAIETYIVYSE >KGN60829 pep chromosome:ASM407v2:2:2162580:2166338:1 gene:Csa_2G012110 transcript:KGN60829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGVVSDLELDCSEFVEVDPTGRYGRYNEILGKGASKTVYRAFDEYEGIEVAWNQVKLYDFLQSPEDLERLYCEIHLLKTLKHRNIMKFYTSWVDIANRNINFVTEMFTSGTLRQYRLKHKRVNIRAVKHWCRQILRGLHYLHSHEPPVIHRDLKCDNIFVNGNQGEVKIGDLGLAAILRKSHADHCVGTPEFMAPEVYAEAYNELVDIYSFGMCILEMITFEYPYSECTHPAQIYKKVISGKKPDALYKVKDPEVRQFIDKCLATVSYRLSAAELLNDPFLRTDNGEYDLRPVDYGRGLDDVCPLIRQPYLELHRSDSSFCTGYPYDYSFEASSESGYHPIDNGIELFEYCEGEHSEDVDISIKGKMSEDGGIFLRLRIADKEGRIRNIYFPFDVETDTALSVATEMVAELDMTDQDVTRIADMIDGEIASLVPEWRPGPGIEETPRFANQSYCHNCAPSTYNSASNGLMLRNHDGKNSEVAQCCGHRYASMHGRFEEIMYHADEPEHHTAEDAPNVSSHPDGLSYPEIWGHHESRELSSMSSRQSHSDEDYEKTDRPITDTDTKEIIMESKTAPNTRRTLRSLMNSLSFSETPSPPDINEIDVQQEMRWIKAKYQLELSKLRDQQLNLSSKSSSSEDRQQKMENATPRGNHNQILDSSGRDMNRSSTDSHVYINNSCYSTDMPKQRSRNRKAVESSIVDKVVTAKNACNGSLLPSSLHRTISLPVDAVHI >KGN61992 pep chromosome:ASM407v2:2:13410640:13410843:1 gene:Csa_2G279780 transcript:KGN61992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKSDDELDIIFLPFVSHGHLLPMVDVAMLFAKLGATATIVTTDANTALFHTKISRDRVAGSFLSF >KGN60979 pep chromosome:ASM407v2:2:3202728:3203122:-1 gene:Csa_2G033340 transcript:KGN60979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPFQFRYRSLCLILEVSSNILCGLNVKLLHTQGEKFLLNLQQLPNSLCKESVFPRFIFVSDLQVVIVVNLQSIRKGKIFLRNKLLKEYGFLHPVA >KGN62649 pep chromosome:ASM407v2:2:17580604:17582096:-1 gene:Csa_2G364580 transcript:KGN62649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGFFYLGGGREGPPNKQEEDEEEREQNLFLYRNDQEIYNKGFEIWPQQYNNNNNHQHQQPNPSLPNHLSFGVGPSRRSFMINDPSDEPSRSAFTLMRPAAAAFAAAAASAATAGGMNCQDCGNQAKKDCAYLRCRTCCKSRGFQCQTHVKSTWVPAAKRRERQQQIAALQHQQQQEQFRGGDNSKRLRETQTATAIAAAPTASASACPRLPSITSGLELAQFPSEVNSPAVFRCVKVSAMDDADEEFAYQTAVNIGGHVFKGILYDQGPELRYTAAGESSSGHGGDGSTHPLNLIAGPTSTSTSAATSTNPAAASASTAPLLDPSIYPAPLNAFMAGTQFFPPSRS >KGN61895 pep chromosome:ASM407v2:2:12651399:12655962:-1 gene:Csa_2G263900 transcript:KGN61895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVSTSLASRFSPLRFSSSNSDISHSLFHNVHSQIRLASSRKGSRGVVTMAGSGKFFVGGNWKCNGTKESIAKLVADLNNAKLEDDVDVVVAPPFVYIEQVKSSLTSRIEISAQNSWVSKGGAFTGEISVEQLKDIGCKWVILGHSERRHVIGEDDQFIGKKAAYALSEGLGVIACIGELLEEREAGKTFDVCFQQLKAYADAVPSWDSIVIAYEPVWAIGTGKVATPEQAQEVHAAIRDWLKKNVSSEVASKTRIIYGGSVNGSNCAELAKKEDIDGFLVGGASLKGPEFGTIVNSVTAKKVAV >KGN61790 pep chromosome:ASM407v2:2:11909019:11914006:-1 gene:Csa_2G246530 transcript:KGN61790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEKLIQRLESAVARLEALSTGFRPGGAPESGEDVVTDPSILAFDDLMGQYFARVLSAAEKIGGQVLEATKILKEAFSVQRELLVKVKQTQKPDLAGLAEFLKPLNEVIMKANALTEGRRSDFFNHLKAAADSLSALAWIAFTGKDCGMSMPIAHVEESWQMAEFYNNKVLVEYRNKDPNHVEWAKAIKELYLPGLRDYVKSFYPLGPVWSVTGKKTASAAPKASPPPKTSAPSAPAPPPPPPASLFSSEPSQASSSKPKVGMAAVFQEINSGKPVTLGLKKVTDDMKTKNRADRVGIVGSSEKRGPTASPSFSKTGPPKLELQMGRKWVVENQIGRKNLVIDDCDAKQSVYIFGCKDSVLQIQGKVNNITVDKCTKLGVVFRDVVAAFEIVNSNGVEVQCQGSAPTISVDNTGGCLLYLNKDSLGTSITTAKSSEINVLVPDAGSDGDWVEHALPQQFIHLFKDGRFETTPVSHSGG >KGN62694 pep chromosome:ASM407v2:2:17885938:17888634:-1 gene:Csa_2G368950 transcript:KGN62694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEREHLVYLARLAEQAERYDEMVEMMKNVAKLDVELTVEERNLVSVGYKNVIGARRASWRILSSIEQKEEGKKNEVNVKRVKEYRQRVEDELARICRDILTVVDKHLIPSSSSGESNVFYYKMKGDYNRYLAEFKSGPEREEAADQSMKAYESASSIASSDLPPTHPIRLGLALNFSVFYYEILNSPERACHLAKQAFDEAIAELDGLNEDSYKDSTLIMQLLRDNLTLWTSDLPDEGGEQSKAADEP >KGN63037 pep chromosome:ASM407v2:2:20113558:20118573:1 gene:Csa_2G385060 transcript:KGN63037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKELGNVVTERILPPTEQEVSNEIDVKVKKYMRGEGANLEVLKDKKLKGQLSAIEDLYGKSAKAAAEVEKWLMPSEGGYLETEGLEKTWRIKQETISHEVDILSRRNQHDIILPALGPYSIDYTSNGRYMAIAGRKGHLALVDMKDLNLIKEFQVKETVRDVVFLHNELFFAAAQKKYPYIYNREGTELHCLKEHGSVRRLQFLKNHFLLVSINKFGQLHYQDVTTGSMVGSFRTGLGRTDVMQVNPFNGVIATGHSGGSVAMWKPTSSAPLVKMLCHPGPVSALAFHPNGHLMATSGAERKIKLWDLRKFEVLQTLPGHAKTLDFSQKGLLAYGTGSFVQILGDFSGAQNYNRYMAHSMAKGYQIGKILFRPYEDVLGIGHSMGWSSILIPGSGEPNFDTWVANPFETSKQRREKEVRSLLDKLPPETISLNPTKIGTLMAVKKKEKKTKKERDAEEEAAVDAAKGITMKKKTKGRNKPTKREKKKHEIIEKAKRPFLHEQIKEEELSRKKSRLSEEVELPKSLQRFARKKTAT >KGN60509 pep chromosome:ASM407v2:2:126330:130090:1 gene:Csa_2G000180 transcript:KGN60509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLKWVLHWQPNAGSTVNSQILNEVSQCVESIHGVKGGKWKATLTFYKPILRDQNSPAAEFPRDFLGISLPEQPNKYYLIIRGQRIVLEADFTIQAIMEKLQSYKLRVALNFEGFQYQLGDFQLRVGKVVPNNSENLRGIVMEIEYLPISSMEKSRQVMEEFFDVWQEAMSKSSLPGRFMHIESNFAEYGLSDYYTSQHTAVQYGNVMAQLIATVQAVQSARN >KGN60683 pep chromosome:ASM407v2:2:1190695:1193332:1 gene:Csa_2G006290 transcript:KGN60683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTRESWFSVWIDRLLSCLGSIKPAPAISGNNLNSRMPSMSEDFWSTSTCDLDELLTLQSRQNSFISTTNHNSNHGGVIDNLSNHSDFVNHGFVLWTQTRLRWVGNCVPAKRTKKNHITGLSWYMTKELLLETRKPYHRRIPLSDMVDFLVEEWEEEGLYY >KGN61495 pep chromosome:ASM407v2:2:8816347:8824559:1 gene:Csa_2G147910 transcript:KGN61495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRCFPFPPPGYEKKSRAEDADLLKKEKSKEKKHKKEKKEKEKREGKEKRDKDKSDEKRREKKDKKKDRDKKKDRNKEKEKAHASEESKHSGKIGSQNGEEVVRVKHNSVQEEKHAGQFDSYVGNKISQNAFHSKETKHTKMVLEVGRRIEDSGTAKVEKFAVAQPRRDDGMVTQVGRISETLLETKDQSKNKKIDERKYNGQGLRHEERFSGSSAVPSSAPTSTATATATATATATATATVEPGVPGMFKQLEKSAERRKESNDKTRQKEGEERRSKHKDKDKEKKGRSNDKQGNKEKKKEKKAKEKVEEKNAMVDKTKEINKDNLLGRHSTNTNTSQLPDSNIVAAVEENLIKKRKDFEPNGVLHAIDNRSSKLLRPTSHSLKENGRILEPCLRSTLPPSERQAVSNDLILVSKERKINGIIEAHHPPASSKHRSGQVDHPQPPAMHKKSPHPDSKHLSQVYSVPKMEELSDSDSQDWLFSGNSSLAMKPKLEVSEAKEMPNVWADAMQIESADVYALPYVIPY >KGN63229 pep chromosome:ASM407v2:2:21488045:21488494:-1 gene:Csa_2G416195 transcript:KGN63229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQSIRAYWNNQRMARIKTMCPYFFGVVGIVLKFLGLSEALFEVTKKGLITDDGEIDEGLFIFDDSPLFVPGTTVLMMQLTALLTSIWRRPTEPGGVGEVICSVWLILCFWPFLMGMFRKGRYGLPFSTICKSSILTLLFVYLSQKTNI >KGN60620 pep chromosome:ASM407v2:2:764768:768213:1 gene:Csa_2G004700 transcript:KGN60620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNLKWVLLDSIKDCKNLRIFRQIHAQLVTSGLVYDDFVTSKVMEFFANFVEYGDYACDYLEQGNTRLGSFPFNSLINGYVGGEFPQMAVSVYRRMVRDGFVPDMFTFPVLLKACSNFSGSREGRQVHGVVVKLGLLADHYVQNSLIRCYGACGDFSCAGKVFDEMLVRDVVSWNSLISGFMKAGHFDEAISVFFRMDVEPSMTTLVSVLAACARNGDLCTGKGIHGVIERRFKVNLVLGNAMLDMYVKNGCFYEAKNIFDELPTRDIVSWTIMITGLVQSDHPKQSLELFSMMRTLGISPDAIILTSVLSACASLGTLDFGTWVHEYINQRGIKWDIHIGTAIVDMYAKCGCIEMALKIFYSMSQRNTFTWNALLCGLAMHGLVHEALNLFEVMIISGVKPNEITFLAILTACCHCGLVDEGRKYFDNMSKLYNLLPKLEHYGCMIDLFCRAGLLEEAVELARTMPMKPDVLIWGLLLNACTTVGNIELSHRIQDYILELDHDDSGVFVLLSNISAINQRWSNVTRLRRLMKDRGVRKAPGSSVIEVDGKAHEFVVGDISHLQTEEIYKVLNLINSVYHESH >KGN60990 pep chromosome:ASM407v2:2:3258271:3261937:-1 gene:Csa_2G033940 transcript:KGN60990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRVRNPNLLSLILLSLLAFASAKVFFEERFDDGWEKRWVKSDWKRDESLAGEWNYTPGKWHGDANDKGIQTSEDYRFYAISAEYPEFSNKDNILVFQFSVKNEQKLDCGGGYMKLLSGDVDQKKFGGETPYSIMFGPDICGYSTKKVHAILTYNNTNHLIKKEVPCETDQLTHVYTFIIRPDATYSILIDNVEKQTGSLYSDWSLLPPKKIKDPEAKKPADWDDKEFIPDPEDKKPEGYDDMAKEITDPDATKPQDWDDEEDGEWVAPTIPNPDYKGPWEPKKIKNPNYKGKWKAPMIDNPDFKDDPELYVFPKLKYVGIELWQVKSGTLFDNVLITDDPEYAKQVAEDTWGKNKEVEKAAFEEAEKKREEEEAKNDPVDSDVEDEDDDKSDDADADDSDAEADLDKKEDEDDKHDEL >KGN61147 pep chromosome:ASM407v2:2:4528172:4529518:-1 gene:Csa_2G059780 transcript:KGN61147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNETSITTELKLLTMMNALTMEMKLEIDQFYITLQFELIKAMSPCIIWIPNIHDLYVNKSSHLYFGLLVNYLYRDFERCSTTNILVIASTHIPQKVDPALIAPNKLNTCIKIRRLLIPQQRKHFFTLSYTRGFHLEKKMFHTNGFGSITMGSNVRDLVALTNEALSISITQRKSIIDTNIIRSALHRQTWDLRSQVRSVQDHGILFYQIGRALAQNVLLSNCSIDPISIYMKKKSCNEGGSYLYNWYFELETSMKKLTILLYLLNCSAGSVVQDLWSLSGPDEKNGITSYVLVENDSHLVHGLLEVEGALFGSSWTEKDCSRFDNDRVTLLLRPEPRNPLDMIQNGSSSIVDQIFLYQKYESKFEEGEGVLDPQQIEEDLFNHIVWAPRIWSPWGFLFDCIERPNELGVPYWARSFRGKRIIYDEEDELQENDSEFLQSGTVQNQRIS >KGN61200 pep chromosome:ASM407v2:2:5328497:5330024:-1 gene:Csa_2G069200 transcript:KGN61200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLVSVVTKFIFPMSPLAFGLTIFFVPLLTYIISSMASSSKLPPGPLSIPIFGNWLQVDKHKITCAMDHIIDAQMKGEISEENVIYIVENINVAAIETTLWSMEWAIAELVNHPEIQHKIREEIANVLKGKEVTESNLHELPYLQATLVSKFEMKPPSAMEKIDVTEKGGQFSLHIANHSTVVFNPINS >KGN60913 pep chromosome:ASM407v2:2:2739337:2741597:-1 gene:Csa_2G022820 transcript:KGN60913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLKWVFQKRSSHLPSWVTSLISPFRNQFHQNPFPETSSTFVLNHLDPSFLLSICGREGNLHLGSSLHASIIKSFELSNHYNGVVIMNSLISMYDRCGKLPDAVKVFDEMITRDTISWNALIGGFVRNGKFFAGFSYFKAMCLVGDCRFDKATLTTILSACDGLEFCWIIKMMHGLAFLSGYGQEITVGNALISSYFKCGCVGLGMQVFYEMGERNVITWTAVISGLAQNGYHEHSLKLFKEMMSYGSVEPNSLTYLSLLTACSGLEALKEGCQIHGLIMKLGIQSDLCIGSALMDMYSKSGRIGEAWKIFELAEELDMVSLTVILAGFTHNGCEEEAIQIFLKMLKMGIEIDGNVVSVVLGVFGADTSLRLGQQVHSFVVKKNFICNPFVSNGLINMYSKCGALDESMKVFDRMRERNSVTWNSMIAAFARHGDALKALQLYEDMQLEGAKPTDVTFLSLLHACSHAGLVKKGMEFLKSMTKDHGMNPRSEHHACVVDMLGRAGMLSEARNFIEKLPEQPGLLVWQALLGACSLYGDSKIGKYAAEHLFSETPDSPVPYVLLANIYSSEGNWKERARTIRKMKEVGTAKETGISWIEIDKKVHSFTVGDKMHPQTEMIYGVLWELFILMVDEGYVPDKKFILYYLDDDRRDPIHNGQATHQNAIETEVVWELF >KGN61330 pep chromosome:ASM407v2:2:6803735:6804598:1 gene:Csa_2G083760 transcript:KGN61330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSPSSSTSISSSHYNIHKLFLLCNYILLGAASSCIFLTLSLRLLPSVCGFFIIFLHAFTIAGAVSGCAMASASTGRWFGVHMVFTVLTAIFQGSVTVLVFTRTADFLGELKSYVREEDGSVILKLGGGLSGLIFCLEWVVLVLAFCLKYYMYVEGNGNGEGLKRSAKVQQFEDSTWAAPFPV >KGN62559 pep chromosome:ASM407v2:2:17114671:17115105:1 gene:Csa_2G360760 transcript:KGN62559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMSPQPYSVEKKIAGDQVEAEIETESAAAGAGADALGPAVTRHIVVKSSSSSSSYQSNQALEKAVVLRRIRQRKRVNKLRAAVGALFSSPFTDKTERKWVDEPFTSL >KGN63071 pep chromosome:ASM407v2:2:20442891:20453174:-1 gene:Csa_2G401310 transcript:KGN63071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSSSSVASPSRLNYALVSDIIPTHSLSSRKIQNISWFVDSNIIPCYSFPSLLKMAHQPPRKNPALHCSASLHSTETLPRKDEIAVHGVSEKIVGVLGGGQLGRMLCQAASKLSIKISILDPLVNCPASSLAYYHMVGNFDDSRTVQEFAKRCDVLTVEIEHVDVATLEILEQQGIDCQPRASTIRIIQDKYLQKVHFSQHGIPLPEFVQIDDLEDAKKAGVIFGYPLMIKSKRLAYDGRGNAVAKSVEELSSAISALGGFERGLYVEKWAPFVKELSVVIARGRDNSMACYPVVETIHKQNICHIVKAPASVSWEIKKLAMDVAYKAVNSLEGAGIFAVELFLTEDGQILLNEVAPRPHNSGHHTIESCKTSQFEQHLRAVLGLSLGDPSMMTPAAIMCNILGEDEGEPGFYIAHQLMQRALSISGAFVHWYNKPEMRRQRKMGHITIVGRFMSVVENLLASMLDEASEKPVVEHRVGIIMGSDSDFPVMRDAAKVLDTFGVPYEIKIVSAHRTPHWMFSYASSAQERGIQVIIAGAGGAAHLPGMVASLTPLPVIGVPVRASTLDGLDSLLSIVQMPRGVPVATVAINNATNAGLLAVRMLGVADADLLSRMSQFQEDTKKNVLSKAEKLERDGWDLQ >KGN62448 pep chromosome:ASM407v2:2:16526749:16532001:1 gene:Csa_2G354740 transcript:KGN62448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKNIRDREAGKLRPNSFANRVKSDRTSSLQLSNHKDIVSPHRGSVNSLQVDLTEGRYLLSGASDASAAVFDIQRATHSEGLIAKHQCIFAVDKQHEHGHKYAISSAIWYPVDTGLFVTGSFDHHINVWDTNTTQVVVNFKLPGKVYRTAMSSLATSHMLIAAGTEDVQVRLCDISSGAFSHTLSGHRDGVMSVEWSASSEWVLITGGCDGAIRFWDIRRAGCFRVLDQSQSQLGRRPPISGWTANKLSTSKSLLASQGSNMKSRIPHKKLTNGNATKPSSTGKLPAKGSTRQRLHPGLLSGQDRAVSHYGAVTGLKVTGDGMYLLSAGSDSRLKLWDVESGCNTLVNFETIRLQTNKPLQLATSQDSSLVFAPCMATVKAFDMWTGKTSLTFSGHYEGVNCCWYNFPDQELYTGGNDRQILVWSPSQNSTELDWESTADKDNWSD >KGN61440 pep chromosome:ASM407v2:2:8190285:8192691:1 gene:Csa_2G122530 transcript:KGN61440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPTFPSTTIHKTSSSSPSSINKKQKQQQQQEQGPRSPFHVLNAISFPTACNTSSIGSDASSTSTEAPRGCLRFFLPHSSASSKTPANKLKPSSKTPKSISNVRPIKPLRSKPLKENAPKPPVKLHSRAARPTSTKLDPLKKNSPCLYRWPSGKKPSSLCTHKSKMLASAGEESGKHGAHSVVRMVDDGKCEPSDLNLVPNDFNFTPMRKMENGSGFDPTVDNVVALENSNTDHSKTPPVQASISPELQCGSAIMPAVTPVCYGAGYVVSGISDKRKCRPRGLLIVGDNIASISKVKPIQIFEEDRSITKDTSNSVVFKVPSPIEASMNWLLSPCNEEDEDHKESKNASTQSKNLAESVALRSVPSPSSIDALPPDVYSPPEFQGFMEPLSFEDTSPSCARNSLNVILNEGRGQQRYQVNGENSPFSIDSLSSDNVIQTPQSDSNSAQKVFPPWLSADSCEKNDQNSASELFLNLPRDSSNAITSITDLSFQFDCLATISNSMDLHQFQKILEDQAFRNNNSSCEDLLESKMRVSWREGLMSRLYEMDEFDTCRCLSDEEENVDSCSISLSDIIKTPLEHTDCEVDPIVSNSSCSPGLLVNEEAEEYGKFKEMQSHQVPCSCAESISTDGGGLIASGDSDWNLCYRNGLFDSYQLSS >KGN61768 pep chromosome:ASM407v2:2:11702072:11705602:-1 gene:Csa_2G238840 transcript:KGN61768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISLQSPCFPHRIQFFSPKTFSGVGALRCRKPLLLRCTADSSSSPKPVDPDFDPKLFLKNFSRGENYNRKGFGHKEETIKLMNSEFTSEIVNTLNENGYQFTWGKVTVKLAGAYGFCWGVERAVQFAYEARKQYPSETIWITNEIIHNPTVNKRLEEMGVHNIPLEEGNKRFDVVNPGDVVILPAFGAAVDEILVLNDKKVKIVDTTCPWVSKVWNAVGKHKKGEYTSIIHGKYRHEETIATASFAGRYIIVKDMAEAACVSDYILGVEHNGSISTKEEFLKKFKSAVSKGFDPDIDLMKIGIANQTTMLKGETEEIGKLMEKTMMRKYGVENINEHFISFNTICNATQVRQDAMYELVKEDVDLMLVVGGWNSSNTSHLQEIAEARGVPSYWIDSEQRIGPGNSISYKLAVVEDVLKKVFDIKGDGNAQLQSLG >KGN60587 pep chromosome:ASM407v2:2:519695:523835:1 gene:Csa_2G000940 transcript:KGN60587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENPTENDPNPEFLALNCIDLSSSDVNLSVSLLKQACLDTGFFYVVNHGITEEFMDEVFAQSKRLFDLPLSDKMKLLRNENHRGYTPILDELLDPENQIRGDYKEGYYIGIEETNPGDGSDGKRPFYGPNVWPAEDMLPDWSKIMEKFHAQALEVARVVARLIALALGLEKEFFDKSEMLGKPTATLRLLHYEGQISEPAKGIFGAGAHTDYGLITLLATDENLGLQICKDKDAKPQVWQYVAPLKGAFVVNLGDMLERWSNCIFKSTLHRVLVSGQDRYSIAYFVEPNQDCLVECLPTCKSDKNPPKFPPIRCGTYLSQRYKETHVDLETYKK >KGN61704 pep chromosome:ASM407v2:2:11085319:11085706:1 gene:Csa_2G228400 transcript:KGN61704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIRNFSMGLISERVSIGSKLQSKMENLEASSLLLLYNWKYMFVRPYLFLIHKSGSVSW >KGN62939 pep chromosome:ASM407v2:2:19552506:19555037:1 gene:Csa_2G381650 transcript:KGN62939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEQATSSAAASSLPSSSERSSSSALHLEVKEGMESDEEIRRVPEIGGESAGTSASGRDTGSVAGPDRVQVSREGQRKRGRSPADKESKRLKRLLRNRVSAQQARERKKAYLNDLEIRVKDLEKKNSELEERLSTLQNENQMLRQILKNTTASRRSGE >KGN62255 pep chromosome:ASM407v2:2:15448463:15450853:-1 gene:Csa_2G345960 transcript:KGN62255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRCFPYPPPGYVRKVASTEAALIESIKLQSERQSKNDRKNEKRRHKKEKKEKSKNKKERSKDKKHKSKERKEHKGKSSRSQGLNDQKHDKCFKEVKDLDGSKVEAEQLERSGLTEEHGQPVWPQSPAYLSDGTQIDHKRKREAATQPDEGCKPGKIIRIKLASASSLSQQEDSSAGSEQMCSTSGRYNSVDQKTDGDSHGSIANAETAVTVFPTLSNPKTPLHPIRDSNSTDKVASVPSRKRSSAESAYEALFEKWVAPPLLLEQQTDDEEWLFGTTRKQDGRSSTMANNNALSTVSSCGRSSNLWPRGQYLVDADVYSLPYTIPF >KGN61004 pep chromosome:ASM407v2:2:3343975:3352617:-1 gene:Csa_2G034570 transcript:KGN61004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVISRKIFPACGNICICCPALRSRSRQPVKRYKKLLADIFPKSLDGPQSERKIIKLCEYAAKNPFRIPKIVKYLEDRCCKELRSEQVKCITIIADAYNKLLSLCKNQMAYFAGSLLKVIVELLDNAKHDDLRILGCQTLTNFIHNQADSTYMHTVENLVPKVCMLALERGEDHKKQCLRASSLQCISAMVWFMTEYSHIFLDFDEMVRVSLENYDPAPDGNSSSEPHHNWLNEVVRSEGRCGTVGGDASGSCTIIRPRPEKKDPALLTREEVEAPRVWSQICLQRMVDLAKESTTMRRVLDPMLVYFDSGRHWVPQQGLALMVLSDILYFMESSGDQHLVLASVIRHLDHKNISHDPQLKSCVIQVASNLARQIRSGAVLADIGSVSDLCRHLRKSLQVTVDSVGQQELDLNISLQNSIEDCLLEIAKGIGDARPLYDLMAIFLENLTSGVVARATIGSLMVLAHMISLAPISSDSQQAFPEALLVQILKAMLHPDIETRIGAHQMFSVLVFPSSSSHEHGTSIMQSSSPYKPTALHSNAASTSTSASITALLDKLRREKDGSKEEKTVHIHDNLKSLEEDWKQKRYHRNYPTFHKIQSIIDRKAKFSSSTEEELRIMKFSEDQLSQLLSAFWIQANLPDNLPSNIEAIANSFVLTLISARLKSQQDNLTVRFFQLPLSLRNVSLEPNHGTLSPSLQRSVFILSMGMLLFAAKLYHIPHLNHLVKSLVACDADPYLVIGEDLHIYLKPQADLREYGSVTDNELAQSFLSDLRNKVYEADNVIMDILAQNLSVITELDKSELAKLIFEAFTPDDPFLYGPRSMLDFRKNQSVTHSKESLSFDGDLSNFLVEDEVTSEASVADIARFIPRVPPSPSISHIMGIGQLLESALEVAGQVVGTSVSTSPLPYNAMASQCEALGTGTRKKLSNWLAHENQHTRAADGYCPPFPVSGHSAVEKIMADGRQLQGVGLQADRWMGMRLPPASPFDNFLKAAGC >KGN61415 pep chromosome:ASM407v2:2:7986079:7986306:1 gene:Csa_2G119370 transcript:KGN61415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVGKSTLGDPFSMNKDHSVANFRPTTTILSMMKSRKQHSRRQHVMSDIGRCRRNYRHRETSSSEVTLRNVSLKC >KGN63263 pep chromosome:ASM407v2:2:21819433:21819637:1 gene:Csa_2G419970 transcript:KGN63263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMSMSTSCGSGRSRVFEGEDEEEEDDEEDEDEEEEGEEEEEGGKGGVIADRIERVQLH >KGN62936 pep chromosome:ASM407v2:2:19537347:19537823:-1 gene:Csa_2G381380 transcript:KGN62936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIFFPTFTFLFFLTQAQKSPQDYISLHNKARAAVRVGPMTWNKTVAAYAQSYANKRKNDCALVHSTGPYGENIAVGYYPEFTGADGVKLWVGEKHLYDYASNSCKGGDCGHYTQVVWRTSVHLGCARVACKGKSQFVVCNYDPPGNYIGLRPYNSSM >KGN60998 pep chromosome:ASM407v2:2:3304439:3309881:1 gene:Csa_2G034510 transcript:KGN60998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFLPGTVSGKAKNQMDWSVNNAFKTFKDLEPKSMMDVSLIPHVEPIDIDLRSSDKGSPNTSAKPRKKTMTSVYLKFFETAADGKSRRCKFCGQSYSIATATGNLGRHLSNRHPGYDKSGAIVVSNPAPQPISTMKKSQPQGKPQQIDYDHLNWLIIKWLILSSLPPSTLEEKWLANSYKFLNPSIQLWPTEKYKAVFREVYRSMQEDVRASLEHVSSKISVTLDFWNSYDQISFMSVTCQWIDESWSFQKVLLDITHIPYPCGGLEIFHSIVKVLKMYNIESRILSCTHDNSQDAVHACHALKEHLDGQKVGPFCYIPCAARTLNLIIDDGLRPTKSIIAKVREFVLELNACLDISEDFVQFTTVYQEGNWKFPLDASVRWSGNYQMLDIVRKSGKSMEAVIRKYEETLGSKMLLNSAEKNVVNIVHQYLEPFYKTTNNICTNKVATVGLVLFFMDHISETIAACRDSRHNPDWLKSAAEDMAKKAKNYSSQVCNIFTYMTAILDPRIKGELIPESLNSGNHLEEARSHFMRYYSSNHFPSVTSGYSAQEIEDGGSVSFAEEIARKKRRASMSNATDELTQYLSEPPAPIPTDVLEWWKVNNTRYPRLSVMARDFLAVQATSLAPEELFCGRGDDIDKQRYCMPHDSTPALLCIKSWIQSGFKLKYKSSEIDYERLMELSATSTVDSSTAGSDKKSK >KGN60862 pep chromosome:ASM407v2:2:2458305:2459325:-1 gene:Csa_2G020850 transcript:KGN60862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTMMSFYKKSLHSFLSFTDNLSSKTSPPFNNSNPHLELHHALGLGLINSDHTNATQFNKSPNILESSSLIKPSLSSSRKDPGGVGFIDDVGGGVDGLMSCTESLGFESSDERLVNDELTTMEDNCGGWCMSRVRRRKVVAEERKFPPPLTSLNQHGHPNFYLRSVRKDGRLELTEVRIERTEILRACRGDGRLRLHLIKDEEQGEKDGGDREKEGGIEEEEEKDEKEEEEEEVEGLVKEGKMEFRRCVEMIKHQRRHEHHRSHHQHLDVWRQHCVTTS >KGN63320 pep chromosome:ASM407v2:2:22315438:22319669:1 gene:Csa_2G428920 transcript:KGN63320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVFNQAKVVGKEVFQVIQRIPATNDSLEEKKSTLKHIEGHIDIREVHFAYPSRPQKLVFQDFSLSIPAGQTVALVGSSGCGKSTVISLITRFYDPLQGDIFIDHQNTKDLNLKFLRTNIGIVSQEPALFAGTIKDNIKMGNIDADDKQIENAAFMANAHSFISELPNQYSTEVGQGGTQLSGGQKQRVAIARAILKNPKILLLDEATSALDSEAERLVQDALEKAIIGRTTILIAHRISTIVGADMIAIIEDGRVSETGTHQSLLETSTFYRNLFNLHSIKPLQDSSNSNSLSEPGSTHQEAQSSDLDQDEKPELENSKIDSMSQEEEKVKVKEMFFRIWFGLSKIEIMKTSFGSLAAALSGISKPIFGFFIITIGVAYYKKNAKQKVGLYSLIFSLLGLLSLFTHTLQHYFFGVVGEKAMRNLREALYSVVLRNEVAWFDKPENNVGLLTSKIMNTTSVIKTVIADRMSVIVQCISSILIATIVSFIINWRMALVAWAVMPFHFIGGLIQAKYAKGFSRDSADVHHELVSLASESATNIRTIASFCHEEQIMKRARISLEEPMRKGKRESIKYGIIYGVSLCLWNISNAIALWYTTILVSKRQASFEDGIRSYQIFSLTVPSITELWTLIPAVIKAIDILTPAFHTLDRRTLIEPEIPKGETTDKIEGRIDFQTVNFKYPSRPEVIVLKNFSLQIKAGSDVALIGPSGAGKSSVLALLLRFYDPEKGNILIDGKDIKEYNLRTLRRQIGLVQQEPVLFSSSIRYNICYGSDQVSEAEVLKVSKEANIHQFVSSLPDGYDTIVGEKGCQLSGGQKQRIAIARTLLKKPAILLLDEPTSALDIESERILVRALESINGNNGSRTTQITVAHRLSTVSNSDVIVVMDRGEVVEIGSHATLLTTPDGVYSKLFRIQSLVED >KGN62495 pep chromosome:ASM407v2:2:16776832:16778543:1 gene:Csa_2G356690 transcript:KGN62495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVMLDNCEGILLSLDSHKSVPAPFLSKTYQLVDDPSTDHIVSWGEDDTTFVVWRPPEFARDLLPNYFKHNNFSSFVRQLNTYGFRKIVPDRWEFANEFFRKGEKHLLCEIHRRKTAQPQLPYTHHHLGNINGPSFFPFSTRVSISPSDSDEQINWCDSPPVPPRATGTGGYSVMGSGIISNNSSTSNYNMMSSVTALSEDNERLRRNNSMLISELSHMRKLYNDIIYFVQNHVKPVSPSNSFPSLLLSHHPSTTNSQKPLNHFLGISPPNTTSTTTNHFSVPGTIVTTHFVSSPTATSKSAVTELDVGEADNNSCKTKLFGVAISSSKKRMHPDTTTNYVSICSNVSDTNKNSRLILEKGDNLGLNLMPPSTS >KGN62690 pep chromosome:ASM407v2:2:17867685:17871935:1 gene:Csa_2G368910 transcript:KGN62690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRPELGSSPSSSHQPFKPHRFSPSTANFNFFVPAGGLFLDPSLPSSFLNSIFPKSNSILDSGSVSVPASSFCHQEQPLFFGVFRSRKNPRIASCGFLSVSVRGHGFVREQKVHVDAYDTCKKAGKCGEDFAIVEKKHTVRTRGAMNTTKHLWSGAIAAMVSRTLVAPLERLKLEYIVRGEQRNLCDLVKKIAASQGLKGFWKGNFVNILRTAPFKAINFYAYDTYRKQLLKLSGHKNTTNFERFVAGAAAGITATVLCLPLDTIRTKIVAPGGEALGGVIGAFRHVIQTEGFFSLYKGLLPSILSVAPSGAVFYSVYDILKTAYLHSPEGRKRIQNMNQHQQGQELNALDQLELGPIRTLLYGAISGACAELVTYPFEVIRKQLQMQVQATRMSAFATCSKIVQQRGIPALYAGLLPSLLQVLPSAAISFFVYEFMKIILKVE >KGN63082 pep chromosome:ASM407v2:2:20512871:20518620:1 gene:Csa_2G401420 transcript:KGN63082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKKASEAKLQQRLSGADRKKLKRTVKERFPAASDADIDLLLPPKVEFSVAKFQNRVHIYSVEGGFPMFFDVDGRGNEIFPTVFALWMVPELLPAFFLKGGEVSRFVIGGADLMFPGIHVPAEGLPSFLSGEPWAVKVPGNASPIAVGVTTMSSTEALKAGLRGKALRILHYYRDTLWGSVEGHYVPNAGFLEDAVYGDPALASSSQIDDSYEDTETGDATNYGQNDSGNKEVGGSVDETTTDVLDEPGVVPTAQTDSTTDEIVSGVNDLKVVNDISTEEPNVQHTLSAEDVDKLLDKCLLQALHITVKDKDFPLPGSTLWSNHVLPCRPPGMMLDIKKSSYKKLSKWLQAKSSAGLITVKEDKHKKETVLYSVNRKHPDYTSFKPEKQQVEKIDQSNNHHGLKESSSNKMLEVAEIYKPSVHVNPIFVAVGADTSKLYTSAEATDIVFQYVEKEKLEKPTDKSRVVLDAILCDSLFKGAIKKGTTYPTEIHKRDIGSTFISRMQPHHIVTRGSESVVRKGALKTIQIMTERRQGNKKVTRLSGLETFLLDAEALASELQKKCACSTTVAELPGKKGYEVVVQGGVIDDLARHLIEQYGIPKKYIEVLDKTRK >KGN61383 pep chromosome:ASM407v2:2:7643777:7648451:-1 gene:Csa_2G108610 transcript:KGN61383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKPSSVDGRTRSSVQIFIVVGLCLFFYILGAWQRSGFGKGDSIAMEITKSGSDCNIVSNLNFETHHGGEAETNDSESQSKILEPCDAQYTDYTPCQDQRRAMTFPRNNMIYRERHCPAEEEKLHCLIPAPKGYVTPFPWPKSRDYVPFANAPYKSLTVEKAVQNWIQYEGNVFRFPGGGTQFPQGADKYIDQLAAVIPIKDGTVRTALDTGCGVASWGAYLLSRNVLAMSFAPRDSHEAQVQFALERGVPAVIGVLGTIKLPYPSRAFDMAHCSRCLIPWGGNDGTYLMEVDRVLRPGGYWVLSGPPINWKTNYKSWQRPRDELEEEQRKIEDIAKLLCWEKKFEKGEIAIWQKRVNADSCPDRQDDSRDIFCKSPVSDDVWYEKMETCVTPYPSVESSDEVAGGKLKTFPSRLYDVPPRISSGSVPGISVEAYHEDNNKWKRHVKAYKKINKLIDTGRYRNIMDMNAGLGSFAAALESSKLWVMNVVPTIAEKNTLGAIFERGLIGIYHDWCEAFSTYPRTYDLIHAHGLFSLYKDKCNMEDILLEMDRILRPEGAVVFRDEVDVLVKVKKMIGGMRWDAKMVDHEDGPLVPEKVLIAVKQYWVVGGNNSTSH >KGN62236 pep chromosome:ASM407v2:2:15314069:15314605:1 gene:Csa_2G338800 transcript:KGN62236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTACFIIVSRNNIPIYEAEVGSAVKREDSAQLHQFILHASLDIVQDLAWTTSAMFLKAVDRFNDLVVSVYVTAGHIL >KGN62952 pep chromosome:ASM407v2:2:19610934:19614954:-1 gene:Csa_2G381780 transcript:KGN62952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTFFNPAPSCNVAVISSSFLRFKFIPKFPTTIPSSSVSTVSFRAKTTVNCSLPAPGHASVQTDFCFLKKREFVFSAALPFLLILHESVEGFKAEAAEGRRLDKTEVERIREEVRKVVTKGRAPGLLRLVFHDAGTFETNDTSGGMNGSIVHELDRPENKGLKKSVKILQEAKSTLDLIRPVSWADVIVVAGAEAVSICGGPSIAVDLGRLDSEKPDPEGKLPEESLDAVGLKQSFSRKGFSTRELVALSGAHTIGGKGFGSPVVFDNAYFKILLEKPWSSNGGMSSMIGLPSDRALADDDECLRWIKEYAKDQNVFFEDFHNAYIKLVNSGAKWRS >KGN63329 pep chromosome:ASM407v2:2:22360863:22361291:1 gene:Csa_2G429020 transcript:KGN63329 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase, M48 family MSFHLLLHHRSPTHNNDIVAVVSRASPSSPLSLIPSFQQAEGCSTSKTARNSESFVSQSNQSSLRKPPHLRHIPSSKLI >KGN60708 pep chromosome:ASM407v2:2:1358597:1359033:-1 gene:Csa_2G008010 transcript:KGN60708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMWEKLKECLMSIAEKGNCILVTSRSSGIEEMIEEKLVFSHFANANEVPMTSNFKFVRDELVKKIGGLPLLAKVLGAAVQLSSDHEQWTNGQLKAFEIFT >KGN62227 pep chromosome:ASM407v2:2:15196414:15199086:-1 gene:Csa_2G336740 transcript:KGN62227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGDASLEDRVVSICYLKMSSNKGRGKTFNSSSNNERSPKGNNVSGTSTTTPDHLKQDVADVSLEPGQADGDWEVYAKKSRNKAGANASKQWGAQNSSPKSTETAQTSLRNGGGRGKVPGKNWQPQYSDARRTAGRGNAWSQSRAPDVNYTGTPAVIRPPLEHGWNWQSRAGSSQSRVLEDGQHIDEHNSNSNLNDENERDDNVDDNDDDSEDLEDSDDDALSDDFDSDASEKSFETRKKSRWFKKFFEILDSLTVDEISEPARQWHCPACQGGPGAIDWFRGLQPLMAHARTKGSKRVKLHRELAELLDEELRRKGASVIPAGELFGKWKGLKDGDKDHEIVWPPMVVIMNTKLEKDENDKWIGMGNQELVEYFSLYLPVKARHSYGPQGHRGMSVLIFEPSAKGYLDAERLHKHFIEQRTDRDAWNRAGRNLFYPGGKRQLYGFMALQEDLDIFNQHMQGKSKMKFELRSYQEMVVDQIRQMSADNQQLSWLKNRVVKERNKAKAYEESLGKVCEKLRKTKEENRIVRQRTLMQHEENIEEMQMQEQFFKEQIKLLHDKRDEKEENFERLQQEEREKMKQTNGSRSNTDGYRNRAEDLAKFIQFQEKEMAEFVVEREELIKAHEDKMAAMKRRHWDEEVVLEEEFNAELTQLMEKYTRVLKMQKHS >KGN62269 pep chromosome:ASM407v2:2:15522278:15522754:-1 gene:Csa_2G346590 transcript:KGN62269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMRLVGKYLNLSSIHKNFLTCSKIGFHPRVLHNGPDTVEELLDRHVVKKEKFFDDEDDKLITQRRLTTTRREALSLYRDILRATRFFMWPDSRGVLWRDVLRENARKEFEDARLESDPEMVTRLLIGGRDAVQSALDKLAEKQREEIDKKQGGRDQL >KGN61225 pep chromosome:ASM407v2:2:5555545:5555834:-1 gene:Csa_2G070910 transcript:KGN61225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKVLKGSDCDVVAIPLWGVCNYRNLFKQSGNSLERNILLNKISFHVEEFSGVGGDGQASLRRESLRSHEIWVPPDICYCFWKFYF >KGN61838 pep chromosome:ASM407v2:2:12287853:12291059:1 gene:Csa_2G249920 transcript:KGN61838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVLIDWVKKTMLTKFETKSNRVKGLSFHTKRPWILASLHSGVIQLWDYRMGTLIDRFDEHEGPVRGVHFHKSQPLFVSGGDDYKIKVWNYKTHRCLFTLLGHLDYIRTVQFHHEYPWIVSASDDQTIRIWNWQSRTCISVLTGHNHYVMCAAFHPKEDLVVSASLDQTVRVWDIGALRKKTVSPADDVLRLSQMNADLFGGVDAVVKYVLEGHDRGVNWAAFHPTLPLIVSGADDRQVKLWRMNDTKAWEVDTLRGHMNNVSCVMFHAKQDIIVSNSEDKSIRIWDATKRTGLQTFRREHDRFWILAAHPEMNLLAAGHDSGMIVFKLERERPAFAISGDSLFYVKDRFLRYYEFSTQKDSQVIPIRRPGSTSLNQSPRTLSFSPTENTILICSDLDGGCYEFYTIPKDSFGRSDSLQDAKRGLGGSAVFVARNRFAVLDKSHNQVLLKSLKNEVVKKVPIPITADAIFYAGTGNLLCRAEDRVVIYDLQQRIILGDLQTPFVRYVCWSNDMESVALLSKHAIIIANKKLVHQCTLHETIRVKSGAWDDNGVFIYTTLNHIKYCLPNGDGGIIRTLDVPIYITKGRNSAVIHY >KGN61470 pep chromosome:ASM407v2:2:8541945:8543690:-1 gene:Csa_2G138740 transcript:KGN61470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDSNYNARLGDFGLARWLEHELEYQNRVPSMGHHQFRLVETTKIGGTIGYLPPESFQRRSIATAKSDVFSFGIVVLEVVSGRRAVDLTCPDDQIVLLDWIRKLSDDGTLLLSGDNRLPDGSYNLIEMERLIHLGLLCTLQSPQYRPSMKWVVEALSGGMMGSLPALPSFQSHPQYISLSSPTDGNTTRSTSSSRTTTTRSDATTTSVSSSDFVSANGETIYMTAENGNNYTNSSDRFLDRSKTIQMIETPRVISFKEIISATNNFSDSQRVAELDFGTAYHGFLDSSHHVLVKRLGMKTCPALRERFSNELLNLGRLRHRNLIQLRGWCTEQGEMLVVYDYSADRLLSHLLFHQDNRALQWCHRYNIIKSLASAVLYLHEEWDEQVIHRNITSSAVILDLDLNPRLSSFALAEFLTRNEHGNHHVTIDKNKSVRGIFGYMSPEYLDSGDAVATADIYSFGVVVLEVITGQMAVDFRRPEVLLVRKVHEFLARKRPLEELADIRMNGEYNHKELMRLLRLGIACTHSNPDSRPKMRQIVKILDGSDECFTMEEKMESLEGWKQRNATSLSLVKRIQALGIQ >KGN61154 pep chromosome:ASM407v2:2:4653812:4654541:-1 gene:Csa_2G060350 transcript:KGN61154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRKMVRKGRRVFSIEPSKVAYTMFERNYVKHLMTSLVKISHQQQQQNFRKLVKFEVDMAMAQSASEFSWGIALKKKLLQRDQQVLGNGNENGFDFSVQTVKKTSQENLGNEEEDHHHEEEEEEEEEMENGLMKLRKIIPGGDNFSIGGNLDEEDDLLKQTESYVKCLELQVNVLRGLVETNTFF >KGN61913 pep chromosome:ASM407v2:2:12787491:12788095:1 gene:Csa_2G264570 transcript:KGN61913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSFFTSFTHSPLPPTPASSSPSPSLHMPTSSVLASTTGVRFTRSSFYEVLGIPMTASSREIKAAYRKLARTCHPDVVAENSAEEFIKIQTAYSTLSDPDKRADYDREICRAQLLSVSGLSSRTPVSGYSGYYTRRNWESDQCW >KGN60745 pep chromosome:ASM407v2:2:1592194:1594166:1 gene:Csa_2G009340 transcript:KGN60745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFALLSTSSSAAATTVALLPPHHNHRRLRSGDITLPRRSLLLLSTSLSLSTAVNPPPSLASPSPDTTITDRVFIDFSICPTNFLPNRESTDDGGDSLLCSDSVLLGRLVIGLYGHLVPTTASNFKSMCTGSCGSSYKGTLVHKIFPGQFFLAGRQGRRDKGEVRPPLQLPRNTESVKSDSFLLSHSRGGVISLCLSENDDDDDLKLDPNYHNVEFLITTGPGPCPQLDSKNIVFGTVLEGLDVVAAISSTPTYKPSERIRQFNDLAKFLGDERAQNARTIWNRPLQTVYISDCGELKVAKPSLSPTLP >KGN61001 pep chromosome:ASM407v2:2:3325492:3329287:-1 gene:Csa_2G034540 transcript:KGN61001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFQIAPMLFQGFSIIPYLTQISYIGMDGLFFSYYTDKNQTFAVYANSTFTAKFYPHPRREYSWLTQLANSTTGELYGNMTEILPLVTSNTSWFRDALNSNQGCASIGTKWSSNHERLFLNTVRVNGSKGVVSFGFSFKTFIDLFFTSIERQGGRLYLASNEGEILVLGSQDIKMVLVNGSATFQFLNPNGGEIARLGNISCQARKEDFDPKDSFFNLLGTNYNIYCYPVEILGVQLVYSLVLPQKELASLIFKSSRMGLILLILIMAITIITVFIFVFIVIRGTKREMHLCAKLIQQMEATQQAERKSMNKSVAFTRASHDIRASLAGIIGLIEICHNESTPGSELDISLKQMDGCTKDLLGILNSILDTSKIEAGKIQLEEEEFHLGQLLEDVVDLYHPVGVKKGIDLVLDPYDGSIIKFSQVKGDRGKLKQVLCNLLSNAVKFTSEGQVTVRAWVKNLPTMQNNMISSNHNDEILKYFSFLVCNTSTFQEQQAMDNGVNLNPGCMEFTFEIDDTGKGIPKEKRKLVFENYVQVKETAFGQGGTGLGLGIVQSLVRLMGGDIAILDKEIGEKGTCFRFSVLLLVLEDNVNTGDDTRQPSPTSKLTFWAPTTSLHSPRAIRTTSSKTETSRVILLIQNDQRRLICKKFLESLGVKVLAMKQWEQLLTTLQKILDKQSHSMHNSRGRSGTSSPSDCLSKSTSGDSRNGLNMHISSGARKDETNYFLSVFKKTNLRGGNSFILIVIDARAGPFKEICNMVANFRRRLQGSHCKVVWLLENQMSRISNDKGIDSNIYKSNDVFISRPFHGSRLYEVIRLLPEFGGTLETGESSTSYWSGNVSKDLSSSPYQCQSKSKEGNSPIFRGHIETRVQKETTSSNGTSPKNLSTNQIHSCIGSKTRSSPIVEQKSLHQEIREEKYKHSSGEKPLSGKKVLVAEDNLVLQKLARLNLERLGATTEICENGKEALELVCNGLGNQRKHGASNTLPYDYILMDCEMPIMDGYEATREIRKVERYYNTHIPIIALTAHTTGEEAGKTIEAGMDVHLGKPLRKEKLLEAITCIHSK >KGN61645 pep chromosome:ASM407v2:2:10523324:10524514:1 gene:Csa_2G200440 transcript:KGN61645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNVTFHNDLNLEATELRLGLPGIVSERDDSSATSSAVKPNNKRNFQNDSAPPPKAQVVGWPPIRSFRKNTLQVKKTEATTTAVDGGGIYVKVSMDGAPYLRKIDLSVYKGYPELLKALEDMFKFTIGQYSEREGYKGSDFAPTYEDKDGDWMLVGDVPWQMFISSCKRMRIMKGSEVGGLSCGV >KGN61807 pep chromosome:ASM407v2:2:12040283:12040606:1 gene:Csa_2G248650 transcript:KGN61807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKKQKKGNESLTLEEALKAQRIRKNGDSKVTELKMKKNTIERLGHPLTSGR >KGN61920 pep chromosome:ASM407v2:2:12859140:12859535:1 gene:Csa_2G270130 transcript:KGN61920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQPKKPWSITETLDGSKELGLKLDAAEMLFESSFLLNYFPEQSGKAKITMFDVVSEKSFKATMERKSASNQFLITWKKDFVKNINYKNGDEILLYWEPQIKSLCFEIVKCSALRTYPQMSGQYRSYNYSS >KGN62456 pep chromosome:ASM407v2:2:16580173:16580865:1 gene:Csa_2G354820 transcript:KGN62456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAPPPFHFDEVSFLQPLKPWAKRKRSRRPSLDDSPSEEEYLALCLIMLARGGGGGGGSIRSLPEPTMSTKSLYKCPLCDKAFSSYQALGGHKTSHRKPTTADDQSTSLSGTTSNTTSNSSGKAHVCNVCHKSFPTGQALGGHKRRHYDGGANAAVNHQSYSGMTLTSSEGVGSTHTVSHSHRNFDLNIPASPALSQKLFFPGDEEVESPLPTKKPRFLWIPEPEISLN >KGN61719 pep chromosome:ASM407v2:2:11295350:11295634:-1 gene:Csa_2G232490 transcript:KGN61719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGTTVDPKITRFHVAYWIINDSSLSLAQRVVELEPPESVDLDSLPLSRAVKYAKMALRNPINSLNRRHSSVRRNAQVLEEIEDTTPVPSMLSP >KGN60837 pep chromosome:ASM407v2:2:2213336:2226936:1 gene:Csa_2G012680 transcript:KGN60837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRVGSAGNTSNSSRPRKEKRLTYVLSDANDSKHSAGINCLAVPKSSIDGCDFLFTGSRDGTLKRWSLAEDVASCSATFESHVDWVNDAVLVGNNRLVSCSSDGTVKTWNSLSDGGCTKTLRQHSDYVTCLAAAEKNSNVVASGGLGGEVFIWDLEAAYAPSAKSNDATDDECSNGIIASGNSLPVTSLRTISSSNNISTHPNQSHGYVPIAAKGHKESVYALAMNDSGTLLVSGGTEKVVRVWDPRTGSKTMKLRGHTDNIRALLLDSTGRFCLSGSSDSMIRLWDLGQQRCVHSYAVHTDSVWALASTPSFSYVYSGGRDLSLYITDLSTRESLLLCTGEYPIQQLAIHDENIWVATTDSSVHRWPAEGRNPQKVFERGGSFLAGNLSFSRARASLEGSTPVPVYKEPTFTISGAPAIVQHEILNNRRHILTKDAAGSVKLWEVTRGIVIEDYGKVSYEEKKEELFEMVSIPAWFTVDTRLGSLSVHLDTPQCFSAEMYSADLNITGKPEDDKVNLARETLKGLMAHWFAKRKQRFGSQASANGDVLSAKDTSARSLSHSRLEADGNAENDSMVYPPFEFSTVSPPSIITEGSQGGPWRRKITELDGTEDEKDFPWWCLDCVLNNRLPPRENTKCSFYLHPCEGSSIQILTQGKLSAPRILRVHKVVNYVIEKMVLDKPLDNLNPDVPFGPGLSSTVGDVSFRSGLKPWQKLKPSIEILCNNQVLSPDMSLATVRNYIWKKPEDLVLNYRVVQGR >KGN61663 pep chromosome:ASM407v2:2:10711729:10716520:-1 gene:Csa_2G222060 transcript:KGN61663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAGAATFRSILDKPLNQLTEDDISQLTREDCRKYLKEKGMRRPSWNKSQAIQQVISLKALLEPCDDSGAGALRKVVVSPRINSNQGDSPKEPSDDAQVTMSVDESAYSNVETAKSTPEDPPVEPENNVTSPRDQYDTNGVDGQMTIFYCGKVNVYDGVPPDKAWAIMHLAASPIHFPQNHPMSGTAACQSPPCLLQTSSDRDDFLPPSATIYRNVHTEKLGEHPQQQQHAKGTSMRDSDVEGQASRKVSLQRYLEKRKDRGRLKNKKNTGLSSPSLEGYMNHQMRTHISNKNLGQIVTSSLSPTGVAKAFVGPADNQPKLACFSVDLNVKDIQEC >KGN61408 pep chromosome:ASM407v2:2:7912704:7921506:1 gene:Csa_2G118310 transcript:KGN61408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFNQSRSDKNEGYTQYRKSGRSNNFNPQRGSSGTHSKPGGAGGSAPTPSIASNRSFKKTNNAQGGQSRGGLPTVNSSDSSNAPNPRGVQNGAVAKPPEGPHSQRSTRDVPKAPTSQSTPLSSDGPAPTTPAKGTGDQPKEFAFQFGSISPGFMNGMQLPVRTSSAPPNLDEQKRDQARHESFRPVPPMPIPLAPKPQTQRKDTGAGDQPNVGQQLQQKDAGIINQPNTGDAHTVQKAKKDMQASPNHPTNQTQKPTTPMSGISMTMPYHPPQVPVPFGSPNQQMQSQGLTPSSLHMSIPVPLQIGSSPQGQQPMFVPGLHPHPMQPQGIIHQGQGMGFATQIGSQLPPQLSNLGINVTSQYPQQQGGKFGGPRKSAVRITDPKTHEELIFDNKQSNAYADTGTSGPRPQYNLPSQTQSLPYAPSHAMNFYPNSYNPNPLYFASPSSLPLPSGQSAPNSQPHRFNYQVSQGSQNVPYIDMHVKKPSGGPMHGISDPPNREHTRDTHTFQPPAPSGTVHVTIKMPADPTGGKGSDTLPNKFPTTEEGKSQKPSSPSLELIPPSQRAVDTTLESSLHDLKLGREPSGMKSSPVVSKQSTDGPPMVSLDSQDSSSAQSSLTAASEESELAVAHSEGRRENLLRSDLHKDHQKKTSKKGYAQSQHQISGQASSALGLPGQVQDTTSPSLVSEAVEAKSLIISAVVEGKSVSVSAVTSDPLESKDAVLVSVAHSSSPENPGLGNVKNLDLISDDKQDTSSKEKHSEPVELKIEEQGQVTSSEPPADLKNSENVLDHDVAKFVEVAEKTERSLIVSSATVSNEVLSSETALRAVDEPVSCNAGADVSASVSSSSTVPENSQGDKVVVDSSGGEDNMSSNEVLKKGVKSDQPSEPALNPELSEGKNDGEVLDTVGTGANSSQGVSGVKDKSVVETTRVKSTTGKGKKKLKAILQMADAAGTTSDLYNAYKRHEEKKETVAHSEGIERTESRSSSVDTEQESNEAIKEDAGALSKAEPDDWEDAADIATPKLESANGDGVGTSMLDSGDRTGDMAKKYSRDFLLKFAEQFLDLPHNFEVTPDIESLMSSHANVSHHHDRDPYPSPGRVDRPSSGGSRLDRRGSNLVDDDRWSKLPGAFAPGQDPRLDLAYGATAGFRPGQGPNFGVLRNPRAQAPVQYASGILAGPMQSMGPQGLQRNNSDADRWQRATNFQKGLIPSPMTPLQTMHKAKKKYEVGKVSDEEETKQRQLKAILNKLTPQNFEKLFEQVKAVNIDNGRTLTGVISQIFDKALMEPTFCEMYANFCFHLAGELPDLSEDNEKITFKRLLLNKCQEEFERGEREQEEANKVEEEGEVKQSEEEREEKRVKARRRMLGNIRLIGELYKKKMLTERIMHECIKKLLGEYQNPDEEDVEALCKLMSTIGEMIDHPRAKDYMDSYFEIMTMLSNNMKLSSRVRFMLKDAIDLRKNKWQQRRKVEGPKKIEEVHRDAAQERQAQTGRFGRGPSINSSARRGGPPMDYGPRGSVVSSPGNQMGGFRGFLHQPRGYGGNQDARQDERQSYEARTLSVTSQRAGGDDSITLGPQGGLARGMSIRGPQPSSAAPADISPLSGDLRSAPAASLNGYSSASGRANLTSKEDLISRHMPERFAGPTSMDHISGPERYSNYGNKDLRHSGRSFDRSRPISPATPPGPALTPNLPSEEGLSEDQLEKLSLTAIKEFYSALDEKEVALCIKELNSPAFHPTMIRLWVTDVFERTDLERDLLAKLVVNLSRASNGTLNQAHLVKGFEEVLGNLEDSVNDAPRAPEYLGQILGKVITESMASLREVGDLIYQGGEMPGSLLQSGLAADVLGNILKTIRTEKGEGFLTDLRTNSNLRLETFLPPDPVKSRVLEEFI >KGN61950 pep chromosome:ASM407v2:2:13099928:13101794:-1 gene:Csa_2G271430 transcript:KGN61950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAQTNTQRSFSKLSKSLPTLKHLFDHDPFTNKPFGLTNIVLQDEILSIISFCSSMALPTTSHELKRFKLTHLFTVIKTSKKPLHRDVLSSVMSLISINLFRPLPPPSPAFPITSSTLAEENEVVVCSTIPSLNWLQLEVVYDILLWLVINIDTQTLEKHIDKTFLLGLLSLFDSDESKERESLKNVFHQMYYKFTKYRSFMRKAMSGVFLEYIFETERHNGIAEMLEIWGSIINGFAVPLKEEHKVFLKRILIPLHKVKGMQSFNKQLSYCVYQFVEKESELGGFIVRKIMKYWPQANSQKEIKLIEELEDLVEKLDPKLYRDLALPFCSHMTKCFKSLNSMVAERALYIWNSEAFVTMVYSAMEEVFPVIIRGMENIITSHWNENIKELAHNVKAILQEMNPILYHKTLHQNRFKYFEATQIHRL >KGN61925 pep chromosome:ASM407v2:2:12887823:12890433:1 gene:Csa_2G270180 transcript:KGN61925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFLSTSLSSFFFSFLLLLSTVSSHSPLKTFIVRIDRFSKPSVFPTHYHWYTSEFTQSPQILHVYDTVFHGFSATLTQDQVDSIGKHPSVLAVFEDRRRQLHTTRSPQFLGLRNQRGLWSDSDYGSDVIIGVFDTGISPERRSFSDVNLGPIPRRWKGVCETGTKFTAKNCNRKIVGARFFSKGHEAGANAAGPIIGINDTIEYRSPRDADGHGTHTASTAAGRHSFQASLEGYASGIAKGVAPKARLAVYKVCWKNSGCFDSDILAAFDAAVNDGVDVISISIGGGDGVSSPYYLDPIAIGSYGAASKGVFVSSSAGNDGPNGMSVTNLAPWVTTVGAGTIDRNFPSVVTLGNGRKIYGVSLYAGAPLNGTMYPLVYPGKSGVLSVSLCMENSLDPKVVTGKIVICDRGSSPRVAKGLVVKKAGGVGMILANGISNGEGLVGDAHLLPACAVGSDEGDAMKAYASSSTNPTATIAFQGTIIGIKPAPVVASFSARGPNGLNPEILKPDIIAPGVNILAAWTDAVGPTGLDFDKRKTEFNILSGTSMACPHVSGAAALLKSAHPDWSPAALRSAMMTTASITDNRRQPMTEESTGKPSTPYDFGAGHVNLGLAMDPGLIYDITNTDYINFLCSIGYGPKMIQVITRTPVRCPTKKPLPENLNYPSIVTVFSSLSKGWSTKSFIRTATNVGPSNSVYRVKIEAPKGVTVKVKPSKLVFSTTVKKQSFVVAISADNQNLALGDVGAVFGWLSWSDGKHVVRSPLVVTQLEPL >KGN61339 pep chromosome:ASM407v2:2:7090354:7090616:-1 gene:Csa_2G093810 transcript:KGN61339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDGDRGITVRRRWLKTRDDAETEEQELAQLGWRTTRPDSSRERLENGELTRLARVRVSGWANVRRSDLHSGEGGGMA >KGN62817 pep chromosome:ASM407v2:2:18764465:18765277:-1 gene:Csa_2G374590 transcript:KGN62817 gene_biotype:protein_coding transcript_biotype:protein_coding description:DREB-like protein MSSSKEQSPSSETESSSSSSSDSNKKPKRINSNSNSNSNSKLAVYRGVRMRNWGKWVSEIREPRKKSRIWLGTFPSPEMAARAHDVAALSIKGNSAILNFPDLVHLLPRPVSLAPRDVQAAAAKAAHMHNLSSNANTNNHNTNSNSSSAFSDELSEIVELPALGTSYDEGVGVGGEFVFVESELESAAWLYPPPWVQSLEEDYDDGDGDGDCGKLGMGFVSNGFKGFLFDY >KGN62136 pep chromosome:ASM407v2:2:14495245:14496027:-1 gene:Csa_2G301540 transcript:KGN62136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIASISTNYLYDELHQVFHYSRKLLLHSSLHKLSFTTTSAAPPSAVHDQSEFYSGGDSTTFDTNVVMVLSVLLCALICSLALNSIIRCALKCSRLIVSNDHRHRLTPTSTGVHKKAIKSFTVVQFSLDLNLPGLDPECVICLSEFATGDKLRLLPKCNHGFHVKCIDKWLSSHSSCPKCRQCLVQTCEKIAGVASASASASSSSSGHSPSPPPPPSVVVDLAPLEPEAPVRNLRL >KGN62362 pep chromosome:ASM407v2:2:16007847:16010890:-1 gene:Csa_2G350450 transcript:KGN62362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAAQRIRSSSAFSSTIRFLQLWNRGVFVHGHALSSSTAGSPDKSLLDLKEVETILNKVKADDVKVIPVRKDCDWADYMVFATGRSTWHVKNIAQALIYQIKQKQKGARQLVLPSVEGQEEGKWIVIDSGKVVVHALDENARSYYNLESLWSTETPPDDSAQDLDRALVKIRPKNNSKKKPTQKTP >KGN62142 pep chromosome:ASM407v2:2:14526140:14527371:-1 gene:Csa_2G302090 transcript:KGN62142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKPHNLFITIPTHFLCPISLDLMQSPVSLITGVTYDRSSIQRWLDSGHNTCPATMQVLTNYDFVPNSNLKRLIQIWSDSLELDHILTVVDGLRTNGNASVSLSELLCFGSRLEKNVKFLGRIRGFVPVLLDVLRCRDVDCSELVVRVLDLVRCEIEDRVEFMNLMLKSDRDCLRSLVLVLQRGSSESRIGTVRLLECIAINAESKNLIAENEGILHELIEVIGIDEDPKLIESVLSCLIPISMPKRVKIKLVRLGVIKALTRLLKHQNASVGVTEKVLRLLAAAAAVEEGRWEMMENGGECVGRMVRKVMKVSSAATEQAVTALWCICYLYREERAAVAAAEAKGVEKILLLMQSHCPATVRAMAKDLLKTFKGYSNIITFEYQII >KGN61434 pep chromosome:ASM407v2:2:8141469:8143071:-1 gene:Csa_2G121990 transcript:KGN61434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPPKRKKWTEAEERTLIDKYGEMLSDGTLAKMKTREKKFRPIANYVNSVHHVQDSLAYPWQWTWKDVSTKVQNMRHQYLLVKQKIKKPESGVENSGGDSKEDYDWMEGVTYWSNFLRYKDVFGDVAAANSSYNDLTVVSSSDRGNVDQFLEVSREMDMLDFAHMGHSGAGNFTAGIDGVDNEVMGLGFEFDGDEAEENFNDNDQLKEDGDNSFFCEEVDPKKNNFKKKRKVMKRLEKKAWGFLVNQLGRLKDMEAQFEKREVDREQERRRWECLRYEMEKKWEQKWEEGEAQRAEREKARDKLRKQRIQEWEAMEKLRMEMERRREEKLNHEREWEERISKRRLEWKNRIDNMLNQHRVEMNQIQTRILHEQQNLTGQLLGIVSQWTAHTSALSDHTSASNHYISQMMQNLHHVNGIVHNGTRVEADNQDDQFIVDG >KGN62258 pep chromosome:ASM407v2:2:15456375:15459001:1 gene:Csa_2G345990 transcript:KGN62258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTNSTSSHLQSLLAFSFSFLALFHLTAHAQSLPPPKFDGFVYGNHSLDFNTIHIEAFFDPVCPDSRDSWPPLKKALDHYGSRVRLVIHLLPLPYHDNAYAASRALHIVDLVNPSDTFKLLEAFFGDQKQFYNAETRYLSRAAIVDSMVKFGVEVLGDSYKNTLVTGFNDRETDLLTRVSFKFSTSRGVYGTPFFFINGFLAPDKGSPLNYTEWRNLIDPLIKKNKRSGSQHLSL >KGN62886 pep chromosome:ASM407v2:2:19220752:19223876:1 gene:Csa_2G379170 transcript:KGN62886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLRLPSFCFSTISYYHFLNSLSQSSLRSLADFRLPFASLRRPIDCRRTIKFHSKVKEFQRMVVLTTKLLGQGTGSFPLLTAHKFSSPRKKFETTKLSKATNSETNTKKANLSVKKREKIRLPSYSGQGRTYHIKEFLNHPSGIEAMLNKNALKSFQLLDANTYRCTLPKLQLLNFEAAPTLDLRVIPTDEDFTVEMLSCKFEGSELVERQNEHFSALMINHLTWDTIDSNSYLEVDVKLNLSLEIYTLPFTLMPTAAVENPGNLMLQALLDNLVPLLLRQLMQDYEKWISQQLDHSQLSIS >KGN62422 pep chromosome:ASM407v2:2:16372156:16374023:-1 gene:Csa_2G353500 transcript:KGN62422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSTSGFQCETMKCSFGVSSSQPLIGVDTSFSSTLGSSSTTPLCELSTASLENEGVKTISNILHDPSTLSFDMFGTGNFSDMVGSFGFLTEADHSQIANIKCPFSYVQILDDEEMVSPKNDAKSRPDCVSENQRKFVLGSNSSSPNKNAEDNFNVEPTEILDKADKTQKVEHRLSANFNTKPDSKKAKGGSQNVQAPKENYIHVQARRGRAANNHSLAERVRREKISERMKLLQQLVPGCHQITGKTVVLDEIINYVQSLQQQVEFLSMKLASVGLESSLEAEQILLTNNSYLSSSNVLCKRGENVNDPRSRIIHTSPHVTFQLYGTTPTMPRTTSPSTF >KGN62983 pep chromosome:ASM407v2:2:19773660:19774839:1 gene:Csa_2G382560 transcript:KGN62983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPRSSPYAACFRPSDQHPSPPSPPPPPMSSQSNLTTALYQTPTAAFSLTWSRTLFSRTLHLTSDRSHSCCLHLNSFAFWKKSGSKHLPGSPSLRLFWDFSRARFGSAPEPRSGFYIALLVDNQMTLLVGDLVKEAYAKTKALKPKNPQSLVLKREQVVAHKIYSTKAKIGGRIRDIQIDCGYNDDTRLCFAFDDVKVLEIKHLKWKFRGNEKVDVEGIPVQISWDVYNWVFGDEKDHGHAIFMFRFDEDDEELRTETPSFQRNGMEHWSINNHSRRMRMSSSSSSLSMSSVGSSAGSSSVLDWTTVEESELGGGPSTFSLLVYAWKK >KGN61649 pep chromosome:ASM407v2:2:10591325:10594316:-1 gene:Csa_2G211450 transcript:KGN61649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIRGKMKIQPIDIDPPTGRVAIRADPGKPVLKSRLRKLFDRPFPNVLKNSTAEKPIAPGEAAQFIINKDGLSEFEPSSICLAKMVQSFIEESNEKQLSVATAVKNGRNRCNCFNGNNNDSSDDESDDFGGGFGETVAIGSSGADVYDLLKSLILCASVAERNLLADTAKIVEKNNKIHKRKDDLRKVVTDGLSSIGYDASICKSKWEKSPSHPAGEYEYIDVMVEDERLVIDIDFRSEFEIARSTGMYKTILQLVPNIFVGKTDRLGQIASIVSEAARQSLKKKGMHFPPWRKAEYMRAKWLSPHIRSKPPNPSVKENEMMNMNENENNEESPTETDCGELELIFGDEATMITSSESNSIASSPPPQEGLYGGKKAAVTVTAWQPPAIKPKSLDRGAKIVTGLASILKENP >KGN62148 pep chromosome:ASM407v2:2:14554805:14559056:1 gene:Csa_2G302150 transcript:KGN62148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIETISNLSKSTSFNKSASFNRRPLSSGSPRVTRVSWISRWYHVFVVVGFLVSSLVVISAGYIHVLPGLTLSSHNNDVLKSSYLNETSNGSCNVYEGSWILDDGYPLYNATECPFAEKGFDCLGNGRVDQNYLKWRWKPTNCEIPKLDVQNVLEMLRSKRVVFVGDSMSRSQWESLICLLMSGVEDKRTVYEINGNKITKRIKYLGVRFSSFNLTVEFYRSVFLVQEAQMPRHSPKRVKSALRLDELDSISSQWIDSDVLVFNTGHWWVPGKLFETGCYFQVGHSLKLGMSIPTAFGVALRSWASWIEENINTNRTHVFFRTFEPSHWKDHTFKYCSLTRQPVTDAGDRVRSIFSDTILEVAKNMKVPINVLHITSMSAYRSDAHVGKWSNNPSVPDCSHWCLPGVPDVWNEILLSYLLTEYDTFFK >KGN61151 pep chromosome:ASM407v2:2:4572915:4575799:-1 gene:Csa_2G059820 transcript:KGN61151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGALFPNRCPLPVSRPIQPNQTLKFNSTTLPPPLPPPSPPSSSIPIDTLLQHLLHLSLSPNDSAHKLKPVNVAKKNVAHLPSLQISMDSTKKRRDGAQLKKLVLNSAPQFEYSDKEIRDGPLQFLSKKGICLLNSIAAEPFDSLNALFDSVKSELLEVDIVSLLKALDVLGKSERAILLFEWVVSNSVSGDVKLDSKAVELMIRILGRESKYSIALKLLDKIPIDKYSLDVRACTTILHAYSRNGKYKQAIAMFERMKDCGLSPSLVTYNVMLDVYGKMGRSWDKILDLLDEMRNEGLQFDEFTCSTVISACGREGLINEAKEFFVELKSSGYEPGTVTYNALLQVFGKAGIYSEALNILKEMEDNNCTLDSVTYNELVAAYVRAGFYEEGATVIDTMTRKGVMPNAVTYTTVINAYGRAGKEVKALQLFNQMKKSGCVPNVCTYNSILALLGKKSRSEEMIKILSDMRINGCPPNRITWNTLLAMCGDKGKHKFVNHVFREMKNCGFEPGKDTFNTLISAYGRCGSELDAAKMYDEMMKAGFTPCATTYNALLNALARRGDWKAAESVLLDMRNKGFKPNETSFSLMLHCYAKGGNVRGLERIGKDIYDGQIFPSWVLLRTLILANFKCRAVRGMERAFEELMKNGYKPDMVIFNSMLSIFAKNNMYERAQKMLDLIRESGLQPDLVTYNSLMNMYARRGECWKAEEILKGLIKSGESPDLVSYNTIIKGFCRQGLMQEAIRVMSEMTTRGICPCIFTYNTFVSGYAGRGMFAEVDEVISYMIQKNCKPNELTYKIIVDGYCKARKYQDAMDFIFGIKNIDDSFDNHSTQRLASHVRDMMNT >KGN62069 pep chromosome:ASM407v2:2:13980042:13981338:1 gene:Csa_2G295940 transcript:KGN62069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSNSPCAACKFLRRKCTQECVFAPYFPPDQPQKFANVHKVFGASNVAKLLNELNATQREDAVNSLAYEAEFRLRDPVYGCVGLISVLQHKLKQMQTDLYNAKKELASYIGPQAMLPILQPQTTPSPYNHHLSPMMGIAPSPSPSPSVPGSSQQMIIRDSAVTTPAHHHHHHLQQQQQQLFEAQQLAAAVAAREQEMFKAYEHKQSQQQQRQQELLSFNNNNVNNQMGASNLTLGTFVDNSYQQIQQHDHQNSSSHPLQVQLFLQPQQTQQQLEQQHHHHHQSHKPESTTHADDGRINNNNINIGPSC >KGN63005 pep chromosome:ASM407v2:2:19931933:19936406:-1 gene:Csa_2G382780 transcript:KGN63005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNPSTVVQENGSVSDFISQLGYFAFSSRFLNLNSEGCSGSSSHSLYLNGFENYRCVKRPPRSGASLSLSSRGSSSLRRFVNEFNNVIKFHCHKPPLGFASLGGVSDETNGIRDDGFGVSQDGALPLNKIEAENPKRVLILMSDTGGGHRASAEAIKAAFNEEFGNNYQVFITDLWTDHTPWPFNQLPRSYNFLVKHGTLWKMTYYVTAPKVIHQSNFAATSTFIAREVAKGLMKYRPDIIISVHPLMQHVPIRILRSKGLLNKIVFTTVVTDLSTCHPTWFHKLVTRCYCPSTEVAKRALKAGLQPSKLKVFGLPVRPSFVKPIRPKIELRKELGMDENLPAVLLMGGGEGMGPIEATAKALSKALYDENHGEPIGQVLVICGHNKKLAGRLRSIDWKVPVQVKGFVTKMEECMGACDCIITKAGPGTIAEAMIRGLPIILNDYIAGQEAGNVPYVVENGCGKFSKSPKEIANIVAKWFGPKADELLIMSQNALRLARPDAVFKIVHDLHELVKQRSFVPQYSG >KGN62818 pep chromosome:ASM407v2:2:18773892:18777785:-1 gene:Csa_2G374600 transcript:KGN62818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSDPKSTLSKLKPDGGSRLKLESSDHKKKIDSSIKNSIPSSKHKSVSLVTKAEVKSKTISSSSKTTTKTTTTTTATAKVREKKVFNLPGQKYDPPEEREPLRIFYESLSKQIPASEMAEFWMMEHGMLSPEKAKKAYEKKLRRQKEQRTGTPIKSASAKPLSRPESSQRPQPPSKNGDIKAKKKIVNDSDDDDDFILSPKRRKM >KGN60710 pep chromosome:ASM407v2:2:1384375:1389713:1 gene:Csa_2G008030 transcript:KGN60710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFAERIETKNTLLPLLHKQVGNDELKPPTAGSTMTKKGAYAAISYMASAVLLLMFNKAALSSYNFPCANVITLFQIMCSCTLLYALRRWKIISFTVTGESQSVSVGRSMILVPFRTLVKTLPLALSYLFYMVVTMESVRGINVPMYTTLRRTTVAFTMIAEYLLTGQTHSPFVVTSVGMIILGAVVAGSRDLSFDTYSYAVVFVANICTALYLASIARIGKSSGLNTFGLMWCNGVICGPLLLLWITIRGDVETTLNFRYLFSIGFQCVMLLSCIMAFLINYFVFLNTTLNSALTQTVCGNLKDVFSIGVGWFLFGGLPYDFINIVGQSFGFMGSCLYAYCKLHGK >KGN62165 pep chromosome:ASM407v2:2:14645462:14645734:-1 gene:Csa_2G302310 transcript:KGN62165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEKKKMVQMGKKRNGHIKFVIQLLKSLSFWVLSRLLHNTNFISLCFIPLCLSHLFRCEVDYGVFNSSFTYAVYFSLDVNFSSTSEMVV >KGN62627 pep chromosome:ASM407v2:2:17449045:17452197:-1 gene:Csa_2G362400 transcript:KGN62627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALCWNHPAISLKPTQSSENHAFLTPKSSSLLFHCRAVMNSSNPESKPSPLKIQNRMFILGMGFVGQFFAQELKYSGWAVSGTCRNLGQKMQLEGRGFDVYVFDANDPVQDTLKAMKYHTHLLISIPPDVDVGDPLLHHEKLLRTTLQGGDLRWLCYLSSTSVYGDYGGAWVDEDNPTNPLSQSGKLRIEAEERWINLGNDLGLSTQVFRLGGIYGPGRSAIDTIIKQRSLSERQQRRARRQFTSRVHVQDICQALKACIQRPSSRRFYNIVDDDPAPREEVFSYARDLVEKKWPGKFDTLSKVVEESDITNGRGRGDKRVCNARMKRELGVSLVYPTYKSGLQSILDQMGDEEPL >KGN61555 pep chromosome:ASM407v2:2:9635328:9636618:-1 gene:Csa_2G169790 transcript:KGN61555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQTMGRNMAAPLLFLNLIMYLILLGFASWCLNRFINGTTYHPSMGGNGATPFFLTFAMLTAVLGIASKLAGLYHIRAWRSDSLAGAGSTSLLTWAVTVLAFGLACKQINIGGHRGWRLRVVEAFIIILTFTQLLYLLLLHAGIFSRRYGPGYWDTDYGMGGGTAAPGEPPKGTTGTRVV >KGN61597 pep chromosome:ASM407v2:2:9980697:9985233:1 gene:Csa_2G174140 transcript:KGN61597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQQPSKDELVYQRASNGDVEGIKALAAEGAGLEWVDREGKTPLIVSCTKPEPYNVAKTLLELGANVNAFAPGRNGGTPLHHAAKRGLENTVKLLLSYRANTSIINDACQTALEVARAAGHKNVVRAIENHISLFSGWMREFYGPEFLEVLAPHFLSRKVWIVVLPCGSRNPMKPTKLELAVYASLQDAQPRLVIPLWKSYLEEHRLQESDPSVVIVDNTPNLTPRGGRRRRRSCHTSWEARCRFRKSKTGRLRLAPANEHDRQQLQWFCDACKGIPQPKVQSTASHDYKSPINIATISPGAEDPELMMAINASIQSAMQERGQLHHNPHSSSSEAGASSSGALPQPAPPKTSSSKWTVHEASHDACAETPNDPVPVIQIMHPPNAVPTAPPVVANTFEASTGTVDYPLVDSDSANVIPSSSVGNEGKQSNGNSTCVICLDSPVEGACVPCGHMAGCMSCLNEIKTKNWGCPVCRTKINQVIKLYVV >KGN63337 pep chromosome:ASM407v2:2:22410872:22412649:1 gene:Csa_2G431070 transcript:KGN63337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLVFQVQRSQPQLIPPSDPTPHEFKQLSDIDDQEGLRFQIPVIQFYRHDPRMAGTDPARVIKEAIAKALVFYYPFAGRLREGPGRKLFVECTGEGVLFTEADADVSLEQFGDALQPPFPCLEELLFDVPNSSGVLDCPLLLIQVTRLKCGGFILALRLNHTMSDASGLVQFMMAVGEMARGATAPSVRPVWQRALLNARDPPKVTCHHREYDEVVDTKGTIIPLDDMAHRSFFFGPSEIAAIRNALPSHLRQCSSFEVLTACLWRFRTISLQPDPEEEVRVLCIVNSRSKFNPPLPTGYYGNAFAFPVALTTAGKLCQNPLGYALELVRKAKADVTEEYMKSVADLMMIKGRPHFTVVRSYLVSDVTRAGFEDVDFGWGKATYGGPAKGGVGAIPGVASFYIPFKNKKEERGILVPLCLPAPAMERFVKELDALLKAEKSIDRVENQKPLFIASAL >KGN61007 pep chromosome:ASM407v2:2:3374634:3381355:-1 gene:Csa_2G034600 transcript:KGN61007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIGYTLALSQPYSFSCFKFSIREVSLLSHSSRVRYRFVSCRRLKNLRQTCISSSSRLQRVISKCSITNSDVQFDQVSVEDDVQEALSSVEADCSLAIVQLNSGFLEADTLTLQTEPLSLLTEGTYVDSLLTTLPVLSEEEQNVLAATPAHPAGLYDTHTHTHQTLYASCIAGNLVEQLWNFAWPSAIALLHPSLLPVAVMGFFTKLALIVGGPLVGKFMDNFPRVPAYTCLNCVQAAAQLLSASMVIYAHTVPHTAASSSILLQPWFVTLIFAGAIERLSGIALGVAMERDWVVLLAGINRPIALAEANAVLSRIDLLCEIVGASLFGIILSKYDPVTCLKCAAGLMLWSLPVVVLLTWLTNQLSTGVLDRAKCLQTCCGDPTEVTSPVNVGVEVIKNGWKEYLQQPALPASLAYVLLYFNAVLAPGSLMTAFLTQQGLSPSIIGGFSGLCAFMGVTATFVSANLVRQFGILKAGAVGLIFQAALLTVAVAVYLSGSLSRQSPLLFFLVMIVLSRLGHMSYNVVGQQILQTGIPSSKTNLIATTEVSVASLAESIMLGVAIIANDTSHFGFLAMLSLLSVVGAAVIFCQWLLNPTDEQRKLFSFSSQFEMS >KGN62206 pep chromosome:ASM407v2:2:15055757:15057812:1 gene:Csa_2G335550 transcript:KGN62206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKQEEEQHQEQEGCDIEAIGITYKIQIHNSKSPFLNFFSTSKPSLRHRHVLSDVNCQAKSSQILAIVGPSGAGKSTLLQILAGKTTPHGGSLLLNHLAVDPSYINRISGYVPQKDSLFPLLTVEETLTFTARLRLSLPSAVLTAKVTSLIDELGLTHVARSRVGDDRVRGISGGERRRVSIGVEVIHDPKVLILDEPTSGLDSTSAFQIIDMLKTTMAEAQRRTIILSIHQPGFRIVKLFDSILLLSNGSVLHHGSVEHLGLNLTLIGLQPPLHLNILEFAIESIETIQQTPNQTQLLIPHSQLKPPKFTLQQLFQQSKVIDEDTIKIGIHKSIPHHFANSPFKETAILMHRFSKNIIRTKELFGCRTVQMLVTGLVLGSIFYNLKFDLIGAEERVGLFAFILTFLLTTSIEALPIFLQEREILMKETSSGSYRVSSYAIANGLVYLPFLLILAILFSIPLYWLVGLNRNIIAFLHFMLLIWLILYTANSVVVCFSALVPNFIVGNSVISGVMGSFFLFSGYFISNQEIPKYWIFMHYISVFKYPFEGFLINEFSRSGKCLEMMFGECVVRGEDVLKEEGYGEESRWRNVMMSMLQENWFCLTEMKKNEDEEDEED >KGN61312 pep chromosome:ASM407v2:2:6540519:6571499:-1 gene:Csa_2G079640 transcript:KGN61312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSLDLLNSISGLVSTPSTKKRIRIFLNQIPAIFKNSEAYEEFALQLVDIIFSTLFIYDDRGSREAVDNVIIKALSEITFMKSFAAALVQSMEKQSKFHTRVGCYRLLKWSCLLVYSQFSTISKNAFSRLGSAQATLIHILMEGSFRERRACKQTFFHLLSQSADICKMYIDEVNDTRIPYKDAPELLTLLLEFSNTLPPLFENFKPTFLDLYVNSVLNAREKPTKNLSEAFRPLFGHMLHDDLQSVVVPSSVKMLKRNPEIVLDSVSFCLQSVTLDLSKYAIEILSVVSPQARHTDENRRIGALAIVRCLAGKSSNPDTLEAMFNNVKAVIGGSEGRLAFPYQRIGMFNMMQELAHAPEGKRICSLSQLVCSFLLSCYRGEGNEEVKLAILSAIAAWAARSSDSIQPELLSLFTSGLKEKETLRRGHLRCLHVISKNSDVVARISSLLVPLIQLVKTGFTKAVQRLDGMYALLLVGKIMAIDIKAEETVSKEKIWSLVSQNEPSIVPVSMASKLSVEDCIACLDLFEVLLVEHSRRVLDTFSVQLLSQPLLFFLCHPSWDVRRFACSAVGKLVAGAPELSEALLLEFANFLSTVGEKLHFSKISDTENSLDSQIPHLLSTEVLVKSLFVISRVATITTSRDSFLIMLCSHHPCLVGTAKRDSIWKRVNKCLQAHGLSFIGTVSTNIENLCKGILGPQGLMNTAIDRREAAIYSLCTLMTIAPKEVYTEFEKHFENTSDRHSHNMLSENDIQIFQTPEGMLSSEQGVYVAESISSSISKESKKNSSSNNSIRREPTSRESSGLGKKDAGKFAKKPDKGKTAKEEARELLLREEASIREKVRKIQKNLSLMLRALGELAISNTIFAHSQLSSMVKFVDPLLRSPIVNDVAYETLVKLSRCLAPPLCNSALDIATALRIIATDGDHLLLNMIPSVGEAEANGSSSLGILERIVTALSVACRSGSLPIDTFTFIFPIMEKILLSSKKTGLHDDVLRVLYLHMDPLLPLPRLRMLSVLYHVLGVVPAFQGSIGPALNELCLGLRPDEIASALNGVFAKDVHVRIACLKAVKCIPAVASRSLPENVEVATSIWMALHDPEKSVAEIAEDIWDRYGYDFGTDYSGLFKALSHANYNVRLSASEALAAILDEYPDTIQESLSTLFSMYIHDASSGGGTVDAGWFGRQGIALALYSAADVLRTKDLPVVMTFLISRALGDPNSDVRGRMINAGIMIIDKHGRESVSLLFPIFENYLNKKASDEEKYDLVREGVVIFTGALAKHLAMNDPKIDAVVDKLLDVLNTPSEAVQRAVSTCLSPLMQSKQDDGPALVSRLLDQLMKSEKYGERCGAAFGLAGVVKGFGITSLKKYGIASVLRDALADRNSAKCREGALLAFECLCETLGRLFEPYVILMLPLLLVSFSDQVVAVREAAECAARAMMSQLTAQGVKLVLPSLLKVTIISVVTFLII >KGN62675 pep chromosome:ASM407v2:2:17761336:17763934:1 gene:Csa_2G368270 transcript:KGN62675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNVLDVRVLANRYFAQLNLCCPQNLSSYSLARTVHGHVIASGFKLRGHIVNRLIDIYWKSSDFVYARKLFDEIPQPDVIARTTLITAYSALGNLKMAREIFNETPLDMRDTVFYNAMITGYSHMNDGHSAIELFRAMRWANFQPDDFTFASVLSASTLIFYDERQCGQMHGTVVKFGIEIFPAVLNALLSVYVKCASSPLVSSSSLMASARKLFDEMPKRNEFIWTTLITGYVRNGDLTGAREILDTMTEQPGIAWNAMISGYLHHGLFEDALTLFRKMRLLGVQVDESTYTSVISACADGGFFLLGKQVHAYILKNELNPDRDFLLSVGNTLITLYWKYGKVDGARKIFYEMPVKDIITWNTLLSGYVNAGRMEEAKSFFAQMPEKNLLTWTVMISGLAQNGFGEQALKLFNQMKLDGYEPNDYAFAGAITACSVLGALENGRQLHAQIVHLGHDSTLSVGNAMITMYARCGIVEAARTMFLTMPFVDPVSWNSMIAALGQHGHGVKAIELYEQMLKEGILPDRRTFLTVLSACSHAGLVEEGNRYFNSMLENYGIAPGEDHYARMIDLFCRAGKFSDAKNVIDSMPFEARAPIWEALLAGCRTHGNMDLGIEAAEKLFKLIPQHDGTYVLLSNMYASLGRWNDVARTRKLMRDRGVKKEPACSWTEVENKVHVFLVDDTVHPEVLSIYNYLEKLNLEMKKIGYIPDTKYVLHDMESEHKEYALSTHSEKLAVAFGLMKLPQGATVRVFKNLRICGDCHNAIKFMSKVVGREIVVRDGKRFHHFKNGECSCRNYW >KGN61168 pep chromosome:ASM407v2:2:4794001:4794585:1 gene:Csa_2G060490 transcript:KGN61168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCISHRSSSTAAAAADRVQVVHLNGHVQHFHSPITARQVAGRPPPPAEYFICTAAQLVSTAASPALNPDVVLQPGKVYFILPLSTLHPDVSLADLASIARRLTAAAKSAAKSGSLPPCEAADGGEDWRCTTAGKSRQWRPLLDTIREKPGNNCGRIDSDLER >KGN61516 pep chromosome:ASM407v2:2:9060762:9061155:1 gene:Csa_2G151070 transcript:KGN61516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYSAGRRICNGLHDAKPVFLMALTQSVYAGVNVLYKLAINDGMNLMILIAFRFLFASLFMLPLAFFLERKKRPKMTWSILFYGFFCGLFG >KGN61606 pep chromosome:ASM407v2:2:10086755:10087324:1 gene:Csa_2G176690 transcript:KGN61606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFPIGKTQTRKATMFPKYFLLLLLAVVLLTTTQALKHPFNSNQERIPSTDLTHGKLPTTITDPNEESKFFRRPSPYKKPYKRPPYKKYPPYKKRPPYKKYPPSSH >KGN62607 pep chromosome:ASM407v2:2:17335304:17336641:-1 gene:Csa_2G361710 transcript:KGN62607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSFKSSGNSSSSWTLKQNKKFEDALVLYPEDTPDRWQKVARAVGGKTPEEVKRHYDILLQDLMHIESGKVPLPNYKPIAPNGSMYDDEQRLMKNLKLQ >KGN62790 pep chromosome:ASM407v2:2:18600136:18613773:1 gene:Csa_2G372850 transcript:KGN62790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFHSRSISLLFLVILVLVTVFRFVLSSTADDEFSILDYDAFLFHQDYSPPAPPPPPPHPPSVSCTVDLDGVGSLDTTCQIVNDLNLTHDVYIAGKGNFYILPGVKFNCLKPGCSITINITGNFTLSNDSSIFTGSFELAACNASFLNGSVVNTTALAGNPPSQTSGTPQSVDGAGGGHGGRGACCLTDKSKLPEDVWGGDAYSWASLQKPSSFGSRGGSTSKEVDYSGKGGGKVKLNVADLLVIDGVVLADGGDGGTKGGGGSGGSIYILAHKMIGNGKISACGGDGYGGGGGGRIAVDIFSRHDDPQIFVHGGRSLACPENSGGAGTLYDAVPRSLTISNHNLTTDTDTLLLEFPNQPLMTNVYVRNNARASVPLLWSRVQVQGQISLLSGGVLSFGLAHYASSEFELLAEELLMSNSEIKVYGALRMSVKMFLMWNSKLLIDGGGDSGVVTSLLEASNLIVLRESSVIHSNANLGVHGQGLLNLSGPGDWIEAQRLVLSLFYSIHVGPGSILRGPVDDATKNAVTPKLYCEDKDCPVELFYPPEDCNVNSSLAFTLQICRVEDITVEGLIKGSVVHFHRARTITVQSHGMISASGMGCTGGVGRGNAIGNGIYSGGGYGGRGGVGCFDNNCVPGGISYGEADLPCELGSGSGNDSLASFSSGGGIIVMGSLAHPLSSLLIEGSVTSDGDNFNGTAGVKKLTDIQESTGPGGGSGGTILLFVHTMALRSSAILSSAGGYSLANGSGGGGGGRIHFHWADIPTGDVYQPIASVKGHIGTRGGTAGELGGGGEDGTVTGKACPKGLYGTFCEECPAGTFKNVSGSDRSLCRQCPPDELPHRAIYVSVRGGIAETPCPYRCISDRYHMPKCYTALEELIYTFGGPWLFCGLLLGLLVLLALVLSVARMKFVGVDELPGPVPTQHGSQIDHSFPFLESLNEVLETNRAEESQSHVYRMYFTGPNTFSEPWHLSHSPPEQLKEIVYESAFNTFVDEINAIAAYQWWEGAVYSILSALAYPLAWSWQQWRRRLKLQLLREFVRSEYDHACLRSCRSRALYEGIKVAATSDLMLAFVDFFLGGDEKRTDLPPRLNQRFPLALLFGGDGSYMASFSLHNDNILTSLMSQVLPPTTWYRMVAGLNAQLRLVRRGQLKSTFLPVVRWLENVANPALRNHGICVDLAWFQATTCGYCQYGLVIYAAEDISPPAIRSYHEYEQYDQTSRVKDIPRENQSLHSREETHIRQDHISSEGRARRKKSYGGILDVSSLQMLQEKRSISCILSYVLHNTKPVGHQDLVGLVISMLLLGDFSLVLLTLLQMFSFSLADVFLVLFILPLGILLPFPAGINALFSQGPRRSAGLARIYALWNITSLVNVLVAFLCGYVHSKSQSSKHPSYQPWTINMDESEWWIFPAGLVVCKFLQSRLINWHVANLEIQDRSLYSNEFDMFWQS >KGN62610 pep chromosome:ASM407v2:2:17350178:17353107:-1 gene:Csa_2G361740 transcript:KGN62610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENGQKSKVLIIGGTGYIGKFVVEASAKAAHPTFVLVRESTIADPVKAKLLESFKNLGVKFITGDLYDHEGLVKAIKQVDVVISTVGQMQLADQSKIVDAIKEAGNVKRFFPSEFGVDVDRLHAVEPAKSALAVKANIRRAIEKEGIPYTYVVSNCFNGYFLPTLMQPGLTSPPTHKVVIPGDGHPKAIFNLEEDIGTYTIKAVDDPRTENKILYIKPPNNTYSFNDLVALWEKKIGKPLEKLYVPEHQILKDIQEAPLPLNVILGLNHSIFVKGDETNFEIEASFGVEASKLYPDVQYTTVDQYLSRFV >KGN61050 pep chromosome:ASM407v2:2:3640212:3644602:1 gene:Csa_2G035500 transcript:KGN61050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDNLMDKVNALGERLKISGTEMSRKMSAGVSSMSFKMKELFQGPNQGDKLAEDATAETLEEPDWALNLEICDMVNSEKINSIDLIRGIKKRIMLKNPRIQYLAMVLLETCVKNCEKSFSEVAAERVLDEMVKLIDDPQTVVNNRNKALMLIEAWGESTSELRYLPVYEETYKSLKSRGIRFPGRDNESLAPIFTPARTVPVSETEAIYAEDFQHDIPVQTFTAEETKEAFDVARNCIELLSTVLSSSPPQDNSEDDLTSTLVLQCRQSQLTIQRIIETAGDNEALLFEALNVNDEVQKVLTKYQELKKPPTVQREPEPAMIPVAVEPDESPRHAKEDSLVRKPATSRGRSLGGSSDDMMDDLDEMIFGKKGGSGSDRGHDPKKPDSSKDKDLISF >KGN61034 pep chromosome:ASM407v2:2:3517941:3518159:1 gene:Csa_2G035340 transcript:KGN61034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKEVLLHSPLREKPKCCDVKVSLKFSGNIWLERNDRIFGDTEKSDKEGWKR >KGN60661 pep chromosome:ASM407v2:2:1058428:1060317:-1 gene:Csa_2G006070 transcript:KGN60661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFNDMRASVIPPPLHSYGSNGDASGCWSQFPRNDPFDPQSQFEVQQPPYKRARNSEENPSSPISYSNPSLNSRGQPPNAPVNKGISNIFFKTRMCAKFKLGLCRNGASCNFAHGVEDMRQPPPNWQEIVGIKEDDQSVNNWNDDQKIIQKMKLCRKFYNGEECPYGDRCNFLHEDPAKFRDDSGRFRESSAISIGTTGTPLMNGNDSSSYIEPSRVTSCSVSDALRANGNVRPSFWKTKLCTKWEITGHCPFGDKCHFAHGQSELQLYIGREGEVSTAALNVKPLIVAMEASSSLTTNVVPSSALPEGQEKPSLLKWKGPKKINRIYADWLDDLPLSPSSTSKMEG >KGN60636 pep chromosome:ASM407v2:2:880215:886570:1 gene:Csa_2G005330 transcript:KGN60636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLHSLFPAIRTVTVSFSELQDRNVDLSMKIEEGFGPKGLGILSVTDVPGFPSLRKDLLRLSSRLAKLPEDVKKKLEDPHTRYNFGWSHGKEKLESGKPDLLKGSFYANPILDTPTTDASLIQRYPSYCGSNIWPSKELPELESAFKALGKLILDVGLMLAYHCDQYAAKMMKLHEDKALEKIILNSRCHKGRLLYYFPAQQSTCSEDSDKLSSWCGWHTDHGSLTGLTCATFTRDGLEIPCPDSAAGLYIRTRTGEVVKVYYGENEIAYQIGETTEILSRGYLCATPHCVRAPKGEEASNLERSTFALFMQPDWDQKLNFPEEVHIHKEIIPTNCVLTFGEYSEKLLDKYYHLKS >KGN62024 pep chromosome:ASM407v2:2:13667762:13670190:-1 gene:Csa_2G287060 transcript:KGN62024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYLLPHLHSGWAVDQAILAEEERLVVIRFGHDWDETCMQMDEVLASVAETIKNFAVIYLVDITEVPDFNTMYELYDPSTVMFFFRNKHIMIDLGTGNNNKINWALKDKQEFIDIIETVYRGARKGRGLVIAPKDYSTKYRY >KGN62089 pep chromosome:ASM407v2:2:14098928:14108707:1 gene:Csa_2G297130 transcript:KGN62089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVMQKIKDIEDEMARTQKNKATAHHLGLLKAKLAKLRREIIAPSSKGGGGAGEGFDVTKSGDARVGLVGFPSVGKSTLLNKLTGTFSEVASYEFTTLTCIPGVIGYRGAKIQLLDLPGIIEGAKDGKGRGRQVISTARTCNCIIIVLDAIKPITHKRLIEKELEGFGIRLNKEPPNLSFRKKDKGGLNLTSTVTTTHLDLDTVKAICSEYRIHNADITLKYDATADDLIDVIEGSRIYMPCIYAVNKIDQITVEELEILDRLPHYCPVSAHLEWNLDGLLDKVWEYLDLTRIYTKPKGMNPDYEDPVILSSKRRTVEDFCSRIHKDMLKQFKYALVWGSSVKHKPQRVGKEHELEDEDVVQIIKKV >KGN62832 pep chromosome:ASM407v2:2:18858988:18859532:1 gene:Csa_2G375230 transcript:KGN62832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLDQRLFHIIITQELSERTRRRATAARDATGRDATTATWGGRAANGVLPLVDYLLSPSRSDSGGDFLPCASLVDFPLSLRSGYGVIFLSSQVFQRKNKRKEIEKLNSLDPSM >KGN61572 pep chromosome:ASM407v2:2:9726529:9730268:1 gene:Csa_2G171930 transcript:KGN61572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEPILTVQTAYLPLSETLLLQRKSTLFYYPQIHQSLSLSLSLSPPSFLSLSFFGAPVGYKPSSEIRNPEIQISIFRQERNKTQSNRFSPLIWGFLRIEKREKKGAMDSDQGKLFIGGISWETSEDKLKDYFSNYGEVMHTVVMRDKITSRPRGFGFVVFSDPSVLDRVLQDKHTIDGKTVEAKRALSREEQQTPARASNSNGRNSGGSGGGNMRTKKIFVGGLPPTLTEEGFKEYFEAYGHVTDVVVMYDQNTRRPRGFGFISFDTEEAVDRVLHKTFHDLNGKQVEVKRALPKDANPGAGGRTMSGGAGSGGYQGYGSSGGVNSNSYDNRMDSNRYMQSQGSSGGFPPYGSSGYNNPAYGYGSSNNGMGYGGYGNYSGSNTGFGAPTAVAYGNPNVANAGYGSGPQGGPRNSWSGQAPSGYGAMGYGNAAPWGGAPGGNAGAGSGGPGSAPTGHSPGGAAAGYGNQGYGYGGFGNQGYGYGGGDGSYGSQSGYGTTGGRSGSASNSNVGGGPSGGELQGNNAYMGNGYGDANGNTGYGNAAWRSDGGQVGYTGGYAGGQARQAQQQ >KGN62318 pep chromosome:ASM407v2:2:15810599:15810918:1 gene:Csa_2G349040 transcript:KGN62318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWIETIGFGLFVWDQAIRGPSELFWACTLDASTNSDGKAMIIKMKRRNGGAQEEEEDGRKIAQLRAGRQRKSVVGH >KGN61426 pep chromosome:ASM407v2:2:8039094:8043140:-1 gene:Csa_2G120920 transcript:KGN61426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQKKSEVQIGKESSGVSSDFNPSPPLLFPSSISIHQKHPPPQSHNNNQSHQSFLSFTTPQILIETPSHHDPIVPSPSSSSSTSPYKRPLLTHNHSSLTKSPTLYRLPSAPQFNSVDPSFFSVSLAVRSAAFRLLRRLKQLRRLRVHLRLILLFSLPFFYFLVSHPTHSFFLDFLSAFAFSAALLFSLNLAVPRLPSIRLFFARSFPVKLISSSASSRTHLPVFWSIGSRSKSEKRLNSGCWVQVYSDGDVYEGEFHKGKCSGSGVYYYYMSGRYEGDWIDGKYDGYGVETWARGSRYRGQYRQGLRHGFGMYRFYTGDVYAGEWSNGQSHGCGVHTCDDGSRFVGEFKWGVKHGLGHYHFRNGDTYAGEYFADKMHGFGVYQFANNGHRYEGAWHEGRRQGLGMYTYRNGETQSGHWQNGVLDIPSTQNSTYPVSPVAVYHSKVLNAVQEARRAAEKAYDVGKVDERVNRAVAAANRAANAARVAAIKAVQKQMQRGRNNDNMPVTVV >KGN63099 pep chromosome:ASM407v2:2:20610095:20610830:1 gene:Csa_2G402070 transcript:KGN63099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAQENFSFQPINGGSTPITESPPLASESIDHHEKEDQSDGNVDCSSEIRSNGCHRKSNSCSGDSRRTNEIAINSDDEEDEKMDMLWEDFNEELLKNLSSRFGSRRLPELDDLESEEAMEDGSSSGAMLSARMASVVVIMKVLKKLLFLHNFRRKLKARTW >KGN62103 pep chromosome:ASM407v2:2:14225439:14227072:1 gene:Csa_2G297760 transcript:KGN62103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTIIVNNPKVANSNSRDFYSDQNEWLHQYSTAFSGLNREEETSVIVSTLTQVVVGDQVSPSGGNGGAGVGRTLSTGSSSSSYSNSHKRGRQQDLVDDQQHSLLSSVSDASHYSAAFNDGRNVVGIYEYERETRRNRGGEEEGRRRYRGVRQRPWGKWAAEIRDPFRAVRVWLGTFDTAEAAAEAYDEAALRFRGNKAKLNFPENVRLRPSPAPITPNNQYANVSVSPAINLYDQMSLTSSMTSPPSTTAGLVSPSSWSGGHGGDGGFSLPQWTPEFNDQYSSPE >KGN63242 pep chromosome:ASM407v2:2:21607994:21608212:1 gene:Csa_2G416810 transcript:KGN63242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSDARAEDFVVGGFHGRGSGGEYEKRRSGDEQSFLEEDRSGLKLRGQRERKTEGRSALHLGRDRSGLEMV >KGN61856 pep chromosome:ASM407v2:2:12435577:12438771:-1 gene:Csa_2G252050 transcript:KGN61856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPALAYCVDAAVAPPPGYVFAGDSSLPSSVLFSGGPPETTIFSSPDSPPTSENMSWSPPLSSSLYKIDGWGAPYFSVNGSGNMAVRPYGTATLPHQEIDLLKIVKKASDPICSGGLGLQLPLIVRFPDVLKNRLESLQSAFDYAIQSQGYGSHYQGVYPVKCNQDRFVVEDIVKFGSSFRFGLEAGSKPELLLAMSCLCKGNSDAFLVCNGFKDAEYISLALIARKLALNTVIVIEQEEEIDLVIDLSKRLFVRPVVGMRAKLRTKHSGHFGSTSGEKGKFGLTTTQILRVVRKLEQADMLDCLQLLHFHIGSQIPSTALLADGVGEAAQIYCELVRLGANMRVIDIGGGLGIDYDGSKSSDSELSVAYGLEEYAAAVVDAVRCVCDRRSVKHPIICSESGRAIVSHHSVLIFEAVSASSYEVPSMSSLELQYLVDGLTDEARVDYQNLSAAAYMGEYKTCLVYADQLKQRCVEKFKDGCLGMEQLAAVDGLCALVAKAVGELDSVRTYHVNLSIFTSIPDFWGIDQLFPIVPIHRLDQRPTVRGVLSDLTCDSDGKIDKFIGGESSLPLHELEGNGSLSGGGGGRYYLGMFLGGAYEEALGGVHNLFGGPSVIRVMQSDGPHSFAVTRTVPGPSCGDVLRVMQHEPELMFETLKHRAEEFGQEDDDGGEGIANSLAMSFRNMPYLASASSCCSETDYNGAVDSGAGDAEQWTYCYA >KGN63106 pep chromosome:ASM407v2:2:20644806:20648129:-1 gene:Csa_2G402630 transcript:KGN63106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPRNLVLRDEEKAEMNNPAAKKMKSERYPFSRWGLTVALGSFLVYSAALFCIYRTMPAADFKYIKLPRSLADLRMLKDHLGSYAKDHTGRFILGYCSTYIFMQTFMIPGTVFLSLLGGALFGVVRGLVLVVFNATAGATACFFLSNLIGRPFVSWMWPEKLKTFQSEIAKQREKLLNYMIFLRITPTLPNIFINLASPIVDIPFHVFLLATLIGLVPSSYIMVRAGLALGDLKSVKDLYDFKTLTLLFFIGSLSILPTLLKRKQTYE >KGN61876 pep chromosome:ASM407v2:2:12571084:12571657:1 gene:Csa_2G258720 transcript:KGN61876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRLLSLVPHAKQILKMQSGFTKNQLNVPKGHVAVYVGEIQRKRFVVPISYLNDPSFQQLLSHAEEEFGFHHPHGGLTIPCKEDAFVDLTSRLQVA >KGN62557 pep chromosome:ASM407v2:2:17103590:17106143:1 gene:Csa_2G360740 transcript:KGN62557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYSNNNNNTTTPPPPLPDLSLHISPPSGSGNHYFNHHHHHHQPQIFSNHFKRGPKMGFGSIKRSIRAPRMRWTTTLHAHFVHAVQLLGGHERATPKSVLELMNVKDLTLAHVKSHLQMYRTVKSTDKATDSAMKNQRQDIDGSGVSNCEISNNPSLTMWKSPNQKMKLFCSSMETNQEMTSRWNQFCNNTIGNNQQQEKDRHNGNANMKISSGVKNHNNNNNNLNLDFTLGCPNSLTIHSNPHSSSTELPLLKC >KGN62680 pep chromosome:ASM407v2:2:17788353:17789492:1 gene:Csa_2G368810 transcript:KGN62680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTRGGSISLNSDDFTPEDHKVADILKELPLLIQKSEFSLGLPPSWPIRRKRSAVVSPLGCSTVVAQPPPPPSSSEEDKETSPTTPLSLDSLTLSRSESDENIANVKVSKRKAPLAKKFKCAESVDKLTHQNQALGENFEAKKQRFAHPKTINSELKAKKQEASMILGGSTNRSEIPENGTSTSGTKSSDLNMENNLHECEPSTKNQTAPMAEQSNDNQNHQIPIDEIPLLDLMGIPDLNLTVEQNCRVNYKKQLAAKARQNRARICKNKRNKKNKRNKRNKRNNGNGPAN >KGN61918 pep chromosome:ASM407v2:2:12833020:12836787:-1 gene:Csa_2G264620 transcript:KGN61918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNLHPFSTFFLFIFLFLPLLLVLVHSYDGPLYDYTAHTECKLRPEKPLYNGGILKNQALSIQSIGDSSNAIYSPAFLLHNLTARTYYSFSSWVKLGGAVSSVVRASLRMENETYNCIGTVLAKHGCWSFLKGGFFMNLPSNFSILFFQIFDEGDANISIDNASLQPFTEEEWRVNQQLTINSVRKRAVTVHVSDKQGGRLEGALINVKQISKDFAFGSAIAKSIIGNLPYQDWFVKRFNAAVFENELKWYATEPKPGVLNYTTADRMLEFVRANQITARGHNIFWEDPKYTPLWVQNLTGEELKSAVDSRIKGLLSRYKDEFIHWDVSNEMLHFDFYEKSLGANATLHFYKTAHEIDPLATLFMNEFNVVETCSDVKSTVDNYINRLKELKRNGVSMDGIGLEGHFTIPNPPLMRAILDKLATLNLPIWLTEVDISHSLGQETQASYLEVVLREGFSHPAVGGILLWSALDPNGCYQMCLTDANFKNLPTGDVVDKLLKEWKTGDIEARTDNHGSFSFYGFLGEYEVSVKYDNRSAASTFPVSVGDETKHFSIQL >KGN62120 pep chromosome:ASM407v2:2:14367044:14367289:1 gene:Csa_2G299900 transcript:KGN62120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLYINSWWRSTGFGEKLRFARDRLMENFLWTVGFGYEPKFSSYRRMATKINAFITTIDDVYDVYGTLDELQLFTDAIER >KGN61654 pep chromosome:ASM407v2:2:10643963:10648651:-1 gene:Csa_2G215480 transcript:KGN61654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQSLQLFTSSAISLPKHSISKPSSSSAAFRFPFSFSKSSIRASSSSDLNPLSSSSTSQVLVSENGSSSGGGVVFSSAATREFVSVASDSTSIEVDAVTEAELKENGFRSTRRTKLVCTIGPATCGFEQLEALAVGGMNVARINMCHGTRDWHRTVIERVRRLNDGKGYAVAIMMDTEGSEIHMGDLGGASSAKAEDGEIWTFSVRAFDSTLPERTINVNYEGFAEDVRVGDDLLVDGGMVRFEVIEKIGPDVKCLCTDPGLLLPRANLTFWRDGHLVRERNAMLPTISSKDWLDIDFGIAEGVDFLAISFVKSAEVIKHLKSYIAARSRGSDISIIAKIESLDSLKNLEEIILASDGAMVARGDLGAQIPLEQVPSVQQKVVQLCRQLNKPVIVASQLLESMIEYPTPTRAEVADVSEAVRQRSDALMLSGESAMGQYPDKALAVLRSVSLRIEKWWRDEKRHEPMELPEVGSSFSDSILEEICNSAAKMANNLEVDAIFVYTTSGHMASLLSRCRPDCPIFAFTSTTSVRRRLNLQWGLIPFRLSFSDDMENNLNKTFLLLKARNLIKSGDLVIAVSDMLQSIQVMNVP >KGN63249 pep chromosome:ASM407v2:2:21672204:21676633:-1 gene:Csa_2G418850 transcript:KGN63249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWKSKADHHSSRSVISQKWTLFLCLVCFCSGMLFTNRMWTIPEHKAMARTTSIEAEELKLVSGGCDLKTQLQQNEVNFSSKDIFGKVFKTHNAIHTLDKTISNLGMELAAAKSVQESVQRSSPLSEDSKQTDTSGRRKYLMVIGINTAFSSRKRRDSIRATWMPQGEKRKKLEEEKGIIIRFVIGHSATSGGILDRAIEAEDKKHGDLLRLDHVEGYLELSAKTKTYFVTAVSLWDADFYVKVDDDVHVNIGTLGETLARHRSKPRVYIGCMKSGPVLSQRGVRYHEPEHWKFGEAGNKYFRHATGQLYAISNDLATYISINQHILHKYANEDVSLGSWIIGLDVEHIDDRRLCCGTPPDCEWKAQAGNICIASFDWSCSGICKSAERIKEVHRRCGEGENVLWSATF >KGN61460 pep chromosome:ASM407v2:2:8438015:8438829:-1 gene:Csa_2G130680 transcript:KGN61460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSATSCVQCSFSGYPNTDQTLIVRLRFCSRLISQSLAPEIVPDVILEQTPFTLIGEALFSLSNLQYPLQQQELEYMVNEFLRSFNLRSSVCRIVSHNILSFAQQFDINNECFKIETNVDYIKEYWTEAAPELYPISTVGEEGLPPRGASESAIERVKKQKFDGFRGEEEEEIGDCSVCCEEIKRKGGEVRRIPCGHVYHKSCILKWLEISNSCPLCRAALEP >KGN63294 pep chromosome:ASM407v2:2:22069567:22083680:-1 gene:Csa_2G424730 transcript:KGN63294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSESALDFLRTHELRLLYCTFSSLPSDCPADSQTQTSRNRLHESLDILVNSILAGDYQKALASNAAQLVLGLVNMSPCQFTDSTECAEQVYAELLECAEKFVISKFENEEDRLCRLMIVVCIAIASFLTFTQSNVSGPLEGLARSPMAVIELKVEGFVEWDNWARHQLMFTGSDLFGKFTNIQYIVFAKMLLTRIKDMLFKENTSSKYGMKSISWWLARVLLCQQRILDERSSSLFDHLQVLMGEALVDFGIQENVKSYWGANLQEGEASTIVSMIHLEAGIMEYYYGRVDSCRQHFESAEVESGLELSITGVLGFRTSYQVEPKAQLVLVANADSSEREPGHQAHGSTMHKDNLPSQSKTFETSDILMAPKLLNNDNESGTKADGIHNGGSTIPNLRPIQQAIILAKCLLIEKSSRSDEMQRWDMAPYIEAIDTQQSSLFMVRFFCNILRVRWESSRSRTKERALVMMEKLVEGYYDCYPGVVQRMFFCCGVYVPTFPALRKEYGELLVSCGLIGEAVKIFEELELWDNLIFCYRLLEKKAAAVDLIKSRLSQMPNDPKLWCSLGDVTNSDACYEKALEVSNNRSARAKRSLARSAYNRGDYETSKTLWESAMALNSMYPDGWFALGAAALKARDIDKALDGFTRAVQLDPENGEAWNNIACLHMIKKKNKEAFIAFKEALKFKRNNWQLWENYSHVALDTGNIVQALEAVQQVTDMTNNKRVDAELLERIMQEVERRASNSHSESHHHEADLVVEKNRETDHMVELIGKVLHQIVRGGTGADIWGIYARWHKIKGDFTMCSEALLKQVRSYQGSDLWKDREKFLKFAQASLELSRVYMHISSTANSQRELYAAEMHLKNTVKQGVNFSDTKEYRDLEDCLDEVKTRLESSSMLP >KGN60984 pep chromosome:ASM407v2:2:3233140:3235665:1 gene:Csa_2G033880 transcript:KGN60984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQTSNNGGENLDSGIKTELQETFNGGTYGAHEPQSVFEDVDSACSTPYVSAPSSPGRGPVGGFYYSAPASPMHFAITKSSNSSSQFPSSKDSHSFSFEFEFSGRFGSNGSGSVGSMSSADELFLNGKIRPMKLSTHLEQPQVLAPLLDLEGEDEDDEGGEIVGYVRGRDLRLRDKSLRRRTRSMSPLRNTPVEWTENDDEDLNADGFLENDSGEGKTVKKIEEEGFMSSETTTPSVSASSSRSSSAGRNSKRWVFLKDFLYRSKSEGRSSNHKFWSNISFSSAKEKKPTASTSTSSSTSSSTKQKAMKPSAQKVKGGSGQVPAKKPATGKPTNGVGKRRIPPSPHELHYTKNRAQAEELRKKTFLPYRQGLLGCLGFSSKGYGAMNGFARALNSVSSRAMAHMLMGHCCVRATEEGPMLTYGSTRG >KGN62430 pep chromosome:ASM407v2:2:16444631:16445085:-1 gene:Csa_2G354070 transcript:KGN62430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRISQNRNRATWSLNSSFFPKVEAPGRNARRWRRNSLQFLRFKFLFPIIKSCCIQLFLVKELADHLNFHTFTFAFAAITYILLDIVINRDR >KGN60575 pep chromosome:ASM407v2:2:469431:470407:1 gene:Csa_2G000820 transcript:KGN60575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGQTYLLVFVRKLRRSPHPLGLLRNGTESSDAAKRPGNYGAFVSDQAAENRSSSVAPAIEETEMNFNNLQSLRSLRSSSSAAVERHGVLASTKGSTFPVASGSEFRMLQDKSSAIAEAKQDGCTGNFKVLDSPFGNFLLPVIPSSTEFFE >KGN62900 pep chromosome:ASM407v2:2:19307312:19307782:1 gene:Csa_2G379310 transcript:KGN62900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQEIPPPSQLVPLDFTSVQTVPESHLWPNATELSAAIKLDKRVSLPLIDLVSDGASELLGRACEEWGMFQLINHGISKTLIEEAEEETRRLFTLPATQKMKTLRSPGSLTATGYGMAGISKYHPKLMWHEGFTILGSPINEAKKLWPNDYKRFW >KGN61642 pep chromosome:ASM407v2:2:10489425:10492694:-1 gene:Csa_2G200410 transcript:KGN61642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFVELEAIEGLRWSWNSWPVSKPESLALVIPLSVMCTPLMQSVELPTLSYEPLLCLKCGAVLNPYARVDYTSRIWFCSFCYQKNSFPRSYAGIGETNLPAELFPTYSTVEYAPGRKMESPVANSGSNVNMSPSYARNHSSSSLSVSASSSLPAGDSRGNGPAFVFVVDSCSVEKELQALKNELLLVVEHLPENALVGLISFDSMVHVYDLKFSECSRVVLFPGERELSSLQTQQLLGIYGMKQMQLGNTPVVPAQGFLLPISECEFNITTAIEEMKTLLNIPGHRPQRATGAAISAAVALLEGCRANSGSRVMVFTSGPATVGPGIVVNSDLAYSIRTHGDILNGQAPYFRKSCSFYKEMSQRLCDGSIVLDLFACSLDQVGAAELKVPVENSGGFMMLGESFESNQFKKCLRHSFSRDKDGDLNMYFDATIELVTSKDVKICGALGPCMSLHRPNSSVSDNEIGEGGTYIWKLNTLSSKTCISFFFQVSEEQKVQPGSAFFIQFITKYRKGNLAVRKRVTTAARRWVANHSPEIKAGFDQEAAASVMARLAIHRAETCYARDVIRWLDDTLIRFASKFGDYIQEDPSTFRLSSNFSLYPQFMYYLRRSQFIDVFNSCPDETAFFRLMLNREGVVGSLIMIQPTLFLYSFDGPPVPVLLDIRSISPDVILLFDSYFYVVIHYGSKIAQWRKLGYDEDSNLENLRKLLEAPEIDAEQLIAERIPVPKLIKCDQHSSQARFLLAKLNPSVTQNSTYKEGSDIILTDDLSLEVFIEHLQILAVQG >KGN62145 pep chromosome:ASM407v2:2:14541524:14542681:1 gene:Csa_2G302120 transcript:KGN62145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLEEEKLVQMVDDFIESVDDHHQSPTSSSFRPLSSNSKSHHFFTLKEILGNGTKEEEEVGESVMKHLRRWKASDASSKTNSLRKWLVMKLKMDGYDSSHLCHTSWVTSMGCPAGDYEYIEMRTKDDELGSRKRLIIDIEFKAQFEVARATEEYKQLTKALPTVFVGSEEKVKRIISVLCSAAKQSLQKSGLHIPPWRTSTYMHAKWLLLHLDHSTNNHSNYYIPTNININIMRHNNNNNSNNKYYYWKPPMVNY >KGN62521 pep chromosome:ASM407v2:2:16916902:16917344:1 gene:Csa_2G359900 transcript:KGN62521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSRSQLKLTRDEVREILEEHDVDGDGRLTKQEVMQALNSMGVMMSFQKAHYGVAFADKDGDGAVDLEGGEIDSLVDYVMRFQASKDVPKDASPEALP >KGN61830 pep chromosome:ASM407v2:2:12219061:12226382:1 gene:Csa_2G249850 transcript:KGN61830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDATIDFSHNSYATTNGLYYGKHKRLKLSSDGKDLSSTATFSAKKSNTLRQNKMNNSAKVIDYSDPFATNNLIDGLDCGHFGSVTKEIGALVSRKMQVLSPYIAKYPALSSMLFDLGRSRECKEAMNNQASQLVHNLIDLEDDSAIDVRSNNVEKSRLPILIIDSDEEDSKEQRVIHPFQEVVLPRPPGQSLFKDIAIVDHRTSQDRRASNGEEATPIGESGTINKDKGVYIGVEEDEDEVSGQANSEDDGLGDIWNDMQMALECSKDLDAAVDSSSNQPTTEDVDCEHSFLLKDDLGYVCRICGVIDRGIETIFEFQYNKGKKSTRTYISESRNKDSGNIVGVKISEDDLTVTEISAHPRHMKQMKPHQIEGFNFLISNLVSDNPGGCILAHAPGSGKTFMIISFMQSFLAKYPQARPLVVLPKGILATWKKEFQIWQVEDIPLYDFYSVKADNRAQQLTVLNQWVEHKSILFLGYKQFSTIVCDVETSAASTACQNILLQVPTILILDEGHTPRNENTDTLQTLAKVRTPRKVVLSGTLYQNHVKEVFNIVNLVRPKFMRSETSRPIIKRIMSRVDIPGARKQFKAGVDAAFYDLVEHTLQKDTDFRRKVSVIHDLREMTSKILHYYKGDFLDELPGLVDFTVVLNLTSKQKHEGEKVKKFNRKFKISSAGSAVYLHPKLNVFSVNAAVTDDKIDEVIDKMDVKDGVKTKFFLNLLNLCATTGEKLLVFSQYLLPLKFMERLVVQKKGWSPGRETFMISGETTPEQREWSMERFNNSPDARVFFGSIKACGEGISLVGASRIIILDVHLNPSVTRQAIGRAFRPGQTKKVFAYRLVAGDSPEEGDHSTCFKKELIAKMWFEWNEYCGYHDFEVETVDVKQCGDNFLETPLLGQDVKVLYRR >KGN61981 pep chromosome:ASM407v2:2:13324639:13326995:1 gene:Csa_2G279180 transcript:KGN61981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARMDSAVVWSVEMELEPEIDRLPIDLLAHIFAMITSFTDLAQACGVCRKWKEGVKLSLGRRKSLSFAGWKMDDNSTARLIRHAYSLRELDISRSRWGCHITDHGLYEISLAKCIPNLKSISLWGMAGITDKGVVQLISRANSLQNLNIGGTFVTDVSLYAIADSCPNLKTIVLWSCRHVTETGLLILVSKCRKLESINVWGMRVPVDCFIGLVAISPSLQIKSRSLLNSMWSVV >KGN60531 pep chromosome:ASM407v2:2:221692:224141:-1 gene:Csa_2G000400 transcript:KGN60531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNNKDNFNVSDLGSALNEEDRAGLVNALKNKIQSLAGQHSDVLENLSPVVRKRVDVLREIQSQHDELEAKFFEERLALEAKYQKLYQPLYTQRFNIVNGVVEAEGVPKESATGQEDKDAAEKGVPDFWLTAMKNNEVLAEEITERDEGALKYLKDIKWCRIDNPKGFKLEFYFETNPFFKNSVLTKTYHMIDEDEPILEKAIGTEIEWYPGKCLTQKLLKKKPKKGSKNAKPITKTENCESFFNFFSPPQVPDDDEDIDEDTAEELQNQMEQDYDIGSTIRDKIIPHAVSWFTGEAIQDEEFEDMDNDDEDDEDNDDEEDDDEDEDDDDEDDDEDEDEDEGKSRKKPSAGNKKGGRAAGGDGQQGERPPECKQQ >KGN60876 pep chromosome:ASM407v2:2:2520759:2525390:1 gene:Csa_2G020980 transcript:KGN60876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEELRIDVSLPPGLHFLSAFLSMEPADSLLSIARDLGRGLVTEAVQKFIWDHCITKAQEMNHFHVPYLKNFLKKLISEVELSQAEVLDEFYELYAHYMVSWKDENLRKESAKISKFVSFLFPDGSLSCQNFGKFVVPIQCSLNMLEELNRADIQIPIFSDPGCSIWPSSLYLSELILSFPDIFSTRECFEVGSGVGLVGICLAHVKASKIVLSDGDPSTLANMKVNLELNGLCCLSSPTATSERTNECTQTVECIHLPWESTSETELQAFAPHIVLGADVIYDPICLPDLVRVLSILLRPKQIGSSTHSFPVTEHVDDQRNDGSRGFKTSRDHPIAYIASVIRNIDTFNRFLSLVEQANLSMCDVTDELKPMNLLPYMYTYNRSSIRLFTLKFK >KGN60896 pep chromosome:ASM407v2:2:2636542:2637296:1 gene:Csa_2G021670 transcript:KGN60896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLIIDNEEDNLLQGLNSYRQAQNLPPLVKNAKADCVANEMADDAEDQPCAVTTTKSNVVASRPSQITKFPDYAEKCKVDINTTADAVVMPVCVPKLVQTLLLTNYTHSQYAKYLNDSRFVGAGLGKEDDWMVVVLTTGTNAGSFEGSGAGPALGSIWVWAVAVLVGLLIGDYVRF >KGN61365 pep chromosome:ASM407v2:2:7428460:7434759:1 gene:Csa_2G099490 transcript:KGN61365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGIFAYLNYNVDRDRRFILQVLFNGLRRLEYRGYDSAGISIDSSPSHSQFNSDLTNGHSLPPLPLVFRQAGNIDSLVKSVDRDVESINLNLDEHFSVHAGIAHTRWATHGEPAPRNSHPQTSGPENEFLVVHNGIITNYEVLKETLVRHGFVFESDTDTEVIPKLAKFVFDNATEGGQAVTFNQVVLEVMRHLEGAYALIFKSRHYPNELIACKRGSPLILGVKDLAENTCNGSAFNDDKFLSKNGHPKELFLSSDANAVVEHTKKALVIEDGEVVHIKDGAISILKFDKEKGKSGGAISRPASVQRALSVLEMEVEQINKGSYEHYMQKEIHEQPESLTTTMRGRLIRRASSKEKNVLLGGLKDRLKTIRRSRRIVFVGCGTSYNAALAARPILEELSGVPVTMEIASDLLDRQGPIYREDTAVFVSQSGETADTLHALEYALENGALCVGITNTVGSAIARNTHCGIHINAGAEIGVASTKAYTSQIVVMAMMALAVGGDSISHQRRREAIIDGLFELPNKVREVLKLDREMKDLAELLIAEQSLLVFGRGYNYATALEGALKVKEVALMHSEGLLAGEMKHGPLALVDENLPIVVIATRDACFSKQQSVIQQLHARKGRLIVMCSEGDAQSVCPGDSCRVIEVPQVEDCLQPVVNIIPLQLLAYHLTVLRGYNCDQPRNLAKSVTTE >KGN60607 pep chromosome:ASM407v2:2:680629:683562:1 gene:Csa_2G003590 transcript:KGN60607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSSAKSWSIHSRPDIIHKYEILERVGSGAYSDVYRARRLSDGVIVALKEIHDYQSAFREIEALQILQGSPNIVVLHEYFWREDEDAVLVLEFMRTDLATVIAEAKKIGSDGVDSGRGLAVGELKRWMIQILSGLDACHRNMIVHRDLKPSNLLISDDGMLKLADFGQARILMDPDYVESNEISQPCEINSSDQVPSSQPSAVLPGTESLVREGNRNEEQETISKEEYFRVLDELKAKNSANEFDKETCTYDGDTSCLATCTTSDLEDDPFKGSSYSYEMEGGVPADDGHGPLTSCVGTRWFRAPELLYGSTSYGLEIDLWSLGCIFAELLTLEPLFPGTADIDQMSRIFATLGNLTEESWPGCSELPDFQIISFNTIEKPIGLEARLPNCSSDEISIVKRLLCYNPANRATAMELLQDKYFTEEPLPVPLSELHVPSTKNVQDEDSPAGWYDYNESDSDMDELGPLNVTTNATGYSIQFD >KGN62723 pep chromosome:ASM407v2:2:18067559:18069935:1 gene:Csa_2G369740 transcript:KGN62723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSQSSPTKHDQPPSNNARNPTLQRVLLAFNSILMSIGNCGGPLILRLYFIHGGNRVWLSSWLFTGGWPIILLPLAISYIHRRRTATDGTKTKLIFMREPLLLLGSAVVGVLTGVDNYLFAYGMARLPVSTSSLIIASQLAFTAGFAYLLVKQKFTSYTVNAVVLLTMGGAILALHSSGDRPEGETNGEYIAGFLMTLGAAVLYGLILPLIELMYKKTKQRLTYTLILEIQLVMAISGTLVCTIGMLINNDFQAIAREGREFGLGSTKYYVVLVMSCIIWQCFFIGAVGVIFYSSSLFSGIVIALLLPAVEILAVVFFREKFQVEKGVSLALNLWGFVSYFYGEFKQTKKMKSKELQKAQASTTPIQNQNV >KGN61471 pep chromosome:ASM407v2:2:8543895:8544350:1 gene:Csa_2G138750 transcript:KGN61471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTPTTKPNKIPMSEMSHRHQLRHKRLLKLLPFFSQTFHSHHSSITRQICSVNLPKSTATENFLCTKSFGPYIELCKTEDSGVVPSDFLRKLYPVSVVKIKGWRFSGATAVATRMNPFQGLEFEEGISEKGMNLRPTIWSVMFVGILVRFL >KGN62231 pep chromosome:ASM407v2:2:15248270:15258360:1 gene:Csa_2G337760 transcript:KGN62231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLKLSFLVLCLFLPLCLAANVTYDRRSLIIDGHRKLLISASIHYPRSVPAMWPSLIQNAKEGGVDVIETYVFWNGHELSPDNYHFDGRFDLVKFINIVHNAGLYLILRIGPFVAAEWNFGGVPVWLHYIPNTVFRTDNASFKFYMQKFTTYIVSLMKKEKLFASQGGPIILSQVENEYGDIERVYGEGGKPYAMWAAQMAVSQNIGVPWIMCQQYDAPDPINTCNSFYCDQFTPNSPNKPKMWTENWPGWFKTFGARDPHRPPEDIAFSVARFFQKGGSLQNYYMYHGGTNFGRTAGGPFITTSYDYDAPIDEYGLPRLPKWGHLKELHRAIKLTERVLLNSEPTYVSLGPSLEADVYTDSSGACAAFIANIDEKDDKTVQFRNISYHLPAWSVSILPDCKNVVFNTAMIRSQTAMVEMVPEELQPSADATNKDLKALKWEVFVEQPGIWGKADFVKNVLVDHLNTTKDTTDYLWYTTSIFVNENEKFLKGSQPVLVVESKGHALHAFINKKLQVSATGNGSDITFKFKQAISLKAGKNEIALLSMTVGLQNAGPFYEWVGAGLSKVVIEGFNNGPIGLQGEHLGIYKPDGIKNVKWLSSREPPKQQPLTWYKVILDPPSGNEPVGLDMVHMGKGLAWLNGEEIGRYWPTKSSIHDVCVQKCDYRGKFRPDKCLTGCGEPTQRWYHVPRSWFKPSGNILVIFEEKGGDPTQIRLSKRKVLGICAHLGEGHPSIESWSEAENVERKSKATVDLKCPDNGRIAKIKFASFGTPQGSCGSYSIGDCHDPNSISLVEKVCLNRNECRIELGEEGFNKGLCPTASKKLAVEAMCSQ >KGN62338 pep chromosome:ASM407v2:2:15898084:15900166:-1 gene:Csa_2G350210 transcript:KGN62338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSNSVVIMMSIKLLVLSIVMLQMSSMSSSSPVPDPDLVAQEVLTSINNATITRRNLGFLSCKTGNPIDDCWRCDANWEKNRKKLADCAIGFGKRAIGGKNGKYYIVTDPSDNDVVNPKPGTLRHAVIQKEPLWITFKRDMVIKLKAELLMNSFKTIDGRGVSVHIAGGPCITIQFVTNIIIHGINIHDCKQGGNTYVRDSPEHYGWRTVSDGDGISIFGGSHVWIDHCSLSNCRDGLIDAIHGSTAITVSNNYMTHHNKVMLLGHSDSYTQDKNMQVTIAFNHFGEGLVQRMPRCRHGYFHVVNNDYTHWIMYAIGGSASPTINSQGNRYLAPNNQNSKEVTKREDTPQSRWKNWNWRSDGDLMLNGAFFVRSGAGASSSYARASSLSAKSSSLINSITAGAGVLKCRKGSRC >KGN62018 pep chromosome:ASM407v2:2:13614937:13616751:1 gene:Csa_2G286510 transcript:KGN62018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLYFMPARAFVVVLFFFSLCYLNSSVVGSTCDLKSVCKTYVTFFAKSPDFLDLESVSDLFGVRPSLIADASNLNAEDGRRDLFPGELLLIPVNCTCNGNQYFANVTYQIKEGDVYYTLAMTSFQNLTEWHVVNASNPNLDPNLLHKGDEVTFPLYCKCPSKTDIEKHTNYFITYIWQPTDNISVVSNEFNVSSDSVLAENNYTNMKDAANLPVFIPLSRLPLFSHVNPNETKTNGKHRRIIVVLISVGSSIFLVILIVGLVCACFVRKNKKSVKWNKVSVEIGNSPIRNKGFGAKIELKDDRLLPKVSDYLSKPIMYDINVIMEATKNLNRCNRVGGSVYRATIDKQVVAIKKSKEDITEELNILQKVNHVNLVKVIGFSTDVNRSCFLVYEYAENGSLDKWLSSSSLPILTWDQRISIALDVANGLQYMHEHIQPSIVHRDIRTSNILLDSRMKAKITNLSMAKPALNTISHKIDIFAFGVVLLELLSGRNATEMKGSGEVVMLWKVIREVMDGEEKKEGGLRTWMDPKLENFYPIDGALSLADLAMQCTHDLPMVRPSMAEIVFNLSVLTQSSSLISLETSWVLGLESIEISEKVDTVLAR >KGN60756 pep chromosome:ASM407v2:2:1671114:1672637:1 gene:Csa_2G009440 transcript:KGN60756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATSRRSSASVLRSLSPSGRFYGHRTSSSSAFASSTSTFSSRSATSFFCRSTSPSRVNLHGSPSVSVPSVRFSLDRATSPNRSVSVSSSRSGGTNRVVQRQSSTKRTCMCSPTTHPGSFRCSLHKGIISQPPALYSPSRLNARRSAMTNSLVRIGGVEGDLVKRALAALIRPSSHQQRRRVDFRPKRSRLSIMSKADETLL >KGN62339 pep chromosome:ASM407v2:2:15903637:15908375:-1 gene:Csa_2G350220 transcript:KGN62339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASISPYRPNFVFSSFPSRVPNSNPLFHGVQFRGLSHHKPFLRGSLAVARFGFKPEFLPDPDNAEGFVRDLFGKAESFLYTIADAAVSASPDNVTTVKQTDDWFTGITNYMESVLKILKDGLSTLHVPYAYGFAIILLTVLVKAATFPLTKKQVESAMAMRSLQPQVKAVQQRYAGDQERIQLETARLYKLAGINPLAGCLPTLATIPVWIGLYRALSNVANEGLLTEGFFWIPSLAGPTTIASRQNGSGISWLFPFVDGHPPLGWSDTFAYLVLPVLLVVSQYISVQIMQSSQNNDPSMKSSQAITQFLLLMIGYFALSVPSGLSLYWLTNNILSTAQQAWLQKAGGAKTLDKQFIDEIMKQEEKQVRVQSEAPKLNSISSSTVARQEEKPTAEGLKPGERFKRLKEQEARLRQQREEEERKAEESAKAESLTVNDGDKSATVGVVVNGSLSRQDVKEDQNSIPTTTMQRVDVDSEPSATQGEGVLETYTRVAKDAKLNGEEQRE >KGN62238 pep chromosome:ASM407v2:2:15319574:15323977:1 gene:Csa_2G338820 transcript:KGN62238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAKEANPVGSDCIFFVCFILHCIASSRERLSKWPPKPYFLDPDTGSDLTWLQCDAPCQQCTETLHPLYQPSNDLVPCKDPLCMSLHSSMDHRCENPDQCDYEVEYADGGSSLGVLVRDVFPLNLTNGDPIRPRLALGCGYDQDPGSSSYHPMDGILGLGRGAVSIVSQLHNQGIVRNVVGHCFNSKGGGYLFFGDGIYDPYRLVWTPMSRDYPKHYSPGFGELIFNGRSTGLRNLFVVFDSGSSYTYFNAQAYQVLTSLLNRELAGKPLREAMDDDTLPLCWRGRKPIKSLRDVRKYFKPLALSFSSGGRSKAVFEIPTEGYMIISSMGNVCLGILNGTDVGLENSNIIGDISMQDKMVVYNNEKQAIGWATANCDRVPKSQVSSW >KGN62510 pep chromosome:ASM407v2:2:16864012:16866606:-1 gene:Csa_2G357330 transcript:KGN62510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEGLGLQRMLKAKALIIRVNLFFLAFFLLIYAALLLPRPSSSFAPYSLNAASFVSCSLHECHPKMKAVLEETEPRLPLHLRRNMTKLEIPMKLWKKMGKSTKIGMVNLDEEDVSEWESSFDIIHVGFEKVSKFFEWKHLFPEWVDEEEDLDGASCPEIPLPDYRRYKKVDMIVAKLPCQYPEESWGRDVFRLQIHLIAANMAAKKGKRDWFSRTKVAFLSKCRPMMELFRCNDLIGREGDWWFYEPEMSRLEQKVSLPIGSCQLAMPIWDRGVEKVYDLSKIQSLTKTVKREAYATVIHSSEAYVCGAITLAQSLLQTRTKRDLILLMDESISMPKRAALVSAGWKIRIITRIRNPRAEKDSYNEYNYSKFRLWQLTDYDKIIFIDADIIVLRNLDLLFHFPQMSAVGNDNSIFNSGIMVIEPSNCTFRVFMERRDEIVSYNGGDQGFLNEVFVWWHRLPRRTNFLKNFWSNTTLERSVKNEMFGADPPKLYAIHYLGLKPWLCYRDYDCNWNIDDQRVYASDVAHQRWWKLHDAMDEKLQSFCKLTERRRIELDWDRKMAKNIGYEDQHWSINITDPRRIHLV >KGN63029 pep chromosome:ASM407v2:2:20081507:20082843:-1 gene:Csa_2G384980 transcript:KGN63029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIPVRCFTCGKVIGNKWDHYLDLLQADYSEGDALDALGLVRYCCRRMLMTHVDLIEKLLNYNTLDKSDTS >KGN63084 pep chromosome:ASM407v2:2:20528726:20536896:1 gene:Csa_2G401440 transcript:KGN63084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGNEWLHGYLEAILDVGSNNRSKKQGGKHRIARFDQDKQKKGKLFCPTKYFVEEVVYSFDESDLYKTWTKVIATRNTRDRNNRLENMCWRIWHLARKKKRIAWNDEQKLTTRRLEREQGRSDASDDLSGSSEGEKEQGDTNISESIKDSPNTNSDIQVWSDDEKSRNLYIVLISIHGLVRGENMELGRDSDTGGQVKYVVELARALANTKGVHRVDLLTRQISSPEVDYSYGEPVEMLSCPSDGTGSCGAYIIRIPCGPCDKYIPKESLWPYIPEFVDGALNHIANMARALGEQVAGGNPIWPYVIHGHYADAGEVAAHLSGALNVPMVLTGHSLGRNKFEQLLKQGRLSREDINATYNILRRIEAEELGLDAAEMVVTSTRQEIEEQWGLYDGFDLKLERKLRVRRQRGVSCLGRYMPRMVVIPPGMDFSNVTIQDSTEGDGDLKSLIGSDRAQSNRNIPPIWNEIMRFLTNPHKPMILALSRPDPKKNVTTLLKAFGECQALRELANLVLILGNRDDIEEMSTNSSSVLITVLKLLDKYDLYGQVAYPKHHKQSEVHQIYCLAAKTKGVFINPALVEPFGLTLIEAAAYGLPVVATKNGGPVDILKALHNGLLVDPHDQKAIADALLKLVADKNLWIECRKNSLKNIHRFSWTEHCKNYLSHIEYCRNRHSTTRHEIVPIPEEPMSDSLKDLEDLSLRFTIEGEFKFNGELDDAMRQKELVEAITKRMVSSNNNDSASHYPGRRQGLFVIATDCYNNNGEYTKSLRSTIKNVMQTGSTLGLGSIGYVLLTGSSLRETMEALKWCQVSPEEFDALVCNSGSELYYPWRDTSADTDYESHIEYRWPGENVRSTVTRLAKLEGGNEDDITEHVGLWSSRCCSYSVKSIANIRKTEDLHQRLRMRGFRCNIVYVRAASRLNVLPLYASRRQALRYLSIKWGIDLSKMVVFVGDKGDTDHEDLLAGLHKTIVLKGSVENGSEKLLHSENSFNKEGIATLSRDSPNISILEGSYGVHDLLAALNVAEIKSY >KGN63238 pep chromosome:ASM407v2:2:21586675:21588084:1 gene:Csa_2G416770 transcript:KGN63238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWTRGHVIGHGSSATVFLATDSPSRHVFAVKTAQLSHSQSLRKEQQFLSSLASPYIVSYRGFEVSREQSGVTMFNLFMEYLPNGSLADTIRRRGGQRLDEATIVIYTRQILMGLQYIHSKGIVHCDIKARNILIGLDGEAKLADFGCAKRATSQTDPICGTPLFMAPEVARGEHQGFPSDIWSIGCTIIEMASGGGSPWPKTTDDTDPISALYRIGYSGESPEIPCYLSEEAKDFLEKCLKRNPSERWTASELMNHPFLRELNCRREWKTEEVHSESPTSILEQGIWRSIEESEIRGRELVRSNGWEAAAAEEQIRRLWMISGEPRWEEDENWITIRRKEEGEKNGGADESEVKKCSNYSNNNNNNNSNWGDKKRGSGGKEWLQMELGNISCRISRNDLGDYSCRNVISLVNNNYPLSFHTLTPIFLPLMPTIL >KGN60874 pep chromosome:ASM407v2:2:2511191:2511481:-1 gene:Csa_2G020965 transcript:KGN60874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISFTKSFTIPLMLTMCSTILRLGSSYDYPAAFNFGDSNSDTGELTAGKGFSLNLPYGQNYFNTSSGGRFSNGRLIVDFISKFSNTPYSLFAFYT >KGN62508 pep chromosome:ASM407v2:2:16856729:16857004:-1 gene:Csa_2G357310 transcript:KGN62508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCWASKSGLFQIGRGTHRGSHVNTTTHVKENQWQRKKEDNDRVVSFSRSQLLLCSSSRSELLFILFLNR >KGN62355 pep chromosome:ASM407v2:2:15983083:15983729:1 gene:Csa_2G350380 transcript:KGN62355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQRLQKLRRRNRSCNVLDSRSRHNTFFALSCSLYSLSPPRALNSIGRFLTSPFSPSHSLGVEFPLEEDNLSSQISCLVSTWRKKKLHIRGFDSKRSISQVFIFALSPSTFLLKFAFFVSVFFPGICA >KGN63058 pep chromosome:ASM407v2:2:20360062:20361129:-1 gene:Csa_2G396200 transcript:KGN63058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMRSTRPPLSINLKLILLLFLFLSFLLLVIRSGFQSSQNNPPPVTQTHVLTDEPECPSLPICTKTPPSVANALIHYATTNITPQQTLKEISVSARILETKSPCNFLVFGLGHDSLMWTALNHGGRTVFLEEDKAWIDQIQERLPNLEAYHVQYDTKVHQADELMKIGMGEECKIVGDPRFCKCELALKGFPSEIYEMEWDLIMVDAPTGYFNEAPGRMSAIYTAGLMARNRQEGETDVFVHDVDRVVEDNFSMAFLCKGYLTKQEGRIRHFSIPSHRTRPDTPFCP >KGN60573 pep chromosome:ASM407v2:2:468611:468919:1 gene:Csa_2G000805 transcript:KGN60573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKMVFYGVMLFYRGVNLELRFEIKTSSEMLEGLRLLPIGSVPPQRKRSSILRGQEDSKLPRHFAPFEIVTLNLK >KGN63172 pep chromosome:ASM407v2:2:21010756:21016498:-1 gene:Csa_2G406700 transcript:KGN63172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKEEFLSNQTSMHSSFRSSSQSKQQIGGSQPEEEICWRKQVDQNLKRLQSLLFGADFALEKADYSAAQLLGLRLLGFLDSHTHTDLDQAFIQPIRREALEKIHVARRSLMPESDRQVFEQARTAPGCVFSMSKDVDFSKITQSKYFQALQHSNGRIINELDQLARVNKLSTDAPEITAEAKVTSPLYKKDHGRTSPNLYRSSLNSSNRFDDCIIVEKDPSLYDHKKTQGVSFTKPEEEGRAHRNMFSSPTRRARMEITSPKEDNTNSPSGTEDANADFSGNAFVTARTKLEMDAKQKRGLAGSPNTSVSPQCNDNVSYKGYGMRSYGSSRRGVRGNFVPPVRSTGGTAGNMVNSRSGGKGEDALDDSTKRCLDMLCGPDGELPEKLRNLEPRLIEHVSNEIMDRDPNVRWDDIAGLEHAKKCVTEMVIWPLLRPDIFKGCRSPGRGLLLFGPPGTGKTMIGKAIAGEAKATFFYISASSLTSKWIGEGEKLVRALFGVASCRQPAVIFVDEIDSLLSQRKSEGEHESSRRLKTQFLIEMEGFDNGSEQILLIGATNRPQELDEAARRRLTKRLYIPLPSSEARAWIVRNLLEKDGLFNLSKDEIDTICTLTEGYSGSDMKNLVKDASMGPLREALKQGTDITLLKKEDMRPVTLKDFESAMQEVRPSVSLSELGTYDEWNKQFGSLSL >KGN63204 pep chromosome:ASM407v2:2:21255652:21257314:-1 gene:Csa_2G409480 transcript:KGN63204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEKMADDEFSNCFLQIPLTGSNPSLLKKKRNLPGTPDPEAEVIALSPKTLLATNRFICEICGKGFQRDQNLQLHRRGHNLPWKLKQRSNKEAKKRVYVCPEKSCVHHHPSRALGDLTGIKKHFCRKHGEKKWKCEKCSKRYAVQSDWKAHSKTCGTREYKCDCGTLFSRRDSFITHRAFCDALAEETARVKAGTTISNLNYNLMGGWRDHDETAGIFMTQHFGSSMKPVTMKMSSNSVQMIGGMMMNNSGGGMYGEDSVWGNQVQMGNYYYNENQGLMVNNGGRVCSLYSHEFQQVNETQMGNMSATALLQKAAEIGATSSASSNTVTRSAAPSLSLLQIQQQGFLFNNGSEFCNTNNNPIVVVENNGSEMYTAKRRRSQSEFECGNGNGTTGTGTGETRDFLGVGAKTICHASTSINGWI >KGN62319 pep chromosome:ASM407v2:2:15811087:15813055:1 gene:Csa_2G349050 transcript:KGN62319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWQRTWPDRSDASPFSSFSLSKLKAKSAIHMDDHHHNLDAYHTSRHTLSTSKSTNFTPTVHPSPSTSSSSQTPFWVRPSSLPSHDFSALPYDVLIKIAASFNLPNLRAASFVCKAWFDAFRPLREAMLFLRWGKRFKHGRGGVRPNSDKALNSFLKGAARGSTLAMVDAGLLYWEMGNKNDAIALYQKAADLGDPSAKCNLGISFLHAKPPNPTEAVKWLRQASVVGNIRAQYQLALCLQQGHGVDRNVQEAARWFIKAAEGGYVRAMYNLSLCYSCGEGLVHNHQQAKKWMKRAADRGHCKAQFEHGLHLFSERDMMKAVVYLELATRSGERAAGHVKNVILQQLSQSSRDRVMSVADNWRPLPSSH >KGN62602 pep chromosome:ASM407v2:2:17307546:17309902:1 gene:Csa_2G361670 transcript:KGN62602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTIGFRLISVSAAVSPPSQSPNNDQFQECQPLRHQLPITTSRRGLTMLSFISAVPSLFLPAPASAFDIGISGPKDWLKEQKKKASKFLLAPIEASRDSLQAVYLLLSNDSDYSSKDMEDVQRLLKSAARDCVLKDRNSFVQFQASTGVEVCTFQLIVKNAASLLGNRDPIKLEAESLLKDLVSSFTSLNSLTYETDIQVNSNRQKVLDALNDTMTSLDKFEKGIKDCLEI >KGN62436 pep chromosome:ASM407v2:2:16486451:16488333:-1 gene:Csa_2G354620 transcript:KGN62436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNFTGSHCDNSGCESGWTMYFEESIETEERFRGSPVDYGGGEKEEEEERDLSMISDASSGPRNGYYEEKNCQSVSRSGGKLVAGKSKRQEEMGWRNQHSCLDDTASSPVFGLSKIRETNPYRNEGLADNVKEFSQTHSRKHGKKQTSFFQSSSVKKLAKNVSGDYQEQ >KGN62891 pep chromosome:ASM407v2:2:19250774:19251019:-1 gene:Csa_2G379230 transcript:KGN62891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKIQRKRGSRTLDLDRNRHSPQSTRNALNIPSVQLIERRRNKLEMKESKKSIEFDHRLKLKAKGNEIGGKKNKYGGNGRS >KGN62071 pep chromosome:ASM407v2:2:13988423:13989709:-1 gene:Csa_2G295960 transcript:KGN62071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPRYDGKYLRSMLNEKLGDLTLKQTLAYAVIPAFDIKLLQPVIFTTNDAKSNELKNPRLVDVCISTSAAPTFLPAHYFETKDSNGGTRAFNLVDGGVAANNPTLAAMSHITKEVSVMGNSDYMNIKPMDTRRMLVISLGTGAPKNDEKFSAVQASKWGLFNWVLDLENGATPIVDFFGHASADMVDYHVSTFFQSLHSKHNYLRIQDDTLTGDLASVDIATRENLNKLVETGEALLKKPVSRVNLETGQFEEAGEGSNENALADFARLLSEERKLRLST >KGN62595 pep chromosome:ASM407v2:2:17264937:17266328:-1 gene:Csa_2G361600 transcript:KGN62595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFPGLQGFVTRGPIQLTTGLTPCHEPRYGSASEFQLGNEGPAIHTKGFLAGSISCDVGLLDLLEAGWFLEETISTEILSQNVCQG >KGN62437 pep chromosome:ASM407v2:2:16495547:16497865:-1 gene:Csa_2G354630 transcript:KGN62437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEWGRSQISSQPSNYVTILDLRERWLKENERKHKEKEDLKQLELEEREQKVPRTEPDKKDDQKPVVRKPRRNASSWSRNGSEKVRRYYRANPRNVNKICKKILDESEPELAIPRIERDKKKEKKGRKKNRRIRKGKMDKTSPTPEENLKEGFREKECEVGVQNGKKNVRARKIDEKKWTGSRRGPEKTGYAHEMKEMENKLSLISVSFEIKRGRNNGVDRGSSQGNRNFRDRRNLDRRGPRKQRDVKMIWVRKDELSKGA >KGN62674 pep chromosome:ASM407v2:2:17758877:17759342:-1 gene:Csa_2G368260 transcript:KGN62674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFILTKPLFASLLLSLLFLHFVESNGVGLLPPNPRRMDCRGACTRRCTLSSRPRLCNRACGTCCQRCNCVPPGTSGNHHLCPCYAAMTTHGGRLKCP >KGN63270 pep chromosome:ASM407v2:2:21899740:21901396:1 gene:Csa_2G421020 transcript:KGN63270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMQRLTFLVLATIVGLLSLIGSTQAQLKLGFYAKSCPHAEKIVLDFVHQHIHNAPSLAATFIRMHFHDCFVRGCDASVLINSTSNNQAERDSAPNQTLRGFDFIDRVKSLLEDECPGVVSCADVLSLIARDTIVATGGPYWEVPTGRRDGVISRSREALNNIPPPFGNLSTLQRLFSNQGLDLKDLVLLSGAHTIGIAHCQSFSNRLYNFTGVGDQDPSLDPRYAANLKANKCRTPTANNKVEMDPGSRNTFDLSYYSLLLKRRGLFESDAALTTDATTLGLVQKLVEGPIEEFFAEFAASMEKMGRIKVKTGTEGEIRRRCGVVNS >KGN60954 pep chromosome:ASM407v2:2:3025136:3030549:1 gene:Csa_2G031130 transcript:KGN60954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFSLSGNALKTFARSVTCLARIGNELVIQASPSQLAFYTLNASRSAYQAITFETGFFDHYAVSGNQVQCSVLLKAVCSVLRTPIVSIDHLKVQLPDPDALKVRWSLECFNGMKKTYFISCNIEPDIQHLSLDRRQFPSDLVVRPRDLNRLLSNFQSSLQEITVIATEPTSLPSEAASEIEGKAVELRSYIDPTKDNDALLHTQLWIDPMEEFVHYSHSGDPVDITFGVKELKAFLSFCEGCEVDIHLYFEKAGEPILMAPKFGLDDGSSSNFDATLVLATMLISQLHAGNQSQPPQEAASVHGESDRRAGSQAQAQAQQDTSRPNVSGHPSDHTRMWSDLSGSAPRSGNGAEGQVQGERNLSSSEQMEIQRISTIQISKAGCAQENDQVGRAGLRRKLGTVTQSLRLGLNIYFPSRIFIAWEVTILWEGIREENVKRSLILMVLLCPNITPVTGWMKKTTTTTTGTTTNCVFSQLHHTMKNNRKMAA >KGN62460 pep chromosome:ASM407v2:2:16599057:16601762:1 gene:Csa_2G354860 transcript:KGN62460 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xylem serine proteinase 1 MKTCRVSQWFLLFLISFCSCSFTEAQKSNQQLKKKTYIIHMDKTNMPQAFDDHFQWYDSSLKSVSDSAQMLYSYNTVIHGFSTRLTVEEAKLMEKQEGIIAVIPEMKYELHTTRTPEFLGLGKSVSFFPASEKVSEVIIGVLDTGVWPELESFSDAGLGPIPASWKGECEVGKNFTSSNCNRKLIGARYFSKGYEAAFGPIDESQESKSPRDDDGHGSHTSTTAAGSAVTGANLFGFAAGTARGMAAEARVATYKVCWLGGCFSSDILAAMDKSVEDGCNILSVSLGGNSADYYRDNVAIGAFSATAQGVFVSCSAGNGGPSSSTLSNVAPWITTVGAGTLDRDFPAYVTLGNGKKITGESLYSGKPLPNSLLPIVSAASASNSSSGSLCLSGTLNPAKVTGKIVVCDRGGNSRVQKGVVVKEAGGLGMILANTEAYGEEQLADAHLIPTAAVGQKAGDAIKNYISSDSNPTATISTGTTRLGVQPSPVVAAFSSRGPNLLTPQILKPDLIAPGVNILAGWTGGAGPTGLDSDKRHVAFNIISGTSMSCPHISGLAALVKAAHPDWSPAAIRSALMTTAYSTYKNGEMIQDISNGSPSTPFDIGAGHVNPTAALDPGLVYDTTTDDYLAFLCALNYSSLQIKVISKKDFTCNGNKNYKLEDLNYPSFAVPLETPSTRGGENVAPTTIKYTRTLTNKGASSTYKVSVTAKSSSVKIVVEPESLSFTEVNEQKSYTVTFIASPMPSGSQSFARLEWSDGKHIVGSPIAFTWT >KGN62477 pep chromosome:ASM407v2:2:16659585:16660658:-1 gene:Csa_2G355030 transcript:KGN62477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSVGKNVDRIKGPWSPEEDESLKRLVESYGPRNWSLISKSIPGRSGKSCRLRWCNQLSPQVEHRPFSAEEDDAIIRAHAKFGNKWATIARLLNGRTDNAIKNHWNSTLKRKCSAMGDDFNDPDLHHPPKRSASLGPSPVTVASGFGLNPSSPSGSDLSDSSPPPPQPVYRPLARSSSIVPSNQQQQQIVNSYDPPTSLCLSLPGSNSKSGYGSDSIPSPTRKMVQATPTAGGYGKESELFSAEFLSAMQEMIKIEVRKYMSGIEHNGWHLHTDAIRNAVVKRMGISKID >KGN60866 pep chromosome:ASM407v2:2:2472520:2479474:-1 gene:Csa_2G020890 transcript:KGN60866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSIVGAESSTSSSSSFKWSFDVFLSFRGDDTRSNFTGHLDMALRQKGVNVFIDDMLKRGEQISETLSKAIQEALISIVIFSQNYASSSWCLDELVKIVECKKSKGQLVLPIFYKVDPSDVRKQTGCFGEALAKHQANFMEKTQIWRDALTTVANFSGWDLGTRKEADFIQDLVKEVLSRLNCANGQLYVAKYPVGIDSQLEDMKLLSHQIRDAFDGVYMMGIYGIGGIGKTTLAKALYNKIANQFEGFCFLSNVRETSKQFNGLVQLQEKLLYEILKFDLKIGNLDEGINIIRSRLRSKKVLIVLDDVDKLKQLEALVGERDWFGHGSKIIVTTRNSHLLSSHEFDEKYGVRELSHGHSLELFSWHAFKKSHPSSNYLDLSKRATNYCKGHPLALVVLGSFLCTRDQIKWRTILDEFENSLSEDIEHIIQISFDGLEEKIKEIFLDISCLFVGEKVNYVKSVLNTCHFSLDFGIIVLMDLSLITVENEEVQMHDLIRQMGQKIVNGESFEPGKRSRLWLVHDVLKVFADNSGTIAVKAIKLDLSNPTRLDVDSRAFRNMKNLRLLIVRNARFSTNVEYLPDNLKWIKWHGFSHRFLPLSFLKKNLVGLDLRHSLIRNLGKGFKDCKRLKHVDLSYSSLLEKIPDFPATSNLEELYLNNCTNLRTIPKSVVSLGKLLTLDLDHCSNLIKLPSYLMLKSLKVLKLAYCKKLEKLPDFSTASNLEKLYLKECTNLRMIHDSIGSLSKLVTLDLGKCSNLEKLPSYLTLKSLEYLNLAHCKKLEEIPDFSSALNLKSLYLEQCTNLRVIHESIGSLNSLVTLDLRQCTNLEKLPSYLKLKSLRHFELSGCHKLEMFPKIAENMKSLISLHLDSTAIRELPSSIGYLTALLVLNLHGCTNLISLPSTIYLLKSLKHLYLGGCSRFQLFSRKWDPTAHPLCSFSKIMDTSSSSEFPHLLVPKESLCSKFTMLDLQCCNISNVDFLEILCNVAPFLSSILLSENKFSSLPPCLHKFMSLWNLQLRNCKFLQEIPNLPHCIQKMDATGCTLLGRSPDNIMDIISSKQDVALGDFTREFILMNTGIPEWFSYQSISNSIRVSFRHDLNMERILATYATLQVVGDSYQGMALVSCKIFIGYRLQSCFMRKFPSSTSEYTWLVTTSSPTFSTSLEMNEWNHVTVWFEVVKCSEATVTIKCCGVHLTEEVHGIQNDVKGPGVVYTVFDQLDKLPSRDVIKSFGQEVSAKSDCNAMLHAENFPVWNDSKMQQHMNFPLHVTSQGVTRIRGMEGMAETTLANSICNKYERSRNLFSAKKALNHSTGFLCGDGNGLSWEMVDRPILSDRLSSQKYLRIFDDRDRYGDLNDVAHGTGNRFRSRFLRMDDIKEDDIREEPYWKYMERDYLDLSKQAVDYCKGLPLGLEVLDSFLYNRDQSKCKSVLDSYEKSSLHKRIQEILQIKFIWCYLLWRFGVSTILIKMD >KGN60682 pep chromosome:ASM407v2:2:1189086:1189558:1 gene:Csa_2G006280 transcript:KGN60682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSILSSQSMAMAFAGTVIVVLSFYLHKSHPSISSVMKKKEKEKKKKGRKKVHFSDDLAIFSSTKDLKRKKDMMLGSSPPSGRAPARPLTTSGGGGGGLPANRAALYNGILRNRLVHRIAYSL >KGN62410 pep chromosome:ASM407v2:2:16283621:16291748:-1 gene:Csa_2G352400 transcript:KGN62410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFMKVFDQTVREIKREVNLKVLKVPEIEQKVLDATDDEPWGPHGSALAEIAQATKKFSETQMVMNVLWTRLTETGKNWRLVYKALAVIEYLVSHGSERAVDDIIEHTFQISSLSSFEYVEPSGKDMGINVRKKAETIVALLNDKDKIQEVRNKAASNREKYFGLSSTGITYKSGTASYGSSSYYSSDSQSTIRGDRFRDRSSDRDSFRKEKDGQDDFKKSDWATKPDGKGSAQNNSKDQGKTSFGKSKPSKKSNDSANQTSSFSASTPTNNSDDDFDDFDPRGTSSTTTTKAAAPSPNGVDLFGDSLLGDFMDGPALAPPGKPDTSSPEVDLFADATFVSAPTQMEKEPNSPLKTTEVDLFASQPASVSATVDFFSSPDPVVQTGTNFASAARDSKASQPASNSSTIDFFASSEPVVETETNFVSAARDIKASQPSSVSAPVDLFASPEPVLKTETNFASAARDSKAVDPFAALPLNSFDGSDLFGAPSSHSEPVSSQPSQSPVGGPSNNLDGKSINSSIAPAKKNTFQVKSGIWADSLSRGLIDLNISAPKKVSLVDVGVVGGLSDFSDEREKGPAPTYHMGQAMGAGSGLGRTGSQALGDDFFSQLSGQQYQFGGFQK >KGN62720 pep chromosome:ASM407v2:2:18040512:18041740:1 gene:Csa_2G369220 transcript:KGN62720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIHQNKKLNNLLHALKDKASLIKATFSINRRSSSIKVAVVRATTHGARNPPSDARVSAVLALGNDFRSSTAFACIEALMNRLHTTSSAAVAMKSLFTLHIIVIRGPFNLRDQVSFFPSYGGRNFLNLSAFRDVSDSEMSDLSSWVRWYAGVVEHNVIVDRKLDRILYFRSRNCEIDEDGRKGKVDLSEELVVLVGFVERICEVPESLHLQKKDLVYEVVRLVLQNYRLVQKEIWVRVKEIGERVERLSVDELSELVGILTRLENCRWKVSVLFVNRGKSEEFWELVKKTRGKLGEKKRLKEEKRMIMVVESVESTRLRNPFVEPGQLMWVPGGPALLPLTVSTVG >KGN62931 pep chromosome:ASM407v2:2:19508002:19508455:1 gene:Csa_2G380600 transcript:KGN62931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTSSSQSDSISTETPTNLIPTKSTYSTNYLIWKYQVSSILKEHSLFGHIDDSLPCPFNFFPSTPAGTTPEINLEHLQWLSRDQAHISLINTTPFPSTLAHVVGTTSSKALWFSPEKRHSSNTISNILDL >KGN62093 pep chromosome:ASM407v2:2:14131979:14132329:-1 gene:Csa_2G297170 transcript:KGN62093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGRFVNGHSPMPFVGFHFISLLTRAVISLFFFFHLLPKLLKSDYTLDFSSLSDLTSLPISQILNPHFILHSSFFSNLQTLRSCMIPPTRSLLLPLYNKLSTLFRDASTWVFRFQL >KGN61588 pep chromosome:ASM407v2:2:9891157:9892770:1 gene:Csa_2G173070 transcript:KGN61588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFKRYVEIGRIALINYGEDYGKLVVIVDVIDQNRALVDAPDMERSQMNFKRLSLTDIKIDIKRVPKKKELIEAMKAGDVQKKWENSSWGRKLIVKKRRASLNDFDRFKLMLAKIKRAGLVRQELAKLKKAEA >KGN63349 pep chromosome:ASM407v2:2:22505642:22507916:-1 gene:Csa_2G431180 transcript:KGN63349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKLKIIMKLPLEYSCSHQCAGDQRALEGRRCTILEHRCTTKISPNGTALSNLPRKKIESWRKEKGKIESSLQIRSKKTSCMLLFADNATRLTAFSKHFMGILKASDYSVKVRIEFNEESQSKKEIDIIRENI >KGN61523 pep chromosome:ASM407v2:2:9159942:9161233:-1 gene:Csa_2G155090 transcript:KGN61523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSIKVDNLDPFLDATSLFTEFINDEICLKFSPSTFSMIARYQCPTFFAMLFMPHPLFVEYSVDRNHISRISLRCFRNALLEGQSYSSMSIHLREPQNTILFKFEPSILITSRGSQITFSAVPREEIIIREEVCFVKFV >KGN60926 pep chromosome:ASM407v2:2:2807687:2808003:1 gene:Csa_2G023930 transcript:KGN60926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDDEKIVQTPRHSSLCTRITSASNVQNVAALGQLCKLGPFCLTAPLESSWGKYKVEAFFTSLAHTNTSVCS >KGN60812 pep chromosome:ASM407v2:2:2026602:2031004:-1 gene:Csa_2G011450 transcript:KGN60812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRMFSVGEISDQYWSSELAVATPSSRPPPPSDQASKMNRSASEWAFQRFLQEASETSPHSSAADHGEGEVIEIKDSSFDQLQKLNTNHDSLSNCNNTSISSNAVPPNIPIDSEEYQAFLKSKLHLACAAVAMKRGSFRMTPASSTSADCGSQASNTSGIQAPKASNVGAGNNSSRSPDKDINGAAGVTSSSVVPKISEVRARPVTSGSSRDLSDDEEIEGETEINESKDPADVKRVRRMLSNRESARRSRRRKQAHLTELETQVAQLRLENSTLLKRLADISQKYNEANVDNRVLKANIETLRAKVKMAEETVKRVTGNPMFHAMSEISSIGISSLDGSQSDTSTDAAVPLHDDPCRHLYQSTPNNPVGPHDIVVNNRLPNISQVSNGHQNSPSHVPPTMSGNKTGRSESLQRVASLEHLQKRICGAKTNAEQ >KGN63164 pep chromosome:ASM407v2:2:20977669:20980959:1 gene:Csa_2G406130 transcript:KGN63164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRWNDKLVAFINNRWLVFVAAIWLQSWAGIGYLFGSISPIIKTNLSYNQRQISRLGVAKDLGDSVGFLAATLTEILPFWGSLLVGAIHNFVGYGWVWLIVTGRAPVLPLWAMCALVFIGTNGETYFNTVSLVSCVQNFPKSRGPVVGILKGFAGLSGAILTQTYAIFHSPESANLIFMVAVGPALVAIGVMFFIRPVAGHRQVRPSDGMSFTSVYGVCLLLAAYLMGVMLIEDLVTLSPIVITIFTVVMFVILLTPFFIPVSLTLSSEATTYAEQEALLPPSEKEEPARTEPDGNEVIFSEVEDEKSEGEDLLPASERQKRIAQLQAKLLQAAAEGAVRVKRRKGPRRGEDFTLGQALIKADFWLIFSSHLLGSGTGLTVIDNLGQMSQSLGYDNTHIFVSLISIWNFLGRVGGGYLSEIVVRDFAYPRPIAMTIAQVLMIFGHVFIGMGWPGAMYIGTLITGLGYGAHWAIVPATASELFGLKKFGALYNFITLSTPMGSLVFSGLIASSIYDSEAEKQARNHLTQFQSSSSFWFTRLYTEGPHKCEGAICFFLTCMIMGGFCAIAAILSLILVHRTKGVYHNLYGKSRTSTLS >KGN61231 pep chromosome:ASM407v2:2:5591338:5595785:-1 gene:Csa_2G072450 transcript:KGN61231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAPSKLYADDVSLLVVLLDTNPFFWSTSALPFSKFLSHVLAFLNSILVLNQLNEVVVIGTGYASCKYLYNSSSYSNHGLEDGRMPALCTRLLKNLEEFVIGDEQSIKEDPKGGTMSSLLSGSLSMALCYIQKVFRSGSLHPQPRILCLQGSPDGPEQYVAIMNAIFSAQRSMVPIDSCYIGSHNSAFLQQASYITGGVYLKPQQMDGLFQYLSTVFGTDLHSRTFLQLPKSVGVDFRASCFCHKKTIDMGYVCSVCLSIFCKHHKKCSTCGSVFGETPVELDSVSKLKRKTPE >KGN63026 pep chromosome:ASM407v2:2:20055669:20056545:-1 gene:Csa_2G384460 transcript:KGN63026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMDLEFPKNLPKIRLPLQVRSPPKPSLSDNIIPSSDHDSDLDRRSCRTPTSAEHKIPKILSCPGAPKKPKRPPVPCKRKLTMELKFFEIVNQEEVDNFFRSAYDLESSPTAPPKRSCCRPSA >KGN60727 pep chromosome:ASM407v2:2:1467033:1469409:-1 gene:Csa_2G008670 transcript:KGN60727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELDKVGYSLDDTSLWFPSVLEALTVEALLTAANSLAWFLTTAGALLNDVNAPPGFTAIGKNFPFEELHAKNNPDRENVDGSETEDDKDGDEVDDDDANDQDGGEDVEDFSAEEGDDGDLEDDPEASGNGRAGGDEEDDGEDDDDDANDPDEDDDDDDDEDFSAEEGDEGDQEDDPEASGNGRIEGVEEEDDDDDDDDGDEDDDDDDEEDEDDEDEDDDEETLEPPAKRRK >KGN62948 pep chromosome:ASM407v2:2:19592458:19596784:1 gene:Csa_2G381740 transcript:KGN62948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRLLHGTLHADIYEIDRLQTGFPVFCGKDKRSTKKFLAGVKRCILCRPEIVGSRMYATVDLDKARVGRTRIVDQPYNPHWNDHFRIYCAHTVSHIIFTVKDGDFIGATLIGRAYVPVEEIIKGFVYEKWVDILDEDGKPLYGRSRIHVKLQFSSVNEDRNWSRGILDPNFEGVPFTFFKQRWGCKVSLYQDAHVLNNFLPRVGLSGGNFHEVHRCWEDIFDAISNARHLIYITGWSVYTEITLIRDRERRQTGDDITLGQLLKKKAEEGVTVLLLVWDDRTSIEVFKRDGLMATHDQETAEYFRNSKVRCVLCPRRPDVGRSTIQGFETDTMFTHHQKTVVLDSEIVGGGTEKRRIISFVGGIDLCDGRYDTPQHPLFSTLDSIHYNDFHQPNFSGSSIRKGGPREPWHDIHCRLEGPVAWDILYNFEQRWRKQVGNNSLIPMQKLEEIITRPVMVLPADDPDTWSVQIFRSIDGGAVDGFPDTPEVASKMNLVTGKNNVIDRSIQDAYINAIRRAKKFIYIENQYFLGSSYGWKAAGIRVEEINALHTIPKEISLKIVSKIEAGERFTAYVVIPMWPEGIPESASVQAILDWQRRTLDMMYTDIAQALRKKGLDANPRDYLTFFCLGNREKKRTGEYIPPEKPEPNSDYARAQEHRRFMIYVHSKMMIVDDEYIIIGSANINQRSMDGGRDSEIAMGAFQPRHLASSEPARGQIYGFRVALWYEHLGLFDKVFHNPESEDCIQFVNKLAQENWQFYSDDTYDGDLPGHLLSYPIQVGPNGSVSALPKFEFFPDTKARVLGQLSEYLPPILTT >KGN61937 pep chromosome:ASM407v2:2:12973702:12974606:1 gene:Csa_2G270790 transcript:KGN61937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIQLQLHRRNFGLDSTPSSDHQFHQLFHLLNHHHHLLLQPPDHAAQSGTNNNDDHLLLLQQYYSLTQSDFHQSLTSFLSEMPADHIDRFIRLQSERFRLLLQQKINQQIGVLLNQIETRTRVLFQQKDEEIACANMRRMHLEQLLTRLQMENQERKKSVQENQAMVASLSRALNQIREKVSLCANDAESNNNNNSNNYRNGEDDAIDYGKKKKKKKKMMICKICNSRVSCVLLLPCRHLCSCKPCESTLDFCPVCNTTKKASIEAVIF >KGN61436 pep chromosome:ASM407v2:2:8144733:8151191:-1 gene:Csa_2G122000 transcript:KGN61436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISSDNVRGFLLAVSSSVFIGSSTIIKKKGLIKSGAAGTRAASGGFSYLCEPWWWAGMITLIVGETANFVAYAYAPAILVTPLGALSIIFSAVLAHFMLKEKLHIFGILGCVLCIVGSTTIVLHAPLEKKIESVKEVWHLATEPVFLVYSFLVIVVVVVLVFKYAPRYGQSHMIIYVGICSLMGSLTVMSVKAVAIAAKLTFEGMNQFKYFETWFFTVFVIGCCILQVIYLNKALDAFNSAVISPVYYVMFTTFTILASMIMFKDWDTQSATQIATEVCGFITILSGTFLLHKTKDMGKGPPTQPPLFQTQSHHQNQNLHPTRTL >KGN60552 pep chromosome:ASM407v2:2:329871:331727:1 gene:Csa_2G000600 transcript:KGN60552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEKTKGRKEEVVSREYTINLHKRLHGCTFKKKAPKAIKEIRKFAENAMGTKDVRVDVKLNKHIWSRGIRSVPRRIRVRIARKRNDDEDAKEELYSLVTVAEIPAEGLKGLGTKVIEEED >KGN60880 pep chromosome:ASM407v2:2:2548474:2552810:-1 gene:Csa_2G021510 transcript:KGN60880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRASGSSSSSCFRWTFDVFLSFRGEDTRSNFTSHLNMALRQRGINVFIDNRISRGQEISASLFEAIEESKISIVIISQNYASSSWCLNELVKIIMCKELRGQVVLPIFYKVNPSQVRKQNGAFGEAFAELEVRFFDKMQAWGEALTAVSHMSGWVVLEKDDEANLIQKIVQQVWKKLTCSTMQLPVTKYPVGIDRQFENLLSHVMIDGTRMVGLHGIGGMGKTTLAKTLYNRIADDFEGCCFLANIREASKQHEGLVRLQEKLLYEILMDDFIRVSDLYKGINIIRNRLCSKKILLILDDIDTSEQLQVLAGGYDWFGYGSKVIVTTRNEHLLDIHGFNKLRSVPELNYGEALELFSWHAFQCSSPPTEYLQLSKDAVNYCKNLPLALEVLGSFLYSTDQSKFKGILEEFAISNLDKDIQNLLQVSYDELEGDVQEMFLFISCFFVGEDKTMVETMLKSCGCLCWEKGIQKLMNLSLLTINQWNKVEMHDLIQQLGHTIARSKTSISPSEKKLLVGDDAMHVLDGIKDARAVKAIKLEFPKPTKLDIIDSTAFRKVKNLVVLKVKNVISPKISTLDFLPNSLRWMSWSEFPFSSFPSSYSMENLIQLKLPHSAIQHFGRAFMHCERLKQLDLSNSFFLEEIPDLSAAINLENLSLSGCISLVKVHKSVGSLPKLIDLSLSSHVYGFKQFPSPLRLKSLKRFSTDHCTILQGYPQFSQEMKSSLEDLWFQSSSITKLSSTIRYLTSLKDLTIVDCKKLTTLPSTIYDLSKLTSIEVSQSDLSTFPSSYSCPSSLPLLTRLHLYENKITNLDFLETIAHAAPSLRELNLNSKDSRRLNFFGCISLAKSPDNLADFISCDSEHVDGHFKQLILMNCDIPDWFSYKSRNNHITIFMPSNDPSWEMKVFAPCVKFQVNHVDRNRYMDLECKMFINDIQVWSSEEVPCLEESRRILIEESPYEYMWLLVLYPHIDFPLNSEDIVKRSQEYFNLHQPSFGINSMGRDNNNCNVDDDRRNHFGESIWRKFTVSFGVNSKFKDSQVSIKRCGVHVIMEEWRD >KGN61381 pep chromosome:ASM407v2:2:7616160:7616417:1 gene:Csa_2G103600 transcript:KGN61381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLPNLAFNTSTRAARLGDNTTIVVFGFMGNSSMLFFFGDGHLKTLGFDTTLGFDT >KGN60949 pep chromosome:ASM407v2:2:2999281:2999923:1 gene:Csa_2G030590 transcript:KGN60949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIDYRVLDLNITSAEGIKGVLSFTNFFFKSKVYAAVSVDGTPSATQETPLAKYFGKNPVWNCSMRFHLEESKLQENALTLKIKLKRRRTFGRDDEDIAEASIPLRNLFNGNENVTNENCSSSFMLSKSGGLRGLVYFSYCFSRTYNASDASDDGATVAPPLAFERPPLAHRSDLSCGVFDLLFH >KGN62274 pep chromosome:ASM407v2:2:15553742:15558982:1 gene:Csa_2G347130 transcript:KGN62274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNVSFRPSVVTKADNVPEHRRASAFGILSATIASASVCGNLCARFLSISSTFQAAASTAAMAAVYMRVFLTDSAPNCNLSAPLLSGENVESVSSKKEKYATALPSLTDLFSFLKTSSTFSQVAVVAFFSNLADVGHHASIMLLLTPILVPALGENRLLSVGVFFNSLHMLLHSLAWSAWVSYGAAMLSVLYIFWQPCLQSIVSKQVGAGEQGKAQGCISGISSFANVVSPFVFSPLAALFLSENAPFHFPGFSIMCAASAAMTAFVQSMMIKAPAKACTSIHVEVLV >KGN62907 pep chromosome:ASM407v2:2:19368069:19369802:1 gene:Csa_2G379870 transcript:KGN62907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAVVLTRSSSWARALINISPYTFSAIGIAIAIGVSVLGAAWGIYITGSSLIGAAIKAPRITSKNLISVIFCEAVAIYGVIVAIILQTKLESVSASQIYAPESLRAGYAIFASGIIVGFANLVCGLCVGIIGSSCALSDAQNSSLFVKILVIEIFGSALGLFGVIVGIIMSAQASWPAKRG >KGN60577 pep chromosome:ASM407v2:2:478927:480283:-1 gene:Csa_2G000840 transcript:KGN60577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIQFSLRSLYTQSHKLTPTLSLPFLSFLRRTMSTSGDIVSPSTTRVGWIGTGVMGCSMCSHIIKAGYKLTVFNRTISKAQPLLDLGANLATSPLAVAAQSDVVFSIVGYPSDVRSVLLDPSSGALAGLRPGGVLIDMTTSEPSLASEIAASATAKGCGAIDAPVSGGDRGAKNATLAIFAGGDEDEVQRLSPLFSLLGKVNYMGESGKGQFAKLANQITIASTMVGLVEGMIYAKKAGLDVGLFLNAISTGAAGSKSLDLYGSRILKRDFEPGFFVNHFVKDLGICLKECQNMGLALPGLALAQQLYVSLKAYGEGNLGTQALILSLERLNNVSLEGSGSS >KGN61297 pep chromosome:ASM407v2:2:6357415:6357988:-1 gene:Csa_2G076530 transcript:KGN61297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKHEDVDDVGSASGDSFIDDSEDDGPSTSGKDDQLHLEASDISLFICIYQVLALLVASCLARTID >KGN61398 pep chromosome:ASM407v2:2:7776153:7780546:-1 gene:Csa_2G110240 transcript:KGN61398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCTSKLSRNLKAYRKYSSRFGKRRSKISIPDVSIKAIGDSRKHAGDFKVNEFVHLDFEKGTARNRARSEVPKKTFHLKQLHWSHGQIDANGVCQDEPWFDSASILDSDSDDEDFSSVHGDCFPSIGNDPNAQLFQYQSTSCFIDTGCMYEGFYESYLKIDGGAQNFEYTSQEFNMNTCLPCLPPPASYNEKNHSSNTQPENQKKSAVIMLSVKRKSVDGYERTEFCTSEKILYRPRAGLQISCGKGEKLTPSSWSPISPSVFKLRGENYFRDKQKYPAPDLSPYVPIGVDLFLCPQKINHIAQHIELPHVKAHEKLPSILIVNIQLPTYPASMFSGDYDGEGMSLVLYFRLSDNFDEEISLHFQDSIKRLIEDEMEKVKGFTRESLVPFRERLKIVGGLVNPEDLQLSATERKLVSSYNEKPVLSRPQHNFFRGKNYFEIDLDIHRFSYISRKGFESFRDRLRHGILDIGLTIEAQKPEELPEQMLCCLRLNKIDFVNHGQIPTIMSA >KGN62419 pep chromosome:ASM407v2:2:16349111:16352145:1 gene:Csa_2G353470 transcript:KGN62419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDDNGKPDKGNQWQSPRPSHQEKEEDMKLWGILLFGLIGASATTLAVGQLRKTVDWVYTQLSRSQSSWGGGTGRSFRSSFQEDAWKRYNRRLQEEYEEEMERVERIRRMQNVFNRERNKYKRGYESWRENDPGSYHQHQQRDDWYWKAETFYREQRQSNTNNYRETPRNGPSYLLSHHYTVLGLNRCRKTPYTDAEIKTAFQSKAKQFHPDQNQDNKEAAEAKFKEVMTSYEAIKSERKNNRQ >KGN61246 pep chromosome:ASM407v2:2:5760116:5764453:-1 gene:Csa_2G074080 transcript:KGN61246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVGTFQLLLPAFPSSSSSSFTNKALSLRPLPFPTSPLQRHAHHLNHSLQHLHPISKSGRNFRSFRCLSGLSPELKTTIDKVITSEKVVLFMKGSKDFPQCGFSHTVVQILKSLNVHFETINILENELLRQGLKEYSSWPTFPQLYIDGEFFGGCDITVEAYKSGELQEVLEKAMCS >KGN62872 pep chromosome:ASM407v2:2:19122192:19128976:-1 gene:Csa_2G378540 transcript:KGN62872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGDHKAITLEEIKNEAVDLEHIPIEEVFEQLKCTREGLTSEEGAHRLQVFGPNKLEEKKESKLLKFLGFMWNPLSWVMEAAALMAIVLANGGGRPPDWQDFVGIIALLFINSTISFIEENNAGNAAAALMAGLAPKTKNPSDEVFSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTARAGIREVHFLPFNPVDKRTALTYIDSNGTWHRVSKGAPEQILNLCNSREDVRRKVHAVIDKFAERGLRSLGVARQEVHEKTKDSPGGPWQLVGLLPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIAKETGRRLGMGTNMYPSSSLLGQDKDESIASLPVDELIEKADGFAGVFPEHKYEIVKRLQERKHICGMTGDGVNDAPALKKADIGIAVADATDAARGASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVFGFMFIALIWKFDFAPFMVLIIAILNDGTIMTISKDRVKPSPQPDSWKLKEIFGTGIVLGGYLALMTVLFFWAVKDTNFFSHCIYK >KGN61037 pep chromosome:ASM407v2:2:3544666:3546134:1 gene:Csa_2G035370 transcript:KGN61037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLHPFSALFTASLAFFFFVITAADPDLLQDVCVADLASGIKVNGFTCKSNFSADDFFFNGLAKPGATNNTLGSRVTGANVQSIPGLNTLGVSLARIDYAPGGLNPPHTHPRATEIVFVLEGQLDVGFITTGNVLVSKSIKKGEIFVFPKGLVHFQKNNGKVPAAVIAAFNSQFPGTQSIAAALFAASPPVPDNVLTKAFQVGTKEVEKIKSRLAPK >KGN62826 pep chromosome:ASM407v2:2:18816435:18826544:-1 gene:Csa_2G375170 transcript:KGN62826 gene_biotype:protein_coding transcript_biotype:protein_coding description:Catalytic MVLAIKLSFLLFLTLFSSFANYGFSLYEDQVGLMDWRQQYLGKAKHALFHSSKSGRKRVVVSTEENVIASLDLRHGEIFWRHVLGPNDPIDGIEFVLGKYVVSLSSEGNFLRAWNLPDGQMAWESFLQGTSPSKSFLLVPKSLKANQETVILVFSRSCLHAVSSLDGEVIWKIDLTENSVEIQKIIQLPDSEFIYAVGFSSPTQLDQFKINVKSGELLKHQTATFSGGFSGELVSVSDDVLVTVDTSRSNLVIINFKNGEIGILLSPIAPVIDEFSGSMEIVPSKLSGLLAVKVNSLLTLVRVKGEGELEVVDKIPGQATVSDALLVSEHQQAAALAHHEGSHLHLTVKLIDNWSTNFIDENIVIDKQRGSVQKVFLNSYIRTDRSHGFRALLVMEDHSLLLVQQGEIVWSREDGLASIVNVVTSELPVEKKGVSITKVENNLIEWLQGHLLKLKGTLMIASPEDVVAIQNMRLKSSDKSKMSRDHNGFRKLLIVLTKSGKLFALHSGDGRVVWSRLLQPFHKSKDCAPRWLNIYQWQDPHHRAMDENPSVLIVGRCEQSMDGPGLLSFVDTYTGKEISSSSQTHSIVKVIPLPFTDSTEQRLHILIDAESRAHLYPQTSEAIGILQSEFSNIYWYSVEVDSGIIKGHALMRKCVDVVDDYCFESKDVWLIMLPSESEKIIASASRKLNEVVHTQAKVVADQDVMYKYISKNLLFLATVAPKSSGEIGTTTPEDSWLVVYLIDIVNGRILHRMTHHGSTGPVHAVFSENWVVYHYFNLKAHRYEMSVVEIYDQSRADNIDVWKLIIGKHNLTTPISSYSRPEILAKSQSYFFTHSVKEISVTSTSKGITSKQLLIGTINDQILALDKRYLDPRRSINPSQAEREEGVIPLTDSLPIIPQTYVTHSLQVEGLRGIVTIPAKLESTTLAFAYGVDLFFTRITPSRTYDSLTEDFSYALLLITIVALVIAIFATWVLSERKELQDKWK >KGN62760 pep chromosome:ASM407v2:2:18378041:18381245:1 gene:Csa_2G371090 transcript:KGN62760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDLRCVIVAVDGSDESMGALRWALQNLKLHSSSPDSTDGTFVALHVQPPPSIAAGLSPDPIPFGGPSDLEVPAFTAAIESHQRRITAAILEHASKICSEYQVKVETKVVIGDPKEKICEVAEHLHADLLVMGSRAFGPIKRMFLGSVSNYCTNHVECPVIIVKDKEKGSSSSA >KGN61015 pep chromosome:ASM407v2:2:3431300:3431854:1 gene:Csa_2G034680 transcript:KGN61015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSTYFLSSQLWLTLFIVLIAVFQLAIAADSGTEDKIKRICRQMEEFEFCSQTFHQNLKGGSADYIGLTEIANNQVYTKASSTLVYIEQLLRSVSDPTTRKALLVCENGYYAVNEAFVEGIRWFSQKYYKEMLNVERRAPSAQASCTSIFTTTPPPKQNPLFQINREMRILIAMAIVSGSSIS >KGN61567 pep chromosome:ASM407v2:2:9712092:9713501:-1 gene:Csa_2G171880 transcript:KGN61567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENEATATEARFAPVTRERRVRNDLETTLPKPYLARALVAADSNHPTGTVGHKHYGMTVLQQHVAFFDQDDNGIVYPWETYVGLRAIGFNMIMSLVMAVIINFAMSYRTQQGWIPSPFFPIYIYNIHRDKHGSDTGTYDTEGRYIAANFENMFSKYARTQPDKLSLGEIWDMTEANRLAFDPYGWIAAKLEWFILYVLARDEDGYLSKEAVRRCYDGSLFEYCAKMNMSAQYKMY >KGN62949 pep chromosome:ASM407v2:2:19597271:19600267:1 gene:Csa_2G381750 transcript:KGN62949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSRCCLLNRLEGCSSKNPCCSFLQFSGEYMRALILLMVDKIKLLFHKRRRDGCCTASALGNAMDGPSKGLRVKNKEAKKQCLPENFPSSSTCEMDNSTVWSQRSMASGQAHDSHSNIGSSTDFVNSGLLLWNETRKQWVGNKMSGSQKQVQEPKISWNATYDNLLTTNKPFPEAIPLTEMIEFLVDVWEQEGLYD >KGN61618 pep chromosome:ASM407v2:2:10240905:10244070:1 gene:Csa_2G190750 transcript:KGN61618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAEPWIVKMGNQVSANLKHALLEPSKNKNSRKPDIGGSHKEKIGILSFEVANVMSKTIYLHKSLSHSAISKLKNEILSSDGVKNLVSSDEVHLLELVVAEKIEDLNRVANVVSRLGKKCSQPALQGFQHVYLDIINGVINVKELGFLVKDMEGMMRKMERYVNATANLYTEMEVLNELEQAAKKFQNNQHEESRKAYEQKLIWQKQDVGHLKDISLWNQTYDKVVELLARTVCTVYARIHLVFGDPFLKKDVNENGSSNDVNHHVQIGAESVQSKRVSVERGIGPRRGSSFKSQISSRRGEVPLFTPDDFNFPCGTNPGRLLMDCLSLSSSVSKLDDEDEDSYVDHDDRSCQISGRSIRNSGSSQFSSFSQVQFSVPFGVDQRQAKSVMSNSGGNVGFKSRLSVYAPVSTIGGSALALHYANIIIVIEKLLRYPHLVGEEARDDLYQMLPTSLRSSLKTHLKSYVKNLAIYDAPLAHDWKETLDGILSWLAPLAHNMIRWQSERNFEQHQIVTRTNVLLIQTLYFADRKKTEEAICELLVGLNYICRYEHQQNALLDCASSFDFEDCMEWQLQCKDSYLT >KGN62971 pep chromosome:ASM407v2:2:19700580:19701845:1 gene:Csa_2G382450 transcript:KGN62971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSAPDVNELLQGIIEGETSVLAASTTMEDIFKGTKEFKHGHEYVSYLGQKTQQEASNQAKVDVAEANAEVAEVVEANAELAKKKAILAKGSEMAEVEAAKAVSLREADQKKKVEKMNALTMTEKLKAELLSKASIEYETKRLICKEERGGGTGGTGTGTGLSTVSLLDALGGNYTALRDYLMINGGMFQDIANINANAINVWTNGRDGQGLGGAGNMAMKELSGVHQMLPPLFQTDHEQTRLLPPPWMRFYNISFPETARLMGFAIAFGTNIQRS >KGN62973 pep chromosome:ASM407v2:2:19706277:19706695:-1 gene:Csa_2G382470 transcript:KGN62973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSGEFGSGRGESNREISEGELMVIMGMVVEIKGITGRIVGKVSRGKGVCPATSKPSKEIAAAAK >KGN63425 pep chromosome:ASM407v2:2:23163709:23169700:1 gene:Csa_2G445770 transcript:KGN63425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAACLAPSLSVSGGLIKASDLSSKSISFGQIPKLAIRRKCSKTNHKLSVLAEYNDGGRSGSGGGDFVAGFFLGGAVFGTLAFVFAPQMRRFLLNENEHGFRRAKRPVYYDEGQDGLEATRETLNAKIKQLGSAIDNVSSRLKGGKKKPPLPVEEPDRWAQGV >KGN61503 pep chromosome:ASM407v2:2:8929335:8934226:1 gene:Csa_2G149470 transcript:KGN61503 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methylenetetrahydrofolate reductase MRFPIVFLLLFHLFLSLHLPFSPSLSLLLPISNSYTSQREEKMKVIDKIRAATAGDGGRVVFSFEYFPPKTEDGVDNLFERMDRMVAHNPSFCDITWGAGGSTADLTLDIANKMQNMICVETMMHLTCTNMPVEKIDHALQTIKSNGLQNVLALRGDPPHGQDKFVQIEGGFACALDLVKHIREKYGDYFGITVAGYPEAHPDVIGSNGVATTEGYQSDLAYLKKKFDAGADLIVTQLFYDTDIFLKFVNDCRQIGITCPIVPGIMPINNYKGFLRMTGFCKTKIPDEIMAALEPIKDNEEAVKAYGIHLGTEMCKKILTHGIKTLHIYTLNMEKSALAILMNLGLIEESKVSRSLPWRRPTNVFRVREDVRPIFWANRPRSYISRTIGWDQYPHGRWSDSRNPSYGALTDYQFMRPRARDKKLLEEWALPLKSIEDVYEKFMKYCLGKLRSSPWSELEGLQAETRMISEQLGKINMKGFLSINSQPAVNGERSDSPCVGWGGPGGYVYQKAYIEFFCSREKLDAIVDKCRALPSLTYMAVNKEGIWVSNATQKDVNAVTWGVFPAKEIIQPTVVDPASFIVWKDEAFEIWSRGWAALYPEGDWSRKLLDEIQSSYYLVSLVDNDYINSDLFAILEDF >KGN63065 pep chromosome:ASM407v2:2:20392886:20397589:-1 gene:Csa_2G401250 transcript:KGN63065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLFAANVKNAAAAAAPPSPFSLKHSFPDRPISCSLAMTSRKFSSSYPFGAELLSLLEIRVFKPKLSSPGFLVRATAKKNHDNSPSPENGDHSVPGDDAKSKNISDGNESNETSSQKPHLVNLDWREFRANLFAREQAEKVEADVETQTANAHESKGLALKWAHPIPMPETGCVLVATEKLDGVRTFERTVVLLLRSGSRHPQEGPFGVVINRPLHKKIKHMKPTNIDLATTFSECSLHFGGPLEASMFLLKAGEKSKLHGFEEVIPGLCFGARNTLDEAAVLVKKGILKPQDFRFFVGYAGWQLDQLREEIESDYWYVAACSSNLIGGISSDSSSEGLWEEILQLMGGHYSELSRKPKQDM >KGN62182 pep chromosome:ASM407v2:2:14799015:14801780:1 gene:Csa_2G315900 transcript:KGN62182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGASDFKMHIPSKPPLPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPLLPFSTEISENLDELKIPFFKSSSRRPRGDTCVVSVFVLLHIVAFIAMMLVNDCWSNSHQDCAFGVLGRMSFQPLAENPLLGPSASTLEKMGGLQRKSLTEYRQIWRLFTFPCMHAGLIHLVINLGSVIFVGIQLELEYGPVRTGIIYLLSAYTGTLVAALFAQNSPSVGSSGALFGLLGAMISGIIRNWKLYTDRFLALGSVLAVFAINFGLGLLPYIDNFANVGGLVAGVLLGFIILFTLQDRQEKAQTKGYSLSYGFKNYFNLEMKQKLDKPILRCTSLFLFALLFCGSLIGVAFEFDLNQYCIWCRYIDCVPFMKWHCKDVAFSCAIHMLRISISQTELHLNAGDGKRSRTDIDMLDYWQLQGIPFL >KGN62507 pep chromosome:ASM407v2:2:16855297:16856534:-1 gene:Csa_2G357300 transcript:KGN62507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRQNQNVLQCKTLISFGLNPNEKMEPRIPILIPRWLSSEKEPVRLSITRPEVPRNQLLYKSKMRVNAGNQGELSDEDDDLCPVDCVREFKTDEEFIKILDKAKENGGLVVVDFYRTSCGSCKYIEQGFAKLCKGSGDQQAPVIFLKHNVMDEYDEQSEVAERLRIKTVPLFHFYKDGVLLEAFPTRDKERILTAITKYSSSPLQI >KGN63403 pep chromosome:ASM407v2:2:22923858:22925192:-1 gene:Csa_2G437130 transcript:KGN63403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSELIDETEIQAPAAKVWELYGTVEFGNFLLRHVPNVVQKIEFLEGNGGEGTLLYVTFAPGLGGMRYKERFAKVDNENRIKIAEMVEGGYLDLGFTLYRFRFEIIEKNEESCIVKSSIQYELKEEAASNASLATVEPLKEVAQAAKHYFLNKSTA >KGN61058 pep chromosome:ASM407v2:2:3685366:3688457:-1 gene:Csa_2G036070 transcript:KGN61058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLSGSFPFKSLLSQSSAAATTTSSSSAAPPPTSSSSTTPFRTSFPSLPISSSNSNAFKFRLHKSNSLRLLFSSPVSSPIRTSVFARLGGAPRSSGPPDSKRSNDEIGLDISAIKSINVRLIDAEQNMVGVVSKAQAIQMAEDAELDLVVVSPDADPPVVRIMDYNKYRYELQKKKRGQQKKSAATRMDLKELKMGYNIDQHDYSVRLKAAKKFLKDGDKVKVIVNLKGRENEFRNIAIELIRRFQEEVGELATEEAKNFRDRNIFIVLVPNKAVLQKAQEPPKKKDKPAVNGVSASV >KGN62381 pep chromosome:ASM407v2:2:16134776:16136848:1 gene:Csa_2G351620 transcript:KGN62381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVQKSCLKEEEGRGDRVGEKDLPKLNRLQLKQVSDSFHHVVVDHKSFSSERKIRQPENRKKPSISLPKPVAISSNNPVSELDSAATKLQKVYKSYRTRRNLADCAVVVEELWWKALDFAALKVSSVSFFDDEKTETATSRWSRARTRAAKIDPRHRYGHNLHFYYDVWFDSKSTQPFFYWLDIGDGKRVNLEKCRRSVLYKQCIKYLGPKEREEYLVIVENGRLVYKQSRIPITTVEDSKWIFVLSTSRDLYVGQKKKGRFQHSSFLSGGAITAAGRLVAIDGILKAIWPYSGHYLPTENNFKEFISFLEEHTVDLTNVKRCSVDDDNYSLNNTSEETTETTSEDMVADDVDLAVPVKLVTTNERQEDQGSSREAPLIDIPKRLLCRWSSGVGPRIGCVKEYPAELQARALEQVNLSPRPSPGFFGGSLPIPSPRPSPKIRMSPRLSYMGIPSPRVPVCLTAPI >KGN62517 pep chromosome:ASM407v2:2:16905126:16905915:1 gene:Csa_2G358880 transcript:KGN62517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKSLFVALQKPLSEKEANLLLEMRDANGDGVLDKQELMADLTHTNKKPSTSLQDMKLTREEIKEIFVGFDIDGDGFLSKNEVIQAFGMMGSCSPIMKAHYAMACADEDGDGRISEPELNKLIDYVQRTINRRRLN >KGN63031 pep chromosome:ASM407v2:2:20094395:20098782:-1 gene:Csa_2G385000 transcript:KGN63031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGGSNPGPLSCGSWIRRPENVNLALIGRSRPPDSSPSTLEIFSFDPKVTSLSSSPLTEFAFEECDGDLVSVTVHPSGDEIVCSTTRGGCKLFELCGQELNVKLLIKELPSLKDVGPQSCLTFSVDGSKLATGGVDGHLRIFEWPSLRPILDEPNAQKSVRDMDFSLDSEFLASTSSDGSARVWKTDDGVPITTLTRNTDEKIELCRFSKDGTKPFLFCTVQKGEKAVTAVWDISNWKRIGYKRLLRKPACIMSISRDGKYLALGSKDGDVCVAEVKKMEVSHMSKRLHLGTPIKTLDFCPSERVILTSSVEWGALVTKLNVPADWKEWQIYVLLISLFLASAVVFYIFYENSDSFWQFPLGRDQPARPRFDSFVGDSQSQSADDPFGPVDM >KGN60970 pep chromosome:ASM407v2:2:3137335:3139463:1 gene:Csa_2G032760 transcript:KGN60970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSAIKSGALVSLKDLMHPSSEFFKDGASLRVTGKLVEYSVETAIAIIVDGNVNLKIDTQHLIELSIRIGSIYQFIGELLVQSDNEAILKARVGRNVDGIDLNLYHQSLQLLRQFQADHLNKRTN >KGN60894 pep chromosome:ASM407v2:2:2632181:2633346:1 gene:Csa_2G021650 transcript:KGN60894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKYRSSKELTPMHRNSKADCLVKQIAWDLDDQSPLSIFTSGSPIIPSNLTQLPNLPDYLTKCKIELNNTRKGLILPLHIPTLALKLVLHGCTHSQMDNYLSKPEFTSIGIGCYEEWAVFAFATDSPTGSFNSAVKLSKLGHQHFFLVSSLLFGLFFLLSG >KGN60528 pep chromosome:ASM407v2:2:201776:208552:1 gene:Csa_2G000370 transcript:KGN60528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIADTLESTTPSDSSLSDLDATRFLRRRPTSATTAVTESDTIFRPDIRGTHTSPPDHAAVNGLLPAKSFQEDNERNDAVENPNLMETEKVSGEMAESRGEANSDVSAVKFAYRPSAPAHRRVKESPLSSDAIFRQSHAGLFNLCIVVLVAVNSRLIIENLMKYGLLIQTGFWFSSRSLRDWPLLMCCLSLPVFPLSAFLVEKLASQKDISEPVIIFLHIIITTTAVLYPVFMILRFDSAVLSGVTLMLFACIVWLKLVSYAHTNHDMRKISRSVDQGDVLPSSLDAEDPHNDSFKSLVYFMLAPTLCYQPSYPRTAHIRKGWVVRQFIKLIIFTGVMGFIIEQYINPIVKNSQHPLKGNLLYATERILKLSVPNLYVWLCMFYCFFHLWLNILAELLCFGDREFYKDWWNAKTVEEYWRMWNMPVHKWMVRHIYFPCLRNGIPKGVAVVIAFLVSAVFHELCIAVPCHMFKLWAFIGIMFQVPLVLITNYLQNMFQNSMVGNMIFWFIFSILGQPMSVLLYYHDLMNRKGID >KGN62550 pep chromosome:ASM407v2:2:17064333:17066425:-1 gene:Csa_2G360670 transcript:KGN62550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIMETIRSHGEVAIAITKHLLLNEAKASNVVLSPLSIHVVLSLIASGSKGPPLDQLLSFLKSNSTDNLNSFASQIVATVFADASPSGGPRLSFANGVWVDQSLPLKSSFKQVVDTLYKAKLSQADFKTKAAEVTSEVNSWAEKQTNGLITEVLPPGSVDSLSKLILANALYFKGEWEEKFDASKTKKQDFYLLDGSSVEVPFMTSKNKQRIAAFDGFKVLGLSYKQGSDPRHFSMYIFLPDSRDGLPSLIERLDSQSNFIDRHIPYEKLKVGEFKIPKFKISFGIEVSNVLKGLGLVLPFSEGGLTEMVESQTAQNLHVSKIFHKSFIEVNEEGTEAAAASAAVIKLRGLPSMDIIDFVADRPFLYAIREDKTGSLLFIGQVLNPLVH >KGN60825 pep chromosome:ASM407v2:2:2111069:2118799:-1 gene:Csa_2G011580 transcript:KGN60825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSKAEEAELNRLETQVDNGGGGAWDYLCLIRKLKVRRSHKVLNYGLSILNDSRKRSGLGQEEWTLYEQVAIAALDCQAIDVAKDCIKVLQKKFPQSKRVGRLEAMLLEAKGLWAEAEKAYASLLEENPCDQIVHKRRVALAKAQGNIAGAIEWLNKYLETFMADHDAWRELAELYISLQMYKQAVFCYEELILSQPTVPLFHLAYADVLYTLGGLENLQTAKKYYASTIDLTGGKNTRALFGICLCTSAIAQLTRGRNKEDKEGPAELQSLAATALEKDYKQRAPHKLSLLSSVLKGLKVSP >KGN61192 pep chromosome:ASM407v2:2:5222970:5223170:-1 gene:Csa_2G062670 transcript:KGN61192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCVDFKEVGRWGMENAEAPRKMEGGCGNFGVEMIGKASGKGKGEEGERIVQIDVVKRRKKKKKVD >KGN60778 pep chromosome:ASM407v2:2:1819721:1831093:1 gene:Csa_2G010140 transcript:KGN60778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGTPVNIIVGSHVWIEDPEDAWLDGQVSKITGQEAEIEASNGKKVVAKLSKIYPKDMEAPAGGVDDMTKLSYLHEPGVLQNLKIRYELNEIYTYTGNILIAINPFQRLPHLYDGHMMQQYKGAPFGELSPHVFAVADVAYRAMINEGKSNSILVSGESGAGKTETTKMLMRYLAFLGGRAATEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKHGRISGAAIRTYLLERSRVCQVSDPERNYHCFYLLCAAPQEEIEKYKLGNPRSFHYLNQSNCYELVDVSDAHDYLATRRAMDVVGISAKEQEAIFRVVAAILHLGNIVFTKGKDVDSSIPKDDKAKFHLKMTSELLMCDNAGLEDALCKRVMITPEEVIKRSLDPQSAAVSRDGLAKTIYSRLFDWLVDKINVSIGQDPKSKSLIGVLDIYGFESFKANSFEQFCINFTNEKLQQHFNQHVFKMEQEEYTKEEIDWSYIEFVDNQDVLDLIEKKPGGIVALLDEACMFPKSTHETFANKLYQTFKAHKRFIKPKLSRTDFTIAHYAGEVLYQSDQFLDKNKDYVVPEYQDLLGASKCPFVAGLFPPLKEESAKSSKFSSIGSRFKLQLQQLMETLNSTEPHYIRCVKPNNLLKPAIFENVNIMQQLRCGGVLEAIRISCAGYPTRRAFFEFINRFGILAQESLEGNYDEKTVCKKILEKQGLKGFQIGKTKVFLRAGQMAELDARRAEVLSNAAKTIQRRTRTHIARKQFIALRKATIYVQSRWRGKLACKLFKNLKREAAAVKIQKSARRFHARKTYKKLQASVLYVQTGLRAMAARNEFRFRKQTKAAIIIQARWRCHKAASYYKKLQRGSIVAQCRWRGKVARKELRKLKLAARETGALKEAKDKLEKKVEELTWRIQLEKRLRTDLEEAKAQEIGKLQNSLQELQTKVDETNSLLVKEREAVKKAVEEAPPVIQETQVLVEDTKKIDDLTTEVENLKTSLETEKSRADESEKKCSEIEKSREEQRKKLEDTEKKAHQLQESLTRLEEKLSNLESENQVLRQQALSMAPNKILSGRSRSILQRGAESGHYGGEGRTPLDLHSPSINQRDSEVEDKPQKSLNDKQQENQDLLIRCIAQHLGFAGNRPIAACIIYKCLLQWRSFEVERTSVFDKIIQTIGHAIESQDNNDVLAYWLSNASTLLLLLQRTLKASGAAGMAPQRRRSSSATIFGRMTQSFRGAPQGVNLSLINGGTSGGVDTLRQVEAKYPALLFKQQLTAYVEKIYGMIRDNLKKEISPLLGLCIQAPRTSRASLVKGSSRSVANTEAQRALIAHWQGIVKSLGNFLNTLKANHVPPFLVRKVFIQIFSFINVQLFNSLLLRRECCSFSNGEYVKAGLSELEHWCYKATDEYAGSAWDELKHIRQAIGFLVIHQKPKKTLDEISHDLCPVLSIQQLYRISTMYWDDKYGTHSVSPDVISNMRVLMTEDSNHAISNSFLLDDDSSIPFSVDDLSKSMEQIDIGDIEPPPLIRENSGFSFLLPRTD >KGN61772 pep chromosome:ASM407v2:2:11722508:11723573:1 gene:Csa_2G238880 transcript:KGN61772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCGERKIFSEEQEALVIKSWSVMKKNAPDLAFKFFLKIFEIAPSAQKMFPFLRDSKVPLEQNPKLKPHALNVFTLTCESAVQLRKGGIAAAKESTMKRLGATHLKYGVLDEHFEVTKFALLETIKEGIPEMWSVEMKGAWAEAYDQLVSAIKAQMKP >KGN60854 pep chromosome:ASM407v2:2:2378317:2378523:1 gene:Csa_2G014330 transcript:KGN60854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVKGLGSRRGEMHDSNNDEVARTRRVAGRERLVSTGSLEADGEGDDENAKIEQLIDLFDAIEDLTT >KGN62728 pep chromosome:ASM407v2:2:18097023:18097810:1 gene:Csa_2G369790 transcript:KGN62728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASERKIERKPKILCLHGFRTSGAILRKQVQRWPTSILHQFHLHFIDGPFPSKGRSDVEGIYDPPYFEWFGTSEDPTSCENLESSLEFIESYMAEHGPFDGLLGFSQGAVLSAALALLQARGVALTKVPKIKFVIVISGSKLQSSSLAARIAYSTSIACPSLHFLSNFPASSSFSFYIFSV >KGN62528 pep chromosome:ASM407v2:2:16955788:16957077:-1 gene:Csa_2G359970 transcript:KGN62528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIHQHLEDKERQLEKQQLPANSSSPHSASSSPSHEFSFSVSLQTSSTDKAKTSPSFTIDLSPADDIFFHGHLLPLHLLSHLPVPPRCSTNSMESYTLPIRDLISEKEPKKESSSFINCKSNEHCQNNTNDTREKPKSKSFSLLGLRWRKRSDVEEQENKVEQEKRKLGFNLSNVLKRYARMVRPFLPLRGRRDDMQLHRQSYSFSGNLRLRKKRESRGRRGEFFSAPVSMRTSPTNSGVLLTTTTLPPSTNSDSTMEELQAAIQAAIAHCKNSILKEERLKC >KGN61989 pep chromosome:ASM407v2:2:13400207:13400765:-1 gene:Csa_2G279260 transcript:KGN61989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDRKEEKKSKQFKGIRMRKWGKWVAEIREPNKRSRIWLGSYSSPVAAARAYDAAVFHLRGPTARLNFPDFLTTTVDRASSFPLSAAAVRKIAAEVGAQVDALESSRRHSSDQSCSLNPTKLRCCSYSCSSGFSDRVDLNKFPDPEDSDGDCVSTHWTS >KGN62108 pep chromosome:ASM407v2:2:14284095:14285025:1 gene:Csa_2G298300 transcript:KGN62108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLWKTDVFNAFKDEMGNFKTCFYDDINGMLSLYEASFLSTKRETVLEEAKCFTVKYLNEFIKSSKDELKVEIVEHALKLPLHWRIERLEARWSIDIYERVGTLNPILLEIAKLDFNMVQSFYQEDLKYASSWWRNTELGQKLSFARDQLMENFFWTLGIGFEPESTYFRRMGTKIVSLITMIDDVYDVYGTLDELKLFTDAIER >KGN62615 pep chromosome:ASM407v2:2:17367779:17372667:-1 gene:Csa_2G361790 transcript:KGN62615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKHLLLRQTRKNFSKINGNLLDRQSPNINATHIFITKPSFSLLDSHRGYYSSLAARNFELSKLNSLFSRCIHFTVTKLSNAAIEPKPESAEVEDDDGSMNEFLSRFVWIMREKISEEFPDYDKQTVNAMLLMIVEKVVSEMEKGRFEQTLKASTDNPDWDLSEDLWKTVTEVSNMVLDDMKKATKKEKMKGFLLSREVQEMCRFAGEVGIRGDMLREFRFKWAREKMEESEFYESLEQLRKEARTQEENKDSASGAEAASEVKSEAVSLPKRRGKLKYKIYGLDLSDPKWSELADKLHVAEKLILPQEPKPISGMCKLVTEKILLLNENDDPSPLLAEWKELLQPTRIDWITLLDRLNEKNRFLYFKVAELLLSEESFQTNIRDYSKLIEVYAKENRLEDAERILVKMNEKGIAPDILTTIYLIHMYSKAGNLDSAKKAFDSLRSHGFQPDEKVYNSMIMAYVNAGQPKLGESLMRDMEARDIKPSQDIYMALLRSFSQCGYVSGAGRIAATMQFAGISPNLESCTLLVEAYGQAGDPDKARNNFDYMIKLGHAPDDRCTASMIAAYEKKNLLDKALDLLLQLEKDGFEPGLATYAVLVDWLGKLQLVEEAEQVLAKIGAQGHYLPIKVRISLCDMYSRAGIEKKALQALKILEAKKQELGHDDFERIINGLVAGGFLQDAKRMEGVMEAQGFIASQPLQMALRTSQALRGKRLP >KGN62617 pep chromosome:ASM407v2:2:17381343:17384553:1 gene:Csa_2G361810 transcript:KGN62617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAEPLLHTVNDSEASPLILGLQPAALIDHVSRVDSSLLDRIPGDRGGSMPVGMEELENILREVKSYILSSPDDLTTPVKTIAGGSVANTIRGLSAGFGISCGIIGACGDDEQGKLFVSNMSSHGVNLSRLRMIKGPTAQCVCLVDALGNRTMRPSLSSAVKVQGNELTRDDFKGSKWLVMRYSIFNIEVIEAAVKMAKQEKVFVSLDLASFEMVRDFRLPLLQLLESGDIDLCFANEDEAKELIRGEKDADPEVALEFLAKHCQWAAVTLGANGCIAKHGKEIVRVPAIGESKATDATGAGDLFASGFLYGLVKGLSLEKCCQLGSCSGGSVIRALGGEVTPENWQWMHKHLQIKGLPLPEIRQ >KGN60951 pep chromosome:ASM407v2:2:3005375:3006174:-1 gene:Csa_2G030610 transcript:KGN60951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGTKGAGGRKGGDRTKVSKSVKAGLQFPVGRIGRYLKKGRYAQRTAAGAPIYLAAVLEYLAAEVLELAGNAARDNKKNRINPRHVLLAVRNDEELGKLLQGVTIASGGVLPNINPVLLPKKTASNSTPTAEKAQKSPKKA >KGN61455 pep chromosome:ASM407v2:2:8371332:8378002:-1 gene:Csa_2G129140 transcript:KGN61455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSPKKIIIDTDPGIDDAMAIFLALQSPELEVLGLTTIFGNVYTTLSTKNALHLLEIAGRTDIPVAEGSHVTITNGTKLRVADFVHGNDGLGNQNFPPPNGKPIDQAAAVFLVEQANLYPGEVTVVALGPLTNIALALQLDSGFAKKIGKIIILGGAFFVNGNVNPAAEANIFGDPEAADMVFISGADVIVVGLNVTHQVVLTEADRDKLARSNGKFAKYLCQIMDIYFSYHKDSYEIKGVYLHDPATLIAAVDPTLFTYTEGVVRVQTTGISRGLTILYNKQKRFGEVSEWCDKPTVKVAITVDAPAVVKLVMDRLIDS >KGN60944 pep chromosome:ASM407v2:2:2966555:2972708:-1 gene:Csa_2G030050 transcript:KGN60944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMPDKTVCEKHYIQAKKRAANSAMRAHLKKAKRKSMEEGDLYLEDKSDDFDAPMSSGRIAEQSHPVKKSSKSQVRYSPDTPPTRSLPVRNSSKHEDSQRDLSPYEENWRPYKTNAADSLRNLSQKSFDANATTEYSDASTNSSEEIGGQTCHQCRRNERDGVVWCLRCDRRGYCSNCISKWYLDIPLEEIQKICPACRGICNCRACLRGGNLIKVRIREIPVLDKLQYLYCLLSSVLPVIKQIHAQQCFEVEVEKRIVGDEMLLLRAKLNADEQMCCNFCRIPIIDYHRHCPNCYYDLCLNCCQDLREASTSGNGGLDNVNGMVGEGDKTLFERQYRQRLKFSDKILYWKADCDGNIPCPPREYGGCGYFQLSLNRIFKMNWVAKLVKNVEEMVGGCRVHDFGTLPEAESDDPSLLHCADRDNSSDNFLYCPTSSDIKFNGISNFRKHWAIGKPIIVRQVFDNSSIASWDPETIWRGIQGKTEERMKYENQLVKAINSSDQSEVNIELLQFIEGYFDGRISESGRPEMLKLKDWPSPSESEDFILYQRPEFIVKLPLLEYIHSKWGLLNVAAKLPHYSLQNDVGPKIFICYGAFKEHSAGDSVNNLSINMRDMVYLLVHSHLVKPKDAQGIDIECMENANVKSVVNELHSDEELCSGDGRSADIVVHGHGLQDEHEARNEAETEVEMLGQKMESNSVDEQAANSKMSDMDVSEKSSAVIWDVFRRKDVPKLTEYLRLHWKEFRKPVNINDDLILRPLYDGALYLDGHHKGKLKHDFGVEPWTFEQRLGEAVFVPSGCPFQVVNLQSNVQLGLDFLSPESVGEAARMAAEVRCLPNDHEAKLQVLEVGKISLYAASSVIKEVQKLVLDPKLSEELGVGDPNLTAAVSENLENMTKQSQISCA >KGN62244 pep chromosome:ASM407v2:2:15361592:15368173:-1 gene:Csa_2G338880 transcript:KGN62244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHSSLFAAFAASEAMPHSKGDTPMFSMFCRWNPLRLIIQGLLLFSLLRLNYGSTDPDVEGEALVDLLGALNDSNHQITDWNYHLVSPCFSWSHITCRNGNVISLSLGSLGFSGSLSPSITKLKYLASLDLQNNNIAGVLPDYLANMTHLQNLNLGNNNFNGPIPVAWGRLVGLKHLDLSDNNLTGEVPAQFFSIPMFNFSGTGLPCGFRLDKPCVSTSPHRASAKNYKFGVVASTASCGGFILLSIGAFFAYRCFYMHKLKDSMFVDVADEDECKLCFGQIRRFSLREIQLATANFNEANIIGQGGFGKVYKGILSDASKVAVKRLTDYNSPGGKAAFLGEVELISVAVHRNLLRLIGFCITTSERILVYPFMQNLSVAHHLRDLKPGERSLEWATRKRIAFGAAHGLEYLHEHCSPKIIHRDLKAANILLDDDFEAVLGDFGLAKLVDTKVTHITTQVRGTMGHIAPEYLSTGKSSEKTDVFGYGITLLELVTGQRAIDFSRLEEEEDVLLLDHIKKLQRENRLEDVVDKNLKSYNEKEVENIVQVALLCTQSSPEDRPTMAEVVNLLHGEGLADRWAEWMQLEEVRDQEVSLLCHQFVWGEESSHDQEAIQLSKAR >KGN60777 pep chromosome:ASM407v2:2:1813143:1817029:1 gene:Csa_2G010130 transcript:KGN60777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYAKNSPTSPSVSASNRLSEGFWFVVEEYRWVLIGNTGNLHSLECFPSHRGKRMVSDSIHSALACDDILTEILLRLPEKSIFKLILVSKRWLSLICNFSFHRSYEKQWGAHTRLFGFFVCNFLYIDRPQDGVRRPRSEPALPLLSTCQESDDLVSSGILRKLGYFIDYSDGLLLCGRHPKHYYVWDSVTKYRRQLPQPQKHYKYLCTAFITEDPGLDCGDIVYRVVRANCECRVDVINTISIETFSSRTWTWKQSTLVCSSDFALSPWTVGTVIKGVIHWFGTYRSLAIYDPGFGERRITSIKLPTGKLTQDYEDSILGVSSDGLLQYGQSGKLGLETWVLYKEHDDSSSSYTMELDTHYEYRWMLRHKLSYKDLWKQNPNSGLRSKETQILSFIRRNSDSVFIRLGTSIYQCNIKSKTLEVTPYHHGDFSIPWDFCKVVPYFQKIWPQSPFPTSPNTVKQLL >KGN60672 pep chromosome:ASM407v2:2:1124670:1126162:-1 gene:Csa_2G006180 transcript:KGN60672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGGAISESVLKKVLLSYAYVAIWIFLSFSVIVYNKFILDQKMYNWPFPISLTMIHMAFCSSIAYLLVSVFKVVEPVSMSRELYFKSVVPIGALYSLSLWFSNSAYIYLSVSFIQMLKALMPVAVYSIGVSLKKEKFKSDTMANMISISLGVAVAAYGEAKFNSKGVSLQLLAVAFEATRLVMIQILLNSKGISLNPITSLYYVAPCCLVFLSVPWLIMEYPLLRDNSSFHLDFVIFGTNSFCAFALNLAVFLLVGKTSALTMNVAGVVKDWLLIAFSWSVIKDTVTPINLFGYGLAFLGVAYYNHSKLQALKAAEGLKKAQQADEEAGRLLEEREEGNERKIDNQN >KGN63159 pep chromosome:ASM407v2:2:20966300:20966509:1 gene:Csa_2G406090 transcript:KGN63159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSADWGPVVVAVALFILLSPGLLFQLPARIRVVEFGNMNTSGIAILVHAIIFFCILTILIIAIGIHIHV >KGN62533 pep chromosome:ASM407v2:2:16971593:16975746:1 gene:Csa_2G360010 transcript:KGN62533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVEWGYQGRGKWTCSYKKTTVIICSINIIVALYVFRSLYASLYLYSDNDSPSVVKYTPDQIRKMEEFVRVRRASEPVELIKLVKELKEFSQEQTVDELPLPLKQKITEEILLKLRSLNGSSNSTQQREAVEIWRKEKLEEANKLITEQMLENSTLSFEDAGTLVKALEADWQVFSEAIGLWIPTEVVHTEHDDKPEGVDEFDDEILPGRPVPPECNAELHTDYDGAAVRWGLTHHKESAADCCQACLDHAKRAQPGDRKCNIWVYCPSETGCHSPDIYEHKHMECWLKYAENPKLNFKTNYPQSYRNSHPTAPFVVPWVSGVVSA >KGN60924 pep chromosome:ASM407v2:2:2802641:2803926:-1 gene:Csa_2G023910 transcript:KGN60924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAGVNPLIIRRLEVFPPKSKLDPNIYGDQHSKITEKDIKSGLEGLTVDERCSG >KGN61813 pep chromosome:ASM407v2:2:12063273:12068370:-1 gene:Csa_2G248700 transcript:KGN61813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMDSCFVSLKSDTQLMKGNWGGFDRCENRFFGEKVRGGFSENVWIRSLKSEKKALKLTPNVTYAVATPNVSKQPMTIQVPTVPKVKANPKNVASIILGGGAGTHLFPLTKRSATPAVPVGGCYRLIDIPMSNCINSGINKIFVLTQFNSASLNRHIARTYFGNGVTFGEGFVEVLAATQTSGESGMYWFQGTADAVRQFIWVFEDAKHRNVENILILAGDHMYRMDYMDFVQNHIDRKADISISCAAVDDSRASDYGLVKLDSRGRIIQFSEKPEGANLNAMRVDTTPFGLSREESLKSPYIASMGVYVFKTEVLLNLLKWRYPTSNDFGSEIIPAAIKEYNVQAFMFRDYWEDIGTIKTFYDANMALTEEFPKFEFYDPKTPFYTSPRFLPPTKIDRCQIVDAIISHGCFLRDCSVQHSIVGERSRLDYGVELKDTIMMGADNYQTELEITGLLAEGKVPVGIGRNSKIKKCIIDKNAKIGKDVIIMNKDGVQEAARPEQGFYIRSGITIIMEKATVGDGTVI >KGN60781 pep chromosome:ASM407v2:2:1858274:1862888:1 gene:Csa_2G010170 transcript:KGN60781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQHLMQMQPMMAAYYSNNVTTDHIQQYLDENKSLILKIVESQNSGKLNECAENQARLQRNLMYLAAIADSQPQPSAMHAQYSSSGMIQPGGHYIQHQQAQQMTPQSLMAARSSMLYSQQPFSTLQQQALHGQLGMSSGGGIGFNMLQNDAANAGGGNGGALGGGGFPDFGHGAASDSLHRSLAGGSKQDMGGTGSAEGRGGSSGSHGGDGGETLYLKSADDGN >KGN60883 pep chromosome:ASM407v2:2:2563682:2568358:-1 gene:Csa_2G021540 transcript:KGN60883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQAGGSSSSSCFRWSFDVFLSFRGEDTRSNFTSHLNMTLRQRGINVFIDKKLSRGEEICASLLEAIEGSKISIVVISESYASSSWCLNELVKIIMCNKLRGQVVLPIFYKVDPSEVGKQSGRFGEEFAKLEVRFFNKMQAWKEALITVSHMSGWPVLQRDDEANLIQNIVQEVWKKLDRATMQLDVAKYPVGIDIQVSNLLPHVMSNGITMFGLYGVGGMGKTTIAKALYNKIADEFEGCCFLSNIREASNQYGGLVQFQKELLCEILMDDSIKVSNLPRGITIIRNRLYSKKILLILDDVDTREQLQALAGGHDWFGHGSKVIATTRNKQLLVTHGFDKMQNVGGLDYDEALELFSWHCFRNSHPLNVYLELSKRAVDYCKGLPLALEVLGSFLHSIGDPSNFKRILDEYEKHYLDKDIQDSLRISYDGLEDEGITKLMNLSLLTIGRFNRVEMHNIIQQMGRTIHLSETSKSHKRKRLLIKDDAMDVLNGNKEARAVKVIKLNFPKPTKLDIDSRAFDKVKNLVVLEVGNATSSESSTLEYLPSSLRWMNWPQFPFSSLPTTYTMENLIELKLPYSSIKHFGQGYMSCERLKEINLSDSNLLVEIPDLSTAINLKYLNLVGCENLVKVHESIGSLSKLVALHFSSSVKGFEQFPSCLKLKSLKFLSMKNCRIDEWCPQFSEEMKSIEYLSIGYSTVTYQLSPTIGYLTSLKHLSLYYCKELTTLPSTIYRLTNLTSLTVLDSNLSTFPFLNHPSLPSSLFYLTKLRIVGCKITNLDFLETIVYVAPSLKELDLSENNFCRLPSCIINFKSLKYLYTMDCELLEEISKVPEGVICMSAAGSISLARFPNNLADFMSCDDSVEYCKGGELKQLVLMNCHIPDWYRYKSMSDSLTFFLPADYLSWKWKPLFAPCVKFEVTNDDWFQKLECKVFINDIQVWSSEEVYANQKERSGMFGKVSPGEYMWLIVLDPHTRFQSYSDDIMDRRSLKIIDLNQLSSEINSSQSILGKITVSFEVTPWYKDVVIKMCGVHVIMGE >KGN61378 pep chromosome:ASM407v2:2:7564736:7565989:-1 gene:Csa_2G100840 transcript:KGN61378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVERNRYLSTFHSKGNFSECRSVALMLLQKGKEKCTNRNCSVGSIYTPKLRGKFLATENFFYTSKFFGLGSRAFLSDLMVAGEEFCGQDWLKLKERYKLLEEEDLLRYCFSSAYIVALLHDSLGIELEDQSITAATQVQNIPLDWALGAFILQSTAAIEAEPEQWDWIVAIFGYELPSKFSLVAVSILLLFIAWSVSKWRKPQLKTIYDLEKGRYIVTRVTKS >KGN63073 pep chromosome:ASM407v2:2:20454622:20457984:1 gene:Csa_2G401330 transcript:KGN63073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSSQRDADQMVGNQVKAKNDGGGVCLMSNVWRDEQHPSFINFISNFLCSNSFRLNFVPIAPDLIFNCGGLSVAFAFLTSWECSNTSSIFSRVQKLKKQFANLYVVVVLPTKEQNDSFVQSYFRNGMEIGKPPFVAVQDIEMGFEKIVKIAHSRGACKQQDIISKLRTERKRSVQVMDAFRRVMSSVPGLDDHDANSLNQAIGSIEAIAKASKEYILENTDLSVEKAERIRSFFRDPKLYLSPKIN >KGN63025 pep chromosome:ASM407v2:2:20050185:20050624:1 gene:Csa_2G384450 transcript:KGN63025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFLSFSRKSNNIHTTQIAVSKILTTAFKSDLCGLDQEPINSLLELLFALMSYKFLISQQSRSTPRKSFENFVSMSVSFQQSSQKLPPLSFKALVPFALDAPRNRTTVSLIHEP >KGN62129 pep chromosome:ASM407v2:2:14450965:14451507:1 gene:Csa_2G301470 transcript:KGN62129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLRLKSIAGAAKLAGILFCIGGVGIVAFYKGPQLNFFNNHHHLLSIHKPNNSSSLPLTNNSWLMGCFLMLSSNTLWSVWVVLQAMVLKSYSSKLQLTNLQCLLSSFQSFGIAIAMERQPHKWKLGWNLQLLAVIYCVILSLNPL >KGN62407 pep chromosome:ASM407v2:2:16267257:16272176:-1 gene:Csa_2G352370 transcript:KGN62407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRGKDDEKTMEPMFPRLHVNDTEKGWPRAPPRNKMALYEQFTVPSKRSSPGVLPLKTNISSKPVAIPSSSQGTGADGNLRLPLNLSSPTSTSQVGNTQALPSGEVNENPPLVQPEQSRQTTEAEDEDDFMVPVYDQSRMGISGVQNSDHKEKLSSPGPKHSDCSTILQAGYEKGQNDLDLTSSSAHSRQVTNGNKVNEKSRVHPRKSTTNLLDRGNTDGLQKETNISKDQIFQDKSNTRFDKLQDSDVRLHRHSRSNIQLDESGCVVDVVEPTRFGEADSVPCSRVDTHSSLKNERLIVPVDNVENHVERTYSSMQVGNADKSDIVSENSMVDSLSGSEICPDDVVGIIGQKHFWKARRAIINQQRVFEVQVFELHRLIKVQRLIAGSPHLLLEDGVFLDNSSPSPLPAKKLRSDYTVKSHVQLQPSDDPKKPKHNVECSAENAVGKTSLPLEPINCQPSTNGPYSVNPQPTSVSSDNKLSSWYQTSAHHWLVPVMSPSEGLIYKPYPGPGFIYGGCGPYGPMAPMMNPSYGFPASVHQGIGALPTTPMVGGSFFPPYGMPVMNPGMSGFAVDQVNWYTGDPNQLSGGVAASNIQHQTSYDVSTQRDRDENQTVSRTAKSQAPKRSEVQVSTASSPVCSPTSKVQGNKVNRTAESHNVLSLFPVAQPLVEEGPQPSDSDQTRVIRVVPHNRRSANESAARIFQSIQNERKQYDSI >KGN63074 pep chromosome:ASM407v2:2:20462332:20464971:1 gene:Csa_2G401340 transcript:KGN63074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISLYSPSSPAFPPPPPPPTPTPISWLTHMLLRTHNNPSQQNALPPNKTHEQPQDYTNTTLIPPKMFMEGADSLTMAKPLGASNKFRKRPAKLVLPEYCPGPEFSKLRKKMEIQEFHVQGRDYCLATKKGRRETLEDAYGVMLDICGDSKQAFFAVVDGHGGRDAADYVVEHLGKNIINALEKIAGEEEKAIESAIRRGHKRTDEEFLSQGVGSGACAASVLVKNGELHVANVGDCRVVLSRNGVATPLTKQHRLCREEERVRIEKSGGFVECKNGVWRVQGSLAVSRAIGDLHLKEWVISEPEIHRLPLTPDCEFLIMASDGLWDKVKDQEAVDEVMREMGDEKNNDEGMKACKMLMEMSFRRGNMDDVTVMLIQLQPFFISI >KGN61674 pep chromosome:ASM407v2:2:10807525:10812058:-1 gene:Csa_2G223160 transcript:KGN61674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKQMPETATTFLTHFLQHSGGYGVLDGGFATELERLGADLNDPLWSAKCLLTSPHLVRRVHLDYLDAGANIISTASYQATIQGFEAKGFSRDESENLLRKSVQIAIEARDIYLERCTKDSWDFTETGAGSRRPILIAASIGSYGAYLADGSEYSGEYGDSVTLETLKDFHRRRVQILANAGADLIAFETIPNKLEAQAYAELLDEEGIEIPAWFSFNSKDGINVVSGDSISDCASIADASKQVVAVGINCTPPRYIHGLILSIREVTDKPIVVYPNSGESYDGVTKRWLKSDGMIGEDFVSYVRKWKEAGASLFGGCCRTTPNTIRGIAKALSSKTF >KGN62118 pep chromosome:ASM407v2:2:14361222:14361947:1 gene:Csa_2G299880 transcript:KGN62118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFVLEEAKRGEVAKSIQCYMNDTGASEQEARRYIKDLIMKSWKKLNEEVQTLNNSPLLCKGFIEIALNLARISHTVYQHRDGHTIEDHETKDRVLSLFIKPA >KGN60973 pep chromosome:ASM407v2:2:3176256:3176888:-1 gene:Csa_2G033280 transcript:KGN60973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNIKKTMFIIFALFFQLSKAIAFSACSKCGNLEVPYPLSTNDNCGDSRYKVHCNDDILQFKSSEGFYYNIHSIDPNAYKLIISPPEIQNGRCFSSDLSLGGLRLDENLPFNVSTHNTVMLLNCSNNLINSPLNCSINSPCRQFEEKMIEASGCKNTLCCTYLKDSAMTAHMIRVRIGGCTAYTSVVNFKPGDPVETWKYGIELQWIPPN >KGN62353 pep chromosome:ASM407v2:2:15960724:15963551:-1 gene:Csa_2G350360 transcript:KGN62353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKQIFSKLPRKSSKGGESREHGGSHMAPSTAYASASSRGNDLASGKSANLVSSFAATNSVQDVGQNHGTKANKGGNAKLNGIPTSSYEPLPGFREVPSSEKQNLFVKKLNLCCFLFDFTDPTKHLKEKEIKRQTLLEIVDYVTSVNSKFTETVIQEVIKMVSINLFRTMSPQPRENKIVEGFDLEEEEPSMDPAWPHLQIVYEFFLRFVASPEMDAKLAKRYIDHSFILKLLDLFDSEDPREREYLKTILHRIYGKFMVHRPFIRKAINNIFYRFIFETEKHNGIAELLEILGSIINGFALPLKEEHKLFLVRALIPLHKPKCLAMYHQQLSYCITQFVEKDCKLADTVIRGLLKYWPVTNSSKEVMFLSELEEVLEATQLPEFQRCMVPLFRQIARCLNSSHFQVAERALFLWNNDHIENLIKENRKVILPIIFPALEKNARSHWNQAVHSLTLNVRKIFYDLDPDLLKECLVKFQEDELKENELKARREATWNRLEELAAKKAASNEAVLVSHKLPTRTASV >KGN62919 pep chromosome:ASM407v2:2:19428604:19432174:1 gene:Csa_2G379990 transcript:KGN62919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNAAVIFLSVLLSAAFHPALSLVDGYLPNGDFERGPNASDMNGTVVKGPYAIPEWEISGFVEYIKSGQKQGDMLLVVPEGAFAVRLGNEASIKQKIKVVKGLYYSITFSAARTCAQEERLNISVAPDWGVLPMQTLYNSNGWDLYAWAFQAESDEVVILIHNPGEEEDPACGPLIDAIAIKTLYPPKASNDNLVKNGDFESGPYVFPNASSGVLVPPNIEDDHSPIPGWMVESLKAVKYIDSDHFSVPSGKRAVELVAGKESAIAQIVRTIPGKTYILSFVVGDASNSCEGSMVVEAFAGKNTLKVPYQSKGNGGSKPAALKFKAESTRTRIMFLSTFYTMRSDDFSSLCGPVLDKVRLLSVRNPKA >KGN62122 pep chromosome:ASM407v2:2:14372485:14375724:1 gene:Csa_2G299920 transcript:KGN62122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHLHQIFTSFFPVSSLVMERVSSFNHNSLFVPRVINNVTGGGARMCSQTVVRRSGNYQPSTWKYEFIQSLSSEFEGEIYVGLFNELKGEVRVIMNKIANDDPLKQLEFIDILQRLGISYHFENEIKDMLTMTYKNHCENDDWKINNLYATSLEFRLLRQYGFNIQQDVFNHFFSNETKSFNVQLYEDLNGMLCLYEASFLSIEGENILETAKHFTMEYLEKYMKSSTDENEVAIVRHALELPLHWRMPRLETRWFIDIYERKVDMNPILLEFAKLDFNKVQFIHQQDLKYASRWWKSSGLGQKLSFARDRLIESFFWTVGIGFEPEFSYFRRSLTKINALITIIDDVYDVYGSLDELELFTNAVERDLDELERGDVPKSIQCYMNDTGASESNAREYIRHLIDETWKKLNKTEVENNYSIFPRVFVERAKNLARMAQCMYQYGDGHGMGYQETKDRVMSLLIEPISIHCSYSE >KGN62726 pep chromosome:ASM407v2:2:18085686:18089842:-1 gene:Csa_2G369770 transcript:KGN62726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKPRRSAPHISCSSFSRVQLYATQRNHIKSPTFEPKRPSNHPKRKMASPMTGVNTAKTSAIKPPFSIPSFTSASLCAGKTTLRMLSPSSSSSLVKASLTHRQDSPHNLSLDALIKGDRREEVVGAINRSLSNCLSETNLHLTVPGIKSKTRGKVRDIYDYGDYLILVTTDRQSAFDRVLASIPFKGQVLNETSLWWFDRTQHITSNAVVSVPDKNVTIARKCSVFPVEFVVRGFVTGSTDTSLWTVYKNGVRNYCGNVLPDGLVKNQKLSSNILTPTTKAATHDVPVTPDEIIERGLMSQADYEEASRKALSLFEYGQKVALEHGMILVDTKYEFGKGEDGSILLIDEVHTPDSSRYWIAQSYEERFQNGLEPENVDKEFLRLWFKDNCNPYEDEVLPEAPEELVRELSWRYIFLYETITKSKFELPLSEEPVHDRISRNVKTAVAALK >KGN61671 pep chromosome:ASM407v2:2:10780074:10784372:-1 gene:Csa_2G223130 transcript:KGN61671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAETGSATGNSGGVPEPSLKDKGNEFFKAGNYLKAAALYTQAIKLDPSNHALYSNRAAAFLHLVKLNKALADAEMTIKLSPQWEKGYFRKGCILEAMEKYDDALSAFQTALQYNPQSAEVSRKIKRVSQLVKDKKRAQEVEKKRSNIDMTKHLDKLKSELSEKYGSEECWKDIFSFLVETMEAAVRSWHETSNVDAKVYYLLDNEKTDTEKYAPVVNIDKAFESPHTHSDCFQFLRKYAEDSVSRAACLVTPKSLISYPQVWKGQGSRKWKHGQHDGFFVQLETPSLRKLWFVPSSSELGRPLCRDPEVLDIAAHELLPRIFKEKLLST >KGN61803 pep chromosome:ASM407v2:2:12017065:12019685:1 gene:Csa_2G248130 transcript:KGN61803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQKVLIIGGTGYIGKFIVQASAKAGHPTYALIRRSSLESPAKNRILNHFKSLGVNFLFGDLFDNESLVKAIKQVDVVISTLGGHMVPHQHKILSAIKQAGNVKRFFPSEFGNDADHIDAVEPAKSMYAAKAEFRRAIEAEGIPHTFVVCNFFDGYFLSNLSQPDASVPPRDKVVILGDGTPKVIYNKEEDVGTYTIRAIDDPRTLNKIMYLRPPANIYSTNDLVSLWERKIGKSLKRIYVPEEEVLKKIRETSYPLNIELALCHTAQVKGCQTNFSIEPSFGVEASALYPDVRYTTVEEYLDQFV >KGN61979 pep chromosome:ASM407v2:2:13321338:13322548:1 gene:Csa_2G279172 transcript:KGN61979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESAVEIDRLPIDLLAHIFAMITYFSDLAQARGVCRRWKDGVKMSIGRRKSLSFAGWKLEDDSTAHLIRHEHSLRELDFSRSDWGCQITDHGLNQISIAKCVPNLKSISLWGMVRITDKGVVQLVTLLSYPFYFD >KGN61445 pep chromosome:ASM407v2:2:8257744:8262585:1 gene:Csa_2G123070 transcript:KGN61445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEMVIGSREDLNSILPFLPLLLRSSTLFWPSQVVETLKSMAAGPDHSGVNSGEALFRSISNIRNSLSLSNHRLASSSQRGYALFFDKLMSETESMQWFREVIPALGNLLLQFPSLLETHYRNTDQLASKGSGKAKTGLRLLASQVAGTVYLSQAMLAESCD >KGN63019 pep chromosome:ASM407v2:2:20020608:20024530:-1 gene:Csa_2G383900 transcript:KGN63019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKHKGYMRGGFRVLAVIVILLNIVIMSVAAQEEEEVATAAVVKVKVGAVLDLNFSFGKMGLSCISMALADFYSSRSRYKTRVILNTIDSNNTVVGAAAAALDLIKKEEVQSIIGPTSSMQASFMIDVGDKAQVPIISFSATRPSLTSHRSSYFFRITQADSFQVKAIAAIVKAFKWRKVVPIYVDNEFGDGIIPFLVDALQEVDANVPYQSVISLTATDDEIELKLSNLMNMQTRVFVKFDVVVGDITIRANRSEYIDYTLPFTESGVAMVVPMNSSKNTSVWAFLKPLSWKLWVVIGNSFLLMAGIVWALEHRVNEEFNGSVVNQICNSLWYSFSTMVFAHLKIKFIFVTPFLIWCFSGQGFPVGSPLVPDVSRAILKVTEGDRIREIENAWFKKVKECSSSEAAELSSSRLTIDSLWVLFAITDGVSILLVFCYVVYFVLKELPQSWSAKRPSIWQTWTHLFSRFMATDNEAINRRKRGSCPCHQD >KGN61647 pep chromosome:ASM407v2:2:10563263:10565025:-1 gene:Csa_2G205940 transcript:KGN61647 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting serine/threonine-protein kinase MESGGPPTPPPLPPPPTGATLLGKYQLGRFLGRGSFAKVYQARSLADNSIVAVKIIDKNKTIDAAMEPCIVREISVMRRLQHHPSILKIHEVMATKTKIYLVVDYASGGELFAKLLRRGRLTESTARRYFQQLISALHFCHQNGVAHRDIKPQNLLLDEDGNLKVSDFGLSALPEQIKDGMLHTACGTPAYTAPEVVSRRGYDGAKADAWSCGVILFVLLSGHLPFSDNNLVAMYKKVYRREYQFPDSISKPARHLIFQLLDPNPHTRMSIEALMQHSWYKKSLRSKPQISNRSLFDSLGNYKSELGVSGLNAFDIISMSSGLDLSGLFETTDCNKKRFTTGVGMEKVEERVREIGGELGYRVEKGKSGAIGLGKGRMILVVEALEITSNLLMVEVKVAESKMEFERMHWGDLKAKLQDIVDSWHTNEGM >KGN63110 pep chromosome:ASM407v2:2:20669315:20673117:-1 gene:Csa_2G403160 transcript:KGN63110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEELHFLVILLHFEGCIIFIPSLILPLSQIILWSFSTVIALGFRWVCNSTCFIKKQKHLCFSSSYSFHFTLMIGQQSMSSSSTQLYASRMGIYEPFHQINSWPNAFGSRLDTSISPITKVDDCVDNKPEFVPFESMDHLESSQEMNKPIDDKVQRRLAQNREAARKSRMRKKVYVQQLETSRLKLAQLEEELERTRQQKGNGCLVDTSHIGFSGLVNPGIAAFEMEYNHWVEEQQRQINELRKALQVHTTDIELQILVESSLNHYHNLFCMKAKVAKADVFYLMSGVWRSSAERFFLWIGGFRPSELLNVLKPYLEPLNEQQRADIHKLQQSSRQAEDALTQGMEKLHQNLSLSIAGDPIGSYISQMGDGMEKFEALESFISQPSVCYSFLEQADHLRQQTLKRMSHLLTTRQAAQGLLALGEYFHRLRVLSSLWATRPREPA >KGN62717 pep chromosome:ASM407v2:2:18014108:18015031:1 gene:Csa_2G369190 transcript:KGN62717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTLSRKLFPVATGTALPTTNCSACPYDCPYDCYGFPDLYFSPPPPPPPLSDHQKQLPTTIIILILFIAFFVAFTFYIIVVKCRSWYSGSANEGAEALQSDGGEGEFMNENQVDHPIWFITTAGLQQSVINSITVCKYKKSEGLIEGTDCSVCLSEFQEDEMLRLLPKCSHAFHIGCVDTWLRTHTTCPLCRAHILTDFTTPNSVRPPNIGPLNQNEGNLGLNEDTQMENENTNREAVRENEGGGVSISSESSENRGDAVDEQREVEEIVQKEGNFESDDSKVEGNESMRNDNQMNSSSTTTTTTT >KGN63281 pep chromosome:ASM407v2:2:21987736:21988003:1 gene:Csa_2G423610 transcript:KGN63281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSCVWMNGFIWWITSVEWEKANCRQSSSEFGGFNVGLSNGSLGSSFGQNGLGKVKVEGGHSTEDIVVWGLS >KGN61972 pep chromosome:ASM407v2:2:13256828:13261422:-1 gene:Csa_2G277630 transcript:KGN61972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLNLYCTSCCSLIRDNKNVTTSFRFQLRPNRRLNPRVLAKALKDDQTDGGGGRFSGQKWDPGLEIEVPFEQRPVNEYSSLKDSTLYSWGELGAAPFFLRLGGLWLGSFIVLGIPVAAASFNPSREPLRFVLAAGIGTLLLVSLIVLRIYLGWSYVGDRLLSAVIPYEESGWYDGQMWVKPPEVLARDRLLGSYKVKPVINMLKQTLVGTGIVLVSGVLFFIFATPVEDFLQTTFSSNQSLPSSINPDSNINKKYNLRKDQLLRLPVEVLADDELAAAAAEAADGRPVYCRDRFYRALAGGQYCKWEDLIK >KGN62518 pep chromosome:ASM407v2:2:16906002:16906332:-1 gene:Csa_2G358885 transcript:KGN62518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCNSSMFPILLPPLYLPPKFRSDQTLIPTWAITKQQPSNFGTLSSRDIRNNFLSNNKWPTKVDGDEKRLINEDEWRMIASNG >KGN63302 pep chromosome:ASM407v2:2:22137856:22149624:1 gene:Csa_2G425790 transcript:KGN63302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIILFLSHLIPLLLLQLFSSSAYTPPDKYFLNCGSKYDTELINNRRFIGDNKASGWWIYPGKSKAVKNHTIPKSANEIIYQTARIYVKPTWYVFGNINPNGTYMVRLHFFPTLPEIMSQAKFNVSVSCGFQLLSNFSVGNDLKTEVVKEYTFGIEEGAFGIKFSPMQSSLAFVNAIELFLVPDDIKPKSAFPISPEVRMNGSEYRLDSQAFQSVYRVWMGNWKITPDYDTLWRTWLPDSEFMAPQPLAITFIYNKPLNYRKLGKIYVASSDIFSTAKTLDLDTPASSRDLNLTWGFKLKKKSKYFLRLLWCNIFPNSSTFNFNLFIGVNQTSLQNTDVPVRNLSGLPFWNEFIYATDSSGFFNVGIAVNEEDPLSRVFLNGIEIMELIDKSFVGVVDLRMEEKKQSPKMIIVGGCVGGVVIIMALIIGFAMFCFTRKQKSKEHSPLLLPQNDPSSKKIVSIVDLASNLNLELKIPFEVINDATDGFDNKKIIGIGGFGNVYIGKIGEKEVAVKRSQPGHGQGIKEFRTELAIFPHIRHRFLVTLYGYCDENEEMILVYEYMDGGNLRDYLYGSKAKDHVPLSWKKRLEICISAAKGLEYLHTGSIAGIIIHRDIKTTNILLDKDLNAKVADFGISKIRAPDVAEFDNTIRGTYGYMDPEYLTTGKLKEKFDVYSFGVVLFEVLSARAPIKKSVPSEETYLADWAILCKNKGEIEKLIDPSLVGTIDASSLKKFVDIAEKCVDEVGANRPSMRDVVNDLELALQCQLTRLGQGMEYEGISTTVVEDPWKIDSRTFDQIPSKGIDDSIMLDEDTTAVNANELAVDFKIDYAR >KGN60595 pep chromosome:ASM407v2:2:607910:611488:1 gene:Csa_2G002010 transcript:KGN60595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKLPFPFPIFTPQFNPNSTPSHRTLTEIRFSRWYNANAEKFEQRRRSQQEIEDEIRRERRFSSAAKIVDLCDSDSPSSAIDRNETFRSVGTPSSPSRPSIPGRKSKYSKNPNPDSPSPFRQVSKTKKTMNAPEERHIGVEANVSLSEDGVSYVIDGAPFEFKYSYTETPKVKPIKLREPYAPFGPTTMSRPWTGRAPLPPSKKKLPEFDSFQLPPKNKKGVKPVQAPGPFLAGSGPKYVMSREEILGEPLTKEEIKALIRGCINSNRQLNIGRDGLTHNMLENIHALWKRRRVCKIKCKGVCTVDMDNVKQQLEERTGGKIIYSRGGALYLYRGRNYNYKTRPRFPLMLWKPAAPVYPRLVKHIPDGLTLEEVTEMRKKGRKLIPICKLGKNGVYSALVKHVREAFEECELVRINCQGLNGSDFRKIGAKLKDLVPCVLISFESEHILLWRGRDWKSSLPYIERNPEGAKAHGTDETTIVAPSIEQDVSVKNTLTSLDSEDLSTGGNEDPDSMIAEKSISADVDSLTTTMHESNFVSYDEEATGLDDQKLHTATTSEDLDSWSTISGGESEIESGYEFSDSDFDEAEPMEQLEFDSIAATGNSETNGLYTSEGSQALTKPTSNATDGVLQLLKQAVENGSAVVLDSSSLDADVIYQQSVAFSQSAPPSPVFKHERRKKVAADKSEEETSRELEVKEEETAVSMEVGNDKKKDSKTKKNKNFGEYNFSSPQGSLGVDELAKLLA >KGN61517 pep chromosome:ASM407v2:2:9068959:9069285:1 gene:Csa_2G151080 transcript:KGN61517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLNVKKKEGVAKVLGTLLGIGGAMILTLYKGFEINIWTTRVNLLHGRHMSHLPQNSHSHNLLLGSLLAFASCLSYSSWLILQVTKFFPIYFICIYFLLKYHFSIKL >KGN62115 pep chromosome:ASM407v2:2:14345708:14346045:-1 gene:Csa_2G299370 transcript:KGN62115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQNRQWQSEEDVRTSSSTAGKDPIEQLALNSKSSMENDREGAQLETGSSALVALHQGCRQIHRSDSGPPPSHVRRREKGVATA >KGN60915 pep chromosome:ASM407v2:2:2748661:2751104:1 gene:Csa_2G022840 transcript:KGN60915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCCCDQDDDVEILRHLNPSPALHSPPPQPPPADHSAAILSPMNSHFFALSCRDILRLIFENLSIPDLARSSCVCRLWHSVASDQEIVSGAFKAPWKVKDVVGKPSSWSFWRDNCLGKFAISHRILRGDSLASLAVKYSVQVTDIKRLNNMMSDHGIYSRERLLIPIGNPNMLINSICYIEMDAIAKREVAVLYLDGIPMTQHLYGKVTSEQGMSSVQVNKRVLHSLSRSMMVDDETAQYYLSISNGNPRAALTEFAEDIRWERHMSIA >KGN61349 pep chromosome:ASM407v2:2:7174595:7177039:1 gene:Csa_2G094400 transcript:KGN61349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGFVSQGGGAHHEGNVNTFVIITCLVAAMGGLIFGYDLGISGGVTSMEHFLKQFFPSVYEQQAKAAGGNQYCKFDSQLLTLFTSSLYLAALAASFLASVVTRAFGRKMSMLTGGSVFLVGSILNGAAVNVEMLIIGRLLLGVGVGFANQSVPVYLSEMAPPKIRGALNIGFQMAITIGILVANLVNYGTAQIKNGWGWRLSLALAAVPAIMMTVGAFFLPDTPNSILERGDMEKARKMLKKIRGLDNVDAEFQELVDACESAKKVQHPWKNIMQPRYRPQLVICSVIPFFQQLTGINVITFYAPVLYKTLGFGDSASLMSAVISGAVNVLATIVSIVTVDKFGRKFLFMEGGAQMFISQIAVGSMIWKNFGVNGEGSMSGGIDADILLALICVYVAGFAWSWGPLGWLVPSEICPLEIRSAGQAINVSVNMFWTFVIGQLFLSMLCHMKFGLFYFFAGFVALMTIFIYWFLPETKNVPIEEMNSVWRAHWFWGKFIPEDAVIGHHVSMEPYGKGV >KGN62767 pep chromosome:ASM407v2:2:18428099:18431824:1 gene:Csa_2G372140 transcript:KGN62767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWDDCHAGLPESFPPQYDQESFTNFDLFTTATKFKDYYKTLEVDYDATDDDVRANYIRLALKWHPDKQKDQDVATSRFQEINEAYQVLSDPIKRREYDNKGMLYKCDYTVVEYLNRFKGLILTCNGLGIKHPIW >KGN60798 pep chromosome:ASM407v2:2:1953085:1953784:-1 gene:Csa_2G010340 transcript:KGN60798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKAQSGSNVRATFNLYNAPQINWDLNAVSAFCSTWDANQPLEWRSQYGWTAFCGPLGPLGQHSCGLCLLVTNVQTGAQQTVRIVDQCSNGGLDLDVGVFQSLDTDGNGIANGFLTMNYDFVNC >KGN61903 pep chromosome:ASM407v2:2:12738200:12740317:1 gene:Csa_2G263980 transcript:KGN61903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATVSPWGKPGAWALDAEEHEAELLKDQEEQSRHQEEPSADFPSLAAAAATKPKKKKGQSIPLSEFQTYGGPKPSAQSSDPKGLTAEDLMMLPTGPRQRTAEEMDRNRLGGGFKSWGQNSLYDRGNRYSNSEDSPNSRRSSRVFDESRRTNDGSDREFRRESLPSRADEIDDWGAGKKPMVGNGFERRERGGGGGFFDSHSSKADESDSWVSSKSFTPSEGRRSGGFDRERRGGFPTSGGGADSDNWGRKPDGARGGIGENGGSADSENWGKRSEGVRSGIGERPRLNLQPRSIPLNNGNQEASGVAVKPKGSNPFGNARPREEVLAEKGQDWKKIDEQLESVKIKDTVERAETSSGASFERKKGFGARSGRSPDSGRTWRKPESVESRPQSAELVEDGPAEEN >KGN63219 pep chromosome:ASM407v2:2:21406597:21408286:1 gene:Csa_2G416100 transcript:KGN63219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNTNTFLLLARKALTNIQTPFLGFRCFCSQSTSPLSSSPSPNNKLFVAGLSWSMDERSLKDAFSSFGEVTEVRIVYDKDSGRSRGFGFVNFANGNDAQCAKDAMDGKAVLGRPLRISFALDKVRGEPVVVPRLSNINNLVGRRYGNQQYEGTSS >KGN62979 pep chromosome:ASM407v2:2:19743968:19747794:-1 gene:Csa_2G382520 transcript:KGN62979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSVLPLASVSPSASRSSFDNNKKKLNLGSSSLTFDLDKNHPFAKAKSFSRISMVASVNVSRFEGITMAPPDPILGVSEAFKADTHGNKLNLGVGAYRTEELQPYVLDVVKKVEALMLERGDNKEYLPIEGLAAFNKATAELLFGADNPVIKQQRVATIQGLSGTGSLRLAAALIERYFPGAKVLISSPTWGNHKNIFNDARVPWSEYRYYDPKTVGLDFEGMISDIKAAPEGSFVLLHGCAHNPTGIDPTPEQWEKIADVIQEKNHIPFFDVAYQGFASGSLDADASSVRLFAARGLELLVAQSYSKNLGLYAERIGAINVVCTSADAAIRVKSQLKRLARPMYSNPPVHGARIVANIVGDPALFNEWKAEMEMMAGRIKNVRQKLYDSLCAKDKSGKDWSFILKQIGMFSFTGLSKVQSDNMTDKWHVYMTKDGRISLAGLSLAKCEYLADAIIDSFHNVR >KGN61840 pep chromosome:ASM407v2:2:12300965:12301645:1 gene:Csa_2G250430 transcript:KGN61840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIRCDVCDKEEASVFCPSDEAALCAPCDRQIHRANKLASQHNRFSLLHSSASASAAATSEPLCDICQIRRAFLFCREDRAILCRECDIPIHDTSEHTQKHSRFLLTGVKVSPSPATSSSCSSSVASSGEENEGSLKKCSRKRSKMGFSKGLVISEYLESLPGWCVEEFLDSSSSPHLFL >KGN61847 pep chromosome:ASM407v2:2:12370120:12372993:-1 gene:Csa_2G251480 transcript:KGN61847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSVFGSTVFFTASRMVVPVVRFLSAESLPGDCPAAADCWREFRLLRSMVLSLTEEELFHQHFRNSSIEQVISSLLLLPNLPTNLASKLIYKSVTRQWILKQAHHKLDSQNPSHGVVVDSGHQNNVLNDKGRSK >KGN61030 pep chromosome:ASM407v2:2:3497111:3497341:1 gene:Csa_2G034825 transcript:KGN61030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHCFIYTIESKNPFFGLFSLHWRRRFHRLSRHCRRRRPLPPSSSLQLTRLPFALPFAQRFLTHSFSLQLDQFAEA >KGN61669 pep chromosome:ASM407v2:2:10763493:10766070:-1 gene:Csa_2G222120 transcript:KGN61669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISLFCDRWRVMASIVLALPLLLVFQSAKADDLNDLLSPLLSPIFENVCKEVNCGKGTCKTSGNGSFSFECDCDSGWKQTLFDDDDTDDDSNHFKFLPCIIPKCNLTHSCSSAPPPGVQTKPRTNETILDPCSWVDCGGGLCNKTSPLTYKCNCLEGYYNLLNITAFPCYKDCSIGMDCKELGIPVTNSPASTTSTSTTNNNNAASGLFLKQGSLSTISSVVMYVATLLLLII >KGN60908 pep chromosome:ASM407v2:2:2716957:2717549:-1 gene:Csa_2G022770 transcript:KGN60908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHRDIEDLLVKRFFEEMSSLSNCKAMLHAGSYAPEAIIGSNVQPMVFPLHVSYNGDTVICGMEGMAKTALANSVCNKFNWSYDNDWRQPLDNPTSFYLVEESQYRFMRYSGLDKRGGCKKGTNIIANHSTITSSKMYYIYFENLDDRVYRFVSAWAIAKPRWIEIYRFEDHDVARNHHFIIRRVDPSLWQPWAR >KGN62605 pep chromosome:ASM407v2:2:17319816:17321981:1 gene:Csa_2G361690 transcript:KGN62605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYENFDPLFPEQPVVDRYLPVWASLPAFQSKPAFIWSEDGTAKAINEDSFLTYRQLHDSVQLITEQLLRQLRRRDTVVVLCSAGLDFVQLIYGCQRAGLVSVPISPPDVFSENENCHHLARALSQTKPRAAIAHQSYINTVFRYISSSADKKLALLLKGVRWISMESLKQPHKESELNQHKHQSSFYHSSSYSGCNPDDPYLIQYTSGATAISKAVVITAGAAAHNVRAARKAYDLNPNDLIVSWLPQYHDCGLMFLLLTVITGATCVLTSPISFVTQPITWLHLITAFKATCTPVPSFTLPLVLKRVGYSSGRAGNLDLRSLRNLILINEPIYRSTVEEFVEVFKTVGLDPGCVSPSYGLAENCTFVSTAWCGGGGFPAMPSYRQLLPSGRLRDGMCKEIEVVVVNEETGEVVEDGVEGEIWVSSPSNALGYLGHPSVTEETFHSKLKNKSSLNFVRTGDRGVIKGSDRFLFVIGRCSDVIKLNNNNQQIHPHYIESTSYNNFSAYLRGGCIAAVKVSGTIALVAEMQRDDRHDAELLRKICEDIRKAVLIEEGIELGLVVLVKRGNVSKTTSGKVKRWVVKEKLAGGGLGVLMAFEFGKNCVDLKRKGEFETRPVLISML >KGN61391 pep chromosome:ASM407v2:2:7704769:7708193:-1 gene:Csa_2G108690 transcript:KGN61391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISLLEWCNNNNRADSEEEWYMRSSNLRRSGVVALVLVMIAIISACWIIIVHRWNQRKKMRRGPKTWPIVGAAIEQFVNYHRMHDWILGYLNLYRTVVVPMPFTTYTYIADPANVQHILKTNFANYPKGDVYHSYMEVLLGDGIFNVDGELWRKQRKTASFEFASKNLRDFSTLVFRDYGLKLSTILTRASLLNQQIDMQELLMRMTLDSICKVGFGVEIGSLAPNLPENKFAKAFDTANMIVTLRFIDPLWKIKRFLNLGSEALLDKSIKTIDDFTYSVIATRKKEIQEAQTTHTDPNKMKHDILSRFIELGEDPNNKFTDKSLRDIVLNFVIAGRDTTAATLSWSIYMLMTHSDVSQKLYSELKSFEEERAKEHSISLLQYQPNDIQSFDTRVVQFSQLLNYDSLARLHYLHAIVTETLRLYPAVPQDPKGILEDDVLPDGTKVRAGGMVTYVPYSMGRMEYNWGYDATSFKPERWLKQGIFQNESPFKFTAFQAGPRICMGKDSAYLQMKMTLAILCRFYKFSLVSGHRVDYRMMTILSMANGLKVTVAPRS >KGN61652 pep chromosome:ASM407v2:2:10626493:10628394:-1 gene:Csa_2G213970 transcript:KGN61652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLACSFPQALLLSNPPPWNQRTLIINHSTITNNLTNFTPTLSRGQVQVNAKGFTNSPRTAKNRETTAQNNNEDDDDEIPEAVYSRIITRILAFVGIPMAFGVTLLKIFQAIKEQNLWDVPIWVPFFTTFLTFGASTMGIAYGTLSTSLDPEKKGSVLGWEEAQKNWVEMWKEEDEGRR >KGN60501 pep chromosome:ASM407v2:2:87114:88649:-1 gene:Csa_2G000100 transcript:KGN60501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKLRRQWVVGWLGSLVVAVWMQIMLFCPISTDFDSVIELPFSSLTPLNKELQKLSKLGEGLVKDAEDVCVDESAGIVYVGSRTGWIHRLHPNGSWENWKNAHSQTLLGFAPSPSNHQWGILVCDTQKGILKVNEDGCSVLLSSHVNQTRMISFPDDVVEAADGNIYLSDASSKFGLHNWYLDFLEAKPHGRLLKYDPSSHQISTLLDNLHFANGVALSADQNYVVVCESFKYRCIKYWVEGQKQGETEILIDHLPGAPDNINLAPDGSFWIALLHPIRDGWEFVARSKMARHILATFPNLCDLLVNGVRRRATVIKVGEDGRILRKLDDPTGKVISFLTSAVEFQDHLYLGSLNANFLGKLSLTDT >KGN61284 pep chromosome:ASM407v2:2:6199434:6208436:1 gene:Csa_2G075430 transcript:KGN61284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFQVGGQGTRPTFFEMAAAQQLPASLRAALTYSIGVLALRRPFFHKVLDYEDEFFSLLMLVLETHSLRTTDASFSESLYGLRRRAVNVQVKKEDARSVSGDGIQHRGLEKHQKVLSVAFLVVLPYFKSKLHSIYNKEREVRLQASLWGDDNEGFNDAEIYEVRGDNVVPTRTLGVETSVRARVMKKFQKIVGSCYPWLHASSEGLSFAYQLLYLLDATGFYSLGLQVIGVHVCRATGQELMDTSSRISKIRSHERERLRGPPWLKAIQGGLLTCLYTMLDYAQTGLIAAVFFFKMMEWWYQSAEERMSAPTVYPPPPPPPPPKVAKEGIPLPPNRTICPLCSDKRANPSVVTVSGFVFCYTCIFKYISQYKRCPITLMPANVDHVRRLFHDM >KGN63310 pep chromosome:ASM407v2:2:22243663:22248313:-1 gene:Csa_2G427840 transcript:KGN63310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFQITPSESEAANKLTLSDNDVLRRIEYHEPSAWGDYFLSNISNLSNLLEEDSVLKKLEELKEEARSMFVAAEKHSEKLSLIDSLQCLGLSYHFEDEINKILDQIQNSAHVDEEDVEDLYIVALKFRLLRQRGFFVSCEILKKFTSESGDFKESITKDERGLLSLYEASHLRMKGENIMDEALEFTSTQLEAMAMDSTSPFSEEAKYALKWPIYKTLPRFMTRNYISLYRNNPLKKSNILLTFAKLDYNSLQKLYQRELGELSRWWNDLKLKEKLPFARDRVVESYIWALGIYYEPKYSSARTIVAKIIAIITVIDDMYDCYGTLEELQLFTKAIERWDLNCIEELPNYMKVLYEAILEFYEGSIKDMCMDNNIPYAFDYAKEGIIRQCKLYIVEAKWFNEDYVPTIEEYMKISATSIGVYAVASIAFLSLGNIVSEEVFQWVQGNPMLHQASEAASRLVNDIVSHKFGEERCHVTCSIECYMEQYGVSKEIAVAELKKQVGEAWKEIIEDYIKRGKFPSVIHDYGPNFARVTDFYYKERDGFTFANTETKHLIALLLTEPVPI >KGN61307 pep chromosome:ASM407v2:2:6460100:6462624:-1 gene:Csa_2G079100 transcript:KGN61307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLSCQPSDLIPLLGSSATTNSTAVAEFLCSRFTTIASTFSSTTYAVDNNYLLFSAYLVFAMQLGFAMLCAGSVRAKNTMNIMLTNVLDAAAGGLSYYLFGFAFAFGAPSNSFIGRHFFDLSSVPNPSTDYSFFLYQWAFAIATAGITSGSIAERTQFVAYLIYSTVLTGFIYPIVSHWFWSADGWASPTRPNNLLFNSGAIDFAGSGVVHMVGGVAGLWGSLIEGPRIGRFDRSGRSVALRGHSASLVVLGSFLLWFGWYGFNPGSFLTISKSYNDGRPYYGQWSAIGRTGVTTTLAGCTAALTTLFAKRLLVGHWNVIDVCNGLLGGFAAITSGCAVVEPWAAVICGFVASWVLIGFNKLAERLSFDDPLEAAQLHGGCGAWGLIFTGLFATKKYVGEVYQLGRPYGLLMGGGWKLLAAQVVEIVAIFGWVSLTMGGLFYGLMKGKLLRISREDEMAGMDLTRHGGFAYVYNDEEDFSEKASGFVMRRIEPADASSPKDHTSSGIEDV >KGN62810 pep chromosome:ASM407v2:2:18696341:18698199:1 gene:Csa_2G373530 transcript:KGN62810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFYGKHLTVANGVDVAKLFINITNVLHQLQTPMLWLSFMLFKFWNRKVVGLPSSIKNEDGCHLISKCHQTTSCSSSSDSTIVLHFSLSKYIGVVWCLNFKLAPS >KGN62473 pep chromosome:ASM407v2:2:16652340:16652776:1 gene:Csa_2G354990 transcript:KGN62473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFLKKSPTAGAGVNPRGIVIALLTVVTFLTLLLLISSSPPIPHNVNAVKLKKEGGRATPSSQKLHHRRMRRPSYVLQSSKRKVPNASDPLHNR >KGN62158 pep chromosome:ASM407v2:2:14600415:14600639:-1 gene:Csa_2G302245 transcript:KGN62158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPSLQPHTCPLGTRFSTTPRPQRGVAMAAAHWSVTQRPDQDKRFGGDADRHMRRPRGRRSPSFSCHVVPESNG >KGN60785 pep chromosome:ASM407v2:2:1883804:1887308:-1 gene:Csa_2G010210 transcript:KGN60785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKNSATLQIPHSESMNSELYQCVSSGDYNKFISLINSNPSLLLQTTIQSNTLLHVAAAFNQKSIAEEIIHRHPPILYAMNSKKDTALHLAARLGSFQVAEHLIECAEKCRFGDDLEADDYRDKELLRMVNLEKDTALHDAVRNGYGEIAKLLVKERPELVMYANGVRESPLFVAVEEDYLEIAQEILKVDLNCLYGGRDGANVLHAIIIRTLKRYTQNLIETPLRVYLALPVLYVNHFLPQVLGLPYWERKITCKLRPSQKDIIQKVLDKFPNILIEPDIYGWLPLHYAAYLGSKELVELILNHKPSTAYEKDKNGDSALHLAAKEGRSAVLKTFARLCPDSCELLDSKDQTVLHVAVANRQAYTVRRISGLRSFRNLVNQKDIDGNTPLHVAAIVGDYVTIMLLASHGRVDKKIMNNAGFTTNDIIRLNPKFSWYEKSFSIARLEFNGALRGMEQVLARKSKSYNPLLEKEEPKPNVTEQEINRAIVLNNNKGSNQLQKSQIWSELSDANLVVATIIATVTFSAAFQVPGGYQSDGMAVLRKEKYFRLYLLSDALSFGFAAASMFVTFFTGLFGANSGFSYPRRWVTFLTGTSVWFMVFAFMLGTSAVMAEHSGFAGLARSVACFSFIWPVVFLGAVAVNWFTYFP >KGN61574 pep chromosome:ASM407v2:2:9748057:9757272:-1 gene:Csa_2G171950 transcript:KGN61574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVAVVGGGISGLVSAYVLAKAGVEVVLLEKEDYVGGHSKTVHFHGFDLDLGFMVFNHVTYPNMMEFFENLGVEMEISDMSFSVSLDRGRGCEWGSRNGLSSLFAQKKNLLNPYFWQMIREIVKFKDDVINYLEVLENNSDVDRNETLGQFINSNGYSELFQNAYLVPMCGSIWSCSSEKVLSFSAFSVLSFCRNHHLLQLVGRPQWLTVKGRSHSYVKKVQEVLESQGCQIRTSCEVNSISTLVKGCKVSYGDDLQEMFDACIIATHAPDTLRILGNEATSDELRVLGAFQYAYSDIFLHRDKSLMPQNPAAWSAWNFLGNTDKKVCLTYWLNVLQNLGETGPPFLVTLNPDKEPTNILLKWSTGHPIPSGAASKASNELHSIQGKRRIWFCGAYQGYGFHEDGLKGGIIAAQNMLGNRFTLLSNPKHMVPSLADTGARLFVTRFLRQFITSGSLTLMEEGGTIFTFEGTDKKCLLKVALKVHNPQFYWKITTRADIGLADAYINGDFSFVDKDEGLLNFFMIIVACRETNFSIAKLKKKGGWWTPPLLTSCIASAKYFFHHTSRRNTLTQARRNISRHYDLSNELFSLFMDDTMQYSCAIFKSENEDLKVAQMRKMSLLIKKARINKSHHVLEIGCGWGSLAIEIVKQTGCRYTGITLSEQQLKYAEKKVKDANLQDRINLLLCDYRNVPSTKKYDRIISCEMIEAVGHEFMEDFFGTCESVLAENGIFVQQFTAMPDARYDAYRLSSEFIKEYIFPGGCLPCLSRVTTAMTKASRFCIEHLENIGIHYHQTLRYWRKDFLENKSKILQLGFDESFIRTWEYYFDYCAAGFKSRTLNNYQIVYSRPGNVAVFNNPYQGFPSAY >KGN61347 pep chromosome:ASM407v2:2:7161951:7164354:-1 gene:Csa_2G094380 transcript:KGN61347 gene_biotype:protein_coding transcript_biotype:protein_coding description:Interferon-induced GTP-binding protein mx MSSCLNRACRQRMAQYSNGSSSVHQGESSGLSHSVSVPPLIISYNDRIRPLLDAVDKLRHLMIMREGIQLPTIVVVGDQSSGKSSVLESLAGISIPRGQGICTRVPLIMRLQNNPDSEPELVLEYNGKMIHTEESFIAEDISTATEEIAGSGKGISKTPLTLIVKKNGVPDLTMVDLPGITRVPVKDQPEDIYDQIKDIIMEHIKPEESIILNVLSATVDFPTCESIRMSQSVDKTGMRTLAVVTKSDKAPEGLHEKVTADDVNIGLGYVCVRNRIDNETYEEARVAEAKLFSTHPLLSKIDKSVVGIPVLAQKLVQIQAGSIARCMPEVVKQINEKLATNIAELNKMPKKLSTVAEAMTTFMQIIGQAKESLRKILLRGEYDEFPDDEKMHSTARMVEMINQFSNELHKCIGTDNTANFLIEEIKVLEESRGIGLPNFLPRAAFLSILQRKVNLISNLPVEFVMKVWDYIQVIVLAVLMQHSEHYPQLQQPIRRAGKSVVEGMKDRSMSWITEVVEMEKLTDYTCDSAYTTEWNKLMTQQQTFLNQVLRNDYRPATVFLEGFGNIEVGNLWEHPDVLQQAFDLKMRMTAYWKIVLRRMVDSTALYLQYGLQNLVNKEIEKETIGELMSPSGGGIERMLEESPSLSVKREKLKKSIKLLKESKEIVGSIVDSVSVSYIGE >KGN63251 pep chromosome:ASM407v2:2:21700834:21701273:1 gene:Csa_2G418870 transcript:KGN63251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFLNLGVNEAILNLRGNDAVKTEQVNSTAHDLHHHRRRKNGIKRDGEREIGRKNIERGEVSRNDGQQRGVGEYWKAALSCCGCWLSREKREERRERRSLPAANNDWTQAGWQPVGATCGAAFWCFFSLKCLLLI >KGN62768 pep chromosome:ASM407v2:2:18433210:18436841:1 gene:Csa_2G372150 transcript:KGN62768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVPENLSREQYVYLAKLAEQAERYEEMVQFMQKLVLGSTPGSELSVEERNLLSVAYKNVIGSLRAAWRIVSSIEQKEESRKNEEHVVLVKDYRSKVESELSDVCASILQLLDSNLIPSASASESKVFYLKMKGDYHRYLAEFKVGDERKAAAEDTMLAYRAAQDVAVADLAPTHPIRLGLALNFSVFYFEILNQSDKACSMAKEAFEEAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDAQDQLDES >KGN63368 pep chromosome:ASM407v2:2:22624852:22628165:1 gene:Csa_2G433340 transcript:KGN63368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEFLWTFAVQEILKKVLTLVAEQIILAREVKDVLQQLQKELVESQKIVSAITTQRQNHYSPDSLVTQWVNDLQLIVHEADDLLDLFVYEHLQQRVNPSAHGKIIKKVPHFLCASARTKKMKEIIALLNKHCTKLPHLLQLEPTPSNIAETEVAQIQETVSKPEDYVVGRNREVETIVDRVIDASKQELNSILPVFGMGGLGKTTLAKSVFNHDRIKNHFGITIWIYVSQPFVINNILQAILQKVEVHSSDCSNNREALLEKLTENMGEKTYFLVLDDVWNENKMLWEKLKECLMSITHMSGNSILVTTRSSGIAKMMEENIGSHELRKLSDDQCWSIFRNFANAKDVPMTSNLEFVQKEFDKRIGGLPLIAKVLGAAVPFSGDHDQWVANIKSVLTTPIKEEEFVKFTLKLSVDRLPNASVKQCFAYCSNFSKGCEFDKKQVIRMWMAQGFTQPDERNNETMEDTGERYFNILLSFCLFQDVVKNERGIIEKVRMHDLIHDIACQVSNDKKLRIDHIISSNWKDWTKDDKILVSKLRTINFYDRHHVVVQDKIGDFTGLRVLTIENYIVEELPNSIFKLKHLRYLDISYCYSIKKLPESIVLLYNLQTLRFHLLSKGFLPKNVGQMISLRHLEFSSIDKQMSPYLSQLIQLETLPKFAVGFEKGCKITELGVLRNLKGLLKLQRLEHVESKEEAETAKLVEKENLEEVHFVWTKERKRKVENKNDLEVLEGLQPPKNVEYLRIKYFLGGCLPNQTFVENLVKIELRDCGNCEKLPRLGQLGNLEILDISWFERVKSIGNEFYGNSSNNQRSLFPRLKELYVDEMRRIGEWEEVGSNVKAFPRLERLYIGCCRDLVKIPDVFGYCDEYGEKHLEVVEIIEHLWLDRPSNLWSFVTTQGGALANLLSRRTRSFFYYRKERRQMKEKIKEKLRFALYVQSAATQFIVKVPTPTPTPKPKIRSFSPCFF >KGN61603 pep chromosome:ASM407v2:2:10051444:10051746:1 gene:Csa_2G176170 transcript:KGN61603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKWVMVFAIAMVLLTAETATEAHRPTLTPNDPEMKSMVGHAGDKTIPAHGGKGCKKGPHSNCKEHHG >KGN63365 pep chromosome:ASM407v2:2:22615948:22616595:-1 gene:Csa_2G432820 transcript:KGN63365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFTNLASLRHLELWVSSDKTPLHLSRLTQLQILSHFVVGFEKGCKITELGRLKNLQGSLSLLCSEKVESKEEANGANLAEKENLKELHLNWDMERKDNNSYNDLEVLEGLQPNQNLQSLIIHSFAERRLPNKIFVENLRVIHLYSSFNCVKLPMLGQLNNLKELEIYSFLGVRIIDNEFYGNDPNQRRFFPKLEKFVMYEMINLEQWKEVMAND >KGN61789 pep chromosome:ASM407v2:2:11898532:11905401:-1 gene:Csa_2G246520 transcript:KGN61789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFSLPFFSFFLFAAASSAVFYIADSQSFIGVNYGQLSDNLPPPSATANLLRSTSISKIRLYNADPLIIKSLANSGLGIVIGIANGDIPALASNPNSAAQWINTNLNPYYPASNILLITVGNEVMSSMDQNLISQLVPAMRNVQNAVNAANLGGKVKVSTVHSMAVLSQSDPPSSGRINPMFEGTMKEVVEFLKENESPFAINPYPFFAYQSDPRDETLAFCLFQPNSGRVDSGNGIKYMNMFDAQLDAVRSALNALGGFKDVEIMVAETGWAYRGDSNEVGTSVENARAYNGNLIAHLRSMVGTPLMPGKSVDTYIFALYDENLKPGPTSERAFGLFYPNLTMTYDVGLSKNSQTPTTPTTPTTPSSKPTAPVWCLPKADIPDDQLQSNLDYACGHGIDCGPIQPGGACFEPNTIQSHAAYAMNLYYQSLGKNPWNCDFSQTATLTSANPSYNACTYPGGST >KGN61420 pep chromosome:ASM407v2:2:8012091:8012953:1 gene:Csa_2G120255 transcript:KGN61420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFLLGSIQESGDLVWEYNIGNPITASACVDEHLQLVPETSISSDRLICVCSSAGSIHLLRVKLNATQEGNSQNTNVEEFGRLDLEGDIFSSSVMIGGLVFVGCRDDYVHCVGIDNLNTKRNST >KGN61032 pep chromosome:ASM407v2:2:3503965:3505956:1 gene:Csa_2G035080 transcript:KGN61032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVCERFPQSHSFSTNVGTRHEFAVDVASVQAELFASHAKNAHLEAVDLFMTSIVSQDRKSSNHERYNFSGQMFHLSFKGINSRFWKISWLFRKDEQDITQLLS >KGN61633 pep chromosome:ASM407v2:2:10361066:10361589:-1 gene:Csa_2G193370 transcript:KGN61633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDHLPKNGVIIFTLLLSVRRLHNQQPPGRTTHCNLTTNDCTKLNKEIKPCQALGIKIFLSTGGLFGGYSRISPADARKYTLYLWNNFIGGESSSHPFGYAVLDGIEFAYARVLSTNEGDISKWENVINIER >KGN63040 pep chromosome:ASM407v2:2:20144267:20146633:-1 gene:Csa_2G385090 transcript:KGN63040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADETLVEAALRVLNTSDPFEKAELGDNVASRWLNGAISNPYDPSADLPVPDRPARLSNVKLVSPSLMPKLGKAGSLQSRQAIVHSLVHTESWAIDLSWDIIARFGKQEGMPREFFTDFVRVAQDEGRHFTLLAARLKELGSFYGALPAHDGLWDSAIATSKDLLARLAIEHCVHEARGLDVLPTTIYRFRNGGDNETADLLEKVVYPEEVTHCAAGVKWFKYLCQRSIDRKLDEDDDGAESNAMEMEKEETINKFHEVVRKYFRGPLKPPFNEVARKAAGFGPQWYEPLAFKTDPTFHPQ >KGN61897 pep chromosome:ASM407v2:2:12663914:12667818:1 gene:Csa_2G263920 transcript:KGN61897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGMGDGYVGTAQDAVRIRRLEKQREAERRKIQELKAKTASAKGQPGLLQFGSSTSEILETAFKKETVGLVTREEYVEKRVNIRNKIEEEEKEKLQKLQQEEEELQLQKRRKRKIRGNSRLSFSDDFENGSDEDDDNKNLEPKKLGRGKLGKDPTVETSFLPDSEREAEEQAERERLKRQWLREQEQIRNEPLEITYSYWDGAGHRRVIQARKGDTIGEFLRAVQQQLAPEFREIRTTSVENLLYVKEDLIIPHQHSFYELIVNKARGKSGPLFHFDVHEDVRTIADATIEKDESHAGKVVERHWYEKNKHIFPASRWEIYDPTKKWERYTIHGD >KGN63266 pep chromosome:ASM407v2:2:21866244:21874568:1 gene:Csa_2G420980 transcript:KGN63266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFSNFITEPFIVRDAEGQASEETDLEKGTQVLQSNSDFVMDVFNKQIQEAEIQVDKLSGLLIDLKTLLKDANEESKSATNTSEIKAFKNRIEKYIDDVGKNTGEVRGKLQVITIDNVFHRQMPGCEKGTACDRERMNLTNVLTKKLNEVLTEFEALHRTIQDEYCEVVERQVNPVTDTRSDEMIIDHLLETGSSKQIFPTTFKQTEGGKVTGTMEEKIQEQFNVIKEFEKRFLDVYQLYVKTAILVEGHAKVLDNMENKVKDAVDRIEKIDENQKKQELKNMSGNNLMNYYLYFMVVFIIIYILEASK >KGN60610 pep chromosome:ASM407v2:2:700211:700507:1 gene:Csa_2G003620 transcript:KGN60610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAECKFVDGNEEFLGKISEIFAEILFHILLVADCLPNSNGCELKHGIIPRSYVQLLNQKRGSDNEIVFAFA >KGN63419 pep chromosome:ASM407v2:2:23072858:23074324:-1 gene:Csa_2G441740 transcript:KGN63419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANVNSGSSTADDFARRIEASLRAAISQHEAAIQSLPAPVRQEVETAATEQAENSVGAIVRLRNEERGKGTAADTSVKPQASQKAPQVAPAVINPVPAPIAAQPATVGNIIPRIVGPLEADVIGIRGMQLVHREELPDVGYLHPGFIRELEWSDGILREMGGRLSILPDADLKEHAERLWRGLTVQCTNRVTGIAMLYISLEPPFTIKSAGNRSWEKAYAGDLKENYLKIYKEQFPIDAPVLSKACIDGFVWAFQNVSILKGTLGRVASSLNLSPKDLTKACWHKLTKMPLNSLVTILSKVTAESNIAGAPNVRGTWKYARVFGSEYFIGIQSKRCPILFCLLAMICERIGDMGNQDPPETRAIYRRWANRICDFYMPTLFFSFKFAYD >KGN62874 pep chromosome:ASM407v2:2:19137524:19141105:-1 gene:Csa_2G378560 transcript:KGN62874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPFPSSSQIAQKTWELENNIISVDTPPTTSKASDSASDAIFYYDEAAQAKFQQEKPWQNDPHYFKRVKISALALLKMVVHARSGGTIEVMGLMQGKTDGDAIIVMDAFALPVEGTETRVNAQADAYEYMVDYSQTNKQAGRLENVVGWYHSHPGYGCWLSGIDVSTQMLNQQFQEPFLAVVIDPTRTVSAGKVEIGAFRTYPEGYKPPDEPVSEYQTIPLNKIEDFGVHCKQYYALDITYFKSSLDCHLLDLLWNKYWVNTLSSSPLLGNGDYVAGQISDLAEKLEQVENQLAHSRFGPLIAPSQRKKEEDSQLAKITRDSAKITVEQVHGLMSQVIKDILFNSVRQPNKSRPESSSPEPMVES >KGN61210 pep chromosome:ASM407v2:2:5412067:5413517:-1 gene:Csa_2G070275 transcript:KGN61210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQCASDSTKNVLQMSINGDEPPPAYIVEGSAIGGAGEIPIIDVGVFLPSSSPEPEALDSELGKLRSALAKEGCFQAIGHGISNSFLDKIREVAKEFFEMGEEEKQKYSPRNEDDKFQGYGNDVVVSENQVLDWNYRIFVQVFPHDSRKLNLWPNGFR >KGN62023 pep chromosome:ASM407v2:2:13666402:13666620:1 gene:Csa_2G287050 transcript:KGN62023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVFVYAGGNYRWPNLVGKRWQYAKRKIEEELPRVGVAVMRRGAIRIEDFCCNRVIVYVDDSGIVVEVPVIG >KGN61296 pep chromosome:ASM407v2:2:6328422:6348187:-1 gene:Csa_2G076520 transcript:KGN61296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCAAEAQEALEKESLAKVEKEVREELALTLNGDDLETAIANEMAAFVEEWEVVLDELEIESAHLLEQLDGAGIELPSLYKLIESQASNGCFTEAWKKRIHWVGSQVTGDLLASVSDAEKTLQIERPVMRRHGKLLEEGASGYLQKKFSTHEIEGIGTEKLEVDWGSLNKVFSEGSKDSDTLFGSKNWASVYLASTPQQAAEMGLKFPGVDEVEEIDDVDGSSCDPFVAAAIENEKELDLSEEQKKNFRKVKEEDDAIFDRKLQIHLKQKRNQKRCKQVSQKDVSPRDEEQPVSLVDCLNPVSDDKVDKCRMGLSDDENGDVKIKVDIPNGSDASSDIDMERSMEHTASVLPSASSNFVEPLGSKRLNDMEEVITQTKKSRTNGVHNDENSPMKEHSALLNTICNTEQNDYDADSLPSTCPNEKIHCTACDQVVIKVYAHPFLRVIVCADCKSMMDDKKNVKEPDCSECYCGWCGHNADLVSCKSCKTLFCTGCIRRNLGVECLLKAQASGWHCCCCRPSLLQSLTTQLEEALGSEELTGSSSDSDSDNPNADINVTISSKRKRKKKIRRILDDAELGEDTKKKIAIEKERQERLKSLQVQFSSNSKMMSSAGFCGNLSEGASVEVLGDASTGYIVNVVREKGEEAIRIPPSISSKLKTHQV >KGN61786 pep chromosome:ASM407v2:2:11868761:11870013:1 gene:Csa_2G245500 transcript:KGN61786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSIAFFFLLSLLLLGDLTHGRSRKEEGEYWKKIMKEEALPEMLKELLIEADDPSSMVEYNNNKQQKEHFLTNFDPHPNAIIYHAHAHAHNSNPTALPNLSP >KGN61675 pep chromosome:ASM407v2:2:10817291:10817641:1 gene:Csa_2G223660 transcript:KGN61675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNKFIFRSPFGLHITLNKKIGGGHQRYFNIVTVSRDFIIHFQGFVNVFHNLLFMNFDRMISEFRSEDFSSTNRLKVKKNKKE >KGN63291 pep chromosome:ASM407v2:2:22045972:22046310:-1 gene:Csa_2G423710 transcript:KGN63291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDSTRARAGHGENVHEHVLSTHLASSNPSMPHARLHLSPISIFDIPKISPHLPISHSTSLSLYLHAVFPPHTTFSTTTISHQHDAVSPRPPSLTPPSFWLFRLRNPWRPRA >KGN63397 pep chromosome:ASM407v2:2:22886728:22888925:-1 gene:Csa_2G437070 transcript:KGN63397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRTVRVTNVSLSATEKDLRDFFSFSGEIEFVEMRNDNERSQLAFVTFKDSKGAETSILLSGATIVDQPVSISSAPDYNLPAVDASAPVAVPVSTPALDNTTSTTNSTAGSAMQKAEDVVSSMLAKGFTLGKDALNKAKSFDERHQLTSTASSKVASLDQKIGLSEKISVGTTVVNEKVREMDEKFQVSEKTKAAVSNAGSAIMTNRYVLTGASWVSQTFQRVAKAAVDVSQKTKEKVLAEEDQGKHVGNSS >KGN62265 pep chromosome:ASM407v2:2:15495708:15496389:-1 gene:Csa_2G346060 transcript:KGN62265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLQRLDVFQNSSRRKSVLCCFQHRTQNRRSISKSPLLQVSWKASCPCYHPDGIIFNLMNVIKVSGLPKDEDSASLVHCRFSYLPYFTIASVLVGKYMVKY >KGN61275 pep chromosome:ASM407v2:2:6103570:6115935:1 gene:Csa_2G075340 transcript:KGN61275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMEISCDVASLDAELLQLAEVSPLSIKSNPDFVEKLFEQWLSLPGTNRLVTSLLNDAKAGVPLNVPGNSSSPHASASNSLPSIFPAGSAPPLSPRSLSGSPRVMRQRVGPSNLGSPLKVISEPIKEPIPQFYFQNGRPAPIDIKEQCLYRINQGFYGHLDGLQIHEFRAITKEVCKLPSFFSTSLFRKIDVNSTGIVSRDAFVEYWINGNMLTLDLPTQIFRILKQPDLKYLTQDDFKPVLRELLATHPGLEFLQSTPEFQERYAETVIYRIYYYINRAGNGQLSLRELKRGNLIDAMQHADEEEDINKVLRYFSYEHFYVIYCKFWELDMDHDFLIDKENLIKYGNHALTYRIVDRIFSQVPRKFTSKVEGRMGYEDFVYFILSEEDKSSEPSLEYWFKCIDLDANGILTRNELQFFYEEQLHRMECMAQEPVLFEDILCQIIDMIGPENETYITLRDLKSCKLSGSVFNILFNLNKFMAFETRDPFLIRQERENPTLTEWDRFAHREYIRLSMEEDVEDASNGSAEVWDESLEAPF >KGN61221 pep chromosome:ASM407v2:2:5495532:5503657:-1 gene:Csa_2G070870 transcript:KGN61221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEGGQKFPGMIDLNEHAYDLSQGFYHKLGEGTNMSIDSFASLQTSNDGGSVAMSLDNSSVGSNESHTRILNHQGLRRRANDNHTFQHSVNRRGRVTHHLSDDALARALFDSNTPTQGLENFEKWTLDLRKLNMGEAFAQGAFGKLYRGTYDGEDVAIKILERPENDLEKAQLMEQQYQQEVMMLATLKHPNIVRFIGSCHKPMVWCIVTEYAKGGSVRQFLMRRQSRSVPLKLAVKQALDVARGMEYVHGLGLIHRDLKSDNLLIFADKSIKVADFGVARIEVQTEGMTPETGTYRWMAPEMIQHRPYTQKVDLYSFGIVLWELITGMLPFQNMTAVQAAFAVVNKGVRPIIPNDCLPVLSDIMTRCWDPNPDVRPSFTEVVRMLENAQTEIMTTVRKARFRCCITQPMTTD >KGN60728 pep chromosome:ASM407v2:2:1471423:1478527:1 gene:Csa_2G008680 transcript:KGN60728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEIEVVDEVQSRDQQPSQNASASTANGDADESLRNDVYTAAAYGDLEKLQRLVECEGCSVSEPDGLGYYALQWAALNNRTAAARYIIEHGGDVNAADHTGQTALHWSAVRGAIQVAEVLLQEGAVVNAADMYGYQTTHVAAQYGQTAFLYHIVSKWNADPDVPDNDGRSPLHWAAYKGFADCIRLLLFLDAYRGRQDKEGCTPLHWAAIRGNLEACTVLVQAGKKEDLVVTDNTGLTPAQLASDKNHRQVAFFLGNARRLLDKRCDGNTRLGKFSKLGLAPVLWCLIFLLLVTYIHSVILASNLPKLPSGLGLLAWMGVFLATTGLLMFYRCSSKDPGFIRMDVHDSENMKDDEPLLKIEVNNPALLAGNWSQLCATCKIVRPLRAKHCSTCNRCVEQFDHHCPWVSNCIGKKNKWDFFIFLILEVSAMLITGAVTITRVITDPSSPSSFGAWINHIGNHHVGAISFLIVDFFLFFGVAVLTIVQASQISRNITTNEMANAMRYSYLRGPGGRFRNPYDHGIRKNCSDFLIKGYNEDIEYNESSSHSEEMEAMSSPMNSVLQNGDSHSHHANGNNHIAINMNSKNTTSHHGHSHSSNCSHSNHGKAKNDAVPLGLGLGLGRLSTRSVAAS >KGN61938 pep chromosome:ASM407v2:2:12982858:12986739:-1 gene:Csa_2G270810 transcript:KGN61938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLLYSPSPTPLKPHLNRLPHLPRLPRIDPSKLHFPSSTRSEFAGVHSFSSRNENPILSSSSLPISSNTLLSLNDSRDGSVPKPQFHQQIEDFPSGERKAKITKTFMALCVAILVLIQPVFAPSAFAYSAATTGGPSAATFGGRFFRSELLSSAWTGFFAGCLHTLSGPDHLAALAPLSIGRTRMESAAVGALWGCGHDAGQVIFGLIFLLLKDRLHIEIIRTWGTRVVGITLLVIGALGIREATEVPTPCVALDNGEGDVSIYEALENPSEGKKKIGFATFATGIVHGLQPDALMMILPALALPSRVAGAAFLVMFLVGTVVAMGSYTVFIGSCTQALKERVPRITEKLTWAASSIAIALGFAILISQYFGFSLY >KGN60901 pep chromosome:ASM407v2:2:2670210:2672670:1 gene:Csa_2G021720 transcript:KGN60901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGCFNYCCQLSWLGSRNHKDNNSSAFREFVLMNSGIPEWLSYQTTSDSIRVSFQHNRNTERTLATSVTFRVDGDSYQGMALVSCNIFIGCRLKSCYMRKFPTSTSEYTWYKNLVKSFAQEVSAKSDCKYGLLLVDNFLIATNSKMQAYDHVHDDIRFTPKRGMEGLTEITLSKSIWDKFVKDHNITSEILASNDSNALVRGYIDGDKLYLVTHDRQHFQEYLG >KGN62346 pep chromosome:ASM407v2:2:15933689:15936819:1 gene:Csa_2G350290 transcript:KGN62346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGFSSPRSDVFPIGLRVLVVDDDPTWLKILEKMLKKCSYEVTTCCLAREALSLLRERKDGYDIVISDVNMPDMDGFKLLEHVGLEMDLPVIMMSVDGETSRVMKGVQHGACDYLLKPIRMKELRNIWQHVLRKKIQEGRDIEGHDVIEGIHILRNVADRYDDSQFLRGEEPFSVRKRKDIDKHEDKDFCDTSSTKKARVVWSVELHQKFVKAVNQIGFDKVGPKKILDLMNVPWLTRENVASHLQKYRLYLSRLQKENDPKACFVVGMKPKDSCSKDGDGNFGLRSSLAVPQNDVTNSSFSFSGDNFLLQNVDSIGCEVDRKRIVQEHTTEPKRAASRSVPEPIKTRCPQMKFNNTIHIVEPEGKFAAFDPSNRSCYPWVEAPEIKFKQESKPIVHLEGVNQFPPTVPPRQVQMDQLQSISSISSGRSATEKDIASSIESKPPYSENCSQQRVHLNLPKTPTDSFAGKAKSFNEMNDHFDPNSTTTTPLGKNQNFNPSCISTGLGSGLPFATIDEDLQGFLLQDGCYPKNLEHQIIEFPDYGDPGIFTEVPTHLYDAMRFGYDYSYDPTEYPVIDEGLFIA >KGN60920 pep chromosome:ASM407v2:2:2784110:2784880:1 gene:Csa_2G023870 transcript:KGN60920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEDSNSPYGLKLLIEDYPFAVDGLEICRRFMPEKGTPQYIELESDPEKGFLRTINSQVQTLLGISLVEILSRHSSDEIYLGKRESLEWISDQPALEAFEKFGKRLEEIEYEIVKRNRDPKMKNRVGPINVPYTSLYPTSNEGLTGKGIPNSISI >KGN61230 pep chromosome:ASM407v2:2:5585735:5588650:1 gene:Csa_2G072440 transcript:KGN61230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGGHGALEVAKTVIEVADVAWSAIECCHNHIPSHDSPEPTLTEEEQLHALRSENRRLRKLLEQNLHLLQNISESHCLLKDCPPDLYARLVATVDSEKFLNEIKSLNEASKDGISYEFPFREATGADSHAADILVNVSHEAPSWWVWVTEDMVPNNVEEWSGIDDESYVIVSEEHVVEAVAHFMARCIMSNPKTRNISPEELQKAIAKALDGMGSKVEKMFEIWHAGLLFYSLATWGLALAGLYKGRAILKLAAAGVHHTSKAVMKVL >KGN61446 pep chromosome:ASM407v2:2:8267430:8277443:1 gene:Csa_2G123570 transcript:KGN61446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYGYLVKENKGIPIHPNIYWRILIELIGALLACAFFCLFPVNDRHVQNLPTINFDYLFAILYDGRSKMQENKIRCIVHYFRRICSNIPVGFVSFERKVLPLNNCAGSFCCPKANFWINSTIPLCQFKVKDSGLIEDQTFGALEVDFANEYLGGGALHSGCVQEEIRFMINPELITGMLFLPAMADNEAIEIVGAERFSNYTGYAFNFCFAGNHEDKREMDSLGRHKTFIAAIDALCSPGMRQYRLEFLLREVNKAFCGFFNQSKYNQYESLFLESGEDVTNHTDKSRNSLPVHESISDARENFLGTSLTPESLDHKDDIGIATGNWGCGAFGGDPEVKSIIQWLAASQALRPSVMYYTFGTGPLHHLEKVSEWILAHKWTVGDLWSMLVEYCSQISNRQTHVGFFDWLLPASSKTNLKC >KGN61575 pep chromosome:ASM407v2:2:9766417:9769329:-1 gene:Csa_2G171960 transcript:KGN61575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYSCAIFKREDEDLRVAQLRKISHLIKKARIDKNHHVLDIGCGWGSLAIELVKQTGCHCTAITLSEEQLKYAERKVKVLGLQDNIKFHLCDYRQLPNTHKYDRIISCGMLECVGHEFMEDFFGSCESALVENGLLVLQFISMPDDQYDEHRLSSGFMREYIFPGGCLPSLNRVTTAMAKASRFCVEHLENIGIHYYQTLKCWRKNFVMNKRKIIELGFDESFIRTWEYYFDYCAAGFKSRIIGDYQIVFSRAGNVTTFNNPYQGIPSANSLP >KGN62090 pep chromosome:ASM407v2:2:14109462:14113362:-1 gene:Csa_2G297140 transcript:KGN62090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDRKEKVAPWMSVPQFGDWEQKGGVPDYSVDFSKIRENRKQHKRDLSRASIGNEEELMASSATPTTNTPHSHVDENHQLNPTNSNSPTTRKSILRYFNCCVKA >KGN60983 pep chromosome:ASM407v2:2:3226183:3226475:1 gene:Csa_2G033380 transcript:KGN60983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVMVLMDFQTNIAEEALISGFNKIVGLSFSSKSKVGEMGNFFFFFFFLDFVFQDGDEKATPHIFYRFGLD >KGN62324 pep chromosome:ASM407v2:2:15829513:15830823:1 gene:Csa_2G349090 transcript:KGN62324 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing transcription factor MEADSFRRVNGLAIDNNNNTNNPFLDYQIKCNNPTTPTPTAATTTTTTTTTTATAGTSLKRTLRDHNSSSGTMRYRGVRRRPWGRYAAEIRDPQSKERRWLGTFDTAEEAARAYDCAARSMRGLKARTNFVYPSSPPAPHSLSSDDYLIPRFNFPPKHSLPRLNSSNWPLFSTPNRGPDFLWSGHAQRINTASPTLDMLLLRDFLNFPSSNYNHSSKPSYSINTTTNNNSTTKITPPPPPPENYSSEFLPKDSPDSGLLEEVIHGFFPKSHDSNTNNSDDYFNNNDENKNANLSGQHLDLLDYQLADDSFNNNNNTTLINQDIPDHGRLFGDAHNLILDDIFQCPELLNPFPPKLQNA >KGN62364 pep chromosome:ASM407v2:2:16026511:16028818:1 gene:Csa_2G350470 transcript:KGN62364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGREENGYDNNGSKHEKAMGFDFHRGNGINGGFHRRVVTAKSTPSKWDDAQKWIFGLPRGGEKGESKVKHRNSNADDLRLIAAVPQQEHEYLSIGEKRIEGEEENGGFASAMTSRSEAETKKMECGEPIWRVNKPLESCKTMVRSVCVRDMGTDMTPIASQEPSRTATPVRATTPVLQSPITSGSSTPARPHHEMQTIEDRQAGFASTAMVVKNQSQSSDQTLQMDSMETRAMAWDEAERAKHMASDHFGPIDNFCFIPKFKKLVKRAEKMKAGAQETLADKLAATRRIAEEKRANAEAKLNKKSVRTSEKADYIRRTGHLPSYFSFKLPSLCCW >KGN60511 pep chromosome:ASM407v2:2:130110:132437:1 gene:Csa_2G000200 transcript:KGN60511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSGCLRVASLQGRHLFFLQASDFSEEVSAPEIRFNRRSFSTAPRESDSSSSFSPYNIMFQIFKDCYWGSD >KGN63413 pep chromosome:ASM407v2:2:22985725:22987680:1 gene:Csa_2G439210 transcript:KGN63413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANFLYVFSMLSHFILFLNLPLSVISVSFKIDQFKSDDNTILYQGDAVVLGGEILLSDPEFSCHVGRAIYKDPIQIWDSETAKLTDFTTHFTFTIDTQKVPDYGQGFVFFLAPSGFQIPPNSAGGFLGLYNKTYSNSVTNQIVHVEFDTGSNGWDPPYAHVGININSVTSSNDTRWNVSLHSGDLAEVWISYNSTIKLLSVSWKYQKTSTLLENTTLSYPIDLTTVLPQQATVGFSAATGAHLERHSVSSWEFNSTLDMKPTSISAGNKVSVIVGVTVSVGGLILVGIIVFVTLSRLKEKKRKKDQENLEEVNLTSINDDLERGAGPRRFSHKLLAMATNNFSNERKLGEGGFGAVYRGYIQDLDLNIAVKKISRGSRQGRKEYITEVKIISRLRHRNLVQLIGWCHDKGEFLLVYEFMSNGSLDSHLFGKRTPLAWSVRYKIALGLGSALLYLHEEGEQCVVHRDIKSSNIMLDSNFNVKLGDFGLARLMDHELGAQTTGLVGTLGYLAPEYINTGRASKESDVFSFGVVALEIATGRVSRTSMEKESHKGLVEWVWDLYGSGQLLEGVDAKLQSNFDKKQVECLMVVGLWSAYPDPNFRPSIKQVIQVLNFEAAVPNLPNKMPVPTYNAPSTSMSSNEPSFTVSLDMGR >KGN62372 pep chromosome:ASM407v2:2:16086978:16090638:-1 gene:Csa_2G351530 transcript:KGN62372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKFHPLTSQSPKLPSFAMPQLASLRSPKFVMASTLRSTSREVETLKKPFMPPREVHLQVTHSMPPQKMEIFKSLEDWAEENLLVHLKPVERCWQPQDFLPDSAFEGFHEQVVELRERAKELPDEYFVVLVGDMITEEALPTYQTMLNTLDGVRDETGASPTPWAIWTRAWTAEENRHGDLLNKYLYLSGRVDMRQVEKTIQYLIGSGMDPRTENNPYLGFIYTSFQERATFISHGNTARLAKEHGDIKLAQICGTIAADEKRHETAYTKIVEKLFEIDPEGTVIAFEDMMRKKVSMPAHLMYDGRDDNLFHHFSAVAQRLGVYTAKDYADILEFLVGRWKVESLTGLSGEGQKAQDYVCALPARIRKLEERAQGRAKEGPTIPFSWIFDRQVKL >KGN63231 pep chromosome:ASM407v2:2:21496985:21500859:-1 gene:Csa_2G416210 transcript:KGN63231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAAPLFQRVAIKRTIDKLLDATIFLLLLSLLGYRLHFLATNPFNFLHFTAFLCESSFAFTSFLLLVIKSNPFHCITYPHRLLERNRVQEIPAVDVFVTTADASLEPVIITVNTVLSILAVDYPVDKLSCYVSDDGCSPITFYSLREAVKFAKIWAPFCKKYGIRVRAPFQYFADSSRADESKEFQHHWNIIKGEYETLCRKIEEAEEAWDSRDLPFFSGTDSKNHDPIIKIIWENKEYENVLPHLIYVSREKRLKHSHHYKAGALNVLARVSGLMTNAPYILNVDCDMFVNESTAILQGICPFIDPINDKEVAYVQFPQRFYDGLKDDLYGNQLIVDMEVRKFSLALLFIYYCY >KGN62541 pep chromosome:ASM407v2:2:17003739:17011126:-1 gene:Csa_2G360580 transcript:KGN62541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSENISAGLLDTFKMKRVRTIFTHTYPYPHEHSRHAIIAVVVGCLFFISSDNMHTLIEKLDQNIKWWSIYSCLLGFFYFFSSPFIGKTIKPSYSNFSRWYIAWILVAAVYHLPSFQSMGVDIRMNLSMFITIYISSILFLTVFHILFIGLWYVGLVSRVAGKRPEILAIFQNCAVISIACCVFYSHCGNHGVLKDRTLQRKTSNWFSFWKKEERNTWLAKFLRVNELKDQVCSSWFAPVGSASDYPLLSKWVIYSELACNGSCTGPSDGISPIYSLWATFIGLYIANYVVERSTGWALSHPLSVKEYEKLKRKQMKPDFLDMVPWYSGTSADLFKTVFDLLVSVTVFVGRFDMRMMQAAMRKLEDGAQQDGLLYDHYSERDDLWFDFMADTGDGGNSSYSVARLLAQPSIRIVEDDSIYNLPRGDMLLIGGDLAYPNPSAFTYERRLFCPFEYALQPPPWYKSDHIAVKKPELPHWMSELKQYDGPQCYVIPGNHDWFDGLHTYMRYICHKSWLGGWFMPQKKSYFALKLPKRWWVFGLDLALHGDIDVYQFKFFSELVQEKMGADDSVIIMTHEPNWLLDCYWKDVSGKNVSHLICDYLKGRCKLRIAGDLHHYMRHSAVKSDESVNVHHLLVNGCGGAFLHPTHVFSSFRKFCGSTYECKAAYPSFEDSGRIALGNILKFRKKNWQFDFIGGIIYFILVFSMFPQCKLDHILQEDSFSGHLKSFFGTVWNAFLYMLGESYVSLAGAIVLLIVAVTFIPSKASKKKRVIIGLLHVSAHLAAALFLMLLLELGLETCIRHELLATSGYHTLYDWYRTKEGEHFPDPTGLRARLEEWTYGLYPACIKYLMSAFDIPEVMAVSRSNICKNGMDSLSRGGAMIYYGSVFFYFWVFSTPVVSFVFGSYLYICINWLHIHFDEAFSSLRIANYKSFTRFHINRDGDLEVFTLAVDKVPKEWKLDSKWEGEAREMEGGQKMSHQRSYPSKWKAAAPHQDPVHTVKIVDQFVIRQARGNDNFEDVNGSEIH >KGN60574 pep chromosome:ASM407v2:2:469183:469365:1 gene:Csa_2G000810 transcript:KGN60574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGQTDLLVFVRKLRRSPHPLGFDLGLVFWAFCPSVGMLGLFSLASRCRHRLSGYGEPT >KGN62285 pep chromosome:ASM407v2:2:15645493:15648593:-1 gene:Csa_2G348230 transcript:KGN62285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRLPTLYVVPLYLFIFSLSSLNVSTAGDEDDPFTPKAFLNRYWNKEVRNDFPKPSFLFSKASPLTAVQSAAFAKLAAENALSSRLPDFCSAANLLCFPDLSPSLAKHDRDSNFAVYSNKNFTTYGTQRSGGIDSFKNYSNGDNVVVDSFRRYSHEALGHTDRFSVYGTDTNVPDQSFNTYASKAIGGDGKFTTYEQSVNVPNLRFTTYSSDATGRSQSFKSYSENANAGDQSFVNYGKRGNGGPNEFTGYGTSANVIGSRFSSYGAEGNGANDTFTNYGNDQNNPQNNFRSYGEGGNGAIESFSSYRDQANVGDDSFQSYSKNSNSAKVNFASYGKSFNEGTDKFSGYGQNGNGQSVGFKTYGVNTTFKDYAKQGINFAKYSNVSSGGAKMSVSGSLAKRWVEPGKFFRESMLRKGSVMAMPDIRDKMPKRSFLPRSILSKLPFSSSKLGVMKQIFHAGDNSSMETMMTETVRECERLPSAGETKRCVGSVEDMIDFATSVLGRNVVVQTTENTKGSKGNIMIGKVKGMNGGKVTESVSCHQSLFPYLLYYCHSVPKVRVYEVDLLDPATKATVNHGVAICHLDTSAWSPAHGAFIALGSAPGRVEVCHWIFENDMTWTVAD >KGN60903 pep chromosome:ASM407v2:2:2683074:2687484:1 gene:Csa_2G021740 transcript:KGN60903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFFTKKQPLLNFLSQSHSPSSSSSSSSQYLFKSSNFINNKRSKSSSIILIFLSLFFILLCFLFLISFTLFSSNSNSTTTNNLLGIPTIIDNEFQETIKIGLINIRTDDKDEIHDTLHLLGLIESRRTRIETVTIDFDRIEKEFEWERLFPEWIDEDEKYRSSPKCPNIPMPKTEDYSDLNVVVLRIPCKRGILMSRDVFRLQANLAAARVAVASGWVEEDIYRTVYVVFLGECGAMREIFRCDDLVIKDDRTVENVWVYKPEIKRLKQKILLPFGSCQLAPVYARTGREVWRHFMLRKPPKTNSTTTHYHRPKQAYVTILHSSEAYVCGAIALAQSLLQTNTSKDLLLLADNSISPNSIQALKDAGWDAMRIDRIRSPFSEKGSYNEWNYSKLRIWQLTMYEKIVFIDADLLVLKNIDQFFALPQLSAAANNKMRFNSGVMIVEPSACLFEELMEKSFELKSYNGGDQGFLNEIFTWWHRLPSRVNYLKIFLKENSENDSGTDPYAIHYLGLKPWMCYKDYDCNWDMEDHQIFASDSAHAKWWQVYESMPTELQHFCGLTKKMDSRIRKWRSIARNNSTFTDAHWKINITDPRRLRFMDDQAPLHQ >KGN62232 pep chromosome:ASM407v2:2:15260772:15261159:-1 gene:Csa_2G337770 transcript:KGN62232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRITFFFIMLQIGSHNLQVKNISIEILDFYENHRTITEERIISALGKLPMKS >KGN61055 pep chromosome:ASM407v2:2:3672581:3673361:1 gene:Csa_2G036040 transcript:KGN61055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNGCEICCEILIAILIPPLGVCLKFGCCTVEFCLCLILTLLGYVPGIIYAVYAIAFVDRDQFFDEYRRPLYSSVSAE >KGN61686 pep chromosome:ASM407v2:2:10904657:10906556:-1 gene:Csa_2G224260 transcript:KGN61686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVEEANKSAIESCHGVLNLLLQPPPSPHHHQHHFKNLMLETKEAVFKFKKVISLLNSDFSHPRFRNFNKIPLPLPQNSLLDSPNYTLHPPNKNLFNSPPGFNSKVSILLGNPDLELSQNDKNSLHIPKQSPSLSFSFPHHHHPQQQQQQQQQQQSLLAHQKQMKHQAEMMFLRNNNGMNLNFDTSNCTMTMSSARSFISSLSMDGSVIGDRSSFHLIGPSTTTTTTSGNSKRKFSARGEEGSLKCGSTSKCHCSKKRKHRVKRSIKVPAISNKLADIPSDDYSWRKYGQKPIKGSPHPRGYYKCSSIRGCPARKHVERCLEDPSMLIVTYEGEHNHPKMSTQSAHT >KGN60508 pep chromosome:ASM407v2:2:123959:125295:1 gene:Csa_2G000170 transcript:KGN60508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSARNRFPFTAAQWQELEHQALIFKYMVSGVPIPPELLYSIKATSLDTPFISRLFPHQYPQVSAVGWNYLQMGSGRKIDPEPGRCRRTDGKKWRCSKEAYPDSKYCERHMHRGKNRSRKPVEQVLKTTPLNSSNPSTPAISSITHNSETHPHPHPHPFHYQNPSASSHPSLLPDYRRNRYIYGEKEENSFVREQHSGNMRGFSGSSMDDSWQLTPLTMSCSSSSSRYKNCSALQGDYSSYLQLQSFEKYNEMQSKMDRDQEPQKTVHHFFDEWSPKHRESWDDLDDKSSNTGSVSATRLSMSTPNTDSSIFSFNKRNEN >KGN61842 pep chromosome:ASM407v2:2:12325487:12330358:1 gene:Csa_2G250940 transcript:KGN61842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPSNLLSPAANLFLLPSTSSYPLSKHGIWISRNQSPRKRRRTTTMSVETDVVPSQSGNRLYLGMDFGTSGARFALINKDGDVCAEGKREYPLYKSHEAIDWARSWKMTLFSLLEDVPNHYRHLVASISIDGTSATTLIVDSNTGQPLSKPLLYNESCPDALPLVKSIAPVNHTVCSASSTLCKLVSWWNSADSNKESATLLHQADWLLWFLHGKLGISDYNNALKVGYDPEIDSYPPWLLAQPYSQLLPYVKAPGTSIGNLKEDIRSQFGFPNDCIACTGTTDSIAAFLAARATLPGQAVTSLGSTLAIKLLSSNRIDDARFGVYSHRLDNMWLVGGASNTGGAVLRQIFTDDQLQELSKQIDPMKTSPLDYYPLTSIGERFPEADPQMAPRLHPRPESDVEYLHGILESIARIEGKAYRLLKDLGASEVEEVLTAGGGSKNEKWTKIRERVLGLPVSRANQTEAAYGAALLALKGAQS >KGN62170 pep chromosome:ASM407v2:2:14677475:14685640:1 gene:Csa_2G307840 transcript:KGN62170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNIISKLRNLDAYPKINEDFYSRTLSGGVITLSSSILMLLLFISELRLYLHAVTETKLVVDTSRGETLRINFDVTFPALPCSLLSLDAMDISGEQHLDVKHDIIKKRLDSHGNAIEARPDGIGAPKIEKPLQRHGGRLEHNETYCGSCFGAESADDDCCNSCEEVREAYRKKGWALSNPDLIDQCKREGFLQRIKDEDGEGCNIYGFLEVNKVAGNFHFAPGKSFQQSNVHVHDLLAFQKDSFNISHKINRLAFGEYFPGVVNPLDSVQWKQETPSATYQYFIKVVPTVYNSVSGYTIQSNQFSVTEHVRTAEVGRLQSLPAVFFFYDLSPIKVTFTEEHVSFLHFLTNVCAIVGGVFTVSGILDSFIYHGQKVIKKKMEIGKFS >KGN62426 pep chromosome:ASM407v2:2:16402951:16406142:-1 gene:Csa_2G354030 transcript:KGN62426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFGVVGLDGGVVVVGSSDTSGFSSLAAAAASSDAETTKQKWYESVSGFIHKQERSASVAAPQEQDDDDGVGGGGGGGGDEDLRTSKLLKTSDHLSSSTSSSTKGFLFPHRHSASLLRSTNSSPFFLSDSNQNHHHQMLCFSSPKTESFPLDKTSSLSAVSPNLYHSSAPRNAGCNYGGLNGGSMHGSSFIGVRAPFTPSQWMELEQQALIYKYITANVPVPSYLLIPIRKAFESAGFSAFSGGFLRPVGWGSFNMGFSNSSDPEPGRCRRTDGKKWRCSRDAVADQKYCERHMNRGRHRSRKPVEGQAGHSHSVAGASNITTATAATKLISSSASTAAVPCNGSPNSLSFANQHFKNLQRPGSHPPPSSAAAQINRMLITNKENGGIGLLDSTTTSGLSVLSPSIDIKHSKQLPFAIQKQQNPFEESPRGTEFGLVPSDFLLGSSQKSSSLMNYRGFNPSEGIASTQESAESHHSLRQFFNNWPKNQPDSSSVSWSNSNLDPQSDRTQLSISIPMATSDFRSSTSSPANDKLTLSPLKSAQELDPIQMGLGVGNVMDEPNNRQANWIPISWESSMGGPLGEVLNSTNNNGGESKSSSMLNLMTEGWDNSPSLGSSPTGVLQKTAFGSFSNSSTGSSPRTENHKTNEGGGGGSSQCSDRFVNSSSSLPSV >KGN61554 pep chromosome:ASM407v2:2:9632020:9635092:1 gene:Csa_2G169780 transcript:KGN61554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAPDTEPVVPSSSQVKVKKKKQKTAAVSNSGVDLKSIIHNHALFFDKLVELIPVRFYLSSDEKGKPWFQGLSKKEKAMAKKESKENLKKARRDRMDPEKSSKSTVHLLKESLENEKLKSKNDDDGDDVKPVATGWDSDDQSVTYEELRQRLHRKIEEFRVNRNTGCSNREKKRNERNERREAIQKKRKRENDSDEKKSVTMPSEIEMERNVVEASKELAFGHVKLGTEEEQGKNKKKKLSKLKELEKAKKLEEAKKDPGKGEIVLKKHSWQAATSRAAGFKVHDDPQRLMKSLRKEKKQQLKSVEKWKGRIETTQKMKAERQQKRSENIAQKIHDKKMKRIEKREKKLMRPGFEGRKDGFINGSSA >KGN61604 pep chromosome:ASM407v2:2:10067314:10067613:-1 gene:Csa_2G176180 transcript:KGN61604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQKYLVILLLLGVILLSTQVVARPYYLSENHHKLTPSATVSAAYWKVVIPFFKKHFHKKSPKKSPKKSPKFPKVKSPPPSPPPPPPRPVRSWRPPSSN >KGN61651 pep chromosome:ASM407v2:2:10624590:10624933:-1 gene:Csa_2G213960 transcript:KGN61651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEGIDEGKEWRRQKFSHRNSACQNDCRETRIGISIPDEQRSKHREFLRRNHVEETALPMSACDIGHRTLSTYLQASKEELSQHYLAKLLFDVLKMRWGTLLFPDR >KGN62850 pep chromosome:ASM407v2:2:19016357:19018574:-1 gene:Csa_2G377370 transcript:KGN62850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCMEESTIHGAIAFRFDETLPINSFSPSTFHLAQSEHSGREKRHSFSSLPLNVIPFSPFFRFSLACFCYFPSPLSLSLNWITGILPLKFVGVLGIINSIMVLWVFGYGSLVWNPGFDFDEKVIGFIRDYRRVFDLACIDHRGTPENPARTLTLEPKEGSICWGAAFCIRGGPEREREAMEYLEKRECEYDQKTIVDFYKDEDSMEPTLTGVLVFTSTFDKVLNKYYLGPAPLEQMASQIATASGPCGNNRDYLFRLEKALFDIGHEDDMVIELANEVRNILGKVGKVGMVLKDKKKPIGPTTTHLPFMSFISGVQVHPMVDATVAMDS >KGN60716 pep chromosome:ASM407v2:2:1418524:1422891:-1 gene:Csa_2G008080 transcript:KGN60716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNFHHPNLPFTSQIFPPSCPHLADFRSRNGSKSFRLLQDCLRVKPPGGRAAIRRDPNEVPRCSSCGDSARPRLYACVTCAAVSCHAPPSHSHAAAHAESMPTGHEIAVDVDRAELFCCACRDQVYDRDFDAAVVLAQTTASTLGGNAVSSSGVSPSQPSLPPENLRKRRRVDYQPWMPDLRERVLVGANSSPLDCVNSNLGSESELPWGLRGLNNLGNTCFMNSVLQALLHTPPLRNFFLSDRHNRYFCQRNVNGSNVSSNVNDGNGSKNSRICLACDLDAMFSAVFSGDRTPYSPAKFLFSWWQHAANLASYEQQDAHEFFISILEGIHEKVDKDRRKPYSQGNGDCCIAHRVFSGILRSDVMCMACGFTSTTYDPCVDISLDLEPNLGGSAKMAAARSNLPCNGEADCMNSSQNQRLSSLVGCLDQFTRPEKLGSDQKFFCQQCQVRQESLKQMSIRKLPLVSCFHIKRFEHSSVRKMSRKVDRYLQFPFSLDMAPYLSSSILRSRFGNRIFPFDGDELDGCNEMSSEFELFAVITHKGKLDAGHYVTYLRLSNQWYKCDDAWITQVNENIVRAAQGYMMFYVQKMLYYKASEKQSAS >KGN60768 pep chromosome:ASM407v2:2:1746723:1747549:-1 gene:Csa_2G009550 transcript:KGN60768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLFSESSSNNSTPTTTTTTTTPPTATTTTAASATTPSRYENQKRRDWNTFCQYLRNHRPPLALQMCSGAHVLEFLRYLDQFGKTKVHNQTCPFFGLPNPPAPCPCPLRQAWGSLDALIGRLRAAYEENGGRAEGNPFGARAVRLYLREVRDFQAKARGVSYEKKRKRPKQKINTSSTTTHDHHQDSTT >KGN62637 pep chromosome:ASM407v2:2:17496636:17498608:-1 gene:Csa_2G362500 transcript:KGN62637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEGEVIAIHSVEEFDAQVRIGKEMSKVIVVDFTASWCPPCRFIAPIFADLAKKNHHAIFLKVDVDEVQAIAARFDVEAMPTFAFVRDEVEVSSHKIVGAEKDRLIQLVSELCGPVPSTPKA >KGN62128 pep chromosome:ASM407v2:2:14448489:14448884:1 gene:Csa_2G301460 transcript:KGN62128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGENKRCLMVTILIQAINAGMFLLSKAAFNAGMNHYVYIFYRQVAATVFLSPFAFFRWKDAPPLTFFTFCKIFWLSLLGYIYIYLLFPSYN >KGN62211 pep chromosome:ASM407v2:2:15083732:15087825:-1 gene:Csa_2G336090 transcript:KGN62211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSPVAASSLKLYSYWRSSCSHRVRIALNLKQYEKLNPIGYVPTLVDGDVVIADSFAIIMYLEEKYPQNPLLPCDLGKRAINYQAANIVSSSIQPLQNIAVLKYIEEKSGPAEKLRWVQHNIEKGFTALEKLLKPHAGKYATGDEIYMADLFLAPQIHGAINRFNIDMSKFSLLNRLNEEYNGTAAFQDAAPAEQPDATPTST >KGN62938 pep chromosome:ASM407v2:2:19548228:19551403:-1 gene:Csa_2G381640 transcript:KGN62938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSTSSSTSTASKSWIRNLSSIASRVYFLLIILQIPLFRISCRSGMCTSPLHVTSSQLIASEVFPAPVVKALLYPGAVVNGLVMNLTVPSWSNLFDIYNLTNIKEASAVTDLQRLEVLAGSYFSVAGAFVGLLKPGRMSMFGTLLVIWGLVKEGILGKPVNTDPVKAVYVYPTMILAVICAFSSVKYDVKKVARGAPARPIAKPLQSSSKSKLK >KGN62099 pep chromosome:ASM407v2:2:14190436:14190919:1 gene:Csa_2G297230 transcript:KGN62099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLKKTLAFSCNNLLQLLAFIFLFFLLITTTGSSARSLVEGRSRNDDDPYVKNHSGVIGNDLVTMDYTPARKNHPIHN >KGN62185 pep chromosome:ASM407v2:2:14842248:14845001:1 gene:Csa_2G317420 transcript:KGN62185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLLLDVSDFSLKMQSKYGCPKKESSFRRSISEVTLERMSSVWGSFSILPTSGNTRVYSVLSKYTSSVFLFLINKKKLMAVFKVILIDFLSHGLGIFQEGNSEGKDTLKLETNAESSKEAQRDEAVGAKTESKSENPATEAEKSVPIVKKYVENVPPQKAPFDKKFLPTMNLKRVSDLKLSLQMKLGISHASSSTDLFKSGLLKPCRGILLFGPPGTDKTMLAKAIANEVGASFINVSMSTITSKWFGEDEKNVRALFMLAAKVSPTIIFVDEVDSMLGQRTRVGEHEAMRKIKNEFMSHWDGLLTRNDERILVLAATNRPFDLDEAIIRRFERRIMVGLPLVESRELILRTLLSKEKAEDLDFKELATMTEGYSGSDLKNLCVTAAYRPVRELL >KGN62341 pep chromosome:ASM407v2:2:15910206:15915565:-1 gene:Csa_2G350240 transcript:KGN62341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIPDYPTCFVEAAASNNTVFVDTSLGTHLAMAVSDGDTVFDVKEKIEKEHPLCFPHLGAIKIHAIKVTRRGYFYHLSDSMYLKSAFVGYDDSWFLSIDASTVDGHSTDPNTGSVARNNHSGHLPNYDAQKLKDIVAQQYVNEEAPDSCHSSKRDLMIEKAEVTHSVKNRSKHQSSRTMNDCEGFNEKLESLPAVKQNHRSKKSKTILINEHKFANHTSDDNDQNPLQQVVGSNEKSKEYAHNEVSDHPMMESKSNVDEHGQISSSDTGNVSESENERKRKYNLPDEAEISGKNDKSKYLIDNTAFKVAAQAESIVEKIHKTEMTIRVMNGVEGNRDLVSESRNATSTSKVTSEYLPDEKQTPQVVIGSLSTEPYAHLLKSASSGVKKRKKKSKSELSGCPNQVVDLISSRVGDQQDASRESDIATVPSRDVEEKSIPDLLETSTREVQYESIDKTAESYAPPLVGESHGDKNNIELPNVQSIMDASDKPVLGGMVGSEDLASKSKDPTDLQKSIGTSEDANNVQRNHLTHKTEKFVAVLEERDSLQIADAKASMVENCHSSSWDGTNADTNSKAMEISKLVHLKGTAEKTKHGKKKKIKKSRESAEERQINLVTAGARDSAQDISTEMQSCTLGDNSCSKAEIGERNVSLMKGENTTNTSILPDVRNIDLDKPNTSKVEPLLQINKTQAVAKDMDGQVRKKTKKRPVASMKSTPDLQAESIGNEDSFSSKRNDREVKPVSIAAKKTKFSKSNFRNEIEEEENLDSTRFSEVEISPSYCKKSKTVRSSLNPSHISEGYEDRYVEANRFSNTTEDCNTGKVDDVEVPSESNKVGIEENADRFQHESVKLQVDNLSREKSVNTLLKAKRKKKDPSACSSAASLSMQNAQKSDENTENEGHCLTSNSSALQLRGSSSKDKCDAMLHVDNKLKKISRGGVKSLPSNEPKQKTSDSNQADGVRGKVVDSSRDSTEIYSETSSLPKTKPKMKKSANMVYHDQKRKGHQSTGIGRPEGGRKSSQTGKKDVTQSQRRNVLLTSGGIFKDASSDSSEDEAGIVDSDASTKSPDNSQISDFSDGESNESVDLERTNIRRSRRKNDPSSPENLTLDTILRSSSRYKKAKMTASQLQQDDTESQPVDFVPDSQTNT >KGN62350 pep chromosome:ASM407v2:2:15947967:15948380:1 gene:Csa_2G350330 transcript:KGN62350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLPSAATLTDANCSALVNQVRLQIAKINPEFLRQFQEENAQLEIIKATSAKFHKGDIVSCAFTSLCRLPLYDADFGWGPPDWAGSPALPFKNLFVLMDGKFGDGAVDVLVHLKESHMERLKVDREFLKFASPTASS >KGN62177 pep chromosome:ASM407v2:2:14757121:14760986:-1 gene:Csa_2G309380 transcript:KGN62177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNRGLRKVSAKWVPFFCLAFFLFGMLLTSSGRIWTPKQSDSRLVSRLQNEQQQLRSVSEGITTNQKSVEDKRVLAEFHKTQAAIQSLGRQVSTLKSEMAAARKVTPPVIDLPSDRNHFPRKKIFIVIGINTAFSSRKRRDTVRETWMPQGERLLQLESEKGIIIRFMIGHSAKSNSILDRAIDSEDAQHKDFLRLEHIEGYHVLSAKTKIFFTTAYAKWDADFYIKVDDDVHVNLGALATTLATHRTKPRVYMGCMKSGPVLADRNEKYHEPEYWKFGEDGNKYFRHATGQIYAISNDLASYISTNRQILHKYANEDVSLGAWFIGLEVEHIDDHSMCCPTELDCELKAQAGNACIASFDWKCSGICESVERMKEIHEKCGEKNDTLWAASF >KGN62144 pep chromosome:ASM407v2:2:14536608:14539846:1 gene:Csa_2G302110 transcript:KGN62144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPAFSSSSAASQRLIRCSASPRRTHAPHRPSSMSPPLRKLKSMTEIMAKAKHVVLEREDYDDVSCEECGSGDRDDELLLCDKCDKGFHMKCVSPIVVRVPIGSWLCPKCSGQRRVRSFSQKKIIDFFRIQKCKDDGDVLYLSAQAIKRRRRLRSLVWQKKRRRLLPFLPSEDPDRRLKQMGSLATALTTLQMEFSDDLTYGPGMASRSANQAEFEDGGMQVLSKEDAETLELCRAMNRRGECPPLLVVFDSCEGFTVEADDQIKDMTFIAEYTGDVDYLKNREHDDCDSMMTLLSVKDPSRSLVICPDTRGNIARFINGINNHSPEGKKKQNCKCVRYNVNGECRVILVAIRDIAKGERLYYDYNGYEYEYPTHHFV >KGN62718 pep chromosome:ASM407v2:2:18018671:18020297:-1 gene:Csa_2G369200 transcript:KGN62718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMALETVVYPQDTFSYVCRDFSSYAGGPPWGTYDYAFQFEEQKAFLGLIENQTQDQKWEPSPDESSINHPLPGGGSLYPPLELEPTPPPPPPTTGRRKRRRTRSTKNKEEIENQRMTHIAVERNRRKQMNEYLAVLRSLMPSSYVQRGDQASIIGGAINFVKELEQQLQFIKVHKEQTDTSPFADFFSFPQYSTRATTQSKNNNSPHDSSIAHTQWPAGDIEVTMVDTHANLKILSQKRPRQLLKMVAGFQSLRLTILHLNVTTSDQMVLYSLSIKVEEGCQLNTVDEIAAAVNQILLSIQEEAVYS >KGN61598 pep chromosome:ASM407v2:2:9986943:9989986:1 gene:Csa_2G174150 transcript:KGN61598 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malate dehydrogenase MAQEPVRVLVTGAAGQIGYALVPMIARGVLLGPNQPVILHMLDIPPAAESLNGVKMELVDAAFPLLKGVVATTDVVEACTGVNIAIMVGGFPRKEGMERKDVMSKNVSIYKAQASALEKHAAANCKVLVVANPANTNALILKEFAPSIPERNISCLTRLDHNRALGQISERLNVQVSEVKNVIIWGNHSSTQYPDVNHATVKLSSGEEKSVRELVADDAWLNGEFISTVQQRGAAIIKARKLSSALSAASAACDHIRDWVLGTPEGTWVSMGVYSDGSYNVPAGLIYSFPVTCRDGEWSIVQGLSIDEFSRKKLDLTAEELTEEKALAYSCLS >KGN62747 pep chromosome:ASM407v2:2:18264495:18266084:-1 gene:Csa_2G370460 transcript:KGN62747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTDILLQIALILVMVVMFLAMHNIPQRYFAKLRLRFRSNVESKRHFVRGAQLLARARSAPSRSSATSLAEEVVAEANKAIALDPKDAAAHILKALALDTQGFKTSALESLDTALSPLVAKSLSDEERGDALFKRAELKMSTNRRALVDSALADLTESVTLSQNANSYYWLGKCYETKKLREEAKKAYEEALNIEPRLSNAREALERLSSSQSS >KGN62566 pep chromosome:ASM407v2:2:17140703:17142598:-1 gene:Csa_2G360830 transcript:KGN62566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIISTFYSLLLISSSVIAQQNYTPHSCGGGASDDNQLTGLYSCNGGPTSCRAFLIFKSKPPYDSVPSISNLTSSDPTQIAVANNVSVFSFFSPNTPVVVPLHCSCVARFYQANASFVLSQSHTYYIAATEVYQGSVTCQALKFANGFEELNLRAGMILLVPLRCACPTGNQAGIGVKFLATYLVGNGERVSEIGEKFNVSKKSVLEANGFSEEDDPNLSPFSTILVPLSTEPSSSQIKLPSSYTANLRGNESTRNIYVEIAKGAGFFLLAIVVVAFAFFLIYKTRAKGMDSKIDKNMIRKWTPPADLRVEIASMDRVVKVFGFDDIVKATRRFSPKNRVNGSVYRGTFGKKMKLAVKRTRMDAIKEVNMLKKVYHFNLVKLEGVCENHGRFYLLFEFMENGSLREWLNRGSRKERQSWRKRIQIALDIANGLHYLHSFTEPAYVHNNINSSNILLDSNLRAKISNFSLARVTERATGASVLTTNAVGAKGYMAPEYKETGLVTPKIDVYAFGVVVLELVTGKEAVSMEGGREVLLSSMKHNIGDNMEVQLAGFIDSNIKETQKMEFAKLMVKLSTACLNQEPEQRPSMGKVVSSLLKIQVHLQKLQLLTLLYGDRHQYEERIEAETNVEL >KGN60701 pep chromosome:ASM407v2:2:1306831:1315870:-1 gene:Csa_2G007450 transcript:KGN60701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLETDLHDLSDDADYAASQQQGSTTMMRTDSGRGSSSSEHEGAEVVYSKENVTIHPTQFASERISGRLRLIKQGSCLFITWIPYKGQNSNAKLSERDRNLYTIRGVPFTEVRSIRRHTPAFGWQYVIIVLSSGLAFPSLYFYNGGVREFLATVKQHVFLVRSEEDANTFLVNDFQNPLQRTLSSLELPRSGSIASAVSSASVDVSPSNSERRAGEDSHDERSRISRYGGKQRHKAQDPARDLPIQILEKFSLVTKFARETTSQLFRENHNNGFSVAEMRIQNQSSLDSPQTSSNDLEKVTDDSPVVQDPIQFDKLTLVWGKPRQPPLGSEEWATFLDAEGRVLDSTSLRKRIFYGGVEHNLRKEVWAFLLGFHAYNSTYAEREYLQSIKRSEYLTIKNQWQSISPEQAKRFTKFKERKGLIEKDVVRTDRSLSFFDGDENPNVKLLHDILLTYSFYNFDLGYCQGMSDFLSPILFVMGDESESFWCFVALMERLGPNFNRDQTGMHCQLFAISKLVELLDTPLHNYFSQHDCLNYFFCFRWVLIQFKREFAYEKVMHLWEVLWTHYPSEHLHLYICVAVLKRYRNKIMGEQMDFDTLLKFINELSGHIDLDAAIRDAEALCVCAGENGAANIPPGTPPSLPLDDGSYYIQQDEVL >KGN60956 pep chromosome:ASM407v2:2:3041091:3048259:1 gene:Csa_2G031150 transcript:KGN60956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGMKLPMTVTLQKPMAMAAPSSSFSLHRAINFRTVSCLNATSNAKVPLPPINPKDPFLSKLASVASTSPETLLNRPANSESPPYLDIFDAPRLMAAPAQVERSISYNEHRSRRPPPDLPSLLLHGRIVYIGMPLVPAVTELVIAQIMYLQWMDPKEPMYLYINSTGTTRDDGEVVAMESEGFAIYDALMQSKNEIHTVNVGAAVGHACLLLAAGTKGRRYSMPHAKAMIQQPSVPSYGLMPASDVIIRAKEVLTNRDTLVKLLAKHTENSVETVANVMKGGPYYMDSVKAKEFGVIDKILWRGQEKIMADMASPEDWDKGAGIKVQDEF >KGN60541 pep chromosome:ASM407v2:2:289920:292044:1 gene:Csa_2G000490 transcript:KGN60541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPDSPAKPTPAPDQEENSSSPTTALLSFDTDAYSSNPSHKTSILFISLTLITCIALSAAFAFAFLFFSAASSSAGVDSTARALTKLKRPVVILISSDGFRFGYQFKTSTPNIDRLIANGTEAERGLIPVFPTLTFPNHYSIVTGLYPAHHGIINNYFLDPVTGDAFTMANHDSKWWLGEPLWETVTNQGLGAATVFWVGAEVKKGSWSCPVNLCHHYNQSVPFEERVDMILQYFDLPSEEIPVFMTLYFEDPDHQGHKVGPDDPQVTEAVARIDSMLGKLISGLEKRGVFEDVNIILVGDHGMVGTCDKKLIFIEDLAPWIVIPDSWVQSRTPLLAIRPPPDISLSEIVSKMNEGLQSGKVKNGNRLKMYVKEELPSRLHYSASDRIPPVIGLVEEGFKVEMKNSKRQECGGAHGYDNSVFSMRTIFIGHGPQFARGRKVPSFENVQIYNLVTSILNIKPAPNNGSASFPQSILLPSS >KGN61180 pep chromosome:ASM407v2:2:4981277:4981546:1 gene:Csa_2G061575 transcript:KGN61180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIGSFWATNMILITCLSTSLETVEVKGFTGKQHEIPFLAYLIHYGKLIKTLSIDIDSHDIANTQIYFEKAQILKTIKPASKKIHIHIS >KGN60548 pep chromosome:ASM407v2:2:308190:310213:1 gene:Csa_2G000560 transcript:KGN60548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARNTIISILLLLLLLTVVSHCSNNESRVECSRTCVAINCNTVGIRYGKFCGVGWTGCAGEKPCDDLDACCKVHDECVERKGLTNVKCHEKFKSCIKKVQKSGKVGFSNDCPYSTAVPTMVQGMNLAIMFSKFGNSKLEL >KGN60867 pep chromosome:ASM407v2:2:2480375:2484225:-1 gene:Csa_2G020900 transcript:KGN60867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPLSLCIHHPPNPHQNPTSPVPPPTTLCFPAPTSLNSKSKRHFILKTASLCLISFIPKCPVVQSSENSPTSKPGLPAIANTKSWFQFYGDGFSIRVPPQFEDLTEPEDYSAGLSLYGDKAKTKTFAARFGSPDGSEVLSVVTRPTNQLKITFLEAKDITDIGSLREAAKIFVPGGSTLFSARTFKIKEDEGFRTYYFYEFGKNEQHVALVATVNSGQVFVAGATAPLSKWDEDGIKLRSAAISLTVL >KGN61994 pep chromosome:ASM407v2:2:13419423:13421676:1 gene:Csa_2G285290 transcript:KGN61994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLAVNLISSASCFVPHSPLRFQAKRSSQPVLKAAFQSSEKMDSGGQVAPVSKLMEFPHLTASHRDLMVDLIETVENGLGDHLLPSTVPTDVEYYENQNGTSQGTLLIRSALPSSPIDFMIASWLHLKQPQGGAFNITNIAGYLKPSNDIPHFQFELVQCSPTFLIFFLDLLPRTDIILRPDYLTTYYEDTGLEKLRQRLAALPEVSPYFSSSLYFRKVVSSTGILVGVKCQESESKRVEEIIQEEIGPISKEVMRIWMELCLNNGGRELEEDERSLMEKRDLMIKKKAIEMDLSKTMPLQFGEEVANRVLQVIRSAFKTA >KGN62389 pep chromosome:ASM407v2:2:16166542:16172512:1 gene:Csa_2G351700 transcript:KGN62389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERARRLAANKSALRRLVSASNHHRQIDPPFFNSSPVSFTPSRYVSSLSNSFLFRSVRSDSFLHRNGIGIGSRSISVEALKPSDTFPRRHNSATPEEQSKMAEVCGFDSLDSLVDATVPKSIRLQSMKFSKFDEGLTESQMIEHMQNLAAKNKIFKSYIGMGYYNTFVPPVILRNIMENPAWYTQYTPYQAEISQGRLESLLNYQTLITDLTGLPMSNASLLDEGTAAAEAMAMCNNILKGKKKTFVISNNCHPQTIDICVTRAAGFDLKVVTADLKDIDYKSGDVCGVLVQYPGTEGEVLDYGEFIKNAHANGVKVVMATDLLALTALKPPGELGADIVVGSAQRFGVPMGYGGPHAAFLATSQEYKRMMPGRIIGVSVDSSGKPALRMAMQTREQHIRRDKATSNICTAQALLANMAAMYAVYHGPKGLKAIADRVHGLAGVFAAGLKKLGTAEVQGLPFFDTVKVKVADAHAIADAAYKSGINLRIVDKQTLTAAFDETTTLEDVDDLFSVFSGGKPVPFTAASLAPEVKSPIPSGLVRESPYLTHPIFNTYHTEHELLRYLQKLQSKDLSLCHSMIPLGSCTMKLNATTEMMPVTWPAFTNLHPFAPVEQSQGYQEMFDDLGDLLCSITGFDSFSLQPNAGAAGEYAGLMVIRAYHMARGDHHRDVCIIPLSAHGTNPASAAMCGMKIVSVGTDSKGNINIPELKKAAEANKENLSALMVTYPSTHGVYEEGIDEICKIIHENGGQVYMDGANMNAQVGLTSPGWIGADVCHLNLHKTFCIPHGGGGPGMGPIGVKKHLAPFLPSHPVVPTGGIPAPDKAQPLGTIAAAPWGSALILPISYTYIAMMGSEGLTNASKIAILNANYMAKRLENHYPVLFRGVNGTVAHEFIIDLRGFKQTAGIEPEDVAKRLMDYGFHAPTMSWPVPGTLMIEPTESESKAELDRFCDALISIREEIAQIEKGKADINNNVLKGAPHPPSLLMGDAWTKPYSREYAAFPASWLRASKFWPSTGRVDNVYGDRNLICTLQPANQVVEEAAAATA >KGN61066 pep chromosome:ASM407v2:2:3772189:3775988:-1 gene:Csa_2G036640 transcript:KGN61066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQLMKSGNHSLEPDMASFRDKVPVKLEIEDSLEEDHGPFPKRLKTVTTDSPQQSDGNDDFAVPPSQYNPLDEPSPLGLRLRKSPSLLDLIQMKLSQSTAASSDVAECESFNSSLKKENKGTVIPSSTDKLKASNFPASLLRIGGWEYKSRYEGDLVAKCYFAKHKLVWEILEGGLKSKIEIQWSDIMALKANCPDNGPGTLNVVLARQPLFFRETNPQPRKHTLWQATADFTDGQATVHRQHFLQCPQGLLNKHFEKLIQCDMRLNFLSRQPEIVLDSPYFERRVSVFEDPEHQAENSKGSIVSVLTDGTSPSPQQSSLKIEQQDSTCTTVEHHSQEAPSPCSAEASANFEVGSSRSPRNWEQMKVPGLHPSMSMSDLVNHIGHCISEQMTAANSSFANQGPEYQDILEDITQYLLNDNQSTTSDEKSLMKRVNSLCCLLQKDPANPTTQNSLFNDESRVEGPDSRKNDKVGNILRGDVKAFGEDVKDNSGTKQAVGMSRKDSFGELLLHLPRITSIPKFLFNISEEDSES >KGN61629 pep chromosome:ASM407v2:2:10333102:10335525:1 gene:Csa_2G193330 transcript:KGN61629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLKVQLPWNVIIPAENLDAKGLMLQRSIIIRLLDEFATKKATKDLGYFLAVTTLENIGEGKVRQTGDVLFPVIFSGITFKLYRGEILEGVVHKVLKHGVFLRCGPVENIYLSYLKMPDYRYVPGENPVFLNDKLSKIEKDVVVRFIVIGTKWLEAEREFQALVSLEGDYLGPVS >KGN63211 pep chromosome:ASM407v2:2:21341505:21344641:1 gene:Csa_2G415530 transcript:KGN63211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKGLKSKCQSTSHQLLKEKAKNRVNDLQGIFTNLQNARKESRTTDVAVLEEQVHQMLREWNAELNEPSPASSLVEGSLGSFSQELARLLEHCDEQDDATSTLAEPKAEPELHCILGSNPSNFVQDGFCIPELQHESFFGFEECKVSPLDIQNYSFYKSDMATQSNCQSFKLDQNLEQTTMVSKDDMSTLNCHILNSQPEFDYGVLIGASDVGDFIQDTKPSILPNIAPRPSAFMGPICALWDCFRPAQGSKWCQDYCSSCHSVLAINEGLPGMIPILRPGGIGFKDGPLFAALQVKTQAKEVGIPICAGAATRKSPWNAPAFESGNRKQRSLPDYSGRGWHESRKLVMKEFGGQKKSYYMDPQPSSTLEWHLYEYDITNYDSFALYRLELKHADTKKSPKGKLAADPLADLQKKMGRLTAEGPVELGQQVKGKMTMKRNN >KGN61488 pep chromosome:ASM407v2:2:8724621:8724892:-1 gene:Csa_2G145870 transcript:KGN61488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLPVNAPPSSASSFLLPSGASYVQLLRCRSLLRSIICKCSSSCFVIQCYPFTDLNFVD >KGN60833 pep chromosome:ASM407v2:2:2177641:2188226:-1 gene:Csa_2G012150 transcript:KGN60833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVPAFYRWLADRYPRSIADVVEEEPVGGPSGVLFPIDVSKPNPNGMEFDNLYLDMNGIIHPCFHPEGKPPPATYDAVFKSIFDYIDHLFSLVRPRKVLYMAIDGVAPRAKMNQQRSRRFRAAKDAAEAEAEEERLRKEFEAEGQTLLPKEKPETCDSNVITPGTEFMGVLSVALQYYAQSRLNHNPGWRFTKVILSDSNVPGEGEHKIMQYIRLQRNLPGFDPNTRHCLYGLDADLIMLSLATHEVHFSILREFLNIWVLREYLQYELDIPNPPFEINFERIVDDFVFLCFFVGNDFLPHMPTLEIREGAINLLMHIYRKEFPAIGGYLTDAGEVLLDKVEHFIQAVAVHEDQIFQKRARIQQAQENNEEMRGRARRENGPPPPPPTVDKIKLGEPGYKERYYAEKFNANPANIAEIKQDVVLKYVEGLCWVCRYYYSGVCSWQWYYPYHYSPFASDLKNLADLEITFFIGEPFKPFDQLMGTLPAASSTALPEKYRNLMTDPSSPILEFYPSDFEIDMNGKRFQWQGVAKLPFIDERKLLAQTRRLEWSLTDEEKNRNSVMLDMLYTHCTHPLSAQIFSYYQVASQLPPHARTCWVIDTNASGGMNGYLWLCERNVIRNVVQSPINSLPDIQCNQVLNVTYLNPQKHKHIPEPPKGAIIPKKVLSSLDIKPFPTLWHEDNSSRRSHGRDRPRVPGAIAGPLLGEAAHRLVKNTLNIKSNGSSSGFFEQPYSRNFPGPPMFSRPRQVGPSGFETGYGEDPNYNYGHYNNYQGASSRPRYSHSSNGMPGDRQSSRGNDRVQLHEQYNNLRTGMSALTIEENVRNRSPDVLTPGPPPAMLPKMLNVGNSTNQQNFTQATDTLPSPPHKWINRSPSANTMHARQESFPGGVNEKQVRQMYLAKTPVSQSGSGSGSRQ >KGN60776 pep chromosome:ASM407v2:2:1804806:1805968:-1 gene:Csa_2G010120 transcript:KGN60776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMANRWWTSGQMGLPGVDHTSTSSSAMRKPDLGISMNDNGGPVHSGGDDDDDRDNGGDEPKEGAVEVPTRRPRGRPPGSKNKPKPPIFVTRDSPNALKSHVMEISNGADIAESVAQFARRRQRGVSVLSGSGTVTNVTLRQPSAPGAVLALQGRFEILSLTGTFLPGPAPPGSTGLTIYLAGGQGQVVGGSVVGPLTAAGPVMVIAATFSNATYERLPLEEEEEGGGVGAQGHTSAGGGGAGDGSPQGIGGGVGDPSAMTPLYNLPPNLLPNGGGGQLNQEAYSWAHGGRPSF >KGN60588 pep chromosome:ASM407v2:2:524732:534306:-1 gene:Csa_2G000950 transcript:KGN60588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASWFKAAEGLFEVVDRKAKLVVSELSEEQSNAQTAASNGQGSQTKKTKPKKKKKVLSNELPTASATPEEQSSTLASKADVVLSPGKHGIVSSTEDDRMISDKSPTQVNERKPDDNDNTIPVLEIPSTDGLVVEAGKQIPDGMDTSAAVADVEVIAPTSKTELTNVNASDVHEENLLSTPNKEAVEINKEHQDEEQSNKLGSVETISKIDREMSESAPTEFQNNGESQTKDDSNKVQSPVNQKHQENTADKSSIKVQDQLEEAQMLLKTSNSTGQSKEARLVKVCAGLSSRLQEFKSENAQLEELLIAERELSRSYDARIKQLEENLLESKNEVSRVESSMAEALAAKNTEIGALIGSMDALKKQAALSEGSLASMQANMESVMRNRELTETRMMQALREELASAERRAEEERSAHNATKMASMEREMELEHRAMEAASALARIQRVADERTSKATELEQKVALLEVECSSLNQELQDLEARARRGQKKSPDEANQLIQMQAWQEEVERARQGQRDAELKLSSMEAELQKMRVEMAAMKRDAEHYSRQEHMELEKRYRELTDLLYYKQTQLEAMASEKAAAEFQLEKEINRAQEAQVEVERSRASRRASSASWEEDAEMKSLEPLPLHHRYMVGTSVQLQKAAKLLDSGAVRATRFLWRYPTARLILLFYLVFVHLFMMYLLHRLQAQADTITAREVAESMGLTNPNLP >KGN62581 pep chromosome:ASM407v2:2:17206678:17212133:1 gene:Csa_2G361470 transcript:KGN62581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASELQQLRFNPPQSNASDESSAKDDHPLLNSDSPAVADQDSDDLHQNYAAFVRRDVYGTMGRGELPWMEKLLLALALLTIVPIRVVIGMSLLVLYYTICRVCTLFHDPNRETDEQEDYAHMVGWRRSVIVWTGRFLSRAVLFVLGFYWISEVYRIPPNGDKSTDENEGQEKSQESERPGAIISNHVSYLDILYHMSSSFPSFVAKRSVAKLPLVGIISKCLGCVYVQRESKTSESKGVSGVVSERIREAVKNSSAPMMMLFPEGTTTNGEYLLPFKTGAFLSKAPVLPYILRYPYQRFSLAWESITGGRHFLLLLCQFVNHMEVIRLPVYVPSPEEKDDPKLYANNIRRLMAKEGNMMLSDIGLPEKRVYLAALNGIPRQC >KGN60616 pep chromosome:ASM407v2:2:733573:737193:-1 gene:Csa_2G004170 transcript:KGN60616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPTPAPTSPPATNTTSPPPPTPTAPPPSTPAQPPPSTTPPPTTTPSPPPPTAASPPAPSQPPPTSAPPPATPSRPPPSTPEPAPPTATPSPPASTPPPSRPSSSPPPPSSTTSPSPPTGRSPPPPTSHTPSTPSRTPPPPPSTPSSSSSNISTGLVVGIAIGGVVIVLLLSILCLCCTKKRRRRRDEEGFYPHPPPDPKVDPYAGQQQWQNNAPLPPDPLVGMVPKPSPPPVVASRPPHSPTGIRPPLPPPLYMSSSGGSGSMYSGPETPLPPPPPPHMAYGFSKSTFTYEELAMATDGFSDANLLGQGGFGYVHRGVLPNGKEVAVKQLKAGSGQGEREFQAEVDIISRVHHKHLVSLVGYCITGSQRLLVYEFVANNTLEFHLHGKGRPTMDWQTRLKIALGSAKGLAYIHEDCHPKIIHRDIKAANILLDFKFEAKVADFGLAKFTSDVNTHVSTRVMGTFGYLAPEYASSGKLTEKSDVFSFGVMLLELITGRRPVDMSNTAMEDSLVDWARPLMNRALEDGNFDVLVDPRLQNNYNHNEMARMVACAAACVRHSAKRRPRMSQVVRALEGDSSLSDLNEGVKPGQSSMYSSHGSSDYDTHQYNEDLRKFRKVALGSTEYGASSEYSGPTSEYGLYPSGSSSEGQTTREMETRTAKKESGGFSGRS >KGN61987 pep chromosome:ASM407v2:2:13382550:13385921:1 gene:Csa_2G279240 transcript:KGN61987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLISQNVAVVSSIEKVMEENTLSPSSPPRNADASDVDKSGLDTSRAKKRKKEHKIEDKQLVKEQKEMMKLENFLFGSLYSPVEFGKDEEQNGFNSEEKTPALYIMDRSADHSLSAYEDDYGLLDESTNKEEHQQRKPVWVDEEEEKATVNITNVSRLRKLRKEEHENSISGSEYVSRLRAQHAKINPGTEWAQLDAQSRGDDDLSSDDENAVALAGGYDNVDSIDDILRTSEKLVVKSGGKLMPGLLGYSRLVDANAEEPSNGPINSVQFHRNSQLLLATGLDRKLRFFQIDGKRNTKIQSIFLEDCPIRKASFLPDGSQVIIAGRRKFFYSLDLVKAKVDKIGPLVGREEKSLEAFEISPDSSTIAFMGNEGYILLVSTKTKELIGTLKMNGTVRSLAFADDGRQLLSSGGDGQIYHWDLRTRTCIHKAVDEGCINGTALCTSPNGLFAAGSNSGIVNIYNRQEFLGGKKKPLKAIENLTTKVDFLKFNHDAQILAICSRMKQSSLKLIHIPSFTIFSNWPPPKKNLQYPSCLDFSPEGGYMAVGNAAGKVLLYKLHHYHHA >KGN61342 pep chromosome:ASM407v2:2:7112997:7117909:1 gene:Csa_2G093840 transcript:KGN61342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGELLKVYYYCAVVVVVIINGVVDGAASTSSKHKGKGGSGRGICSCYFVFGDSQADNGNNNDMLEREYGRARADYKPYGIDFSSSSSSYIPTGRFTNARNVPDFIAKFLGFDDYIPPFRTTKSRTILKGANYASGGAGILRETGRTLGQVSSIKKQLENHNYTISQMHTLLGSSSKINRYLKECLYTVQIGSNDYLNNYFMPKIYMTSTQYSPQAFATALNKNLSQHLKNLYNYGARKVAIFGVGSIGCTPYARENFEHTGLPCVDEINSAIQLFNSGLKSLVQHLNANLPSAKFTFIDVFQISTVDPLNYGKMVLDAPCCEVGAGAMQCSPFGKVCKNRGDYMFWDGVHPTESGFKLVASRAFNAKQPGEAYPFDINHLVHLS >KGN61703 pep chromosome:ASM407v2:2:11084666:11085154:1 gene:Csa_2G228390 transcript:KGN61703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTMSFVNSPTMEPSQPHNPHEYSSSSTIITFQRPIPLLRGPVRASQSENPSAGPYLLAFRDRQAWESAFRACESKIIEQCEVGARIGCSITASNKCKPPWWGFLLRSKKGLDLKEREQCEELEMEACLAVAKEKCVGFAKEKCYKPFMEARVVGGRKLTEK >KGN61591 pep chromosome:ASM407v2:2:9914046:9914601:-1 gene:Csa_2G174080 transcript:KGN61591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADFARPTPADLHHLRPLPIPGSPLVACRICDAVFSSSQALINHIGAHVSDEGATSRRPVQDQQRRFPAGPAFLTAPRATAVFLPNRYSSPNGIGFEGAESVWGNQISPFGRSVFREGVAAPYPPPGLMGQYFTNLIAMEEGGGGDCTKPYINQLEKCLPKVKGGTEVKKTTAVNCI >KGN63332 pep chromosome:ASM407v2:2:22375723:22375965:-1 gene:Csa_2G429045 transcript:KGN63332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVVEYEKKYTKLSKYATSLIEDEAKRCKRFEEGLREKIRTPMSRIDLDISLNAEPTLYIRCTYYTCTNSKKIYSSARAS >KGN61683 pep chromosome:ASM407v2:2:10890577:10894238:1 gene:Csa_2G223740 transcript:KGN61683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDSYSFKPSRTHLPESPRAPMEFLSRSWSASALEVSKALAAPSPSIPLPPKSASSSSCTTSSIPEDVTGEFEEFPLPHSNGNHFSFSSSATSQLVLDRIMSQSVREEVSPLTSGRLSHSSGPLNGGSLTETDSPPVSPSDEFDDVVKFFRANHSIQPLFTNGRASAGNVGGTTGGGSKTVGRWLKDRKEKKKEEHRAHNAQLHAAVSVAAVAAAVAAIAAFQAASSSSKKNEHTAKTDMAVASAATLVAAQCVEAAEAMGAERDHLASVISSAVNVRSHDDISTLTAAAATALRGAATLKARALKEVWNISSVLPVEKGIPTGTNGNKGHIHHSNDGHNQDPEHHQPENFLFAHTQDFLARGTELLKRTRKGDLHWKIVSVYIHRTGQVMLKMKSRHVAGTITKKKKNVVLGVCRNVPAWPGRHLFEGGEQRRYFGLKTEMRGIVEFECRSQREYDQWTQGVSKLLSMVADSKGRY >KGN61208 pep chromosome:ASM407v2:2:5393096:5394785:-1 gene:Csa_2G070260 transcript:KGN61208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLNKVIPKLEVGCLYNSATNTAAWLLVRAYTFLFRTKREGNKRINISIYKFSALFLFGFPFSNYFLYRFLVLLLLEVELNK >KGN61664 pep chromosome:ASM407v2:2:10724929:10725515:1 gene:Csa_2G222070 transcript:KGN61664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLLLLHHRRRTPLSLLLLLLLTSSIAARPTPIDQEAEPKLLPPGTKEPFLTRRRLSGPGSSPPTCRSKCGSCRPCTAVHVPIQPGLSLPLEYYPEAWRCKCGNTLYMP >KGN61336 pep chromosome:ASM407v2:2:6968886:6969830:-1 gene:Csa_2G091800 transcript:KGN61336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESEDELDMHDAHDDDDDSVDNEEDFYSGGDDDAAGIDSDDADVGDYEFVDNDSDDSDDMVSYRHQGKDSGTVGEFCGPMLVVLSSKTFASSKERENK >KGN60561 pep chromosome:ASM407v2:2:397121:401891:1 gene:Csa_2G000690 transcript:KGN60561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSMASTKSNLGSITSSDLIDAKLEEHQKCGSKQCPGCGHKLEAKPDWLGLPAGVKFDPTDQELIEHLEAKVEAKHDMKSHPLIDEFIPTIEGEDGICYTHPEKLPGVTRDGLSRHFFHRPSKAYTTGTRKRRKIQTECDFQGGGGGGETRWHKTGKTRPVMVNGRQKGCKKILVLYTNFGKNRKPEKTNWVMHQYHLGQHEEEKEGELVVSKIFYQTQPRQCSWSSSDKPVSVGVSPELSGDNLVLSSRRESGSGSCSSSREITTGQRDEMSSVGGGGLCPPPHIAAAATYAGLDHIQQFKADHFSFGPYRRTFDESGIGEASTAREAPPTEDIGDHRNHHHHHLQRQHHHPMVTTAFQITRPSNPISTIICPPPLHHSSIILDHDAYRVSPLMLQSESFQQQQQEQHHKLGGRSASGLEELIMGCTSSNIKEESTMANNPQEAAEWMKYSPFWPEPDNPNHH >KGN62530 pep chromosome:ASM407v2:2:16964688:16965788:-1 gene:Csa_2G359985 transcript:KGN62530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISNLSYEECRQKRVEENKKRMEALNLPLLSQALLDSSPSKSSPKQLVVVRRSSRVAKQPTPVYAEVLVDRVAIPRRISRARDFSAQFYASDEARKKAFERALELQSGLEPNYPSCIKSMVRSHVSGCFWLGLPSHFCKTHLPKNDGVMTLIDEDGDEYPIIYLARKTGFSGGWKGFSIAHKLSDGDAVVFQHIKPTACKVIALHLFT >KGN63309 pep chromosome:ASM407v2:2:22234890:22237670:1 gene:Csa_2G427340 transcript:KGN63309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSIFAFLLLLLLLLHSSCNGLNFDGVLLLSFKYAVLDDPLFVLQNWNYSDETPCLWRGVQCSDDGSRVTGLSLPNSQLMGSVSSDLGLIQNLQTLDLSNNSFNGSLPQSLFNATMLRFLDLSDNLISSEVPVPVGSLANLQVLNLSGNALFGKFPSDFVNLGNLTVVSMKNNYISGEIPGGFKTVEVLDLSSNLINGSLPADFGGDSLHYFNISYNKLTGQIPPDFAHKIPANAIIDLSFNNLTGEVPVSDVFMNQEANSFTGNRQLCGELTKTPCPITSSPSSLPPAIAAIPLDPSTPETTSPEKQSETGFKPSTIVAIVLGDIVGLAILCLLFFYVFHLKKKNKAVETHLKNEVNLAKDSWSTSSSESRGFSRWSCLRKTGDPEEANSDQASVLSFSGHHDTAEEGGEANKRGTLVTVDGGEKELELDTLLKASAYILGATGSSITYKAVLEDGTAFAVRRIGDGGVEKYKDFENQIRGVAKLVHPNLVRVRGFYWGVDEKLIIYDFVPNGSLANARYRKVGSSPCHLPWEARLRIAKGVARGLSYLHDKKHVHGNLRPTNILLGFDMEPKIGDFGLEKLFLGDSCYKPGGSTRIFGSKRSTASRDSFQDYVTGPSPGPSPSSISGVSPYLAPESLRSLKPNSKWDVYSFGVILLELLTGKIIVLDELGQGLGLAMEDKSRTLRMADMAIRADVEGREEALLSCFKLGYSCASPAPQKRPSMKEALQVLEKFPTLSVSSHYYVL >KGN60715 pep chromosome:ASM407v2:2:1412144:1416504:-1 gene:Csa_2G008070 transcript:KGN60715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGVRDWLFSQLVSKSVVSSRPLLGSDGFFGEENKEHMDENQDDEVAQATNIVAPTTPHTSDSNGNLENQDDLSLAQVGGDSSQSQHDSNGVKKDVLTKIEDLQVQFFRLLLRIGQTQNNLLVEKVLYRIHLATLIQVGESDLKRVNLERIKARAKAAEQEAAGIPELNFTFRILVLGKTGVGKSATINSLFDQAKTPTNAFQPATGHIHEIVGTINGIKVSIIDTPGLSQSSSGNMKRNKKIMFSVKRYIRKSPPDIVLYFDRLDLVNKHHGDYLLMKLMNEVFGSAIWFNTILVLTHCSSALPEGPDGYPVSFESYVAHCSDVLQQNIYQALSDSKLDNPILLVENHPQCKKNIMGEKVLPNGQVWRSHFLLLCICTKILGSINTLLKFQNCIELGPSAISRLPSLPHLLSSFLRHRSMANTLGVDNDFEAILLNDIDEDDYDDLPSFRILTKSQFKKLSNSLKKEYLDELDYRETLYLKKQLREEYQKRKEIKLLKDRDLVHNDNNGDLQAMPEADAVLLPDMAVPPSFDPDCPVHRYRCIAVDDQWIVRPVLDPQGWDHDVGFDGINLETAMEMNKNVFTSVAGQVSKDKNVFNIQSECAASYMDSRRTSYTLGLDVQSAGTDKMYTVHSNAKLGSIKHNLPGIGVSLTSFKKNCYYGAKLEDTISLGKRVKFVINGGRIEGAGQMAYGGSIDATLRGRDYPVRNDHLRVTMTVLSFDKETILGGNVESEFRLSRSMRLSVNTNLNTRKMGQICIKASSCEHLQIALVSAFTILRALMRRKEINTS >KGN62837 pep chromosome:ASM407v2:2:18930661:18933766:-1 gene:Csa_2G375770 transcript:KGN62837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSLQDKASEWSGVKREDAFAIDEVNLFQKLGLQTFVNLSTKFYNRVYDDDEEWFRSIFGNSKKEDAIQNQYEFFVQRMGGPPLYSQRKGHPALIGRHRPFPVTHRAAERWLHHMQLALDETPDIDADSKDRMIKFFRHTAFFLVAGDEMKNQNLQTQCKHGIQQSAAP >KGN61444 pep chromosome:ASM407v2:2:8248961:8253799:-1 gene:Csa_2G123060 transcript:KGN61444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQHSLGLPSLLLPSSNCKFEGGASFVSTSSQITNLFSSWTLDSSYPSSISFMNFPFRGGCFRSSSLRAYRTAGSIRPGDGKKKKEQAGMDSDEEDDSSSAKEPDVELMDAEERREWREKIRKVIDTNPNVEEEIDNMERRIKMQKLLADYPLVVEEEDPDWPEDADGWGFNLGQFFDKITIKNKKKDDKYDDDKDDTDNEVVWQDDNYIRPIKDITISEWEEAVFKDISPLIIFVHNRYKRPKENEKVREELEKAIHIIWNCNLPSPRCVAVDAVVECNLVTALQVSAFPEIIFTKAGKILYREKGFVNADELSKIMAFFYYGAAKPPCLNDVGDYQEAIPSVSS >KGN62273 pep chromosome:ASM407v2:2:15551175:15551798:1 gene:Csa_2G347120 transcript:KGN62273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEFLKLTHLLVTVFLYTFATMTAFPAIPDITMSALCPDQDECSLVIYFTGFQQVVTGIGALLMMPLLGNLSDRFGRKTVLTIPLVLNIIPLGILGYGRSRELFYIYFVFKCVTSIVCEGSVQCLAVAYAVINKL >KGN60746 pep chromosome:ASM407v2:2:1596754:1597645:-1 gene:Csa_2G009350 transcript:KGN60746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDSNEHKGNSSPSSLKEMLKSSLCLSCCLRKHRNGHHHLHHHHHHHHRRMMSISSDGESSPGPLMRCSSAKDKSRSRECHDIKDRLPSFISRLGRHGRRHSASADFHYDALSYSLNFDEGYDEGHVDDDFPLRNFSSRLPASPPKSSPSTTTASREMITAFS >KGN61010 pep chromosome:ASM407v2:2:3400438:3400691:1 gene:Csa_2G034630 transcript:KGN61010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKATDSKAVVTDQRTSSIMEKLRRPRRQRRNQAEEVQFHEKSEERSLLLRKV >KGN63023 pep chromosome:ASM407v2:2:20044778:20045791:1 gene:Csa_2G384430 transcript:KGN63023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWNRAIGATLLALFLVDLAFAARSPRKGFGEGGGWGGGGGSGGGGGGGLFPGSGYGYGSGGGEGYGEGEGDGAFGRGGGGGGGSGGGGGGGTGGFGSGFGSGSGSGFGKDGGGGGGRGGGGGGGSGGGNGSGYGSGYGSGGGYGSGGGGGGGGGGGGGGGGSSGNGSGFGSGYGSGYGSGRGGWEEEMSP >KGN61348 pep chromosome:ASM407v2:2:7169495:7171893:1 gene:Csa_2G094390 transcript:KGN61348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGFVSQGGGAHHEGNVNTFVIITCLVAAMGGLIFGYDLGISGGVTSMEHFLKQFFPSVYEQQAKAAGGNQYCKFDSQLLTLFTSSLYLAALAASFLASVVTRAFGRKMSMLTGGSVFLVGSILNGAAVNVEMLIIGRLLLGVGVGFANQSVPVYLSEMAPPKIRGALNIGFQMAITIGILVANLVNYGTAQIKNGWGWRLSLALAAVPAIMMTVGAFFLPDTPNSILERGDMEKARKMLKKIRGLDNVDAEFQELVDACESAKKVQHPWKNIMQPRYRPQLVICSVIPFFQQLTGINVITFYAPVLYKTLGFGDSASLMSAVISGAVNVLATIVSIVTVDKFGRKFLFMEGGAQMFISQIAVGSMIWKNFGVNGEGSMSGGIDADILLALICVYVAGFAWSWGPLGWLVPSEICPLEIRSAGQAINVSVNMFWTFVIGQLFLSMLCHMKFGLFYFFAGFVALMTIFIYWFLPETKNVPIEEMNSVWRAHWFWGKFIPEDAVIGPHVGMEPYGKGV >KGN62766 pep chromosome:ASM407v2:2:18418162:18425048:-1 gene:Csa_2G372130 transcript:KGN62766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASISGICSSSPSLKPQTKALFLGPAGSLRKDSISFPVRAKLNDGRLGSGTAHSVAKEISVELSAADGGFSKGKKKGLEKDPRALWSRYVDWLYQHKELGLFLDVSRIGFSDEFLAEMEPRFQKAFKDMEALEKGAIANPDERRMVGHYWLRNSELAPNSFLKSQINSALDAVCKFADDIISGKIKPPSSPEGRFTQILSVGIGGSALGPQFVAEALAPDNPPLKIRFIDNTDPAGIDHQIAQLGQELETTLVIVISKSGGTPETRNGLLEVQKAFREAGLDFAKQGVAVTQENSLLDNTARIEGWLARFPMFDWVGGRTSEMSAVGLLPAALQGIDIREMLAGAALMDNATRSTEIRNNPAALLALCWYWASDGVGSKDMVVLPYKDSLLLFSRYLQQLVMESLGKEFDLDGNRVNQGLTVYGNKGSTDQHAYIQQLREGVHNFFVTFIEVLRDRPPGHDWELEPGVTCGDYLFGMLQGTRSALYANDRESISVTVQEVTPRSVGGMVALYERAVGLYASIININAYHQPGVEAGKKAAGEVLALQKRVLAVLNEASCKEPVEPLTLDEVAERCHAPEDIEMIYKIIAHMAANDRALIAEGSCGSPRSIKVFLGECNVDDLYA >KGN62016 pep chromosome:ASM407v2:2:13594351:13599919:-1 gene:Csa_2G286490 transcript:KGN62016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRATITVGPAMDMPIMHDSDRYDFVRDIGSGNFGVARLMRDKHTKELVAVKYIERGDKIDENVQREIINHRSLRHPNIVRFKEVILTTTHLAIVMEYASGGELFERISNAGRFSEDEARFFFQQLISGVSYCHAMQVCHRDLKLENTLLDGSPAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLRQEYDGKIADVWSCGVTLYVMLVGAYPFEDPDEPKDFRKTIQRILGVQYSIPDCVQISLECRHLISRIFEADPATRITIPEIKNHSWFLKNLPSDLMDENTMGNQFEEPDQPMQSLDAIMQIIAEATIPAVGSHGLMCMADNLDMDDDDDMDDLDDESELDIESSGEIVYAI >KGN63387 pep chromosome:ASM407v2:2:22824038:22824391:-1 gene:Csa_2G435505 transcript:KGN63387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFIQHFPQKIHSLLNPPSINQSLSQMPISHCGRTERSICNNMTINLKTHINTALIAMSINQIIIRHHIGNYIRLVKEELKQCNRITIPLSPIHSSNNSVTSENRGASIGKHRMTSD >KGN62376 pep chromosome:ASM407v2:2:16113800:16118796:-1 gene:Csa_2G351570 transcript:KGN62376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIKKLMPMDAESRRLIDWMEKGVYDALQRKYLKTLLFCVCEAVEGPMIEEYAFSFSYSSSDSQEVSMNVTRTGNKKLGGTFKCNSTAEITPNQMRSSACKMVRTLVQLMRTLDKMPDERTILMKLLYYDDVTPVDYEPPFFRSCTEEEGHHPWTKSPLRMEVGNVNSKHFVLALKVKSVLDPCEDENDDNDEIEVSLGADSEQRNGFSDTDSEVDPSPEGDYIVVPREKLEQQEQDNTSKVDEDDTQDPEEDEQQLTRVKDWINGYQYDKLEITDVLSNFPDISVVLTEEIMGKLVNEGVLTKTGRDSYNIDRQKAFDYEFDLVKEEHDGQIDKVGINTTAHDLLYVKALYHTLQMSYVTVAKLQNKLEGEASLSKVRKLIDEMIRDGFVEAKGSRRLGKRVIHSDLAEKKLKEVKKILNYEDMEIDSYGPYEKSNNKMDINHKDMSTCGILRSIGSDLTRMRVTSDTNQYGSKSKDLGNTPTSTPVPAASRESFVPGNDNIRVNGIANHLDEMDLEKSTQDKRSRKTSTVKDPILQYIKRQKSQDQ >KGN63319 pep chromosome:ASM407v2:2:22312263:22314822:1 gene:Csa_2G428910 transcript:KGN63319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYEEKNQEENGSTTRDDALPFHKLLSYGDSLDWVLMGLGTFGSLLHGMAQPIGYLLLGKALNAFGNNITDLDAMVHALYQVVPFVWYMSIATLPAGILEIGCWMYASERQTARLRLAFLQSVLCQEIGAFDTDLTTPKIITGISGHLSIIQDAIGEKLGHFISSVTTFICGVVIAIISCWEVSLLTLLVAPLVLAIGASYNKRMTVISSLKMDCQSQATSLVEQSISQIRTVYAFVGERGSMKAFEEQCEKQAVMCKQEALVKGVGIGMFQTATFCCWSLIVWIGAVVVTAGKASGGDVIAAVVSVLFGTM >KGN60691 pep chromosome:ASM407v2:2:1250165:1251908:1 gene:Csa_2G006860 transcript:KGN60691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTLALLVLAIVATCALVQTTTAGTTHVVGDSLGWVVPIGGPVVYATWAVSHTFLVGDILLFNFTTGEEDVARVTREAFLTCNSTNPISLKTTGPANFTLDTLGEYYFIGTLDKHCILGQRLAINVTAHSEPTPAPTPPPAPAPREPKNYTVGDKLGWLIPPPDPLGLFYASWAYNKTFLVGDTLIFNFYNGSDDVAVVTKEVFDSCNITSTLEVFNSTPANIALNSTGEHYYTSTYEKHCMLGQKLAINVTEHTTPSPGSAPSPSTTAHPPSHTVSPSPATTHPPSHTVSPPATTHPPSHAVSPSPATAHPPSTPASPSSPAGGAVAPPPKNSAPPVTAGGRLYICTFLVFVMASAFYF >KGN63032 pep chromosome:ASM407v2:2:20100979:20104490:-1 gene:Csa_2G385010 transcript:KGN63032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFDQILERKKVRSQYSRGEVQAASHLTPLPALSFSVSSVHLRSSLLLKVSVAPARIFLTVALLCGRADIPLSRAALLEKFRVCYLLKKRMRLEKCWFCSSPIYPGHGIQFVRNDATIFRFCRSKCHKNFKMKRNPRKVKWTKAYRRLHGKDMTKDSTFEFERWRHRPHKYDRNVTEDTLKAIKKIDKIRMDRESRHIARRHQGKKAKEFREAKKELDQSISLVKAPSVLKEDPSLTLPKLKVKVVPSQSEQDRMEE >KGN62105 pep chromosome:ASM407v2:2:14241423:14246964:1 gene:Csa_2G298270 transcript:KGN62105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRVSENFITRFQPAEFCVYAAGLVSAAALVSSIRVGKLNILGLLSWTKGPSSSENLRLLPGLQNLGNNCFFNVILQALASCVFFQPFLQKFVEEFELHEGMRSEALPLTVSLAALLEELGEISLERVVLSPRKVMLAMAYYTPNFSLTSQQDAAEAFLHLLSSLKEEISDFNYPAQCSLTDVMGSNGRIITSCNESPTELERWQGHFLVPFDGILGSILTCQSCSSQISLSFESFHNLPVSPALRGDSTIVFGCTLLDCLKQFFAAEKVENYRCSYCWHNAGLKYLSSMGASEMEIEELMKCDRQDSCGCQRLLHLYKVPWSNKFSFTLKQLSIAHCPKILCIHLKRVSTNMFGDAFKLQGHISFPLILDMSPFMLTGVEIKDLEGQLGGQHSSNKKPSHGLDFFRTQFDARMLKYINGLTGEDEFPMNLTSGEPICYDRFKGSVEETSSSQTRGSSETWDTNFKSCSSSSETRTCQIEPSETFLYRVVSVVQHFGKPGGGHYTVYRRVQDYLLGQDCDTQSQDVSTEWFHVSDSEVHLVSEEEVLAAEATMLFYEKI >KGN62963 pep chromosome:ASM407v2:2:19657448:19658586:-1 gene:Csa_2G381880 transcript:KGN62963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFASVSYGGFYGYLSNFSGSRNLARKFDTSYLRGSDFNILNQMRKIAVQLKILGTLIFHVYFWVEVKLHKKSFITETNTEDLTVYYMNMMLSSGLKLGLQYQIHSVSASTQSSFRLFFGLQDSIFLHHGFLFLIAFFGLGGWDEKRKGCGWMRMKMSESKEVAIRNLYRSNGRLRNPLHSLKTSCCQNRMIGSQKVDWEVISRDALFF >KGN61773 pep chromosome:ASM407v2:2:11729845:11730581:-1 gene:Csa_2G239380 transcript:KGN61773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSFTCPANLLLVSFVYKYIKRFTTYPSSPPLDRLHHFSSYKQKTMGVCASSQHSNASLTNWPFTAKIIHTDGRLQELRHPVKASHILNQNPNCFLCSSESMKIGSIVPQISSDRELELGEIYFLIPLKKSHLPISLTDLCSLAAKANVALASSKKAHPSLKAVGAESERVGRRTEIPAKWV >KGN62688 pep chromosome:ASM407v2:2:17848023:17852191:-1 gene:Csa_2G368890 transcript:KGN62688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLSSILGFFGFGLGTSIGLVAGYYMFIYFQPSDVKDPVVRPLVEQDSASLLRMMPEIPLWVKNPDYDRVDWLNKFLEIMWPYLDKAICKTVRNIAKPIIAEQIPKYKIDAVEFDTLTLGCLPPTLQGMKVYMTDDKELIMEPCMKWAGNPNVTVSVKAFGLKATVQVVDLQVFAIPRITLKPLVPSFPCFAKIFVSLMEKPHVDFGLKLLGADAMSIPGLYRFVQELIKDQVANMYLWPKTLEVQIMDPANAMKKPVGILHVKVLRALKLKKKDLFGASDPYLKLKLTEDKLPSKKTTVKHTNLNPVWNEEFTFVVKDPESQALEMILYDWEQVGKHDKMGMNVVPLKELTPEESKEFTLDVLKNMDPNDTQNEKSRGQIVVEMLYKPFKDDEALKSVDDAEAVQKAPDGTPAGGGLLVIMIHQAEDVEGKHHTNPYVRLLFRGEEKRTKHVKKNRDPRWDEEFQFTLEEPPVNDKIHVEVLSASSRIGLLHPKETLGYVDINLADVVSNKRINAKYHLIDSKNGRIQIELQWRTSS >KGN61282 pep chromosome:ASM407v2:2:6176292:6181374:-1 gene:Csa_2G075410 transcript:KGN61282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAQNQKSLERIVSQKALQLGSSFPCQICVVGFLCGVCIASLFLGAFTSLGSPLGFGWSSFSPNSQPASLCNSTSENINCNFRPKEIEELRDFQRIKVNNDDEKTSLLYSAWSSLMTEPISSRNAFLRDLGLDKATIPNAPHLENCKLKAETNKRFDERLQTDGFPPWTSWKGILDTHPTAMTEESSYLRRQEMFGGSFPPWVSGSDEENYPLTRKVQRDLWIHQHPLNCSDSNVRFLVADWERLPGFGIGAQIAGMCGLLAIAINEKRVLVTNYYNRADHDGCQGSSRSSWSCYFLPETSQECRDRAFELLGNNEAWKSGIITAKENYSTKEIWTGRIPRTWGNPWSYLQPTTEVNGSLLSKHRKMDRRWWRAQAVRYLMRFKTEYTCGLMNAARHAAFGKEAAEMALKSLDGKWPKKDSTTSKHDIEDFVWSNHKAWIPRPLLSMHVRMGDKACEMKVVEFAEYMALAKRIRRRFPNLDNIWLSTEMQEVIDKTVSYPSWKFYYTNVKRQVGNLTMATYEAQLGRITSTNYPLVNFLMATEADFFIGALGSTWCFLIDGMRNTGGKVMAGYLSVNKDRFW >KGN62161 pep chromosome:ASM407v2:2:14618451:14621315:-1 gene:Csa_2G302270 transcript:KGN62161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGQASISNGTDDTIVCYAPTMITTNGVWQGDNPLDYSLPLFILQLTMVVVMTRILVFLLKPFRQPRVISEILGGVILGPSVLGRSSKFANTVFPLRSVMVLETMANVGLLYFLFLVGVEMDLSVIRRTGKKAMAIAVAGMILPFGIGAAFSFQLHKESQKLNYNTYIMFLGIALSVTAFPVLARILAELKLINSELGRMAMASALFNDMCAWVLLALAIALSENDSSSFASLWVVLSSAAFVLFCIFIVRPMISWMIRKTPEGESVSEFYICLILTGVMISGFVTDAIGTHSVFGAFVFGLVIPNGSLGVALIEKLEDFVSGLLLPLFFAISGLKTNISSIEGIFAWISILCITLLAFLGKVIGTLLASICYQMSYREGVTLGLLMNTKGLIEMIILNVGKDQKVLDDQTFTVMVIVALIMTGIITPVVTIIYRPTRRFLPYKKRTIQASKPDSEFRVLVCIHTPRNVPTIINLLDASHPTKRSPICIYVLHLVELTGRASAMLIVHNTRKSGRPALNRTQAQSDHIINAFENYEQHVDCVSVQPLTAISPYSTMHEDICNLAEDKRVAFIIIPFHKQQTVDGGMEASNPAFRLVNQNVLANAPCSVGILVDRGLNGANRATSNKGTHYNIIVLFFGGQDDREALSYAWRMSEHPGVNLTVMRFIAAQEIMEPKLEENTSRISTMETEMNRDRKLDEDHISEFRARNPNSESITYTEKVLNNGEETVAAIRSMNDAHDLFIVGRGESHISPLTAGLTDWSECPELGAIGDLLASSDFAATTSVLVVQQFGTTMAGEHGEEEEVTPRNLDPNDPYQSLRSTNLRQHTPSRTQIIYDT >KGN62374 pep chromosome:ASM407v2:2:16106830:16108351:1 gene:Csa_2G351550 transcript:KGN62374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTPTPTTITMNNNNKRNRFYPKFDFFDSLPDDLLISILSKLVSSASSPSHFINALITCKRFNHLGRHSLVLSKASQRTLGISAKNWSESAHRFLKQCVDAGNVEACYILGMIRFYCLQNRGSGASLMAKAAISSYAPALYSLAVIQFNGSGGSKNDKDLRAGVALCARAAFLGHVDALRELGHCLQDGYGVCQNIMEGRRFLVQANARELAAVLSSPSSAASRSLVTCNPHAIHRTAVGSSSDCPLLSDFGCNVPAPEAHPASRFMAEWFALRGGAPGPGLRLCSHVGCGRPETRRHEFRRCSVCGIVNYCSRACQALDWKLQHKAECAPLEIWPENDGDDVEVDGDGGILNENEEDDDEDDDDDVIEES >KGN62513 pep chromosome:ASM407v2:2:16888138:16888383:1 gene:Csa_2G357850 transcript:KGN62513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNKYSSLSEEQLAWIFKKHDIDRDRKLTKEELTRAFNYLGSRWTALRVKEAIRAVDANHDGVISLDEMSKLIVYVKGCRT >KGN60939 pep chromosome:ASM407v2:2:2915579:2920800:-1 gene:Csa_2G030000 transcript:KGN60939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYSPFSLLFLKRLPFSSKTSNAPLSSPLLSHLLAIGTSKRFFTSQISSTPSPPSSDPKPNSLSARMSFIFDQIDAIEKERSQKDQTLQRIRAWRESKTTQNPPLGDNVNNSEPALEVDEGRKVEIVEKKKNEIELVHPWREWIELMERLVQQNYFDHRRKNEGKMVERMGFSASDIALEEDVGLDLSKDFTAVYTACLNFGKDRFDIMRSLSKKDIQMLVGFGCPSPDKKIVFSAKLLRKLVHLDEGDVCSSCSLRNSCERAYLVTNKEDEARTIDIMRVLFTYGFDHMNGTVVNDSLLKRKTVKAVVRKLLHQVVRLGSVPIDPTLTPPVMKKPPPKVKKPPPPQRRRVGRDDVEMKKGDWLCPKCDFMNFAKNSVCLQCDANRPKRQLLPGEWECPECNFLNYRRNMACFHCECKRPAETFLDNEEQEKPHDPSKFEKIANRQEVSNAWNFDFDDDESDGADVAAFEYADSSIAAEGSRSDIQARGSRIGWDLPERQHSDAGNRGPGVGFDDFVDEEDDIDSYELDSSANNSASQLHSVNFSDIERDSGSEDGNEDHRPGVRAYNKRPARQQARFSGSEDDELDFVSDDDTSVWKSSHVAGPRQKSRDKGLTGRSRRGLSYGSDEEFGLDSDLDEDVDEGPRARSSKGKDFDSGRKQFQRRGSSRMERGLSSGSDFDDFDRGMRRQELRGNQRENNRRESNQRARGFQSNNLRNDRKNTFQRENNRRGSDHPARGKFTKGSEFQSNNFRNGRKNTFHDDFDDKPHRSRSANSRFHGNNHGGRGTYGSEADKPDFRGSKEGYKKHRPERYNEYDTATNKDSGHFRNSRRVIER >KGN62836 pep chromosome:ASM407v2:2:18913950:18929137:-1 gene:Csa_2G375760 transcript:KGN62836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGSPPSRIDQFYASKKRKPLTPSLKSGSYDKNGKKALEGSPGAKGTLDNYLVISQDHGSSDNPSHSVRENLSAQNLVKRNLLLKINSSFRNEHGETTSSRGCDKKRTLEDSFETRSSTVKSTASDCGITPCTEKPELKQFAADFLSLYCSNELQTTVSSPVEQKVTFLKRHSSPSHLEGEAKLPKKMHSIVGPSNAESEPDSSNALSEGNKESNFVVETGDTVSHHPAVLKACMQKCNQAPTSPYCLTECKTPGLSTGTTFIRQTPKSGSSTFSPGEAFWKEAIVLADGLRAPSIALINCDAEEANLVESQSNTKKLPIPEEPAQKRLKGQFGGGSGGVRLGEPGASLRSDLKELDRVVSSLPVKHFDFSADDKNLDDSTSPCCASNESKVNAYDLNEQSDRCYTTHISLPKHNDKTRDSDSLTKEKIQETIVTSSVPVVNEVKLNIFSPSDSITSDTAAHELRASTIHDSRDETTPSSSTRHKDWLDLSCWLPPEISSIYKEKGITKLHPWQVECLKVDGVLQRRNLVYCASTSAGKSFVAEILMLRRVISTGKMALLVLPYVSICAEKAAHLDVLLESLGKHVRSYYGNQGGGTLPKDTSVAVCTIEKANSLINRLLEECRLSEIGIIVIDELHMVGDQTRGYLLELLLTKLRYAAGEGNLDSSSGESSGTSSGKSDPAHGIQIVGMSATMPNVAAVADWLQAALYHTDFRPVPLEEYIKVGNTIYNKSLDIVRTISKTANLGGRDPDHIVELCNEVVEDGHSVLIFCSSRKGCESTAKHVSKFLKKFSVKIQNDNSEFTDIFSAIDALRRCPSGLDPVLEETFPSGVAYHHAGLTVEEREVVETCYRKGLLRVLTATSTLAAGVNLPARRVIFRQPRIGRDFIDGARYRQMAGRAGRTGIDTKGESVLICRPEEVKRINELLNESCPPLQSCLSEDKNGMTHAILEVVAGGIVQTATDIHRYVRCTLLNSTKPFQDVVKSAQESLRWLCHGKFLEWNGDTKLYSTTPLGRASFGSSLSPEESLIVLDDLSRAREGFVLASDLHLVYLVTPINVDVEPDWELYYELFMGLSSLDQSVGNRVGATEPFLMRMAHGAPVRRANISRNGVAGLRTKRDEHVGVYGDRPSEEQTIRVCKRFYVALILSRLVQETPIPEVCDAFKVARGMVQALQESAGRFASMVSVFCERLGWHDLEGLVAKFQNRVSFGVRAEIVELTTIPYVKGSRARALYKAGLRTPLAIAEASDAELVKALSESASWTTEESTAQKRMHVGLARKIKHGARKVVLDKAEEARIAAFSAFKSLGFTVPQISHPLSASADGNITAQVAVGTQQMERVLTLSCVGGTSSSEKVVGKNPSQTGAISIDVKQSNSGVNPPVNAEGSAIQDSNTVGECAGKVDVAISSHLERITDKDAQREQHSSKVLHSLKRDGSSMKGPIQAASTSGGFESFLNLWDASQEFYFDLYYTKRSEVNSVVPFELHGIAICWEKSPVYYVNIPKDLLGPKSGKGLCPDDSISGDQVDVSQNEHWFEMIEMRWKKINEIFTKKNVRKFAWNLKVQVQVLKCPGVSIQKLGFLNSARRNMGLKLVDGSYIVLSRVHMSNVIDMCIVAWILWPDDERNSTLNLEKEVKKRLSGEAAAAANRSGQWKNQMRRVAHNGCCRRVAQTRALCSVLWKLIISEKLLDALNNIEIPLVGILADMETWGIGVDMEGCIRARNLLGKKLRCLEKEAYRLAGMTFSLYAAADIANVLYGHLKLSIPEGFNKGKQHPSTDKHCLDLLRNEHPIVPVIKEHRTLAKLFNCTLGSICSLAKLSARTQKYTLHGHWLQTSTATGRLSMEEPNLQCVEHAVEFKMNEDDVDHCKINARDFFISTQENWLLLSADYSQIELRLMAHFSKDSLLIELLSIPHGDVFTMIAARWTGKTEDSIGSHERDQTKRLVYGILYGMGAKSLALQLECSRDEAVEKIQSFKSSFPGVASWLHEAVAFCRQKGYVETLKGRRRFLSKINSPISKEKSKAQRQAVNSICQGSAADIIKLAMIHVYSVIGTDAPDLTVLPAANSNILRGHCRIVLQVHDELVLEVDPSFVKEAASLLQKSMENAASLLVPLQVKLKVGRTWGSLETFLPDNFQIEALAPGS >KGN61141 pep chromosome:ASM407v2:2:4458138:4466336:-1 gene:Csa_2G059720 transcript:KGN61141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPESRSMKVSPLELMSAIIRKAMDPSQDSSESVREVATLILDNREFVMILTTSIAVLIGCVVVFVWKRSSGQKAKPFEPPKQLIVKEPEPEVDDGKKKVTVFFGTQTGTAEGFAKALAEEAKARYDKATFRVVDLDDYAADDDEYEEKLKKETLAIFFLATYGDGEPTDNAARFYKWFSEGKEKGEWISNLQYAVFGLGNRQYEHFNKIAKVVDEQLAEQGGKRLVPVGLGDDDQCIEDDFSVWREQLWPELDKLLRDEDDSTSVSTPYTAAVLEYRVVFYDAADVSGGDKRWAFANGHAVYDAQHPCRANVAVRKELHTSASDRSCIHLEFDISGTGLTYETGDHVGVFCENLDEVVEEAIRLIGMSPETYFSIHTDKEDGTPLSGSSLPPPFAPCTLRTALTQYADLLSSPKKSALVALAAHASDPAEADRLRHLSSPAGKDEYSQWIVASQRSLLEVMVEFPSARPPLGVFFAAVAPRLQPRYYSISSSPRMAPSRIHVTCALVYDKTPTGRIHKGVCSTWMKNAISLEESQACSWAPIYVRQSNFKLPTDSKLPIIMIGPGTGLAPFRGFLQERLALKESGVELGHSILFFGCRNRKMDYIYEDELNNFVETGALSELILAFSREGPSKEYVQHKMVEKASDIWNLLSQGAYIYVCGDAKGMARDVHRTLHNIVQEQGSLDSSKAESMVKNLQMSGRYLRDVW >KGN62428 pep chromosome:ASM407v2:2:16434820:16435328:-1 gene:Csa_2G354050 transcript:KGN62428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMLNMGENGVGFQCEVPDHQNNHEFTASEIEELLSLFLANNDGPPSPGSDSQGSMRTSVTNCSTNDDERKLRRMISNRESARRSRWRKKRHLEDLTSEVNRLMMQNRELKERLGRVLNSRHMVMRENDWLWMESMGLRARLSDLCRILAVMQ >KGN62397 pep chromosome:ASM407v2:2:16214583:16214778:-1 gene:Csa_2G351780 transcript:KGN62397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKPTRGAREVSVNVRWKGNAASVTRIDSDDSKGRVEIFPPNNVVVELLKRFGGI >KGN62516 pep chromosome:ASM407v2:2:16902710:16903174:1 gene:Csa_2G358870 transcript:KGN62516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRAATRLQLSREDIKEIFREHDIDGDGRLSMNEMVKAFGCFGSILPFYKAHYGMAHADENGDGFIEEEELDKLVDYAQKFQHKRKC >KGN62061 pep chromosome:ASM407v2:2:13900448:13901659:1 gene:Csa_2G294880 transcript:KGN62061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPRYDGEYLKELLDKELGVVTLKQTLTQVIIPTYDIKRLFPVIFTTAEAKMDELNNPLLADVCLSTSAAPTYLPSHKFGIEGNSNIFHMIDGGVAANNPTLTAILHEKKAMIIRSELETEKKNKEAKLKMSPKKLLVLSLGTGSFKKIGKYDAEDTAKWGILSWVHKNKTTPIIDIFSDANADMVDIHLATMFQYDHDLHKNDGNKKDNHRKKDYLRIQAADLSGDELCSVDIATKENLENLEIVGEKLLDETVSRVNLKTGRYEKLSSKKGTNREALVKFAERLSKERKLRLSG >KGN62457 pep chromosome:ASM407v2:2:16581423:16585070:-1 gene:Csa_2G354830 transcript:KGN62457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAVSTTLNPKTVAQSQGVSHSQNSMRPPLLPSDPDHGAAARRPKSREVTSRYLSSSSSSSSSSASVLRRCPSPSVSGISTSTTVLTPMPSSFRRSESVERPHRGTPHPNSLDFRFGHGNGRGEMSAAQKLLFNSTRSLSVSFQGESFPLQVSKSKPAPTPGVRKGTPRGTGGSAGADQTENMKPVDQQRWQGSHRQANCMSRSLDCVDDRKKVASGSGNVVRALQNSFAEDRSSFDGRLSSDSGKVELEKAVEPLAEGISASSLDISSDSDSVSCSSNSGTQEGGAGKGQRGPRVVVVPARVWQETNNRLRRQPELGSPSSKNTGAKALPSKVNISKKHSIDSQASSPRQVANNNMEQPSPVKFSIGPASPSKLLASSMSILSPSKGSPSRVRCSVTNGFGSSWGSTPSTLSFANEARKGKMGDSRMVDAHSLKMLYNRLLQWRFLNAKADITSSVQRLNAERNLFSAWNGISELRESVIAKRHELQSLQHKLKLASILKSQMTCLDELDLLDQDFSSSLSGITEALEARTLRLPVDEGAKADVQDVKDAICSAVDVIQAITPSLSLWLLKVGDVNSAVSKLADVNANERALLDQCNDLLSTVASMQVKEFSLRTHVLQLERTPAQ >KGN61680 pep chromosome:ASM407v2:2:10851546:10854146:-1 gene:Csa_2G223710 transcript:KGN61680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDGNSKKSKLSWTKTLFKKWLNIKSKSEDFEADDSVNGGHENWESSYAEESCAVKKSQTEGSSKRNYNFEFDSPQTNDVNNYRIFVATWNVAGKSPPNHLSLDDWLPSSTPADVYVLGFQEIVPLNAGNVLGTEDNGPAKKWLALIRKTLNALPGKGYQSSSPFPDSFTNMDTDFDGSTKHKALSYFHRRSFQSTNRSMRMDSIATQKAQFDRRFSDFDQVMFGHRPSDYDPTCRWGSSDEENELGDSPFVSHYSPISRCGSFSIDDGDRQLGRSRYCLVASKQMVGIFLTVWVKSEMRDDIRNLRVSCVGRGLMGYLGNKGAISISMTMHQTSFCFICSHLSSGQKEGDELRRNADVMEILRKTRFPRVNGVEDEHSPQTVLEHDRVIWLGDLNYRISLSYRSAKALVEMHNWRALLENDQLRIERRCRRVFEGWKEGKICFPPTYKYSYNSDRYSGDARYPKEKRRTPAWCDRILWYGRGLNQLSYVRGESRFSDHRPVCSVFLAEVESLTPNCFKKGLSYSSSKIEAEELLPKHEWLFKT >KGN61889 pep chromosome:ASM407v2:2:12609554:12620468:-1 gene:Csa_2G258850 transcript:KGN61889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVFFPSNPQSLTFNPCLPLNSPSSFSYSRLRFVRRQFLGSSHNLRPPDALRSRRRCRNLGLFVQSPRCILRATFSSNPVLIVVAVVTFSAVSFIYMNLNRRKKNAVERSRSPKLALSQLGRGINWSVDGHMMGFRDHHGDFLEQNIAVKDRTEEKSYSGEEETVLQLQKSGLSHEASVTETLPPSVSEVTTSKDSDSLFSDESEATDPSLLSAIFESGVLQPLIFANDMTDLRLNGSHVKSHSELPVVVDTTELPPVTGPLYSVYDQVTQHLKEDGELLKEEKFNSSNFQIEEPAREDIYMFYEDTKSSNQTETSSRTSHLYNQKFSSLMVNGVSRVAELVLEDSLPVAGYVQREVPDVRYKEGSSGNRKKSGGNNISRHGERKEPSLHKGKVVNGLPHPNGKHVHYKNLDVDQYKSYNQCLKGGRLHDCIRILQDMEKEGILDMNKIYHGKFFNICKSKKAVQEAFQYTALIQNPTLSTFNMLMSVCASSQDSERAFQVVRLVQEAGMKADCKLYTTLISTCGKSGKVDAMFEVFHRMVNAGVEPNVHTYGALIDGCARAAQVAKAFGVYGIMRSKNVKPDRVVFNALITACGQSGAVDRAFDVLAEMGAELHPIEPDHITIGALMKACANAGQVDRAREVYKMIHDYKIKGTPEVYTIAVNCCSQSCDWDFASNIYQDMTRKGVQPDEIFLSALIDVAGHAGKLDAAFEVLGEAKTLGIRVGIVSYSSLMGACSNAKNWQKALALYEDLKSMKLRLTVSTVNALITALSDGEQLQMAMDILTEMKELGLSPNNITYSILTAASDRNNDLEIALMLLSQAKEDGIVPTLTMYRCIIGMCLRRIADPSSLDRPLMSLDSTLPQVDSKWTAQALKVYREIIEAGIVPSIDVLSQVLGCLQIPHDPALKSRLIENIGVSADSSRSSSLCSLIDGFGEYDPRAFSLFEEAASLGVAPFVSLKGNPIVVDAKELQIHTAEVYLLTVLKGLKHRLAAGSRLPNIMILLSNETTEILFSKGERTINLSGRVGQAVAALLRRLGLPYQGNESSGKIRINGLALRRWLQPKLSDSLSGKPGEFGTFQSRLRKGISHQQRDIRIGNLSLD >KGN60761 pep chromosome:ASM407v2:2:1707401:1709257:-1 gene:Csa_2G009490 transcript:KGN60761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKGRDGGHSSLLDGKYELGRLLGHGTFAKVYHARNLRTGKSVAMKVVGKEKIIKVGMMEQIKREISVMKMVKHPNIVELHEVMASKSKIYFAMEFVRGGELFSKIAKGRLREDVARVYFQQLISAIDFCHSRGVYHRDLKPENLLLDEDGNLKVTDFGLTAFSEHLKQDGLLHTTCGTPAYVAPEVIGKHGYDGAKADLWSCGVILYVLLAGFLPFQDDNMVAMYRKIYRGDFKCPSWFSPEARRLITKLLDPNPVTRAGITKITSSSWFKKSVPKSLITKDEQEQTEENFTKSKPPAETLNAFHIISLSEGFDLSPLFEEKKREEKEEMRFATSSTASSVISKLEEVGKAVKFDVKKSETWVRLQGQEMGRKGKLTIAADIFAMTPSFVVVEVKKDNGDTLEYNQFCRKELRPALKDIVWTSPTGNSTPA >KGN61418 pep chromosome:ASM407v2:2:7998056:7998625:1 gene:Csa_2G120005 transcript:KGN61418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRINQWGALINIEINLHNFSANDQPAKTSPVASELSEASTELESSNIPKIFGIYMPPSVEYIISVLSVLRCGGAFMPLDPAWPKRRILSVVSSLKIDLIIYSGSSFCVDGYHVTEGFRWLEEISGYSTLCFNMEESSVREHNSAVDLVFPCEDEKARLFCYVMYTSGSTGKPKGICGTEQGTMFRGLRY >KGN61204 pep chromosome:ASM407v2:2:5343440:5346862:-1 gene:Csa_2G070220 transcript:KGN61204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENFIGKRKPTIGISLFTALSGSFSSDKTKSPRDFEKGVVGLGIVAAMTDSDKIHEAFVSSKAVSPRSCSIPIVSSAKPAANFRGGFNLEKETLPEVVDELSESYTCVTSHFGNRLFEKRVYFNGELDLVNGRSSNIVRSGVFSTSPMSFGVAERGFCAAEFLSSCYLCSKHLHGLDIFMYRGEKAFCSVECRDKHIRGDDCRDKCGSKAMKDYSASPCSVAGPPALASGVVAA >KGN61379 pep chromosome:ASM407v2:2:7583780:7586401:-1 gene:Csa_2G101090 transcript:KGN61379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFTISDDLLDEDEKNLVSKSTVVRGVLFQQFIQAIVAIILFKLTGNDDGSNAVPKSWPMVVLQFLTAMFILDTWQYFAHRYMHHNKFLYRHIHSQHHRLVVPYAFGALYNHPVEGLLLDTIGGALSFLASGMTPRISIFFFSFATIKTVDDHCGLWLPGNLFHILFRNNTAYHDVHHQLYGSKFNFSQPFFVTWDRILGTYMPYSLEKRASGGFEARPKIE >KGN62740 pep chromosome:ASM407v2:2:18199130:18207025:1 gene:Csa_2G370400 transcript:KGN62740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTERLSLSAEQLSPMGLPFPSSPNLISLPLPAYRSLVFPLFDATKFISVFSMPSTNEKWSHIHRPRPTVSSSLRNFRIRNRNIKARFSSRNDSSSTPHASNGDSNSPAKTKLIVVSSLIAVSLAIANRVLYKLALVPLKEYPFFLAQLTTFGYVMAYFSILYLRRRANIVTEEMLSLPKSRFMAIGFLEALGIATGMAAAASLPGPAIPILSQTFLVWQLVFSAILLGRKYSWNQIAGCVIVTAGVVVAVGSGSDAGQMLSGVAPLWPVLMVVSSACQAAASILKEFIFIDAATRLQGKSLDIFVVNSFGSGFQALFVLLFLPFLSNLKGIPIAKLPSYLMHGAGCFLNVGARRSGCEGAPLLPLLYVIINLAFNITVLNVVKKFSAVVASLVVMLSVPVSIYILSLPLPFLPEGARLSPLFMIGSLMLVLGLAFYYIPLPSKIEAKTD >KGN62686 pep chromosome:ASM407v2:2:17829689:17838957:-1 gene:Csa_2G368870 transcript:KGN62686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGSPLQCPNTVTVRRNPHRRARATPAAKAAAESNLTSAISSFPLQEILAMEVPQNPKDNSSSSSSVQTSLSENLKVYLRVRPLQLKNLKKSGNPGDQNSRSGHVWPQNPQKKKVAKEKNVKKKSSETCITINDDHSVTVCPPMALQETRRSKSEVYEGFSHVFSMESSQDEVYERMVSPLVEEFLKGKSGMLTALGPSGSGKTHTIFGSPRVPGMVPLALQHIFRTESSDSKTSRSYYLSIFEIYSEKGKGEKMNDLSADGGELTMQQFTIKGLKEVLISKAGEAESLVACAMAKRATAITNANSTSSRSQCIINVRRVANQEEVEDASNCAILTIADLAGAEKEKRTGNQGTRLLEANFINNTSMVFGLCLRSLFEHQRNPKKPLQKHFQNSLLTKYLRDYLEGKKRMTLILTVKAGEEDYLDTTFLLRQASPYMKIKFNNVVEPSNTNKRQLQTLCTFEEQKRTKYSAPEACVNEGKRHPKENLLAHEELSGHPKGKATKSSRTELAGIERNHLIMQNFSKAIWKTLKQYRDKLKSAENENQILREEIRQEKMRYFELEKKWQSSRCSTCSKKDCAEADSSVEVASDFELNSDLEEHRFNNSHEIITNSFIKVKESEGNKSPERRGSSPCEDRNSCSKLDEDKEAHIDSIDETTPRNKCNMAKKLTYVEADDSCPTTNPIAFSTLQTHNLVKHDSCSSVELDKLSEQEESTSVESHLQVASNIHCNAHACETQPVPDTSLNQSTSEESDRDSGALNEDQELLGEFSGSQHVPLTEDVESYQEQEHKKDVHCSDPSTDISCKLEKPKRRLLPASSTLLRDFSNMHVEDDIEASKQVNRNGKKSAKGEKLRTQGNISLIRMLKSNLRF >KGN61132 pep chromosome:ASM407v2:2:4386875:4387123:1 gene:Csa_2G058650 transcript:KGN61132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVVNATKAQCSISVEGLLDTIQEQDEDVKIIVQRHMYDASLWSDDSHTLI >KGN62575 pep chromosome:ASM407v2:2:17181044:17181382:-1 gene:Csa_2G361410 transcript:KGN62575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGGGIKLSEVVGYSKGQQVNSGKERKGGVVGEAEIETTGGIGSIMPQTRSPTKCMIIDTSLLRVIFLFVRHRSSGFIATPPPTQTISVFFLLSSSSSSSSSSSSSLCFHS >KGN63027 pep chromosome:ASM407v2:2:20065869:20066066:-1 gene:Csa_2G384470 transcript:KGN63027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTLWDYTKLPLSSLHGSVELSLGLLIKLTLWDHRATTSILWLTSWVHQALTRLASIHSLHGSTH >KGN61149 pep chromosome:ASM407v2:2:4557639:4560783:1 gene:Csa_2G059800 transcript:KGN61149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSELQRSFSSRKNRRGERNIQNLHARDVEGGFVSPEKLPSDYPMKIVWKKGFIRLFLVGGMLWMLLILTASLLHVWSCRSSITFFSAICNKESKVFTILDSMGIMAKAQHRCSIPLMDEADKVVIPKGRTPDEIVKRLVYITEAEYSINGSQTSPLFGGHQNWTQREESFKLKPTMKVHCGFMQNGGAEMVPADIKYVKKCRFVVASGIFDGYDVPRQPSNISVRSKDLFCFLMVVDEISMQFIRENVTIEEDNDGGKWVGIWRLVLLKYPPYDEPRRNGKVPKILTHRLFPQAQYSIWIDGKMELIVDPLLILERYLWRGKHTFAIAQHKHHCSIYEEADSNKRRKRYARPLIDLHMKIYRYEGMEPWSPEKKSVSDVPEGAIIIREHTAMNNLFSCLWFNEVHMFTPRDQLSFGYVVYRLGNSFKFFMFPNCEYYSLFILHPHTREHSSLIEWVKDWDQLKGNMSNMKEYKQWKQRNAPTSLIETRGGLGLWTPYPGDLDSVVLPTVVRSSKAG >KGN62373 pep chromosome:ASM407v2:2:16095321:16095662:1 gene:Csa_2G351540 transcript:KGN62373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQIALSQLATGLSVLAGAVVVKSVIEQKLPMAGSMPRCPTCKGSGRVTCICVRWSDGDVGCRSCSGSGRMSCRSCGGGGRGRPITVQLSMSQSNR >KGN60668 pep chromosome:ASM407v2:2:1106457:1108228:1 gene:Csa_2G006140 transcript:KGN60668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEIVEGVNSINLSSDSFKKNRIQVSNTKKPLFFYVNLAKRYMQQHDEVELSALGMAIATVVTVAEILKNNGLALEKKIATSTVDIKDDSRGRPVQKAKL >KGN62497 pep chromosome:ASM407v2:2:16791169:16795390:-1 gene:Csa_2G357200 transcript:KGN62497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGSRGRKVPEMGFQDQTASSRPGFRARDSSPDSVIYALESSFSLFSSASASVERCSFASEAHDRDSLISEISLHLAGHDEGNHESCGGPDPDPNKPALSNKHSRLYTKGEKAKANQKDDSNVDLEDENRTVDSARNSFSLALKECQDHRSRSEAQSRKLDRRRPASLDLNNATTTSSPRLAAVKKNPVVSTRKTGTFPSPGTPNYRHNSFGMQKGWSSERVPLHNNGGRKHANNPALLTLNSGRTLPSKWEDAERWIFSPISGDGVVRNSVPLPQRRPKSKSGPLGPPGSAYYSLYSPAVPAYEGGSFGNFITGSPFSAGVISANSLGIHSGGHEVAFHGQTEPSMARSLSVHGCSEMLGQLSSTTGLQEESGDILTRVKDSGTDVSRVVSRRDMATQMSPESSVHSSPKTRPSISASSSSAMHMFELGAVTSKLEIRDVQVDNQVTMTRWSKKHKGSFPWKDSLDDRRKKDVDAVSRCSDLDIPHIGKSISKVKREEAKITAWENLQKAKADAAIRKLEMKLEKKRATSMDKIMNKLKSAQKRAQEMRSSVMANQSPQDNRTSIKSLSFYRARPMGSLSGCFTCHAF >KGN62692 pep chromosome:ASM407v2:2:17879768:17882112:-1 gene:Csa_2G368930 transcript:KGN62692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLTHNMLSSNIKGVINGFPLRIEVEKVVEKPVDFNPDFLKNMFSKIEWKPLVDASRTMGYAELPEEADASMLDSHDFLQRFHHALLELHLEEGALFCPETNRRFPVNKGIPNMLLHEDEV >KGN61701 pep chromosome:ASM407v2:2:11070016:11073908:1 gene:Csa_2G228370 transcript:KGN61701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAMLNGAECLKLTRGLGFSGSNLHGRNFPKFGLVSSFGCSKAATVSMTPKCSLSASRPASQPRFIQHKKEAFWFYRFLSIVYDHIINPGHWTEDMRDEALEPADLNNRNMIVVDVGGGTGFTTLGIVKHVDAKNVTILDQSPHQLAKAKKKEALKDCKIIEGDAEDLPFRTDYADRYVSAGSIEYWPDPQRGIKEAYRVLKLGGKACIIGPVYPTFWLSRFFADVWMLFPKEEEYIQWFKNAGFKDVQLKRIGPKWYRGVRRHGLIMGCSVTGVKPYSGDSPLQLGPKEEDVSKPINPLVFLYRFILGAMAATYYVLVPIYMWIKDQIVPKGQPI >KGN62960 pep chromosome:ASM407v2:2:19640770:19641800:1 gene:Csa_2G381850 transcript:KGN62960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANVIMASSKPPSPPLSISNKTPKFSIPKTLTLIPQFLKKPQSISLSTSTLKSLSLFAATSLSFAPPSLAEEIEKAALFDFNLTLPIMMAQFLLLMVGLDKLYFSPLGKFMDERDTAIKEKLNSVKDTSEEVKQLDDQAAAVMRAARAEISAALTKMKKETQAEVEEKLAEGRKRIDVELQEALANLENQKAETIKSLDAQIAALSDDIVKKVLPV >KGN62300 pep chromosome:ASM407v2:2:15731193:15732611:-1 gene:Csa_2G348870 transcript:KGN62300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVEQLLADSSEQIRYVQCGLCSTILLVNVPYSNLSMVVSVRCGNCAGLLSVNMAKPSFIPFDLLTSLSHNLPKEGFGQEFNVQKHYCLDSLSNSSSSSDLLTSYHNIIQNHEDDDVIIIPPTPVVNKPPEKKQRAPSAYNQFIKEEIRRLKAENPAMAHKEAFKTAAKNWAHLPPVNAEGADHMMLRKKNVM >KGN63070 pep chromosome:ASM407v2:2:20435069:20441951:1 gene:Csa_2G401300 transcript:KGN63070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFLRRNPGYDGKVSIYGHSLGSVLSYDILCHQENPSSPSPRDLIYGEHARSEGLSGVDNQSSVQNSCLDTEDNCSTAVYGCSDFVHIAKEGDERSMHQMHLHLENPSVVVDPVASHPSVLSNKHENPCKVDEYDIRLPQISNELEELNKNENCDLEVPSVNRIGELQFEDSNDKDEVIKSLKEEVDYLKMKLAELELMSANRDTDEGLNEGNKKSLIGMSKEPVLEEVPLEQDDGSKSFTPCIKYKKLAFKVDTFFAVGSPLGVFLALRNIRIGIGKGQEYWDEENINEEMPACRQMFNIFHPFDPVAYRVEPLVCKECMLKRPVIIPFHRGGRRLHIGFREFADNLALRSQAMKDNLHTFGVKVLTVCQSKKADDLEEGAEDFQEGELKSYGVAMMERLTGREEGRIDHMLQDKTFEHPYLQALKSHTNYWRDHDTALFILKHLYRDIPEDPDTPPEYSEPNSKDCWYNKKETIEEEVSLTFSDKALVRSFSRKAKKMMKHQR >KGN63113 pep chromosome:ASM407v2:2:20697867:20702810:-1 gene:Csa_2G403680 transcript:KGN63113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDILVSVTAKIAEYTVVPVGRQLGYVIHIHANFQKLKTQVEKLKDTRESVQQNIYTARRNAEDIKPAVEKWLKNVDDFVRESDKILANEGGHGRLCSTNLVQRHKLSRKASKMAYEVNEMKNEGEGFNTVSYKNAIPSVDCSLQKVSDFLDLDSRKLTAEQIMDALSDDNVHRIGVYGMGGVGKTMLVKEILRKIVESKSFDEVVTSTISQTPDFKSIQGQLADKLGLKFERETIEGRAPILRKRLKMERRILVVLDDIWEYIDLETIGIPSVEDHTGCKILFTSRNKHLISNQMCANQIFEIKVLGENESWNLFKAMAGKIVEASDLKPIAIQVVRECAGLPIAITTVAKALRNKPSDIWNDALDQLKSVDVFMTNIGEMDKKVYLSLKLSYDCLGYEEVKLLFLLCSMFPEDFSIDMEELHVYAMGMGFLHGVDTVVKGRRRIKKLVDDLISSSLLQQYSEYGYNYVKMHDMVRDVAIFIASKNDHIRTLSYVKRLDEEWKEERLLGNHTVVSIHGLHYPLPKLMLPKVQLLRLDGQWLNNTYVSVVQTFFEEMKELKGLVLEKVNISLLQRPFDLYFLANIRVLRLRGCELGSIDMIGELKRLEILDLSGSNIIQIPTTMGQLTQLKVLNLSNCFNKLEIIPPNILSKLTKLEELRLGTFGSWEGEEWYEGRKNASLSELRFLPHLFDLDLTIQDEKIMPKHLFSAEELNLENFHITIGCKRERVKNYDGIIKMNYSRILEVKMESEMCLDDWIKFLLKRSEEVHLEGSICSKVLNSELLDANGFLHLKNLWIFYNSDIQHFIHEKNKPLRKCLSKLEFLYLKNLENLESVIHGYNNGESPLNNLKNVIVWNCNKLKTLFLNCMLDDVLNLEEIEINYCKKMEVMITVKENEETTNHVEFTHLKSLCLWTLPQLHKFCSKVSNTINTCESFFSEEVSLPNLEKLKIWCTKDLKKIWSNNVLIPNSFSKLKEIDIYSCNNLQKALFSPNMMSILTCLKVLRIEDCKLLEGIFEVQEPISVVETSPIALQTLSELKLYKLPNLEYVWSKDSCELQSLVNIKRLTMDECPRLRREYSVKILKQLEALSIDIKQLMEVIGKKKSTDYNRILINKLVIGQVEVLQLGDGSELFPKLKTLKLYGFVEDNSTHLPMEIVQNLYQFEKFELEGAFIEEILPSNILIPMKKQYNARRSKTSQRSWVLSKLPKLRHLGSECSQKNNDSILQDLTSLSISECGGLSSLVSSSVSFTNLTFLKLNKCDGLTHLLNPSMATTLVQLKQLRIGECKRMSRIIEGGSSGEEDGNGEIINDDDDTHYRPKESKER >KGN63119 pep chromosome:ASM407v2:2:20735346:20735773:-1 gene:Csa_2G404230 transcript:KGN63119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHLVKHTCPKPHTVACDATCRDNTELVDLALRIEKSVGGKRPISEFSEKEEKKSVEVLVKSPSMHSVKDCVGVAIQSGGALNVVKDIQRFYNNLHEEALR >KGN61266 pep chromosome:ASM407v2:2:5946013:5946712:1 gene:Csa_2G074270 transcript:KGN61266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTVLQRCVLMLSDTGQALSMFTLGIFMATQPNIIACSLSEAASAMLVRFLVSPMLIAAISKLINLRGIALHTAIIQAAFPQGVVSFVLAKEYNVHPNVLSTS >KGN62019 pep chromosome:ASM407v2:2:13617351:13618855:1 gene:Csa_2G286520 transcript:KGN62019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFSLKCSTVCSRLYRSFFRFHSVPTHPSANNSTSPLDFKSSVHQSLDFSLEKCSSMRELKVLHARIILQGLVSQNITLGKLISFCSVSQVGDLHYAHLVFDHLPQPNKFMFNCLIRGYSTSPHPINAIFLYVQMMRSGFLPNRFTLPFVLKSCASQLAYWEAFVVHCQAIRLGMLSHVYVHNALINAYAVFGFVQCAYQVFDEMSNRTLVSWNSMIGGYFRNGLCKGAFLLFREMRETGFQPDKFTLVHLLSICSKSCRLDIGKSVHHYVEITGIEFDLILRNALLDIAYSKHGLIRHAREIFDQIPEKNVISWNSMILCYVQDGQCKEALLLFQQMCETTIIPDETTLVSVLSACSQIGDLAMGEKTHGCICRHSIVITVTLLNSLVDMYVKCGALETVLDFFFEFKDKNLVSWNIVIQALALHGHGLDALKLFNMMHTTGIWPDKFTFMGLLSACNHLSTWDDIYLRE >KGN62524 pep chromosome:ASM407v2:2:16932522:16933333:-1 gene:Csa_2G359930 transcript:KGN62524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTNPLKDQPVPAQGNYMSEQEASNLIKKYDKNGDSILTKDELTALIIEQAASHHHQQIKPQKVEPKVNIPDEKASCGPYKMRLSKDEMREIFLEHDIDGDGYLTRSELVKAFNMCGSFNSFSKANYALNLADANGDGFICLDELDKVLEYADRVINKTKK >KGN60963 pep chromosome:ASM407v2:2:3091453:3098562:-1 gene:Csa_2G031710 transcript:KGN60963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPTMDHGNNSPNEISQPLISMEEIKPDSTSHHPHRLISVDSDALLPKPVKSKRLASLDIFRGLTVALMILVDDAGGEWPMIGHAPWYGCNLADFVMPFFLFIVGMAIALALKRIPNQLMAIEKVTLRTLKLLFWGLLLQGGYSHAPDKLTYGVDVRKIRLFGILQRIALAYLVVAFVEVLSRKTQSNVQPFNHFSIFKSYFWNWLVGACILVVYFALLYGIYVPDWQFTVTDSESVYYGRNFTVACGVRGNLDPPCNAVGYIDRKVLGINHLYAHPAWRRSEACTENSPYAGSFRDNAPSWCFAPFEPEGILSSISAILSTIIGVHFGHVLIHFQDHSARLKQWVTMGFTLLILGLVLHFTHAIPLNKQLYTFSYVCVTSGAAALVFSVFYTLVDIWGLRPLFLPLEWIGMNAMLVYVMAAAGIFAGFINGWYYDDPHNTLIYWIKKHLFIGVWHSKKVGILLYVIFAEILFWGVVSGILHRFGLYWKL >KGN60551 pep chromosome:ASM407v2:2:319660:328984:1 gene:Csa_2G000590 transcript:KGN60551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLPAETSHAIKHRFRSLKLVTIDLNATLSEHPYGVRYGQLHNGLSYYVRSNSKPRMRAALALAVKAGSVLEEEDERGVAHIVEHLAFSATKKYTNHDIVKFLESIGAEFGACQNAATSADDTVYELFVPVDKPGLLSQAISILAEFSSEIRVSQDDLEKERGAVMEEYRGNRNATGRMQDAHWALMMEGSKYADRLPIGLEKVIKTVSAETVKKFYRKWYDLHNMAVIAVGDFSDTESVVEMIKEHFGHIQSACEPPHVPTFPIPSREEPRFSCFVESEAAGSAVMISYKMPADELKTVRDYRNLLVESMFLQALNQRFFKISRGKDPPFFSCSAAADPVVLPLKAFIMSSSCKEKGTVKALESMLTEVARVRLHGFSEREISIVRALLMSEIESAYLERDQMQSTNLRDEYLQHFLRNEPVVGIEYEAQLQKTLLPHISATEVSKYSAKLTSLCSCVIKIIEPRASATIDDLKNVVMNITCLEKERGITPWDEENIPEEIVSTMPNPGNIVQQKEYPNIGATEIFLSNGMRVCYKCTDFLDDQVIFTGFSYGALSELPEREYSSCSMGSTIAGEIGVFGYRPSVLMDILAGKRAEVGTKLGAYMRTFSGDCSPSDLETALQLVYQLFTTNVIPGEEDVKIVMQMAEEAVRAQERDPYTAFANRVKELNYGNSYFFRPIRLSDLKKVNPQRACEYFNKCFRDPSNFTVVVVGNINPSIALPLIQQYLGGIPKPPEPIMNFNRDDLKGLPFKFPTSIVREVVYSPMVEAQCSVQLCFPVELTNGTMVEEIHYVGFLSKLLETRMIQVLRFKHGQIYSAGVSVFLGGNKPSRIGPVRGDISINFSCDPEISSKLVDLALNEILRLQEEGPTDQDVSSILEIEQRAHENGLQENYYWLDRILRSYQSRIYSGDVGSSFEIQDEGRLNVRNSLTPLTAQLALQRILPFPCTKQYTAVILLPASYRFRKLKSFLRLGLSNPGRDSKILVGLASVAVLTFSLWRYWSTNKS >KGN62343 pep chromosome:ASM407v2:2:15920961:15923713:-1 gene:Csa_2G350260 transcript:KGN62343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLLAINYRCTSTDAGSTFNLFTWRNSIFMGRKGIGLCNLRRVLPAGLSCRSNVKTKVCAKRKSARRLERNREEVSITSSSADDNAQEVKMNSSDSSPKNYLINISSRSSVLQACIITSGLIAALGVIIRQVSHVASIEGLPVIDCTSEVSFSFEVWQLQLIIGLVVLISSSRFFLLKTWPDFAESSEAANRQVLTSLQPLDYAVVAFLPGISEELLFRGALIPLLGFNWASVVVTAAIFGILHLGGGRKYSFAIWATFVGLAYGYATIESSSIVVPMASHALNNLVGGILWTYESRSLENLED >KGN63134 pep chromosome:ASM407v2:2:20814495:20822712:1 gene:Csa_2G404850 transcript:KGN63134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEINPLFSPLFLFITLLLTSPASSSSVYDQWFFNCQYPFNCGSILHNRYPLLWRYNGTEFCGGYPEPMKLNCDRSPVTIEIVGANYEILGFSMNDQILIIAEIGYSYRFCSLGNNSLSTSIYAIIPVSYDCPNPQSERPTCSRNEFRYMPFNPDVNYCWLSLVVPWSVEEVRGDLQKVAHDISEFKKPGRKVDGQVCSHCKERGGLYFYDLQLGQTRCCCQFSSIGIASCSSSSVTQSPNYADEPKTALPSEYHLRKLLFDETSHPSSLKSFNEIIIPDERLNHFIHSSPFDSSKFTYPCKKKMPTSPSKTLLLVLTFIATVLIQPLIISCDDDDDDEDKYLNCYSSLNCFRYSNLGYPFWGPNRPDYCGHPSFKLTCEDEAVKIEIESTTYRVLEIDTNTQALTVARIENNTCPRYLKNSTFDSNIFYYSSNTQPLKLLYDCSSLPPKLPGRFSCNINSTYYVNLIVTQDTDSDTLNNVRCNHGVDVAISQSEMQTLKSKPSADNLIKALETGFELQWRIDNSLCYQCQNSGGQCGSKPSLGEFACYCLDGAFKTTCGSTPSDDSKKSKKEKVKPLIIGVSIGGACLAATIIGCCIFLCFRKKKKQYPIGSVSKEAGPSPRVSDSTSNKDLPPAPLLNSFQSQSIPSYPSSKSDIETPTTTNHAIRVFNYAELETATNKFDRSRELGDGGFGTVYYGKLVDGREVAVKRLYEHNCKRVEQFMNEVDILAHLQHDNLVKLYGCTSRYSQGLLLVYEYIPNGTVADHLHGSRMKLGLLSWPIRLRIAIETANALIFGVVLIELISSLRAVDTDRTRHDINLSNMAISKIRSQALDELVDPKLGFNENHEVRSATTSVAELAFLCLQQERDLRPTMDEVVEVLRKIENEKSNSEMAKVIDIGVVGDDIELIKKAPPSFSPNSVIANNWVSSSTTTGSL >KGN61173 pep chromosome:ASM407v2:2:4840700:4846301:-1 gene:Csa_2G061030 transcript:KGN61173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSEIVPALSEPTSTTSSSSCSGSVFHRISEDKREFVDLRGVRWRINLGVLPSSSLASIDDLRRVTADSRRRYAILRRRHLVDPHVSKDESSSPDIAMDNPLSQNPDSMWGRFFRSAELEKMVDQDLSRLYPEHGSYFQTPGCQSLLRRILLLWCLQHPQFGYRQGMHELLAPLLYVLHVDVERLSQVRKLYEDQFADKFDGLSFQDGSFKYNFDFKNRLDSTEDEFGVDGNVESVKSLSELDPEIQTIILLTDAYGAEGELGIVLSDRFIEHDAYTMFDALMSGAHGEVAMADFYSSTPAGGSLSGLPPVIEASSALYHLLSHVDSSLHAHLVELGVEPQYFSLRWLRVLFGREFSLEDLLTIWDEIFASDNSKFDRSDEPETSSSFGFLSSSRGAFIAAIAVSMLLYLRSSLLATENATLCLQRLLNFPKNVDLKKLIEKAKSLQTLAMHSNISSSPLLSGAYHHHSKSIVARGNGRSSGSVSPKTPLNHVPESYWEEKWRVLHKEQEFKQSGSRGNNAAQKKGWSEKVRFLYRTESDPFPGKLVGGKKNTKSSVRRRLLADLSRELGAEEDSEKCGNDEVVNNKDDLSVEGEVDGQDGCEKYLENAEDKRCESGIAGSEENSSIFSDPTSSFSGANDNEPDLNDSSRSSVASNLSLDENDDQSQSIVEGSSLPVPDQLENIPEKSGCTNDSEGNAAVGAKERKLLGKFPWFWKFGRNAVSEGKGDTEASKLAGAENNPIKNIAPPKIDGACSTSVSGKGDGVDQNMMGTLKNIGQSMLDHIQVIETVFQQERGQVGSLENLSKNPLVGKGQVTAMAALKELRKISNLLSEM >KGN63087 pep chromosome:ASM407v2:2:20548274:20548953:1 gene:Csa_2G401470 transcript:KGN63087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLILIQRRPITTTNTVRIPPKREKIPRRFFPLLQVFHTADKVKYEKPHRFVPIGNSFFLISSPVSFLFVFPQCLLFFGDCSLILFHHLSSLAAEIWFRIFNRSRLVSSEYHRLFPNCFDLQPIIYLQFVWFLEKFAKINCNFVV >KGN60640 pep chromosome:ASM407v2:2:909196:913037:1 gene:Csa_2G005370 transcript:KGN60640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVATAATSAFYPVPSSSSEFGAKNNKIGGGSGNLGGIKSKSVPSGSLQVKTNAQAPSKVNGSSTGLSTSVGGKGGDDFSSSHPRTFINQLPDWSMLLAAITTIFLAAEKQWMMLDWKPRRPDMLIDPFGLGRIVQDGLVFTQNFSIRSYEIGADRTASIETLMNHLQETALNHVKTAGLLGDGFGSTPEMCKKNLIWVVTKMQIMVDRYPTWGDTVQVDTWVSASGKNGMRRDWLVYDCKTRETLTRASSIWVMMNKETRKLSKIPEEVRREIEPFFMNTAPVIEDDGRRLPKIDDDKADYVRAGLTPKWSDLDVNQHVNNVKYIGWILESAPPPILESHELSSINLEYRRECGRDSVLQSLTAVTGTDVGTLATSGDVDCNHLLRFENGAEIVRGRTEWRPKLGNNFRILDHISADQGI >KGN61811 pep chromosome:ASM407v2:2:12060284:12060655:-1 gene:Csa_2G248680 transcript:KGN61811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPNKGRVDAKITVEGSQGALTLSGARSLINGKLPNMHTPVTNKRDDTLKQVMKTMVETNSSFSFLVNNLQQATGILTLRDMITQFAPPCMNSTIQGGSFFESALEQTGCQVRNGTIICNKTF >KGN60841 pep chromosome:ASM407v2:2:2258882:2268885:1 gene:Csa_2G012730 transcript:KGN60841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDENTANNVAQAIAVSLDWSSSPDARKAALSYLESIRTGDVRILASTSLLLVTNKWSSEIRLHAYKTLQHLVRFRWEELNSMEKRKFANVCIDLMAEITSPCEEWALKSQSAALVAEIVRREGLSLWEELFPSLVSLSSKGPIHAELVSMMLRWLPEDITVHYEDLEGDRRRVLLRGLTQSLPEVFSLLYTLLERHFGAALSEVSSQRLDVAKQHAAAVTAALNAVNAYAEWAPLPDLAKYGIMRGCGFLLRSPDFRLHACEFFKLVSARKRSPDANTAEYDSAMRNIFEILMNISREFFIRGPPSSGLVDESESEFMECICESLVSMGSSNLQCIFGDSTLLPLYLQQMLGFFQHDKLAFHFHSLHFWLALMRDLVSKLKVTTHSTGDLSKPNYQGSSSASPDNERRSILSFMTDDICTVILDISFKRLLKKEKVSTIVAPLLGGLELWSDDFDGKGDFSQYRSKLLELIKFLALYKPVITSDKVSERIITIIKSLSLLQMPSEDIAMLESMQSTLDNVVSTIFDEFGAGSSEIQLQLRGIFEGLIQQLLSLKWSEPALVIVLAHYLDALGPFLKYFPDAVASVINKLFELLTSLPIAIKDPSTRARLQICTSFIRIAKAADRSILPHMKGIADSMGYLQREGRLLRGEHNLLGEAFLVMASTAGIQQQHEILAWLLEPLSQQWIQPEWQNNYLSEPHGLVRLCSETSTMWSIFHTVTFFEKAIKRSGTRKSNPNMPEYSTTSSPHPMASHLSWMLPPLLKLLRSLHSLWFPAVSQTLPGEFNAAMTLSDTEKFSLLGEVNPKLSKGALSVTDDPHSDMSKGGGHSEPSETDIRNWLKCIRDSGYNVLGLSATVGESFFNCLDIHFVSLALMENVQSMEFRHLRQLVHAVIIPLVKGCPPHLWDVWLEKLLMPLIQHTQQCLNSSWSSLLHEGRANVPDVLGIPSKTDLKVEVMEEKLLRDLTREVCSLLAVMASSPLNPDLPSLEQSGHVNRAVISSPKHLDEYSSSCMVGFLLKHKGLAISALRICLDAFTWTDGEAVAKISSFCSTLVLLAISTNDGELNEFVSRDLFSAIIQGLTLESNTFFSSDLVGLCREIFLFLSDRNPAPRQVLLSLPCIKHHDLVAFEEALAKTFSPKEQKQHMKNLLLLATGNQLKALAAQKSINTITNVSAKSRGSVSASETRLDEGDSIGLAAIL >KGN61453 pep chromosome:ASM407v2:2:8343194:8348950:1 gene:Csa_2G128630 transcript:KGN61453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENTDVFLGLHDFLERMRQPSASDFVKSIKSFIVSFSNNAPDPERDSASVQEFFAKMEGAFRAHPLWSGCSEEELESAGEGLEKYVMTKLFSRVYASLADDVKIDEQISEKMALIQQFIRPENLDIKPNFQNETSWLLAQKELLKINMHKAPRDKLVCLLSCCKVISNLLFNASIASNENPPGADEFLPVLIYVIIKANPPQLHSNLLYIQRYRGQSRLTGEAAYFFTNVLSAESFISNIDAKALSMEEIEFEKNMESARALLSGLSSDVEALSNKNNLDEGVGHYSELVETSSQASVESPVRPKPTEGKPRTEAPHAKDQSAVMKVPSLSDLENKGATILLNDQTGGRQALREYPYLFSQVGDLTFKDVEELLSQYKQLVFKYVSLSRGLSLTTEFSSYSKSEMHSQHHHESFKEPEDVRDVTSNDESAGDRHRLIDGPDGTSLFGEENVGSGLPQDKVELPQREGNNEMSQS >KGN61899 pep chromosome:ASM407v2:2:12683230:12686042:1 gene:Csa_2G263940 transcript:KGN61899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIPPLLGRAPLLCFFLVSFVFAATLCNADVKSVEVVGVGECADCKESNIKTSHALSGLKVAIDCKSSDGNFKTRGIGELNEEGKFTVLLPNAIVEDGKLKEECYAQLYSAAANPCPTHDDLQSSKIVLLSKSDEKHTFGLPGKIKISPGTCTSAFLWPFFKYPPLTKFPQFPLPLPLIPDFHHPHLKHFVPPFSFPPLPPKVFSPFPPKEFPPTPVYEKPLPPPVPVYEKPVPPPTPVYEKPLPPPVPVYEKPLPPPTPVYEKPLPPPTPVYEKPHPPPTPVYEKPLPPPVPVYVKPIPPPSPIYEKPLPPPVPVYVKPIPPPTPIYEKPLPPPVPVYKKPVPPPTPVYEKPHPPPVYEKPLPPPVYVKPKPPPVPIYKKPLPPPVPVYKKPCPPPVPIYKKPNPPPVYEKPLPPPVPVYKKPNPPPVPVYKKPLPPPVPIYKKPLPPPVPIYKHPFFKHKHPFFKHKHPFFKHLPHIPKIPHHPFFKKPWPPIPQFPPVPKSPPKYFSHHMFGGFPKHPPVVSHP >KGN62344 pep chromosome:ASM407v2:2:15925055:15927376:1 gene:Csa_2G350270 transcript:KGN62344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYYRLAMSSCSDSLKVLEEDVRRANTLAAAIPRAKHGSYLQMKLVYNQLAPFFLFLMQWLDCSCTCILPRYLNFFHILVYKVYTDGRPNISAHGRKATVKDFYAVILPSLQRLQADIEEFDSAKKKHAKSDNSSERRIQGDGKLSNGDLEREDECGICLEPSTKMVLPNCCHSMCIKCYHNWNMRSESCPFCRGSLKRIKSEDLWVLTCNEDVVDAEKVSKEDLSRFYLYISSLPKEYPDALFLVYYEYLI >KGN62915 pep chromosome:ASM407v2:2:19402930:19403619:1 gene:Csa_2G379950 transcript:KGN62915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNIIVPLPANLVTDVGDPGWMSKGDNAWQMTAATLVGLQSVPGLIILYGGAVKKKWAINSAFMALYAFACVLVCWVGWGYRLSFGEKLAPFWGKLNVALDQEYLLAPGFAGKFPTATMVFFQFVFAAITLVLIAGALLGRMNFYAWMLFVPLWLTFSYTFVAFSIWSPGGFFAKMGLIDYSGGYVIHLSSGVAGFTAAYWVCNYSLSFPIIINFLFFVGVFSFCSTFN >KGN63295 pep chromosome:ASM407v2:2:22083771:22085839:-1 gene:Csa_2G424740 transcript:KGN63295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSDCWFRLEVASPSKYAMAQAQPCSQLLHLNALLHMCLLHGFPLKMLFALYLPSFSLSLLCHIYPIPLPSIRNASRKPLLSIRNASRKPLRSIPTQTLRIFFLLRSLPRFLCLTLQPNSQPIAPSTPVNNRRSSSTAAYRRPLLTTYGASSSSRSRWTLPATTNGVNGKQTHDATAFDNSSVFPLFGLSEVGYNKRGFGSFWVRFNSEWFQGYSKTFQQRPKPILERLDAFRLVNSYLVS >KGN61300 pep chromosome:ASM407v2:2:6391646:6392231:-1 gene:Csa_2G077550 transcript:KGN61300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSVSFSAILLALLLVFSGETIMMRSVEGEGLCEKALHGGGCKDAECASGCKHVFGAGAMGLCFFFRTPSDTCLCRYPC >KGN62469 pep chromosome:ASM407v2:2:16638458:16641990:1 gene:Csa_2G354950 transcript:KGN62469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSLISLNHLFPEPGPHSWRTPYYKFIHFPPPFKSNHLDMASHSTHFQFAIFITIVILKFSSFPTVVSATLNLDTDKQALLAIKSTFQNIRPPNPLSSWNSDQTSSPCNWVGVTCTGDGKRVVGLNLTGFLLSGSIDPHLGNLSFLNSLQLQSNQITGQIPHQITNLFRLRVLNVSFNNLQGQLPSNISNMVDLEILDLTSNKINGRLPDELSRLNKLQVLNLAQNQLYGSIPPSFGNLSSIVTINLGTNSINGPLPTQLAALPNLKHLIITINNLSGTVPPPIFNMSSLVTLALASNQLWGTFPKDIGEKLPNLLVFNFCFNKFTGTIPESLHNITKIQVIRFAHNFLEGTVPAGLEKLHNLSMYNIGYNKFVGSDTNGGLDFITSLTNSSRLAFLALDGNNFEGVIPDSIGNLSKDLSKLYMGENRFYGNIPSTISNLQGLSLLNLSDNSLSGEIPSQIGKLEKLQMLGLARNQLSGRIPTSLGDLRMLNQIDLSGNDLVGNIPTSFGNYMNLLSLDLSKNKLNGSIPRATLALPGLSKILNLSNNFFSGPLPEEIGSLENVVTIDISNNHFFGNIPSSISGCKSLEALIMANNEFSGPIPRTFEDLRGLQILDLSSNRLSGPIPREFQQLKALQTLNLSFNDLEGIVPTELENITNLYLQGNPKLCDELNLSCAVTKTKEKVIKIVVVSVLSAVLAISIIFGTVTYLMRRKSKDKSFQSSELVKGMPEMISYRELCLATQNFSSENLIGKGSFGTVYRGYLEQGTAIAVKVLNMERAGSVRSFLAECEALRNVRHRNLVKLITSCSSIDFKRKEFLALVYEFLSNGSLDSWIHKHKLHADGSGLNLIERLNIAIDVASVLDYLHNGYDVPIVHCDLKPSNIILSEEMTAKVGDFGLARLLMEGGNNQSSSITSSHVLKGSIGYVPPEYGVGRKPTTAGDVYSFGVTLMELFTGKCPTHESFSGDLNLIKWVQLAYPKDMDEIMDTTLLESGSKLYYEEQEIDSTKQYDCFTDVMSVALCCTVDSPEKRSCMKDVLLKLQMIRATLIRSSNANE >KGN62596 pep chromosome:ASM407v2:2:17268826:17269333:-1 gene:Csa_2G361610 transcript:KGN62596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKKTQKTKEIAVAIAETSTSKLADDGIIGQPIQPRKRGRPRKVLEEQQNEQESKKPPPPLLEVAENPPEKKIKGTVDEAALGSMIEGSKEEEPKTGDGSRSRGRRKNKPRKSS >KGN62391 pep chromosome:ASM407v2:2:16175527:16178618:-1 gene:Csa_2G351720 transcript:KGN62391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSSSSFFTVSERICAAFIPLIAVVEALILAMTRCFDCSTESQKGLRRYDDLSLLASETRFSINEVEALHELFKKLSSSIIDDGLIHKEELQLALLKSPYGENLFLDRVFDLFDEKRNGVIEFDEFVHALSIFHPHAPMEEKRDFVFKLYDLRQTGFIEREEVKQMVIAILMESDMRLPDELLEAILDKTFADADTDKDGRISKEEWKVFVNKHPSLLKNMTLPYLKDITTVFPSFVFNTEVED >KGN61673 pep chromosome:ASM407v2:2:10800286:10804727:-1 gene:Csa_2G223150 transcript:KGN61673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDENSKKSKLSWSKKMVTKWFNIKSKSGCGDYQTDHGAYEDKSSKSIEHSQHGRMNIDDPCIDVQNYSIFVATWNVGGRSPPSNLSLEDWLHTSAPVDVYVLGFQEIVPLNAGNVLGAEDSGPARKWLTLIKKTLNNHPGTSGDKVCYMPSPIPEPFIELNDEFGESLRLKTSSYSRRRSFHTMNSGRPSNEQSTALPQFDRRFSVSNHVIFDHRPSDYESSFRWGHRLSNYARQSDYCGQSNDSRLSDYSKWGSSEDDGCHGDLTNETSFSPPLYNGSTSKEDEHKVLGRSRYSLVFNKQMVGIFLTIWVRSELKDSIRNMKVSCVGRGLMGYLGNKGSISVSLSLHQTSFCFICSHLTSGQKQGDELRRNSDVMEILKKTRFRCDRGLPHQKSPQTILEHDRVIWFGDLNYRIALSHRSAKALVEMQNWRVLLENDQLRNEQNYGRVFVGWNEGNIYFPPTYKYSNNSDQFSGDGMYWKEKRRTPAWCDRILWYGQGLHQLSYVRGESRFSDHRPVYGIFCAEEILNLWSIRSICFEVLYQIAAMMMLKLLELGWITEPQCFPTDVSQANEYAIRSMPPLFEIFDIRGTTSSYSGGNGLKNDLEMLECSIQKYCEPNASTGKPYIVLPFEAVGWSAGQFKPIPETGKFFFLFPIVAKQSKKVE >KGN61978 pep chromosome:ASM407v2:2:13304481:13314831:-1 gene:Csa_2G279170 transcript:KGN61978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKSNGPRNGDCSTRVIPGPTNPMVSPLLTDLYQFTMAYAYWKAGKHNERAVFDLYFRKNPFGGEYTIFAGLEECIRLIANFKFTEEEISFIKTSLPSSCEDAFYNYLRGIDCSDVEVYAISEGSVVFPKVPLVRVEGPVAIVQLLETPFVNLINYASLVATNAARHRFVAGKSKILLEFGLRRAQGPDGGISASKYCYIGGFDATSNVAAGRLFGIPLRGTHSHAFVSSFMSPDEIIDKSLRSSDGSRTCEDFLSAVQTWLNKIQWLPSLHGTFGETNQSELAAFTSYALAFPSDFLALVDTYDVIRSGVPNFCAVALALSDLGYRAKGIRLDSGDLAYLSCEARKFFQAIEKEFGVPGFGKINISASNDLNEETLDALNKQGHEVDAFGIGTYLVTCYAQAALGCVFKLVEINNQPRIKLSEDVSKVSIPCKKRSFRLYGKEGYPLVDIMSGENEPPPKVGERILCRHPFNESKRAYVVPQQVEELLKCYRSGKSGKAEECLPALKDIRDRCIKQLEKMRPDHMRRLNPTPYKVSVSAKLYDFIHFLWLNEAPVGELQ >KGN61996 pep chromosome:ASM407v2:2:13426202:13432993:1 gene:Csa_2G285310 transcript:KGN61996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNYLGDKKDERMKMRNRYRKSTALRCDAGSRCLISVVIGSLMGCILLLNLYSAISPADEIGQGIHLRTSHHLHFPELEEVEEENIQIPPPRKRSPRATKRRPKKTTTLIDEFLDEDSQLRHKFFPDKKASIDPMITGNDSMFYYPGRVWLDTEGNPIQAHGGGVLFDERSETYYWYGEYKDGPTYHAHKKGAARVDIIGVGCYSSKDLWTWKNEGIVLTAEETDETHDLHKSNVLERPKVIYNSRTGKYVMWMHIDDVNYTKASVGVAISDYPTGPFDYLYSKKPHGFDSRDMTIFKDDDGTAYLIYSSEDNSELHVGSLSKDYLDVTNVARRVLIGQHREAPALFKHQGTYYMVTSGCTGWAPNEALTHAAESIMGPWETMGNPCIGGNKMFRLATFFSQSTFVLPLPSYPNLFIFMADRWNPADLRDSRYVWLPLMVGGLVDQPLDYNFRFPLWSRVSIYWHRKWRLPQGWNSLK >KGN63153 pep chromosome:ASM407v2:2:20938000:20940586:1 gene:Csa_2G405040 transcript:KGN63153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPHFPELATRLSRAILSISNQTSPAGSWTPSLEQNLHRLGFRQMLNPSLVSQVIDPHLLSHHSLALGFFNWASQQPGFTHNSDSYNSILKSLSLSRHFGPIHSLLKQVKTQKIGLDLSVYRAVIDSLIIAKKTHDAFLVFNEVTSITHIIGSELCNSLLAALASDGFFEHAQKVFDEMSLKSIPFNTLGFGVFIWRICRNTDVVKVLNMIDGARTNNSDINGSVIATLIIHGLCEASRLEEASNILDELKNRGCKPDFLTYWILGEAFQSARNVVDREKILKKKRKLGVAPRLNDYKEYLFVLIAGRRIREAKELGEVIVKGNFPMDEEVSNVLIGSVASVDPYSAIMFFKFMVEKGRFPTLLTLRNLSRNLCKHGKTDELLEVFQVLCINNYFNDLDRYHLRISFLCKAGKVKEAYGVLQEMKKNGFDPDVSFYNSVLEACCREDLLRPARKLWDEMFAGGCCGNLKTYSILIQKFSKSNQIEEALVLYSHMLGKNVEPDIAIYTSLLQGLCQDSQLEAAFEVFSKSVEQDVNLAATLLSTFILCLCKVGHFLAASKLLRGLASDVAHPDSHVTLLKGFADAGEVSLAKQHVEWVQETSPSMLSVISTELLAFLPSSPKADPILEILQTVQELSRFSH >KGN61041 pep chromosome:ASM407v2:2:3564272:3570111:-1 gene:Csa_2G035410 transcript:KGN61041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLNVYSSEFAKKYSVVLPGDSKPPLFISSSTLFIFFLSIPSLSSSFSSISLPFHRQIHLPLCRFIRILIHFLSPILVVSFLKCYVKSTDSSVAQTRVCVNLHIVIEIRLLKQMGEVVPIYYSIIAFFCTAGAIALAIFHIYRHLLNYTEPTYQRYIVRIIFMVPVYALMSFLSLVLPSSSIYFNSIREVYEAWVIYNFLSLCLAWVGGPGAVVISLSGRVLKPSCCLMTCCLPPLALDG >KGN63117 pep chromosome:ASM407v2:2:20717001:20722248:1 gene:Csa_2G403720 transcript:KGN63117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSPTAKRDEERDLSKQNDGGESTVEIEQPLSVSGDSATQAKDQGLDEVVKDKNNDSKCEAQEETVEREIVQSEVDHEVEAKLDFQSKSEGEKSDQIISNGDSNEKLDEDKNVESESSSDDSDNDVVGSKAQIGSNQPTGEVIGEEKSPEPVFDGTEVPGIEGSGSLSNRSMDSDTESQGVVDRALALKNFVKEKGVVAVSTVLRRFSGKKDEESPDTPSEETKDDSGSNKENEAKEIPEKPLDRSNWNPLNYIKITRDADAQIKTEQFEDVTGDSIFDIVIKGRIVLYTRLGCQECKEARLFLFWKRLGYVEINIDVYPSRKLELEKLAGSPAVPRIFFNTVLIGGLNELKELDESGKLDEKIEYLKAEAPTLEAPLPPLSGEDDVSSSGTVDELAMIVRKMKESIVVKDRYCKMRRFTNCFLGSEAVDFLSEDQYLEREEAIEFGRKLASKLFFQHVLEENLFEDGSHLYRFLDDDPVVATQCHNVARGIIEVKPKPITDIASRLRFLSFAILEAYVSEDGKHVDYRSIHGSEEFARYLRIVEELQRVEVHNLAREEKIAFFINLYNMMAIHAILVCGHPVGAMERRKLFGDFKYVIGGATYSLSAIQNGILRGNQRPPYNLMKPFGARDKRSKASLPYVEPLIHFALVCGTRSGPALRCYSPGNIDHELVEAARSFLREGGLVMDLNNNATSVNMILKWFSTDFGKNEQEAMKHASNYLKPDDSQTLLELLASSQLKVLYQPYDWGLNC >KGN63112 pep chromosome:ASM407v2:2:20689120:20694810:-1 gene:Csa_2G403670 transcript:KGN63112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNRKFCNCAICENSNQASICTGCVNLRLNDYNSSLKSLRARRDVLYSRLSDVLVAKGKADDQLNWRVTRNEKLTSLREKLRRSREQLEQGKAEIEMKSFDLQLKYAMLESARSVLEKQRLEQLEKAYPDLISTKNLGHMAITSERLHKQSVVIKQLCKLFPQRRVLVRGEKEVGPGEPFDQICNVSLPRSLDPHSVEPYELSASLGYMVQLLNLVVQYLAAPALHTSGFAGSCSRIWQRDSYWNACPSSRSNEYPVFMPRQSYCSTSGENSWSDKSSSNFGVASLESERKPQLSSLENRSFNYSSASPHSIESHKDLQKGIALLKKSVACVTAYGYNSLSLDVPSEASTFEAFAKLLATLSSSKEVRSVFSLKMASSRSTKHIQKPIKSTWNVNSIASSMLFESGHSQIMKTNYESNLPSSASSYLYATEFSDTGKNDSSIEGWDLVEHPTFPPPPSQAEDIEHWTRAMIIDATKQ >KGN61017 pep chromosome:ASM407v2:2:3435827:3436418:1 gene:Csa_2G034700 transcript:KGN61017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCCLNLTSFIPALLLAAFSLTVFPSHGIPHENLVTICSKTSNPSLCEKILNNDSRTVSANLPKLSLICSNLAKKQADQNLDTFYKLSKNESDPEEKKSFEHCVKYYHEIQSNIQKAYQFSQQKIFRENGPLVASKRLVLKCSQTIRINSLYIEVMNKLMILCCDISISVNQCAAANGHHSVNV >KGN61281 pep chromosome:ASM407v2:2:6171550:6175412:1 gene:Csa_2G075400 transcript:KGN61281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDFSKYSHSPAHLAVVRRDYASLKRIVASLPRLAKAGEVNTEEESVAAELQADAVSAAIDCRDVPGRETPLHLAVRLRDQISAEILMGAGADWSLQNEHGWNALQEAVCTREEAIAMIIARHYQPLAWAKWCRRLPRIIASAARIRDFYMEITFHFESSVIPFIGRIAPSDTYRIWKRGSNLRADMTLAGFDGFRIQRSDQTFLFLGEGYSSEDGNVKLSPGSLIVLAHKEKEITNALEGAGVQPTEAEVAHEVALMSQTNMYRPGIDVTQAELVPHLNWRRQERTEMVGNWKAKIYDMLHVMVSVKSRRVPGAMTDEELFAGDDEERLVNGGENDEFDDVLTAEEKMQLDSALRMGNSEGLGEDEEHIAFESQENGSVGSYENSDPNGASKEKKSWFGWNKKSGKGSNDDPDDPKILKKVSKSAPEGANQKVVDHQKSASELSTEDMGDTKKGKDKSSKKKKKKGMTNDSKHESEYKKGLRPVLWLTPDFPLKTDELLPVLDILANKVKAIRRLRELLTTKLPHGTFPVKVAIPIVPTIRVLVTFTKFEELHPVEEFATPLSSPAHFQDAKSKESEGSSSWISWMRGSRGGQSSDSDSNRYKDEVDPFHIPSEYTWVDANEKKRRIKAKKAKNKKHKKQAAKGGNGGLQMSEEVEE >KGN61611 pep chromosome:ASM407v2:2:10167291:10168436:1 gene:Csa_2G178720 transcript:KGN61611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPLISPSTTPLHSSNPVSSFPIIAVAVIGICATAFLLVSYYIFVIKCCLNWQRIEILRRFSLSRRREQTLILRQQAEPRGLDPSTIQSIPLINYKKPINETTTTGGECAVCLTEFQTEEQLRKIPICSHLFHIDCIDIWLQNNSNCPLCRTSISNQNWLIPTNQAPSARDLALNTSIPISAGDENFVVIELGGNLDRCRPPELVSGEVAKSERKFKKVTSMGDECINMREKDEEFIVQPIRRSFSMDSSGDRQLYMAVQAAVREKSGGESGVEVEGCSSRVKRSFFSFGSGRGSRNAVLPIQFQP >KGN60497 pep chromosome:ASM407v2:2:59677:60002:1 gene:Csa_2G000060 transcript:KGN60497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFRFLFVKEAHWNGKVSKKRKTEVKSEEEGRTERERKEETHGAYQWQRCEGGVGAAADVSAPVRRQWRGQMERARQEVDGGRRRDRKMKKRENYTK >KGN61558 pep chromosome:ASM407v2:2:9649367:9654112:1 gene:Csa_2G170810 transcript:KGN61558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFVGLRCIRTLPLLFHPAALFSRRKKVLYFSVKASQSQTATQEKQRPMAISPHFDKQIIDKISKDYEAVIGIETHVQLSTLTKAFCSCPYNYGSSPNTNICPICMGLPGALPVLNSKVIESAVKLGLALNCSLSFNSKFDRKQYFYPDLPKGYQISQFDVPIASGGYIDLDLPIEYGGGHRRFGITRVHMEEDAGKLLHSESGSYSQEMTFSTVLSYFFQVDLNRAGVPLLEIVSEPDMRTGIEAAEYAAELQRLVRYLGVSNGNMQEGSLRCDVNVSVRPIGQSQFGTKVEIKNLNSFSSVSRAIDFEISRQVLLHSQGQSDQIVQETRLWEEGAQKTITMRKKEGLSDYRYFPEPDLPEVILTKEYVENIHDSLPELPEMKRRRYESMGLSMQDVLFLANDISVAEFFDATIARGAEVKLAANWIMGDIAAYMKNEKLTINDIKLTPQELAELIASIKGGTISGKIGKEILFELLAKGGTVKGLIKDKDLVQIADPAEIEKMVEKVLAENPKQLEQYRGGKTKLQGFFAGQVMKASKGKANPGLLNKILLEKLNAQS >KGN63133 pep chromosome:ASM407v2:2:20809805:20810107:1 gene:Csa_2G404845 transcript:KGN63133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSTGRQARLRNLYRNVADTGLRERRVVDGELIPAALVLVDVRNASCSSILLSESLSSSGGGEETNKSLSFRLARTSFSFFSGIKLWLSSWSWSWSSRS >KGN60707 pep chromosome:ASM407v2:2:1351990:1356361:-1 gene:Csa_2G008000 transcript:KGN60707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLLTFGVQETLKQAVTLVAKKIIASSEFKVVLEELKDDLLHAEWILHAIKTKHDHSLNDKITHWVNDLQLFVYEAEDMLDLFAYDDVERKIRSNKVEETTSLLENYVVGREMEVESIVQDVIEASQQQLNSILPVYGTGGSGKTTLAQLVFNDERIGKQFHHTVWVCVSQPFVINEILQSILKKVSKSNDNRSKDDKDTLIRNLKEVMGGKRYFLVLDNVWNENKMLWEKLKECLMSIVEELGSSVLVTTRSRKIAEMMKETLDTYHLNKLTDDQCWSVFSYFAKANAVPITSNLELVREEVVKKIGGLPLLARVLGEAAQFSGDYEKWVEILKSIPTTPLKYEESSYVKYILKLSVDRLPKASIKQCFAYCSNFPKGYWFDKKQVIKMWMAHGFTRPDEGNNETMEDTGKRYFNILLSYCLFQDADDDKWHIGRKFRMHDLIHDIACDVSSDKRLQLDHSSSSKWKENVCELPNSISKLKHLRYLDISRCYSIKKLPESIVGLYNLQTLRLFCLTNDLLPTNLRRMVSLRHLEILLMGIDLPPKFEMPPYLSELVQLQTLFAFAVGFETGRKISELGGLRNLKGLLKLHRLEHVESKEEAKAAKLVEKEKVEELHFVWSGQFPNQIFVENLVKITLIECGNCEKLPMLGQLTKYLEILVIFRLRKVESIGNEFYGNQRRSSSTVFPKLKEFYVDEMDSLVEWEEAVSNYNVKAFPRLECLHIISCKKLLKIPDVFGYCDEDDGEKHLKFVEFRFCDQLKELTNGIQSCHSIQHFVLDKGSNLWSFVTTTTRGGPLAHLLFQRPIIFFERRHGSFMNKRIR >KGN61963 pep chromosome:ASM407v2:2:13199208:13204736:-1 gene:Csa_2G277050 transcript:KGN61963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTRFHNPFNLSSSSLSRTCFPVPAFREYLVEISPCQRIGCNFGGKSACGRRKKLTQVKAAVTEAPPAEGAAGEISRSLPTKNVRILVAGGGIGGLVFALAAKRKGFDVVVFEKDISAIRGEGQYRGPIQIQSNALAALEAIDLDVAEEVMRVGCITGDRINGLVDGVSGNWYIKFDTFTPAAERGLPVTRVISRMSLQQILARAVGDDVIINDSNVVDFEDSGEKVKVTLENGQQHEGDLLVGADGIWSKVRKNLFGHSEAVYSGYTCYTGIADFIPADIETVGYRVFLGHKQYFVSSDVGAGKMQWYAFHKEPPGGADAPNGKKERLFKIFEGWCDNVTDLIQATDEDSVLRRDIYDRTPIFTWGKGRVTLLGDSVHAMQPNMGQGGCMAIEDGYQLALELDKAWNESVASGSPIDIVSSLKSYESSRRIRVAVIHGMARMAALMASTYKAYLGVGLGPLSFLTQFRIPHPGTFGGRFFIDLAMPLMLNWVLGGNSSKLEGRPPACRLSDKANDQLRKWFEDDDALERAINGDWFLLPQGGEASVSQPICLRKDENQPCLIGSVEKEVDSGLSVAIPLPQVSEKHARIYYKDGAFFLTDLRSEHGTWLSDHEGRRYRAPPNFPVRFHQSDLIEFGSDKKARFRVKVIRSSVENDREKVEMNS >KGN60603 pep chromosome:ASM407v2:2:652355:654484:-1 gene:Csa_2G003060 transcript:KGN60603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGYFGEMNNIEKRRSGSPSAAGGRRGRKGGGGEKPKQPQRGLGVAQLEKIRLHGEMGCAAYSHFYPNLSAGDDRRMQTTTTPNFSYSSTHESSASSPNSYGFHQNFMGMGEYERGSFRYGDSQLTTTSSLRWDPSNTFLETQHFGQPNMSGHLFNPHVQDSMIHKNINTKYGSDSMGSSSQNSESSETQELDLELRLSI >KGN62264 pep chromosome:ASM407v2:2:15492805:15494915:-1 gene:Csa_2G346050 transcript:KGN62264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGRKITLKSSDGEIFEVDEAVALESQTIKHMIEDDCADSGIPLPNVNSKILSKVIEYCKKHVESPKPEDRTGSVDDDLKTWDSEFVKVDQATLFDLILAANYLNIKSLLDLTCQTVADMIKGKTPEEIRKTFNIKNDFTPEEEEEVRRENQWAFE >KGN61302 pep chromosome:ASM407v2:2:6421105:6421455:1 gene:Csa_2G078060 transcript:KGN61302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWSSLFPTKLIKKTEQNPPPPHNANNSWLEICEAIQSTFSSRCTINPFLDNKTLIHVYDTTVAQFLYNHEDWESIRKFNLHFLDASLISSYLCQQTASYGGWIDVFDLPPILWNE >KGN62969 pep chromosome:ASM407v2:2:19690660:19692675:1 gene:Csa_2G382430 transcript:KGN62969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQTEKAFLKQPKVFLSSKKSGKGKRPGKGGNRFWKSIGLGFKTPRDAIEGTYIDKKCPFTGTVSIRGRILAGTCHSAKMVRTIIVRRNYLHYVKKYQRYEKRHSNIPAHIAPCFRVKEGDHVIIGQCRPLSKTVRFNVLKVIPAGSSAGGKKAFAGI >KGN60496 pep chromosome:ASM407v2:2:58932:60010:-1 gene:Csa_2G000050 transcript:KGN60496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSIKYTNEGVKTTTKKMVKEGEVFASCTFASLGLDSTLCDQLRDKMGFEVPTLVQAQAIPAILSRRHVLVNAATGTGKTVAYLVPIIHHLQKSARRTQRADGTFGV >KGN61738 pep chromosome:ASM407v2:2:11479495:11482315:-1 gene:Csa_2G235610 transcript:KGN61738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLNPEEKFSENHSEMEANQEAIINSESDGSSNSSLANLAFRFNAQAPEFFPRTQTQMPVTGYFHPYFHFLGGGPASSDWFFIGDQEPAYLIPNPNIQLPNFSKHARSEEIQQKIVKQVEYQLSDMSLLANETLAKHISKDPDGYVPISILSSTKKVKSLSTNNNFIVQALRSSSRLVSSVVEHSVLYSLLIIDQYLVPKVVSSDGKRVRRKVPFTDKDKEELLARTVVAENLPENHSHHNLEKIFSVVGSVKTIRICHPPESNPCCSKGELFVSNKLHALVEFETAELAERAIEQLNDERNWRKGLRVRPLVRRSPKSVLKNRKSEFDSVLDEDDSPSPVSVEESPLQNINDLNVDCNSEENSTSLKKSWGRGRGKGRGRIHGNLDRSYSLPVTSSLQTSGQVLSEASSKLTTKSPRMPDGTKGFTMGRGKPLSSKPLVNRLVD >KGN62074 pep chromosome:ASM407v2:2:14002987:14005706:-1 gene:Csa_2G295990 transcript:KGN62074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCLFFVNMNWAYLPTTSTRSCKFFSSISPNVQPMLPSFSSQNVKVKGRALANLLLAPVSNKSILYYRKVHCQVVLWGLQYDVFLSNLLLHSYFKIGSVFDAGTLFDKMPNRNLVSWSSVVSMYTQLGYNEKALLYFLEFQRTCVDKLNEYILASIIRACVQRDGGEPGSQVHSYVIKSGFGEDVYVGTSLVVLYAKHGEIDKARLVFDGLVLKTPVTWTAIITGYTKSGRSEVSLQLFNLMMESNVIPDKYVLSSILNACSVLGYLKGGKQIHAYVLRSETKMDVSTYNVLIDFYTKCGRVKAGKALFDRLDVKNIISWTTMIAGYMQNSYDWEAVELVGEMFRMGWKPDEYACSSVLTSCGSVDALQHGRQIHSYVIKVCLEHDNFVTNALIDMYSKCNALDDAKRVFDVVTCHSVVYYNAMIEGYSRQGYLCGALEVFQEMRLKHVSPSFLTFVSLLGLSAALLCLQLSKQIHGLIIKYGFSLDKFTSSALIDVYSKCSCIRDARYVFEGTTNKDIVVWNSLFSGYNLQLKSEEAFKLYSDLQLSRERPNEFTFAALTTAASILASLPHGQQFHNQVMKMGLESDPFITNALVDMYAKCGSVEEAEKIFSSSVWKDTACWNSMISMYAQHGKVEEALRMFETMVSNNINPNYVTFVSVLSACSHVGFVEDGLQHYNSMARYGIEPGIEHYASVVTLLGRAGRLTEAREFIEKMTIRPAALVWRSLLSACRVFGNVELAKHAAEMAISIDPMDSGSYVMLSNIFASKGMWGDVKRLRLKMDVNGVVKEPGQSWIEVNGEVHIFVSRDKVHDETDLIYLALDELTTQMKDVGCVHDTTILEMID >KGN62083 pep chromosome:ASM407v2:2:14041172:14044420:-1 gene:Csa_2G296080 transcript:KGN62083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSNPISLLTFLTLLFLLSPSSSAAPTADICQSTTSPPFCNSFLPKSPDSIHSHCRFTLHQALAHARTFLSLVNAQLNLLPSLSALHDCRCLAEANLDFLFQTFSIVNSTTTTLPYYDAHEMLSLISAIITNVDTCYEGLASLNSAVGLVDKVLEAISFDKKLYSLYLSLFKMGWVSKDLKAPTFPKMNHFGAGKGQLKLKMSPKDRAYYERLVHRNKPPGARRLLQTNYQDDGIVVNGIVGVDQNGMYDFTNITAAIAAAPNKTTVAKGYFLIFVAAGIYNETVLVPKEKRYVLLIGEGNNQTIITGNKNVVDGSTTFNSATVELAASPATKSYLGRPWKQYSRTVYMQSFIDSFIDPVGWKEWDGTLNLNTSYYAEFNNSGPGCDTSQRASWAVGVINATVASNFTVSQLLAGDKWLPPTEVPYTGGLIS >KGN62968 pep chromosome:ASM407v2:2:19684951:19685556:-1 gene:Csa_2G382420 transcript:KGN62968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKETSGPVLRPFPAGARFQSQFSSPGISSFAASTNFGFSSGSSIFLQNHDHDDHHHNHHRSVSPTRVNISSTPLSHSVRFSIGHRTGSPTLSNRNSPVSLPKKPCTCSPTTHPGSFRCSLHKKSGSGIHQHQASSSAYSSSGLNMRRSAMTNSLVRIGGVEGEWVKRALTALIRPSSHQLRRRANFRPQPSRLSVMSKAD >KGN62193 pep chromosome:ASM407v2:2:14959082:14964565:-1 gene:Csa_2G326460 transcript:KGN62193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFATTGVLFFIWFLSCTLLPLTMATFNFNLTVPNLLPDPETVAYQVQRSVNDSFFRRQMLSIHSKGQSNSCQTANPIDDCWRCDSNWQANRQRLADCGIGFGRDAMGGKGGQIYVVTDSSDPDPVNPRPGTLRYAVVQDEPLWIVFAADMTIKLKYELMMNSYKTLDGRGANVHITGGGCITLQYISNVIIHNINIHHCVPTGHTNIRSSPTHVGYRGKSDGDGISIFSSRNIWIDHCSLSYCTDGLIDAIMGSTGITISNNYFSHHDEVMLLGHDDGFTPDSGMQVTIAFNLFGEKLVQRMPRCRRGYIHVVNNDFQSWEMYAIGGSGNPTINSQGNRYIAPGNPNAKEVTKRVDTNAGDWSEWNWRTEGDIMVNGAFFVPSGEGLSNMYVKASSLPPKSAALVDQLTLNAGVFGGSRENRQSTSYPGDEASSSSSGSSGSDGGGDYFGMIFGGGGINNAPPPSLSAVNMIILTLFTVYDALLLTLSL >KGN62821 pep chromosome:ASM407v2:2:18786728:18788696:-1 gene:Csa_2G374630 transcript:KGN62821 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tonoplast intrinsic protein MAKIAIGSIHEASQPDCIRALIVEFIVTFLFVFAGVGSAMAANALLANALVGLFAVAVAHAFVVAVMISTGHISGGHLNPAVTLGLLFGGHITVVRSALYWIIQLLAASAASFLLTYLTGGLVTPIHTLASGVGYLQGVIWEIILTFSLLFTVYGTIVDPKKGALDGLGPLLTGFVVGANILAGGAFSGASMNPARSFGPALVAGDWTDHWVYWVGPLIGGGLAGFIYENFLIQRSHVPLPREEDGY >KGN63296 pep chromosome:ASM407v2:2:22092832:22094783:1 gene:Csa_2G425740 transcript:KGN63296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNNILVYIFFFLISLLLGLKLLLHHGKNLPPTPLFSLPLIGHLHLLKHPIHQTLHSLSKKYGHVFSLRFGSHLVVVISSPSAVRECFTKNDIILANRPFLNTGKHLSYNFTVLAVAPYGELWRRLRRISTCEIFSTSKLNSFSCIRQDEVKRLLHKLCNRNSVEELLLFSAVELEPILLDFTSNIVMRMVGGKRYFGDDVLDEGQAEKFRDVVKRVMLYAGATNPGDFIPLWNWIDPTGLEKKIMKVGEEADEIFQGLIDEIRNEEEDGNTMIHHLLHLQNTQPEYFSDQIIKGLIHVIFLAGIDTISVTLEWGLSHLLNNPKVIKKARLEIEHIVGQERLVNEDDLSSLSYLQGIILETLRLTPAAPLLVPHCASEDCQIEGYDIPRGTIIFVNAWAIHRDSSLWEDVTSFKPERHENAIELSDSYKLLPFGLGRRACPGVGMAQRVLGLTLASLIQCFDWERMDSSLVDMTEGQGITMPKAQPLVAKCKPRPIMRAHLS >KGN62957 pep chromosome:ASM407v2:2:19629898:19631058:1 gene:Csa_2G381820 transcript:KGN62957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFPNPFSLIFALLLCLSLALFFTINTPTTTINSFDYPFIFPFQSLYTSNIHRKITPSPPSPLPPEDDDLLFPLAAGVNSTPSPTHKLAFLFLTNSPLPFAPLWELFFENIPPDLFNIYIHADPTRYYDPPFSGVFANRVIPSKPTQRNSPSLSAAARRLLAHALLHDSANSMFALLSPSCIPLHSFNFTYKTLIRSKKSFIEVLKSELGAYDRWAARGPDVMLPVVKLADFRIGSQFWVLRRRHAWIVVRDKTVWSKFDLPCVRLDTCYPEENYFPTLLSMWDRRGLVPATLTHVNWNGSVDGHPRTYVASDVGPDLIRGLRTARPRYGDGGRRMKVRIGTRRGGGRIVSVGTYQRQHPFLFARKFSAHSLHRLMNITSDFIFKD >KGN60893 pep chromosome:ASM407v2:2:2629476:2631001:1 gene:Csa_2G021640 transcript:KGN60893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLKCCLSLCALLHCLLPLLHSVHCDVDLEELFKGFNIYRNSKDRSALAQNSKAKCIAEQIAKNWYNKLPCSAAIEGDVLLPFNQSQLPMSHYSRKCEVNLNHTVDGVILPVYVPNSADKLMLTNCTHSQAPKYLDNATYTRVGLATNEDCLVIALGTDAISGSYSAGLSLVPHIGWVYWLGFLFLSILCFGEGEKLLCSFIL >KGN61469 pep chromosome:ASM407v2:2:8538265:8538598:1 gene:Csa_2G138730 transcript:KGN61469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCSISVVGEALLPYGSTSFITAQGRTNPNDANGFVFKECNVFGSGSAYLGRPWRAYSRVIFHNSNFSNIINPNGWDPWQFVGYE >KGN60892 pep chromosome:ASM407v2:2:2624786:2628475:1 gene:Csa_2G021630 transcript:KGN60892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVKARAPGKIILAGEHAVVHGSTAVAASVDLYTTVSVRLPSSSDENEIVKLQLKDLELEFSWPVSRIREALGVFVGAISSPTTCPAECLKSIASLVEDQNIPEVKIGLASGVAAFLWLCSSILGFVPVEVAITSELPLGSGLGSSAAFCVALSAALLALSGSVNVDREHHGWMVHKEDELDLLNKWAFEGEKIIHGKPSGIDNTVSTYGSMIKFRSGNLTLIKSNMPLKMLITNTKVGRNTKALVAGVSERAIRHPDAMKSVFNAVNSISDELSILIQSPIHDDVSLTENEEKLAELMEMNQGLLQCMGVSHASIETVLRTSLKYKLISKLTGAGGGGCVLTLLPNLLSGKVVDEVIAELESCGFECFIAGIGGKGVEISFSDLS >KGN62848 pep chromosome:ASM407v2:2:19000406:19007668:-1 gene:Csa_2G377350 transcript:KGN62848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSMAPSPAVVSAPDSDQSNSLHNVFVYGTLLADDILRVLLKRIPQSSSAVLHGHQRFSVRGRVYPAILPVENERVTGKVLFGITNPELYILDMFEDVEYEKSVVEASLLDGSEKITALTYVWNNNSYPDFLYGEWNFEEWKQSRMDEFVQMTSRFVEELQLPEPKSRVATYESFYHKEGNDSPLIT >KGN61878 pep chromosome:ASM407v2:2:12575331:12575688:1 gene:Csa_2G258740 transcript:KGN61878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVPLLCLVPHAKKILKMQSSFTKNQLDVPKGHVAVYVGEIQRKRFVVPVSYLNDPSFQQLLSRAEEEFGFHHPHGGLTIPCKEDAFVDLTSRLKVA >KGN63373 pep chromosome:ASM407v2:2:22697033:22697392:1 gene:Csa_2G433390 transcript:KGN63373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLHSRIRKKAKDIIRKRFGGQHGETYPEFIEWLRKVDNKLSNNISQEIENEDEDGWDKKVFNGSCHNLAQRHQLGRQTKKELNLSIQQGKEFINQFHARHEFVSCIILPSFAGKMGY >KGN62727 pep chromosome:ASM407v2:2:18092843:18093259:1 gene:Csa_2G369780 transcript:KGN62727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASESKTERKPKILCLHGFRTSGAILRKQVQRWPTSVLHQFHLHFIDDSIPSKGKSDVEGIYDPPYFEWFGTSEDPTNYENLESSIEFIESYMLEHGPFDGLLGFSQVTKR >KGN61432 pep chromosome:ASM407v2:2:8103724:8108033:-1 gene:Csa_2G121970 transcript:KGN61432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVTEDMSEDLSEGEKGDTVSEIVQNETPKESFQRTSSNLEVWSEDKKERKLYIILISLHGLVRGDNMELGRDSDTGGQVKYVVELSRALAQMPGVYRVDLFTRQILSTEVDWSYGEPTEMLTTGIDDGDGDVGESSGAYIIRIPFGPRDKYLRKELLWPHIQEFVDGALAHVLNMSKALGEQIGGGQPVWPYVIHGHYADAGDSAALLSGALNVPMVLTGHSLGRNKLEQLLKQGRQSKEDINSNYKIMRRIEAEELSLDAAELVITSTRQEIDEQWGLYDGFDVKLEKVLRARARRGVISHGRYMPRMVVIPPGMDFSNVVVPEDAPDVDGELTQLTSDGSSPKAIPAIWSDVMRFLTNPHKPMILALSRPDPKKNITTLLKAFGECRPLRELANLTLIMGNRDDIDEMSAGNASVLTTVIKFIDKYDLYGQVAYPKHHKQYDVPDIYRLAAKTKGVFINPALVEPFGLTLIEAAAHGLPMVATKNGGPVDIHRALNNGLLVDPHDQQAIADALLKLLSEKNLWNDCRKNGLKNIHLFSWPAHCRTYLTRVAACRMRHPQWQTDTPGDEISTEESFNDSLKDVQDMSLRLSVDGEKTSLNASVDIAASTDDPDLQDQVKRVLSKIKRSGNESTETEKGNKMLENAPGKYPILRRRRRLIVIALDCYDSNGAPEKKMIKMLQEIIKAGRLDTQVARVSGFALSTAMPLAETSEFLKSGKIQLTEFDALICSSGSEVYYPGSYTEEDGKLYPDPDYASHIDYRWGYDGLKKTILKLLSASEEDSDKFRSPVQQDGKSSNAHCISYLVKNPSKAMKVDDLRQKLRMRGLRCHPMYCRSSTRMQIVPLLASRAQALRYLFVRWRLNLSNMYVFLGEVGDTDYEEMISGTHKTIVMKGVWNKGSEELLRTSGSYARDDIVPGESPLVAFVNGDANAEEIASAIKQVSLSASKI >KGN61209 pep chromosome:ASM407v2:2:5394980:5399062:-1 gene:Csa_2G070270 transcript:KGN61209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKYGLQLRVKPSQQKQPTRPPLPAPLGFQDDDDDDVEREISRQASKNKALKDIEEQHKKALEEDPSVFDYDGVYDEMKEKVVQPRAYDREERKPKYIQNLMKKAQEREREQEIIYERKLAKERSKDDHLYAGKDKFVTGAYKKKLAEQAKWMEEERLRQLREEKEDVTKKSDMSDFYFSLQKNVAYGARNAIEPTAPPKKLQLEKKEKQTEVHILEKHEESCNGDTFNDHLLQNSNLPSCSEKTIEEHPHLEERLPFSNKTTPSNIEGTSPPTLHDKTPVQEQPKHEQSEQPPKSDHHKRNEDAVAAAKERFLARKRAKEV >KGN61668 pep chromosome:ASM407v2:2:10738392:10742080:-1 gene:Csa_2G222110 transcript:KGN61668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKTATDPWRVEPPHPLSSAVYRPPDTPLEPMEFLSRSWSVSALEVSKALAPPRLTLSKHPTTGNGLIATVAAGGGGVILEDLTGELDEGTNFSGNPFSFASSETSQMVMERIMSQSCIWSFGVFCIWVFLFSPSRLLSLKPLIEPSKNINGVFEIYDSPPVSPSEIDDVKCGRSNIPLSNQYRVTATGGSTTAGGGKTVGRWLKDRKEKKKEETRAQNAQLHAAISVAGVAAAIAAIAAATAASSGSSKDEHKAKTDIAVASAATLVAAQCVEAAEVMGAERDHLASVLSNAVNVKSAGDIMTLTAAAATALRGAATLKARALKEVWNIAAVIPVDKGMGVAENASNGTSNSSFSGELVPEENFLGICSREFLARGCELLKRTRKGDLHWKIVSVYINRMNQVMLKMKSKHVAGTFTKKKKNVVLEVIKDMPAWPGRHLLEGGDHRRYFGLKTVLRGVVEFECKSVREYEIWTQGVARLLSIAAEKRGGRVVV >KGN62764 pep chromosome:ASM407v2:2:18392225:18408890:-1 gene:Csa_2G372110 transcript:KGN62764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNRNVEPSQLECGAPPPPPPPPPHDPSAGSRAGNTVFKSGPLFLSSKGIGWTSWKKRWFILTRTSLVFFRSDPNAVPQKGGEVNLTLGGIDLNNSGSVVVKSEKKLLTVLFPDGREGRAFTLKAETLEDLYEWKAALENALAQAPSTAHGNGILKNDKIESNDGSSETLKDNQPQPVRPRVLGRPILLALEDVDGTPSFLEKALRFIEGHGVKVEGILRQAADVDDVERRVRDYEQGKNEFSSEEDAHVVADCVKYVIRELPSSPVPASCCNALLEACKTDRGIRVNAMRSAICETFPEPNRRLLQRILMMMQTVASHKAENRMSSSAVAACMAPLLLRPLLAGDCEIETDFDVGGDGSIQLLRAAAAANHAQAIVITLLEEYGKIFGECSMSPIMYSDSDESGSESEEATDDEMSYDDEDQDDVTGSDAETGDELESSGTCSGSVASEDHEYDDKGSEVSSSSSKNSDACQVNGTKPKLSSSSPKTSLPQRGEVQNKESIQNKDDPGKDNSPIKDEKPSAVECASNEANMTNKLDVCPSSSFEGSPTTSNKTSHVSSRRLTVWGRTPHILFFHVPAGSLTSFYFLIYVQAKKNLSMESMDYDFGEEVEIQRLEATKGELQNKILEEAKENAALQSSLENRKKALQERRLTLEQEVARLKEQLQKERDLRMALETGLKISQGPLPNLANISEKTKADLEEIDQAEKDIANLNNMVNAYGGQLDMLRDQKNNLSPDSRNVSQQEQNHQTKSKDKKKDAGAFGPSHSEHSRNKDVLSGQAENDNEKKMESSSSASKHPPLHQHPDPRNATVRSLGLPTNSSASETLPARPTAPKRTGARIEGPNHTSSALTKLTTRLNFLKERRSQIANELQNMDRGRVSSQPFENLDKSRGLEAQRSLQNSDETQGSSDVQSMRNPETSRAANNLLSIQDSDKRAGTDNNNQSRKSDADKGTRTGGQNQNTLDRGKSENHMTINTEKGTGQDSSRFTTSRTVTR >KGN63377 pep chromosome:ASM407v2:2:22742279:22748125:1 gene:Csa_2G433920 transcript:KGN63377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKSFKLTRSNLSSTSDVSDAQKQPMPQTVTFARRTSSGRYVSYSRDDLDSELGSGDFTNYTVHIPPTPDNQPMDPSISQKVEEQYVSNSLFTGGFNSVTRAHLMDKVIESEATHPQMAGIKGSSCQVPGCDAKVMSDERGNDILPCECDFKICRDCYVDEVKSGNGICPGCKEPYKNKDIDEATAEHGRPLPLPPTRTMSKGERRLSLMKSTKSMMVRSQTGVGDFDHNRWLFETKGTYGYGNAIWPKDGVTGNGSDKDDEPGEPKEFMNKPWRPLTRKLKIRAAVLSPYRLLILVRMVVLGFFLAWRVRHPNTDAYWLWAMSVVCELWFAFSWLLDQLPKLCPVNRATDLNVLKDKFETPSPSNPTGKSDLPGIDVFVSTADPEKEPPLVTANTILSILAADYPVEKLACYVSDDGGALLTFEAMAEAASFANTWVPFCRKHDIEPRNPESYFNLKRDPFKNKVRSDFVKDRRRVKREYDEFKVRINGLPDSIRRRSDAYHAREEIKAMKRQRQNVGDNEPLETIKIPKATWMADGTHWPGTWMQPSAEHSKGDHAGIIQVMLKPPSDEPLHGTADETKLLDLSDVDIRLPLLVYVSREKRPGYDHNKKAGAMNALVRASAIMSNGPFILNLDCDHYIYNSQAMREGMCFMMDRGGDRICYVQFPQRFEGIDPSDRYANHNTVFFDVNMRALDGLQGPVYVGTGCLFRRIALYGFDPHRSKEQHPGCCSCCFGKRKRHASISNNPEEHRGLRMVYCFLPALSLFSGQFIVQTLNVTFLTYLLVITITLCLLAVLEIKWSGIELEEWWRNEQFWLIGGTSAHLAAVLQGLLKVIAGIEISFTLTSKSGGDDVDDEFADLYIVKWTSLMIPPITIMMINLIAIAVGVSRTIYSTIPQWSRLIGGVFFSFWVLAHLYPFAKGLMGRRGRTPTIVFVWSGLLAITISLLWVAISPPGDTNQIGGSFSFP >KGN62556 pep chromosome:ASM407v2:2:17092053:17096445:1 gene:Csa_2G360730 transcript:KGN62556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKVGDSSNKWAEDIYWSHFQFTHFYQILAGGCEQQLVIPNKFSNNLRNKLPDTVTLKGPSGATWDVGLTSSDDKLYFKRGWQEFVKAHSLEQNDLLVFRYNGMSQFDVLIFDWKSFCEKEASYFVKICENKKIDSGGQAKRKFREPSLDGFDANINNGAECDSSENIIHDDSMERMIQDVVSKATNFNGQPEVFSAEPIRTVQTRRKKKTPNKTAIISTPDPVQLSDSDVEATPIKKIGSYVEQYVSHRRAITEGEKNNALKLAEAASSDTGFKVVMRPSHVYRRFFLFIPTQWVTKNLSLRNQDIILRVGSDEWPTKFNFTPSRQCGGLTSGWKHFSVDNNLEEFDVCVFEPVNKSSGPVVLEVKIFRVVEDVIPLTRVASKGNRKPTKAPEA >KGN61939 pep chromosome:ASM407v2:2:12992527:12998250:1 gene:Csa_2G270820 transcript:KGN61939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSRPLLKNQQELPASSGKAPRVVSLDVFRGLSVFMMMLVDYGGSFLPIISHSPWIGLHLADFVMPWFLFIAGVSVALVYKEVESKVAAARNAACRGLYLFLLGVFLQGGYFHGITSLTYGVDLESIRWLGILQRISIGYLIAALCEIWLTRCTREEAQHTKSFSWHWCIIFFLLSLYMGLSYGLYVPDWDFKISAPSSSLPLSGSYVYKVNCSLRGDLGPACNSAGMIDRYVLGIHHLYTKPVYRNLKECNISSSGQFPETSPSWCRAPFEPEGLLSSLTATVACIIGLQYGHILARAQDHKTRTNGWFLLSFKILAFGIFLVFIGIPVNKSLYTVSYMLITSASAGIIFCALYILVDIHGYRRLTCALEWMGKHSLSIYVLVISNILVIGLQGFYWKSPNNNIVSTKQWSYLFVCLFGEKGGVFYFSQ >KGN60750 pep chromosome:ASM407v2:2:1614211:1619206:-1 gene:Csa_2G009380 transcript:KGN60750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVTDAEQVGTERPSSGVNNTKPWPMHCEFLQNQMGNPDKESALTGTSDRTRSGNSFPLESISEDAAVIDKKENPTTFAPALRSGEWSDIGKRPYMEDTHVCIHDMAKKFGCSFLNEEAVSFYGVFDGHGGKGAAQFVRDHLPRVIVDDSDFPLELEKVVTRSFMETDAAFARSCTRETSLSSGTTALTAMIFGRSLLVANAGDCRAVLSRQGCAVEMSKDHRPCCTKERKRIEALGGFIDDDEYLNGLLGVTRAIGDWHLEGMKEMSERGGPLSAEPELRLMTLTKEDEFLIIGSDGIWDVFTSQNAIDFARRKLQEHNDVKICCKEIVEEAIKRGATDNLTVVLVSFHLEPPPPVVFQRPRFRRSISAEGLQNLKCLLEG >KGN63202 pep chromosome:ASM407v2:2:21242475:21244961:1 gene:Csa_2G408970 transcript:KGN63202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTQFVDKNNNVSCQETSCTNNSSSSCPSCGHQIKTRYKGGIHTLPGLPAGVKFDPTDQELLQHLEGKVGCDTHKLLLHPLIDEFIPTIQWEHGICYTHPQKLPGVRKDGLVRHFFHRPSKAYTTGTRKRRKVHADADDARGEGETRWHKTGKTRPVIVGGRVKGYKKILVLYTNYGKQKKPEKTNWIMHQYHLGSSEEEKDGEIVVSKVFYQTQPRQCGNNSLQKESISLKFRGQKSHNNNGVHDHNNNLNTNPLVDFYNPSFISFESNDQNGSSSPHNSHLIPSFAVHGGSSVVS >KGN61793 pep chromosome:ASM407v2:2:11945046:11947761:-1 gene:Csa_2G247050 transcript:KGN61793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSSHTYCSNVTVSECCYCNHKDWLEFFAYLLLHLLFSSSQRMNRRSNESS >KGN62887 pep chromosome:ASM407v2:2:19224557:19228692:-1 gene:Csa_2G379180 transcript:KGN62887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNGIGLQTPRGSGTNGYIQTNKFFVRPKTGKVAESTRGFEEDQGTAGVSKKPNKDILEHDRKRQIELKLVILEDKLNEQGYTEKEISEKLREARENLEAASGSEEKDGSSAIVLADKRVSDTQTHQIAARKEEQMKTLRAALGLGSLDDSEQVKDEISDPSRNRREGQNADMKRHEKSEHSFLDRDLNWKKRGTEDQYDDKDVKKGASKEMKQKDKKRRSKDDSSDTDSGERKGTKKNLRDSRRNDSESDLDIDVNNKYVASRNSKKNRRHDSDDSSETDSGGEHKVTKKHSRNKRKDNLETDSDSDLDQKYLTSRKHKKNRRHDSDDSSDTDSDGEHKKTKKSVRNNQRGHGSDLDSDVDKKHTSKKQKKSTRHDSDGSDSFTDGDKIGMDSHKKKGSGRHESHKVKKQRSRKQDSTDETNSDSGIEDKHRQLKHKSQHGKRYGESDSSDHDSSDSDVGRMKSTHRYHSKHTGKSRVNSESDSEKSRKYPNKDDRRRRHDIDDEKSGDNRSSSDELVKRRRGRRHNIDDSSEEEGEYFGRSGKIATKGKIDAKRQHDSNNSDDSLAVHRKGDDDHKKAKKYLSGDGFNLEKGGKLSSGARERGKGNLDHAEGRRHNTDDKSEEEGEYLGRSGKIATKRKIDGKRQHDDSENSDDSLAVKHKRAKKYSSDDSDLEKGVKSTDGARERGKNHADGLYKFKKDSINELNHASQRTDKMNGKRKLDEGPEIEQEPESKSRNRNSDPKKDIKHDSESSRRSRSGRYDDTRDGRYREDFKIDSESNTRSRYSAQIEDDDRKSIRTGSRYSEETEHGSRHHRKANESHHHRRTDQDTEEEKRHSRYEEPRGRKHERDEGLKSSREVERGEYQPSSRQRSEKDYETRESTRDREDSRKRPKYESRSSRRDNH >KGN60847 pep chromosome:ASM407v2:2:2299503:2311612:-1 gene:Csa_2G013280 transcript:KGN60847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRMRPVYTRQKSNAGTPLAPASPLVSSFPHHNRSGSTGLANSRRGQNNAAKAAAQRLAKVMASSADDEDEEDDLSFDYSLASGTGSIGLAGGRSVRARSPMQSFRTIQEQPTSGHAGSIGRASQTVNPTEQSLSGRSTLGYRPAHSDNNVEQPLITRTSTSGRSSHLGNSIEQTPSTRSTSISRPNLGVRTVPLVPSSVSISLKPTLPVTPKEGQSDTRTSLRPALPVTPKEGQVDTRASHRPALPVTPKEGQFDIKTSLRPALPVTPKEAQFDSKISIRPSFPVTPTEGQLDTKRDKRLSLDMGSINFRDTSNQPSSSDLQDELDMVQEENETLLEKLRLAEERCEEAEARARQLESQARPRKKFFWIRKEAALQQREAALRVASQSHGSRGTHHIAALKTEAETARDEATSALEHLDEAEAELQSLRIMTHRMILTKEEMTQWFPILDLLELSANCIHAEVAGARYEYWSSFTSSPVEVVLEAGKKAKEVTASNDLEGRENQRDLNEFSSESNVESMLLVERGLRELATLKVEDAVALAMARDRRANLLKPDEAKLPIEGQFEAFELSPEESEDVAFKQAWLTYFWKRAKLDELEPDIADERLEFWINHTNKSTSHDAVDVERGLIELRKLGIENQLWERSRRGLEVNPNRRPHQSDF >KGN60845 pep chromosome:ASM407v2:2:2296219:2297461:1 gene:Csa_2G013260 transcript:KGN60845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIGRTKTSAFLLNFHHFLGQYSSIHSISPSQTLQDSIKAAVESKSYKKLPDLFPSLQEACHNPNLFLFLSTFPLNLRTQVVDEILQSLISIRPRSKSQFVHGSLLFYTLQSPSPFPLSLPVLQRILHSGCVPSPQTHFLLSSAWLKQRSQAKSVANILMDMQSIGYKPDSNICNYLISSLCSIGELAEAFQVLKGMGGAGCIPDLESYGTLIHAATAVRRTDKAVELLKEMVVKVKLMPRQGTITNVVAALRTNREVRRAVEIIELLERDSHDVGFESYELLVEGCLECREYILAGKVTMGMTDRGFIPYIKVRQEVVEGLAGIGEVELASAVRRRFVELGS >KGN60934 pep chromosome:ASM407v2:2:2860464:2860937:1 gene:Csa_2G028460 transcript:KGN60934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEVGSAEYKELESKPEKAYLKTVNSMLQTLLGVSLIEILSRHASDEVYLGQRASIEWTSDKAALEVFENFGKQVFEVESRIIERNKDVNLKNRNGPVNVPYTLLLPSSTEGLTGRGIPNSISI >KGN61182 pep chromosome:ASM407v2:2:4990941:5009352:1 gene:Csa_2G061590 transcript:KGN61182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWFSFWRSRDRFSLEELRYLTDQLQKIHIVNEVNKDFVIEALRSISELITYGDQHDASFFEFFMEKQIMGEFVRILKISRTATVSLQLLQTMSIIIQNLKSEHAIYYLFSTEHMNKLITYAFDFRNDELLSYYISFLRAISGKLNKNTISLLVKTQNDKVVSFPMYVEAIQFAFHEENMIRTAVRALTLNVYHVGDDYVNRFITSPPHAEYFSNLVTFFRKQCIDLNELVNETMRSTEPSTSTILAAVDEIEDNLYYISDVISAGIPDVGRLITDNILRHLIFPLLLPSLRIEVVNGFQIGAATSLYLLCCILRIVKIKDLANTISAAFFCPLDAFSPQPEERVNGNMTRLNCESRSQSSGSDGIVRQPLDAESLRQEVSDPSTPKTELEDATVKNGFPGSRLELRGALLSHITTGDDIQVLGALSVLATLLQTKELDESMLDALGILPQRKQHKKLLLEALVGEDSGEEQLFSSDSTSVKGGIDIELDGYLQKLKDYGISYFLKAGASPRAHRFEVLDALVSLFCRSNISAEILWDGGWLLRQLLPYSEAEFNSHHLKLLKDSYKYWATELLQEARGIWSDFLIILLSDEWKKCKRAIEAPSPRKEPKSMLLHSAKASVVDAVPPESSFAAGQKMSELVKVFVLLHQLQSFSLGKALSEQPCIDPPSEISDCSRAKVAGLDASGPKPGAELRLDGAVPCRIAFERGKERHFYFLGTAIGTCGWIILAEEQPSKLNCGIIRVAAPLAGSNPRIDEKHSRWLHLRIRPSTLPFLDPTKHGTPLKLKAKPFVDGRWILAFQDDDTCKSAFSMVLEEINLQSKEVERRLKPLVGLERAVDSSDAFLCSTKSLTSNTAPNLM >KGN62926 pep chromosome:ASM407v2:2:19483065:19484632:-1 gene:Csa_2G380060 transcript:KGN62926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSSEIGVHKGKAKRVHNNNIPHISEAKQHLRDNVVISIQQILNQMHPASMDCSIYRVPQQLCDMNPKAYIPQFISIGPFHHSKCQNNSKGTQKYKHYGLFNFLRRINNTEIKEEEDVMTKSRSFQPKTLKVLVEKVHDWVEKTRYCFSEPIDYMDDHNFVIMMLMDACFIVEFFIQMYDDQFDQDDQFPLISDKVNILSLFPEITDFIMFDLIKLENQVPFFLLQHVFDMIPRHNLHVSFIDLTYCALRIGFIFNYKIYSFDYDFVKKDLKHLVHFLSLYFIPPPTTNDMIQNKKKNNYSFLSFFSRLLCCELCQNPDKENSDQEFLSPPSITELNESGVTVKKAKNVESLMNITFTNGVLEIPPLNICDEFELLFRNLVAFEQFQAGNGKMYATQYIIFMDNLINTKKDVRLLVNSGVIINNIGGSYKEVSKLFNKLGKFISGSPTSSEFNDISKDLHKHCNRRWNKAKASLKHNYFNTPWAIVSFIAASCLILLTLLQTIFSGFSAFP >KGN60547 pep chromosome:ASM407v2:2:305950:307217:1 gene:Csa_2G000550 transcript:KGN60547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKLHQLQSKACQATQFACKHGSSYYKQLLEQNKQYIQEPATVEKCSLLSKQLLYTRLASIPGRYESFWKELDYVKNLWKNRQELKVEDAGIAALFGLECFAWFCAGEIVGRGFTFTGYYV >KGN60857 pep chromosome:ASM407v2:2:2442323:2442727:-1 gene:Csa_2G015330 transcript:KGN60857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDILTSLLAKFAECTIEPVGRQLGYVLFIRSNFQKLKTQVEKLKITRELSVQHKIQTARRNAEDIKPTVEEWLKKVDDFIRESDEILANESGHGRLCSTNLVQRHKLSRKASKMTHEILEMKNEGESFDTVSNR >KGN61289 pep chromosome:ASM407v2:2:6260366:6261710:-1 gene:Csa_2G075970 transcript:KGN61289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQVYFTCEGKGWGLRTLKDLPKGSFVCEYVGEILTNTELYERNLQSSGNERHTYPVTLDADWGSEELLEDDELLCLDATYHGNVARFINHRCSDANLIDIPVEVETPDRHYYHLAFFTSREVKALEELTWDYAIDFDDEDHPVKAFKCCCGSPFCRDAKKKRKATLV >KGN61967 pep chromosome:ASM407v2:2:13225799:13232792:1 gene:Csa_2G277090 transcript:KGN61967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRVASNMKLSEWKQSNLCKAHEQLHSEASSFLLFSLQWKDLETHFESTREMILTQCEEVERREKAIALKEEKLVDLEKCILECSKEVELRKNELSELNRLIVKCDSAVKGKEMELELMHERLGVLSKDIKMKEDELCRACRRLSDLEKEFEEKEKDFEMVRERIDDCEHAMELKEQKLNGVMQLIEERLMECELKEKSVESIRALLRNHEEELAIKEKQFDAIQMAIKDSNGELKLKEKELETIQNMIATKWKEKRLDKIEKTIKVRTEELDLKEQEFDVMWSKLGALSEDLLSKESELESIKSCIKEHSKELDVQEKQLDGTQQSIRDCQNAVMMLTNYVSTIEKAIIECSKEWELEENHHHSLKETVDGNSNDFSSVVEQHGSISLTVDKCLEGLKSQKEHFNALRKFIEERSKYLENVENNFKRRMEELNKKDEKVSLYLKEIESLKADMDSQILLLEKDREELRLKEIQHKAPDEELESKEKEINLVRALIQKCNEKVKLIDDPNNLHLQVKTEESGCKPAGSSNTLHFPTGSALDGKLLLALLCEHLKLHDLVRAELMITLKASSDPAKLVLDAMRWFYPTHTESKDAKIDFYNVKRGCIFLSELLLNFSPKITPPLKEEALRLAGLWKAKLVMPVENHAEVVAFLLLVANFRLASNFNAGELQILLNSVSQYKQAFELSRALGIGDKSSEVNATPTPSLVELEQPNEGLVFSSKNEQLSMEPNEKRLYVLLNKKRLTGSKLIPSVILSILKQSLDPAKLVLDLIQGSFHQHLKKEQLGFKENFLTWSTLLLKQLKQISPSIGPKEREDAMKIAIDWKQNMRSDTNGSMDAVGFLQLLVSYGLTTSFSGDEILKLFENIVHHEQASELCLMFGYKQQIQDIVQNLIGTKQVVKAVRFVCGFKLEFFRPVQILNEYLRDVRNATVLASKKNQGQKDVPTAIAMDEAIDKEIDAVKSVISCIADCNLSSEISSQVLETRVVSLEEMRRLKFNSNGQPTSLTTSKPQPSKAYTEAQCSNPTKVLPNWEKSDVPQSHPKHHQFRKHPSSTHKPHQQHQGPQKMQKKRKFQKSSMRHPRKQPCQTRPVFLSSLPRVHDETSMFQRYNSRFMGMHGLFGLHEGDCESPKHGNHYPRSTRPLT >KGN62841 pep chromosome:ASM407v2:2:18953858:18957538:1 gene:Csa_2G376790 transcript:KGN62841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVSSGNNLMTEKEDGKIRSEVKKKNTMTTESDRHRRHPQDNQTQDQEHQQDKDQTLPPGFRFHPSDEELITFYLLNKISDANFTGRAITDVDLNKFEPWELPGKAKMGEKEWYFFSLRDRKYPTGVRTNRATNTGYWKTTGKDKEIFNSNTSELIGMKKTLVFYKGRAPRGEKTNWVMHEYRLHSKTAFRTAKDEWVVCRVFQKSPGAKKYPPNQSRAVNPYVNLEIPSSLLPPPIMQLGDPSATQYGYGRNYITSTELAELNRVLRTGGSGGSNGGSTQGINLSMQPQFNYPNTGGCFTISGLNLNLGGGISQPVLRQVPPPPVATTMTIGQDIASSMMASTITPETAYGAEINNNASGHSNRFMNMDHCMDLENYWPTW >KGN62929 pep chromosome:ASM407v2:2:19500355:19501290:-1 gene:Csa_2G380090 transcript:KGN62929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLRPFFSIQRPSFGSRKPSVKPHVPFAHKSVSCRTPLSVPSRSQPPSRSLDWNRWFIAALICLRLRSFAAAAPVADVSQSRHHTMLSRFLIRLTQINFPSRLTAAHRGVPLLHSLSADREAATACSLFQSTCVDSTFSKLQFLPYHDDYWVLVNINIIAF >KGN63060 pep chromosome:ASM407v2:2:20362548:20366229:1 gene:Csa_2G396210 transcript:KGN63060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFAVKAWSNGKARTGFLQLGNCPAAIDTPALLLSTRKGLPHFISPDLLPSLPSPDSHLLQFSPLHFLEGPSSKTISNIGGLQHLLGLHEYGFAAVARDSVQSLPECDATNKFGASFETTCGRRLIRPVEYIEMISSMKPDIWASLADEVPSWVSDKRNKTSVDRTVKWLDECIALNSTGGAVFGAIVGGNDLEERQRCAVEVVKRNVSGYWIGGFGFGESMDERPSLLDAVTDVLPEEMPRMICGLGLPGIVFQSFGVEVV >KGN61098 pep chromosome:ASM407v2:2:4079683:4081982:-1 gene:Csa_2G049870 transcript:KGN61098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQGTPGGLNRQGGLPGDRKQDDPNKKDKKFEPAAPPSRVGRKQRKQKGPDAAARLPTVTPHTKCKLRLLKLERVKDYLLMEEEFVTNQERLKPQEEKNEEDRSKVDDLRGSPMSVGNLEELIDENHAIVSSSVGPEYYVGILSFVDKDQLEPGCAILMHNKVLSVVGLLQDEVDPMVSVMKVEKAPLESYADIGGLDAQIQEIKEAVELPLTHPELYEDIGIKPPKGVILYGEPGTGKTLLAKAVANSTSATFLRVVGSELIQKYLGDGPKLVRELFRVADDLSPSIVFIDEIDAVGTKRYDAHSGGEREIQRTMLELLNQLDGFDSRGDVKVILATNRIESLDPALLRPGRIDRKIEFPLPDIKTRRRIFQIHTSRMTLADDVNLEEFVMTKDEFSGADIKAICTEAGLLALRERRMKVTHADFKKAKEKVMFKKKEGVPEGLYM >KGN61527 pep chromosome:ASM407v2:2:9226980:9227231:1 gene:Csa_2G160610 transcript:KGN61527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVHVGERVWKVRGRKGIGVIGRCWKGITGKPHDPHLTLVKSKDGKLGQDLDLKIGSNGCPNLTCPDLLTCVLCWTPRGQIVK >KGN60670 pep chromosome:ASM407v2:2:1115120:1117040:1 gene:Csa_2G006160 transcript:KGN60670 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein l7ae MTGEAVNPKAYPLADAQLTITILDLVQQAANYKQLKKGANEATKTLNRGISEFVVMAADTEPLEILLHLPLLAEDKNVPYVFVPSKQALGRACGVTRPVIACSVTTNEGSQLKSQIQQLKDAIEKLLI >KGN62297 pep chromosome:ASM407v2:2:15718460:15721322:-1 gene:Csa_2G348840 transcript:KGN62297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGGNNGNGLLPVFLDEKRTQYQNNASGQLQLFGNLPVSCGIEPVNYFGNEQMVPMLRPNKRSRETEDFSRQQKLQISLNYACQDDANRSTSIRNPNAVSTGLRLSYDDDEHNSSVTTASGSITAAPSIIFSLGDNIRTEVDRQKEEFDQYIKIQEEHLAKGIRDMKQRHMASFLSAVEKGIEKKLHEKDVEIESMNRKNRELVERIKHVATEAQNWHCRAKYNESVVNVLKNNLQHAISQGADQAKEGFGDSEVDDAASNIDPNNYVNVPGGTIKPSTSKEYMICRACKAKEVSILLMPCRHLCLCKDCDGLVNVCPVCHLIKTAGIQVYLS >KGN60787 pep chromosome:ASM407v2:2:1891654:1899325:-1 gene:Csa_2G010230 transcript:KGN60787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVCQNQLASLLSELYTYSSLICKKHMADVEQNYTHVVDDDQVDENAQIYENAQVHDITLEQENAQEVENLHELQNEHIPETLESEPKQVHIEDPLTVVSEKKWPGWPGESVFRMLVPAQKVGSIIGRKGEFIKKIVEETRARIKILDGPPGTAERAVMVSAKDEPDSAFPPAVDGLLRVHKRIVDGLEGDNAHAPNAGSKVSTRLLVAASQAGSLIGKQGGTVKSIQEESNCIVRVLGSEDLPVFALQDDRVVEVLGDPAGVHKAVELIASHLRKFLVDRSIIPVFEMNMQMSNPQMDQHMPPPPHQPWGPPQGMPPNAGGGPGFGPNPPQYMPPPPRQFDNYYPPAEMQAVMEKQPHHGISAYGREAPMGVHAASNAQSAPSIVTQTTQQMQIPLSFADAVIGTAGASISYIRRASGATVTIQETRGVPGEMTVEMSGTASQVQAAQQLIQNFMAGAGAPAQPQAGVSTDQGYNSYAAHGSVYASPPANPAAHAGGYGSVYGTNYGY >KGN62604 pep chromosome:ASM407v2:2:17310250:17316468:-1 gene:Csa_2G361680 transcript:KGN62604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRDDSEDGRDIRKPFLHTGSWYRMGSRQSSLMGSSQAIRDNSISVVACVLIVALGPIQFGFTGGYSSPTQLAITRDLGLTVSEYSLFGSLSNVGAMVGAITSGQLAEYIGRKGALMIAAIPNIIGWLAISFAKDSSFLYMGRLLEGFGVGIISYTVPVYIAEISPQNLRGSLGSVNQLSVTLGIMLSYLLGLFVPWRILAVLGILPCTILIPGLFFIPESPRWLAKMGMTEEFETSLQVLRGFDTDITVEVNEIKRSVASANRRRTIRFADLKQRRYWLPLSIGIGLLILQQLSGINGVLFYSSTIFASAGITSSNAATFGLGAIQVVATAVTTWVIDRAGRRLLLIISSVGMTLSLLIVAVAFFLKDAVSEDSSLYSIAGIVSVVGVVAMVVTFSLGVGAIPWIIMSEILPVNIKGLAGSIATLANWFSAWAVTMSANLLLQWSSGGTFTIYLVVTAFMVLFVTLWVPETKGRTLEEIQFSFR >KGN61025 pep chromosome:ASM407v2:2:3467801:3471052:-1 gene:Csa_2G034780 transcript:KGN61025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSQIHFVVHTNHDCFYGFSRFLAVAKGFHMLALNTEENKGMATNDLNKELSKKTNIFGLKVWEVIGIGVGLFIISILCILSLCLTSFNKKSKKSSPPKFPLTQIPSHSKDIKAIHITTTDHKKPTTTQMGKFESHNNDYSGESGGSLFYPLSYGYGSQSGDEGSSGTVTTTTMYRRSTSPTTTTMTAPSPLLGMPEMSQLGWGYWFTLRELDLATNLFSEENLIGEGGYGVVFRGRLLNGTPVAVKKIFNGQGQAEKEFRAEVEAIGHVRHKNLVRLLGYCIEGTHRMLVYEYINNGSLELWLHEGMGENTYLTWESRMKIMLGTAKGIAYLHEAIEPKVVHRDIKASNILIDENFNAKVSDFGLAKLMDANKTHVTTRVMGTFGYVAPEYANTGLLNEKSDVYSFGVVLVETITGRDPVDYGRPPKQVNVVDWLKMMIGSRRCEEVVDPKIIGVGGRASTRGLKRVLLVALRCVDPDFDKRPKMGQVARMLESQHHHSL >KGN61344 pep chromosome:ASM407v2:2:7134037:7146068:1 gene:Csa_2G094350 transcript:KGN61344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSKLCTHLRRLRPSRFYSISSLSSPLPFRLHEAHTLSSSHHQPFTTPSHRFDPHISSLSAFTAFSSRSFWTRSNDDSEFDRIAHYGVESSHLSNDDTGIQDLELGGVVEEVIGATAVENSILPIQQLTSILDGFHQYTGMPWWAVIASSTLALRFTLLPILIVQLNKLKRIGELFPKLPPPLPPPLSGRSYIDQISLFRKERKAIGCPSFLWFAAYFFIQVPCFLLWMVTIRKMSLDHYPGFDYGGALWFQNLTEYPHGVFGPIFPFLIASLHFINVQLSFRKSSLEKETGIIGILAKYYKLYLNLLTVPLFFIGYCIPQGSLVYWVTNSSFTAIQHRPKLLPKFKRANLSSLQIPARALESSSYLLSSDSPLTSSSSSRGGLPPRPNSVKTKSSVRSFGAKRSFPDPSSTALEIVDEGTPRTKSQFEPAILKGKK >KGN62367 pep chromosome:ASM407v2:2:16041984:16051712:-1 gene:Csa_2G350990 transcript:KGN62367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLPILQFEEKIIETVEQNQVVVIIGETGSGKSTQLSQMLHRRGYTKSGIIGVTQPRRVAAVSVARRVAEELGVHLGEEVGYAIRFEDRTSERTRIKYLTDGVLLRESLSDPELGQYSVIILDEAHERSLNTDTLMGLMKRLIRMRNSHLKVLITSATLDGDKVSKFFFDCPVLTVPGKLHPVEILYSNERPKSYIESCLKTAIDIHTKEPEGDVLIFLTGQDDIEKLVSKLEEKVYSLEEGSCMDAIILPLHGSLPPELQVRVFSRPPPNCRRFIAATNIAETSLTVDGVVYVIDSGYVKQRQYNPSTGMYSLDVVQISKVQANQRAGRAGRTRPGKCYRMYTSMDYQEELLDVTVPEIQRSSLAGSVLYLKSLDLPDIDILRFDFLDPPTSESLEDALKQLYLIDAIDENGSITRIGKTMAELPLEPSLSRTLIEANEFGCISQALTVVAMLSAETTLLPGHRKSADKKRKNRSLNLPDGSGWGDHIQLLQIFELWDQKNYDVNWCKDHDLQVRGMLFVKDVRKQLCQIMQKIAKGSLDVWSFRNQRESQAEYWNLRKALCVGYANQLAERMIHHNGYRTLSFKPQVVQVHPSSVMKPDEEGKFADYVVYHELITTSRPYMRNICAVQVDWVMPILNKVKNLNVNKLSGSSADFTSGTIEGNVKNSLKKEDANTKLTLDGHENKILAARERFLARRGKK >KGN61846 pep chromosome:ASM407v2:2:12361996:12366132:-1 gene:Csa_2G251470 transcript:KGN61846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAIRKQATKLREQVARQQQAVLKQFGAGGYGGSDNIITDEAELHQHQKLEKLYISTRAGKHFQRDIVRGVEGYIVTGSKQVEIGTKLSEDSRKYGAENTCTSGSTLSKAALNYGRARAQMEKERGNMLKALGTQVAEPLRAMVMGAPLEDARHLAQRYDRMRQEAEAQAIEVFKRQARVREAPGNAEITVKLEAAEAKLHDLKSNMATMGKEAAAAMAAVEAQQQRLTLQRLIAMVEAERAYHQRVLQILDQLEGEMILERQRIEAPPTPPPGPSIDNSMPPPPSYEEVNGVFASQAHNGSTDAMGYFLGEVMHPYLAESDVELNLSVGDYVVVRKVSNNGWAEGECKGKAGWFPFGYIERRERVLASKVAEVF >KGN61637 pep chromosome:ASM407v2:2:10416956:10417396:1 gene:Csa_2G196880 transcript:KGN61637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDMKELMEHSLCDLEKIASENPFSLIETIVERKMSPSNFRKSPTFDSESVGFSVTAVTLSATAAFRIKNCLMVESRAPGTSIVLLTDHHVEINNLLRYLKSVLSASGDPGLSTS >KGN62402 pep chromosome:ASM407v2:2:16249049:16253261:1 gene:Csa_2G351830 transcript:KGN62402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKENLRINSHGNFVSNNLNGRDRTSVDSSLLLRARSEAAVVAVATRPQPTPSEFVLQWGNRKRLRCMKVPVKAKDVSAAAPAHRTTVRVDRRVVRADKDSIIHNHQPTSNHNLNPSNGYLNLRQRPISPQPPVLPPPPAPSQRILRNSEISGTMRAQSNGGVRAITSPDRAAPEKKPPPSHHDHHHKSAATSDTKKGGSSSGSGEPTAPPQALPVWPPKFVIALTNKEKEEDFMAIKGSKLPQRPKKRAKIIQRTINLVSPGTWLCDLTLERYEVREKKISKKRPRGLKAIVNMESESE >KGN61753 pep chromosome:ASM407v2:2:11616490:11616852:1 gene:Csa_2G237720 transcript:KGN61753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSRGSSRNTSTRFINPELGRTCWGMFKVTTMRDLLFAALVRPTAGFISSYELFSHSVKESNSDCSKSCARSILAFPLLSCAHELLTKCPNSVHVLHVPICCPTQGRGQVIWATSGASKL >KGN62701 pep chromosome:ASM407v2:2:17931849:17933167:1 gene:Csa_2G369020 transcript:KGN62701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTFITSMVRFFIAISLFQISASRMLSEFIPDQTQSLKYHNGALLSGKISVNLIWYGKFKPSQKAIVSDFISSLSSSRPQVTEPSVSTWWKLTEKYYKKKSSPLFLNLGKQILDENYSLGKSLTNKQILQLASKGEQRNAINVVLTASDVTVDGFCMSRCGTHGFGSTVRRGRGGVKGKNYKFAYIWVGNSETQCPGHCAWPFHQPMYGPQSPPLIAPNGDVGMDGMIINLASLLAGTATNPFGNGYFQGPAEAPLEAASACTGVYGKGAYPGYAGELLTDSVTGGSYNANGGGRKYLLPALYDPSTSACSTLV >KGN61508 pep chromosome:ASM407v2:2:9005553:9006220:1 gene:Csa_2G150010 transcript:KGN61508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRKRRWGMKDTDMHGGVHSRCLCFHLFCCIPLDLSFSLSPPDTAPPGASPPFLSYRLLCFFLFGNSVKPSLVVVLDLPHPRASPTPSRLTGCHFIQSVANRSLTCISPLFDEYHY >KGN62042 pep chromosome:ASM407v2:2:13759030:13760325:-1 gene:Csa_2G292230 transcript:KGN62042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLFRSPNPHFFKVILHQTLTQQKLDLPNKFVKNNGGSLLFNKVTLFLPDGANWKIQLKKLDGKICFRRGWPEFVQFYSVQPGHFLVFQLKGICCFNVLIFDTSATEIDYPIRRLLDLIPKSRNEDEEESIQILNEMVLKKRRMEKEEETPPAYRSLRGLKKMMRKNAKVKIAKNRGITSDEDEGDLEMDNSRRQSNHRGIVYNEGDDGRVSPESMRPMNPRKTPPALTENQLAVKKRASWFKSRRNNPSFMVTMRPSYIQTGNYLSLPRRFGEKYIKESVDVKLEVGDGRCWRVWCGVRWAFTRRRTELKGGWKRFAVDNELKEGDICVFELMKKNGSVLFKVAIFRLPDAE >KGN62748 pep chromosome:ASM407v2:2:18268140:18273986:1 gene:Csa_2G370470 transcript:KGN62748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLKKQSVTEKDFSSLLRRYSPTTVLALLQEVAQAPDAKIDWNDLVKNTSTGISNPREYQMLWRHLAYRHALLDDLEDEKAPLEDDSDLECDLEPFPSVSCETLTEAAACAKVFISSGSPSDLNVPNSSIIEAPLTISLPRSYTDGVQFENVDPACSVKGAIITVPVSVQRQPVLAPPSAEGLNTNGPTYGNNASRRKRKPWSEAEDLELMAAVKKCGEGNWANIIRGDFLSDRTASQLSQRWAIIKKKHGNLNVGVNTAGTQLSEVQLAARHAMSVALGRHVGSLKARINGSASTSTIGNGSSLTTVATSEQVQDKLHQSPTHAKPSSIGSSSLTAKTQVTTSKKMVPKSSFDSDCIVRAAAVAAGARIASPADAASLLKAAQSKNAIHIMAKVPASTKTLTPGRGPSHLEAHPSIKLPTLSTTPTVVPSRGGPLKITSPTTAKLSSVQTDQNTAVASATASTASATDQNTAVASTASADSLSEKEIKIAEEIRGRSLAGVQATSQKGEHCLSKQSLSGRVQQEKPADLGPPFKRQSSGRVQEEKPAELGPPLKRQATETSNCSSSSQNMPMADGNTKVETCNQAEERQKSNANMVTGSSDQQGIMNQSQVERAEPQDMDINSDGKDRPITKTDRCSENSRHKEAASEILEGNTKVDG >KGN62779 pep chromosome:ASM407v2:2:18513245:18516306:-1 gene:Csa_2G372750 transcript:KGN62779 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:HEMA1 description:hypothetical protein MAVSTSFSGAKLEALLFKSASNSSSTRNLSSSHLPGFCKSIRTRRILFQRTGVSSFTPFKCELASSDVLVQNDEIDPPKSSNLSALEQLKTSAVDRYTKERSSIVVIGLSIHTTPVEMREKLAIPEAEWPRAIGELCGLNHIEEAAVLSTCNRMEIYVVALSQHRGVKEVTEWMSKTSGIPVSEICQHRFLLYNNDATQHIFEVSAGLDSLVLGEGQILAQVKQVVKVGQGVAGFGRNISGLFKHAITVGKRVRTETNIAAGAVSVSSAAVELALMKLPEPSHATARMLVIGAGKMGKLVIKHLVAKGCTKMVVVNRSEERVTAIREEMKDVEIIYKPLTEMLSCTAEADVIFTSTASESLLFTKEQVKDLPPVGHDVGGLRLFIDISVPRNVGACINNLEDVRVYNVDDLKEVVAANKEDRLRKAMEAQSIITEESKQFEAWRDSLETVPTIKKLRAYAERIRTAELEKCLSKMGDDIPKKTRRAVDDLSRGIVNKLLHGPMQHLRCDGSDSRTLSETLENMHALNRMFSLETEIAVLEQKIRAKVEQNQK >KGN61628 pep chromosome:ASM407v2:2:10328429:10329575:-1 gene:Csa_2G193320 transcript:KGN61628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDTFPVEFWHNDHFWLDAPISVPVSAPTSPPPAKQISAFLPYDLSPPPTGLLGQDNNIATTTAATVIASASASASTSYDSRNVNKRMIEYWRKHWHEKKELPVSAGDLEREKCHRHMLNERMRREKQKQCYLALHSMLPRNTKNDKNSIVQSAARTIQEMKGLEKTLKRRNSELEMEIAIAMKKKEKKEGIINVALANTSCGINSMLTVLNVLKTVGVNSNAIHATFFNSQFSAQLAIDTHMRVAEVERALQLTLNEAERKFQRQHSEGSKEIKESYF >KGN62277 pep chromosome:ASM407v2:2:15580229:15581361:1 gene:Csa_2G348150 transcript:KGN62277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPVKVYGPPLSTAVSRVLACLLEKDVQFQLLPVNMAKGEHKSQEYLKIQPFGQVPAFQDESISLFESRAICRYICDKHAEKGNKGLYGLDQLGKASIDQWIEAEGQSFNPASSILVFQLAFAPRMRLPQDEKAIKQNEEKLSKVLDVYEQRLGESRYLAGDEFSLADLSHLPNGHYLVNVADRAELFTSRKNVDRWWNEISGRESWKEVVELQTKASS >KGN62431 pep chromosome:ASM407v2:2:16447557:16448162:1 gene:Csa_2G354080 transcript:KGN62431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKNLASLTTTIAALATIMSLIIVPSSEGRPIPSFELIPEFATNHNNDGSCEGSGKEDCLIRRTLQAHTDYVYTCDNPTKP >KGN63003 pep chromosome:ASM407v2:2:19927110:19927484:-1 gene:Csa_2G382760 transcript:KGN63003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKLTPQQLLVYNGSDRSKPLYVALKGCIYDVTKSVLLYGLGGSYNMFAGKDASRALAKMSKNVSDITSSLVGLSKKEISVLNDWEKKFQAKYPIVGRVV >KGN62440 pep chromosome:ASM407v2:2:16501675:16504130:1 gene:Csa_2G354660 transcript:KGN62440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPREEEDSRIQKLESACSDLKILLQASTELNESLERMEKNIDSIDESLTTASRSILPLQSLAMTTKALETRINRAASPALNLLDTFKRSEFLQRKILAIFANLSVEKSPEERLKKLIKLVNCVDRLNAAISVISQEGESVIQKLQEVVEFLSRTKAADPQRTHRLKETMITLKALYETEIDDMKFEGLLDESLLNLQDEFENILKNLKHQRKPKFDDGDGEKEGETVGSEMGSELEIEAAKRIAETLTANDCLDICINIYVKVRYRRAATALMRLNPVYLKTYTPEEIDKMEWEKLETAISLWIEHFKVAATSVLISEKKLCNQVLGSIMDGLMWPECFVKIADKIMTVFFRFGEGVARSTKEPQKLFKLLDMFDSMEKLDSEFSEAFSGEAGAEIRTRYRELEKLLVHASSKVFWDFGLQIEGNSDGFPPPKDGSVPKLVRYAVNYLKYLASDNYSSAMAKVLQIQKSWKGGFLSKLEAEENLLKDAFSNVMEALQRNVESKKSRYRDKILPHIFSMNTYWYIYMRIRNTELGRLLGEQYMRKNYKAVAEESAYTYQMLCWEPLLSVMDMDDMRLQNMETVEDLAKTKMESFVKALREFSQKHRATYSIPDLDLREQLKEATLKMILPAYTEFFNLHSALLPGIGKYYVGLETIHDFVGRAFEFEGGSGPGSGGKLKRRGSMDRMGEIASDGPILAVGLR >KGN60698 pep chromosome:ASM407v2:2:1282957:1291326:-1 gene:Csa_2G007420 transcript:KGN60698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFFFNMRYFEDMVTNGEWEEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKRDRAKAVDILVKDLKVFSAFNEELFKEITQLLTLENFRDNEQLSKYGDTKSARGIMLAELKKLIEANPLFRDKLQFPTLKNSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHSCGQPNGARAPSPVTNPLMGGVPKAAAFPPLSAHGPFQPTPAALPTSLAGWMANPSPVPHPSASAAPIGLNAANNAAILKRPRTPPTNNPTMDYQTADSEHVLKRSRPFGLSEEVTNLPVNILPVGYGNQGHGQSSYSSDDLPKNVVTTLSQGSVVKSMDFHPQQQTILLVGTNVGDVMIWEVGGRERIAIRNFKVWDLAARSVALQVWDAVTGVKQFTFEGHDAPVYSICPHHKENIQFIFSTAADGKIKAWLYDNMGSRVDYDAPGHSSTTMAYSADGTRLFSCGTNKDGESYLVEWNESEGAVKRTYQGLGKRSVGVVQFDTTKNRFLAAGDDFSVKFWDMDSVNILTSIDADGGLPASPCIRFNKDGVLLAVSTNDNGIKILANAEGFRMLRTVENRTFDASRVASAAVVKAPPIGSFGPPAVSVGMSIGDRTPPVAAAMVGINNDSRSLADVKPRIADESVDKSRIWKLTEINEPTQCRSLRLPDNLTASRVSRLIYTNSGLAILALASNAVHKLWRWQRNDRNVTVKATASVAPQLWQPPSGILMTNDISDTNPEDAVPCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMPPPPAATFLAFHPQDNNIIAIGMEDSSIQIYNVRVDEVKTKLKGHQKRITGLAFSNQLNVLVSSGADSQLCVWSTDGWEKQVNKFLQVPSSRTTAPLADTRVQFHIDQIHLLAIHETQIAIYEAPKLECLKQWVPREASGPITHATFSCDSQSIYVSFEDGSVGVLTASTLRLRCRINPNAYLSSNPSLRVHPLVIAAHPSEPNQFALGLSDGGVHVLEPSESEGKWGTSPPVENGAGPSTATGAAGPDQPQR >KGN63358 pep chromosome:ASM407v2:2:22572172:22572486:-1 gene:Csa_2G432260 transcript:KGN63358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNLFSSSVAENLGNLESTVIHECREMRCIVAAEEGEEENGEIVFKHLKEIILYGLPRLASFDNGKCTIKFPSLEVLYIYGSYEMETFSHGILSFPKLKSHGDR >KGN62791 pep chromosome:ASM407v2:2:18614275:18614682:-1 gene:Csa_2G372860 transcript:KGN62791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDQSKPVSARTLYNSTSSSRQAVKFLTAATIATFFLVSSGFTITGTVLILILSTPILVLFSPILVPAVTVLVLSAAGLFFSATCAVAAVAALSWLYSYMTGDQPVGAEQLDFARDKIVEMAKDMKEKATTQEQS >KGN62568 pep chromosome:ASM407v2:2:17149104:17150553:-1 gene:Csa_2G360850 transcript:KGN62568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGFGESTSRQPQSPSCSDNNGDASNFECNICLDLAQDPIVTLCGHLFCWPCLYKWLHIHSHPQECPVCKAIIEEQKLVPLYGRGKTSTDPRSKSIPGINIPNRPAGQRPEAAGPPPEPNPFPHNGFGFMGGLGGFAPMASTRFGNFTLSAAFGGLVPSLFNFQMHGYADPSMYGAGANFPYGFPNMFHGSHGHAHGYYHQLRQGQQDYYLKRLLFLIGFFVLLALIWQ >KGN63405 pep chromosome:ASM407v2:2:22939676:22940786:-1 gene:Csa_2G439140 transcript:KGN63405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGKLQHEAVIDVPANVTWQLFGSLELGRIVGEQLPNLFEKIELVEGDGGEGTVLNLIFAPGLGTSSYKEKFTKIDNENRIKETEIVEGGFLNIGFTLYRVRFKIIENGEDKCIVETTIEYEIMEEAAANASLVTLQPLIEIVQLANNYLLHNKNPK >KGN60519 pep chromosome:ASM407v2:2:161595:163586:1 gene:Csa_2G000280 transcript:KGN60519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLFSFNTSLSPKPDSLFRRPTRRNSSSPFTLVCSSATSDSAPSHVIDSSLPLGVHTHQFQDDSHRHRSVAVDADRNFSAKYVPFGSGYDSSEWYSLDDIVYRSRSGGLLDVQHNMDALKKFDGAYWRNLFDSRVGKTTWPYGSGVWSKKEWVLPEIDSSDIVSAFEGNSNLFWAERFGKQFLGMNDLWVKHCGISHTGSFKDLGMTVLVSQVNRLRKMNRPVVGVGCASTGDTSAALSAYCAAAGIPSIVFLPADKISMAQLVQPIANGAFVLSMDTDFDGCMKLIREVTAELPIYLANSLNSLRLEGQKTAAIEILQQFDWEVPDWVIIPGGNLGNIYAFYKGFEMCKELGLVDKIPRLVCAQAANANPLYLYYKSGWKEFSPLKATTTFASAIQIGDPVSIDRAVFALQNSNGIVEEATEEELMDATAQADSTGMFICPHTGVALTALIKLRNRGIIGGNDRTVVVSTAHGLKFTQSKIDYHSRAISGMECRFANPPVEVKAEFGAVMDVLKEHLSGKNPKFEL >KGN62629 pep chromosome:ASM407v2:2:17454830:17458750:-1 gene:Csa_2G362420 transcript:KGN62629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGEDAARRHTAVNDYRKKLLQHKELEARVRSLRENLRAAKKEFNKTEDDLKSLQSVGQIIGEVLRPLDNERLIVKASSGPRYVVGCRNKVDKEKLTSGTRVVLDMTTLTIMRALPREVDPVVYNMLHEDPGNVSYSAVGGLSDQIRELRESIELPLMNPELFLRVGIKPPKGVLLYGPPGTGKTLLARAIASNIDANFLKVVSSAIIDKYIGESARLIREMFGYARDHQPCIIFMDEIDAIGGRRFSEGTSADREIQRTLMELLNQLDGFDQLGKVKMIMATNRPDVLDPALLRPGRLDRKIEIPLPNEQSRTEILKIHAAGIAKHGEIDYEAVVKLAEGFNGADLRNVCTEAGMSAIRAERDYVIHEDFMKAVRKLNEAKKLESSAHYNADFGKD >KGN62668 pep chromosome:ASM407v2:2:17724053:17726799:-1 gene:Csa_2G367220 transcript:KGN62668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYIIGLGLGDEKDITLRGLECVRKCEKVYMEAYTSLLSFGLASDGISSLEKLYGKTITVADREMVEEKADQILSEARTSDVAFLVVGDAFGATTHSDLVVRAKSLGIEVRVVYNASVMNAVGICGLQLYRYGETVSIPFFTETWKPSSFYEKIQKNRGLGLHTLCLLDIRVKEPSLESLCRGKKEYEPPRFMSINTAIEQLLEVEQMEGQSVYNEDTLCVAFARLGSEDQTIVAGTMKQLRSIDFGPPLHCLVIVGKTHPVEEEMLDFYKSGNENLEQNVVDRTT >KGN61498 pep chromosome:ASM407v2:2:8858702:8882272:1 gene:Csa_2G148430 transcript:KGN61498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATASHAQAVKSLNKSPGRRRFVFQTFSQRVQEIDIDVYRSLDKVKSEPSEGSSFFRDCLIEWRELNTAEDFISCYEEIIPLVQTLPLVILHKESIFSNLLSRVQIKARLSLEPILRLIAALARDLLEDFLPFLSQLTDALVSLLEGGAAREPEIIEPIFTSWSYIMMYLQKYLTRNITDLLRVTVSLRYYSKDYIQDFMAEATSFLLRNAPVDQLKNGIKRIILEVVKKPLATREYGTSALLFHTMRGTSSRFHSRAELVFRFLISGPIFEIGRDSSQGSDAILEVLKCVCQRLCEEMDPQELEVIWKCLFEEITVAISNDYMVHINHLLMLLASAAQNVNWKKLHDYKPMLELVDLLVMKFATTPSLTVDDDLSSLVDTILQLMLVILEGLQNSEDFLCISGCSLRWAPIFQLNNSSLLTFVREVMEKDTSVLCAFRTNILRVMNESLESSPEEVIYLLLSFSERLPTEVLGTSEEEIPKINNFILKILRQWIKEITDFVQHSSSTIDINESKLATFWGVVRCCPYILKFQASSSLLVELIDALDRLCTLEGDIFGIPKEKLESIIGATIGSYLKLLSSEKAGLEELSRLVYLAKRYSSCSQVLVAVADYLDFIYGPALEADISKRIYSEEFQADKVEDAVQVFADNLRHSDKGVRLSTLRILCHYEPLQSATLTKESSIDNEMEAENLEPYSDDLVGSEVLRLLLSVESTSTSISTSRKIILFISGVQRALMAEGIPEAYLLVALNGIIGIFQNRFSYIWDQASECLASLIRNHSEFVWDKLICYFQQWLCLLDQPGRDTAESSDELNDLVRCFRSFVVPSTDSTPLFTLLSLVLQSLQKNSTIVESQSQRMLPLFLTFLGYSTGHVDSVDSFKQYACKSKEWKCVLKEWLNLLRKTRNLKSFHKSDFLKEVLEQRLLDDNDAEIQSKVLDCLLMWKDDFLISHEQHLKNIISPKTLREELTRWSLSKEKNQIDERHRPKLVPLVTRLLMPKVRKLKVLGSRKQASVNLRKAVLQFIAQLDTVELPLFFSLLLKPLNIIPREADATANWFSNLHLVSMKASATNILKYFSTESIVALSWKKKYGFMHVIEEVLAVFDEMLISPFLNIILGCVVRILASCTSSLHAARHNEMSLSEIGKTCNKNSLEMNKEAAFPGLTYTAVKQHKDLRSLCLRVISVVLYKYEDFDFEMEFWDLFFTSVKSSIESFKHEGSSSEKPSSLCSCFLAMSRSHKLVPLLARERNLVPDIFFILTISAASQPIILFVLQFIENLLSFDGELDGNDSAVRSILHPNLDSLVQSLHVLFQSGDAKKRKLIEHLNGPMIRIFKLLSKVVRDQLHAKKFVEIILPCLSQTGRSSEFYANTLQVVQNVVPILRSESTTKILKAVSPLLISVEQDLRLLVCDLINALAEVDSSILCVAQIIRGLNATSAMEIGGLDFDTIVNTYEKISVDFFCATSEEHALVVLSQCMHDMSSEELILRHSAYRCLLSFVEFSSSVLGQGGISHQESSDNITLYDNSWSKESIMRLTNKFIFKHMGEAMNRETSVKKEWINLLREMVLKFPDVANLSSLKALYSKDAEIDFFSNITHLQKLRRAKALIRFKNTIPTVNMPEVITKNVFVPLFFNMLFDLQEGKAENIRVACIEALASISGQMEWKSYFALLRRCLRDLTKHPDKKKVLMRLICCILDNFHFQENISDVGSTQLYGSVVVMNNMQVCLSKDVFPKIQKFMNSQSERVDIYVHLAALKVLKLLPANVMDSQLLSIIQHIVNFLKNRLESVRDEARSALAACLKELGSEYLQVVVRVLRGSLKRGYEMHVLGYTLNFLLSKLFTGPATGKIDYLLDDLISVAEKDILGEVAEEKEVEKLASKMKETRKQKSFDTLKLVAQSITFKSHALKLLRPVTDHMKKHLTPKAKTKLENMLSSVAAGFESNPSVNQTDLLVFNYGLIKDGIKVENGQGGISSLVDANKHSRDVSRGKNFSCQTILAKAPCSHLIMVFALKLLHGYMKKMQLGKGDAQLLAMLDPFVLLLGDCLTSKYEDVLSLTLRCLTLLLRLPLPSVKSQADKIKGVVLLIAQSSVDPRNPLVESCLRLLTVLLRNEKVTLSTDQLHLLIQFPLFVDIDKNPSFVALSLLKAIVSRKLVVPEIYDLAIRVAELMVTSQVEPIRKKCGKILLQFLLDYHLSEKRLQQHLDFLLSNLRYEHSTGREAVLEMLHATVVKFSKSVVDSISETLFFHLVACLANDQDHHVRSMAGLVIKSLIDRISPGPQHNILESSLSWYSEGKQQLWSVAAQVLGLLVEVEALKEAFERRIQTVLLVARGILQSVVDVSMSEQIDMYAESTITFWKEAYYSLVMLEKLMHQFPQLFFENDFQDIWEMISHLLLYPHMWIRSISNRLIASYFRKIVIENSGRTSERSLGAYSLMKPSRLFFIATSFCCQLKSQLTDKDADLIEQNLVFAIRGLHSVIGEVENVDSYPFWSTLEESEQRLFLKAFQLLDSEKGKSMLLPHMTGVFNQNDAGPEQIRRLLISNLIKQMGKVALQTDTIQMTVVFNVFRNISSQISIEDCERYVFEILLPLYRVREGFSGKVIPESMIQLAQEVCDKIQNCLGIQKFVQVYSQIMKSLKTKRDKRKQEEKRMAVINPMRNAKRKLRIAEKQRSSKRRKITTMRMSRRML >KGN61888 pep chromosome:ASM407v2:2:12602805:12608100:1 gene:Csa_2G258840 transcript:KGN61888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKLMDLPLFSPIFQVWTRFDLVWFCSESGSKPSLGQIVWASSIGLIIVAAMHYRIRKLRVQRIIPRLKVSDTGRVEKLESFPHYVARQMGFKDIHECPHLCKLASEYIAKSEECEDDIYSFFSSELHADSLFVKLVEEFERCILSYFAFHWNQTDIMISQILSSDHQEPKKKLRSIVMAATREQRFERVTKNLKVARVFTTLVEEMKAMGLASTDDSQCTEVMVPMAHADRSPMLLFMGGGMGAGKSTVLKDILKEPFWVGAGPNAVVIEADAFKESDVIYRALNSTGHHHDMLQTAELVHQSSTDAASSLLVTALNEGRDVIMDGTLSWVPFVVQTITMARNVHRRRYRMGAGYKVGDDGTVTENYWERIEDQEPDQVGGKRRKPYRIELVGVVCDAYLAVIRGIRRALMCRRAVRVKSQLKSHKRFANAFLTYCQYVDNARLYCTNALEGPPKFIGWKDKDKTLLVDPEEIKCLRTVGSLNEDASSIHELYKKPSPACESGSVWKDIVLSPSRLTIQQELKYCIKKVEILKSLGQNGAAGFLHHS >KGN61850 pep chromosome:ASM407v2:2:12384552:12392228:-1 gene:Csa_2G251500 transcript:KGN61850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDESDGVQNQAPNLTSNGVKDSKGKSCKGCLYYSSLQKSKSKTPTCIGLSKTLDQVPNYIVGETELEASKEGRSLTDFKYACVGYSVYLEKKDSSNDVQNKQAELPFCVGLEVLLDKRPAENSQAHIHNKTEDSPAFPQPRSYKPSYPAGDEYLNRFKRNAALVASGVARNVNRVCNYVKESLDDILYPYRRRPK >KGN62882 pep chromosome:ASM407v2:2:19191081:19192217:-1 gene:Csa_2G379130 transcript:KGN62882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRDHYNNYSPHSSLTCLPLSPYFTLSPSFDLRFSLCIRQGIDGDGTFSGYMRNMATTPLSKIDRSWQLAH >KGN62653 pep chromosome:ASM407v2:2:17613819:17614114:1 gene:Csa_2G365110 transcript:KGN62653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAVEVMAVEAMIEMANPLPWWRRNHGRLWLPTMKLKMEFVREEERKDFGIGGWDYEC >KGN63328 pep chromosome:ASM407v2:2:22353820:22356190:1 gene:Csa_2G429010 transcript:KGN63328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEATSLAFKVERCEPELIVPTKPTPHEWKQLSDIDDQQSFRFQVPMIHFYPQNPNMEGRDPVTVIKEAVAKTLVFYYPFAGRVREKFGKKLFVECTGEGVLFIEANADVSLQQFQDSSSLQPPFPCMDQLLYDVPDSDGILDSPLLLIQVTRLKCGGFIFAVRFNHTITDGIGMAQFLKAIAEMARGALAPSILPVWKRALLNSRDPPRVTCLHHEYDEVNDINDTTITLDNMVQHSFFFGPTEISIIHRTLPTHFHNYPSAELLMIFIWRLRTIALQLSPEEEVRLLCVVNLRTKFNYLPLGFYGNAFALPAALTTAAKLSQNSLDYAIELVENAKAKVTEEYVKSMVDLMTVKGRPHFTVVGSFLMADLTEAGFEDVDFGWEKAIYAGPATGKVGLVPGLISFCIPSKMRNGEKGIVVPLYLPAPAMERLVEELDALLKI >KGN62945 pep chromosome:ASM407v2:2:19576506:19577357:-1 gene:Csa_2G381710 transcript:KGN62945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSISLEELHLYHSIDREIFSRLLIQLSRDPAQSLLIISLWLWLEEQGVTNFIFRIMPLSDPSLNSLANEAVFCLSCLDSNNQPGCPHPTTVLPATSKAAGRDIPVEMFVQNRFRAISGVKYFLTNVCARIFTDILEIVLGRNNSQPNEALVIHGFPHPIFGSITIIPKSLDQDFPTGGLWGWPSADAGMSEDDRTLFLTFSRGFPVTAEEVKGLFVQAFGDCVESIQMEEVEAGEQPLYARMVMSSVVPVDQILDGKRIAKFRINGKHIWARKYERRSELLM >KGN63108 pep chromosome:ASM407v2:2:20658758:20662890:1 gene:Csa_2G403140 transcript:KGN63108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLLLLLLLTPMNPRLALLFSLLLVLSSGSAANVVLIGANVTLSFDSIEANFAPSIESSGEPGVLYLAKPLDACSTLENKVVVPVNTSSPFALIVRGGCSFEDKVRRAQVAGFKAAIIYDNEDGGLIAMAGSSAGIRIHAVFVTKTSGETLKKYAGLANVEIWIVPSFENSAWSIMAISFISLLAMSAVLATCFFVRRHRIRRGRPRSSHTQEFRGMSRRVVKAMPSLIFTTALEDNCTSMTCAICLEDYTPGEKLRILPCRHKFHALCVDSWLTAWRTFCPVCKRDARTSTGDPPPSASTPLLSSAPSSVASSSILSSSRSSLPPSSAIQISPALRSPSVSRNHSLSSTPYLHQSLISSYHQSPRSSYHQSPSLSVSRSSVELRNASSQRSYTSHLISPYSSCYPSVSPLHSRYMIPHIPSPSNASPSIIGSSSHQHNPLHCSGSAASLSPFASTRSLPEC >KGN62175 pep chromosome:ASM407v2:2:14733832:14737433:-1 gene:Csa_2G308370 transcript:KGN62175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVFHQSLGSLLSHGQTNSTTNLTKLNSKSFKVEVGVFRNKSPRFGNMNIKAIQASSSSPTSVFDHISSPSINTTEESQKKQNEAALILIRHGESLWNEKNLFTGCVDVPLTKKGVEEAIEAGKRISNIPVDMIYTSSLIRAQMTAMLAMTQHRRKKVPVIVHNETEQARVWSQIFSEETKKQSIPVIASWRLNERMYGELQGLNKQETADRYGKEQVHEWRRSYDIPPPNGESLEMCADRAVAYFKDQIVPQLQSGKNVMIAAHGNSLRSIIMYLDKLTSQEVISLELSTGIPMLYIFKEGSFIRRGSPAGPSEAGVYAYTRSLALYRQKLDEMLN >KGN61136 pep chromosome:ASM407v2:2:4416897:4421636:1 gene:Csa_2G058690 transcript:KGN61136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVANSFDLWKKDGFFSAAEQVQESADTMEFAYRTWIRERREGLSPDDLDELRREVQTALGTAKWQLEEFEKAVRVSYRSRSEEHLLERHRLFIAAIGNQISHVEAALRKSYDKEGRQPLRWVNLNEEECNDLATFLSGVSEVPQSAKTESSVCRSSAKSSIYENHERVVADMNPTSTCSLSNSSKMKGNKYVDAVDIDSPKVKDLEVKESFRRVDDALCKMDRSTKVRRASPPTVPDLQIVIVDENQERKQSITSLEASRKGKSASVFWKRGCGNFSQFFGRVQQRQIYRPWHLQLTCSVQFTLALMLTIFLIVPIVFYSA >KGN60836 pep chromosome:ASM407v2:2:2203081:2207745:1 gene:Csa_2G012670 transcript:KGN60836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGALIGGAALGVPFNELATLLKNFGERAWSFNSVLNETESKVNDIIPLVKEIDGLNESLDYPREETEKLKNLLEYAGKLLRRCLRVGKADLIRKSSHTEKLRELNARIKSFSDVVLFQTSRDGKKTLSLVTEIKEVVRRLDSKSGLSNPVDLVVTVPVISEESVGLEKPVEKLKAKLFRDGVRLLVVTAPGGCGKSTLAEIFCHDKQVKNKFQRNILFLVVSSKPETKRILISIIQRLGGPIESGSVSDDEAFRLLEVRVGELSPNPVLIVLDDVWDGSESNKLLEKFSRLPNCKVLVTSRFKFPAFGESYDLEPLDHKDAMELFRRWASRGNRVLQFPDERIVEKIVRGCKRFPLALKVIAGSLSGRATSVWEVTGRKLSRGDSILGSEKELQKCLKDTLDAIPDDKIVLKECFMDLGSFPEDQRIRAATFIDICAVLYEQDECETMSNLDELFTRTLVNTVSLRNKAHEDDYYSESYITQHDVLRELAVLLTNEQPVDQRTRLLVDINKNEFPKWWSVRQMQPVKARLLSITTDEKFSSCWPDMEAPEVEVLILNPGSETYKLPDFAKKMNRLKALIVRNYRSFPTELTSDYQLINCLSRLERISLERISISSFIDQNLKPLWHLKKLSFFMCKIDKAFTDCSTQISYMLPNLLEISIDFCNDLVAFPVGLCEVVTLEKLSITNCHILSSLPEEIGQLINLKILRLRSCIHLEKLPESISRLRELVYLDISHCVGLTKLPDKIGNLQKLEKLNMWSCPNMRKLPKSVGNLKNLKEVVCESEMKIWVNFVAPRLGNVVKEHKEEINLDFLN >KGN60754 pep chromosome:ASM407v2:2:1654500:1655655:1 gene:Csa_2G009420 transcript:KGN60754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIQKPAWLEALYNQKFFVGCSFHETSKKNEKNICCLDCCISICPHCLSSHRFHRLLQVRRYVYHDVVRLEDLQKLIDCSNVQPYTINSAKVVFIKKRPQNRQFKGSGNFCTSCDRSLQEPYVHCSLGCKVDFVLKHKRDLTSYLRPCNSLPLSMDFLVPQDVGDDEMTNETPRSTIVDYDEPMSSSSGSENMSIAQPPIVRKKRSGLCLCGRSSRKIISSEDIATSMSISRRKGIPHRSPLC >KGN63348 pep chromosome:ASM407v2:2:22496623:22500756:-1 gene:Csa_2G431170 transcript:KGN63348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSQTRTVQVKHVSDLATEREIHEFFSFSGEIEHIEIQCEQGESKTAFVTFTDPKALEIALLLSGATIVDKIVSITPAENHVPRREMQEVRVADNAACLTPTENNSPSIEDSASQPSSGKMYVNRAQEVVATVLAKGSAIGQDAMNKAKAFDEKHQLTASASAKVLSFDRRVGLTEKLTVGISVVNEKVKSVDQKLHVSDKTMAAIFAAERKLNDTGSAVKTSKYVTASAAWLNGAFGKVAKAGQAAGTKTREKFHLAMSNLISKEPPVVA >KGN60602 pep chromosome:ASM407v2:2:644719:647716:-1 gene:Csa_2G003050 transcript:KGN60602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFLKAASLLLLLLLSLFFVSAPAQFDSVGGSYGPGANSLDKDLNDRLKGDVNPPADATTGPKPNLPGITFVAGPRPVDRSGTRNFPLTYLGTWKMVSRNSMVSAMHMNLLPNNKMIMFDASAFHISQIKLPGGKCFPFKTDQGAILQDCWAHGVEFDIETAKIRPLTMPTDPWCSSGGLDVEGRLVNTGGWMDGTKTVRYLTGCPNCDWKEYPTTLASGRWYSTQATMPDGGFILVGGRRSFDLEFVPAEGKVNTKAIKMPFLDETTDLDENNLYPFVYLSTDGNVFIFANSRSILFNPKTLTVVNEYPVLAGGSRNYPASGMSALLPLKLSLENPEATPAEVIVCGGAKPEAYRLAEKGNFLPALQDCNRLEITKPKDVWKKELMPSPRVMGDMLILPTGDLLLINGATSGTSAWNFAEAPNYSPILYDPDKPQGQRFKQLIPTTIPRMYHSTSALLPDGQILVAGSNTNAGYQFQSVKYPTELRVEKFSPPYLDPAHTAFRPTIQLNQLVAKWQYGKDFVVNFNLVPDGIFDRENDVRVTIYPPPFTTHGFSMNQRLVVLPIREIAETGAGIFSATVVAPPSGIIAPPGYYMLFVVYRGIPSVAAWIQIK >KGN61437 pep chromosome:ASM407v2:2:8153821:8160752:1 gene:Csa_2G122010 transcript:KGN61437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFYRFHFIVASFFFFLCFSFSLFSPIALPPSLSNLSNKVSTCLRLLLYFLLFPDSLLLIASSRTYYSAIVVSNNEFELMERHHFIDIGRVNCLIRCTTFRVDEAE >KGN63205 pep chromosome:ASM407v2:2:21275508:21275871:1 gene:Csa_2G409490 transcript:KGN63205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDYQLYYREHVVGSSSFGVVFQAKCRETGEIVTIKKVLQDKRYKNRERQIVQMLDHPNIVSLKLCFFSTTYKEKVYLNLVLPTSPTH >KGN61245 pep chromosome:ASM407v2:2:5751076:5757151:-1 gene:Csa_2G074070 transcript:KGN61245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVAQFAMVEELAFLVKDNLPSKHLILSMEETFINFLHNETSSDGILELKPMDSYNRLLLHRLADIFGLGHVSVGEGDNRHLVLERYPESSIPSILVSDILWEYDEPQMSTIPHQLLRRKENSSASSTKSSPQRSLEEREAAYLAVRERIFMTHVGEDNEPLKPKPRCDPAVARRMIAHALGQRVNSLSEDTNCHQKEQGGVTNNAYIQARDSKLPDSTVEAINKTISRSDQCVNLKNELDKNCNPDVSLARGSTAAKMKPAKSYPKASHVDNEHLKREHLGAAKRMFSQALGKHCRKNESLQTRGEAD >KGN61620 pep chromosome:ASM407v2:2:10260864:10261403:-1 gene:Csa_2G190770 transcript:KGN61620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDQKLEISNCSQSSSDDQLEQLEATTKEDHDHDHHNYSDNFNDIIIKRSYECIFCKRGFTNAQALGGHMNIHRKDRPNKPPKTSFSFSSSSSSSSLQSNNQIIGPSTFNNIPYFGPLLGESQVNYQASTSNLHGDHFNMNLWGSDLSLQIGSTNGRRRLWNNGDGVDLELRLGHHHL >KGN62044 pep chromosome:ASM407v2:2:13769993:13774793:-1 gene:Csa_2G292740 transcript:KGN62044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAQAKRKALFRAKLNAQKKDKRIDSPLVRYNELDQPVCRVCDMVLKSEAYWDAHQSSRRHHEAIQNLKASAAGRSGVENIKAEAPAELPNNRPIDSKEKKEMTGGTSSELPKRPSSSVLPSDFFDQNAKRLKTGKDIETDSRSGSIAQSQVIPKASDLNKKSSMNAGSEGKQIKGALPEGFFDDKEADLRAHGIKLVKPDVKDEYKEFEKLIQEDLQEVDDRLEEEEIDAAEMIEEAETLEQKAYRERVELLKRKKIELKSKKSAEQSRAEPQIPTKDSQVDYSSSDDDDSDTNYTVDWRAQHL >KGN62937 pep chromosome:ASM407v2:2:19544906:19546548:-1 gene:Csa_2G381630 transcript:KGN62937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGENNDCRDIVISIKSKLKQLHVVNTECNIYRVPKLLCQMNMTAYVPQVISIGPLHHGSKDLNATECYKLQGLRNFLRRFDDSYGEKLLENLVEITQFYVGRARSCYADPIDMDDHEFVKMMLVDGCFIVELFILVHNITTSDDHKFSKIDQNVDLLFYYQGIIPDVYFDLIKLENQLPFFILQLLFDLIPRDNNQSKSLILNRKSPILDKNSLFIPFLELTFENLRLGWVKDYVQLGKRSITLHEFYPKHLLDFLTLYYENSSSNDDECHNETSEKKKVHAENRDNQKTLSIFKQTYLKVKNNLCSCFRRNKKHGENDHEKKMYIPPSITELSEAGVTFKKPKKLPIITNITFKNGVLEIPPIVIDHYFETITRNLIAYEHMDKIYKEMKVISYILFMDYLISTEKDVSLLEKAGIIVNDIGGSHKEVSKLFNNLSKFVNRSDNNSEYFKKFQGISKALCEHRDRRWNKLKASLKHNYFNTPWASISVFAATLVIVLTLLQTIFAVISTF >KGN61194 pep chromosome:ASM407v2:2:5265663:5266013:-1 gene:Csa_2G068180 transcript:KGN61194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHSWEEKINKCKEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEKERIKKKRACT >KGN60529 pep chromosome:ASM407v2:2:208845:214017:-1 gene:Csa_2G000380 transcript:KGN60529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPRVGNKFRLGRKIGSGSFGEIYLGTNIQTNEEVAIKLENVKTKHPQLLYESKLYRILQGGTGIPNVRWFGVEGDYNVLVMDLLGPSLEDLFNFCSRKLSLKTVLMLADQMINRVEFVHSKSFLHRDIKPDNFLMGLGRRANQVYIIDFGLAKKYRDSSTHQHIPYRENKNLTGTARYASMNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYEKISEKKVSTSIEALCRGYPSEFASYFHYCRSLRFDDKPDYAYLKRIFRDLFIREGFQFDYVFDWTILKYQQSQLATPPTRALGAGAGTSSGIPPGIANPDRQTGGEEAQLAGMSLMDSSRRRASGLTMNSGTFAKQKSPIANDTAAVPKDGVFSGPNIVGRSSGSSRRAAVSSSRDPYVGSEADPHRSSRTRDASPGALHKSMSAQRSPPVGPADPKRSASGRHTGHGHVKNYDSALKGIEGLQLENDERVHY >KGN62880 pep chromosome:ASM407v2:2:19181116:19185566:1 gene:Csa_2G379110 transcript:KGN62880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEEEKQVKPYSEASTTSSVEIQNWIEAQDLLKKKLIKEDDLEGNGDDLKYVGGVDISFLKEDSSVACGTLVVMDLQTLQVVYDDFSLATVQVPYVPGFLAFREAPVLLELLERMKKRAPLLYPQLLMVDGNGILHPRGFGLASHLGVLANLPTIGIGKNLHHVDGLTQSSVRQLLSDGKNNDSIITLKGISGCIWGVAMRSTVDSLKPIYVSIGHRVSLDTAIRIVKITCTFRVPEPIRQADIRSREYLRKFQMGMLKRTTS >KGN60626 pep chromosome:ASM407v2:2:809722:809973:1 gene:Csa_2G004750 transcript:KGN60626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWTRCTTITWAPHQRRRSSRRNAWDPLPNWLLVVAFLAFLHRRIIFDDAGSASCTMWTPAADRDRSDDALTW >KGN63114 pep chromosome:ASM407v2:2:20703539:20707201:1 gene:Csa_2G403690 transcript:KGN63114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSNGVKSCAKLLKSSEPLLEKSANRGFHSTGVKRMGGHAHGHDEPYYLHAKHMYNLDRMKYQKLTMTLGVLTAFSIGVGVPIYAVVFQQKKTASG >KGN63138 pep chromosome:ASM407v2:2:20846806:20856640:-1 gene:Csa_2G404890 transcript:KGN63138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVERSSLCNCVVNFLLEENYVLTAFELLHELLDDGRDAQAIRLKDFFSDPAHFPPDQITRFNSLRVADPQSLLEEKEAIEEKLAISEYELRLAQEDISKFKIELQKKNEAHSVELSDSKADSTIRGRQEVHQEKGNASSDLGSLKDNERQDLNCAVKEYLLLAGYRLTAMTFYEEVTDQDLDVWPNSPACVSDALRHYYYQYLSSTTEAAEEKIAMIRLNESLLEANKKLNHEKESLLRNKDLADGQMGALTKSLETMQKEIKDKESLVQDLKKSWEHQRKELNDCRAEITALKMHIEGSHSNLYSVTNDVDPGQLQSSETYKEEIKLLQNEIETLKAKYVNASDHVEPIVTKEVSEKAEDKVVEIHEDKNILAHVSDAGNAVVDNGDSRSLGTQTSGISMSKSEEVLHELSVVSTNNDNCMENKESISKSSGQQLTEDNVLPVKADYPCDEAVFEKGLGTIQILADALPKIVPYVLINHREELLPLIMCAIERHPDSGTRDSLTHTLFNLIKRPDEQQRRIIMDACVTLAKSVGEMRTETELLPQCWEQINHMYEERRLLVAQSCGELAEFVRPEIRDSLILSIVQQLIEDAATVVREAAVHNLAILLPLFPNTDKYYKVEEMMFQLICDPAGVVVETSMKELVPAVIKWGNKLDHVLRVLMSHILSSAQRCPPLSGVEGSVESHLRALGERERWNVDVLLKMLSELLPFVHQKAIETCPFSSVTQATGTMISTSVLELYAGGCIEWPAFEWIHVDCFPDLIQLACFLPQKEDNLRNRITKFLLAVSECFGDPYLTHIMLPVFLVAVGESADLAFFPSTIHSRIKGLKPKTILGARLATICVLPLLLAGVLGAPSKEEELVHFLRKLLVEGTKEESHSVNQYTEIVDAVRFFCTFERHHGMIFNILWEMVVSTHISMKISAAHMLKVIVPYTDSKVASTHILPALITLGSDPNLNVKYASIDAFGAVAQHFKNDIIVEKIRVQMDAFLEDGSHEATIAVIRALVVAVPHTTERLRDYLLSKIFQLSATPPTSSTLMRRHERADAFCEAIRALDATDLSPTSIRELFLPTIQNLLRDIDALDPAHREALEIIMKERSGGTFETISKVMGAHLGIASSVTNFFGVDGGGLLGKKESLEPTPSEPVEPPNPTPPPPAEDTRFRRIMRGSFTDMLRGKVKSQEESQTL >KGN60919 pep chromosome:ASM407v2:2:2768738:2771773:-1 gene:Csa_2G023860 transcript:KGN60919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNIATTFCKRLNVKDLVTNVPVYSSFSDGATAGLNLLFRRWATKKTAGSTKNGRDSNPKYLGVKKFGGERVIPGNIIVRQRGTRFHPGDYVGIGKDHTLFALKEGNVKFEKNKLSGRKWVHVIPKEGHVLHPVYETTSTSLQLKTAA >KGN61828 pep chromosome:ASM407v2:2:12203263:12212149:-1 gene:Csa_2G249830 transcript:KGN61828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQQQLSVIKGAKVLMVGAGGIGCELLKTLALSGFQDIHIIDMDTIEVSNLNRQFLFRKSHVGLSKAKVARDAVLRFRPQVSITSYHANVKNQEFNVDFLKQFSVVLNGLDNLDARRHVNRLCLAADVPLVESGTTGFLGQVTVHVKGKTECYECQPKPAPKTYPVCTITSTPSKFVHCIVWAKDLLFTKLFGDKNQENDLNVRSSDPASSSDHAEDIFQLNKDETIEHYGRRVFDHVFGYNIEVALSNEDTWKNRNKPRPIYSRDILPEEPTKQNGNTDKNCATDDQSLISAMTSLGIKNPQEIWSLMENSRIFIEAIKLFFTKREKDVGNLAFDKDDQLAVEFVTAAANIRAESFGIPMHSLFESKGIAGNIVHAVATTNAIIAGLIVIEAIKVLQNDANNYRMTYCLEHPSRKMLLMPVEPFEPNKSCYVCSETPLSLEINTHHAKLRDFVEKIVKAKLGMNFPLIMHGAALLYEVGDDLDEDMVANYTANLEKVLSELPSPVVSGTILSVEDLQQELSCSINIKHRDEFDEEKEPDGMVLSGWQQTPLEKDDCNKTLGNGESTSKTLPSAPDADKYDDSDMVASGRKRKLDEAVNISGEANSSKKPEVLDDDDDDLVMLDDGDNGDPAINKKKRLQ >KGN62119 pep chromosome:ASM407v2:2:14365552:14366729:1 gene:Csa_2G299890 transcript:KGN62119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALHQFPTSSQTSRFIERVSCNFNPSFIPRIVKVISKTTATRMCSQTIVRRSGNYQPPFWKHEFIQSLRSEFGGEIYVGRFNELKREIRLIINQIIDDPLKQLELIDTLQRLGISYHFENEIKNVLKTTYEKSYENDYWKNNNLYATSLEFRLLRQHGFNLSQDVFNNFYSSETKSFNTQMYQDLNGMLFLYEASFLSIEGENILETAKHFTVKYLEKYMKSSKDENEVAIVRHALELPLHWRMPRLETRWFIDIYERKVDMNPILLEFAKLDFNRVQSIHQQDLKYASR >KGN63412 pep chromosome:ASM407v2:2:22979402:22980027:1 gene:Csa_2G439200 transcript:KGN63412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQMPQMPMLNTFAGAAYPQGFVGPSSALPNSSTAVGAQGIHSGGLQFGQNQNNLQPAAQQFNPPNSHPPGTFSGNVAPQQFNQNSSSKQGRKSHGRGGNHFRGRRGGRQSR >KGN63177 pep chromosome:ASM407v2:2:21046249:21048035:-1 gene:Csa_2G406750 transcript:KGN63177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFQKDNPYGSSKYWSFALAYLAFFILFFTHKSLFSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLLILNLKKGLMWKFDGRINFGLWQLQAVKDVLIQVGLHKGVKGRQDTNMSDEEWEEMDLRAISVIRLNLAKNIIANVDRISTAKQLWEKLEAMYQARASEGDRSEDKALQLIWPLHPSL >KGN62500 pep chromosome:ASM407v2:2:16814341:16814673:1 gene:Csa_2G357230 transcript:KGN62500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGNGFCCSSFFLGLVFLVLFLGSEAHKNQKELNYCQRNLAINNGTARIGVVFDSGSQIGKQQIVAIKMGLRDFHLASSSCAKLELLLHDSHPNSTSSPSSSNPSSFNSL >KGN62084 pep chromosome:ASM407v2:2:14053080:14055196:-1 gene:Csa_2G296090 transcript:KGN62084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGGQSSKSKSGGGDVSFPPLPINDARYAAALSSYEAECLENPDLKSFDVQVHERTSRALNSLAGGVAVGSLSMDALMEVTDFLLEMNGDAVKIILKSKEDVWNKGLFSLVEAFFDNSLKVLEFSKALEESLRRTRDSQFIIKLAVKKFESDENGDNGERYVKTFEDLKKFQEAGDPFGEEFVTLFRSLYKEHLSMFKKLQHQKKKLDKKYSTMETWKTVSNVILVTAFASVLIFSVVAAAMSAPPVVIALGAALAVPMGPVGKWCNTLWNRYLNSIKVEKQLLSSMEGHSFIILKDFENIRLLVRRLSIQLGSLLQNANLGIREQGAMQLVIDEIKKNLEGFDETIEKLSAHATKCSTDVTKAREVILQKIARQSNS >KGN62237 pep chromosome:ASM407v2:2:15314931:15318888:1 gene:Csa_2G338810 transcript:KGN62237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLHDSRNDDGIKSFFQEVHELYIKTILNPLYLPGSRVTSSHFDTKVRALARKYL >KGN62626 pep chromosome:ASM407v2:2:17444830:17446046:1 gene:Csa_2G362390 transcript:KGN62626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSASGNNSSGSIRLQNSGSEEDLQVLMDQRKRKRMQSNRESARRSRMRKQQHLDELMAQVTQLKKDNAQILSNINITSQLFMNVEAENSILKAQMAELTQRLQSLEEIANCINTGGNNDGGFGETEEEKAFQIQTIVAADSFMNSMNFLYVNQPIMATADIFHY >KGN62992 pep chromosome:ASM407v2:2:19859165:19861174:1 gene:Csa_2G382650 transcript:KGN62992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGVVVENYSVLKNQVIDLIMKTRKRKAAPGCDNCCNFNRSASSDQYCGCCSDDNDSCYNKRPRENNSKPKVMRVLVPTPVSDSTLIVKDGYQWRKYGQKVTKDNPSPRAYYKCSFAPTCPVKRKVQRSVEEPCYLVATYEGQHNHPKPNSGIEYQLIGPINLGSNTKLDSSNVTSSPSSSIKSPSSSSLMPSMSFDHLTKSQPQIRSPSSSNSSSSTQKLLVQQMATLLTRDPNFTRALATAITGNMVDSEIWG >KGN63057 pep chromosome:ASM407v2:2:20358440:20359999:1 gene:Csa_2G396190 transcript:KGN63057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNVKVNSVAVVMGMVLLFGVSLGQFEGGGNGPFTRGDGSGRRRPENEMVPAMFVFGDSLIDNGNNNNLPSFAKANYFPYGIDFNGGPTGRFSNGYTMVDEIAELLGLPLVPAFSQVSGPQSLHGVNYASAAAGILDVTGRNFVSRIPFNQQIRNFENTLDQISNNLGAVNVGQSIGRCIFFVGMGSNDYLNNYLMPNYPTRNQYNAQQYADLLVSQYMQQLTRLYNLGGRRFVIAGLGLMGCIPSILAQSPSGSCSEEVNQLVRPFNVNVKSMINQLNNNLPGARFSYIDIERMFQDLLVNSRFYGLSVLNRGCCGIGRNRGQITCLPFQTPCTNRDQYIFWDAFHPTEAVNILMARKAFNGDQSVISPFNIQQLATL >KGN62340 pep chromosome:ASM407v2:2:15909189:15910191:1 gene:Csa_2G350230 transcript:KGN62340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTWLYLCLSSPHLITPNIVLPKPNLFYFILHLSSTCQSPELSSTVTSKSTSMAATMATMAVLSVKCTSINSTKTHNTQKIIPKPISLLSLQNLPKGLISSKSNQNSNLSTFLSSTAIAGAVFATLGSSDPAFAAQQIAEIAADGDNRGLALLLPLIPAVAWVLFNILQPALNQINRMRSDKGVIIGLGLGGLTASGFMYAPDASASEIAMIADASSSDSRGQLLLFVVAPAILWVLYNILQPALNQLNRMRSE >KGN61957 pep chromosome:ASM407v2:2:13159476:13159825:1 gene:Csa_2G276990 transcript:KGN61957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKPLTKFALFFLMVFIISEKLFVSDARNVVNIPCQSRIDCSDPWDCDCKLNLCFCHPHGLEKKFLHKAFPESLREDRKGNAP >KGN61862 pep chromosome:ASM407v2:2:12495403:12496405:1 gene:Csa_2G257100 transcript:KGN61862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYYRKIEISTKRKNKESKYVEYEGQFGTVVLIKAPSNPQNETTPQTHYKKKRFYRFSFLQSPSPEKTNMSPETSKSHKIRRIVRLRQMLQHWRKKARAAACTAPPSDVPAGHIAVCVGTGCRRFIVRTTFLNHPIFLKLLSQAEEEYGFETRGPLALPCDESVFEEVLRVVAHSELSNSSRTSNLKDLTRRCDEDVRSKNLEFLGESRPLLYGFSDNKSVC >KGN63188 pep chromosome:ASM407v2:2:21134933:21135580:-1 gene:Csa_2G408340 transcript:KGN63188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYSWLPVNLAHDLEEPKYTHGGGGSYGGGGGGSSGGGSSGGGYGGGGSHGGGGSGGGGQGSGGSGGGGQGGSGGGGSGGGGSYGGGGKGGGGGKGGGGGGSGSSGGGKGGGGSGSGGGGKGGGGSGSSGGGKGGGGSGSGGGGKGGGGSGSSGGGKGGGGSGSGGGGKGGGGGGKGGGGGKGGGGGGGGGYEDREYKKGGDGSEGGWKGNGGGK >KGN60724 pep chromosome:ASM407v2:2:1456225:1456395:1 gene:Csa_2G008400 transcript:KGN60724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRQPTMIDVEIEDKEELEQLRKRPAAASTYSSLLHNLSRNKDPASKAQRLGISS >KGN62606 pep chromosome:ASM407v2:2:17327174:17328434:1 gene:Csa_2G361700 transcript:KGN62606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMREQEKEVIMPGANSGAAAYGHIFGETSSERIHNGSHHHIQTDHHDAGENVGGGGGGGGGGGGGGGGGGGGGGNFSAGGRSKVRGGVSGVRYRECLKNHAASVGGNIYDGCGEFMPSGEDGTLEALKCAACECHRNFHRKEIDGETQLNISPNYRRGLMLNHLQLPPPLPSPSALHGHHKFSMALNLHSSPTAPIIAPMNVAFAGGGGNESSSEDLNVFHSNAEVMPPSSFSLSKKRFRTKFTQEQKDRMLEFAEKVGWRIQKQDEEEVERFCTEVGVKRQVLKVWMHNNKNTVKKQNENHEPELAGTGAGAGITNES >KGN62623 pep chromosome:ASM407v2:2:17414335:17420498:-1 gene:Csa_2G361870 transcript:KGN62623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARQLPQNHLANHIPGTTPSLCVQEEHLISARKWYFCKQEIENHSPSRKDGIDFKKESQLRKSYCSFLQELGMKLKVPQVTIASAMMLCHRFYMRQSHAKNDWQTIGTASIFLACKIEETPRFLNDVVVVAYELTFKWDPSASKRIRQKEVFNKQKELILIAERLLLSTLAFEVDIQLPYKPLVAALKRLGMAADLGKVAWNFVNDWLCTTLCLEYKPHYIAAGSIFLASKFQKVKLPSDKGKVWWMEFDVSPKQLQEVIQQMLKLFEKDRKQSLPPSKEKPHQPEGLDGQTRVDSSQSCISSVTVSDQSHEAMTESSGCNKSLIPNCCHNQQNINHSISPAEVLPCQTSDTGSSSSVIENGDTGICQNTEENYFDQITQSTSVSIPVSKDCKKINLFQIREAIKRRRLSRATSTKEVLPMNPDIDGEAWIEKELEQGIELEYGSSLNKKRKAS >KGN60891 pep chromosome:ASM407v2:2:2620026:2624005:1 gene:Csa_2G021620 transcript:KGN60891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGKSMELQSTASMEMETRTSTSDYTNPCPICLGPINQSSYLDKCFHNFCYNCIVQWTKVVSGKRSCTLSSIKCPLCKTESSSIIHGLDGHNFQRHYVNPDFQDSFILSKAHRYRLQCYYTEPGFLNDIFDVQRYWKLQKYLQANQWLEVWLKRELQALIQEEDVDIIMHHFLGLINSFFRRNEPEYQTETPELKRKRFSQTILDAAKPFLSARADRFILELELFLASGLNIEAYDSVYLQRLGWNKPIVPSVANEEDLGLKSVTPYLYIFDCDPDDGD >KGN61388 pep chromosome:ASM407v2:2:7688119:7688638:-1 gene:Csa_2G108660 transcript:KGN61388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTYVQVEYGSKEDDDRCQWQRRRWLVVSVSWWLMAEVVVAMEEREQLRDRWRPVTCL >KGN63120 pep chromosome:ASM407v2:2:20737580:20742078:1 gene:Csa_2G404730 transcript:KGN63120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKQMLDYAFQVSSCTSLQPKTKLHIQSLKSMEDNKTDQSTKVIRSANMDNLRTGYLFPEISRREYEHFEKYPNAKIIKLGIGDTTEPIPECIASAMAKQAMALSTVEGYSGYGDEQGEKALRKRIAEKFYKNMDVKWEEVFVSDGAQCDISRVQMLFGSHVTVAVQNPSFPAYIDSTVILGRAGNLQGQNGKYERIVYMECTPQNGFFPDLSNTPRTDIIFFCSPNNPTGTAASAAQLRRLVDFATANGSIIVYDSSYAAYISDGSPTSIFQIPGAKQVAIEISSFSKFAGFTGVRLGWTVVPKELSYSNGFPIIKDYDRIVCTCFNGASNIVQAGGLACLSTEGFQAVSKVLNHYKENAKILREAFRGLGMEVYGGQNAPYLWVHFPGSSSWEVFAEILEKTHILTIPGRGFGPGGESYIRVSSFGHRQTILEASKRLTSSLRKFNFN >KGN62226 pep chromosome:ASM407v2:2:15193458:15195291:-1 gene:Csa_2G336730 transcript:KGN62226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTKGLVHNDPKLGSAMDDLPFRFFQFFVMSTLRIDLLQPGRILCSLKVPARLINDNNSLRHGASVFLVDTLGHAAVKTLGPPSTGVSLEVNVSFFDAAYLDEEIEIDSNVLRLGKTIAVVNVEIRKKSNGKIIAQGRLTNYTPVSSKL >KGN61661 pep chromosome:ASM407v2:2:10708254:10708834:-1 gene:Csa_2G222040 transcript:KGN61661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFFPPEIINFLVFMLTRKFRASRRSEARHWKDKKIYTYKPTLLYNSVSQQPCTAAAKFYGSMGLFVEP >KGN61450 pep chromosome:ASM407v2:2:8321356:8322003:1 gene:Csa_2G123610 transcript:KGN61450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLGFQEAEKRGVPNPNHFLHLHQPSQNHYYSMDGSSNNSNFGSDSTNRITYYAAAAQDRRKVEYLRSLSQGTPRRLVPAASYLGVLLCLAASLLILPLVLPPLPPPPLMLLLLLPIGILGMLMVLAFMPSNDTKDITSSSHTYM >KGN63090 pep chromosome:ASM407v2:2:20560729:20564583:-1 gene:Csa_2G401990 transcript:KGN63090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFELYRRSTIGMCLTETLDEMVQNGTLSPELAIQVLVQFDKSMTEALESQVKSKVTVKGHLHTYRFCDNVWTFILQDASFKNEDSSENVGRIKIVACDSKLLSQ >KGN61036 pep chromosome:ASM407v2:2:3532687:3535659:-1 gene:Csa_2G035360 transcript:KGN61036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFVANMTSLVQYFLFVMHFDLQTAANTLTNFMGSAFLLSLLGGFLSDTYINRLNTCLIFGFLEVIALILITVQAYSHDLLPSPLCPKDCVKGRIAFVFYTSLYLLAIGSGGVRGALPALGADQFNQKDPKEAKALGTFFNYMLLSVVIGAAVGVTLIVWVAVNKAWYWGFFISALAALVGFIIFAIGKPFYRIQVPGQSPLLRVIQVIVVAIKNRRLRLPDTPNELYEISDKLHMDSNHYKIVHTNQLRFLDKAAIVPKDIEPQPWNVCSVTQVEEVKIITRMVPIFVSTIIMNTCLAQLQTFSVEQGNTRIMDKSLGHFQFPAPSIPVIPLVFMAFLIPLYEFFFVPFARKITHHPSGITQLQRVGVGLVLSAIAMTVAGLVEVKRRHQATEHPDKQISLFWLAFQYGIFGVADMFTLVGLLEFFYKEAPVGMRSLSTSFTFLSLALGYYLSSIFVNVVNKVTKNITPSKKGWVEGLIPEDLNHNNLNLFYWFLAILSVLNFFHYLYWASWYKYKTEEPVVELNSKGGETTKEPIAELNKQGEEIKEPTTAELLNGRGEGETKEPNAELNGGRETKEPITESNERGGDDVPILHKEGNGEDAKTHHTEEK >KGN61834 pep chromosome:ASM407v2:2:12254658:12260814:1 gene:Csa_2G249880 transcript:KGN61834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNLRNSVCFVVFFFLCWSLHFQLTNCENVTYDGKALIINGQRKILFSGSIHYPRSVPDMWESLIEKAKMGGLDVVDTYVFWNLHEPSPGIYDFEGRNDLVKFIKLVEKAGLYVHLRIGPYICGEWNFGGFPAWLKFVPGISFRTDNEPFKLAMAKFTKKIVQMMKDERLFQSQGGPIILSQIENEYETEDKVFGEAGFAYMNWAAKMAVQMDTGVPWVMCKQDDAPDPMINTCNGFYCDYFSPNKPYKPNFWTEAWTAWFNNFGGPNHKRPVEDLAFGVARFIQKGGSLVNYYMYHGGTNFGRTAGGPFITTSYDYDAPIDEYGLIRQPKFGHLKRLHDAVKLCEKALLTGEPHDYTLATYQKAKVFSSSSGDCAAFLSNYHSNNTARVTFNGRHYTLPPWSISILPDCKSVIYNTAQVQVQTNQLSFLPTKVESFSWETYNENISSIEEDSSMSYDGLLEQLTITKDNSDYLWYTTSVNVDPNESYLRGGKFPTLTATSKGHGMHVFINGKLAGSSFGTHDNSKFTFTGRINLQAGVNKVSLLSIAGGLPNNGPHYEEREMGVLGPVAIHGLDKGKMDLSRQKWSYKVGLKGENMNLGSPSSVQAVDWAKDSLKQENAQPLTWYKAYFDAPEGDEPLALDMGSMQKGQVWINGQNVGRYWTITANGNCTDCSYSGTYRPRKCQFGCGQPTQQWYHVPRSWLMPTKNLIVVFEEVGGNPSRISLVKRSVTSICTEASQYRPVIKNVHMHQNNGELNEQNVLKINLHCAAGQFISAIKFASFGTPSGACGSHKQGTCHSPKSDYVLQKLCVGRQRCLATIPTSIFGEDPCPNLRKKLSAEVVCQPVAT >KGN63407 pep chromosome:ASM407v2:2:22952867:22955307:-1 gene:Csa_2G439160 transcript:KGN63407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLRTQVVLMLRLRLSQFHIRFAFSSTFTSLSDSHYPNNCLHSFFSKPNLTFQSLLQFHSLIITTGNSNNVFFATKLMAFYAYHRKPAFSTHLFRLIHSKDIFLWNSIIQSHFSNGDYQRAFDFYLQMRASSSLPNQFTVPMVVSTCAELMMFNHGMNIHGLTSKLGLFVGNSAIGSSFIYMYSKCGHVESASIMFSEITVKDVVTWTALIVGYVQNNESGRGLKCLFEMHRIGGTPNYKTIGSGFQACVDLDALVEGKCLHGLALKNGFLCFEVVKSTILSMYSRCGSPEEAYRCFCKLDQKDLISWTSIIAVHSKFGLMSECLHLFWEMQASEIIPDEIVISCMLMGFGNSDRIFEGKAFHARILKQCCALSGITHNALLSMYCKFGHLGTANKIFHSFHKSSEDWSTMILGYSNMGQKEKCISFLREMLLLGREPDLNSLVSVISSCSQVGAINIGRSIHCYAIKNSIIENVSVANSLMDMYGKSGHVTATWRIFHRTLQRDVISWNTLISSYKQSGILAEAIILFDKMVKEKVYPNKVTCIIVLSACAHLASLDEGEKIHQYIKENGFESNITIRTALIDMYAKCGELETSRKLFNSTEERDVILWNVMISNYGMHGHVESAMEIFQLMEESNIKPNAQTFLSLLSACNHTGHVLEGRHLFDRMQKYGIEPSLKHYASIIDLLGRSGSLEAAEALVLSMPITPDGTVWGSLLSACKIHNEFEVGVRLARYAIESDPKNDGYYIILSDLYSCLGRWDEVEKVRDMMKKRGVEKRAGWSAL >KGN61084 pep chromosome:ASM407v2:2:3941494:3942124:1 gene:Csa_2G047780 transcript:KGN61084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSIHSFRSRRQATTISKLKPSRRRRIKLLHLPKHTSSSTVSATTNRTTPSAAMHKRLRNLQRLVPGHTHETNLNHLFQRTADYIHALQLKLNILKDLTSFYGL >KGN61848 pep chromosome:ASM407v2:2:12372456:12373333:1 gene:Csa_2G251485 transcript:KGN61848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGLFENPLAGDRFVNELGSQVSCSSSVKESTIDLSSRNSRQQSAAAGQSPGKDSADKNLTTGTTILEAVKKTVDPNTEVIYNINPTTDYFKANNFSHVIVAVGETPHAEPKGDNLNLTIPEGGSDTIQKVCIVVIVSGRPLTRQQYTSQLDALEAAWLPGTEGEGVTDVLLGEYGFTEKLERTRFKTQEKDG >KGN63076 pep chromosome:ASM407v2:2:20472145:20478333:1 gene:Csa_2G401360 transcript:KGN63076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPTEVSVITLGGKGSSLSSSSVYAIAHGFALVRIDSSALDRLSSSSNNPNAASIKHHLLIPDFLTREEARASLVVLLNKLIISSSSGIRSVIPVLITETLNSKPETLQFESLDVTNEELSVFKQSCYVLNGVCALLDHQSTALSSVADAVAGISCEASKADVSAFSLMDSGDGFASKEEVGVANDMKVLLNGSKLVGKIESEAISKIPKVHGCLREQAKLVHSRMRVELNSSVKIGKGGSLSSGTEDTTRTALLSFAAMLWDLGKCSLDRGKLILGSSGDENIKASLASLLARECPSNESLRKEYKLVCELSLDEKYDEFVHTVNVLLVTVWKIFSWEATAALLTIEGGELIGKGQDVGTNEANEKVVKKNEKKKKAVLGKGTSVVVQSIKDKLQGNGGGLGSLENLVKDLLSFLDPKASGFDNLLKKIKDIVESNESRRLPKLPKGTRDFAKEQMTIRKQAFSIIESVFERHGATTLDTPAFELRETLTGKYGEDSKLIFDLADQGGELYSLRYDLTVPFARYVAMNGLTSFKRYQIAKVYRRDNPSKGRYREFYQCDLDIAGHYEKMGPDFEVIKILTELLDELNIGEYEIKLNHRKLLDGMLEICGVPPEKFRTICSSIDKLDKQSFDQIKREMVEEKGLTVEVAERIGNFVKERGHPLDLLSKLKQEQSALLQNKGSSDALSELDILFSALEKSKCIDKVVFDLSLARGLDYYTGVIYEAIFKGGTQVGSIAAGGRFDNLIGMFGSKQIPAVGISLGIERVLVIMEQILKDQNKAVRATKTDVLVSILGDDLTLAAELASEMWGAKLNVEFLVNKRVMKHIDRAKESRIPWIVFLGEREVSEGIVKLKNVETFEEVTISRSTIIDELKKRLTP >KGN62585 pep chromosome:ASM407v2:2:17230949:17232115:1 gene:Csa_2G361510 transcript:KGN62585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESMSQRRTSLFFGQQNQRRIGAKLNSLSNLLLGRTSPRSPFPPFSTTGVTCFFPPFDRSTSPSFLNLAAADPENLITGKYYPDFIRGIIQWSCEVSAICILSMPLKAA >KGN62270 pep chromosome:ASM407v2:2:15522786:15526524:-1 gene:Csa_2G346600 transcript:KGN62270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFSHSLATPPPSLYTHNPRPSLIPNLIKLPAASSLPPQRITTTRRARRALQIHAAASPSVKKQSSDERVLKVHSKEEFDEALKKAKGKLVVVEYAASDSEQSSQMYPFMVSLSRSCSDVEFILVMGDESEKTKELCDREKIEKVPHFSFYKNMEKIHEEEGIGPDQLEGDVLYYGDNHSAVVQLHSGEDVEKLIGEHKEDHKLIVLDVGLKHCGPCVKVYPTVIKLSRKMETVVFARMNGDENQSCMKFLKEMNVVEVPTFLFIRDVVNCVIYGPKIFKEKEKLSIPFLPSGFFISSSRELPPSSISLLEIRFSSVPSTFSDQSLPPIFFCFAASRYYHIYGDFVSTPLGLESSLRLLSTHTDQILSKSLGIVPLSAKGNL >KGN62612 pep chromosome:ASM407v2:2:17358491:17360485:-1 gene:Csa_2G361760 transcript:KGN62612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPSFLLAISVIAAVLVAEVTAAAVTTAVLITVDQSGKGNFTKIQQAIDAVPINNKEEVFISVKAGIYREKVVVPANKPFITISGRRAVDTIISWNDSKNTYNSATLAVLASDFVGRYLTIQNGYGPGAQAVALRVSGDRVSFTACRFLGHQDTLLDDIGRHYYKSCYIQGATDFICGNAASLFENCHLRSVSEDVGTITAQRRESPSENTGFVFMGCKITGINSAVLGRPWGAFSRVVFGFTFMSDVILPEGWDNWQDPSKQSTVYYGQYKCYGKGANTSRRVSWSFTNMTAQDAAPFFTKSFIGAADWLRPVPNRFKRAFSSHP >KGN62695 pep chromosome:ASM407v2:2:17889818:17894293:1 gene:Csa_2G368960 transcript:KGN62695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAGEYELDISEEEKDKLVAEAIRYIIFKTHQNSGCPIKREELTQLVTKNYRNRGLPAIVIDEAKRKLSSIFGYELRELQRTRPSSTGQPHSSQQNVGDAKSYVLISKLPADIYRKYVEDVNTAHVTGFTFVIISIVHLAGGKIPEESLRHHLKRMGLSESDENHPVLGNIKHAIELVVQQRYLQKVKVNAPEGNITFYELAERATDGPVSEKIKEYVAQIVNTNVTAADT >KGN60911 pep chromosome:ASM407v2:2:2729556:2735149:1 gene:Csa_2G022800 transcript:KGN60911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSFPNPSFPHCSFLISNSTSSTHFPLSFPPTSLSVFPQISSTKNGSVSKIHGKFEKFQGETPQDDVLEANPSLSLQQQLSQEVEEDDSCLPSDLEGAVRQSGESAGLFVSSGGSRAIVELLIPQLQFLDDEGAQAELWELSRVFLESLMEETNCEKVKAVFPDAGAAALLEYRWKDASFKISSLSDRKPVDIADEIVVMVVPDYQMLANVEKIAAALSDDPPRPLIMWNPRLISEDVGVGFNVRKLRRYFLSTFTTVYSMRPLPSGAVFRAYPGLWKVFYDDKDRPGRYLLAKELISRPDAGDLEIIFGNVADSDQDPSFLDKAAGVLSSLTRFMKAISK >KGN62192 pep chromosome:ASM407v2:2:14944138:14959614:1 gene:Csa_2G325960 transcript:KGN62192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKGKGIANDSSSGERKLRDDNTSSAARKRRDRSVLQFFEDVAPEVGGESDNSDFFDDLMDMEDNLGTLPTFKNDDAKAQDIPFFPKEEEMNEEEFDRIMEEVYNRAPGLGAFAEENYENKNSTGRNPPAQSARDTISLWKVKCMVGRERQSVFCLMQKFVDLHSFGNKLQIKSAFCVEHVKGFIYVEAPRQYDLIEACKGITGIYSTRVASVPENDISQLLSVRSRVSEVTVGTMARVKNGKYKGDLAQIVAVNNARKRATVKLVPRIDLQAMAEKFGGGAAAKKTANPAPRLINSSELAEFRPLMQFRRDRETGKLFEFLDGMMLKDGYLYKKISLDSLSCWGVMPSEDELLKFKPSESNESNDLEWLSQLYGEKKKKKKKVVTTEKGGGKGEGSSGSSSTSSFGDHNLVCFGRKDFGMILGTSEKDDSYKILKDSPDGSVVVNVQRKELKSGALDAKFTAADHNGKIISVSDNVKVLEGSLKDKQGIVKHVYRHTLFVYDENEVDNDGYFCCKSNMCEKIKISYDVPGGKEDDKGFSGFEDFSSSPKSPLSPKKPWAEKETGREYNRDDRADGMFSIGQTLRIRVGPLKGYLCRVIAVRKRDVTVKLDSQQKVLTVRSDFLSEVQRKSSAAAPLSEDPLKPFDILGNEGGSQDWIGGGGSSAGGDGWNSARPSSERSPWPSFPESGTSNGPGSSSTNPFGSDAKNDEDSPWISKLTPEASTSWGAAKSSVDTANDGQASGWGKSDSKICSDGNASGALGKTVVPSGDSAGFTDSESGGWKKNQSANFGDDNAPVETSADRWGSKSRSSGSWGDQNASTTVSEIQPAGKGNAGAWNVGTAKDESGGWGKPKNVGDVGSSAWNKSTAGDGDGQNGSWNKPKPSNHDGNVGKKEWGQGNEASDNGNKWQSSRSDGGKKWGTNEAEREGGSSWNTSKSSDVGPASWKDKPDSSSLTAPKGDQWAEGWDKQHSSNDTKASDDNSSWNKKPVESGKDGELKNQGSGWNVGKTSGGDSASGWGQTSKEADLSDQAGSWGSNWKKNSDTRNEDSSSAKKSSWGSGSGNSNWGEKSNWNSGNEFNAITGGAEAQTDVSNDTSGYGSWKPESSDRGGYRGRGGFRGRGERGRFGGRGRSDRGGFGRGGSDRGGFGGRGRGRWNSEGGSNDGENKGWSGGGGGGSDNKGWGSGGGGSDNKGWSSGGDGSNNKGWSTGGEGSGNKGGGDNKGWGSGSGGSSDDKGWSGGGNGVGGGDNKGWGSGGGGSNDNKGWSGGDNKGWGSAGGSSDNKGWSSGGSGGDNKGWSSGGDGRSWNQGGDEKNQQQHSWKSSNDGGQGSGWKEPSGSDHNNWESSGSSGAGNSSGWNNSTTGKETEESGGHNSWNQTTKTDSQGGGWQKSASSWNAGTENQTVTKDVSSVSKDGGWGKSAEPSTLDKEIANVGAQGGGAAGWEKPTSSWNTEQSRGENNSGGGRGGARGK >KGN61945 pep chromosome:ASM407v2:2:13028509:13033940:-1 gene:Csa_2G270880 transcript:KGN61945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFFCRISQSKLKSFLDQFKRHYFQISHSAALDSRRTTHNVYGYVERIPRRPADFADKFRFYAAPVQFQGNAKKEEKDKSGPRLNDKIKADFVRLVSDDGHTILSLRDALKRARELKLDLVEVQQKANPPVCKLMDFHREKYKKQIREKDRVKSKVEMVMKKAGHKEVRFTGKTEEKDLRMKADMVKRLMERGYRVKCTARGGENQDLGALLSRLTALIEDVALVENGPSVEKGQAFIIVRHVKFGPSKKGGGSKASKVVANAEQKVQNGSTPLVSTVDPEDGFESSEETTWTVDGTSDSDEAFDLKDDRNVITSTTATKKMNVVSDRDVPDSGRTSSVPLFQQDSSIKTDNRPKKIEPMNHFQPPNSQGARDSLRSGPQIREPVNRFQPPNATDRYSQGARDSLRSEPQIREPMNRFQPPNVTDRYSRGARDSLRSEPQIRDQRWHPPPNTNFSPTMRESRQYDNNTSVSRNINHSSNSTSTPAPSHHSDSSPSKTSFGIFSSSNSNAPGKQDPPATGYQGNRGPPYTRLTNPNAPGAAENTKFPITNTEDNSNKGQKSWGIFSK >KGN61224 pep chromosome:ASM407v2:2:5541547:5549943:1 gene:Csa_2G070900 transcript:KGN61224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGPSASSIDGGGGFRDAESLFRTKPISEIRKVESSTRAQIQSKQEELRQLVGNRYRDLIDSADSIVLMKSTSHSISSNLSSIHLSIRSLSSSDLLTLLPSNNHVRVTLYAIACRVKYLVDTPENIWGCLDESMFLEAAVRHLRAKHVQQALTTHNADSDRKFLSNFPLLQHHWQIVESFKSQISQRSRERLLDRGLGVGAYADALAAVAVIDELEPKQVLSLFLDTRKSWISQKLGTCGSNAAWSVVVSVFCEVLAIIQVSIGQVGELFLQVLNDMPLFYKVILSSPPASQLFGGIPNPDEEVRLWKLFRDTLESVMVMLEKDYIARTCSSWLRECGREIVSQINGRFLIDAIGSGQDLSSAEKLIRETMESKEVLEGSLDWLKSVFGSEIELPWSRMRELVLEDDSDLWDDIFEDAFARRMKTIIDSRFMEMIKVVNIAESVHLTEDVLSNLGYLNRASTGGGVWFIEFNAKKTCPTVGAKASVEESDFNNCINAYFGPEVSRIRDAFESCCQSVLKDLLSFIESPKASLRLKDLAPYLQNKCYESMSTVLMELEKEIDNLYSNMENCRTASQPVSLAPLVERSIFIGRLLFAFQNHLKHIGLILGSPKFWVNDTPSSVFDKHSSLLRPSKGVPDSPLYVNSPGRQMSTDIRRQTSLATAALLGTKETASSKLEELNRVTHDLSVRSHSLWMLWLCNELSAILSRDLAQDDALLSATPLRGWEETIIKQEQSSEDQSDMKIALPSMPSLYIISFLFRACEEIHRIGGHVIEKIIIRKFATTLLEKVIGIYGDFISSMEVGGPQVSEKGVLQVLLDIRFTADILCGGHSNMSEELSKNPRVKYALRRKQDISEEKSVIRDRVNALTDRLSRRLDPIDWQTYEPYLWENERQTYLRHAVLFGFFVQLNRMYTDTVQKLPSNSESNIMRCLTVPRFKYLPISAPVLSSKGGMKATVPTPSDDISSRNSWKAFTNGELPQKMDLNDNSSFGVAAPLFKSFMQVGSRFGESTLKLGSMLTDSQVGIFKDRSAAAMSTFGDILPAQAAGLLSSFTASRSDS >KGN62249 pep chromosome:ASM407v2:2:15417547:15418856:1 gene:Csa_2G345900 transcript:KGN62249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSMKRRNHSKSKPTAPPADLLVCFPARAHLTLLPSPARPPAEPHRRHYRKAQPSPLPWAKEMSSEPTSPKVTCAGQIKIRPHSHRSTKNWQSVMEEIERIHNKKKNPIRNQNPFGFKREIVNFLSCLRGFRFDFRCFRGFPQSDITTDDEDDEEEVFEQHEPESESQSESEEEPTRGRTMFSEWFMVLQEGEEETKPINNDAVSSLEFQPSSVSVPPPNALLLMRCRSAPNSLLRKPKQQEEKEEEEEEEEEEEEEEKSKISLKLLMEEEKEMVTAKKKSLMVMDYDADFYKLSSDIAKETWVVSGSSTSSSSSRCNDDPLLRSRSWKR >KGN60638 pep chromosome:ASM407v2:2:892920:897356:1 gene:Csa_2G005350 transcript:KGN60638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDESKFDVHLNLWALRIPRELCKAAIKILNGYLIDKPRIKPVTEDPTCDKNRYVILSEKVQTPELSEIPEMKLEELKGLCMFERVPYSLTLGYSYWGADHILKKILPPEVEVPSSFETILLCHVAHLNIHDELLPYKDVIAKVIYDKNYPRIKTVVNKVGSITNEFRVPKFEILKGENDMVTEVKQYGATFKLDYSLVYWNSRLEHEHIRLVSLFQPGEVICDMFAGIGPFAIPAAQKECIVYANDLNPDSIRYLKVNAEINKVSGRVHVYNLDARKFISQLMIVPPNESNSESVTSILKACEKGIGGTNQESPLANVQVQEVQDYIKISNKSVEQSRSADISVAVLKRSSESCENEKDNGIADDASTRVAGRRNGNGNKRMKGSSVSHARTWEHVDHVIMNLPASALHFLDAFRGSIKKKYWKGSLPWIHCYCFMRANENQDFIISEAESALNANIQDPIFHRVRDVAPNKAMYCLSFRLPEQCVDEDTTS >KGN62981 pep chromosome:ASM407v2:2:19756698:19757649:1 gene:Csa_2G382540 transcript:KGN62981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRPQQRFRGVRQRHWGSWVSEIRHPLLKTRIWLGTFETAEDAARAYDEAARLMCGPRARTNFPYDASLSRSSSSRLLSATLTAKLHRCYVASMQLTKQSSSAARRPQTDVIRTDFSVASGIAPVERVEVDTMTAKKVKMESVDCEEPLMIEMLDDDHIQQMIEELLDYGGIELR >KGN62828 pep chromosome:ASM407v2:2:18839597:18843532:-1 gene:Csa_2G375190 transcript:KGN62828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQASRARLFKEYKEVQREKVADPDIQLVCDDSNIFKWTALIKGPSETPFEGGVFQLAFAVPEQYPLQPPQVRFLTKIFHPNVHFKTGEICLDILKNAWSPAWTLQSVCRAIIALMAHPEPDSPLNCDSGNLLRSGDARGYQSMARMYTRLAAMPKKG >KGN61627 pep chromosome:ASM407v2:2:10324789:10326906:1 gene:Csa_2G193310 transcript:KGN61627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVEADVPVAGQQPKKRTFKKFSFRGVDLDALLDMSTDELVKLFTARARRRFRRGLTRKPMALIKKLRKAKREAPPGEKPEPVRTHLRNMIIVPEMIGSIIGVYNGKTFNQVEIKPEMIGHYLAEFSISYKPVKHGRPGIGATHSSRFIPLK >KGN62560 pep chromosome:ASM407v2:2:17116416:17120426:1 gene:Csa_2G360770 transcript:KGN62560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISKTHFLILSNLFFLVLISTLKTEAKCSKSCTSDQTPYDFPYPFGFSAGCPIRLNCSHDGAAMIGEFPVESINSDHIKVVIKAKCNRKFHTIHQFFSPNYAPRANNAVLLQNCSSPISPCFLPTTMVQTKFESPDCSVNRSSISCYTQNATGSAGFLDFKNLTRTHCDYLLSSISSEELNSNISAGISLEIQTVDLGWWLQGGCHQSCHEDANCTELKSPIDGMLSHRCRCREGLVGDGYLAGTGCRKALNCYTTKYIIGECGTSTTATRTAILIGTLIGGAAVLLALGLFCFFIRRRSNLQSIHLNKITKRRLSEATAGAGKSTIHLYTHKEIQKATHSFSDDYHLGAGAYATVYAGKLRNGEWVAIKRLKNRDPDTIHQVLNEISLISSVSHPNLVRLLGCSMESGDQILVYEFMPNGTLSQHLQKQRGTGLPWLVRLDIAVETANAIAHLHSAINPPIFHRDIKSSNILLDENLKSKVADFGLSRLGMAEISHISTAPQGTPGYLDPQYHQDFHLSDKSDVYSFGVVLVELITAMKVVDFCRAKEEVNLAALAADRIGNGRVREIVDPLMDMEGDEWGISSVEKVGEVAFRCLAFHRDVRPSMVEVAAELEEIRRSRWEEGGLKCKEMELVVESGSSKSSHGGGDYFSRGSVEDSWRSEQSSPSSSSLLNNVLL >KGN61804 pep chromosome:ASM407v2:2:12021848:12023807:1 gene:Csa_2G248135 transcript:KGN61804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQNLKVLVIGATGYIGKFVVEASAQAGHPTFALVRQSTLADSAKSSIIHNFRNLGVNFVFGDIFDNESLVRAIQQVDVVISTVGRGLLSHQEKIISAIKQAGNVKRFLPSEFGNDVDRVHAVEPAKSMFASKVEIRRAVEAEGIPHTFVVSNFFDGYYLRNFSQPGATEPPRDKIKIFGDGNLKVIYNKEEDIGTYTIRAIDDPRTFNKILYIRPPANIYSTNELVSLWEKKIGRILERTYVSEEELVKNIQETPVPLSTALAISHSAFVKGDHTNFEIEPSIGVEASELYPNVHYTTVEDYLNQFV >KGN63376 pep chromosome:ASM407v2:2:22733031:22736722:1 gene:Csa_2G433910 transcript:KGN63376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKSFKPIRSNLSTASDASEAQKPPLPPTVTFGRRTSSGRYISYSRDDLDSELGSGDFMNYTVHIPPTPDNQPMDPSISQKVEEQYVSNSLFTGGFNSVTRAHLMDKVIESEAIHPQMAGTKGSRCAIPGCDAKVMSDERGNDILPCECDFKICRDCYVDAVKTGGGICPGCKEPYKNTDLDEIAVEHGRPLTLPPPATMSKMERRLSLMKSTKSALMRSQTGVGEFDHNRWLFETRGTYGYGNAIWPKDEGFENGNSDEVEPMEFMNKPWRPLTRKLKIPAAVLSPYRLLIAVRMVVLGFFLAWRVSHPNTDAYWLWAMSVVCEIWFAFSWLLDQLPKLCPINRATDLNVLKEKFETPSPSNPTGKSDLPGIDIFVSTADPEKEPPLVTANTILSILAADYPVEKLACYVSDDGGALLTFEAMAEAASFANIWVPFCRKHGIEPRNPESYFSLKRDPFKNKVKSDFVKDRRRVKREYDEFKVRINGLPDSIRRRSDAYHAREEIKAMKVQRQNIGADEPIESVKISKATWMADGTHWPGTWLQPSSEHSKGDHAGIIQVMLKPPSDEPLHGTVEDEKLLNLSEVDIRLPLLVYVSREKRPGYDHNKKAGAMNALVRASAIMSNGPFILNLDCDHYIYNSQAMREGMCFMMDRGGDRLCYVQFPQRFEGIDPSDRYANHNTVFFDVNMRALDGLQGPVYVGTGCLFRRVALYGFDPPRSKEHQAGFCSCCCGGQRKKHTSVASSPEESRALRMGDSDDEEMNLSLFPKRFGNSTFLIDSIPVAEYQGRPLADHPAVKNGRPPGALTIPRDLLDASTVAEAISVISCWYEDKTEWGNRVGWIYGSVTEDVVTGYRMHNRGWKSVYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNAFLASPKMKVLQRIAYLNVGIYPFTSIFLIVYCFLPALSLFSGQFIVQTLNVTFLTYLLIITLTLCMLAVLEIRWSGIELEEWWRNEQFWLIGGTSAHLAAVLQGLLKVVAGIEISFTLTSKSAGDDVDDEFADLYIVKWTSLMIPPITIMITNLIAIAVGFSRTIYSVIPQWSRLIGGVFFSFWVLAHLYPFAKGLMGRRGRTPTIVFVWSGLIAITISLLWVAISPPAGTNQIGGSFTFP >KGN61045 pep chromosome:ASM407v2:2:3593638:3598020:-1 gene:Csa_2G035450 transcript:KGN61045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVASNLKSETMDLMQKRSAIEAQMDAIISRLCQPGGPGLSGNLVDSEGFPRSDIDIPVIRSERGRLTELRNDHTEITEKINQNIQVLHSAKPACGLSLSRNAGNTEGSIGQRSSITAVTSPSSNGISQRDSSTAMDIDANGSIPFALVDEIADASPAADDGLQLGDQVLKFGNVEGGDDLLRRLASEAQNNQGRAIPVVVMRHGTPVNLTVTPRSWQGRGLLGCHFRMM >KGN62597 pep chromosome:ASM407v2:2:17272312:17278226:-1 gene:Csa_2G361620 transcript:KGN62597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSSRSAKLTILALLSASTLYCFYKSRRLRKLKLSLNPTLPSRKPKLFFISQTGTSKALAHRLLNVLNSNGLVFDLVDPKDYEPEDLFKETLVLFVASTWEDGGPPPHAKFLANWLSESAEDFRVGSLLLSQCKFSVFGVGSRVYGETFNAVARDFSKRLKALGAKEILPIGEGDVDGGDIDKCFDDWSRKLLKVMKFDAEGNGVELCSGIAGDSDAVSVEEDEEEDDDLGEEDIVDLEDIAGKGPSRKSTNIVETNGKLNGKKVMVTPVIRASLEKQGYKIIGSHSGVKICRWTKSQLRGRGGCYKHSFYGIESHRCMEATPSLACANKCVFCWRHHTNPVGKSWQWEMDDPLEIVNSAIDLHTKMIKQMKGVPGVTQEKLEEGLSPRHCALSLVGEPIMYPEINTLVDELHRRRISTFLVTNAQFPDKIKLLKPVTQLYVSVDAATKESLKAIDRPLFGDFWERFIDSLKALNEKQQRTVYRLTLVKGWNTEDIDAYSNLFSIGRPDFVEIKGVTYCGSSTTSKLTMENVPWHSDVKSFSEALAAKSQGEYEVACEHVHSCCVLLAKTDKFRVDGQWFTWIDYDKFHDLVASGKPFDSKDYMAPTPSWAVYGSDEGGFDPDQSRYRKERHHKPKPTS >KGN60522 pep chromosome:ASM407v2:2:176025:177991:1 gene:Csa_2G000310 transcript:KGN60522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDDGRLHAIDDDDASSAHQLSYIDIYPLSNYYFGSKEPLLFKDETLSDRVLRMKSNYAAHGLRTCVEAVMLVELFKHPHLLLFQIRNSIFKLPGGRIRPNESDIDGLTRKLTKKLSANGASDASHWEVSECLGMWWRPDFETLLFPYLSTNVKGAKECTKLFLVKLPESRKFVVPKNLKLIAVPLCQIHENHKTYGPIISGIPQLLSKFSFNIIGT >KGN60524 pep chromosome:ASM407v2:2:179808:184225:1 gene:Csa_2G000330 transcript:KGN60524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDKLLKDEASEEKGERARMASFVGAMAIADLVKTTLGPKGMDKILQSTGRGRQVTVTNDGATILKSLHIDNPAAKVLVDISKVQDDEVGDGTTSVVVLAGELLREAEKLVASKIHPMTIIAGYRMAAECARNALLQKVVDNKADLEKFKSDLLKIAMTTLSSKILSQDKEHFAKLAVDAVMRLKGSTNLESIQIIKKPGGSLKDSFLDEGFILDKKIGIGQPKRIENAKILVANTAMDTDKVKIYGARVRVDSMSKVAEIEGAEKEKMREKVKKIIGHGINCFVNRQLIYNFPEELFADAGILAIEHADFDGIERLALVTGGEIASTFDNPESVKLGYCKLIEEIMIGEDKLIHFSGVEMGQACTIVLRGASHHVLDEAERSLHDALCVLSQTVNDSRVVLGGGWPEMIMSKEVDELARKTPGKKSHAIEAFSRALQAIPTIIADNAGLDSADLIAQLRAEHHKEGCNAGIDVITGSVGDMAELGISEAFKVKQAILLSATEAAEMILRVDEIITCAPRRREDRM >KGN61412 pep chromosome:ASM407v2:2:7953917:7960570:-1 gene:Csa_2G119340 transcript:KGN61412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQPGNPANSTTINSSIPVSEAYWSLVDKADRKFSKIRDLPYYERNRYDAYFHKAFKVYTQLWKFQQENRQKLVEAGLKRWEIGEIASRIAQLYFGQYMRTSEASYLSESYVFYEAILTREYFKDGLFQDVSLANKQLRFLSRFLMVCLVLNRREMVHQLVNQLKMLLDECKRTFQETDFREWKLVVQEIMKFLKADTAFMNIRPFRYSVVLEPHPDSLTPVPPTLTTRYLRLQDAILSSYNHNEVKFSELTLDTFRMIQSLEWEPSGSFYRPNNRSGQNGGTGPSRSNFSQDIVDPTLPSNPRKSILYRPSVTHFLAVLATICEEMASDGVLLIYLSAAGSGKNFLSSPAGTDLGCESINNADNIDKTKSPCSQVEGGYKGLQSGCLSFGTRGKGGLSCIYPSDLVPFTRRPFLLVIDSDGSEAFETIHGAEKGEPAAMLLSPTAITHAVATDYSRHGSLFTLFLTAPLHAFCLLLGISGSDVEMDTFSKAENVLSSSLSEWGQLLVTSESLDQVWAQILNDPFIRRLLLRFIFCRAVLTFYAPTLGKKEYIPRCVPSLPSVVDPTTATLQSVVMKIANILGVSRSFVFSENLLLSEN >KGN61890 pep chromosome:ASM407v2:2:12625562:12626970:-1 gene:Csa_2G263850 transcript:KGN61890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQEEFVLPLEEGRSFDEQTSSSSSFLSRFLLYIGVHELFSQEMWKAAMTELVATSLLIFCLTTSIVSCLNSHQSDPKLLIPIAVFIILFLFLVVTFPLSGGFLSPIFAFIAALHGVITFTRATIYILAQCLGSILAFLMIKDAMNPDVADKYSLGGCTIRGTGETPGLSLTTALVLEFACTFVVLYVGVTVVLDQKMSEQFGLPMVCGMIAASSAVAVFVSTTITGRAGYGGVGLSPARCLGPAVLRGGLLWEGHWVFWVGPFVACVVYYGFSMNLPKGLPVGAKGEIGILKMVGGCCRRRRQKFKGKLRENVNQLCN >KGN60637 pep chromosome:ASM407v2:2:889767:890390:1 gene:Csa_2G005340 transcript:KGN60637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLAYAVAHSSQSTQLRIRNRRKTSFRKRCFRMIKQQKTRFYILGRCISMLLCWHDHDITD >KGN62266 pep chromosome:ASM407v2:2:15496454:15499705:-1 gene:Csa_2G346070 transcript:KGN62266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPVTFVVDKLKGFGTATQNFFDGLVHRREKSARRSPIEILKRLQREAFSDLMRLRDRQDKVEKVLSLYNTQRSSPFQENVTHVKGEVNVLGALLFMSVIDNHSFKALHRAGISTGIHSRLTFETTVRESDSLVAEFVANQKAKVDFGVDSGSELTLSKVLYKASVGDWMSATVVPVGARCRDVSIIANPSHQEKGLTDASSFGPPLLDQPNGGAIGLTVRKSNLTASLAQFISTERIQPSFDRIQHHLGTFGQLVCQLPRGMKLSLLGLLQVPKLSSNQHVNLGALTIPVCLSRRKSPETVDGPDPRLLTVSGEEAISRRSIALLLESELDEETRIAGWVELSQSNSKYLRWAVSVSDNNSEDALGWGMSLSGILGSAIDRDHFQVESYVKLNVSKKFNLKPGIAYVTDGNAKMMAFLVRSKWSL >KGN61635 pep chromosome:ASM407v2:2:10384242:10384442:-1 gene:Csa_2G193880 transcript:KGN61635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLNFHPFFRTKGESKKSKLQNKYRNSSHATASHEQIHHATKPISEICSNDYDGDIGITTMISHLK >KGN62801 pep chromosome:ASM407v2:2:18660483:18662284:1 gene:Csa_2G373440 transcript:KGN62801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIELNWIEKNYFFKLVGVSKISRLKISLSSRVPSPFLLIAPHLLSSPSSPRLRLLSPRFVRLLPLLSSDGDLKSGTMAVEVDVVNQELTLPHHDVKLFNRWTFDDVQVNDISLVDYVGVAPAKHATYVPHTAGRYSVKRFRKAQCPIVERLTNSLMMHGRNNGKKLMAVRIIKHAMEIIHLLTDLNTVQVIVDAVVNSGPREDATRIGSAGVVRRQAVDISPLRRVNQAIYLLTTGAREAAFRNIKTIAECLADELINAAKGSSNSYAIKKKDEIERVAKANR >KGN63067 pep chromosome:ASM407v2:2:20413308:20419485:-1 gene:Csa_2G401270 transcript:KGN63067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTVLNAPNSVQRINGLDWGSDVGLKRSPNSNFLCVRTSANVRSPRLFVVRASEERDAHMKKLGRSDAECEAAVVAGNIPEAPPVPPKPASPAGTPVVPLLPLSRRPRRNRRSPAMRASFQETNLSPSNFVYPLFIHEDSATIYPHRLGTWVLFQSVSKARDVGVNSVVLFPKVPDALKTPTGDEAYNDNGLVPRTIRLLKDKYPDLVIYTDVALDPYSSDGHDGIVREDGVIMNDETVHQLCKQAVSQARAGADVVSPSDMMDGRVGAIRRALDAEGFYHVSIMSYTAKYASSFYGPFREALDSNPRFGDKKTYQMNPANYREALIETREDESEGADILLVKPGLPYLDIIRLLRDNSPLPIAAYQVSGEYSMIKAGGVLKMIDEEKVMMESLMCLRRAGADIILTYFALQAARCLCGERR >KGN62409 pep chromosome:ASM407v2:2:16276556:16281991:1 gene:Csa_2G352390 transcript:KGN62409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANTPPSHQTCIPLPSDSGENQTDPEANATLVPIHIVTHVSQLPKEFVEPSPEKPLVVGFDCEGVDLCRHGNLCVMQIAFPDAVYLVDAVQGGEELVKVCKPALESKYVTKVIHDCKRDSEALYFQFGIKLNNVIDTQIAYSLLEEQEGRTKTPDNYISFVSLLADSRYCGVSYVEKEEVRLLLRKDPKFWTYRPMSELMVRAAADDVRFLLYIYHKMMEKLNHRSLWYLAVRGALYCRCFCISDNGYADWPPLPSVPDNLVKEGNAPEEEILSVLDVPHGMMGRVIGRRGASILSIKESCNAEILIGGAKGPPDKVLIIGSVRQVRKAEAMLRGRMLEI >KGN62884 pep chromosome:ASM407v2:2:19198561:19203119:1 gene:Csa_2G379150 transcript:KGN62884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAALAIESAPSQILVLGFLHGALNSLKRDISRMFAVFYGRPDIDEAARSNEDEDSLHPALKSLMTTVGDHCDLRSSDCFSEKRNFNGEGDPGAVFNVLDALLKGSLDRLKTMRESICLAKSGLQKCVLEATQQPDYTVMVRDLCLKGKFGAALLLRRKLIKEGFAVDLVTHNYLLNGLCINCDMEMADSLFREMLEMGPSPNCATYNIIIKGFCLRMNMDKALDLFYTMVNSGIRPSRITYNILLHALCKRGILVDARKLLEVILGDDIKTTDDAITSTILMDSCFKSGDTIQAVALWDKMVEKKTEIDVVAYNVLIHGLCLNQDKKIALGYVADMLKRGFLPDIFTYNTLISAFCKEGQFGDAYYIHDVMSRMGVSPDQILYKMIIQALCMNGDAIKADEFLHSMLEKSMVPEPHIWNLIIDCYGRYGYLSNAISKRDQMLVAGVQPNVFTYNALIHAQVRGGNIFSAYSLKKEMLLLGLFPDVVTYNLLINGACKHGQLRFALQLYDEMLRKGYEADKITYTELINGHCMCGEMKEAEDLFFELHRSGLPMDIVPFKVIFEKYQKIGEPDMALKFYRRWLARMA >KGN62050 pep chromosome:ASM407v2:2:13807552:13812099:1 gene:Csa_2G292800 transcript:KGN62050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLCNSKPSPNSDLFAEKSGSRTPNEGSNSTQPNSVTAMGGASPLPPSSENGRDGRAGNRRNESHEMDNVKKSPFFPFYSPSPAHYLFSKKSPARSPANASANSTPKRFFRKPFPPPSPAKHIRAVLARRHGSVKPNEVSIPEGTEAEGVTGLDKNFGFSKHLGSKYELGEEVGRGHFGYTCAAKFKKGELKGQQVAVKIIPKSKMTTAIAIEDVRREVKILKSLSGHKNLVNFYDAYEDHDNVYIVMELCEGGELLDRILSRGGKYTEEDARAVMTQILYVVAFCHLQGVVHRDLKPENFLFTSRDENSPLKAIDFGLSDFAKPDERLNDIVGSAYYVAPEVLHRSYSTEADVWSIGVIAYILLCGSRPFWARTESGIFKAVLKADPIFDEPPWPSLSSEAKDFVKRLLVKDPRKRMSAAQALSHPWIKNSMDVKAPLDILIFKLMKIYMRSSYLRKAALRAVSRTLTIDELFYLKMQFSLLEPSKNGTINIENIKEALMKNITNGMKESRIPDLLTSLSALQYRRMDFEEFCAAAVSIHQLEALDRWEQHARYAYDLFEKDGNRPIVIEELASELGLSPSVPVHAVLHDWIRHTDGKLSFLGFVKLLHGPSSRTLAKPL >KGN62468 pep chromosome:ASM407v2:2:16634487:16637609:1 gene:Csa_2G354940 transcript:KGN62468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPCQILQFIKAITLLNCVFLSLGSTMQSIHTDKIALLSFKSQLDPSTVSSLSSWNQNSSPCNWTGVNCSKYGTKRVVQLRLSDMGLSGFIDSQIGNLSFLQSLQLQNNYFTGSIPIQIHHLLHLRIVNISSNNLQGEIISVNFSSMPALEILDLSSNKITGRLPEQLGYLTKLKVLNLGRNQLYGTIPATFGNISSLVTMNLGTNSLSGSIPSQVGDLQNLKHLVLRLNDLSGEVPPNVFNMSSLLTLALASNRLRGAFPVNIGDNLSNLEVFHLCFNQFTGTIPHSIHNLTKIQVLRFAHNHLGGTLPPGLENLHELSYYNIGSNKFSSVGDNGLSFITSLTNNSHLSYLAIDDNQLEGMIPDTIGNLSKDISILNMGGNRMYGNIPSSISNLRGLSLLNLSDNSLSGEIISQIGKLENLEILGLARNRFSGNIPSSMGNLHKLIEVDLSGNNLIGKIPTSFGNFVTLLSLDFSNNKLEGSIPREALSLARLSKVLNLSNNHFSGSLPKEIGLLKNVIVIDISNNRISGDIVPSISGCKSLEKLIMARNEFFGPIPITLKDLKGLQHLDLSSNHLSGPIPYELQDIAGLQYLNLSFNDLEGAIPVGEVFESIGSVYLEGNQKLCLYSSCPKSGSKHAKVIEVIVFTVVFSTLALCFIIGILIYFKRNKSKIEPSIESEKRQYEMVTYGGLRLTTENFSEKHLIGKGSFGTVYRGSLKQGIPVAIKVLDINKTGSIKSFLAECEALRNVRHRNLVKLVTSCSGIDFSNMEFRALIYELLSNGSLEEWIKGQRSHQNGSGLDVLTRMNIAIDIASAINYLHHDCEYPIIHCDLKPSNILLDADMTAKVGDFGLASLLSESARTQNSITSTHVLKGSIGYLPPEYGYGVKPTKAGDVYSFGITLLELFTGKNPTDECFTGELNLVKWVESGFRKDVMEVIDIKLWKHSLDLKYEDQNMSLGKEKDCLMETIEVALSCTVNYPAERIDIKDVVSKLQNAKEKLI >KGN61331 pep chromosome:ASM407v2:2:6823075:6834669:-1 gene:Csa_2G083770 transcript:KGN61331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTDVSQEHHARNSFLVKHHTHFSPTHFFQNQEHSQTVLNQQLNPHPPDFSSFLGMDAVVMDLDESSKPSSTDTQARPRKVQKRKRGCMEIVSLEKEEREARIEGIQREIDSLFKYYDEVKCQKVDLDLGQCSSSDSIVAALMEESELSLSKLVDEIYEKMKKIDNGGVVEAVTVASVKASVLFVGRRVMYGVPNADADVLEDVSRECLWCWETRDLKLMPKSTRGILNIRRTCRKKIQERVTVLSAMKSSLLKSETDQTCIQEFTKASDRLSKVFDEAKIRLLTDGLSQKIATEMAEKEAKREEKLMVKQLERNQREAEKEKKRIDREQQKEKLQNEKESKVTEREEKRKEKEENEMKKQLRKQQEDAEKEQRRREKEEAEFKKQLSLQKQASIMERFLKKSKPSSSFPNDQSTTELIISVPLSKKSENVLQACTQLMDCTLSSSDAIIPVDIRRQHLSSWRLIGSSIRSRGEKHWGIRRKPKSELFKELKLSAGRESANDDELGEERLVDGWEEQITDAGTSQTELCSTLLDVRKSNRGKQLLQFAKSYRPAFYGIWSSKSHVVGPRHPFRKDPDLDYDVDSDEEWEEEDPGESLSDCDKDDEESLEEEGCAKAEDDEESEDGFFVPDGYLSENEGVQLDRMDTDDVDEVRSTPSSKQDMEGKELYSVLKQQKHLHNMTNLALRKNQPLIILNLLHEKDSLLMAEDLDCTSKLEQTCLAALSMCLMPGGCLIEMSVDGMADEDPEVCVPSDKDNGTQISTSTILDSEMTAIVSTIQSCSQGINKVVESLQLKFPSVPKTHLRNKVREVSDFVENRWQVKKAILEKHGVLPSPEKGTRRPKTIAAFFSKRCLPPAGKCINPNGSSPQSLEPDSAVQGQRTCTNQQ >KGN63103 pep chromosome:ASM407v2:2:20623924:20626301:-1 gene:Csa_2G402110 transcript:KGN63103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPLSLLCIFIILSFLLQGFSEAIATVDEGTNTVALTKKYHYQPKINCKSECSRRCSKVFRKKICMRACGTCCSRCHCVPPGTYGNHQACPCYARLRTHGNKPKCP >KGN61713 pep chromosome:ASM407v2:2:11234277:11234567:1 gene:Csa_2G231460 transcript:KGN61713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPWTNSKAIEHRWTTIEEEALVECLLQLVEEGGWRADNGTFKIGYLVQLRVKILKKQYTAIVEMMGPTYSGFGWN >KGN62387 pep chromosome:ASM407v2:2:16155783:16156903:1 gene:Csa_2G351680 transcript:KGN62387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNILQDCTETVADGAEVMDINFPTTTQAPACAACKFHRRKCSVECPLAPYFPANRLQDFENVRKLFGVKNVLKTLAGLDSYNRFKAAECMIFEANCRALDLVGGCCQIIPRLQNEIALLEAQHRHILRQLQISRQTAAAISQLGAIPSEFDSDCKHGLTPLLLDCDYSEDWIRKEHCDMNNEASFSKALTN >KGN60559 pep chromosome:ASM407v2:2:381526:386556:1 gene:Csa_2G000660 transcript:KGN60559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPLLRRRESVDKRCCRGLIFLPLGILAITVVGHILGVSLNGSVFNEENKHSDGIRINNSEIVESDKGVVAADDGRCSEIGASVLRQGGHAVDAAVATALCLGVVCSMWSGIGGGGFMVVRSASTLQSIAIDFRETAPLAASQDMYEANLTAKDIGPLSIAVPGEIAGLHEAWLRYGRLAWKSLFEPAIRLAKGGFVISPYLGKSLVSSTEMILHDPGLRQVFAPNGNILQVGDTCYNVELGKSLETVANQGPQAFYNGVIGEKLVKDVKAVGGILTMEDLRNYTVEITEAMTIEAMGYTVHGMPPPSSGTLGLAMVMNIFKSYNDPDATKGNIGVHRLIEALKHMYAERMNLGDPRFSNINNAVSNMLSLSFAKKIQEKIVDNTTFPPDYYLYRWSQLRDHGTSHFCIVDAERNAVSLTTTVNGHLGAGVLSPSTGIVLNNQMGDFSISTNISPDKLPPAPTNFIQPNKRPLSSMTPIIVTKDDRLIAVMGGSGGMKIVPAVIQVFLNYFSLGFQPFSAVERSRVYHQLMPNVVKYENLTCINGDYVEFSAKEKQFLEDRGHEVVAMDGAGAIVQLVVQNFEDAIDIGRKGGKLSNNQNNFGVLTAVCDPRKNGNPAVV >KGN62843 pep chromosome:ASM407v2:2:18957541:18964613:-1 gene:Csa_2G376800 transcript:KGN62843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPKVISLTIFLSLIVFSVAADAIVDGDDVSEVVREDGSDSSVLKIELEKLNSKIRELEVLIDGKARELEKKDYLISQKEEIFRDKSDRVSFLESEIESLQREGKLHAEETIAKAHSRAGELEKQVNELKKELDAQNREKNTLEVRSNEAQKKMDKIISKLEKLQNTNEEQKSKIQKLQRALKVAEEEMIKAKFEVTSKTEKLMEVHGAWLPPWLASFWDVHAKPTINTVVQKVWEGKMHVENWLGPHVEPIKSKWIPAMHEQWLVVKTNSEPHWQLLCKRSSEAYRASKQAVIPHLIGAQEFGYPYFQKVKIVCKPYVDHVATIMKPHVDKVRVALNPYTKDVVHACGNFMQSATTHRQKVKSTIQEVLNRHDITRPVATTEFEWLLDSALLVLPVLILFHLCSCCGGISRKKKRTSVRGTSTNHVRRKGKKGNSGK >KGN63383 pep chromosome:ASM407v2:2:22812873:22813082:-1 gene:Csa_2G435480 transcript:KGN63383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYEESELEERDRSDLEADGVKCVERTPINGKLVVDKRREKYRWRKRENRWVRDFGRVELRRDRRARERW >KGN61088 pep chromosome:ASM407v2:2:3999146:4000582:1 gene:Csa_2G047810 transcript:KGN61088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLSQPISNFPLPPPSPDTQSDGGFNLDNEVSPTILLAIIILAIIFFFSGLLHLLLRFFHKPQIRTDPEDSTAGDGGASAFQGQLRQLFHLHDAGVDQSFIDTLPVFLYKAIIGLKLDPFDCSVCLCEFQPNDKLRLLTKCSHAFHMDCIDTWLLTHSTCPLCRASLVSDFAAINGGFSSPIVGVLESASNSSREIGEVHTGSFLGFLGDVSDFTELKTEEPPATGAETVVPIKLGKFKNLESNGEGSSNNSNVGSRRCFSMGSFGYVMDENSSLQVPIRTPAVRKQVRKKKDLPLMLGSRAAISECDCESRREFNFEELSGRVESFRHGNSKDSCEEKVAGAGDRVAVMDLSRRAFSFHFPANRNRPRSENGMGMMDEENQRRNSLEFVENRPSFVRRTLQWLMGKNNNRIVHSSFTPNDDDDHS >KGN63360 pep chromosome:ASM407v2:2:22575915:22576163:-1 gene:Csa_2G432280 transcript:KGN63360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFFLLLLLLNHLKLKLQMKILLHKLRAILSPSTDVGDNIAHHPMSSHLGSSQNDVVVLPLAIIFPMLVFDDLHDNVKLLDF >KGN60760 pep chromosome:ASM407v2:2:1693089:1697074:1 gene:Csa_2G009480 transcript:KGN60760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLTNSLPDLHTHRRNPPQETDASQLHHHHHQLQTSLFHPSTFSSDDFLDQILSTVPSPWELPPGLPSQPLPPSNPDNVEFHVGDTTPAAKMAMSLLQQQLLMSRGIVPASAGDDCGLLPMPGNNVVEVDGSSSSSFKCPNPTDHGSVVPSLFNEFAGFLNSTGPGSQNQNQSQGNSNSQIPNFGGPVSASTPPPAGPSSCGGAAAAAAPGQPRQRVRARRGQATDPHSIAERLRRERIAERMKALQELVPNANKTDKASMLDEIIDYVKFLQLQVKVLSVSRLGGATAAMPSRLPDLSTEGGTECNQSNGTNGASGQTSTGAPSSNDAMTVTEHQVVKLMEEDMGSAMQYLQGKGLCLMPISLATAISTATCHSRPITASKGGGDPPTSPSLSALTVQSTALGNGTVDKPVRDTLSVSRP >KGN62399 pep chromosome:ASM407v2:2:16228564:16232079:-1 gene:Csa_2G351800 transcript:KGN62399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAASSSLALSNIKLHGSTNTLNSDQRISSLCSLPKSRVTFSCKTSGNLQVRDRSTGLVVSCSSSNGDRDVIQGLHLSGPIEKKSRLGQACCSVGTFTVGEFALESQSQAVDDKVGVLLLNLGGPETLDDVQPFLYNLFADPDIIRLPRLFRFLQEPLAKLISTYRAPKSKEGYASIGGGSPLRKITDEQAQALKMALEEKNMSTNVYVGMRYWYPFTEEAIQQIKRDGITRLVVLPLYPQYSISTTGSSIRVLQKMFREDAYLSSLPVSIIKSWYQREGYIKSMADLMQAELKNFANPQEVMIFFSAHGVPVSYVENAGDPYKDQMEECICLIMQELKARGIGNEHTLAYQSRVGPVQWLKPYTDEVLVELGQKGIKSLLAVPVSFVSEHIETLEEIDMEYKHLALESGIQNWGRVPALNCNSSFISDLADAVIEALPSATALAPHTSSTDADDHDPFLYAIKLLFGSVLAFILLLSPKAFMAFRNNFF >KGN61835 pep chromosome:ASM407v2:2:12261378:12271432:-1 gene:Csa_2G249890 transcript:KGN61835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGVTTEIRCQEQRTEDGSSMKEGEEVEKEKSKKNVDPELLSCLLQPMSIGVDPDYIGIRRLLLYRKAEAAIFRRKDWRCNGKGYVAFRNFIRRPRNWESSRLPSLQSTPGNSGRWVPPQSPLSLLHEVESWTSSKDLQSHRRSSFSSSASDNSQLQTRRVEFAYSFVGMHCIFDQCKAAVMVVKFGHMSSDLLAYGASDGTLTVCNVSEPSSSVKQLLGHSKDVTDFDFSSNNQYIASSSMDKTVRVWEISKGLCIRVIYGVSSQLCIRFHPVNNNFLSVGNANKEITVFNFSTGRIVTKLVLDSEVTSMDHDHTGQLLFCGDANGYVYSVSMNSHTGALTRTHRQWCSSRRKSPVSTVQYRSFSLLARSPVLLTCTQDGNLSFFSIALEVQGYLTLRCSLKLAPRIHSIRASFCPLLSLEKGEYIVAGSEDSNVYFYDLTRPKHTCVNKLQGHRFPVIGVAWNHGENLLASSDFYGTVIVWKRSKTS >KGN61756 pep chromosome:ASM407v2:2:11629829:11630691:1 gene:Csa_2G237740 transcript:KGN61756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCQLTLKFHPPSLYKSSPHPFSKFHFTQRKKNNKVKMSAMVESWMNELGKWRNRVEAQDNNNKKPLMPKSKQLQTDHFKQPAKTHMADSDDTETSVLSESTICLLIDRFAPL >KGN60925 pep chromosome:ASM407v2:2:2805760:2806035:-1 gene:Csa_2G023920 transcript:KGN60925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGIGKNIIEGALNTTGDLAGSVLNAGGNIVDKVSSIGDKKIKGKVILMRSNVLDFTQLHSSVLDTFTEILGSGVTFQLISATQACNISSP >KGN62540 pep chromosome:ASM407v2:2:16996052:16998894:-1 gene:Csa_2G360570 transcript:KGN62540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLKAKSRKTIWPIGRIVKLLSGPKYDGKYLRKLVKEKLGDTKLHQTLTNVVIPTFDIKLLQPTIFSSYEMKNTPSLDAYLSDICISTSAAPTYLPSHSFKTEDTAAKTTREFNLIDGGVAANNPTLVAIGEVTKEVIKQNPDFFAIKPMDYRRFLVISLGTGAPKAEKRYTAELAAEWGMLDWLTNGGSTPIIDVFSQASSDMVDFHLSVIFQALHCEDNYLRIQDDTLSGDLSSVDVATQKNLNDLVKVGESLLKKPVSRVNLQTGVFEDCPSNSETNDQALTRFAKLLSDERRLRHARSPQGKASKLKLANASATKSS >KGN62275 pep chromosome:ASM407v2:2:15561526:15567066:1 gene:Csa_2G347140 transcript:KGN62275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNGHDTEPLVYVHGDLDLKIIEARRLPNMDMLSERIRRFFTVFTSCQTPFSKKKDKEDHQHRRKIITSDPYVTVCLAGSTVARTRVISNSQNPVWNEHFNIPLAHPVSQVEFHVKDNDVFGADLIGIATVPARRVLSGEIIDDWFPLIGSFGKPPKPDSAVRLEMKFTKCEDNPLYRYGIAADPEHFGVRNCYFPVRHGGSVTLYQDAHVPESMLEKIELEKGNLYQHENCWEDICHAILEAHHLVYIAGWSIYHKVKLVREPSKPLPNGGNLNLGELLKYKSQEGVRVLLLVWDDKTSHSKFFINTTGVMQTHDEETRKFFKHSSVSCVLSPRYASSKLSIFKQQVVGTLFTHHQKCVIVDTQASGNYRKITSFIGGLDLCDGRYDTPEHRLLKDLDTVFNEDYHNPTFSGGTKGPRQPWHDLHCKIEGPAAYDVLTNFEQRWRKATKWSELGQRFKRVSHWHEDALIKLERISWILSPSQSVPNDDPLLWVSKENDPGNWHVQVFRSIDSGSLKGFPKDVFQAELQNLVCAKNLVIDKSIQTAYIQAIRSAQHFIYIENQYFLGSSFAWPSYKEAGADNLIPMELALKIASKIRANERFAVYVVIPMWPEGAPSSVSVQEILFWQGQTIQMMYEIIGRELKYKNFENAHPSDYLNFYCLGNREPHDDLACSSGHSSKSEDLVSDSQKFRRFMIYVHAKGMIVDDEYVVVGSANINQRSMAGSRDTEIAMGAYQPSYTWGKMKRHPRGQVYGYRMSLWAEHLGNIDDCFKEPESLTCVKTVNKMAEDNWKKYTAMEYAPLQGHLLKYPIEVSQSGKIGPLRGHETFPDFGGKVLGARSNLPDALTT >KGN60675 pep chromosome:ASM407v2:2:1158748:1160338:-1 gene:Csa_2G006210 transcript:KGN60675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKSFLEYYVPSGRCKLSQINGVIVAPTSPKEWAGTDKSLWLALEGISRLRINGSGIGKIDGRGTGWWNQSCRDHPNLEGCTSIAPTAMKIISCNDPNLSNLHFINSSQALVLLMGCIRTEIKNLVITAPERSPNTDGIHIHSSHNIIIRNTTIGTGDDCVSIGDYTSRMNISHIKCGPGHGISIGSLGRGGNFVQVEDISVSNIYFKGTTNGARIKTWQFSALNYSAYSAQKFFIFSKQSGVHIANVSIKNLFGTSMSNVAINLNCSRSVACTGSILLKSIQLQSVNSGQKVTSGCIIAHGFAFGVVQPKPCLQI >KGN62439 pep chromosome:ASM407v2:2:16500961:16501392:1 gene:Csa_2G354650 transcript:KGN62439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYKICIQLQENAKLPENAILSNALQRIATPRLQFQEHSKKKLAPRLPIVGILPTEEYGRNRMESNSETDEHLLKGAFAGGMETLQKLVECITLASERQNLTVYVRDEA >KGN62990 pep chromosome:ASM407v2:2:19818921:19830713:1 gene:Csa_2G382630 transcript:KGN62990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSDKDVEEQLLEAGNKIVEPPTSVEELLPLLDKIESLLAKVEQSPSISMQIALTPSLKALVSDQLLRHSNIDVKVSVAACISEITRITAPDAPYSDDQMKEVFHLIVSSFEDLSDKSSRSYAKRASILETVAKVRSCVVMLDLECDGLIIEMFQHFLKTIRDYHPENVFSSMETIMSLVLEESEDMAVGLLSPILESVKKDNEEILPIARKLGERVLNNCSTKLKPYLVQAVKTLGISFDDYSDVVASICKHLSGALEPSNLHDAGENVVEEKPTEVATPERVDTGMEKHHDSVKSNGVAQGGEDGSVSTLENKKEEHGEECKEVKSPKSPEPANLGSEKASNVKERSEKSSRKKGKKSNQSSKSTEISHVNSQKGSESQPERESHSEHPGSPREDQSAENLPLENEADAKPSSPKAMEIESANVASPSLRESVPDRCNNKSGQGNKIGQAKKKGNSVKEGVASSAEVSKKSSDGMDDSGAKLDSDAEEKVPAGVSDDAKAAAEDAGERESDTTSDFETKTLKQSVRKGDGTSKSGGSSLKQSEVKRKKGSTKSISGKNVKRLSGDDDKKETTPVLKPASKNTKDEKIVDKTPTTVSKRKRTPVKEKESGTGTGGTKGFDESLVGSKIKVWWPKDRMFYEGVVESFDRGKKKHKVLYTDGDEEILNLKKEKWQYIDDASESEQEETTDLVRSESAVETPQKKKAKVNANESAKRGKMDASPKKGGVTSSSKSKGAATKTDRSSGSKVESKSKENTPKVGRHTAVTGSKSKDQGTPKTGSKLGSTGPKIAGKSKNDDAESNKTSKSKDDETSTPVAVAKSNKQDVSKTGKSKQETPKTPVSKGKSTKTGDKSNNTNLSTKVKFTSSKAKEKESGDVKHSSTSGKTMENSKGKSLNSSNDQGSEYKSGKKRRRESKG >KGN61626 pep chromosome:ASM407v2:2:10321147:10321452:-1 gene:Csa_2G192810 transcript:KGN61626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFTTKTGADEERHDGCGRTKSDEGRRKRTVGLDWILASSKVVRVCGGCSGRMEKKRGRGWRRLQRKGKRKKMVGGWC >KGN61944 pep chromosome:ASM407v2:2:13018500:13026703:-1 gene:Csa_2G270870 transcript:KGN61944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEQQDSVDKESLIGTCNNRDGVSSSDIQITTINNDEARIKNENTSDKPNIPHIYRQDIVKSKGSGMIGIVTEVAGDADSDSDITDDEDEDDDGEDGGNDDECDDNDGDGEKEGQNKENCGDDGNGRHSNGDNYKSQPLPDNEVRVLWMDESETTQHVNDLTVIDRGFVHGDFVAAVSDPTGQAGVVVDVNISVDLLVPDGSIMKDISSKDLKRVRDFTVGDYVVLGPWLGRVDDVLDNVTVMFDDGSKCKVTKAEPLRLKPVSKNTLEDANFPYYPGQRVRATSTVFKNSKWLSGLWKPNRLEGTVTKVTVGSVFIYWIASAGYGPDSSTAPAEEQTPKNLRLLTCFSHANWQLGDWCLLPPSFSAGLTKDPSQTELSVTNTLDCAQSVGACDSEDTVLDELSGTTESTDLDSISACDGNYRNPVDNSLPESSSSRALKETAHETWPLHRKKIRKVVVRRDKKARKKEENFERALLIINTKTRVDVAWQDGQTELGLDSTSLIPIDNPGDHEFVPEQYVVEKASDNDDDVSESRRVGVVKSVHAKERTACVRWLKPVSRAEDPREFDKEEIVSVYELEGHPDYDYCYGDVVVRLSPVSDSAEAMSLGINTEELKQQSSTNEMMSCTEFNNASGSQKIEDTSCSDDCIDFSDLSWVGNITGLKNGDIEVTWANGMVSTVGPQAIYVVGRDDDDESIAAGSEVSNGAASWETVDNDEMDSVENAAEDIELQDTGANSEEEESEQSNSGRNLALSVPLAALRFVTRLAAGIFSRGPRNPDSMDLDSHSESEIQSLDIQASEGKDSGLQSTSLKSNSFDASDMNSDCGRGEDGVASEPSEVLESAKTSSNLRTVELDASACHEDGTCSFKGFDIAKDPLDHYFLGTNGQTNNGRKWLKKIQQDWSILQNNLPDGIYVRVYEDRMDLLRAVIVGAYGTPYQDGLFFFDFHLPPEYPDVPPSAYYHSGGWRINPNLYEEGKVCLSLLNTWTGRGNEVWDPKSSSILQVLVSLQGLVLNSKPYFNEAGYDKQVGTAEGEKNSLSYNENTFLLNCKTIMYLMRKPPKDFEELIKEHFRRRGYFILKACDAYMKGHLIGSLTEDASVRVESDPNSTSVGFKLMLAKIVPKLFSSLNEVGADCQDFKHFQQL >KGN62746 pep chromosome:ASM407v2:2:18261013:18264143:1 gene:Csa_2G370450 transcript:KGN62746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAKDNFQGVSNCYVFKSRLQEYAQKVGLPTPVYETIKEGPSHEPTFHSTVIVNDVRYDSLPGFSNRKAAEQSAAEVALMELSKSSDLNPHVSQPVHETGLCKNLLQEYAQKMNFAIPLYQCQKDDGPGRGSLFSCTVEIGGIRYIGAVAKTKKEAEIKAARTALLAIQSCPNSLSEKSVNQVQLTVIPSCKRKEAADCSVKPKSTASPRAKKGRFKRFKRGVLRNRDFINGLVNLDFDNTDRSTLEPFTTGVVQLPGYVGPVDLAKDTLLNSECRTTDLSSNNNDVLVSNVQSDMPLLLNGNSGNGCSGTFNSNQVNCATSNVMSSPLTDVQPTCSEVANVAAGVTGELQ >KGN61031 pep chromosome:ASM407v2:2:3497242:3500981:1 gene:Csa_2G034830 transcript:KGN61031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLHRVARVKSKTKNGIFVSSFKDIFNDALVSASLCPNLHSVSSAAGTSGNGNRDIPRFFPWKIASTLSAGADGMITKEVASSFKEWFKSGSNPLYGKIFQILRGARDDQEIPYRPSAADLALSRLGLRLNESFVLDVLRFGSKDVLSCLKFFDWAGRQERFFHTRATFNAILKILSKAKLVSLMFDFLENCVQHKLYHMPCFYNILVMGYAAAGKPIFALHLFGKMRFQGLDLDPFSYHVLLNSLVEENCFDAVNVIIKQITLRGFVNEITHYLMLKSFCKQNQLDEAETFLHDLVDSGKKLNGRMLDLLVGAFCQSGNFERAWKLVEWFRDLQIVSMEHVYGVWITELIRAGKLESALQFLNSSKLDGRYIPDVFRYNMLIHRLLRENRLQEVFDLLTEMMDQHISPDKVTMDAAMCFLCKAGMVEVALELYNSNFEFGISPNTMAYNYLINALCRDGSTDEAYRILKCSIYEGYFPGKKTFSILASALCREGKLDKMKELVIFALERNCMPNDSTYDKFIYALCRARRVEDGYLIHCELNRINVVATRSTYFVLIEGFIKSGRGDIAARLLIEMLEKGHNPPRGLFRSVILCLIEMENMEKQFFNLLELQLSCQEPNSEVYNNFIYAAGRAKKPELANEVYHMMLRNGIQPNLSSDILLLRGYLYSERISDALIFLSNLSQTRTIGRKISNVVVVGLCKANKTNLAFDFWKHLRDKGTVPSIECYEELAKHFCQNERYDAVVNLLNDLDKVGRPLTSFLGNVLLYSSLKTQKLYKAWVNSRVGQVETSQSSMLGLLIKAFSGHIRVSQSIKNLEEAIAKCFPLDIYTYNLLLRTLITSDMERAFELFDRLCEKGYVPNKWTYDILVHGLFKQGRTVEAKRLLEIMHKKGFSLTECTQALILSNSVHMRS >KGN61648 pep chromosome:ASM407v2:2:10579565:10580262:1 gene:Csa_2G205950 transcript:KGN61648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIGTSKGILEIAKFGIYVTIPIVLMYTFANNSKNLQRFMGKSYIVYPPEGPRPPSPEEVREMARELARKNNIR >KGN62762 pep chromosome:ASM407v2:2:18386422:18387639:-1 gene:Csa_2G371110 transcript:KGN62762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFKGFAEGGIASIVAGCSTHPLDLIKVRMQLAGEKPALPNLPPALAFNASRSVVAPDYYHIPPPQPPRVGPISVGMRIVQSEGVSALFSGVSATVLRQTLYSTTRMGLYDILKTRWSDPDSGSMPLTRKITAGLIAGGIGAAVGNPADVAMVRMQADGRLPVAQRRNYAGVVDAITRMSKQEGITSLWRGSALTVNRAMIVTAAQLASYDQIKETILEKGVMKDGLGTHVTASFAAGFVAAVASNPVDVIKTRVMNMKVEAGEAAPYSGALDCAMKTVKAEGPMALYKGFIPTISRQGPFTVVLFVTLEQVRKIFNQF >KGN63347 pep chromosome:ASM407v2:2:22494059:22494229:1 gene:Csa_2G431160 transcript:KGN63347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGAYNEHVGLGISTPEVCLCSQLPMSCAQTLTYACTFAHELPTMWKAYGGHLPV >KGN62147 pep chromosome:ASM407v2:2:14549826:14551800:-1 gene:Csa_2G302140 transcript:KGN62147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLLFLFFLPLHFSSAAHQTLLPDIAAACNATRFPDQCASSISQSSRLPQNPTSLQIIQAALYLSSDNLKTAKSMVKAILDSAEKIPNRNRTEKALSCMDALGYSEYRISLSNDAIPLGRIKDARAWLSASLLYQTGCRSGLKYVNDTREVDQTMLFLDNLTVLSSNALSMVVAYDNFGNETAAWRPPRTERDGFWERSSGTGEVVRGVRIPTKTEANVTVCKDGSEGCYRTVQAAVDAAPGESAVRFVIHIKEGVYEESVRVGMEKKNLVFLGDGMGKTVITGSLNVGQPGISTYNSATVGVLGDGFIASELTIQNTAGPDAHQAVAFRSDSDLSIIDNCEFISNQDTLYAHSLRQFYNSCRILGNVDFIFGNSASMFLNCHILIRPHQLNPQLGDENAITAHGRTDPAQSTGFVFQNCLINGTEEFMALYYANPQRHRTFLGRPWKEYSRTVFIHCELEALVSSSGWMAWSGDIGLNTLYYGEFENFGTGSNSSKRVAWSNQIPAEYVFSYSVQNFIQGNEWIPSS >KGN61414 pep chromosome:ASM407v2:2:7977803:7978652:1 gene:Csa_2G119360 transcript:KGN61414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLEITAGTDGRDGKASPNPIKTIVILVQENRSFDHMLGWMKTLNPKIDGVTNQTQFSNPISTSHPNSQSIPFGNASAFVDPDPGHSIQDIYEQVFAHPWSDDLHPLPPPSMQGFAQNAERIQKGMSATVMNGFKPEAVPVFKELVAEFGVCDRWFASVPAETQPNRLFLHSATSFGLTSNDTKQLIEGLPQKTIFESLEEEGFSFGIYYQYFPATLFYRYVPSPSSFSFSFCFHFYFLNYLFFLNIVFLRYV >KGN62851 pep chromosome:ASM407v2:2:19022566:19024466:-1 gene:Csa_2G377870 transcript:KGN62851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSESNLPLLLQHSYCRSKSITSDDLRNYRISLKWCALDHSSAAGKFFSYLVFTLLTIVVPAVTILAVQDPGDDPISFNKLVQVPESGLAAVGFLSLCRFFRRYGLRQLLFLEGLQEDSVYVQKGYARELEKWFRSVAYILFPSFFVELAHKIIFFSTVKIYFPVGFPVNSILFILVLGSWVYRTGVFLLVCVLFRLTCELQILRLQGVHKLFETSNGSESDSVLIFNEHLRIRKQLSITSHRYRFFIIVCLLVITVSQFVALLLVLASKTDKNFFNSGDVVVCSTVQLCGFFLCLFGAARITHRAQGIASVATRWHMLVTSAASGSNSTKPPPLLQPLVNHKKNNNSSSSGEDEDDTDSDSSSDVLISVRPRESSSFQTRQALVSYLQHNNGGITLFGYALDRGLLHTLFAFEFSLVMSILSKVVVL >KGN60960 pep chromosome:ASM407v2:2:3067090:3069468:-1 gene:Csa_2G031190 transcript:KGN60960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLDQLWDDTLAGPRPESGLGKLRKHSTFSGRPTSGNKELDGGRARSYGEDSSESPVRITRSIMIVRPPGYQNGSPPISPAGSSSPASPFSGRESFRFRRRSISEVYTKTTNGGSGSPSSPHNM >KGN61219 pep chromosome:ASM407v2:2:5475324:5479322:1 gene:Csa_2G070850 transcript:KGN61219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEVNRATFLEIQGRMIEITGKLKQLQTQIRNKEGEKKRAFLTLEELKQLAEDTNTYKSIGRTFVLESKSVLMNEQEQKFKDSETAIASLQSSKEYLEKQMAEVENNLRELLQQDPGIARQIMSMSVA >KGN62569 pep chromosome:ASM407v2:2:17153910:17154755:1 gene:Csa_2G361350 transcript:KGN62569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTVPLFRYWLSEHSSIVNFRWSHTQSWGSTWSFLVFAVTLYILAAVFLRLLLIILRRPRPVPLGPVPAIHSLSMAVISVVIFTGMLLSTAAEIRDTRWLWRRSRTRTNPFQWLLCFPIGTRPSGRVFFWSYVFYLSRFLHLLRTFFTVLRRRRLPFFHLFNQSILILTSFLWLEFSQSFQILAILSTTLLHALVYGYRFLTVVGFPAASFPFVLNCQAALLSCNLLCHFGVFSFHVLKGGCNGIGAWACNSVLNSAILLLFVKFYKESRSDLIYKKPTKF >KGN63272 pep chromosome:ASM407v2:2:21920650:21926592:-1 gene:Csa_2G422030 transcript:KGN63272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFVCPIDSKYPNEISALLSPHSPHQVQEYFDQLVWTRQCRGLRVKQNGAFGKGVFADAAFKEGDLVLKDQMLVGSQHTSNKMDCLVCSFCFRFVGSIELQIGRKLYFQDLGVSTNHQCDMEPSSPISEDCMETESDDGQEIELENNESMGACSSSNSKGADLPKGLVESLMNGGLSLPHSNEFAMPPAIPCPGGCGEAFYCSKSCAEADWEVFHLLLCTGGKTEPSRREALLKFIQHANDTNDIFLLAAKAISSTILKYKKLKLASSGQQMKYGTCPILNNADLSILLEAWKPISMGHKRRWWDCIALPDDVEPSNEAAFRMQIREMAFMSLQLLKEAIFDVGCEPLFSLEIYGHIIGMFELNNLDLVVASPVEDYFLYIDELSSPYKERAEEITRPLLDALGDSYSICCQGTAFFPLQSCMNHSCYPNAKAFKREEDRDGQATIIALRPIHPGEEVTISYIDEDLPFDQRRALLADYGFECRCPKCLQEHP >KGN61746 pep chromosome:ASM407v2:2:11567001:11567321:1 gene:Csa_2G237160 transcript:KGN61746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGNKFNIWLTGKLVVVVGLISLMISRLKTLTPVSYVETRAKSKYGNSKSNEIHIKEGSQPEIYTEEDAKLLGDCESKWVLGVDGYGQDGKRVYDPVNGKSCHQCR >KGN62414 pep chromosome:ASM407v2:2:16313915:16318515:1 gene:Csa_2G352930 transcript:KGN62414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLRRLFSSSFRLVSSSSSSSSSSKLGGVCRGDGFRAVSSMPEPCQSSPFSDDESCDFDWDSLGFGLMPTDYMYIMKCSKDGNFERGQMSRFGNIELSPSAGVLNYGQGLFEGLKAYRKKDGGFRLFRPDQNALRMMTGAERMCMPSPSIEQFIDAVKQITIANRRWVPPLGKGSLYIRPLLIGTGPVLGLAPAPEYTFLIYASPVCNYFKEGSAPLNLYVENEFVRASRGGTGGVKTISNYAPVLKAITQAKNRGFSDVLYLDSVNKRDLEEVSSCNIFLVKGNVISTPATNGTILPGVTRKSIIEIARDRGYEVEERAVNMEELFDASEVFCTGTAVGVAPVGSITCMDRRIEYRTGANTTCQELYSTLVGIQTGTIEDKMGWTVEIS >KGN62967 pep chromosome:ASM407v2:2:19678516:19682549:-1 gene:Csa_2G382410 transcript:KGN62967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKIRRASHAGSWYTDNSKKLAEELDGWLSASGLSKSPDVRGVIAPHAGYSYSGRAAAYAFGNIDPTNISRIFLLGPSHHYYTPKCALSTATIYQTPVGDLPIDLEVIEELKATGKFELMDMHVDEAEHSMEMHLPYLAKVFEGHPVKVVPILVGAVSAESEATYGRLLAKYVDDPKNFFSISSDFCHWGSRFSYMHYDKKHGPIYKSIEALDRMGMDIIETGDAEAFKKYLQEYDNTICGRHPISVFLHMLKHSSTKIKIKFLRYEQSSQCKTTRDSSVSYASAAAKVDA >KGN63253 pep chromosome:ASM407v2:2:21713988:21721559:-1 gene:Csa_2G418880 transcript:KGN63253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAFVGTKSLYSLLVLSHRSNPPAFSFQISHFSSNVALLPSTSLALNRKRRSYCPIRACGLPDKKDDGGRINEPTSVSGSEKRVSWFETLSTFANNNFLPLALVTGVAVGVANPSLGCLADRYYLSKFSTFGIFVISGLTLRTSEISASVEAWPVAVYGLVSILLLTPYFSRLILQIHLQPQEFVTGLAIFSCMPTTLSSGVALTQLAGGNSALALAMTVISNMLGILAIPFSISKFIAAGVGIAVPTKELLRSLVLILLIPLIFGKILRESFKGVADFVDGNRMLFPRISAILLSLVPWMQVSRSRSLLLMVKPKIFLAAIGMGTFLHIALLAFNALGIRTLAAFSGGNKSVFSKRRNVSAVLLVASQKTLPVMVAVVEQLRGALGESGLLVLPCIAAHIVQIIIDSFLVNFWFTSDDSSNNLKVT >KGN61197 pep chromosome:ASM407v2:2:5302138:5302726:1 gene:Csa_2G068685 transcript:KGN61197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMERNFQPVIVFSFSRRECEQHAMSMSKLDFNTQEEKDMVEHIFRNAILCLNEEDRELPAIELMLPLLQRGIAVHHSGLLPVIKELVELLFQEGLVKALFATETFAMGLNMPAKTVVFTAFKKWDGDSHRFIGSGEYIQVSKGLINACKTIF >KGN62838 pep chromosome:ASM407v2:2:18934861:18939122:1 gene:Csa_2G375780 transcript:KGN62838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEADRMEKEQQRTSRKRPRSAWDVVPPAQEANKALAVVKNEVKRHVSPPRRDDDREGHYVFNLGENLTPRYKILSKMGEGTFGRVLECWDRQTREYVAIKVVRSIRKYRDAAMVEVDILKHLAQNEMGSLCCVQIRTWFDYRNHICIVFEKLGPSLFDFLKRNKYCPFPVDLVREFGRQLLESVAYMHDLHLIHTDLKPENILLVSSEYIKLPGCKRVSSDETQFRCLPKSSAIKLIDFGSTAFDNENHSSIVSTRHYRAPEVILGLGWSYPCDLWSIGCILVELCSGKALFQTHENLEHLAMMERVLGPLPGHMIQSADQNAEKYFKRGLRLNWPEGAVSRESIRAVKKLDRLKDMVSQYVGFSRSLLTDLLYDLLKYDPSERPTARQALNHPFFKSIA >KGN62892 pep chromosome:ASM407v2:2:19250715:19256191:1 gene:Csa_2G379220 transcript:KGN62892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVKTAHSFRDRTLEFQNITERLKKSFSSGTGTTGPSAVSKSEEQRSAVALQSEFNKRASKIGLGIHQTSQKLSKLAKLAKRTSVFDDPTMEIQELTALIKQDITTLNSAVVDLQLLCNSRNENGNISSDTTSHSTTVVDDLKNRLMSTTKEFKEVLTMRTENLKVHENRRQLFSSTASKESTNPFVRQRPLASRSASGAPSAAPPPWAKASTSFSKASPGKQVDGEGQPLLQQQQQQQQMVPLQDTYMQSRAEALQNVESTIHELSNIFNQLATLVSEQGEIAIRIDENMDDTLANVEGAQGALLKYLSSISSNRWLMIKIFFVLIFFLMVFLFFVA >KGN62126 pep chromosome:ASM407v2:2:14405001:14407383:-1 gene:Csa_2G300950 transcript:KGN62126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSSGNELTHKTFGWAARDSSGLLSPFHFSRRENGDDDVSIKVLYCGVCHSDLHMLKNDWGSTDYPVVPGHEIVGVVTSVGKNVKKFKAGDEVGVGVIVGSCRSCENCKQDLESYCPKMEYTYNSPGVDGTKNYGGYSDKIVVDQHFVVRFPKNLALDAGAPLLCAGITVYSPMKHFGMTEPGKHLGVAGLGGLGHVAVKFGKAFGLKVTVISTSSRKKEEAIDRLGADAFVVSSDPEQLKAAMGTMDYILDTISAVHPLDPLIRLLNLNGKLVAVGLPNKPVELSIGILASGRRVVAGSNFGGLKETQEMLDFCGKHNITAEIELIKMNDINSAMERLAKADVKYRFVIDIENSFK >KGN63327 pep chromosome:ASM407v2:2:22348327:22348741:1 gene:Csa_2G429000 transcript:KGN63327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYQQPQSQAHSYIRESPLVDLGFALASITLVMWDIKQHHATFTFLDLRSILRPGNLPSLRLGILSHSVSSKLSGISNNVSPHLSYSSPRCENSSLADLGFALASITRVT >KGN61096 pep chromosome:ASM407v2:2:4065204:4069999:1 gene:Csa_2G049850 transcript:KGN61096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCSETPLVYHVPLRNFLERRSYGMTFVEVKQKLMSEVEALGFPRARVVRALHSTGNVSSEAAVHWLIDHENDPDIDQMPPVAVNIDIESPEPFHITEEMRRKAKELRDQVGKEKEREEQKFERQREKDRIRSSKELQEAKRIAEEIERKRNINSRQVEKEEEKRAREKVVQKIEQDKIERKRFGGIPSERSESLATKATAARAGEKDELRSQSLPATSVSKAVSMRECLRSLRHHHKDDSDKVRNAYQTLFIYVRNVAKNPDEERFRKIRLSNPLFQERVGSLKGGIEFLELCGFRKEGEFLYLPRDKIDLEALNTAGSLLNSALTNPFFGVLQSV >KGN63274 pep chromosome:ASM407v2:2:21942889:21943933:-1 gene:Csa_2G422050 transcript:KGN63274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTGSDFAAPGRVEGDNAAEPTPRVLIILAFVLDRLVARNDRLLNELSQQLEELGCCSSSSNHLGNSFNAFHGVRAPTISILKYLERIYKYTNCSPSCLVVGFVYIDRLIHRHPDSLVISLNVHRLLVTSVMVASKMLDDVHYNNAFYARVGGVSKGELNKLELEMLFLLDFGVTVSSRVFETYCWHLEKEMLLNGNGEMQRVERPLIMPTNTLDDVSEISVDDTLLVSSSPP >KGN62974 pep chromosome:ASM407v2:2:19711060:19711608:1 gene:Csa_2G382480 transcript:KGN62974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSSSSSSSSSPIDGTLRSEEGEDLRDQVGVSYSSCGCFFGFRSRLSRVRGGYLPLQSNRKTEEVKQHQSWMMTKVKRLNEIIKAVAGRKWRSLINGIINKRRSVRFQYDPRSYALNFDEGIEEEARHTLHPIGLGIGMNRNQI >KGN63101 pep chromosome:ASM407v2:2:20619285:20619730:-1 gene:Csa_2G402100 transcript:KGN63101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSTIRSESYDIIFNKVVSAVYRQIMEMKLEELYLKLEGLEVNSLFRVEIVGRRLKKKKGEPQSMFTLRDQSSCPLLVA >KGN63075 pep chromosome:ASM407v2:2:20466111:20469944:1 gene:Csa_2G401350 transcript:KGN63075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAFASKFSRVGRSLLGGLGNNLSGSLTTSNETVCNSFITQQQRTFIQMRTVLKVVDNSGAKKVMCIQALKGKKGARLGDTIVASVKEAHPNGKVKKGKVVYGVVVRAAMQKDRCDGSEVKFDDNAVVLVDKQGQPIGTRVFGPVPHELRKKKHVKILTLAEHIA >KGN60905 pep chromosome:ASM407v2:2:2694979:2696664:-1 gene:Csa_2G022250 transcript:KGN60905 gene_biotype:protein_coding transcript_biotype:protein_coding description:Microtubule binding protein MVAKTPPKQKKMVASAAAAAATLNPVQVRETLKKVEMCMNRLQELQFTVTGGNKVISGVSLSPRSTRAYLKTSLRCKQESLRIKNGGKKSPVGKFPSNAVGVMSFLFCPRIVQGSGRGCLYQQCL >KGN60498 pep chromosome:ASM407v2:2:62312:67647:1 gene:Csa_2G000070 transcript:KGN60498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPVYATQSIISLWNSRFSLACDILRNCYEAFALYSFGRYLIASLGGERRVIELLESESIKQLDQPLIEGEEKRSRSQRTLWNFLLKPHAVGKALLTIEKFGLVQYMILKTATAFIAFILELFGVYGDGKFKWSYGYPYIAVVLNFSQMWALFCLVQFYNVTHEQLKPIKPLAKFISFKAIVFATWWQGVGIALLRELEVLPKEGKLEIGLQDFLICIEMAIAAVAHIFVLSAEPYRYIPVSACGVATIETIKGASEVKEGKDKKSALVERMETHVEAPGTSVTESVQDIVLEGGQRVVKDVVLTINQAIRPVEKGVAMIQETLHQRTIGSDELESDVEVEEYVEENGNLVGTKVV >KGN61024 pep chromosome:ASM407v2:2:3464518:3464883:1 gene:Csa_2G034770 transcript:KGN61024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGRKRRKLQEEEEEEEDEKMELFYALIQNTKAMRDGMKYSKELTEEEKSKGVWNPKFQPEDFNEEDGYNYNNKSNIIPLQLSAASSSTTKQFNEKKQQEEDKHYKVVEVKKGLDLNLSL >KGN62839 pep chromosome:ASM407v2:2:18940176:18942144:-1 gene:Csa_2G375790 transcript:KGN62839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANQREARRRRILERGSDRLALITGQIQSLPSSSSASPPPFDQNTNSSSQPLISNLQDLRPPPSSDQPTVSHDIDKTVGSTLPHNDPQISARSSTYYGTSTAPLLSKSNEIESAVASTPEDSGRAPPGFSLSEGQDAPLSTVARDQHSKPKLPLVSSFSINELSLVISESEKTRLCFSTIIAFLVVAPYVGFPFLGQSVMRIVFGFRPLYLVLLTNATIVLGKLLFTKQKGYRVTNRGDGQVNPPEAQSSVEQIGKVLEASLVAQKAMGAIFMDCSVYAVIVVSGLSLVQRL >KGN61708 pep chromosome:ASM407v2:2:11150760:11156106:-1 gene:Csa_2G229930 transcript:KGN61708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTFFPSESCKETQLNAFYPQAWLQVERGKLSKLSLHSSSSSIESLIKVPEPPILPYFKPVDYVEVLAQIHEELESCPAHERSNLYLLQFQVFRGLGEVKLMRRSLRSAWQKASIVHEKLIFGAWLKYEKQGEEIITDLLATCEKCAQEYGPVDISTQFPLDTGVDAGNPYDNCAADGKPISKHVTFKINDEDIVCDREKISGLSAPFHAMLNGCFTESNREVIDLSENNLSPSGMRAIREFSNTGNLGEVSPDLLLEILIFANKFCCERLKDDCDRKLASLASTREDAVELMDYALEESCHILAASCLQTFLNDLPDCLSDHRVVDIFMHANREQRSIMVGHASFSLYCLLSEVFINLDPRSENTACFLERLVEFAETDRQRLFACHQLGCVRLLRKEYDEAKRLFEAAFNAGHIYSVVGLARLSQINGNKQWSSDSLTSVISTGVPLGWMYQERSLYCDANKKLADLEKATDLDPTLTYPYMYRAASLMRKQDVHAALAEINRILGFKLALECLELRFCFYLALEDYQAAICDIQAILTLSPDYRMFEGKAAASQLRTLVREHVSNWTTADCWIQLYDRWSSVDDIGSLSVIYQMLESDAAKGVLYFRQSLLLLRLNCPEAAMRSLQLARQHASSEHERLVYEGWILYDTGHCEEGLQKAEESIKIKRSFEAFFLKAYALADSSQDPSCSSTVISLLEDALKCPSDRLRKGQALNNLGSVYVDCGKLDLAADCYINALKIRHTRAHQGLARVHYLRNDKAAAYEEMTKLIEKARNNASAYEKRSEYGDRDLTKSDLDMVTQLDPLRVYPYRYRAAVLMDSHKVDEAIAELSRAIAFKADLHLLHLRAAFHEHTNDVLGALRDCRAALSVDPNHQEMLELHSRVNSQEP >KGN61313 pep chromosome:ASM407v2:2:6579071:6588689:-1 gene:Csa_2G079650 transcript:KGN61313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVPEACSSSHHLVLHRRFHLSHPWPPCAHKFLSPSPCIHLHMTLGKMMFRLCTGQNNYVGGSPVMSTIKGVCKVVWTIEADLEVDQLLYLTGDPITLGSWEPNMAIQMSPTHHANLWKAEAKITCGINFKYNYFIKDEALPSSDIIWRTGPEFSLSLPQTVNHDKHITVRDSWMRFAVTPPSVFTWDSWIEELPLKSLPAEDERKIEEECLESDSIEPYVNLNGTMIYDKLYSDHEELMDSTSQSSDFHRHQPVEEPWLPLSFYLPKNVLEPDLLKNDVSIKEEATVLETRDPLLEDAANLLPTSGADTMLKDPISTIILINSSICTMQRIAVLEEGKLVELLLEPVKSNVQCDSVYLGVVSKLVPHMGGAFVNIGNSRPSLMDIKQNREPFIFPPFCQRVNKQVINDCSIQGQLTSLGESILSIPKNDGVADIEIQNTSMLSVLDDHEDNEVEDGFDVLEVRENVNGSIVDDDGDLDADFEDCIDDKAHHLEGHASISYSATASYSSDSQLSFLQYGKDSKQIVTDENKWLQVRKGTKIIVQVVKEGLGTKSPMLTAYPRLRSRFWILLTRCDRIGISKKISGVERTRLRVIAKTLQPQGFGLTVRTVAAGHSLEELQKDLDGLISTWKTITENAKSAALAADEGVEGAVPVILHRAMGQTLSVVQDYFNDKVKRMVVDSPRTYHEVTNYLQEIAPDLCDRVELFHGRIPLFDKFNIEEEINSIISKRVPLVNGGSLIIEQTEALVSIDVNGGHGVFGQASSQENAILEVNLAAARQIARELRLRDIGGIIVVDFIDMEDESNKRLVYEEVKKAVERDRSIVKVSELSRHGLMEITRKRVRPSVTFMISEPCACCHATGRVEALETSFSKIEQEICRQLATLKQKPDPDNPKSWPKFVLRVDHHMCEYLTSGKRTRLAVLSSSLKVWIILKVARGFTRGSFEVKYFADDKLSRSENQAPISLLQPLEGRSNNSGKKVTLFPVKKWKGTRR >KGN61511 pep chromosome:ASM407v2:2:9029711:9029938:1 gene:Csa_2G150530 transcript:KGN61511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWCHKTGPKDYPLGGAARLEGKGLKRSAKNKRRAWSKPSILCIFLLRGRRVENHPFDLSQKLEAHLCPKPLKLFI >KGN61090 pep chromosome:ASM407v2:2:4011486:4012823:-1 gene:Csa_2G047830 transcript:KGN61090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLLAFIAFLQTLFPPILSFTTTIFSSFSSYLYFDITDIDGFNTNELYSAVQLYLTSSLSTTTPAATTRLSLTRQLNSSALTFSLQNNASISDQFNGVSLQWLHIVTPRHLHNTWRTIFPEHKRQFTLKFKKQHKSLILNSYFDHITQIANDIRRRNQDRYLFTNPRRASGSFDSRGFTNTPWEAVPFKHPSTFETLAIDPIKKQEIMEDLRDFTRNGKSFYKKTGRAWKRGYLLYGPLGTGKSSLIAAMANFLEFDIYDLELTEVESNSELKTLLMKTTSKSIVVIEDIDCSIDLSNRKNSKNGDSITLSGLLNFMDGLWSCCGSEKIFVFTTNHVEKLDPALVRSGRMDMHILMSFCSFPLLKILFRNYLDWNEEEEGWDGGVLKELEESIERAEMSVADVCEILIKNRREKGKAMRRVLEALNVKKMKMKMKNVEREDCKD >KGN63109 pep chromosome:ASM407v2:2:20663282:20668213:-1 gene:Csa_2G403150 transcript:KGN63109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSSQFLVLFLLSVSSLPSFSFSTSELPLTADGKVLDLDDSNFDLAISSFDYILVDFYAPWCGHCKRLSPELDAAAPQLARLKEPIVLAKVNADKYTSLAKKYDVDAYPTIKIFMHGVPVDYYGPRKAELLARYLKKFVAPDVSVLESDSSINEFVEAAGPYFPIYLGFGLDESVISKFGIKYKKKAWFSVAKGFSEDIMVSYDFDKVPALVSIHPNYNNERSIFYGPFEEQFLEEFIKQSLFPLVLPINYDTLKLLKDDDRKIALTIVEDEDEDQTKKLINLLKAAASANRDLVFAYVGAKQWGEFADSFGDKKTTLPKMVIWDGEDDYLMVTGSETIVGNDHASEISKFIEGYREGRTEKRRVAGPAILGFINSLIGIRTIYIIVIIVAGIMLYQNLTKDDSEYPRVDTSARDQVEQTSSSSAPEVERSEYKAGDKED >KGN61454 pep chromosome:ASM407v2:2:8355817:8366278:-1 gene:Csa_2G128640 transcript:KGN61454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKDLKSTCSPEPAADAIDRTHRSVYPELGSKNHISSKASSWSGFFSSNFSIFEHHKDSSVTEKKVFHPRHNVWATVRKVMTSGSMRRIQERLLGSRRSGVYSSGGDIWLLGVCHKISQDHPPDDAASSPGVAGYEQDFSSRILMTYRKGFHVIQDSKYTSDVNWGCMLRSSQMLVAQALLFHRLGRSWRKPSQKPLDKEYVEILHLFGDSETSAFSIHNLLQAGRAYDLAAGSWVGPYAMCRSWETLVRSKRETPILQDQQLPMAIYIVSGDEDGERGGAPVLYIDDASRHCFEFSKGQHDWSPILLLVPLVLGLEKINPRYIPSLRTTFTFPQSLGILGGKPGASTYIVGVQDENAFYLDPHEVQQVVNIDKDDLEADTSSYHCNVIRHIPLESIDPSLAIGFYCRDKDDFDNFCHRASKLAEESDGAPLFTVAETHSTNPGRQSSALNDHSRLVEDDGDGVVHMPNEEESHEDDWQFL >KGN63382 pep chromosome:ASM407v2:2:22802549:22805481:1 gene:Csa_2G435460 transcript:KGN63382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKVCDFFSPSTNVLIFRLNMAKKMMTLIALLEKHYLEAAPLGLVGNENASPEFDVIGQYRETISEGKNNCGKILLDILQNLKGTISNGGDSKEVLLRELQKKMHGQRYFLVLDDVWNENSFLWDELKYCLLKITGNSKNSIVVTTRSAEVAKIMGTCSGHLLSKLSDDHCWSLFKESANAYGLSMTSNLEIIQKELVKKIGGIPLAARVLGRAVKFEGDVERWEEMLKNVLSTPLKEENFILSILKLSVDRLPSSALKQCFSYCSIFPKDFVFEKQELIHMWMAQGFLQPQEGRNMTMETVGDIYFKILLSHCLFEDAHETKTEEYEIPDLLEFETRPEEYKMHDLVHDIAIEISRDQNLQLNPSNISKKELQKEIKKVACKLPMVDFIRRIPCNIGQLTFFDVEIRNFVCLRVLKLSTLPSDKLPKSIGQLKHLRYLEIACYLGRLKFPESIVSLHNLQTLKFLYSYVEKFPMNFTNLVSLRHLKLWSNVDQTPPHLSQLTQLQTLSHFVIGFEEGCKITELGPLKNLQGCLSLLCLEKVESKEEANGTNLAEKEKLKDLHLSWSNERKDNNNYNDLEVLEGLQPNQNLQSLGIYNFAERRLPNKIFVENLSVIGLYGCNNCEKLPMLGQLNNLKKLEIYSFHGVQIIDNEFYGNDLNQRRFFPKLEIFVMCDMINLEQWKEVMTNDASSNVTIFSNLKCLEIRGCPKLTKLPNGLHFCSSIRRVTINQGSNLSINMRNKPKLWYLNIGPLDKLPEDLCHLMNLGVMRIVGNMQNYDFGILQHLLSLKKITLVEDELSNNSVTQISEQLQHLTALEFLSIENFGGIEALPEWLGNFVCLQTLSLYNCKNLKKLPSTKAMLRLTKLNQLYACKCPMLLLEEGDPERAKLSHFPNMLVQRNGYQKCI >KGN63104 pep chromosome:ASM407v2:2:20631505:20638055:1 gene:Csa_2G402120 transcript:KGN63104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASPASSEGSSREVQCIGKLEIVRPKPASGFLCGSIPVPTDKEFHAFNSALVPSCQTVTAPRYRVLPTETDLNLPPLPSNSHEKVLPIGALQSKDAGDLPWDGGAVASNLTRKCEALAVSGLVEYGDEIDVIAPADILKQIFKMPYAKARLSIAVYRIGQALVLSTGPDVEEGEKLVRRHKNQSKCAEQSLFLNFAMHSVRMEACDCPPTYNTTTKEQSKSSVLPGGSTSQVLEQTDGASQKDINSCAQYKEVKQDAFFWGSKKGKRSKKHDPVKKVSEVGGKPRCSNQESEKHRSVGDDEFLRVLFWQFYNFRMLIGSDLLLFSNEKYIAVSLHLWDITRQVTPLTWLEAWLDNVMASVPELAICYHENGVVQGYELLKTDDIFLLKGVSDDGTPAFHPYVVQQNGLSVLRFLQENCKQDPGAYWLYKGAGEDGIQLFDLSLIPKNHSPSDFDDSSNSLPSMLYRGRCDSLFSFGTLLYRIAHRLSLSMNPSNKVKCARFFKKCLDFLDEPDHLVVRAFAHEQFARLILNYDDDLDLTLDSLPLGCKVEVVDAEEEESLDFLSSLSETGKCDGPSSLVVEDKLVEGDQHHPNLLSEASSSIMSEAYVSSPRIISLRDPLGIEPPLVEEDSQDEESFAVCNVSPTASHVVQTVADPISSKLAAIHHVSQAIKSLRWMRQLQSSEPKMVDHIGAVHDSLPSPINISVCACGDVDCIEVCDLREWLPKSKLDNRLWKLVLLLGESYLALGQAYKEDGQLHQALKVVELACLVYGSMPQELEETKFISSMAGTPLLQNKLNDKDAKLRSFNQDLKEVDLHCDDISLDHYSSTYLFWAKAWTLVGDVYVEFHSIYGREASEKAENNVSTRELKISSEVVKEVNRLKKKLGKFKNCNACSLVNCSCQSDRANSGSSASSSRRESIFYGRKPNKKTHFKSSTGHSVSGDREQDYNGSKIENGMGSNPRHLDTKRNAQVPVKSCNRVHSGAKFSVGNSEEVEDSVETCGCVLSATSKSHVNSKESQKVKTGGIFKYLGGPVSRGSECNLTAALSCYEEARKALGQLPVGSAELQSVMSKKGWVCNELGRWRLERKELKKAETAFAGAIEAFRAVSDHTNIILINCNLGHGRRALAEEIVSKLEDLKAHAIVHNAYYQALETAELEYTESLRYYGAAKNELNGVAEDAIAVPGNLKAEVYTQLAHTYLRLGMLLARLDINEVHDIESSEDVGSGYTNPNSKGSKKGSKKHKISANDAIREALSIYESLGDIRKQEAAYAYFQLACYQKKCSLKYLESEGWKKSLSKDDNSILQRVKQYASLADRNWQRALEFYGPKTHPTMYLTILVERSSLSLTLSSSLHPNAILELAFSRMLEGRHISDTDADSLKTKYSEIHSKFWNHLQMLLKKMVAMTLPTNSGKSSTSQPQMTPNKSSEASRLRELYKMSLKSSDLRELHKMHNIWTSKLES >KGN63155 pep chromosome:ASM407v2:2:20948199:20954266:-1 gene:Csa_2G405060 transcript:KGN63155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFVSVSNFFITRNPIPQSYLPAPHSFSSNSRRTNALTLKSNYSCFEVRDLSYRPPGTEHSLLNAVNFSLREKSFGLIFGQSGSGKTTLLQLLAGLSKPTSGSICVQKYDKDGKPCQSSQPLTPERVGIVFQFPERYFITDSVLNEVTFGWPRQRSDSDLKENLALRLQRAINWVGLNGIALDKDPHSLSGGYKRRLALAIQLVQIPDLLILDEPLAGLDWKARTDVVKLLKNLKKELTVLIVSHDLKEFAGLVDNSWRMEMGGVLREEPLPI >KGN62950 pep chromosome:ASM407v2:2:19606072:19609898:1 gene:Csa_2G381760 transcript:KGN62950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKIFGFTLTESEDFFPGAPIYPNPKDTSLFLSLGRQVDVYYPLRKRSRVSAPFVPSGEILKKEASIEVLPDECLFEIFKRLPERETRSLCACVSKRWLMLLSSISGNEFYGASENLKPKNVVTENLEDNQTTNEGCLSRNLEGKKATDVRLAAIAVGTASCGGLGKLSIRGGNHGSEVTNLGLKAVAHGCPGLKAISLWNLSSIGDEGLIEIAKGCQLLEKLDLSQCPGISNKALLELAKNCPNLTDITVEACANIGNESVQAIGQYCSNLKSISIRDCPLIGDQGISSLFSSTSYTLNKAKLQGLNVTDVSLAVIGHYGRAITDLTLTGLTNVSERGFWAMGNGHGLQKLRSFTLSSCHGVTDVGLQSIGKGCPNLKKFCLHKCSFLSDNGMVSFVQAATSIENLQLEECHRITQLGLFGTILNCGAKLKALSLVNCLGIKDLSLNLPSLSSCKSLQSLSIRNCPGFGNASLTLLSKLCPQLQHVEFSGLNAITDSGLLPLFMNCKAGLVKVNLSGCVNLTDKVISSLTKLHGWTLELLNLDGCLKVTDSSLVAIAENCPLLNDLDVSKCCITDFGVAALAQANQFNLQLLSVYGCSALTDQSLLALVKLGDSLLGLNLQHCNSISTRSIELLLAQLHRCDILY >KGN61363 pep chromosome:ASM407v2:2:7394435:7398368:1 gene:Csa_2G099470 transcript:KGN61363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCLSAESRSPIPGSPTSPAKHHRSKNSSKRRNCSRNSSFGDLPLHRIPGRLFANGFTDMASLFTQQGKKGTNQDAMIVWENFGSRTDTIFCGVFDGHGPYGHMVAKKVRDSLPLRLSAHWEVNLTTDDVHREISLNNTGSMNSDEATSFLSANEEFRASIDVDGTEKQPEIFHTLKESFLKAFRVMDWELRMHQTIDSFCSGTTAVTIVKQGQDLVIGNVGDSRAVLGMRDKDDSLVPIQLTVDLKPNLPAEAERIRKCRGRVFALQDEPDVARVWLPNSNSPGLAMARAFGDFCLKDFGLISVPEISYRRLTEKDEFVVLATDGIWDVLSNKEVVDIVASASKRSSAARTLVETAVKAWKHKYPTSKIDDCAVVCLFLDSNSGNLSSASNTKPEEQQILADHLSTPVENEDFSGPNGLNRSGTVRTSKEILQDGSEEDEMKEEEHSETGIEWSALEGVSRVNTLVTLPRFNPDKEDKKGRK >KGN62204 pep chromosome:ASM407v2:2:15043789:15046291:-1 gene:Csa_2G334550 transcript:KGN62204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEFVNMDEGGISGNNSLNLLPPGLRFCPTDEDLVLHFLYHKANSHHRFSNSIIIPHLQLHLYNPWQFHGKTLSNGDIHYFFSRVGESRATESGYWKDVLMDEPIFCGANGDEVGIKKYHIFFVGDQEEDDESPTPIQTSWVMQEYHIYNYLLNTHDHTHPSQNNNNNLSQTKSEFGIWVVCRVFEAKENNNIIGRSMFCYEEDDDDDESELSYLDEMYLSLDDI >KGN62987 pep chromosome:ASM407v2:2:19795927:19796112:-1 gene:Csa_2G382600 transcript:KGN62987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTTSYKGKRHGLGGVCESIHHRHVGDDTGMKQNLGRVEAELSSPFVCVLHCLSVQHFSAF >KGN63015 pep chromosome:ASM407v2:2:20007686:20013559:1 gene:Csa_2G383370 transcript:KGN63015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRKGMKSGSWVLLMMLLLATATVAAKEEEEEKEKATGALKVKVGVVLDSDDYGKVDLSCISMALSDFYASRSHFKTRVVLKPMDSNGTVVDAAAAALELIKKEEVQAIIGPTSSMQANFMIDIGDKAHVPIISFSATRPSLTSHRSPFFFRVAQDDSSQVKAIGAIVKTFKWRNVVPIYVDNEFGDGIIPYLINALQEVNTHVPYQSIISPDVTDDHLTSELYKLMTMQTRVFVVHMLPDLASRIFMKAKQIGMMKKEYVWIITDSVTNMLESIKPSTFESMQGVIGLKTYVPRTEKLESFERDWRKRFLRYYPKMGDAPALDVFALWAYDAAWALAIAVEKAGTDNLKYSQTNFTTLNYLYNLGANQNGEKLRVAFSKVKFKGLAGEFSVKNGQLDSEIFEIVNVIGNGRRNVGFWSPESELRTELERGRDGLRTIIWGGGDSGVPPEGWEIPTNEKKLRVVVPKFDALVGDLTIRANRSRYIDYTLPFAESGVSMVVPIMSTKNTNAWVFIKPLTGHLWSLTGGFFLVMALVVWTLEHRVNEEFRGSPLDQGFPKGSPLVPDISRAILEVAESDRMREIENAWFKKVQECSISDASKLSSTRLSIGSFWALFVIVACVSAVSVICYIIKFLYEQKGVWLNENRLTTRERLRELGKIFMDRDAGAHPLRRRVFINGAPVHPQPLFIRDNDHPRAD >KGN61277 pep chromosome:ASM407v2:2:6130050:6145798:1 gene:Csa_2G075360 transcript:KGN61277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVVALVSGGKDSCFAMMKSIQYGHEIVALANLMPADDSVDELDSYMYQTVGHQIIVSYAECMGIPLFRRRIQGSTRHQKLNYRITPGDEVEDMYILLNEVKKQLPSVMAVSSGAIASDYQRLRVESVCSRLGLVSLAYLWKQDQSLLLHEMINNGILAITVKVAAMGLDPVKHLGKELTSLDSDLHKLNRLYGINVCGEGGEYETLTLDCPLFKNARIVLDKFEVVMHSSDSIAPVGILHPVSFHLKYKAKTSSLGSICDNTNLVDHEKGGLLFEIQGDCFQNCDILQSVADVSSDNHILDEVPDDRLQISCSRMQNTFLICCWLQNSCGTSPGLQDDLKTVLRKIESELLARGCGWKNVLYIHLYLADMNGFGLANETYVSFITQEKCPFGVPSRSTVELPLQQVQLGNAYIEVLVANDQTKRVLHVQSISSWAPSCIGPYSQATLHKEILYMAGQLGLDPPTMTLCSGGATQELEQALKNCEAVAECFRSSVSTSSVIFVTYCSTRIQPEERRRIEEKFHGVLEEMRHSDKASLSKLLDSIFLYVNVPNLPKGALVEVKPILYVQETLDTVEQTPHDSPRLYIPTDWGFQHEHWHKSCIQKCIVNGKVCVTVLSITNELARNISSCLLGNQITEENLELVSKFCIYLLNEILLDSAFCWEDIKNLRFYFPTSLNITLEAASIIFSRAFNELAESNPTVHVDRFFNLIPVIGAGRTPTSMDDVLTCELFAQKS >KGN62812 pep chromosome:ASM407v2:2:18700433:18703633:1 gene:Csa_2G373550 transcript:KGN62812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESGTRPSSGKLSGKVVAISAGEAHTLVLTGDGRVYSWGRGMFGRLGTGAESDELFPVRVKLGSGEENLKVVAIAAGSYHSLALTDDGSVWCWGYNIYGQLGFDGGNSATPCLLKQFLELEPPESLTEESGSKSKGSLKICDIKAGGQISFAIDDLGALWMWGNCPKPSGENEVGLSYVSSFTPSPVWEFHGHTVVKVACGNEHIVALVSVGETYNKAEDLVCYSWGDNSHGQLGLGDKDSRACPQIIETFNEASPWAVYEVACGAFHTALLSHSKRPCDTLESVCWTFGLGENGQLGHGTTQNEFLPQPVKELPQSVSLISVACGLFHTSVVSSGGDLWSWGMERGLGLCPDASFTGTNTGDAISPLSIFCSGTESPKFLDPVQVACGAAHTVIVAHDGYKLWSWGRGRSGVLGNGKAVDCFTPTVVLWPPLNEDFDQEETETKCEEDKVEENKTEEDKVEENKIEEVTEENKKLLMAMEEIKLLLDKLSIMERYASFLHGSIFGKPFQEGDIPLSLRNSGSFDIAKEWESMLESSDRSNLLRLETVYQNMLASIKDKILKKRVQELVKEFLQSATPPN >KGN61933 pep chromosome:ASM407v2:2:12952945:12955956:1 gene:Csa_2G270750 transcript:KGN61933 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rac-type small GTP-binding protein MSVSRFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGSTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLISRASYENVAKKWIPELRHYAPGVPVILVGTKLDLREDKQYLNEHPGIVPITTAQGEELRKLIGAPVYIECSSKTQQNVKAVFDAAIKVVLQPPKRKKRKKRKAQKACSIL >KGN63340 pep chromosome:ASM407v2:2:22440546:22443161:-1 gene:Csa_2G431100 transcript:KGN63340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDYQEMERFGMENDYDDGQWIGGEFYYRKRKEKRSQTKEDVVYGVFATGSDSDSDGDGFSSRKRRKDRDLSRKPDLTKPVNFVSTGTVMPNQEIDRISKDGDTDNVDDDQTGLGLGSSTSGSGLGFNSSSSDRNPNGFKENGSNVDGDEDGDDLFLPTAFGKRIKEGAERRERERVKSQIEKKSRIVSGSRKDSDPGNVGAFEKHTKGIGLKLLEKMGYKGGGLGKNEQGIVAPIEAKLRPKNMGMGFNDFKEAPKIPALQEVEEKTLPQPTSKAKERLWSKQVRSKKKKEAYLTAEELLARKQDQALEVVQKVFDMRGPQVRVLTNLENLNAEEKARENDIPMPELQHNVRLIVDLAELDIQKIDRDLRNEKETALSLQEEKQKLEIELARQKKQLNSMEEIMSTIERIGEDNSAGTLTLDGLAKCFSGLRRKFGEDYKLCNLSCIACSFALPLLIRVFQGWDPLQNPSHGLEVISLWKMLLQDEDCVDIWDMTSPYTLLVSEVVLPAVRISGINTWQARDPEPMLRFLESWEKLLPPSVLHTVLDNVVMPKLAGAVDLWEPQRDPVPIHMWVHPWLPLLGHKLEGMYQVIRTKLSFVLGAWHPSDASAYTILSPWKAVFDSGSWEQLMRRFIVPKLQLVLQEFQVNPGNQKLDQFYWVTSWASALPIHLMVDMMEKFFFSKWLQVLYHWLCSNPNFEEVTKWYMGWKELFPKELLANESIRYQLSCGLDMMNQAVEGMEVVQPGLKENISYLRVLEQRQFEAQQKAAAQAKQQGSAGLGNTSNLDSMGGTLEMTLKEVLEAHAQQHGLLFKPKPGRMHNGHQIYGFGNISIIVDALNQKVYAQTEESWSLVSLERLLDMHSSSTTKRR >KGN60714 pep chromosome:ASM407v2:2:1405927:1411397:1 gene:Csa_2G008060 transcript:KGN60714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFVLGLVLGLFVGLGLVVGFVKSENARSKRRADLAATIAAFARMTVEDSRKLLPPQYYPSWVVFSQRQKLTWLNQHLTKIWPYVNEAASDLIKASVEPVLEQYRPIILSSLKFSRFTLGTVAPQLTGISIIEDGGTDGITMEFEMQWDGNQSIILDIKTRLGVALPVQVKNLGFTGVFRLIFKPLVDEFPCFGAVCFSLRQKKKLDFTLKVIGGDISAIPGLYSALEGTIRDAVEDSITWPVRKVIPIIPGDYSDLELKPVGILEVKLVQAKELTNKDVIGKSDPYAELYIRPLRDRMKTSKIINNDLNPVWNEHFEFVVEDESTQHLVVKVYDDEGLQASELIGCAQIQLSELQPGKVKDVWLKLVKDLEVIRDNKNRGQVHLELLYCPFGMENGFTNPFASDFRMTSLESVLKNRANGTEATESEQAVTQKRKEVIIRGVLSVTVISAEDLPATDLVGKSDPYVVLTMKKSGMKNKTRVVNESLNPIWNQTFDFVVEDGLHDMLIVEVWDHDTFGKDYMGRCILTLTRVILEGEYKESFELDGAKSGRLNLHLKWMPQPIYRDT >KGN63192 pep chromosome:ASM407v2:2:21160149:21164372:1 gene:Csa_2G408380 transcript:KGN63192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKLKRAVIDFHSMFKVVIVAFHSKLPSSDFLSCFSMLKFFMPPILLFLLSVSSLIPGDAYSSPTQSFASTVITQKGLDFLKDLFIDKAISSVIPINLPQSEKTVKIPFVGNVHMVLSNTTIYQLDVPSSNVKPGDSGVSIVASGTTCDLSMDWRYSYSTWLVPAEISDEGQASVQVHGMEVRLTLGLELQEETLKLFLLGCGCSVQDISIKLDGGASWLYQGLVDTFEEQISSAVEKAICKKLGKGILKADSFLQALPKEVQVNDNASFDITFAEKPLLSNSSIALKINGLFRERVKLPKPKYHFEKSPSASCTDPSKMFGITIDEEVFNSALALYYNANFMQWSLNDVPNQPLLNTAGWRFIVPQLYKKYPNADMSLNIFLPSPPVVSISEHQILATTNIDLIIDVVEGGEKIPVACISLLVCAFSTAKVVGNNLVANVGLNHFEISLKWSNIGSLHMDLIQPVVKTLVKTTLLPNANAYLQKGLPLPIVHGFMLQNTELVSSNSSIMVCTDMLWTKERNPAYLHYPYR >KGN61716 pep chromosome:ASM407v2:2:11260252:11260449:-1 gene:Csa_2G231970 transcript:KGN61716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTHTSTKVEEDVGTTIADAVSDIDKNVKKNASRKHWQTLSMAHVDEWLLSIQAYDERRMSVRI >KGN62710 pep chromosome:ASM407v2:2:17983496:17984494:-1 gene:Csa_2G369110 transcript:KGN62710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >KGN63030 pep chromosome:ASM407v2:2:20083564:20093212:1 gene:Csa_2G384990 transcript:KGN63030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSSSSEIDDNASANAVTGTHSIRDRFPFKRNSSHFRLRVKDSLDHAASRSRSHQTRINRKGLLSWIPARGQTLFYFLVVFAVFGFFTGSMLLQSSISLLSSHGSQRERWLMERIKFGSSLKFVPGRISKRLVEGDGLEEVRKKDRVGVRAPRLALILGSMENDPQSLMLITVMKNIQKLGYVFEIFAVERGNKQSMWEQIGQPSILSPGHYGRVDWSIYDGIIADSLETEGAIASLMQEPFCSLPLIWIVREDTLASRLPMYEQRGWKHLISHWKRSFRRANVVVFPDFALPMLYSILDNGNFHVIPGSPADVYAAEDYMNVHSKSQLREKNGFNEDDILVLVVGSLFFPNELSWDYAVAMHSIGPLLSIYARRREVEGSFKFVFLCCNSTDGSHDALKEIASRLGLPDGSITHYGLNGDVNNVLMMADIVLYGSSQEIQSFPPLLIRAMSFGIPIMVPDLPALKNYIVDGVHGVIFPKHNPDALLSSFSQMISDGKLSRFAQSIASSGRLLAKNILASECVTGYAQLLENVLNFPSDVKLPGPVSQLQLGAWEWNLFRKEMVKTIDENADNEERIATISKASVIFALEAQLTNSVNLTILSENENGTLEQDIPTPQDWDILEKIESAEEYETVEMEEFQERMERDLGAWDEIYRNARKSEKLKFESNERDEGELERTGQTVSIYEIYSGAGAWPFMHHGSLYRGLSLSTRALRLKSDDVNAVGRLPLLDDSYYLDALCEIGGMFAIANKIDNIHKRPWIGFQSWQASGRKVSLGKKAENVLEDTIQDNPKGDVIYFWAHLQVNRGTIPPTFWSVCDILNGGLCRTTFRSTFREMFGLSSNMGALPPMPEDGGHWSALHSWVMPTPSFLEFIMFSRMFTHYLDALNRNQSQPNGCLLASSEIEKKHCYCRILEMLVNVWAYHSGRRMVYINPHSGFLEEQHPVEQRKEFMWAKYFNFTLLKSMDEDLAEAADDEGGSGKIGLWPLTGEVHWQGIYEREREERYRVKMDKKRTTKVKLMERMKFGYKQKSLGG >KGN61272 pep chromosome:ASM407v2:2:6060051:6060522:1 gene:Csa_2G075310 transcript:KGN61272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTPPENYCVGLGSKGDCDREFNRNPLGRRISAKGNLQLRRGNQQAQVSLPRTTKAGLHAQMKLEIFGNYVAGA >KGN60612 pep chromosome:ASM407v2:2:709173:712510:-1 gene:Csa_2G004130 transcript:KGN60612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRALIQQPSVQQSRSFQLKRVVELPGRQAHSLILESGQEGSRDSSEPQKIGWALGDTKGPVSCLDAHGGIRARGLRCQPNSDLDLMKAKFAKLLLGEDMSGGGKGVSSALALSNAITNLAASTFGEQKLEALSPGKKARWRREIEWFLSVTDHIVEFVPSKQTSKDGIDMEIMVTLQRKDLLMNIPALRKLDAMLLSYLDQFGKLHEFWYVSRDDESAKDDTQRNGDKWWLPAVKVPPSGLSDVTRKWLLFQKESVNQILKAAMAINAQVLTEMEVPESYIESLPKNGKTSLGDSIYRSITDDYFNPEQFLKSMDLSTEHKVIDLKNRIEASIVIWKRKMHHKDGKSSWGSAVSFEKREIFEERVETILFLIKQKFPGISQSALDVSKIQHSKDVGQAILESYSRVIESLAFSIMSRIEDVLYADTVARESLIEESLENLSMNTEKRLSSSDSHSSKTLSDFMGWEVENDSSYIRSLAIKESYCKGEEDINMNKPATVATPKRLSYLEKLESLALRSPTARH >KGN60879 pep chromosome:ASM407v2:2:2544538:2545074:-1 gene:Csa_2G021500 transcript:KGN60879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITSTAQLPPVLDTNGQPLQRGVEYYISPAITDVGGNLTLKSRSNAPCPLFVGQEPVTSTNIGLPVTFRPTEEGIDIIDEGTSLNIVFQALSTCVTSTQWRVDATESDTGRRFVGIGDEDGPAGIFGISRDNGAYNIVWCPAMMGRPRCGRAGILVENGVRLVALDGDAFPFEFIKA >KGN61998 pep chromosome:ASM407v2:2:13437925:13441588:1 gene:Csa_2G285330 transcript:KGN61998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPRRCRNLVFGFELIGAGVLHGKRILWTFLEIKGERMEMRNKFRKSTTLRCDSQSKCLISVVIGSLMVCILLLSLLSPTSRKNEMGQGIQIRTSHHLHLRELQEVEEENIQIPPPHKRPRRAPKRRPKRMTPLIDEFLDEDSQLRRKFFPDHKTFIDPMITGNDSMFYYPGRVWLDTEGNPIQAHGGGVIFDERSETYYWYGEYKDGPTYHAHEKGAARVDIIGIGCYSSKDLWSWKNEGIVLAAEETDETHDLHKSNVLERPKVIYNSRTGKYVMWMHIDNVNYTKASVGVAISDYPNGPFHYLQSKRPHGFDSRDMTIFKDDNGTAYLIYSSQGNSELHVGPLSEDYLDVTNVARRVLIGQHREAPALFKHKGTYYMITSGCTGWAPNEALAHAAESIMGPWETIGNPCIGENKMFRLATFLSQSTFVIPLPSSYPNLFIFMADRWNPADLRDSRYVWLPLMVGGLVDQPLDYNFRFPLWSRVSIYWHRKWRLPQGWNSLK >KGN60899 pep chromosome:ASM407v2:2:2656776:2666585:1 gene:Csa_2G021700 transcript:KGN60899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARAPASNVHSRGRTEVRIVIAGDRGTGKSSLIVTAAADNFPVNVPPVLPPTRLPEDFYPDRVPTTIIDTSSRTEDSAKVAEELKRADAVVLTYACDQPNTLDRLSTFWLPKLRQLEVRVPVIVVGCKLDLRDEDQQVSLEQVMSPIMQQFREIETCIECSAFKHIQIPEVFYYAQKAVLHPTGPLFDQETQTLKPRCVRALKRIFILCDHDKDGALSDAELNDFQVKCFNAPLQPSEIVGVKRVVQEKLPEGVNDHGLTLTGFLFLHALFIEKGRLETTWTVLRKFGYDNDIKLSDELIPTLGKRAPDQSVELTNEALEFLRGIFELYDGDGDGALRPRDLEELFSTAPESPWNESPYKDSAERNAMGGLSIDDFLSLWSLMTLLNPVYTIENLIYIGYPGDPASAVRVTRKRRLDRKKQQLDRNVLQCFVFGPKKAGKSSLLDAFLARPFSVTYTPTTEERYAVNVVDQPEGTKKTLILREIPEDGVKKLLSSKESLAACDIALFVHDSSDESSWKKATDLLVEVASHGEDTGYEVPCLIVAAKDDLDSFPLAIQDSTRVSQDMGIEPPIPISTKLGDFNNVFRRITSAAEHPHLSIPETEAGRSRKHYHKLINRSLMFVSVGAAVTIVGLAAYRVYLARKNSSS >KGN62696 pep chromosome:ASM407v2:2:17894476:17897431:-1 gene:Csa_2G368970 transcript:KGN62696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMRNPGFWVIGILLFSLLLGFTHSVRVSDSPPFCPAESFLDTIFRFRDSNSNCPFHGDVRHYEFIGVSEGDEASLQMALNMVHSNRYEYVSVLFYASWCPFSKSFRPSFSILSSLYASIPHFAIQESAVRPSILSKYGVHGFPTLFLLNSTMRARYYGSRTLSSLVAFYNDVTGIQTASLDQISSDRIGQVWNREKHDDNSEQENCPFSWARSPENLLREETYLALATAFVLMRLIYIFFPTLLVYARYVWRRHLRNMRLGTLWERPLTCMKGAIQLFSHFKDPCKRSNLQGGAMNAKAWAKSFATVSIGDASSSSRVCQ >KGN61906 pep chromosome:ASM407v2:2:12752235:12755905:1 gene:Csa_2G264010 transcript:KGN61906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKQSHTSFRPTQRNLPMKEFQLSLPAVISLLIAVGISAVSQINGHNFTFEEATIEEIQRAFADERLTSRMLVDFYLKQIEALNPVLRSVVEVNPEARDDADRADRRRREGNVKRLSLGGLDGVPVLVKDTIATKDRMNTTAGSYALVGSVVARDAGVVEKLRKAGAVILGKASLTEWYSFRSLGHVPNGWCARSGQGVNPYLASGETCGSSSGSAISVAANMVTVSLGTETHGSILCPSDRNSVVGFKPTVGLTTRAGVIPIMSHHDTVGPITRTVSDAVYVLDAIVGYDPRDAEVTRQGSKFIPQGGYKQFLNPNGSKGKRIGVVRTPFADKFPSMQVFENHLHTLREKGGVIVDDLEIADIDTILSSKRSGELTVMLADFKLLLNDYLKELISSPVRSLADIIAFNNNHPQLEKIKEYGQSTFIQSEKTNGLGEKEKKAIETMANLSRNGFEKLMKENELDVIVTPGSGCISVLAIGGYPGITVPAGYDKDDGMPFGICFGGLKGTEPKLIEIAYAFEQATMMRRPPFSNSIDYQVSHSNI >KGN62393 pep chromosome:ASM407v2:2:16195741:16197690:-1 gene:Csa_2G351740 transcript:KGN62393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRKCSHCGNVGHNSRTCTIQKHKETKFKLFGVQLIDNGTTTHHHHHHTTLLKKSISLDSLPSSSSSASSSLSSSSSSEKLSNGYLSDGLVAKTHERKKGVPWSEEEHKVFLIGLEKLGKGDWRGISRKFVTTRTPTQVASHAQKYFLRLTTLNKRKQRRPSLFDGAAARDKLTVQVVEKGSSSSSSINNNLKPTNNNYNIQPASSNNISFGNPTPIPNISELTNLNWIEYHYNQRHHEPYFKFLQSPINKSSSSITINNFQTTTNHHHHRHHHQPDLQLSLSTPKPVLEQVAQ >KGN61526 pep chromosome:ASM407v2:2:9218439:9218708:-1 gene:Csa_2G160600 transcript:KGN61526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIPNRANENGEIEKGWHIGTQRNQKVGEKVGDDDVCVELLVEDDEGKIVNEGVVKWDFIREA >KGN62257 pep chromosome:ASM407v2:2:15453908:15454404:-1 gene:Csa_2G345980 transcript:KGN62257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHVINEKLELNGQKLRGTGNIKSSPALRKRIKNDIEPVKRLSFITTLLDPVRLLHQNQYSYNEPTPPLSTNSQGPKEREIAICNMDLSDYSHRCFCDLMF >KGN63021 pep chromosome:ASM407v2:2:20029139:20032687:-1 gene:Csa_2G383920 transcript:KGN63021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRKKGLGGGFRVVVVVVVVIFLNILLRAGAEVTERLEDKEAAAAAAVKVKVGVVLDLNVIVGKISLSCISMALADFYAPRSYYKTRIILNPIDSNGSVIRAAAAALDLIKKVEVQAIIGPTSSMQASFMIDIGDKAEVPIISFSATRPSLTSHRSSFFFRAAQNDSSQVKAIGAIIKTFKWRQVVPIYSNNEFGDGIIPDLINALQEVDTDVPYQSKISPTATDEQIGHELYKLMTMQTRVFVVHMLARHASRLFAKAKEIGMMKEGYVWIITDAIGNTLDLIEPSVLESMQGVLGIRTHVPKTKRLEGFKLEWRKRFRRYYPTIEDIPDLNVFGLWAYDAAWALAKAVEKAGAHNLKYKPATNISAMEMNSSNYLYSLGVNENGVKLRDALSKVSFKGLAGMFNLIDGELESSVFEIVNLVDNGRRNVGFWSAESGLRRKLKDHQQGSRSRSSTSGLRTIIWPGEADFTPKGWEVPTNRKKLRVGVPIKSGFLEFVKEFDAVVADLTIRANRSLYIDYTLPFTESGVSMVVPMKSTKNKNAWEFIRPLTGQMWALTGGFFLVIALVVWILEHRINEEFYEGSALDQICTSLWYSFSTMVFAHSKYIPFNTLNSIINHPLTNKQNRLEL >KGN62868 pep chromosome:ASM407v2:2:19110616:19113061:-1 gene:Csa_2G378510 transcript:KGN62868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPQQSLRIDLGELKSQIVKKLGADRSKRYFFYLNRFLSQKLSKNEFDKSCCRVLGRENLWLHNQLIQSILKNACQAKVAPPIPVAGYPKTSTQSAKISPLVEDGNEDGGAVFPTSTQNIPGWSNGVSPRKCRSGIRDRKLKDRPSILGPNGKVECISHLSANMDNGDATLCDYKRPVQNLQGIAELPENNIEVRVPQPSGKQDLQNKIQVEATKVEDREEAGQSNHSSLLRSRLLAPLGIPFCSASIGGARKTRPVDCGGDFSLSDVGHLLDTESLRRRMEQIAAVQGLGSVSADCANILNKVLDVYLKQLIRSCVDLVGAWPAYEPEKPLSHKQQFQGKVINGMLPNNQLHGRHSNGSEEVVHEHRLQCSISLLDFKVAMELNPTQLGEDWPLLLEKICMRTFGE >KGN62205 pep chromosome:ASM407v2:2:15050912:15051292:1 gene:Csa_2G335050 transcript:KGN62205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLVVINDQVGTCGDQVGTCGDQVETCGDQVETCGDQVETCGDQVETCGDQVETCGDQVETCGDQVETCGDQVETCGDQVETCGDQVETCGDQVETCGDQVETCGDQVETCGDQVETCGKCLKRQR >KGN63259 pep chromosome:ASM407v2:2:21781742:21788442:1 gene:Csa_2G418940 transcript:KGN63259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVFWIRSGHLVKTRVMLFALLFGIWMPLGVIGVSKNITTSSNPRVLNVGVLFTFDSVIGRSAQPAILAAMDDINADNNTLQGTKLRLILHDTNCSGFLGTVEALQLMQDEVVAAIGPQSSGIAHVISHVINELHIPLLSFGATDPALSAHEYQYFVRTTQSDYFQMNAIADIVDYFGWREVVAIFVDDDNGRSGISALSDALAKKRAKISYRAAFPPGSPSSAISDLLVSINLMESRVYIVHVNPDTGLSVFSMAKKLQMLGSGYVWITTDWLPSFLDSFETNSPDVMNQLQGVVALRHHTPDGNLKKNFISKWKNLKLKKSPNFNSYALYAYDSVWLAARALDTFIKEGGNISFSNDPKLSENNGSMLHLKSLRVFNGGEQLLQTIKRTNFTGVSGRIQFGDDRNLINPTYDILNIGGTGSRRIGYWSNYSGLSTIAPENLYTKPLNASPNNHLYSVIWPGEITTVPRGWVFPHNGKPLQIVVPNRVSYKAFVAKDNNPLGVKGYCIDVFEAAINLLPYPVPHTYILYGDGKDTPEYSDLVYEVSQNKYDAAVGDITIVTNRTKIVDFTQPFMESGLVVVTVVKGEKSSPWAFLRPFTIQMWAVTALFFIFVGAVVWILEHRTNEEFRGPPRQQLITIFWFSFSTMFFSHKENTVSTLGRLVLIIWLFVVLIINSSYTASLTSILTVQQLTSKIEGIDSLISSTDAIGVQEGSFALNYLIDELNIVASRIIKLKNQDEYDDALRRGPGNGGVAAIVDELPYVELFLSGTNCVFKTVGQEFTKSGWGFAFQRDSPLAVDLSTAILQLSENGDLQKIHDKWLSRTECSLGLNQADLNQLSLSSFWGLFLICGISCFIALSIFFFRVLFQYRRFTPETQSEVEQIEPVRTRRLSRTTSFMLFVDKKEAEVKDKLKRKSNDNKQASQSTEGHSDSPP >KGN61340 pep chromosome:ASM407v2:2:7096488:7105013:-1 gene:Csa_2G093820 transcript:KGN61340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIVRKQLDPNGPGSVKMVPIDSDDLWHAFNLIAPGDTVMAVTVRKVIKEKASGGRDAERVKLKLEIKVEEVADYDKVGSILRIRGKNILENEHVKIGAYHTLELELNRPFVLRKDVWDSFALDVLHQASDPAASADLAVVLMQEGLAHVLLVGKSMTITRARIETSIPRKHGPAIAGYESALNKFFDNVLQAFLKYVDFNVVRCAVIASPGFTKDQFHRHLLLEAERRQLRPIIENKSRIVLVHTTSGYKHSLREVLDASNVMNMIKDTKAAQEVRALKDFFSMLSNDPDRACYGPKHVEVAHERLAIQTLLITDDLFRNNDITQRQKYVNLVDSVRDSGGTVHIFSSMHVSGEQLAQITGIAAILRFPLPDLEDIEM >KGN60658 pep chromosome:ASM407v2:2:1043753:1046459:-1 gene:Csa_2G006040 transcript:KGN60658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFRKGSKVEVLSKKEVPSGSWRSAEIMSGSGHYYTVRYDKFEDGSNQTVVERVSRKAIRPCPPSQEILENWIPGDVVEVFNDRSWKMATVSEVLGKNNYVVRLLGSSCEFKVSKFDIRARRSSQDDRWVLMHKMSGNHGDDSKEDEKASVKFYDLSSQVQKCQNVPNLITWKRRSNNDYSQAETMGRAGLKCRILKKKRRSHEAVGGNPSMLHEHVKSLVIQRGKLGGTSGGVFSDRTKICEVNGDRKKQMDVGYHSFDENVELNDADRATCSVGSCSMSNSDDRGLPCHVTIGRNEDTDGSTSDAESFCPSGYGIDDFLLSRDKPLEAEVHRLELHAYRCTMEALYASGPLSWEKELLLTDLRLSLHISNDEHLMEIKNLVSTSISSR >KGN61824 pep chromosome:ASM407v2:2:12182228:12186750:1 gene:Csa_2G249790 transcript:KGN61824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSACCVAARDKTIVSGSGSETLCRNIRYSPSWSFRWDNRGRVAGEETSINWFSDSVGRNDRAELKCESAYASEDGSPLEHLRRRGWQKSPPPEGTTNHLRTPSSGQSNSRNLSTDVSLEQVKEAIESPTASYKSPAKLSLSLPSTSSLSTSPLSSQSYLPPTNSSLTRCSHRSPGHHLLRQVSDSRIRGLKSPSSYLASEDRPRLPSWSNESVRDSHGGSSDCWSVHAFSELMATSHRERWSFDSDSFGFNGEKIARSNSQISTSSVDLQTCGVCSKLLTEKSSWSSQRIIANNELSVAAVLTCGHVYHADCLESMTPEIHKYDPACPVCTFGEKHTQKLSEKALKAEIDWKSLYKRSKKCIADSDFDGDFAANDPFKNNARLERGSKLSASSSMRSSSGKPFLKRHFSFGSKGSSRVMSDNPPTRRKGFFWAKSSKV >KGN61993 pep chromosome:ASM407v2:2:13414210:13414671:-1 gene:Csa_2G285280 transcript:KGN61993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKSSTKLALLLLMFFIISETFVADARNVVNIPCNSRIDCSDPWDCDCKLNLCFCHPHGLEKKFLHNAFPEHLREEKTTSLLKT >KGN62587 pep chromosome:ASM407v2:2:17232394:17235501:1 gene:Csa_2G361520 transcript:KGN62587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLKQMMEGCKKRKLSQSRSVDSSKEKMKTCVKRELDHSFMLTEEDDSDLNLALSIWKSKLSKRRKLKIKCEESRISTSSQCDQTIGSSDPTNSDEDLLPSGSNLPLAVDVKVETPEIDVTEIQNTNYAINECSLFCDENINFCLNGPVGPGGLNLDIGLTASEKETEYCVTNSACHEYFEGYEPGTFQMVGESSTKWMNEDKLYHSDFSASESMKGQHTPSFISNSSILEAIPLTKEQCSGTCISPDNSITNVAICQNSSKDISEAISLTEEQCCDTYISEGKPFTHEATCLNDGEGSTHLHALTNRNSLEAPEMSHGAEVCLTENSYKDELVVDDERSIPTESTCDSNLSPDHGKCISTNPISDRNSGSDQHLVSDDECPAKERQPQMSDCFDSERNTSPNSHLDGSVDKFNQFEEPKRHPTRLLSTRTTISPTSQERLSKAMKSMRLHDKEYKTYGVKPYLKQTKYRVGAAEECDQTKQVHSDIYQEKNIRKSKKRSFHSSSTTKVPQATVQNCSESAIAFTQRQMQDIECLALKLTTQLKSMKAIVEDRLHVEGNKSTSFKFNADEVRTAIADATKAETSAKKWISIMSRDCNRFCKIMNTAEHNSNVSPVATQKAKRKVTFADEAGGKLCEVRLFEDDVNVASFAKMSPENCETAQ >KGN61815 pep chromosome:ASM407v2:2:12083705:12084416:1 gene:Csa_2G248720 transcript:KGN61815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVNFYDIRTEKANAILKYRQFRKIANLFRFIELCLILIVISRFSSHLPTALKNSTEYFRCLSVTLISPRFVFLIGNAIVITLFAKSGQFSAKDPSKKSLVADLYEEFIKNSEKNNQKSPRTEIKHIDESRRKASISVEKIVNNPKETRRYERSKSERMEVVPREKVDREMQRSETEKCKKMVELKEGRRNRSYLEDGMSNEEFRQTVEAFIARQQRLQREEELSEF >KGN61689 pep chromosome:ASM407v2:2:10921616:10922940:1 gene:Csa_2G224290 transcript:KGN61689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNQPSPYGFTETSILSRARAASDSLYATLRPWRELLQPLSSFTRPSSVGEAIIRCKRNLKYFRVNYTFIVLLILFLSLLWHPVSLIVFLIVFVAWFFLYFFRDEPLEVFHRVVDDRVVLVLLGIVTIFALVLTDVSLNVLISILIGVFLVLIHAGSRVTDDLYIDEQEVADGGLLSVVGSPTRTEYSRI >KGN61901 pep chromosome:ASM407v2:2:12691247:12699791:1 gene:Csa_2G263960 transcript:KGN61901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSTSVDSFLWWDPFHPFLTELENVSLSSTELPPTLMKKVDANHAWFVGTVSLFKKPNEKSRVALNSQEVKIGAHTLSIQPELKAKALKLSSYLCLDEVQSYILAARTIEHENVHENFPFKELLHMVLLEYYIERQCLLKCTRRILLHALFVGNGSKKGDIFDTALRLVTDGLENKLISVLQSLLSSSHSEQMDVDLFTFWAEETLTEDNLILDILFIAYYESFIRCNADRWKALCLLYKGIISGSYNMEILAISSAAIHSSRQVKVRLLFILMETLDLESLLQMVHDQTTFRHGASVFTLSDFQEMDAIVSSLNAFEIKEAGPLLLTWAVVLCLISSLPGKEEHNVLLEIDHVGYVRQAFDSAAFNYFLDVLHSDLLKESEGLIVGYRSVLRTFISAFIASYEINLQNG >KGN62363 pep chromosome:ASM407v2:2:16017320:16023600:1 gene:Csa_2G350460 transcript:KGN62363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMPPSPALRSSPGRESRGSNHKRGHSFESAVRIREKDDDLALFNEMQTREREGFLLQSAEDLEDSFSTKLRHFSDLKLGISIPVRGENSDLLNNVEAEKNDYDWLLTPPDTPLFPSLDDEPPSVAIASRGRPRSQPISISRSSTMEKSHRSSTSRGSPSPNRLSPSPRSANSVPQLRGRQLSAPHSSPTPSLRHATPSRRSTTPTRRSPPPPSTPSTSVPRSSTPTPRRLSTGSSGTAGISGARGTSPIKSVRGNSASPKIRAWQTNIPGFSSDPPPNLRTSLDDRPASYVRGSSPASRNSRDLAHKYGRQSMSPTASRSISSSHSHDRDRYSSYSRGSIASSGDDDLDSLQSIPISSLDNSLSKGGISFSNNKALAFSKKHRIVSSSAPKRSLDSTIRHLDRKSPNMFRPLLSSVPSTTFYTGKASSAHRSLISRNSSVTTSSNASSDHGTCIALDTEGSDQNQDDMVNECEKIQYHNSHEEIFAFDKMDIVDEDPIHDIKSLDSGPALGCDPVVTGDSSYEAVVPDISSTSDSSHVQGADFSEIVCLEDTVVCSRCGCRYRVTDTEENDANLCPECSREEKCLSLAISENMTAVTESLSGLSSVKYEDKPFDKVELVVISPDSALANDLGESRISMFVGNVEQDQASYPEQGPSYVENFPAETPSEESQHSLINHLEIGQSAVSGNQPDTGSGYQQPLQRNDYQSLRFDSPEGAGISILLKRSSSSKGPVVQGRTFTASTISYDDLSFARDSMSSLRSSIGHSSFSASSSADFSSARQIEARMQRQLSLSSRKGELENKKGEISVKSHCAEIASSGIPASAHPISGFETCKQDENVDFYVANLECSSCQGTTTSSQKAELASENGKSDDTSSISVAVVEEDKFEYDTCRILDTCTSELSREDSSGGRSVSDKDASVTNSDCSKLEGHNMLGDVFEDERSEVSTHPMITISETEATQIAEVVASGSQDDISTISMIPLEEESVVLSGPDQDLTPSIINAEKSDGILEESTVIVDYQGKTKVVRSLTLEEATDTILFCSSIVHDLAYSAATIAIEKEKEKEKEKENEVTLEASRPMVTILGKSNTNRSDLRHRTGGKRVMKSQKPRQRRVEMSTKPPIAYTENDENTDESTIRNVGLPNQVDTAKPPKLESKCNCSIM >KGN60493 pep chromosome:ASM407v2:2:8898:17389:-1 gene:Csa_2G000020 transcript:KGN60493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYQRLRQQAMMQQSLYPHPGLLAAPQIEPILSGNLPPGFDSSTCRSVYVGNIHPQVTEPLLQEVFSSIGPIEGCKLIRKEKSSYGFVDYFDRRSAAVSIISLNGRNLFGQPIKVNWAYASSQREDTSGHFNIFVGDLSPEVTDATLFACFSVYPSCSDARVMWDQKTGRSRGYGFVAFRNEQDAQSAINDINGKWLGSRQIRCNWATKGANSGDDKQSSDSRSVVELTSGTSDGGQEKSNEDAPENNPQYTTVYVGNLAPEVTSVDLHRYFHALGAGTIEDVRVQRDKGFGFVRYSTNAEAALAIQTGNARVVCGKPIKCSWGSKPTPPGTNSTPLPPPNVGHISGLSAADLASYERQMALSKMGAAQALMHPQAAQHALKQAAMGMGMGIGMGGAGTSQTIYDGGFQNIATTQQLMYY >KGN63077 pep chromosome:ASM407v2:2:20478726:20481372:1 gene:Csa_2G401370 transcript:KGN63077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDEFHDWELLHEYDPTFPQPYSSNLPYSNSTFSKEFEGDSGTESTICLDYFSLRNHESSPKTPLKFTVTNGCLVEIENLSSVDSGSEIRSCRKNTSEFGSDLGDDLLGECELNQSHENGPLGITKSVAGFEEISIEDENLDRRETDDGELKGSPLVARDELLRVKDTYDPTESEEPREESESQDEILDDTYSNWSGNESFAMKSGDDGKENDAGSDHIESVNDISNNEDEDSSEKIDVAMAVEEVKVEAKSGELEAQRTKAVWWKVPFQVLRYCFLRASPAWSFSVAAAFMGMMILGRKLYKMKRKAKSLHSKIAVNDKRVSHFADRAARLNEAFSVVRRVPVVRGPLTGGGANSWPAMSMR >KGN63191 pep chromosome:ASM407v2:2:21153978:21159666:-1 gene:Csa_2G408370 transcript:KGN63191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQAAGVSTSKVLILVGAGLTGSVVLRSGRLSDLISQLQELLKGVTEEELSPSPYKYDTAVLAAQIRQLTQEIRELTLSGPVTVFNGNSSSSGNYASYLVPAAAVGAMGYCYMWWKGLSFSDVMFVTKQNMANAVSTVSKQLDNVHEALASTRRHLTKKLENLDWRLDEEIETTNLIANNVEEVKCNLSQIGFDVETIHQMVSQLEGKLEVLERKQNVTNSGLWYLCQAAEGVKGRISEKLFPEVEAKKIATVKYEENPLKVRECCTNIAR >KGN62208 pep chromosome:ASM407v2:2:15060481:15064096:1 gene:Csa_2G335570 transcript:KGN62208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQDFAPIFGKPTRVEWVNRGSLSLLQFLFHVYSPNPSHLRFLVTDFHSNTWESTKSAFQLEDMRDDIGIGGAFSEFVDYIVASMKFGDVRLCMEGQSGKDGAASVKLIAQKSKGMPVFSISLTKLVDSAAAEAMATMSLGLFNSLKEKECSLMKEQEHSLQLTTMISTEKEKNENIQTQLGQYRKKQKLQNMNASNSPDKSGVHNIGLTKATNRVVPVHRRAKARGALLQDSEDDNEKERSLQSTFEEKEKKEGLLNTDTLAIVDNLHKPPSMFFMVPSKI >KGN62905 pep chromosome:ASM407v2:2:19353146:19354500:-1 gene:Csa_2G379360 transcript:KGN62905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMATTCCLNNLNPPPRLHQNSTASTNAPLLPPNGNGEWWRKRCVLGAAGVMTALVMSGESSGVEMENLNFVDSRKWSEERMCPQWRLNSLETIVPENLPRPAARRRWDPAALPQTRPAPQLRTTNTTSLSTRCFSM >KGN63323 pep chromosome:ASM407v2:2:22329166:22330582:1 gene:Csa_2G428960 transcript:KGN63323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVAWAVMPFHFIGGLIQAKSAKGFSRDSAVAHHELVSLVSDSATNIRTIASFCQEEEIMKRARMSLEEPKRKSKRESIKYGIINGIALCLWNIAHAIALWYTTILVHKRQASFEDGIRSYQIFSLTVPSITELWTLIPTVISAIGVLTPAFHTLDRKTLIESEIPRGQKIEKFEGRIEFQRVKFNYPTRPEVIVLTNFSLEIKAGSRVALIGPSGAGKSSVLALLLRFYDPEEGNILIDGKDIKEYNLRILRTHIGFVRQEPVLFSSSIRYNICYGIEHVSETELLKVSRDAKVNDLIVNSYK >KGN62525 pep chromosome:ASM407v2:2:16939508:16940073:-1 gene:Csa_2G359940 transcript:KGN62525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPGVSKFNFSREEMKEIFREHDIDGDGYLSISELIKAMGFLGHSIPFYKAHYGMAYCDENGDGLISEDELDKLIDYAERFQKRRR >KGN62613 pep chromosome:ASM407v2:2:17362885:17365524:-1 gene:Csa_2G361770 transcript:KGN62613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRSPSQENSLQPPSEKTFRVQSPSHLSRKPTAQSTSQQPIEPTASIGDQTTDRILSDPANPSPKAIPTSGESQIQAESKKSPKPNVKPVELEESKTQHETKEELTSKNENKEELASKNTSNPHSYKDSSENPTQSDQAIEKGLDSSLESQTESKETKEDGAKTTNAFQTKASRSTLITSSKSRSSFEPENNTQQDESMEDLSKAFNKLNIKYSDEENPKSLTTMIGDNKGTSMHLLSDEAKSESSIHVNHHYKSNPDQSPESSTDIKENSNNETAKDSTTEENPDPPPLELYINLNVQGINNSITFNTSFTENNPGIKLKFPGEPTNCQDELESDHHTRKSKYIATPAEKVTYDPRIRRRCLEGLLMESSDSEDENPGKLQPHGCRYSGSSKGKEVETLY >KGN61917 pep chromosome:ASM407v2:2:12830540:12832449:1 gene:Csa_2G264610 transcript:KGN61917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAPHFISLIFTLLLLLPTSRAATFTFVNRCDFTVWPGILANAGNPTLGTTGFELPKDTSRSFLAPTGWSGRFWGRTSCNFDGSTSGSCLTGDCGSGQLECNGAGAAPPATLAEFTLGAGGMDFYDVSLVDGYNLPMIVEGTGGSGQCATTGCSTDLNRQCPPELRVGEGDACKSACEAFGTAEYCCSGAYGSPNSCKPSVYSEMFKAACPKSYSYAYDDATSTFTCTGADYTITFCPSSPSQKSSSFPTPTTPDTTSQGYGSETGIESESGSGSGSGSEYGMGYSGASGTDMLGRGASTGSESGSGSGEAMLADGSWLAGLAMGDSARAVSLPSIILFLVTFLVILSSLFL >KGN63292 pep chromosome:ASM407v2:2:22061307:22065004:1 gene:Csa_2G424710 transcript:KGN63292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVSASFPVEINSATMVFSPLSSSINPNHHFRFGHSWSRTPQRRRSYCSIPQETNIVEPKHNEDRSRKKHPTKKVIRESSISQEGSPPPLVNALKVSAQQDAARFHFPGHNRGRAGPPSFTQLIGLKPFMHDLPELPELDNLFCPEGPILEAQQQAAKLFGASETWFLVGGTTCGIQAAIMATCSPGDHIILPRNSHVSVISALVLSGAIPKYIMPVYDSNWDIAGVVTPSQIGRAIKDLEVEGQKASAVFVTSPTYHGICSNLSKISQICHVKGIPLIVDEAHGAHFGFQPQLPLSALQQGADLAAQSTHKVLCSLTQSSMLHMSGNLVDRERVCRCLQTLQSSSPSYLLLASLDAARAQLSDNPDKTFNRAIDLAYQAKSKINKISGISILECPMLSNFPAVDPLRLTIGFQQLGISGYEADEILYKNHNIVCELVGNQSITFVINLGTSEDDIERLVSGIEDVSSFASVQRIEGRSKLSVSALFPNVKISLNPRDAFFVKKRRENIKECVGKVCGELICPYPPGIPVMIPGEIISEEVVDYLLHLKGKGASISGASDPKLSSLLVCNV >KGN61138 pep chromosome:ASM407v2:2:4434808:4437019:-1 gene:Csa_2G059200 transcript:KGN61138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNATQSPAAAAAAEYFKMTRWADRRVSPPQQAEEDFAAVIPPVTVVLEGRAICQRISLHKHGSYESLAKALRRMFVDDDDNDGEDSGERGLDLTNAVPGYIVAYEDIENDLLLAGDLNWNDFVRVAKRIRILPVKANSRRGRAN >KGN62493 pep chromosome:ASM407v2:2:16759486:16759817:1 gene:Csa_2G356670 transcript:KGN62493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKINGLATDQIPEKRRRKTNKDRFYERRTGKKEKTDGREGILEESAAATMSRWNKANISGGERLSRCNIMSGLGV >KGN62806 pep chromosome:ASM407v2:2:18684464:18684971:-1 gene:Csa_2G373490 transcript:KGN62806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQQSPPPPPSKWEGEVSAETPAADPHQIWPLLTDDFCSLHKWLPFVDTCHYVEGVPGQPGLVRHCAVTVTPSSIKWAKERLNLIDPIAHTLTYEILDNNMGFKSYVATMKLLQGSGGGCKFVWSFVADPIEGWPLEEFVKYLDSGLHQMVNKMADFLKKSPL >KGN61371 pep chromosome:ASM407v2:2:7464914:7467114:-1 gene:Csa_2G100530 transcript:KGN61371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLYFLFLLPFLSLLSSAAAWINAHATFYGGSDASGTMGGACGYGNLYSEGYGSNTAAISTALFNDGLSCGSCYVIKCVNDPKWCLPGSVLVTATNFCPPNNALPNNAGGWCNPPLHHFDLSQSVFLRIAQYHAGIVPVLYRRAPCKRKGGVRFTIKGHSYFNLVLITNVGGAGDVHAVSVKGPRSGWQPMSRNWGQNWQSHNYLDAQPLSFKLTTSDGRTLISNNVAPAGWSFGQTFAGSQFR >KGN61409 pep chromosome:ASM407v2:2:7924719:7926540:-1 gene:Csa_2G118320 transcript:KGN61409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADGGLSTAASPSITMEEQKLEITKQMRSLEVAIAELNHLSSTRAVFQKNGNLFFRTTVQKATTSEQKKLDAARAKLESLNS >KGN60779 pep chromosome:ASM407v2:2:1836083:1836502:-1 gene:Csa_2G010150 transcript:KGN60779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKCGENQYTNHNHSQSQSQNQNPTKVQRKPIKVKYISSPMMIKASNALEFRAIVQQLTGFDSNTPTRRRNQKLLSDCARTPSAMFHADRHEMEVLKFSDDHHMESLLQFDGQGCFETQNNNNILPNNIMEFQSSCFFQ >KGN62294 pep chromosome:ASM407v2:2:15708671:15710971:-1 gene:Csa_2G348810 transcript:KGN62294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFSPCSAFGEAETVMRMVSKFASFVRTITPVKSEFVATTSPIHLPHPYSPLGLHVHARDLIYLKNRQINKLIKLGHLDSAIKLFDEMPLHDVVTYNLLISGCLHNGYPQRAFGIYSQMVLRGIRESASTFTSVLGTCSNMDFFKEGSQIHCRVVLFGFTLNLFIASSLIGFYMRMGLHTVALKLFDELPQRNIAVWNLLLRWCCELRQFEKLQGFFSKMQLEGLEPNGLTFSYLLRGFGNEKLLDEGKQLHNRAVKIGLVESNIFVQNALVDFYADCRSLTEAKNAFECIPLDNVISWNSIVAVYADVGFLSESLELFSRMQFWGKRPSIRSLMALLNLSSRTRNIQLGKQIQSCALKLGFGHESSHLQSALINMYGRCLDIESSVAVYESISVRTLECCNSLMTSLLHCGVIEDVIEMFGLMVDERIGLDEVTLSTTLKAISICSPDLSRCRLLHCCAIKSGFEFSSVVSCSLMDSYSRCGHVELSWKVFEEIYSPGVICFTSIINGYARNGLGKEGVEILKMMIQRGLKPDKVTFLCALIGCNHSGLVEEGRFVFELMKTLYGIEPDWKHYSCMVDLLGRAGLLDEAEKLIQKVPEKVNGVIWSSMLRSCRVHRNETIGRKIVKWLVDLEAEDPAILLQASNFYSDIGDFETSKQLREIALTKKVVREIGHSLIEVKSHC >KGN60608 pep chromosome:ASM407v2:2:684841:689611:1 gene:Csa_2G003600 transcript:KGN60608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEDSVGASQKKAQSDGATLSNSSSSDIPSKKLARQLDFTGYGGASNTQSHSSSHSQSQASLVAQNLPQAQPKPQTQMVAASIAPLAPLSSVRAVKPESPMSRARPAIEAKDSTPKKQKQCNCKHSRCLKLYCECFASGIYCDGCNCINCYNNVENDAARREAVEATLERNPNAFRPKIASSPHGMRDSREEAGELLMLGKHNKGCHCKKSGCLKKYCECFQANILCSENCKCMDCKNFEGSEERQALFHGDHGSNMTFIQQAANAAITGAIGSSGYASPPVSKKRKGQELYFGPTAKDISAHRPGPFQQANHIRATAPPLPSFPIAGVGSPSVLGPSKFTYRSLMADIIQSQDLKDLCSVLVVLSSEAAKTIQDQTSATEKQSDGQTETSVASSTQDGQKKDASDEKSAADDRSSANQADKITPDDSNSDGGDVPKGAPMSPGTLALMCDEQDTMLMAAASPNRLMGPGCSTSSQLPHGQDTSEIYAEQERIVLTKFRDCLNRLITLGEIKETKCSSLARSEVGNQEQQFNNGTTNSKTDTQSNLGQLNNKVTKPSITPSVKTSQTVTPAVMTLSNDQRQKVLPLS >KGN61385 pep chromosome:ASM407v2:2:7672756:7672971:1 gene:Csa_2G108630 transcript:KGN61385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSLTSVTQVTFGYPTMFCYIHILNLGVTLHQHLIVIFSSFPQRALQRHTLELSHNNLTLRPGILPPAKI >KGN61783 pep chromosome:ASM407v2:2:11843608:11844622:-1 gene:Csa_2G245470 transcript:KGN61783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEGIFFNLTSIFYFLLVLGCLQQKNSYARMLQSEVGDKEMTETNKDPTLNVFFTPDDLKLGKTMPIFFPIKNFSEIPKQLPKEMAEKIPFSLANLSYLLQFFSISKDSPQAKAMKYTLTQCELEPMEGETKFCATSLESLYFSTHDFFGFDGSMKAVATVYPKNFKTELQKYTILEEPIKILAQRILSCHMMPYPYLVLYCHSQVSDNILYKVIVEGENGDRVESLAICHVDTSEWDSDHVVFRVLNVEPGESSVCHFYPEDNIVFVSDA >KGN62504 pep chromosome:ASM407v2:2:16837702:16842935:1 gene:Csa_2G357270 transcript:KGN62504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGDGTVRLGAVNLKPDRNAFDLGPDVSVSSPVTRQKAAAAKQFIENHYKNYLQGLQDRKDRRRTLQRRAQEAQMSVEEQEEMLRNLERRETEFMRLQRRKVGIDDFEQLTVIGKGAFGEVRLCRAKGTGEIFAMKKLKKSEMLSRGQVEHVRSERNLLAEVDSRCIVKLFYSFQDSEFLYLIMEYLPGGDIMTLLMREDILSEDVARFYIAESILAIHSIHQHNYIHRDIKPDNLILDKNGHLKLSDFGLCKPLDDKYSNILLNDEDLTAQQCKNEGQSQSDSNGSHWMMPKEQIQQWKRNRRALAFSTVGTLDYMAPEVLLKKGYGMECDWWSLGAIMYEMLAGYPPFCSDDPRMTFRKIINWRTCLKFPEDPKISEEAKDLISHLLCDVETRLGTRGVEEIKSHPWFKGIQWDKLYEMEAAYKPTVTGELDTQNFEKFPEVQDPPSEMPTVGPWRKMLTSKDTNFIGFTFKKSNVKSLETTGTDIHSNTSSKSPSLVSLLDRIDLQETVIPEVEQKQEA >KGN61977 pep chromosome:ASM407v2:2:13289977:13291014:-1 gene:Csa_2G278170 transcript:KGN61977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWIRGDQLGRGNFATINLAKLTKGFDQFPPLMAVKSSVSSLSSVSSLKNEKQILDRIGVCPQIITCYGDGFSVEKDGDKCYNLFLEYANGGSLADALRIHGGGLSEFDVRRYTRAILCGLQHVHGNGFVHCDLKLSNVLIFGNGEVKIADFGLAKSAGKFAAVETEERFEWRGTPMYMSPEIVNDGEYESPCDIWALGCAVVEMVVGKPAWRVGPETDMFGLMMRIGVGDEVPEVPENLSAEGKDFIRRCFVKDPSKRWTAEILLNHPFVAGAGDTVTLKEVELATESPTGPFDFPEFVCSGQGSDEWSFCSSSSSPEVLSRVRQLMTGKPLDWSVMDSWVTVR >KGN61771 pep chromosome:ASM407v2:2:11719075:11721281:1 gene:Csa_2G238870 transcript:KGN61771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDGIGMVLGRATELRLKISNCIHKATTPAPLRQDPSAGTENVPALDGGSASQAPVSDVEDDEEVERLLVISDALESLEIQLSHLQDLQQHQQYERADALSEIEHSRKMLLDKLKDYKGEHLEVVKEASAFAGEAVKNNHDLILPPYPSRSPYPLHLDNDHLSPFVSTRKSARNGVTLSYMTNDAKRESSESLSTSKEVSTKNTRNRFGSLITAAAKAVFTIVGVVSILSMSGFGPRIVAKKASRLKNSSAYKQGSTEEERPRTQCPPGKILVVEDGEVRCLVKERVEVPFSSAVAKPDVNYGCG >KGN62155 pep chromosome:ASM407v2:2:14590210:14591230:-1 gene:Csa_2G302220 transcript:KGN62155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVRVLIVGAGPSGLATSAYLNHLSIPNIVLEKEDCYASLWKKRAYDRLCLHLAKEFCSLPLMPHSSSTPTFMSRATFLKYLDEYVSKFNIKPRYSRNVERAWLEDEEDGEMKKWRVEARHIETGEMEAYKAEFLVVASGENSVGHVPEVTGLDTFEGEIVHSSKYKSGKAFEGKDVLVVGCGNSGMEIALDLSNYGAHPSIIIRNPVSTYFYI >KGN63121 pep chromosome:ASM407v2:2:20744428:20744715:-1 gene:Csa_2G404735 transcript:KGN63121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNIYLGFNEQYSFNIVIRIGFITWMNLHFPQQPAGPLFLRIVVRARISTLLLSIFSFFFLLQLLAIHFPHYVGFGKRVVIHHSVYGDERGGFWK >KGN61616 pep chromosome:ASM407v2:2:10216793:10219467:1 gene:Csa_2G190730 transcript:KGN61616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNVDQFKTLLFGVLNFTILGFPSIISAPVRLRRSSEFPIPQASSSTLPLLSLSVQMGFFSFLGRVLFASLFILSAWQMFNEFGTDGGRAAKELLPKLNTFRRNFSARFGFDLPAIDVTHLVAAFLSLKGIGGLLFVFGSPIGAYLLLIYLAISTPILYDFFNYGRENSQFGILMNDFILHVALAGALLFFIGTKNSIWRKQQKKKAHKAKTN >KGN60773 pep chromosome:ASM407v2:2:1778609:1781819:1 gene:Csa_2G009600 transcript:KGN60773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEAAEYFSKDFQWDDLRIRVENDPNLQYHLLPFEPSNSVPSVSPEADSNAWSRFHLRHSSGKFFKERRYLLKEFPELVSCKKYCKVLEVGCGNGSTVIPILRGNENIIIYACDCSTETLERAKDILNDAGFASLKDRFHPFYCDFSISKFPTWLACNSCRGNTFQQQRSFTTPENDGSQATGSFALEESGCCIGGVDFITLIFTLSAVPLQRMPASIRECFMVLKPGGLLLFRDYGLYDMTMLRFAQDQRVGFREYVRLDGTRSYFFCLSTLRDLFANAGFVELELEYCCVKSSNRRNGKSMERVWVHGKFQKPV >KGN62554 pep chromosome:ASM407v2:2:17079627:17082649:-1 gene:Csa_2G360710 transcript:KGN62554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRVTLSYSGHVVQNLASSTGLRAGNCRVFQEFWVRSCIFGSTHNPELKSSGSARNYRSDSRRFKPGGSVEKATAMYSTLTGERVGESPKNPMILGLMSMLKSMGDSSVISTGISGVSSFKATSIIPFLQGSKWLPGYDVRSVSDDVDKGGTTVCYDYYDKSGNDQFYENDFEKSWVSRLLSTYSEDAKALFTALTVSVLFKSFLAEPKSIPSSSMCPTLEVGDRILAEKVSYIFRKPEVSDIVIFKAPQILQDFGVSSDEVFIKRVVATSGDVVEVQKGKLVVNGVAQDEDFVLEPIAYDMEPLLVPEGYVYVMGDNRNNSCDSHNWGPLPIENIVGRSLFKYWPPSKGSAMVDELRVGKINLGIS >KGN62982 pep chromosome:ASM407v2:2:19763479:19764161:1 gene:Csa_2G382550 transcript:KGN62982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPQQRYRGVRQRHWGSWVSEIRHPLLKTRIWLGTFETAEDAARAYDEAARLMCGPRARTNFPFNSNDSNSSSSKLLSANLTAKLHRCCMASLHFQKPATHRFNSGVDVAGIKPLTVEGNTQTEIGHQPFVALEEDHIEQMIEELIHYGSVELCSVVPPSQAF >KGN63044 pep chromosome:ASM407v2:2:20182336:20185553:-1 gene:Csa_2G386120 transcript:KGN63044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSESWFRSLWKPPRKRESTQKVVIGVLAFEIASLMSKLVHLWQSLSDKQVGRLREEINNSLGIKKLVSDDDEYIVRLICAEMTENLVHVAKSVARLGKKCSDPSLKNFEHVFDALIQIGADPYGWIYSWKKMEKKVKKMETFISVNANLYQEMEMLADLEQTFSRMKANEDSDVINLVEFRKKVAWKQQEVKNLREMSLWKRTYDYTILLLARSLFTIFSRIKRVFENEQSVDNDGTDDSRDMSSDYIARSQSVSSLMQSMVHPSESGLTKFASGPLKRFTTKSGPISKTAKPNNFYSGPLGSSITKSGPISGPVSGTNRNFNSYSGPLTSSAIRSGPTSGIDNKTNQKNWLVGGYSSLFNGKKSHQKPNRLTQVGPFKGCMISGPSSMVANCHISSNGYHSQLINGAKDTGNIVEHCNRASPCKQLLSTKCRLLDAPPETLGGAALALHYANVIIVIEKLAASPHLIGLDARDDLYNMLPAKVRASLRAALKPYAKSLASSMYDTGLAGEWNEAIAGILEWLAPLAHNMVRWQSERSFEQQNFVSRTNMLLVQTLFFANQEKTEAIITELLVGLNYLWNFGRELNAKALNECASSRIHDEYLDIVG >KGN61525 pep chromosome:ASM407v2:2:9204556:9208577:-1 gene:Csa_2G155600 transcript:KGN61525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALTKWSSLSLFALALLFFLTIALVRKEETSKIRTVSAAEFQSSSNSSMATRVENYDVEQELNNEHAVDNPDEIAASVEMSIRNSTERRKLGFFSCGTGNPIDDCWRCDSNWHRNRKRLAECGIGFGRNAIGGRDGRFYVVTDSSDNDPVNPKPGTLRHAVIQEKPLWIVFKRDMVIRLKQELIMNSFKTIDARGVNVHIANGACITIQFVTNIIIHGLHIHDCKPTGNAMVRSSPSHFGWRTMADGDAISIFGSSHIWIDHNSLSNCADGLVDAVMGSTAITISNNHFTHHNEVMLLGHSDSYTKDKQMQVTIAYNHFGEGLIQRMPRCRHGYFHVVNNDYTHWEMYAIGGSANPTINSQGNRYAAPTNRFAKEVTKRVETPESEWKGWNWRSEGDMLLNGAYFTPSGAGASASYARASSLGAKSASMVGSITSSAGSLPCRRGHPC >KGN63131 pep chromosome:ASM407v2:2:20798296:20803227:1 gene:Csa_2G404830 transcript:KGN63131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPTTSFSSSLPRSLIPPSFRSHSPLLHLSTHNPISATSTPSQSSVLPEPPSISNAAVNLRTAPWMKAPLHLQPQQQEEEGVDPANPKRRNGSDGSGRDKCSRALGDSGIDKTGKYAMRRIAKSIGKLRRNGDLGETRMKLEEVEFGGFDLEGFEESGTRRRMPWEKDDDGIVLRRMKKKTVTSAELNLDRVLLERLKGEASKMEKWVKVNKVGVTQDVVNQIQFMWERNELAMLKFDVPLSRNMDRAREIVEMKTGGMVVWSKKNALVVYRGCNYPLNLKHSTKKQVHISPQNPVKVETDTHFSLSGHYESGLNRSINDNDGEWEEASSFFLIRHENLQPLSGSLYERETDRLLDDLGPRFIDWWMHKPLPVDADMLPEVVPGYMPPFRRCPPYTKQNLTDAGLQHLRKLAHSLPTHFVLGRNRKLQGLAASILKLWEKSMIAKIALKWGVPNTDNEQMALELKNLTGGTLLLRNKFVIILYRGNDFLPVGVADSIIQREVELQRWQLHEENSRLKASEFFCFDTENMEERGKAGTLSDFKDITVGYEDLSTGSTESRLQAEAEKGKIIRGLRMQERRLKILNFKVEKSTKELTKLNASWRRVEPDADQELITNEERICFRKMGLKMDSCLTLGRRGVFDGVIEGLHQHWKHREVVKVITMQRAFNQVNYTAKLLEAESGGILVSVDKLKEGYAIIIFRGKNYKRPLHSVSKNLLTKRKALSRSLEMQRIGSLKFFANQRQQKIYELQHELENVRDSEE >KGN62511 pep chromosome:ASM407v2:2:16873566:16874176:1 gene:Csa_2G357340 transcript:KGN62511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSKSKTYKRVLVPFSEEQLANVFKNHDRDGDGHLTKEELKQAFEYLGSRFCNFRVEEALRAADTNGDGVISMDEMGKLIKYAKTRKYTIS >KGN62879 pep chromosome:ASM407v2:2:19174696:19179448:-1 gene:Csa_2G379100 transcript:KGN62879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRKRTKNQEEDLVVEKPAPATSRLTRSSARLAANSKADLTVTELPKSKKAKRAPKENGKVEEVENKEVKVDVGLGKLDKDAKSRTVVIEHCKQCQSFKKRAIQVQTGLENGVPGITVLLNPDKPRRGCFEIRSEDGEKFISLLDMKRPFTRMKELNMDEVISDIIEKIKG >KGN62491 pep chromosome:ASM407v2:2:16746948:16750431:1 gene:Csa_2G356650 transcript:KGN62491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNYAFLNDQLSKRTSIFGLRLWVVLGICVGAAIVIVLFLISLWFTSRRNSSNKSKPSLNPIIPNVSKEIQEIRIDHSRNTTHSDPKVQLASNPEPLPEAEPLATLLQTEEGSPPSGRHRIHIEIGKDHRISYPERGGGSSHGSGEARSGDQAPMTVPEVSHLGWGHWYTLRELEYSTNSFADENVIGEGGYGIVYRGVLEDNTVVAIKNLLNNRGQAEKEFKVEVEAIGRVRHKNLVRLLGYCAEGAHRILVYEYIDNGNLEQWLHGEVGPCSPLTWDIRMNIIVGTAKGLTYLHEGLEPKVVHRDIKSSNILLDKQWNPKVSDFGLAKLLGSERSYVTTRVMGTFGYVAPEYASTGMLNEKSDVYSFGILIMEIISGRNPVDYSRPSGEVNLVEWLKTMVSNRNAEGVLDPKLPEKPSTRALKRALLVALRCVDPNVQKRPKMGHVIHMLEADEFPFRDDRRVGREPGRSLLKEKLMERLVSESGESSGYESGATQASRHLWRKQELEEQQPREPHTLR >KGN61040 pep chromosome:ASM407v2:2:3556934:3559591:-1 gene:Csa_2G035400 transcript:KGN61040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGQGSHALEGEATNKRFNGTSPLKKRFIRRCKQGCLQFVILKPILVAVTLILYAKGKYEDGNFNPKQSYLYLTIIYTISYTMALYALALFYVACKDLLQPFNPVPKFIIIKSVVFLTYWQGVLVFLAAKTQFIKNAEQAAQFQDFIICIEMLIAALGHLYAFPYKEYAGANIGGSRGLTGSLAHAVKLNDFYHDTVHQFAPTYHDYVLYNHSDGDEGTRKYRSRTFVPTGPEMDTVRRNKHMFGNKLDDIQLSTISSSSSSTPNHPEVLEPTNSDAMKSSLLLDASNSLSTPYDMSLIDLDMSSYPSKVAAADETITR >KGN62046 pep chromosome:ASM407v2:2:13776992:13779647:1 gene:Csa_2G292760 transcript:KGN62046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEPSCIEKSPIPKPSSEPLQMEIDHPSAAQIPEISQYEQSRELRIRENMERMQKLGILDLSLKLKSSAPSKQNRRKSPTPKPSPPSFDLPPAGPLRRSSRLQNATPVTYSELRIERKNKFSEDEDAILEDGSRPEIYTEEHEKMLGCTEMSWTLFVDGYGKDGKRIYDPVKGKTCHQCRQKTLGHRTHCSKCNMVQGQFCGDCLYMRYGEHVLEAQQNPDWICPVCRGICNCSFCRQGKGWFPTGPLYKKITRMGFKSVAHFLIQTKRSQPSSKENPTDLASAKRSLSFTDFEVNPDDPPKVNDDLLETMEPQAVDVSENEKKSMLQSISNNEIKDHISVKRSLSFSGLEQEQQGSKDAKPPNHLNHDELSQHQCANNELGENIIDEKEKADCRKRKNGDNYCRDECSLTEKKPTITVESNTMDLGCRIGNDHEKELSGVQTTTSSTDQSVKPDISTHTNSESIAEERSQEGRIIQENNGKTSEEEEEGSNSSSKEKTKAGAKIFQPLQR >KGN61912 pep chromosome:ASM407v2:2:12783153:12784419:1 gene:Csa_2G264560 transcript:KGN61912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQVSKQVERRKSITTEKKVLADLRINAGEDFPGSEYRSPDRKNWMSNLGTERTRVNQIVWPGTHDSATNVIGIPFITRPFAQCQSLSIYEQLVLGTRLLDIRIQENRLVCHGILSTYSVDVVFADIKKFLAETQSEIVIVEIRTEFGHEDPPDFDKYIVEKIGEFLIHNDEGVFEKTIAELLPKRVICIWKPRKSPAPKAGDPLWGGGYLKDNWIDTDLPSTKFDSNLKFLSEQPPVTKRKYFYRVENTVTPKADNPILCVKPVTRRIHKFARLFINQSFAKGVADKLQVLSTDFIDGDFVDACVGLTSARIEGKA >KGN61387 pep chromosome:ASM407v2:2:7687428:7687844:1 gene:Csa_2G108650 transcript:KGN61387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKACQFSNLSLKFSASFNLFFFYLLQATISAVLIFSLFFVTINHHGIATRRHPSALSITSGRRQGLPPSPPCLATRQYCQRKQNTLWHLGLLFFHIAMMSLVQSENEKMGLVHVLLSRAPFSKFLPQKTHSLLPFFLP >KGN60898 pep chromosome:ASM407v2:2:2647889:2651386:-1 gene:Csa_2G021690 transcript:KGN60898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFDLESLSEATSGAIGSLVSTTILYPLDTCKSKYQAEVRAHGQQKYRYLSDVLWEAIKNNQVLSLYQGLGTKNLQSFVSQFLYFYGYSYFKKLYLEKTGAKSIGTKANLLLAAAAGACNAILTQPLDTASSRMQTSAFGKSKGLFKTLTEGSWSDAFDGLGISLLLTANPAIQYTVFDQLKQNILKGKQNKSEQGSSPVVLSAFTAFVIGAISKSIATVLTYPAIRCKVMIQAAHDDETKENRPKVQRTVPGVVHSIWRKEGVLGFFKGLQAQILKTVLSSALLLMIKEKITSTTWVLILAARRYLLLTRPKLKSS >KGN62268 pep chromosome:ASM407v2:2:15515824:15520456:1 gene:Csa_2G346580 transcript:KGN62268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISVCSARTIQASPSPIHFFFPPNRRRIGSNVLRISSNFSTRCSSDYDEYSEAQSERIANYAGTRLEETVDVNPGKVRLDSWISCRINGISRARVQSSIRAGLVSVNGRVIDKVSHNVKAGDVINCTISELQPLRAEPEDIDLDIVYEDEHVLVVNKPAHMVVHPAPGNATGTLVNAILNHCSLPMVATSTGENESDSDVSDDEFSSIGVFSRVSEAPIRPGIVHRLDKGTSGLLVVAKDEHSHAHLSAQFKQHSIERVYISLTCGVPPSTAGRIEMPICRDPNNRIRMTAIDGTKNNPHAKYAASRYNVMEILAQGGSSLVEWRLETGRTHQIRAHAKYMGIPLLGDEVYGGTKSMAMSLLRTRASSNCHGQLMQLVSSLERPCLHALTLGFVHPHTGKNIRFSCPPPTDFTEILSQLREIGTQKWQVTKS >KGN62928 pep chromosome:ASM407v2:2:19493731:19495199:-1 gene:Csa_2G380080 transcript:KGN62928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMFGVQMEDSGQHKVHGVSNQKSNNVVEISGVDQQQLICDNVVISIEKMLDQVPSAQEKQCSIYRVPKQLCEMNPKAYAPQLISIGPFYYHAHKNLIANEQYKLQGFNNFLHRVNKMSLEQQERTRSLNDLVKKAQSWVKEARNCYAESINMNDEDFIKMMLVDERIPDIDDDLIKLENQLPFFVLQHLFDLIPKHNDNPNCFKQLTYKYLNMGWLENYEPSDILSIKPKHFIDFLSFYFVPHHRCEHDQESSDMKEWNVIIPPSITELCEAGVTIKKAENTKCLMNIRFENGILEIPPLHIDDYFEPMMRNLLAFEHFPVEVNNTYVIPYVTFMDYLISTEKDVNLLVKEKIIINDIGGSDREVSQLFNNLCKFVSSSPNDNYFNNISEGLREHCDRWWNKAKASLKHNYFNTPWAAISFSAATVLLVLTILQTVFSAISAFPKSKPDIP >KGN62172 pep chromosome:ASM407v2:2:14703231:14707651:-1 gene:Csa_2G308350 transcript:KGN62172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHFQNDDLEYIVDDYYEVDDFDDGSVLSDDEFHTNKNTEHVDSDFEDDIDTTTPRTDTSALDFRNGKDIQGIPWERFTFTRDNYRENRLSQYRNYESLSSSRAELEKECLQVEKGNSFYDFQFNTRLVKSTIVHFQLRNLLWATSKHDVYLMQNYSVMHWSSLLRRGKEVLNVAKPIVPTLKRPGLSSQSLSRVQISTMTVKENLIVAGGFQGELICKYLDHPGVAFCTKMTTEENAITNAVDIFHNQDGALRVVAANNDAKVRIFDAKTFTCLNGFTFGWSVNNTAVSPDGKMLAVLGDSTDCLLADANSGKVIESLKGHLDYSFASAWHPDGRILATGNQDRTCRLWDIRNTSKSLAVLKGRMGAIRCVKFSSDGKFLSTAEPADFVHIYESHTGYKQAQEIDLFGEIAGISFSPDTEALFIGVADRTYGSVLEFNKRHHNKYIDSIF >KGN62901 pep chromosome:ASM407v2:2:19308543:19309121:1 gene:Csa_2G379320 transcript:KGN62901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEYQREMKGLGERIIRLILKFLGISEEEMMKLLTSTDESIGKPHMALRLNSYPPCPDPGQVMGLAAHTDTSLCTILHQVGNNGLQIFKDGTGWVPLSPMSGTLIVNIGDLLHILSNGRFPSILHRVMIQENKEHRFSLAYFYDPPGEIYISPYCKPLSDTPQFPLYRSVNVKEYFAIKAKKTGKGLPAIKI >KGN62302 pep chromosome:ASM407v2:2:15736361:15740108:1 gene:Csa_2G348880 transcript:KGN62302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTDTNTLSYWLNWRFFLCALFLSTVMIVAALLIWKYEGSKRSKSGSRDDSQVSVGSLYEDELWRTCLKEIHPLCLLAYRMLAFALLFGLILSEAIVSGGRIFLFYTQWTFTLVTLYFGLATSFSIYGCCRKRDDNGSSTEHTSLDAERGTYVPPTLGVNSLDVDNSAKSLSSREGFHTRKAAGVGGYAFQIIFQVSAGAVVLTDIVFWFILYPFILSRSRGLSFFIVTMHSVNAVCLLGETILNGLRYPFFRIGYFVLWTGIFVIFQWILHACVSMPWPYPFLDLSPPSAPLWYVGVGLMNVPCFGVFALLIKMKQSLLPKLFPRSFQELS >KGN61914 pep chromosome:ASM407v2:2:12790901:12792864:1 gene:Csa_2G264580 transcript:KGN61914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIVKEILARPIQLADQVTKNADSAQSFKQECIELKTKTEKLAALLRQAARASNDLYERPTRRIIDDTEQVLDKALTLVIKCRANGIMKRMFTIIPAAAFKKTSTQLENSIGDVSWLLRVSAPAEDRDDEYLGLPPIASNEPILGLIWEQVAILHTGTLEERSDAAASLASLARDNDRYGKLIIEEGGVVPLLKLAKEGRMEGQEHAARAIGLLGRDSESVEQIVNCGVCSVFAKILKDGHMKVQSVVAWAVSEMATHHPKCQDHFAQNNVIRLLVSHLAFETIQEHSRYTIATKHQMSIHSVFMANNNGSDQNVKNGYEEEDPKQTANSVNHPTGNQLSSQMHNVVTNTMAMKNPVTGQSNTQEIQKTTHHIQNPGRAALSGASIKGREYEDPATKAQMKAMAARALWHLCKGNVTICRNITESRALLCFAVLLEKGPEDVKYYSAMALMEITAVAEQNSDLRRTGFKPTSPAAKAVVEQLLKIIEKANCDLLLPSIQAIGHLARTFRATETRIIGPLVKLLDEREAEVSMEAVIALNKFACTDNFLHDNHCKAIIEAGGTKHLIQLVYFGEQMVQIPSLILLCYIALHVPDSETLAQEEVLIVLEWSSKQAHLVEEPTMENLLPEAKSRLELYQSRGSRGFH >KGN63276 pep chromosome:ASM407v2:2:21953604:21954354:-1 gene:Csa_2G423560 transcript:KGN63276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRGVEEGNHGHAHGRDGCRQSPVIQKGVVSAAGVVMCELCNSKASLYCQADDAYLCRKCDKWVHGANFLALRHIRCILCNVCQNLTQKYLMGTSTEVLLPTIIACAEANDCNNNGNRNPCCSVMFKRPFLFL >KGN63326 pep chromosome:ASM407v2:2:22338709:22344898:1 gene:Csa_2G428990 transcript:KGN63326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSAKSKSLQEYLKRYESNTEEEKKKKKKKKTTATNKPNALGVLVVDEDPVWQKPIIIEEDNADNSTDEEPQVDEDVEVKRMRRLEELKAKRPYNSISEDGSGWVSLSPNRANSSMVNSDMSPPRRTRVRNDTPSPSNELKPPVPGEEGEDFSPPRRRPRKPPSSLEHDEKPTNSTYPSSSPTQKDKVYRDAHLQGANSWHIDYAQEDIDLSPPRQRRKRYHTPSPEPDATCTRSVSPQFDISPPRRSDRKASKTSLGGNHKVDLSPPRRRASDYVGDERISRGSDLSPPRKQRKDVRGDRSLLDKQSRNHVTDASQESPTDLSPPRKKQKELPVSVSFKQTRKTGLLTQQEFGEEMSKTNKEDWTRFKEMNPSASSNADPVYRDKIKGDRISKEEFLKARGKIEEKAKEIKLEWGKGLAQKREAEAELMELELEKDRPFARSRNDAELDTMLRDRLRWGDPMAHLVKKRQSEMALPDLGDNEKMKESGFMIPQDIPPHSWLKRGLDAAPNRYGIRPGRHWDGVDRSNGFEKQMFKRMNEKQATEREAYLWSVSDM >KGN61148 pep chromosome:ASM407v2:2:4547162:4549738:-1 gene:Csa_2G059790 transcript:KGN61148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGFRSLQYSSSLHQRAYLGNAAQQYCFSSIIRKHASVSWCYLTPNKNLYNSWHNLSSGKRNSRYIIVRSELEKMGTHEAGYPLSEVPLSSKVRGTFFYATTAITAIFLFMLMLVAHPFVLLMDRYRRRIHYSIAKMWASLTIAPFFRIKYEGLENLPSPNSPAVFVSNHQSFLDIYALLTLGRSFKFISKTAIFLFPIIGWAMFMMGVIPLKRMDSRSQLDCLKRCMELIRKGASVFFFPEGTRSKDGKLGTFKKGAFSVAAKTKVPVVPITLVGTGSIMPAGFEGILNKGLVKVVIHKPVIGSDPEALCNEARNVIADALSEHVDC >KGN61872 pep chromosome:ASM407v2:2:12560858:12563291:-1 gene:Csa_2G258680 transcript:KGN61872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSADEGAVVAEAPAPALGEPMDIETALQLVLRKSLAHGGLVRGLHESAKAIEKHAAQLCVLGEDCNQPDYVKLVKALCAEHNVNLMTVPSAKTLGEWAGLCKIDSEGKARKVVGCSCVVVKDFGEDHEALHIVQKHVSSN >KGN63218 pep chromosome:ASM407v2:2:21394691:21395404:-1 gene:Csa_2G416090 transcript:KGN63218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAFKAFKASVPIAWSTNLYITLVRGMPGTRRLHRRTLEALRLRKCNRTVMRWNTPTVRGMIQQVKRLVVVETEEMYKARKQKMEQHRALRPPLVINHLPAPSSASAS >KGN61309 pep chromosome:ASM407v2:2:6492305:6494573:-1 gene:Csa_2G079120 transcript:KGN61309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLSCQSSDLVTLLGSSTTANNTAIAEFLCSRFTTIATTFSTTTYAVDNTYLLFSAYLVFAMQLGFAMLCAGSVRAKNTMNIMLTNVLDAAAGGLSYYLFGFAFAFGAPSNSFIGRHFFGLSDFPNPTTDYSFFLYQWAFAIAAAGITSGSIAERTQFVAYLIYSSILTGFVYPIVSHWFWSSDGWASPTRPSNLLFNSGAIDFAGSGVVHMVGGIAGLWGALIEGPRIGRFDRSGRSVALRGHSASLVVLGSFLLWFGWYGFNPGSFLTISRSYNDGRPYYGQWSAIGRTGVTTTLAGCTAALTTLFTKRLLVGHWNVIDVCNGLLGGFAAITSGCAVVEPWAAVICGFVASWVLISFNKLAEKVNYDDPLEAAQLHGGCGAWGLLFTGLFARKEYVAEVYQAGRPYGLLMGGGGRLLAAQLIEILAIIGWVSVTMGPLFYALKNMKLLRISREDEMAGMDLTRHGGFAYVYNDEDDLSGKPSGFMMRKIEPANETPPQEHFPSGMAAV >KGN60865 pep chromosome:ASM407v2:2:2471411:2472098:1 gene:Csa_2G020880 transcript:KGN60865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDCTLLEEIPKVPEGVVCMDAGGCVLLTRFPDYIPDFISCEGNLEDEKSFGEFKQLILMNYDIPDWCRFEVISSGEYLWMPVVDIKSSRDILDRVTVLFDVRVPKRQLLHVCDPKWEDEVSIKMYGVHVIMEE >KGN61802 pep chromosome:ASM407v2:2:12012874:12016119:1 gene:Csa_2G248120 transcript:KGN61802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQSKILIIGATGYLGFHLAQASCNYSHPTFALIRNSTFSSPHKLDKLRALSDAGVKFIEGSLDDEASLVEAVNQVDVVICAVSSKQVLEQKPLIRIIKQSGPIKRFIPSEFGLDPDKVQILNMDYDFYSRKAEIRRLVEAEGIPYTIVSCNFFTSYLLPSLVQPGMKSPPRDKVTIFGDGNTKGVFVKVDDVAAFTISAVDDPRTLNKVVHLRPEGNVYSLNELVEIWESKIGKKLEKNYVSEEELLKKIEETPYPENMEFIFVYSAFIKGDQIYFDMEASNGVDGSKLYPQLKHTTISEFLDTLL >KGN62121 pep chromosome:ASM407v2:2:14368351:14368762:1 gene:Csa_2G299910 transcript:KGN62121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFVFILRFNELARGDVPKSIQCYMKDTGGSESDARKHIRHLIDETWKKLNKVQVENSIFPKVFIERAKNVARMAQCMYQYGDGHGIVHQETKDRVMSLLIQQISIHPYSENLPKVI >KGN62655 pep chromosome:ASM407v2:2:17623404:17628402:-1 gene:Csa_2G365130 transcript:KGN62655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLNVDINFVLEFHENPRIELKAIFTEKFQNSLKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKPFNLAPRSLFTFPRIKPRRLNHSGGGNASVKCAASKWAERLLGDFQFLSDSSSDHSHSLSSTAVTLSPSFPPPIASTERQVTIPIDFYRVLGAETHFLGDGIRRAYEARVSKPPQYGFSQETLISRRQILQAACETLADHTSRREYNQGLSDDEDGTILTQVPFDKVPGALCVLQEAGETALVLEIGESLLRDRLPKSFKQDIVLALALAYVDISRDAMALSPPDFIQGCEVLERALKLLQEEGASSLAPDLLAQIDETLEEITPRCVLELLALPLDDEWRTRREEGLHGVRNILWAVGGGGATAIAGGFTREDFMNEAFEQMTASEQVDLFVATPTNIPAESFEVYGVALALVAQVFVGKKPHLIQDADNLFQQLQQTKEAVGGTAVTAYAPREVDFALERGLCSLLGGELDECRSWLGLDSDNSPYRNPAIVDFILENSKGDDENDLPGLCKLLETWLAEVVFSRFRDTKNIYFKLGDYYDDPTVLRYLEKLEGVNGSPLAAAAAIVKIGAEATAVLDHVKSSAIQALRKVFPLTQNSYRREAEAEMEYVFPAGNSQVPLVNFDENERTNFSEVSERTEAGERNDEQPITDQIKDASVKIMCAGLAVGLLTLAGLRFLPARNNTTALLKEAGSPIASTTSVASEVEKSSEEPSRMDARIAEGLVRKWQSIKSMAFGPEHCLAKLSEILDGEMLKIWTDRAIEISELGWFYDYTLSNLTIDSVTVSFDGRRATVEATLEESARLIDVDHPEHNDSNQKTYTMRYELSYLTSGWKITKGAVLES >KGN62404 pep chromosome:ASM407v2:2:16258017:16260518:-1 gene:Csa_2G351850 transcript:KGN62404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNFLLKIKAELENLTNLQPQDGCDDPNFPYLFKVKCGRCGEVSQKETCVTLGETIPLQAGKGTTNLVQKCKFCGREGTITMIPGRGKPLTQEISESGGFSPLMLFDCRGYDPMGFIFGPGWKVESIEGTKFEDIDLNGGEYAEYDEKGECPVMISSLEAKFELLK >KGN61406 pep chromosome:ASM407v2:2:7894729:7894932:1 gene:Csa_2G118290 transcript:KGN61406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSVFDHLIPLFPLNELLHPYCLHHYSTSSSSSFYMHLLLHFILLTGYLTNGTKSQDRQVTVNRKL >KGN62483 pep chromosome:ASM407v2:2:16685831:16691293:-1 gene:Csa_2G356080 transcript:KGN62483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFFGMDFSCVFGSLSHGKFPEKDCLLPLISKLLGYCIVAASTTVKLPQIMKILKHQSVRGLSVISFELEVVGYTIALAYCVHKGLPFSAYGELAFLLVQAIILVAVIYYYSQPIGMKTWIRALLYCALAPTVLAGQINPVLFEALYASQHAIFLFSRIPQIWKNFSNKSTGELSFLTSLMNFGGAMVRVFTSIQENAPSSVLLGSALSIATNGTILSQIILYQKRDVKKEKKTE >KGN61816 pep chromosome:ASM407v2:2:12088039:12095117:-1 gene:Csa_2G248730 transcript:KGN61816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADPLTSSNGIANVNSPPDPRRTYQVVVAATENLGIGKGGKLPWRLPSDIKFFKNITITTSDPGKRNAVLMGRKTWESLPAEHRPLPGRLNVVLTRSGSFDIATVENVIICGSITSALELLAASPYCLSIEKVFVIGGGEILREAMNAPECDAVHITEIETNVECDTFIPAVDKSIFQPWYSSFPVVEKNLRYSFNSYVRVRSSAEPPIDQNNGLVSHNKPDFSNFEAKFSFLPKEIFERHEEYLYLKLVQEIISDGIPKDDRTGTGTLSKFGCQMRYNLRKTFPLLTTKKVFWRGVVEELLWFISGSTNAKVLQEKGIHIWDGNASRDYLDSIGLREREEGDLGPVYGFQWRHFGARYTDMHADYTGQGFDQLLDVINKIKNNPDDRRIILSAWNPSDLQLMALPPCHMFSQFYVANGELSCQMYQRSADMGLGVPFNIASYALLTCMIAHVCGLARGDFIHVIGDAHVYRTHVRPLQEQLQKLPKPFPILKINPEKKDIDSFVADDFELTGYDPHVKIAMKMAV >KGN62684 pep chromosome:ASM407v2:2:17817613:17819814:1 gene:Csa_2G368850 transcript:KGN62684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTGTQFLLAILNLLLLVPGGFSTSFTFVNKCDFTVWPGILSNGGVPPLLTTGFSLQSGESKTLPAPASWGGRFWGRTLCSEDSTGKFSCATGDCGSNKLECAGNGATPPATLAEFTLDGSGGLDFFDVSLVDGYNLPMIVVPQGGSGDNCTSTGCVVDLNGACPSELRVTSADGREGVACKSACDAFNQPQYCCTGAYQTPDTCQPSMYSQIFKKACPRAYSYAYDDKTSTFTCASADYVITFCPSPNTSQKSSEAQNSPATANPSSGGDGSMVYEGASLIQTDAAPPRVVGVSAISTVAIGWLWQQMFHF >KGN62102 pep chromosome:ASM407v2:2:14209465:14210625:1 gene:Csa_2G297260 transcript:KGN62102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMLPCKRILTLFVLILVLLCCFSNPAIAARSVGVAEMKNESQNEKVINMNDDAYKVHNNDEEHYFSSTDDLGAMDYTPASKKPPIHN >KGN61016 pep chromosome:ASM407v2:2:3433543:3434016:1 gene:Csa_2G034690 transcript:KGN61016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADSGTEDKINRICRQVEEFEFCSQTFHQNLKSGSADYIGLTEIANNQVYTKASSTLVYIEQLLRSVSDPTTKKALLVCENGYYAVNEAFVEGIRWFSQKYYKEMLNVERRAPSAQASCTSIFTTTPPPKQNPLFQINRDMRILIAMAIASGSSIS >KGN60604 pep chromosome:ASM407v2:2:668236:672583:-1 gene:Csa_2G003070 transcript:KGN60604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLKQLESLLGEIQQFSNPKIQLEQYPTGPHIASRMLYTAENSFGDVSGKVVADLGCGCGTLGAAAAILGAEHVTGIDIDPDSLEIASSNAEYLEFEMDLVLCDVKNLGWRGQVVDTIVMNPPFGTRRKGADMEFLSAALKHASKAVYSLHKTSTRDHIKRAAVRDYGAESAEVLCELRYDVPQLYKFHKRKEVDIAVDLWRFVPRSHRENDV >KGN61072 pep chromosome:ASM407v2:2:3826354:3834080:1 gene:Csa_2G036700 transcript:KGN61072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFSSNLVAIVGAGEQPSLSPRRLCLFNTMSGNALRELNFLTSILAVRMNRKRLVVLLQDKTYIYDINSLTILDTIDTVPNSKGTCAFSPSLDGCFLAIPASITKGSLLLYNVMELQLHCEIEAHRAPLATMVLSSNGMYIATASEQGTMIRVHLVSEATKSYSFRRGSYPSTIFSLSFGPCSQVPEILVATSSSGSVHVFPLGFAINQRSSRRSGGFLGSIMPDSISDALDPAHHHIVHNAAPAGIKSYAVIRKVDRVSDPSTSEIVACRATLAIITYNGYFQEYTLSLNNHNEFSRSLDREFNLMTVITDNDVRL >KGN62743 pep chromosome:ASM407v2:2:18236800:18244086:-1 gene:Csa_2G370420 transcript:KGN62743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAANPQPLQARPFQEHVQVPSMMADDDGEYEDGGGGGGGGDVMDDVEEAHMTSVSVANHGGLVMASRASELTLSFEGEVYVFPEVTPEKVQAVLLLLGGRDVPADVPTMEVPYDHNNRGMVDTPKRSNLSRRIASLVRFREKRKERCFDKKIRYTVRKEVAQRMHRKNGQFASLKESSGASSWESAHSCLQDGTRSETVLRKCQHCGVSENNTPAMRRGPAGPRTLCNACGLMWANKGTLRDLSKGGRNVSLDHMEPETPMDVKPVIMEGEFSGIQDEHGTPEDPSKTMTEGSSNPSIDLDEEDINETTGELTNSLPMRIVNHSSNDDEQEPLVELANPSDTDIDIPTNFD >KGN63195 pep chromosome:ASM407v2:2:21176232:21179615:-1 gene:Csa_2G408410 transcript:KGN63195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIGARSAFRSFSGSARRAAAHIGSQPKASSSSPFRMATNKPLSHRTFRCAPEMSFCLESMMPFHSVSSSALMTSMLSISRHSCGWLPEACNDDL >KGN62045 pep chromosome:ASM407v2:2:13774482:13774742:1 gene:Csa_2G292750 transcript:KGN62045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPIGVWVTVESLPPSQKLCCKRRAVVRTKSDSWVNVDHRGCGLPSSLPNACGCWSVAGEED >KGN62925 pep chromosome:ASM407v2:2:19471987:19473807:1 gene:Csa_2G380050 transcript:KGN62925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNSNGRKQSQKPRFILLDFRDKKEKKRKEKTKIQVLHRYKNHHHRLIHQRNVIKPLQTKPPSPSTSRTSKKEKKKINNRMSRPGDWNCRSCNHLNFQRRDSCQRCGDPRADFGGGSYGGGRVGGSSSFGFTTGPDVRPGDWYCTVANCGAHNFASRSICFKCGATKDETSAAAYDGDLPRMRGFNFGGASNRPGWKSGDWICARSDCNEHNFASRRECFRCNAPRDSNSKSPYS >KGN63150 pep chromosome:ASM407v2:2:20915882:20916256:1 gene:Csa_2G405010 transcript:KGN63150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHYQTTPSWGCYMSTSSLEDPVDRIERLAAENAVVIFSVSTCCMCHAIKRLFCGMGVNPTVYELDEDPRGGDMEKALMRLLGSSSPVPVVFIGGKLVGAMDRVMASHISGTLVPLLKDAGALWL >KGN61064 pep chromosome:ASM407v2:2:3742781:3748699:-1 gene:Csa_2G036620 transcript:KGN61064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVITKSQASTKYSFSLVTRLRKLQQKSLEKQSFPISLLLFTHTHLIIPSIFAFRGTTIIIFFRHPQGEASFIIMARPTWVFLQLFTIFAFLHLGIAVSTENPLRQQELDRIAELPGQNFEVKFGHYSGYITVNEESGRALFYWFFEATEDSASKPLVLWLNGGPGCSSIAYGEAEEIGPFHINADGKPVYLNPYSWNEVANVLFLDSPAGVGFSYSNTSSDLMNNGDKRTAEDSLAFLLKWFERFPQFKGRDFYITGESYGGHYVPQLSQAIVRNNLLFKEKSINLKGYMVGNALFDDHHDHVGVFEFLWSTGLISDQTYKQLNLLCANQSFVHSSASCDEILEVADKEIGNIDHYSIFTPPCSEASSNRLRKRMHMIGRVGERYDPCTEKHSVAYFNLPEVQQALHVDPKFAPSKWETCSYLINGNWKDSAGSVLDIYRELIQAGLRIWVFSGDTDAVLPITSTRYSVDALKLPVIGSWRPWYDGGQVGGWIQEYEGVTLVSVRGAGHEVPLHQPKLALQLIKSFLAGNSLSPLQLHSDT >KGN61757 pep chromosome:ASM407v2:2:11632728:11638073:-1 gene:Csa_2G237750 transcript:KGN61757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGILKKLFHEKPSSPVNHVDFTGEYSFAMEYKGPGISYEIPRAVPINVDYIPTASVVLSSSQFSDDLSSLPVIQPIVKKLKKGSSSSPNSVISSTSEIQEDGVVCLHANKEDKCNIDSCDGVESSGELENFNELKGRIGGVESLEIKNEEDFQGYTNSSDSESVESGLSSSSGIFAVREEEEVDYETTRRHGRRPSAVTFLDPHTSNTISEEAESSQFEGESIQEMPRAERKGKKGSCYFCLKGNRFTEKEVCIVCGAKYCFDCIIRAMGAMPEGRKCISCIGYRIDESRRENLGKSSKVLKRLLSDYEIKSAMLHEKECEINQLPARLIYVNGDPLSRQELLTLRSCRKPPKNLKPGQYWYDKESGFWGKEGHGPSQIVSSQLEVGGRIKRNASNGNTNVCINNREITKNELRILKLAGVPCEGRPSFWVSADGSYQEEGMNNGGKIWDKTRTRLACALYSLPIPSNSVRTGEEIEDGAKSVSYEQKVLHKLLLVGHKKSGTSTIFKQAKQIYKVPFSDDERQTIKLLIQRNLYWYLTILLEGRERFEEEILMDEKNKQPVNDPSSSSASGNENQLERKDIYSLGPKLKGFADLLLQVVVSGNFDTIFPAATRVYGQLVEELLKDEAFQATYSRRNELEMLPRVATYFLDRAIDISSIEYDPSDNDILYAEGITSCNSLSSMEFTFPESRQDSLLDPPYQHDLSIRYQLIRVHSSTLGENCKLLEMFDDTKIILFCVDLTDYDEFDEDDNGVLTNRMIASKQLFESIVTHQASRGKNFLLILNKFDLFEEKIIHAPLAQCEWFVDFNPMITGRSSSSTNPTLAQRAFQYIAVKFKRLFCSLTDKKLFVSQTTGMEPENVNAALRYAREIIKWQVDKPNISITEISCTSVDASSFT >KGN61782 pep chromosome:ASM407v2:2:11836532:11841262:1 gene:Csa_2G245460 transcript:KGN61782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVASPFTASLSFSVASNNRASSISQLSRRNSHRSIVSAFPRPYGDSSTIGLSSKTNGAPLNLDDKDFLRTSTSYEPIVARRDNPPVMPAVMTPGGPLDLSSVLFRNRIIFIGQPINSTVAQRVISQLVTLATIDEDADILVYLNCPGGSTYSILAIYDCMSWIKPKVGTVCFGIVASQGALLLAGGEKGMRYAMPNSRIMIHQPQSGCGGDVEDVRRQVNEAVQSRNKIEKMYAAFTGQSIETIQQYIDRDRFLSVSEALDFGLIDGVLETEY >KGN62281 pep chromosome:ASM407v2:2:15609521:15616399:-1 gene:Csa_2G348190 transcript:KGN62281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNSSPFDPARILAHKFPETTSTYTERDVALYALGVGACGQQAVDADELKYVYNENGQEYIEVLPTFSALFIIDTLSTGLNLPGLLYDPKLLLHGQQYIELYKPLSSSGHLDNKISLAGLHDKGKAAILEIATKSYDKKSGHLLCMNRTTLFLRGAGGFSSSSNPFSYTNYPKDEGSAGKIPKTQPFTVYEDCTRPSQALLYRLSGDYNPLHSDPMVAKIAGFSKPILHGLCTLGFAVRAVIKCVCKGDSSKVKCILGKFLLHVYPGETLVTEMWLEGSRVLYQTKVKERNRAVLSGYVDLNHVASSL >KGN62909 pep chromosome:ASM407v2:2:19372908:19373422:-1 gene:Csa_2G379890 transcript:KGN62909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWCAARLKPMIVVVLMKVVGVKKSQQAWFINQKGRILSNDETLNFRAPHWGWANLHSLLYNELPPHIFLWGHLFLSLTISNNKTSVKMKAKVVVETDEIVEIVGDLLVAADDCHSFIRQTFIPHFKS >KGN61496 pep chromosome:ASM407v2:2:8825555:8830136:-1 gene:Csa_2G147920 transcript:KGN61496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGENEQSLVHSFRISSVGPGQTLGSDISYHLTGLDLAMKLHYINAIYFFDSEASHRVTLPQIKTATFVLFNDYYLTCGRLRREDSGRPFIKCNDCGARFIEAECDTTVSEWLEMIGDDCSPMKLLVSQKVIGPELNFSPPIYIQVTRFKCKGMSIGLTWAHVLGDAFSPAAFMNSITNMLFGAATALTSPPLPSLGTITTTPPPKPPVSASAKPPLSLRRVDPVGDHWIPTNKYKMESFSFKLNPTQLANLQTQMPHQTPPFESISAALWHSIAKLRRGSEPTTVTLCKLDPVKQQGKVIGNTQKISTVKSAAAAVSDVDQRDLAALLVGSAADDEGELIEEAVNRDDGVSDFIVYGANLTFVKWDDANLYGNGIMELDYEKPKFVYYTLHGVGDGGAVVVVPGPVDDGVSGRDDRGRFVTVILPENEVVELKAELMANGMFLEKHKE >KGN62494 pep chromosome:ASM407v2:2:16762139:16763818:1 gene:Csa_2G356680 transcript:KGN62494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAKTDSEVTSIAPSSPTRSPRRPVYFVQSPSRDSHDGEKTATSFHSTPVLTSPMDSPPHSRSSVGRHSRESSSSRFSGSLKPGSRKITPNDVSRGAHRKGQKPWKECDVIEEEGLLEDEDRGKSLPRRCYVLAFILGFVVLFSMFALILWGASRPMKPKITMKSITFEQFKIQAGSDFTGVATDMASVNSTVKLIFRNTGSFFGVHVSPTPVDLSYSEITVASGTVKKFYQSRKSHRSMTINVIGTRVPLYGSGASLSGSTGTPETPLPLKLRFVIRSRAYVLGQLVKPKFYRHIDCPIIFDSKKLNVPMSLKNCTVV >KGN63175 pep chromosome:ASM407v2:2:21028235:21037498:-1 gene:Csa_2G406730 transcript:KGN63175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVKAKKRDLKLNHEVEEEETGKNALPNTGGKAKEHIEQLQRLQTKDPEFFEFLKEHDKELLEFNDEDIDEDADDDVEDADGDVEDADLHENYKSNKPVVSEKEETPSKKSITTEMVDSWCHSIEENGKLVALRSLLKAFRTACHYGDDNGDDISTKFSTMSSTVFNKIMLFVLSKMDGILRKFLKLPSTGGKKEMIQELMTTKKWKSFNHVVKSYLGNALHILNQMTDTEMISFTLRRLKYSSIFLVAFPSLKRKYIKVALHFWGTGGGALPVTSFLFLRDLCVRLGSDCLDECYKGMYKAYVLNCQFVNATKLQHIQFLGNCVIELFRVDLSVAYQHAFLFIRQLAMILREALNTRTKEAFRKVYEWKYINCLELWTGAVCAYGSEVDLKPLAYPLAQIISGVARLVPTARYFPLRLRCIKMLNRIAASIGTFIPVSMLLLDMLEMKELNRPPTGGIGKAVDLLTILKVSKPTLKTRAFQEACVFSVIEELAVHLSQWSYSVSFFELSFIPVVRLRSFSKSTKVQRFQKEIKQLIRQVEANAEFTNERRNSVSFLPNDPVVSSFLEDEKKLGASPLSQYVSTLRQRARQRTDSLSESSVLYGEHSSVFGKSGSDSEDEDTEGRKGTSAFSSTWLPGSNSKEKHPEEKKSKKKKRKMEQQDKAAPRDEDVVEDLVLSSDEDDEPLSDTSAESDGNEDVEPIRKQTKKPKARSRGSKKKNCSPANKAKKRKTSR >KGN62583 pep chromosome:ASM407v2:2:17219388:17222515:-1 gene:Csa_2G361490 transcript:KGN62583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSAENNSPTATVAASSPSAVEIETIDTVTPAKKKRNLPGMPDPTAEVIALSPKSLLATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLRQRTSNEIRKRVYVCPEPSCVHHNPARALGDLTGIKKHFSRKHCEKKWKCERCSKKYAVQSDWKAHMKTCGTREYKCDCGTLFSRRDSFITHRAFCDALAFASSDSNVIAAAAAVTAAVASSPLATMPVSPGLSIQSSEPPDIPMGPPPLTPAATSLTSAVASTVNKTCQTNGGGASVFAGVFSSSPPTVTSAPPNPPHQLTTGVNRPDGGNDPSTTAIEPTSLSLSTSLFLSCRASASSGSTSASSIFQDHTPHYSLSPQPAAMSATALLQKAAQMGVTSSTASSLLRASGLSLSQSPGPAISMTAGLGLGFGNEPTTRDLLGLGMGGGGGGGGGVSGSGISALFSSFDGCDVEAASPYGGGGSNGL >KGN61335 pep chromosome:ASM407v2:2:6938878:6963653:-1 gene:Csa_2G091790 transcript:KGN61335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERPYLISFVSYKNVSQVSIFINFQQNYIILAEADIQQCQEEDITRVSTVLSISKVAASILLRYYNWSVSKVHDEWFADEEKVRRSVGLLQKPVLRHSNELELPCGICFEIYPLDKIQSAACGHPFCNACWTGYISTSINDGPGCLMLRCPDPSCGAAVGQDMINLLVSDDEKKKYFRYFVRSYVEDNRKTKWCPAPGCDYAVDFIVGSGSYDVTCRCSYSFCWNCTEEAHRPVDCVTVARWILKNSAESENMNWILANSKPCPKCKRPIEKNQGCMHLTCTPPCKFEFCWLCLGPWSDHGERTGGFYACNRYETAKQEGVYDDAEKRREMAKNSLERYTHYYERWATNQSSRQKALADLHQMQTVHLEKLSDIQCQPQSQLKFISEAWLQIVECRRVLKWTYAYGYYLPEREAESGLERLHQCAEKELHAYLNAADGPSKDFNEFRTKLAGLTSVTRNYFENLVRALENGLSDVDSLGTCSKTSSSKSTGGCRSKGGKGKMSAFRGHSILHLHHWTEAWENLRN >KGN61458 pep chromosome:ASM407v2:2:8409388:8412704:-1 gene:Csa_2G129170 transcript:KGN61458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQKSLIYSFVARGTVILADYSDFSGNFTNIAFQCLQRLPASNNRFTYTCDGHTFNYLLNNGFTYCVVAVEAAGRQVPMACLERIKEDFDRRYGGGKASVAVAKSLNKEFGPKMKGHMQYCVDHPEESSKLMQVKAQVSDVKAVMIENIDKIMERGTKIEDLVDKTENLRSQAKEFQTNGTQIKKKMWYQNMKIKLIVFSILIILALIIVLSICRGFNC >KGN61851 pep chromosome:ASM407v2:2:12404119:12407163:1 gene:Csa_2G251510 transcript:KGN61851 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endo-1,4-beta-glucanase MKNPIFSHRTILFLILSILFSLHSAFAAHDYADALSKCILFFEGQRSGFLPQDQRMTWRANSGLGDGWTYKTDLTGGYYDAGDNVKFGFPMAFTTTLLSWSVIEFGDFMPPAELRNSLVAIRWATDYLLKTVSEPNRIFVQVGDPSADHSCWERPEDMDTTRTVYAVDAPGTASDVAGETAAALAAASMAFRSSNPEYAETLLRNGIKAFELADTYRGAYSDNANIRDGVCPFYCDFDGYQDELLWGAAWLRRASQNESYLNYIQDNGKTLGAEDSYNEFGWDNKHAGLNVLVSKEALEGNIFTLQSYRASADNFMCTLIPESSSSHIQYTPGGLIYKPGGSNLQHATSITFLLLAYANYLERTSSTVNCGNVVVGPATLRRQAKQQVDYILGENPKGISYMVGYGNYFPQRIHHRGSSLPSVHDHPQPIACKEGSAYFNSADPNPNVLVGALVGGPGEDDVYEDDRADFRKSEPTTYINAPFVGVLAYFAANPGG >KGN62354 pep chromosome:ASM407v2:2:15967651:15979145:-1 gene:Csa_2G350370 transcript:KGN62354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEIQGNTQKMYKRKTQSKLLVFTGGAALLAVAVNLAIVAICKRKKKKELPGFELRPNLSASEILNLADKIIAKSKKVHDAVASVPPNKVTYSNVISPLADLEAEQFPLVQSCVFPKLISTSDDVRAASAEAERRIDAHAQMCSKREDVYRVVKAFSARGEQTSAEQKCFIQCLVRDFERNGLNLTTSKRKELLRLRVQIEELSLRYIQNLNDDGTFIPFSEAELDGLPKEFFESLDKTENGKFKVVMRSHHTAVVLEHCKVGTTRRMVAMAYGKRCGEVNLSILENLVHLRHKFARLQGYSNYADYAVHYRMARSSAKVFEFLENISDSITDLAAKELASLKNLKKQEEGESPFGIEDLLYYVKRAEDQEFNLDFVTVKQYFPVSLVLSGIFKIMQDLFGLRFEEVIDAEVWHYDVKLYSVFDLNSGELIGYFFLDLYTREEKYIHTCVVALQSSALLSNGTRQIPVALLLSQLQNDVDGHAGLMRFTEVVNLFHEFGHVVQHVCNRAPFTRISGLRLDPDFVEIPAQILENWCYESVSLKLLSGFHQDITVPIKDEVCESLKKWRHSFSALKLKQEILYCLFDQIIHCAENVDIIELFKHLHSKVMLGLPMLEGTNPASCFPCSAIGYEAACYSRVWSEVFSADIFVSKFRGNLLNQHIGLQFRNKVLAPGGAKEPIDVLSDFLGREPSIQAFIDSKAEYSL >KGN62579 pep chromosome:ASM407v2:2:17199641:17201363:1 gene:Csa_2G361450 transcript:KGN62579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSDGATSASNCRRKPSWRERENNRTRERRRRAIAAKIYSGLRAQGNFNLPKHCDNNEVLKALCAEAGWTVEDDGTTYRKGCKPPPIDIVGTSTKITPYSSQNPSPLSSSFPSPMGSYQVSPSSSSFPSPSRYDANNPSNLIPYLRQAIPISLPPLRISNSAPVTPPLSSPASRTPQPFPNWEVNAKESLSSLNYPFFAVSAPASPTRPQLHTPAPIHKCDESESSTNDSNQWALFRAYAPSASTMPTSPTFNLVKPADQHVLHGGFIQENGRRNEFEFLGYKVKPWEGEKIHDVGLEDLELTLGSSKARS >KGN62213 pep chromosome:ASM407v2:2:15092659:15096059:-1 gene:Csa_2G336110 transcript:KGN62213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLKSSSFNLVAPSPPLLSPLFSNPPSRINTTENIAIEGRNLNFSITTKQGKSVPILRDCSLRIPSGEFWMLLGPNGCGKSTLLKVLAGLLNTTSGAVYVKKPKCFVFQNPDYQVVMPTVEADVAFGLGKLNLNNDEVKSRVLEALSAVGMSSYLQRSVQTLSGGQKQRVAIAGALAEACKVLLLDELTTFLDENDQTGVIKAVRNSLHNSEDVTALWVTHRLEELEYADGAIYMEDGKVVMHGDVASIQSFIQTKQSDYIKRIYHS >KGN60952 pep chromosome:ASM407v2:2:3009348:3016511:-1 gene:Csa_2G030620 transcript:KGN60952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQRSGKRTQSQVLMRQSSILDHFSLTNRGKRSKTEAEPVVPFSEPEVSHYPVEDTQEHQRRVLQNESDSYLIDGPQEPDTLLDWEKKLNRVLKKHFGYPFLKKFQKEALEAWLNHQDCLVLAATGSGKSICFQIPALLTGKVVVVISPLISLMHDQCLKLAKHGVSACFLGSGQPDSSVEKKAMGGAYSIIYVCPETVLRLIQPLQKLAETRGIALFAIDEVHCVSKWGHDFRPDYRRLSILRENFSSSTLNFLKYNVPLMALTATATVQVREDILKSLCMSKETKIILTSFFRPNLRFSVKHSRTSSPSSYRKDFSNLIDVYAGNRRFGNKKQTIISHKPDSVLDCSTDCGLYEVDKISPNDLEDTDDSDSDKDDEVDSSEECLPSPSKERTMSVEYLENEVDVFQSVDDWDVAYGEFCGQLVCEDTDVDTEKINLLDKADERQKSSQESLDQGPTIIYVPTRKETLSISKFLCQCGVKAAAYNASLPKSHLRMVHKDFHENNVEVVVATIAFGMGIDKSNVRRIIHYGWPQSLEAYYQEAGRAGRDGKLADCILYANLTRIPSLLPSRRSEEQTNQAYRMLSDCFRYGMNTSNCRAQKLVEYFGETFDREKCLMCDVCVKGPPNMQNLKEESDILMQAIAAHHRYLEEASYDNFSYSDVKHRSREKPNLRFFVSKVREQTLKFAATDILWWRGLARILEYKGYLKEGDHKIHVQIKFPELTKLGLEFLSRSDQTFNVYPESDMLLSIAKPKSFSSFSEWGKGWADPAIRRERLKRRRQFVDKSQGPRSRSRKPRKRKSRKQNFDSKTVRGRLTAKLSIKK >KGN60653 pep chromosome:ASM407v2:2:1005370:1005930:1 gene:Csa_2G005990 transcript:KGN60653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIREKPLLQWQQLCFVFFLFISLLLHFSHGDVGTAAKYPPPYSPTACFGGDLSQFPTNNMFAAAADGIWENGAACGRQYFVRCFSASEPEACVADQTVQITIVDHTESIVSTPTARGTTMTLSSTAYKAIVNSSATVQFVTIEFLQ >KGN62640 pep chromosome:ASM407v2:2:17515383:17516021:1 gene:Csa_2G363010 transcript:KGN62640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKSCSSNNKNNKKASSRKGCMRGKGGPENALCTYKGVRQRTWGKWVAEIREPNRGARLWLGTFDTSHDAALAYDNAARRLYGSDAKLNLPLISSSISVSVSSSSSTTTSDNNNNNSVVATANTYNNDVNPTVEDVASSSSLSNNKRFREDEDQEQIGGLWRAMSISLDDSIWVEAAMSLDFPLLMEQQTFFSPNLVDTNPNAGLDSSLQWY >KGN60974 pep chromosome:ASM407v2:2:3179995:3180489:-1 gene:Csa_2G033290 transcript:KGN60974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTETMIEIGDVEGKEIEIEKGIGIETGNGTEIEEIEIRDRDRDRDRDRDRDRDRYRLRDEKEYGRDRDREREGRERERRDRDRGRRRSHSRSRSRSKDREDGEYRKRHSRSSVSPRRRDGAEDREEPKKKKEKKEKKEGGAGEEDPEIAEWNRIRASLGLAPLK >KGN62719 pep chromosome:ASM407v2:2:18037585:18038920:1 gene:Csa_2G369210 transcript:KGN62719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSQSLFFVNHVFIYFILLSHVSLTAAQSGAPPDMYPFKQTISKRMAVVLIVLVCFFIVVAVLSVYTRQCTEQRFGGRLLLPAPLDGTNARSRRAARGLDAAVIATFPTFVYSNVKDLKIGKGSLECAICLSEFGDDDTLRLLPKCSHVFHSDCIDAWLVSHSTCPVCRASLVPKPGDISFAALLNSDSGIDGNGRDEGNRGTGSENNQVVVQIPEENQGQDVNLITPNQGLNQSRSIRSRSSGWRLSGLFPRSHSTGHSLVQRGMDYERYTLRLPEEVRSELLNSNLNRARSCVAFQRMQSSRQGYRNELGKNGSVGNRSRSGRPEWRTLLAAAPLLKREGSRKGVNGDEGGRPFARLRPDGDGQSQQ >KGN62650 pep chromosome:ASM407v2:2:17593660:17594901:-1 gene:Csa_2G365080 transcript:KGN62650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIQCDVCEKGDAVVFCTADEAALCNLCDHRVHHANKLASKHRRFSLLRPDAGEAPVCDVCKERRGFLFCQQDRAILCRECDDPIHSANELTKKHDRFLLTGIKLSASAALYAPSPSGEKPIGSGGCVVSASKSKGSVKKVAAVSKAPTICTPNVCVNAPTNITPAAVVNKGGGGQIATGGGGSASSISEYLMETLPGWHFEDFLDSSVSPPFVEFDDGIGFPFVEGDLNGCFSSSERIELWVPQGPPPAPYNSGLMMNNGLKDTKDLGVNSSKVNRSVWTDDGFTVPQITSTVPSPGFKRSRPFW >KGN63033 pep chromosome:ASM407v2:2:20105005:20105927:1 gene:Csa_2G385020 transcript:KGN63033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLIDHGVLILLCGGFSCFLMEAYDSRFVFGAYYFAFRFWSLLFAFRFLELTIRVSFFELTIRVSFFELTIRVSFFELTIRVSFFELTIRVSFFELTIRVSFFELTIRVSFFELTIRVSFFELTIRVSFFELTIRVSFFELTIRVSFFELTIRVSFFELTIRVSFFELTIRVSFFELTIRVSFFELTIRVSFFELTIRVSFFELTIRVSFFELTIRVSFFELTIRVSFFELTIRVSFFELTIRVSFFELTIRVSFFELTIRVSFFELTIRVSFLELTIVFGA >KGN60677 pep chromosome:ASM407v2:2:1164629:1168943:-1 gene:Csa_2G006230 transcript:KGN60677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCTLFSSPRVFSSPIKEVDGSLRNLRSSYFGAHIVYNKSLLRRNMKCSSGSFSVVASVLGKRVKGRETVIPDPDYRIPIVLLGATGGLAYTDNLLAAVPIGLLGLLLLVQATRVKFVFDNEALEVKIGDQLEDSGENAFVGGKNRWKYSTFVNWELWWPSFPILVYFKETQTKPEGQVHFFPVIFNGKQLYDVMVERAGPSKTSGPKES >KGN61202 pep chromosome:ASM407v2:2:5336398:5336966:-1 gene:Csa_2G070200 transcript:KGN61202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNALLSLFSMILVSVVTKLIFPTSPLAFGLTIFLVPLLTYIISSMASSSKLPPGPLSIPIFGNWLQVGNDLNHRLLASLCNRFGSIFLLKLGSKNLVVVSDAELASQVLHAQGVEFGSRPRNVVFDIFTGNGQDMVFTVYGDHWRKMRRIMTLPFFTNKVVHNYSEVSI >KGN61823 pep chromosome:ASM407v2:2:12172360:12174154:1 gene:Csa_2G249780 transcript:KGN61823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLKYKLSFIFILLLSTSFLSTICIAGRPSKLVPEVVAKGGVVHEDGKRKTRGEMEEIIHERLLRVNTKDYGRYDPSPAFVKPPFKLIPN >KGN62888 pep chromosome:ASM407v2:2:19229045:19230455:1 gene:Csa_2G379190 transcript:KGN62888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAVESLLSPSASKLFTSKSLLNPSISSSSIFSPAPRFRRLPSSSSSSSLSIRCSATQQMEAVVDSVTPGQFRVDVLSESLPFIQKFRGKTIVVKYGGAAMKSRSLQASVVNDLVLLSCVGLRPILVHGGGPEINNWLKRLNIEVVFRDGLRVTDAETMKIVSMVLVGDVNKNLVSLINKAGASAAGLCGVDGRLLMARPAPNAAQLGFVGEVARVDPTVLQALLDKGHIPVVSSVAADESGQMYNINADTVAGELAAALGAEKLILLTDVAGILEDRDDPKSLVKQIDIKGVKKMMDEGRIGGGMIPKVNCCVRSLAQGVRTASIIDGRLEHSLLLEIMTDNGAGTMIIG >KGN62632 pep chromosome:ASM407v2:2:17471628:17475204:-1 gene:Csa_2G362450 transcript:KGN62632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITTKLLLAFSICRLIATVGLNMGPATELPRLGIDGLLTVDPLDIETASIDFGLMTRDPPLAVLHPASADDVAKLIRTVANAAEEENGGFTVSARGHGHSINGQAQTGNGVVIEMSGGGRQHRRVGGRGASPPLPVVSEKGRFVDVWGGELWIDVLKWTLEYGLAPRSWTDYLYLSVGGTLSNGGISGQAFNHGPQISNVHELDVVTGNGEIVKCSNEENADLFHGVLGGLGQFGIITRARIVLEPAPQRVRWIRVLYSNFEAFTKDQEWLISLHSKTNSNEKFDYVEGFVIVDEGLINNWRSSFFSPANPVKISSFNKNKSHGAVLYCLEITKNYHESSSHTLDQEVEALMKELNYIPESVFTTDLGYVDFLDRVHKAELKLRSKGLWDVPHPWLNLFVPKSRIADFDRGVFKGILGNNTSGPILIYPMNKHK >KGN61033 pep chromosome:ASM407v2:2:3510180:3517277:1 gene:Csa_2G035330 transcript:KGN61033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVASDLSMNPENDVFELVWENGQILLQGQSNRPRKNSNLNTSQAQCLPSHSPRDRDRDVGYFNNAKMGKFGAIDSVVRDVMSTAPSPDVELAHDDDDDMVPWLSYPLDGHLQHDYSSDFLPELSGVTVNDFPSRNSIASSIGKASGGNQVNRERDMHLNSMHGANLEDGNISKLSSLDVSAARARSSTNQLHSSASQQSQTSFPHLRTKCAGETENTTGKMLHDSLVGHSPQVPLIASSSSSIAKQKLDPTPPNNSSNIINFSHFLRPASLLKSNPQKHGVPGTGGSRNLDSMVNNSSAANSQPHESSLIAIQGGIRNESNSGCKNAVVPTIDGKSPSDAKPLEQSQTNKQPEAACLGDSADHDDRLKHRFEVGATKGLADSEKAVESVFAASLCSRNSVEGASDDPPHNRKRKCHDTEDSEWHSDDVEEDCNDVKRVTSARGAGSKRSRAAEVHNLSERRRRDRINEKMRALQELIPNCNKVDKASMLDEAIEYLKTLQLQVQIMSMGAGLFMPPMMFPGAMAPMNTPHIYPPMGIGMGYGIGMPDMNGGIPMVQVPHMQGMHFPGPSMPAQTVMHGLPSSNFQVLGLPGQGLPMPMPRGPVAPFSGGPFVTNSSMAVAPVDNFGSTAACSSKDASPNINSPMGPNGGTDPSITPALRQANEQASCVNASSVKPTSKKDLIAN >KGN63168 pep chromosome:ASM407v2:2:20995527:20997823:1 gene:Csa_2G406660 transcript:KGN63168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVESQSEFPCWKPLQRRFDPDSPFFASGNVEREILAKQVALDLTEDEKLQLHNMAVDARSEIFCPIVGCGAHLKSLDDFEDHYNSRHTASCSVCPRVYPTSRLLSLHVSEAHDSFFQAKVARGYDMYECLVEGCGLKFKSYKSRHQHLVDKHKFSASFEFFKKAHPSKKQRQKTYRKQASQGREETSKMEVENEIMDGLISGVSKLSTSDSTPSSISFGRRHTRGLTFVPRAVQREKVSDSSTAGTKR >KGN63366 pep chromosome:ASM407v2:2:22616679:22617065:-1 gene:Csa_2G432830 transcript:KGN63366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENVGDMYFKILLSQCLFQVANEIKMGEYYKMHDLVHDIAIAISRDQNLRLNPSNILEKELQKKEIQNVACKLRTIDFIQKIPHNIDHTLFDHFKIRNFVCLRILKISSEKLSKSIGQLKHLRFQVIQ >KGN60733 pep chromosome:ASM407v2:2:1496190:1499366:1 gene:Csa_2G008730 transcript:KGN60733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRSGACLRCCLVFFAVVSALAVCGPALYWRFKKALQLGDSKASCPPCICDCPPPLSLLKISPGLANLSVTDCGSNDPDLKQEMEKQFVDLLTEELKLQEAVSGEHTRHMNITLFEAKRAASQYQREAEKCIAATETCEEARERAEALMIKERKVTSLWERRARQMGWEGE >KGN62890 pep chromosome:ASM407v2:2:19245042:19247120:1 gene:Csa_2G379210 transcript:KGN62890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQNRPASTLETIENQSETSKSMSISSIPGTVMKKLKTNLVFQSKWAELNGAMGDLGTYIPIVLALTLSRNINLGTTLIFTGIYNIITGLIYGVPMPVQPMKSIAAAALADPEFGVAEIMAAGILTGGILFVLGATGLMHLVYKLIPLAVVRGIQLAQGLSFTLTAVKYVRYDQNMAKSKSGDAREWFGLDGLILAVVCACFVIVVNGAGEDEHQPEGTENEAQGEAKGGINKEKVRKIIASLPSAFIIFCLGIIFLFIRNPKVVKEITFGPSSITIVKITKSQWKKGFIKGTIPQLPLSILNSVIAVCKLSMDLFPAKIFTVTSLSVTVGLMNIIGCWFGAIPTCHGAGGLAGQYKFGGRSGGCVALLGAAKLMLGLVLGSSLAKVLNQFPVGILGVLLLFAGVELAMAARDMNTKEQAFVMLLCTGVSLVGSSAALGFLCAMAVHILLWLRKWGKRDNH >KGN61428 pep chromosome:ASM407v2:2:8063984:8067813:1 gene:Csa_2G120940 transcript:KGN61428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELELEAGSTVAVMLLTLIPLLFFFISLHLFKSYFWKPQTLRSKLRKQGIDGPPPSSLLGNLSQIKNLRALTPQTKSTEHNSITHAWTSNLFPHLELWRNRYGRNFVYSSGTIQILCITEMETVKEISLWTSLSLGKPDHLSKDRGPLLGLGILASSGPIWVHQRKIIAPQLYLDKVKGMTNLMVESANSMLRSWEIKVENDGGQSEINVDDDLRALSADIISKACFGSNYSEGKEIFLKLRALQVVMSKGSIGIPGFRYIPTKNNREIWRLEKEIESIVLNVVNERSERSSHEKDLLQMILEGAKSLEEDNNSLNISRDKFIVDNCKNIYFAGHETTAITASWCLMLLAAHPDWQARVRSEVLQCCQDRPINADAIKNMKMLTMVIQETLRLYPPAAFVTRQALEDIKLKNITIPKGMNVQIPIPILQQDIHIWGPDAHSFDPQRFNNGIARACKNPQAYMPFGVGPRVCAGQNFAMVELKVIVSLVVSRFEFSLSPFYKHSPAFRLVVEPENGVILHIRKLCPSS >KGN61464 pep chromosome:ASM407v2:2:8504644:8507557:1 gene:Csa_2G138190 transcript:KGN61464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKYMRKTKSLGEVAVMELSQSSLGVLTRAKTLALRRLQQSPTPSPSPASPASPVASGSYLQLRNRRLEKPTVVFSAHESKRQKPTPREACTTNPSPRVSSSLKLAMPERSVSLGRVNEEGDHTAEDVAEKSVGKFDEIHAGESKNENIDLVIEEASFGENILDFESRERSTRESTPSSLIRNPDTIRTPSSTTRPTTSAVETNHRNPYSSNRPIPTAREMDEFFALAEEKQQRHFIEKYNFDPVKDTPLPGRYEWEKLQP >KGN62059 pep chromosome:ASM407v2:2:13883650:13884450:-1 gene:Csa_2G294860 transcript:KGN62059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQSNNSNQNSIGEQEVVFVDSDIQKGLRALEKYVQANGLIPIKIELKDRKPICKDSSKSNSQIGKENYFILDLDDPLVQDYLDHEMSVLYRDFHCSLHKSYKKCDSPTEARKHCDKRVAQDSDWARLCDRWEREGFKSRSEANTKARSKLPFTHRGGTVTFLRHKQKMV >KGN62712 pep chromosome:ASM407v2:2:17999248:17999844:-1 gene:Csa_2G369140 transcript:KGN62712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKNNLKYHAAAPMARSSNHGRCRAGVGVVGGGNGSSHCRKHPKHKQSPGVCSVCLREKLCNLTITRTPSSSSSSKILPSFSSSSLSSLSSYYSSSSPSSSSSPYSSTKKPSVSSMSSLLFKRRWSSSSSSSTTATTNTNFFTAADAHHHRINNKSHHGFWSKLMMNRRGKEIIVEQITLRCSSTSTTTDHQTITTPT >KGN60929 pep chromosome:ASM407v2:2:2834703:2835012:1 gene:Csa_2G025690 transcript:KGN60929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTVLHSTYKNCSATVGQVGNSRVNQKSLGRAGSKCWLGKRPVVRGVVMNPVYHPHGGGEGRIPIGRKKPATPWGYPE >KGN62698 pep chromosome:ASM407v2:2:17916642:17920451:1 gene:Csa_2G368990 transcript:KGN62698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNNNFPLNHPPPFAGDTTWTKLFVGGLAWETQSHEMHSFFQQFGDILEAVIIQDKHTGKSKGYGFVTFKDPESARRACANPNPIICGRRANCNIAAFGRPRPPPLSPSSGGRNQIGNLQSTAPAAAGSYGGLRPPFPPPPLIFPHYRYRSYAPNYTVPYHQAIYNPQIQQPQMYQQSPSPSPSSSSSYYYSYGYSSSPSSSQLPRAAFSVHGHPPQSPSYFPYYTNYTHMQQQGLVYTPMPQIITPSTTGWQTPQHTPTETEAGASGSNSPNTS >KGN63235 pep chromosome:ASM407v2:2:21543591:21554518:-1 gene:Csa_2G416250 transcript:KGN63235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSLPLYEKTNIKRPTQKVLDVAIFILLVSLDAYRVLLMYNHGFSYLQTIAFLCEFWFSFVWFLAIILKWNPVHFETYPRRLLKREMELPAVDIFVTTADPVLEPPIITVNTVLSLMALDYPANKLGCYVSDDGCSDLTLYALNEALKFGKIWVPFCKKYEIQVRAPFRYFSNDPMPPHLPSSTQFQNDWVTVKEEYEKLEGKIKEAEESRSFVLEEEDGIDLTAFSNLHTKNHPTIVKILWENKKVSDELPHLIYVSRERSFKHHHHYKAGAMNVLTRVSGVLTNAPYILNVDCDMFANDPQVVLHAMCVFLNSKYDLEDIGYVQTPQCFYDGLEDDPFGNQLVVIFEYYARGVMGLQGPVYSGTGCFHRRKVLYGQLPHHSTHFMDGKAYSEQELMEVFGYSKTFAKSAIYAFEETTHGYHPNSRFNDNLEAANQVAGCDYEINTTWGSKIGWIYGSTTEDVLTGLVIQSRGWRSIYIALNPPAFLGCAPSQLVASLTQQKRWVSGLLEILFSKHFPIFGTLFGKLQWKQCAVYIWLLTWGLRSIPELSYALLPPYCLISNSSFFPNMEERAIYIPIFLFIIYNFQQLLLYKETRQSIRAWWNNQRMGRVNTMCAWLFGVGSVVLKFLGVREVVFEVTKKETYCEADLEHFMFDESAMFVPATTLLLLQLIALLMSFIRQAGRMRNTVLEVICSVWLVLCFWPFLKGIFLLGKGRYGLPFNTIYKSAALALLFVLLCQRTTVN >KGN61075 pep chromosome:ASM407v2:2:3845383:3850824:1 gene:Csa_2G036730 transcript:KGN61075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEEPSSSSPPLHGGAALNPPPPPPPSTDIAASASSAIDFLTLCHRLKTTKRTGWVQRRVKDPESIADHMYRMGIMALISSDIPGVDRDKCIKMAIVHDIAEAIVGDITPYDGISKSEKLRREQEALDHMCKLLGGGSRAQEISELWMDYENNSSPEAKIVKDLDKVEMILQALEYENEQGKDLDEFFQSTAGKFQTELGRAWASEIVSRRSSVNDGATPNISEN >KGN60645 pep chromosome:ASM407v2:2:960083:960340:-1 gene:Csa_2G005910 transcript:KGN60645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFRSESNGLEGSGRDEERCMGWSTAVDRSTASNHGNDFRTTWRSVIPLLLFTHLQVSPNNG >KGN61071 pep chromosome:ASM407v2:2:3811837:3812109:-1 gene:Csa_2G036690 transcript:KGN61071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKGGKKKSNSSSSSKSSKRQQYEAPLGYIIEDVRPHGGIEKFRSAAYSNCVRKPS >KGN61172 pep chromosome:ASM407v2:2:4811547:4819344:-1 gene:Csa_2G060530 transcript:KGN61172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVNFQYTVLVFLAILFVGKAEIYIVTIEGEPIVSYKGDLDGFEATAMESDEKIDPTSEIVTSYARHLENKHDMLLGMLFERGSFKKLYSYKHLINGFAVDITHEQAETLRRTPIVKSVERDWKVRKLTTHTPEFLGLPTGVWPTGGGFDRAGEDIVIGFVDSGIYPHHPSFATYNTEPFGPCMKYKGKCEVDPNTKKDFCNGKIVGAQHFAEAAKAAGAFNPDIHFASPLDGDGHGSHTAAIAAGNNGIPVRMHGYEFGKASGMAPRARIAVYKALYRIFGGFVADVVAAIDQAVHDGVDILSLSVGPNSPPATTKITYLNPFDATLLSAVKAGVFVAQAAGNGGPFPKTLVSYSPWIATVAAAIDDRRYKNHLTLGNGKILAGLGLSPSTHLNRTYTLVAANDVLLDSSVTKYSPSDCQKPEVLNKRLVEGKVLLCGYSFSFVVGTASIKKVSQTAKALGAAGFVLAVENISPGAKFDPVPVGIPGILITDVSKSMDLIDYYNTSTPRDWTGRVKSFDAVGSIGDGLMPLLYKSAPEVALFSARGPNIRDFSFQDADLLKPDILAPGSLIWAAWSPNGTDEPNYVGEGFAMISGTSMAAPHIAGIAALVKQKHPNWSPAAIKSALMTTSTTMDRGGRPLKAQQFSETEAMKLVTATPFDYGSGHVNPRAALDPGLIFDAGYEDYLGFLCTTAGINVHEIHNYTNSACNFTMGHPWNLNSPSITIAHLVGTQIVTRRVTNVAEEETYTITARMDPAVAIEVNPPAMTLLSGSSRKFSVTLTARSLTGTYSFGQVLLKGSRGHKVRIPVVAMGYQR >KGN61801 pep chromosome:ASM407v2:2:12008241:12011052:1 gene:Csa_2G248110 transcript:KGN61801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMGPGDMTTARLIRVDGSGNGDFKKIQQAIDSVPSQNNELVFIWVKPGTYREKIVVPEDKPYITISGSKASDTKITWNQGRDLLESPVVSIFASDFVGRFLTIENTFGTTGIAVALRVSADRAAFYGCRIISFQDTLLDDTGRHYFNNCYIEGATDFICGNAASLYEKCHLHSTSDRGGAMTAQHRNTGEENTGFVFLGGKITGSGSMFLGRPWGDFSKVVFGYTYMSNVVEPEGWNDWGDPTKQRTVLYGEYKCYGLGANRDKRVVWSRSLSTDEASKLFTKDIIGGRAWLRPAPSHFKGGFP >KGN62787 pep chromosome:ASM407v2:2:18587645:18588300:-1 gene:Csa_2G372820 transcript:KGN62787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFQIWHRNRNKHISILLLFLSVFILILVTSIEGRGIQAMEGERKSAEVATEEEEKMGMMMRNQIGSRPPSCRRKCRECGGHCEAVQVPVALHDSNQNQRKGRRKRRSSSRYFSVNSKHDVALSSEDETSNYKPISWKCKCGNFIFNP >KGN62883 pep chromosome:ASM407v2:2:19193933:19197291:1 gene:Csa_2G379140 transcript:KGN62883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSMAASATSVALLPSKLVFRGRFEQSRSKFLTPTSTSSFPCHSISISSPFHRRKPFGIQASVSISDPQVRTGPDDLVASILSKVTGSDRGVLLSEEQHKEVAEVAEELQKYCVNEPVKCPLIFGAWDVVYCSVPTSPGGGYRSAVGRIFFKTKEMIQAVEAPDTIKNKVSFSALGFLDGQVSLTGKLTALDDKWIRVVFESPELKVGGLEFQYGGESEVQLQITYIDDKVRLGKGSRGSLFVFQRRV >KGN60803 pep chromosome:ASM407v2:2:1967160:1967772:-1 gene:Csa_2G010380 transcript:KGN60803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGSIVMILLLALGVLSLANAQSATNVRATYHLYNPQNINWDYMKASVYCATWDANKPLEWRRRYDWTAFCGPVGPRGQASCGRCLKVTNVETKASTTVRIVDQCSNGGLDLDIKPFKAIDTNGNGYKNGHLKVNYEFVNC >KGN63034 pep chromosome:ASM407v2:2:20109309:20110955:-1 gene:Csa_2G385030 transcript:KGN63034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFKMIRQSQIHTIFFLRRSFRTCYLAKPLCTITGSTEVSESPDLPDWIKFFDTKTSTHLESEDDVFVIPPLAHWLESQKLEDNNKVVQKKLGETCNNEVDKISTMLENRYPSPENVAEALNGKAYRVSNTLVAQLLKRFHNDWIQAYGIFKWAKDQIPYRHSPESYNSMVDILGKAKNFRLMWELVDEMNHLAGSVSLETMSKVIRRLARAGRHQEAIHAFRNIEKYGISTDTTAMNVLMDALVKEASVEDAHNVFRELKCSIPFNLASFNVLIHGYCKAKKLDEAWKIMGEVEKSGLEPDVISYTAFIEAHCREKDFRNVDKVLVQMEHKGCKPNVITFTIIMHALGKAKQINEALKVYEKMKKEGCVPDSSFYSSLIFILGKAGRLTDVKEIVEDMEKQGVTPDVLTYNTLISCACAHSQEETALTLLLKMEEVSCKPDLKTYHPLLKMFCRKKRMKVLKFLLDHMFKNDVSIEAGTYAILVRGLCENGKLHLACSFFGEMLSKAMVPKDSTFKMLKEELERKSMLEEMKIIENLMFCATNQDTS >KGN61176 pep chromosome:ASM407v2:2:4895876:4903718:1 gene:Csa_2G061550 transcript:KGN61176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNTTTENGSTDAIPNPLSSTLPSLAAAEPDSTEAGDDDSDSDPSNQDYSSVPPSDSAAHEPSNHTGPSDKKWPGWPGDCVFRLIVPVVKVGSIIGRKGDLIKKMCEETRARIRVLDGAVGTPDRVVLISGKEELESPLSPAMDAVIRVFKRVSGLSENEDEAKASFCSIRLLVASTQAINLIGKQGSLIKSIQESTGASVRVLSGDEMPFYAGADERMVELQGESLKVLKALEGVVGHLRKFLVDHSVLPLFEKSFNTPASQDRQTETWADKSSLLTASQSIISAEYAPSTKRESLFLDREAHFDSHISSSGISLYGQDRVLPTIRSSGVGRSGGPIVTQVTQTMQIPLSYAEDIIGVGGTNIAFIRRNSGAILTIQESRGLPDEITVEIKGTSSQVQMAQQLIQEAVNAPKEPVTSSSYGRLDTTGLRSSYSQLAASGSSFTSSSLSSQSYGGYGSSGLGGYTTFRL >KGN61915 pep chromosome:ASM407v2:2:12795994:12800532:-1 gene:Csa_2G264590 transcript:KGN61915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMAEAAAETTTTMTTTTVIVGKNSNHNNNEPTNAKTKLSKLFWHGGSGYDAWFSCASNQVAQVLLTLPYSFSQMGMVSGIVLQLLYGLMGSWTAYLITSLYLEYKLRKQTQKPQYFTNHVIQWFEVLEGLLGRRWRNVGLVFNCTFLLFGSVIQLIACASNIYYINEKIEKRTWTYIFGACCATTVFVPSFHNYRIWSFLGLAMTTYTAWYLAIASFLHGQVEGVKHSGPTKMVLYFTGATNILYTFGGHAVTVEIMDAMWKPEKFKGIYLMATAYVLTLTLPSAAAVYWAFGDLLLNHSNAFALLPKSSFRDLAVVLMLIHQFITFGFACTPLYFVWEKAIGMHKCKSLCKRAAARLPVVIPIWFLAIVFPFFGPINSAVGSLLVSFTVYIIPALAHMFTFKSPTARENAVEQPPKFVGKWVGTYTINVFVVVWVLVVGFGFGGWASMTNFIRQIDNFGLFAKCYQCPPPLPSQQHNFTMAPPPLHHHHHNH >KGN60906 pep chromosome:ASM407v2:2:2697996:2702480:-1 gene:Csa_2G022260 transcript:KGN60906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDVMEVISQLCSPAVPSCDSRSLPSISGSDIDLCEPFECADKMENESSSETELTSSHGQHTLPILQKVIDLGNKIKNLKNEHMLLTERFKLETDAFPGPEVVETLHLLGTENERLKKKYLEESTERKRLYNEVIELKGNIRVFCRCRPLNQSEIENGSTTVIEFDSSQENEIQVLSSDSSKKLFKFDHVFKTEDSQGTVFSQAKPVVASVMDGYNVCIFAYGQTGTGKTFTMEGTPENRGVNYRTLKELFKISEDRDGAVKYDLYVSMLEVYNEKIRDLLADNSNPNLKKLEIKQAAEGTQEVPGLVEAQVYGTEEVWELLKSGSRARSVGSTSANELSSRSHCLLRVTVKGENLINGQRTKSHLWLVDLAGSERVGRIDVDGERLKESQFINKSLSALGDVISALASKTAHVPYRNSKLTHLLQSSLGGDCKTLMFVQISPSAADVGETLCSLNFASRVRGIENAPARKQTDLTDLFKFKQMAEKSKHDEKEMKKLQDNVQYLQLRLAAKEHTCKNLQEKVRDIESQLAEERKARLKQENRALATVAGAASQPSAMQTLPKLAGLKTIPEKKPPLGPSKLRLPLRKITNFVPPTSPIPSKKRRVSSFINTAPPTEGKENVPKMNSTAAANTRNLRLGRRSSLAVRPTSTMTTTTTTTTTQVFQPKRRVSIATLRPELHSHMTTPLQASASKFNNGNAALGAQLFAARKARYSKLFSPLPEFQTTVEATPIAAMRSSSKFMGSPPTQGGGSRNGKVIALQRKPIVWSPLKLRGLKTFRRPSLIPSRPSSTEFQ >KGN61809 pep chromosome:ASM407v2:2:12054538:12054864:1 gene:Csa_2G248660 transcript:KGN61809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSAARLLRTVSLPYLPALLPPSLSLSYSFFLHFFSHQTPSPHIRPPPNSPPPPPSFGFDLSLSSRWSPLCCSRRRNNQGSIRSSMSSSCCGVTAFFALFSCYLQFV >KGN61877 pep chromosome:ASM407v2:2:12573410:12573703:1 gene:Csa_2G258730 transcript:KGN61877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIRLPSVLLSAKQILKMKTVSTRCQSDVPKGHIPVYVGENQRKRFLVPISYLNHPSFVNLLSRAEEEFGFSHPTGGLTIPCKEEAFIDVTSRLHIS >KGN62758 pep chromosome:ASM407v2:2:18355538:18356413:1 gene:Csa_2G370580 transcript:KGN62758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGDFAHPKSKTSNMLSKFHLSPHPFTHHPPPQPPVDEPIAALPSPFKHHTDLTSTADGSTIEVVRRPRGRPPGSKNKPKPPLVVTREPEPAMRPYVLEVPGGNDVVEAISRFSRRKNLGLCVLNGSGTVANVSLRQPSATPGATVTFHGRFEILSISATVFPQSTPLPLPNGFSISLAGPQGQIVGGLVAGALIAAGTVFVVASSFNNPFYHRLPDEEEIKNLGSGGGSGGGEVHSPHVSGGGDSSGQGHGHGQIAETCGMAMYSCHAPSDVIWAPTARQPPPPY >KGN63285 pep chromosome:ASM407v2:2:22017935:22018849:1 gene:Csa_2G423650 transcript:KGN63285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLLLSISLISLFSLLLAFNFLHQPRHKNLPPSPSFSLPLIGHLHLLRHPVHRTLHNLSEKLGPVLSLRFGSCLAVVVSSSSLVQECFTKNDIILANRPLVKSGKYLSYNYTNLVISPYGEHWRNLRRISTLEIFSISRLNLFVGGREDEVKRLLCKLWDGYSFEEFKVVEMFPMFMDLMFNILMRMMSGKKNCGDDLKDEERSKKFKEMVKQVLAIAGAGNPGDFIPLWNWIDPTCYNKRVKKLSKTSDELIQQLIDEIRNQNDGENTMIQHLLGLQNTQPENYSDQIIKGLIQVCFSFSNI >KGN60943 pep chromosome:ASM407v2:2:2964882:2966444:1 gene:Csa_2G030040 transcript:KGN60943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSCCFSGSQMINLRRNIISAQSSSDDPAGKSAAEEFAPLAVTFRRRLIVGIGSASLVAIGANFGGVTSFLLGLSPENSRRLRVDVVYPIGGYSRCIDPNEGFEFIYPSSWVGDQRLLYRAAEKLEYERSLDPPPLTDSTMDRRRRNVNEPVVAFGPPGSSGELNVSVIVSPVPLDFSIEAFGGPNEVGEAVIRTITRASKRSDLKGTLIQTTLREDLLTKYYELEFKVESTAFRRHNIAVCCARRGKLYTLNAQAPESEWAGLKSKMKTIANSFCLSA >KGN61054 pep chromosome:ASM407v2:2:3664383:3668964:-1 gene:Csa_2G035540 transcript:KGN61054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFKFRVDDLRKPPPPPPPPQMHFLPPMPPPMHFLPPASPAVYCLSEQGFPDFFMDSADAFRQTTNIGTLRQPFNLNEEMHLEMEFMRLREEKLIGEIERERFLKEEARRELRLFEREIAIRGLTQSAVGYPFQQPQRWVAPPFCPVTGPPSSAVAVPCPSPSQALVVQSYHEWQNMEQVKTSDRLGFGAVALRPRIQPLMVEDKKEAANERKLIVLEKPVPNAFREERKAETTTSPSIKHILPSLVKKTSKDEWSCALCQVTTAEEKSFNDHLRGKKHRRKEANLRAEKESKVSRVAHEPLSKKRRKLQKAMAAAAGGGAEGKETKDGEADVGEKSEGSVDMNALIPYFLKKENKQQQENNPTTNNDVMAKSSVKFSFWCEKCKVGAYVTKVMLAHVNGKQHQAKLKKANQTEEEERLL >KGN63271 pep chromosome:ASM407v2:2:21917049:21920647:1 gene:Csa_2G422020 transcript:KGN63271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRLTRCATQLNLTESHRFIVTATVKPHRRRLNYALTFKPHRHFSAPFDFGIFFSQNSDRPRRLPRLPQVMDPVPPESDSPAVEDFVHIEDPNIESLCESIVSTTDEQINDEAASVISPEAEEGLAEQRRVLPEELSRSVLVLTCETTGEGGICDVYLVGTAHVSQESCREVQAVISYLKPQVVFLELCASRVAVLTPQNLKVPTMGEMVEMWKKKHNIFGILYSWFLAKVANKLEVFPGSEFRVAYEEAMKYRGKVILGDRPVQITIRRAWAKMPLWHKIKLLYSFVFQAFFLPSPEELTKMLKDMDDVDMLTLIIQEMSKEFPTLMDTLVHERDRYMSTTLLGVAKEHQSVVAVVGKGHLSGIKKNWQQPVTLEDLLEMPTQKGVPVVKIFSSIGVAVAGVAIISGVYLACKK >KGN62958 pep chromosome:ASM407v2:2:19631191:19633270:-1 gene:Csa_2G381830 transcript:KGN62958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPVVVFKAEEILYSKANSEAEYMDFSTLQNRLLDAINTIIRLDESTETGDFLQPCIEAALNLGCTPRKASRSQRNNVSTNYLSSRNQETPSLSKAPMVMKPSQGAVTNTHRVPCFWSLAKPENNGLGNSGFGLKSFLPAHNFTSEKFSAFSSPHGLIENALPPTKFSVYPLYYGNGVQWQGQRSHFNVAPRPVATCLDSTDGGMENMRASGTMLANGITQNEVISSLASPCDLALRLGPLSDSSSSCKNKIPLRVLKVGGSSSSREETKTEDCSLVMERKLPLFPRRNAYGLSEHNTWGNSLEDEFVYAETRVRKRKADFGDSFKERHLSLLPKGPSSRFID >KGN61946 pep chromosome:ASM407v2:2:13053810:13057189:1 gene:Csa_2G271380 transcript:KGN61946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSELMNFLRACFRPRSDRHVHKGSDAGGRQDGLLWYKDSGQHANGEFSMAVVQANNLLEDQSQMESGNLSLHEAGPYGTFVGVYDGHGGPETSRYVNDHLFQHLKRFTSEQQSMSVDVIRKAFQATEEGVIAQVSKQWSMRPQIAAVGSCCLVGVICAGTLYIANLGDSRAVLGRVVKATGEVLSVQLSAEHNASIESVRQELRALHPDDPHIVVLKHNVWRVKGLIQVSRSIGDVYLKRAEFNREPLYAKFRLREPIKKPILSAEPSISVLQLQPQDQFIIFASDGLWEHLSNQEAVDIVQNHPRNGSARRLVKAALQEAAKKREMRYSDLKKIDRGVRRHFHDDITVIVVFLDSNLVSRASSTKCASLSVRGGGVNLRPNSLAPCTTPSDTGMA >KGN61076 pep chromosome:ASM407v2:2:3861394:3862757:-1 gene:Csa_2G037230 transcript:KGN61076 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronate 5-epimerase MPSLEDELFPSTPGKFKIDRNHPMNRQFHRCFASTSTMFLWALFLIALTASYLSFQSFVDSGSRYFSASWGGIQWEKQVRISAQPHRTNGFSVVVTGAAGFVGSHVSLALKKRGDGVVGLDNFNSYYDPSLKKARKSLLSNHGIFIVDGDINDVRLLDKLFDVVVFTHVMHLAAQAGVRYAMENPNSYVHSNIAGLVTLLEACKSANPQPAVVWASSSSVYGLNEKVPFSESDRTDQPASLYAATKKAGEEITHTYNHIYGLSITGLRFFTVYGPWGRPDMAYFSFTRNILQGKPITVFRGKNRVDLARDFTYIDDIVKGCLGSLDTSGKSTGSGGKKTGAAPYRIFNLGNTSPVTVPTLVSILERHLKVKAKKNVVEMPGNGDVPFTHANISSARRELGYKPTTDLQTGLKKFVRWYLSYYGYNHGKPVN >KGN62063 pep chromosome:ASM407v2:2:13911925:13913050:1 gene:Csa_2G294900 transcript:KGN62063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPKYDGKYLKELLNKKLGDLTLKDTLTQVIIPTFNIKYLFPVIFTTVQAKMDELNNPKLADLCLSTSAAPTYLPGHEFEINNSIGTIRKFDMIDGGVAANNPTLTAIMHERKEMIIRRQLESEKICKEEDECNNNITSKKMLILSLGTGTPKKNGKYSAADSSKWGVLGWVYNNGTTPIIDIFSDASADMVDYHIGTIFQYEHDFHKNDNNKRDHSRKKDYLRIQEDTLSGDLSSVDIATKENLENLEKVGKNLLKKTVSRVNLTTGEFEELPHEKGTNEDALIQFAERLSHERKLRFANR >KGN63400 pep chromosome:ASM407v2:2:22905892:22906561:1 gene:Csa_2G437100 transcript:KGN63400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKPKAIDCPSICYRPIEPSDLEVLEQIHGNLFPIRYEAEFFQNVVNGRDIVSWAAVDHNRPDGRTDELIGFVTARTVLEKDSEVRISYSLYIYI >KGN61308 pep chromosome:ASM407v2:2:6484988:6485257:1 gene:Csa_2G079110 transcript:KGN61308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIDSSPSRQEPKNFLALVQFDPISQTELPGQEDSIQKEFQDPLIDLNASSDDAFIKQKNGADGPNLFSERNKVPEEVINDPYFTWRKK >KGN62599 pep chromosome:ASM407v2:2:17288570:17288872:-1 gene:Csa_2G361640 transcript:KGN62599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTTSSSSSSSSSWSSKQNKMFENALTVYDKDSPDRWQKLARAVGGKTADEVKRHYEMLVEDVHNIETGKVPLPNYSKHYSYNNNFVDEEQRQSLFHF >KGN62564 pep chromosome:ASM407v2:2:17131795:17134215:1 gene:Csa_2G360810 transcript:KGN62564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECVVQGIIETQHVEALEILLQGICGVPRERLRLHEICLKSGPSLGAVASEVRILCDLEQAEPTWTVKHIGGAIRGAGAEQLSVLVRTMVESKVSKNVLRLFYALGYKLDHELLRVGFAFRFHRGAHITVTVSSVNKMLKLHSTDEAVPVTPGIQLVEVTAPATSDNYSEVAGAVSTFCEYLAPLLHLSKPGISTGVVPTAAAAAASLLSADGAGTTM >KGN61201 pep chromosome:ASM407v2:2:5335014:5335742:-1 gene:Csa_2G069700 transcript:KGN61201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANGDKHKITCAMDHIIDAQMKGEISEENVIYIVENINVAAIETTLWSMEWAIAELVNHPEIQHKIREEIANVLKGKEVTESNLHELPYLQATLVSKFEMKPPSEMNKIDVTEKGGQFSLHIANHSTVVFNPITS >KGN62243 pep chromosome:ASM407v2:2:15358217:15361055:-1 gene:Csa_2G338870 transcript:KGN62243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSVSRSPSYTHRSSSHRHSRRSRRDRTPSPYYSNSHSRRRSRSTSLRRRRTPSPSYRRRRTRSPTPRRRRRRRTRSFSLSPSSKSPTSSPSPASTDRKNSIDKLKKEEEEKKRREAELKKLEEDEARRLEELIQKNVQEILKSEETRLEIERRVEEGRKKLFDAVDLQLAKEKEAALTAARQKEEQARKEREELDRMLEENRRRVEEAQQREALELQRKEEERYRELELIQRQKEEAARRKKLDQGEERT >KGN62894 pep chromosome:ASM407v2:2:19261407:19266278:-1 gene:Csa_2G379250 transcript:KGN62894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVPSVELNCDSEGDSYPLLMARPEHSNSSEHIIDITGAGDSGPSGLPHGRSSNGLNSSQPEDRPSSSTRVPLSQPSTSSTGSNSRNSSFIRRGDARRRRSPLNSGLWISIELLLTMSQIIAAIIVLSLSKNEKPRAPLFAWIVGYASGCGATLPLLYWRYRHRNQASEQDSLQSSQSSSRINVPAGPFSLSVSRASEGEELQHPAPSPRGSQGSGVLSARLKVLVEYFKMGLDCFFAVWFVVGNVWIFGGHSSASEAPNLYRLCIVFLTFSCIGYAMPFILCVTICCCLPCIISILGFREDLSQTRGATSESINALPTYKFKLKKSRSGDDRENNSGAGEGGGVVAAGTEKERVISGEDAVCCICLAKYANNDELRELPCSHFFHKDCVDKWLKINALCPLCKAEVGESIVGSLEGTNRQQGD >KGN62250 pep chromosome:ASM407v2:2:15420950:15423937:-1 gene:Csa_2G345910 transcript:KGN62250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRTTPVRKPHTSTADLLTWPELPPADSPALPSSASRSAPRSHQPSDGISKVVFGGQVTDEEVESLNKRKPCSGYKMKEMTGSGIFVGNEGDEELESGSANPSQNKTGIRMYQQTLAGISHISFGEEGSVSPKKPTTVPEVAKQRELSGNLESDADAKLKKQLSDAKCKELSGHDIFAPPPEILPRPTTARTLDLKGSIEIGEPDSRGIIPGEEPSVKTAKKIYDKKFSELSGNDIFKGDVPPSSTEKPLSVAKLREMSGNDIFADGKVETRDYLGGVRKPPGGESSIALV >KGN62112 pep chromosome:ASM407v2:2:14319708:14321947:-1 gene:Csa_2G299330 transcript:KGN62112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLNKEPLVGDSLKGLEVVDELQRLGISYHFQMEINQMLEIINERFNNGEEGLEWNNNKSLYATSLHFRILRQHGYHIPQDVFKQFKNEIENLTCNCKDKAKEMLSFYEASFLAMEDESFMDEQRQFAIQHLSKYLKSNNNDQIICTKIRHALQLPFHWRMSRLEARWFIDNVYRTKPNSKSVLLDLAKLDFNIVQSIHQDDLKDVSSTEYFLEEAKRGEVANSIQCLMNDTGVSEQEARLYIEDLIVESWKKLNDEVQTWNNSPLLSKGFIEIVLNVARISHTVYEHRDGHTVEDHETKDRVLSLFIKPA >KGN62370 pep chromosome:ASM407v2:2:16061160:16066419:-1 gene:Csa_2G351020 transcript:KGN62370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDISEVEENLFAASDAKLHRGMCKTLSALYCKVLSIFPSLEAARPRSKSGIQALCSLHVALEKAKNTLQHCTESSKLYLAITGDSVLLKFEKVKSALQDSLKRVEDIVPQSIGYQVQEIMKELGSTQFFLDPLEKQVGDDIILLLQQGRTFNNAVDNNELEAFHQAATKLGINSSRAALAERRALKKLIDRSRTEDDKRKESIVAYLLHLMRKYSKLFRTEVSDDNDSQGSGPCSPTVQGSLDDSGAGGNGQAFERQLTKIGSFTLKPKIRKLEQIPLPPDELRCPISLQLMYDPVIIASGQTYERICIEKWLNDGHNTCPKTQQKLSHLSLTPNFCVKGLIANWCEQYGVPVPDGPPDSLDLNYWRLALSEESLDLSPVDSVGSCKLKDVKVVPVDENSVTEEIKGNEVDDNSAEDEESNVNMLARHEQYLKVLNDEVDMKKKSAMVEQIRLLLKDDEEARISMGANGFVQGLLRYLEIAVQEQNTKAQESGAMALFNLAVNNDRNKEIMLAEGVISLLEDMIMNPNSHGYATALYLNVSCLEEAKSIIGSSCAVPFLTQLLHANTETLCKLDALHTLYNLSTVPSNIPNLISSGIIKGLQALLAARLDRTWTEKCIAILINLASTESGRDQMSSTPELISGLAAILDNGEPIEQEQAVACLLILCNGNERCSEMVLQEGVIPGLVSMSVNGTARGKEKAQKLLMLFREQRQRESPPAPPTAPTLIPTPIPTDQSESGGTSMDVAESKPLCKSISRRKPGKALSFLWKSKSYSVYQC >KGN60538 pep chromosome:ASM407v2:2:271432:273325:1 gene:Csa_2G000460 transcript:KGN60538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVKKAKIVIIGAGMAGLTAANKLYTAEGSKDLFDISVVEGGGRIGGRINTAEFMGERIEMGATWIHGIGGSPIYKIAEQIGALHSDQSWECMDGYSGQSTTVAEGGIELSPATVDPISTLFQKLMDFAQGKITGDSDILQQANYDKRSIGEFLQQGIDSYWVSKNGETEVNGCKEWSQKSLEEAIFAMYENNQRTYTSAGDLSTLDFISESEYQMFPGEEITIAKGYLSVIESIASVLPPGLVQLGKKVTKIEWHPELDPPNIPTPVTLHFADGSHISADHVIVTVSLGVLKAGTQPDSPSPLFHPPLPSFKTEAISRLGFGVVNKLFLRLAPVTENGLNLKRTHQFPCLNFVFHQPDSKFRRKKIPWWMRKTTSLRPIYQNSSLLLSWLAGEEALHLEKLKDDEIINGVSTTISNFLIQKSESENSESDSNCCNKMNGHRNIEFSFSQVLKSQWGSDPLFLGSYSYVAVGSSGEDLDAMAEPLPRTEESSKSPLLQILFAGEATHRTHYSTTHGAYFSGLREANRLLHHYNCT >KGN61529 pep chromosome:ASM407v2:2:9268079:9268590:-1 gene:Csa_2G162120 transcript:KGN61529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKKANTMSKAIVNISDRSKLKPQRLGILRKIGGNAYVLDLPSSIYTSSTFNVLNLTMYHPRDVAPTIKKFENEKFDTKSDCANSSMGNILTKDANHTELLPVAMLIREDHLPTTVVNVRIGSCL >KGN61911 pep chromosome:ASM407v2:2:12771307:12772227:1 gene:Csa_2G264060 transcript:KGN61911 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance response protein MANLSVSFSFILLIATLPWIQSLNPKKPVISRHVSQKQTVTNIQFYFHDTVSGKTPSAIKVAEAPTSSKSPTLFGALFIADDPLTESPDPKSKEVGRAQGLYGSAGQQELGLLMALTYEFTAGKFKGSSVVVLGKNSVMHTVRELPIVGGTGVFRFARGYAEARTYWLNSVGDAIVGYNVTVIH >KGN63343 pep chromosome:ASM407v2:2:22444781:22448453:1 gene:Csa_2G431120 transcript:KGN63343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKKTEEGGGNAKVKPGKDVSGKREKLSVSEMLASMDQKSDKPRKGSSSLGGGAKPQAKAPKKVAAYTDGIDLPPSDDEEEEIVSDGEQQSTSSQKRLPWQDRAELKPLEVAVSDKELKKRERKDMFAAHAAEQARQEALKDDHDAFTVVIGSRASVLDGNDEADANVKDITIDNFSVSARGKELLKNASVKISHGKRYGLVGPNGMGKSTLLKLLAWRKIPVPKNIDVLLVEQEVVGDDRSALQAVVSANEELVKLRQEVADLQNSDGGQDENDDDDAGERLAELYEKLQLLGSDAAEAQASKILAGLGFTKNMQARPTRSFSGGWRMRISLARALFVQPTLLLLDEPTNHLDLRAVLWLEEYLCRWKKTLVVVSHDRDFLNSVCNEIIHLHDFRLHFYRGNFDDFESGYEQRRKEMNKKFEIYDKQVKAAKRSGSRAQQEKVKDRAKFAAAKEASKNKSKGKVDEDGPLPEAPRKWRDYSVEFHFPEPTELTPPLLQLIEVSFSYPNREDFRLSDVDVGIDMGTRVAIVGPNGAGKSTLLNLLAGDLIPTEGEVRRSQKLRIGRYSQHFVDLLTMEETPVQYLLRLHPDQEGLSKQEAVRAKLGKFGLPSHNHLTPIAKLSGGQKSRVVFTSISMSKPHILLLDEPTNHLDMQSIDALADALDEFTGGVVLVSHDSRLISRVCEDEEKSEIWVVENGTVEFFPGTFEEYKEELQKEIKAEVDD >KGN60975 pep chromosome:ASM407v2:2:3181011:3183044:-1 gene:Csa_2G033300 transcript:KGN60975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRTDPAAKSIRGTNPQNLVEKILRSKIYQNTYWKEQCFGLTAETLVDKAMELDHLGGTYGGNRKPTPFMCLVMKMLQIQPEKEIVIEFIKNEDYKYVRVLGAFYLRLTGTDVDVYRYLEPLYNDYRKLRRKLADGCFSLSHVDEVIDELLTKDYSCDVALPRVKKRWTLESAGSLPPRKSALEDDFEEEEEKEEAEPINDSLEDDIHEKNYYRGRSPVRERDRDRRRDSHRHSLSDADAILLMELLMTVEKLD >KGN60930 pep chromosome:ASM407v2:2:2842754:2843132:1 gene:Csa_2G026940 transcript:KGN60930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSIGKNIIEGALNTTGDLAGSVINAGGNIADQISNIGGQKIKGKVILMRSNVLDFTEFHSSLLDNFTELLGGGVSLQLISATQTCNFSFPLISVVILVILRFFFLGIPSNIKQYLICP >KGN62654 pep chromosome:ASM407v2:2:17616781:17621904:1 gene:Csa_2G365120 transcript:KGN62654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGEELIKGVINNSNGLEETIRVSIRLRPLNEKELMKNDSSDWECINSTSVMFRSTLPERSLFPHSYTFDRVFGIDSTTKQVYEEGAREVVLSVVNGINSTIFAYGQTSSGKTFTMNGVTQHSVADIYSYIESHQDREFVLKFSAIEIYNEAVKDLLSLENVPLRLLDDPEKGTVVEKLTEEILKDRNHLQELISFCEVQRKIGETSLNETSSRSHQILRLTIESSARKFKKSESSSTLTATVNFVDLAGSERASQTNSGGTRLKEGCHINRSLLTLGTVIRKLSKGRNGHIPYRDSKLTRILQNSLGGNGRTAIICTMSPARSHVEQSRNTLLFATCAKEVSTNAHVNVVVSDKALVKQLQKELARLESEMKNLKPLPLKGDSNSLLKEKELVIEQMDKEIKELTRQRDLAQYRIENLLHSVGEDRIFKLSESTVHTIPDLVDLDLDLRSDDSSFKAFDESSLKTFDTFTAQEENSPHKIDPLFTMNHEDDFLLDSSTPELAGPDPYQDWEEIAERVHANSEDGCKDVQCIELEELKELVNENGDLTLATWEDNEGQMISSFDINPETYPQRRNKEIIPISKGHTYDGLIPKASEIGKTLNCIVNLYPSEQSFNSIEAAKTRFQNLKLERSKSCLTVLMTIPPSTLIEKVKEDKKIRTVGSDVNFSGKAEGSRRRRGLSCGNLGANLEANLDTKDSQSVCSRCSDTKTLQIIEEDDDDNTSVLNFATGKRGKSKNRMKKRSGSRLGSLSKKEEPIEATLEVQTQEEKELQAHSEWILEFQGQQRDIIELWDACNVPLVHRSYFFILFKGDPTDAVYMEVELRRLFFIREAISRSVNGSGRGDAVTQTSSLKALNRERDMLARRMKKKFTVKERDALYVKWGIDLKTKQRSIQVARMLWSRTKDFDHINESAALVAKLIGFVEPNQVSREMFGLSISLQSLDHRSFSWKRNMSLPF >KGN62094 pep chromosome:ASM407v2:2:14135320:14138697:-1 gene:Csa_2G297180 transcript:KGN62094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFPYEDSNLNPNPNPNNYTHFPPILDPASFFDFELSDFLLFGDDNNIVVDQVASSSPSMTSSEKITSGGVDSGGSSTVIDTGSSVVVSSSGARSKNGEKKRKGEMGCRVAFRTKSEQEIMDDGYKWRKYGKKSVKNSPNPRNYYKCSSEGCNVKKKVERDREDANYVITTYEGIHNHESPFVVYYNQLPSFTSASTPT >KGN63145 pep chromosome:ASM407v2:2:20879359:20883633:1 gene:Csa_2G404960 transcript:KGN63145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREVQLGSHTLRSHGVAVARIHMHDWFIFLLLVLIVAILNLTHPFYRYVGKDMMNDLKYPFKDNTVPIWAVPLYAMLLPIAVFLFVYWRRRDVYDLHHAILGLFYSVLITAVITDSIKNAVGRPRPNFFWRCFPDGKDVYDKLGNVICHGDADVIKEGHKSFPSGHTSWSFAGLGFLSLYLSGKIKVFDQRGHIAKLCIVFLPLLFAALVGVSRVDDYWHHWQDVFAGGLIGLVISTFCYLQFFPPPYHSEGWGPYAYFRVLETLANPPPPPNAIIVAQNEHNVGRQAENEVDDRSNDRFIGLSIDSNSRSTTQETETERK >KGN60494 pep chromosome:ASM407v2:2:17946:33432:-1 gene:Csa_2G000030 transcript:KGN60494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAPSNGFLANSGEGERKNINSELWHACAGPLVSLPPVGSLVVYFPQGHSEQVAASMNKETDFIPNYPNLPSKLICMLHNVTLHADPETDEVYAQMTLQPVNKYEKEALLASDIGLKQSRQPAEFFCKTLTASDTSTHGGFSVPRRAAEKIFPPLDYSMQPPAQELVARDLHDNSWTFRHIYRGQPKRHLLTTGWSVFVSTKRLFAGDSVLFIRDEKSQLLLGIRRANRQQPALSSSVISSDSMHIGILASAAHAAANNSPFTIFYNPRASPSEFVIPLAKYNKAMYTQVSLGMRFRMMFETEESGVRRYMGTITGISDMDSVRWKNSQWRNLQVGWDESAAGERPNRVSIWEVEPVVTPFYICPPPFFRPKFPKQQGMPDDESDIENAFKRAMPWFGDDFGMKDTPSSIFPGLSLVQWMSMQHNNQFPAAQSGILPSMVAPSALHGTLTNDDPSKLLSFQAPVLSSPNLQFSKANQQNQVGQFPPTTWSQQQQLQQLLQVSASQQLQQQQLPPQEQQQQLPQQQQLQSQQSQRQQQTSQSVLLNNSVTAANHLPNPSMQQPLVYSQLQQQQLLASNIQSHQTSQPSNKNSFQTTSLSEETQLQPQIEQQSSLLPRQQQPTQFQQAPLQLLQQSLSQKAQQHPQVQQFSQPIPTEQQLQLQLLQKLQQQHQQQPLFSPASPLLPPQLLQQQHIHPQNQQLPPLPLPNQQQFNTSGGSLQTEKLNSNGFASLGLMQSQQVPITQSHSQFKPTTAIRAYSGLTEGDAPSCSTSPSTNNCPVPVSNLLNKNQQGAATLGGDSVVEPATNLAQELHSKPDLRIKHEFPNSKGLDQLKYKGTVPDQLEGSSSGTSYCLDAGTIQQALPLPTCLDNDVQSHPRNNIPFSNSIDGLTPDTLLSRGYDSQKDLQNLLSNYGGGVPRDIETELSTAAISSQSFGVPNLPFKPGCSNDVNINEAGALSSGLWANHSQRMRTYTKVQKRGSVGRCIDVTRYKGYDELRHDLARMFGIEGQLEDPQRTDWKLVYVDHENDILLVGDDPWDEFVSCVQSIKILSSAEVQQMSLDGNLGHIQAPNQACSGTDSGNAWRGQYDDNSAASFNR >KGN60912 pep chromosome:ASM407v2:2:2736153:2738850:-1 gene:Csa_2G022810 transcript:KGN60912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALCFSTTVLPFRKTVGSFNDTSNFPSISKSSILFPSSSTSRFTPICRQRTEGEDTLLSGPMAAYRLLGLDPTCSESELKAAFRAKVKQFHPDVNRNGNDSDSMIRRVIQAYEMLSSYSRTEFIERECLDPFENPECEAFDVFVNEFLCVGKGCPYSCVDRAPHVFTFASSTGTARAMSQGHSEDYQLQMAVGQCPRSCIHYVTPLQRIILEELLDSALDVPYDNSAEADLLYSLIVKSKFENNRYKKPKREPKNSTEQVDWY >KGN61322 pep chromosome:ASM407v2:2:6718516:6721752:1 gene:Csa_2G082200 transcript:KGN61322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSIYSNRNSGQIHLQHHQIPAFGDWDKAKDLPITQYFETARQAGLIRYSSSSGESGPCPPPSDLYSADRMKPPPLPTTVRKGRVREKRYPHVGLKEHHQIPIKKQQMMMMQQGGRVFDVTETGGARKLKQNDVSSISRPPPRSNLTTIPKPVDEDLYKIPPELLHSSKRNKMKGLFSRCLVPACN >KGN63147 pep chromosome:ASM407v2:2:20887541:20890481:1 gene:Csa_2G404980 transcript:KGN63147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMEFLLINSCYELIELHIADLCEESRSVAMEEEMCPPLLPSTLSTTTELSAVEYEDFGHHFASMYHSIFPPLLSSSSLPNSLSFTPSPSSADDDHNNHCTTPAATSTTDDLLFQARLILENRHLHHRHDLCLRRLRQVAEDADYLRQENAQLRLANAELVKVISSKTAVDDLVSIPNSHLRTLIGGGQSGDEIGYDMISPTSVIGKYNDQFDGRNNLRRNSLPRSISVRSAAAASSVQLNIKSRGASTPVSDGGSRKREEEATEFEVYNQGTTKTELCNKWQEIGDCPYGNHCRFAHGLEELRPVMRHPRYKTQMCRMVLAGEKCPYGHRCHFRHSLSEQ >KGN60692 pep chromosome:ASM407v2:2:1254963:1256817:1 gene:Csa_2G006870 transcript:KGN60692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVNSMFAALLLLLVVLPPPQRAAAATHNVGDSLGWTIPPTSTTYSDWASTKTFLVGDNLFFNFTTGQHDVTEVTKAELDSCSGTNPISVMRNGPASIPLSTAGTRHFICSIPTHCSFGQKLTVTVRSQSSSPPTTAPSPSPKSSTPVSPTPSPHTARPPKTSPPTTTPPPETAPTPTSSNIAPSTPTPTAAPPPPNSANSVGGFGLFFSAGLAIVVGLIY >KGN63100 pep chromosome:ASM407v2:2:20611218:20616498:-1 gene:Csa_2G402080 transcript:KGN63100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQHRQSGTERYGPRSHDALHSTNNGASDHVAVGIRSTAYKQARARRAARSDKGRGISVGAIVFVLSLVLVVTVLAYYYLLRDTKEISNSNVEDDALKNDPDFLANVTRTETTKVRFGNGLVKHGRDSRYWDGDDRRRDQDYNEDVVDHMATINKATGKGDVPVKVSEDQRESSLEQSQNSLDRKDTGLYNEAGRKELRKYEAEYEASVKTSGQLEKEGNEDNQVSDEDDSENWNDTIDTDDEYENGSDSKNHAMEEDDDTEREKGDHSDSTSLTEEDSGKSVNFVENENPHNDDNGKSLNVDDGETKYQQEDENVETSNHSLDEDYTSSSQHVDKANQNSKHVSVTNSQHTKRSKLDPRKKPKHRKFSGSSCEMKFLNSTAQILEPIENKKFVRFTLQYTDTEQDPSNQEKWMPRFAGHQTLQERETSFYAQDQKINCGFVKGPKTFSSTGFDLTEDDSNYVSRCHIAVVSCIFGNSDHLRSPTGKTVTRFSRKNVCFVMFMDEVTLETLSSEGQTVDRMGFIGLWKIVVVKNLPYTDMRRVGKIPKLLPHRIFPSARYSIWLDSKLRLQYDPLLILEYFLWRKGYEFAISNHYDRHCVWEEVAQNKRLNKYNHTIIDQQFSFYQADGLKRFNASDVNKLLPSNVPEGSFIIRAHTPMSNLFSCLWFNEVDKFTPRDQLSFAYTYQKLKRMNPGKPFYLNMFKDCERRKIAKLFRHRSDEKRIVHKNAME >KGN61310 pep chromosome:ASM407v2:2:6519560:6535020:-1 gene:Csa_2G079620 transcript:KGN61310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMVRAALWHIWLEHNNLVFNNKIQFDLFLIMLSVMLLLGASSQGLEDKAWRTKQSSVQLLGAMAYCAPQQLSQCLPKIVPKLTEVLTDTHPKVQSAAQTALQQVGSVIKNPEISALVPTLLMGLTDPNDYTKYSLDILLQTTFINSIDAPSLALLVPIVHRGLRERSAETKKKAAQIAGNMCSLVTEPKDMIPYTGLLLPEVKKVLVDPIPEVRSVAARAIGSLIRGMGEENFPDLVPWLFDTLKSENSNVERSGAAQGLSEVLAALGIDYFDHVLPDIIRNCSHQRAPVRDGYLTLFKYLPRSLGVQFQNYLQQVLPAILDGLADENESVRDAALGAGHVLVEHYAATSLPLLLPAVEDGIFNDSWRIRQSSVELLGDLLFKVAGTSGKALLEGGSDDEGSSTEAHGRAIIEVLGRGKRDEILSALYMVRTDVSISVRQAALHVWKTIVANTPKTLKEIMPVLMNTLISSLASLSSERRQVAGRALGELVRKLGERVLPLIIPILSQGLKDPNASRRQGVCIGLSEVMTSAGKSQLLSFMDELIPTIRTALCDSMPEVRESAGLAFSTLYKSAGMQAIDEIIPTLLHALEDEDTSETALDGLKQILSVRTTAVLPHILPKLVHTPLSAFNAHALGALAEVAGPSLYIHLGTVLPALLSAMGGDDEEVQKLAKEAAETVVLVIDEDGAEFLISELLKGVSDNQAAIRRSSSYLIGYFFKNSKLYLVDEAPNLISTLIVLLSDSDSATVVVAWEALSRVVSSIPKETLPSYIKLVRDAVSTSRDKERRKRKGGAILIPGLCLPKALQPLLPIFLQGLISGSAETREQAALGLGELIEMTSEQVLKEFVIQITGPLIRIIGDRFPWQVKSAILSTLSIIIRKGGMALKPFLPQLQTTFIKCLQDNTRTVRSSAALALGKLSALSTRIDPLVGDLLSSLQASDGGIREAILTALKGVMKHAGKTVSSGVRTRVYTLLKDLIRQEDDQVRISAASILGIISQYLEDDELTGLLEELINMASSSWHARHGSMLTISSILRHKPSAVCQFAMFSSILGCLKTALKDEKFPIRETSTKALGRLLLHQIQRSSATNLDILTSLVSALQDDSSEVRRKALSAIKAVAKENPSFTVTHASLIGPALAECLRDGSTPVRLAAERCALHCFQLTKGSENVQAAQKFITGLEARRLSKLPEQSDDSEDSEAESASG >KGN61026 pep chromosome:ASM407v2:2:3474177:3478513:-1 gene:Csa_2G034790 transcript:KGN61026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVQEKFSSLPSSSSAREVDPLLKDLNERKQSFRRNVVSLAAELKEARSRLSSQEQSFAKETQTRQEAETKANIMEQEIGRLHAELEERDGQLKASATTATKYLHELDGLRLQLVATQATADASAASAQSAQNQCLVLLKELDEKNTSIKEYEDRVKRLGEQLDNLQKDLQARESSQKQLKDEVMRVEHDILEALAKSGVSKDCELRKILDEVSPRNLEKINKLLIAKDEEIAKLKNEIKMMSAHWKLKTKELESQLEKQRRADQELKKRVLKLEFCLQEARTQTRKLQRIGERREKAIKELRDQLAGKQGGACSAADAEKHNFWETSGFKVVVSMSMLVLVVFSKR >KGN61372 pep chromosome:ASM407v2:2:7476353:7476994:1 gene:Csa_2G100540 transcript:KGN61372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKMLEKLHPLPEESSIFQVSKQQREMNTKAYLPQIFSIGPFHSNTQKDLIANEQYKLQAFVNFLYHMINGNDHNIVSLKDILKAGTLKFVVQKSHSWMEQVRDSYASSINMKEEEFFIMMLVDIACFIIQFFRHPSLTGPFFLLQRLLNFLPTADPVYLLMCEQDIANYSTIFYGYTFSSMDGSRHIEPKHLVDYLNYYFPSPCYDMTYNKQ >KGN61599 pep chromosome:ASM407v2:2:9995039:9995370:-1 gene:Csa_2G174650 transcript:KGN61599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLLRPRNIAPRSLKAPPSSTPQNGLKQGCWYDSRGKKSGRTPREVRAALTDEDTCIGGFEGEREKVVDLLWNQ >KGN62616 pep chromosome:ASM407v2:2:17373672:17378701:1 gene:Csa_2G361800 transcript:KGN62616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLGKAIYTVGFWIRETGQAIDRLGCRLQGRYFFQEQLSRHRTLMNVFDKAPVVDKDAFVAPSASIIGDVQVGRGSSIWYGCVLRGDVNSISVGSGTNIQDNSLVHVAKSNLSGKVLPTIIGDNVTIGHSAVIHGCTVEDEAFVGMGATLLDGVVVEKNAMVAAGALVRQNTKIPSGEVWGGNPAKFLRKLTDEEIAFISQSATNYLNLSQVHAAENAKSFDEIEFEKVLRKKFARRDEDYDSMLGVVRETPPELILPDNILPDKDPKPLQK >KGN62114 pep chromosome:ASM407v2:2:14336859:14338152:-1 gene:Csa_2G299350 transcript:KGN62114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSKTVIRRSGNYQPSIWKHEFIQSLRSEFAEEIYMRRFNQLKGEIRVIMNTIIDDPLKQLELIDMLQRLGISYHFENEIKNVLKTIYDKSYEQEHWKNNNLYATSLEFRLLRQHGFNLSQDVFNNFYSSETKSFNTQMYQDLNGMLFLYEASFLSIEGENILETAKHFTVEYLEKYMKSSKDENEVAIVRHALELPLHWRMPRLDTRWFIDIYERKVDMNPILLEFAKLDFNRVQSIHQQDLKYTSR >KGN61135 pep chromosome:ASM407v2:2:4415108:4415397:-1 gene:Csa_2G058680 transcript:KGN61135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQALLSWQFELPLSIATRFYNQSLFDTFNSDTIFKQNFEFVSFCFVDIVDGVSVVVAVAVAEVGRSWPLKLGVGVGGGC >KGN63339 pep chromosome:ASM407v2:2:22428912:22432610:1 gene:Csa_2G431090 transcript:KGN63339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMATAAIIGLGAAKRLLNSSSYYSDFTEKILYANDYRLGQTQVSSSKSVVIAKSSANFSPRYPSSNRHSQQCIKAVKEHVETPSSPIAEPWHNTTSWEDEETELKYTVEALLLLQKSMLEKQWSLSFEQTVSTDTPKEKTLKKVPVTCSGVSARQRRMSSKRKIQSKHVFMAQPKISKQLRPTISPELLQNRLKGYVKGLLSEELLSHAEVVRLSKKIKVGLTLEERKTRLKQRLGCEPSEDQLAISLKISRAELRSRMMESSLAREKLAMSNVRLVMSIAQRYDNMGAEMADLVQGGLIGLLRGIEKFDSSKGFKISTYVYWWIRQGVSRALVENSRTLRLPTHLHERLGLIRNAKVKLQEKGITPSLDRIAESLNMSKKKVQNATEAISKVFSLDREAFPSLNGLPGETHHSYIADNCLENNPWHGTDTWILKVEVNQLINMTLGDREREIIRLYHGLDNECLTWEEISKRIGLSRERVRQVGLVALEKLKKAAKTRKMEAMLLKH >KGN62111 pep chromosome:ASM407v2:2:14306203:14306632:-1 gene:Csa_2G298330 transcript:KGN62111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDTGASEQEARLYIEDLIVESWKKLNDEVQTWNNSPLLSKGFIEIVLNLARISHTVYEHRDGHTVEDHESKDRVLSLFIKSA >KGN61390 pep chromosome:ASM407v2:2:7698155:7701296:-1 gene:Csa_2G108680 transcript:KGN61390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELNILRCSHGRSLFRVFKPFPLSLKPSISKPHHMPIQNVGGGSFNRAYDALLLDAGGTLLQLAKPVEETYASIGSKYGLNSTPAEIKQGFRRAFSGPWPQKLRYKVCILFIVS >KGN62383 pep chromosome:ASM407v2:2:16137992:16139593:-1 gene:Csa_2G351640 transcript:KGN62383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDELKVKPEESLVATATASSSSFSSSSSSVTPQPIEGLHDVGPPPFLTKTFEMVEDPLTDSIVSWSRARNSFIVWDYHKFSSTLLPRYFKHSNFSSFIRQLNTYGFRKVDPDRWEFANEGFLGGQRNLLRTIKRRRHSQQSIQHHGGTCVELGQFGLEADLERLRRDRSTLMAELVRLRQQHQSSRDKIMTMEDRLEKAESKQKQIMTFLSKALKNPSFIQKFINSNQGRELRGVEIGRKRRLTASPSVENLLDENVPVALKQEELETSEPDIETLLTVNFEDESSIEIADPVSDLGHSVHEESGIFSHLWVEDLVAGHPEEPTIIVNQSDIDVEVEDLIAEPLDWTEDLQELVDQMGFLRSKP >KGN62665 pep chromosome:ASM407v2:2:17688724:17692274:1 gene:Csa_2G366700 transcript:KGN62665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGLHKGKRVSWASDLNLRQVRLFSSEDCPSQVGLGAQDHLQAKASWLLHSTGLGLGSDDTLPPGFEVAHPENQWQIKLSQIPVNQWRCPPKFVLNLTWRVVVGEESQEVDVENQREMRVFEAVYPRASAIPPNPSVVPDSERANVDDSRTPLIPITPVEDEDGATESSSDYAIPASGLTSAQPSLFVPAGTSTSQHALLNAMCSTSNVSSMVGVDFGNERDVVAAASAALSALVKTNEVGNSIDRELLVNILNNPKMIEQLVVDSGALTSTQKPISSPDPPLVHMHMSDTNATITPISSSSFYSQPNGGSVGPVSNAHPSSRGIPVSSLPSTGAPMRDLNYYKSLIQQHGGERQDDPPRQQFVNRHNQPMGTNQEFLQNQPSRDAKFKIMKPCIYFNSSRGCRHGANCAYQHDPMFQQRSSSVSEMPSSKRTKVDREISS >KGN62538 pep chromosome:ASM407v2:2:16985271:16986490:1 gene:Csa_2G360060 transcript:KGN62538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGEPETAFELLPLIRIYKNGRIERLVGIDFVPSGTDPLTGVTSKDVTLLPTFGVSARLFLPNLTHSTQRLPVVVYFHGGCFCTQSPFTAKYHNYLNALTAEAKVVAVSVNYRKAPEHPIPTAYEDSWAALQWVISHRDGKGPEMWMNKHVDFKRVFLAGASAGANIAHNLAMVAGDPDCGVNINLIGVALEHPYFWGSVRIGKEAENPVKARLFDQLWGFICPARPENDDPWVNPVAEGAGRLAGLGSGRVLVCVAEKDVLRDRGRLYFEALGGSGWFGVAEIVETEDEDHMFHLNDLEGQKAKDLIRRLGDFFNRDMPPSLLL >KGN62954 pep chromosome:ASM407v2:2:19618070:19618432:-1 gene:Csa_2G381795 transcript:KGN62954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METVIKKRRKRGPRFKYHYSSAMADYEVELVDMFEHPPLLSYFAFIIPIFSYPHSDEITNAIIHSNQIPYLSTPPLSLCSFLLLQTWNLFLWISLPLFYLPIPRGLFAPTSFLVSLFPTF >KGN61178 pep chromosome:ASM407v2:2:4910690:4929705:1 gene:Csa_2G061570 transcript:KGN61178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEPIKFAVLSSLFDWIQRSKSSAKKRSKFRKFLDTFCSPSHYFSAIRLILPSLDRERGTYGLKESVLATCLIDALGMSRDSEDALRLINWRKGGAKTGANAGNFALVAAEVLQRRQGMTPGGLTINELNDLLDRLASGENRAEKTAVLSTLIQKTNAQEMKWIVMIILKDLKLGVSEKSIFHEFHPDAEDLFNVTCDLKLVCEKLRDRRQRHKRQDIEVGKAVRPQLASRVSNANAAWKKLHGKEVVAECKFDGDRIQIHKNGTEVHYFSRNFLDHSEYGHGMSDVIVQNVRSARCILDGEMLVWDKSLNRFAEFGSNQEIARAAKDGFDSNRQLCYVAFDVLYVGDTSVIHRSLKERHELLRDVVKPVKGQLEVLVPNDGLNSDCAGYPSWSIRAYNVDDVERFFKSTIENRDEGIVIKDLGSKWEPGDRSGKWLKLKPDYVRAGSDLDALIIGGYYGSGRRGGEVAQFLMGLAERPPSNAYPRRFVSFCRVGTGLTDEELDAVVNKLKPYFRKSEYPRKTAPSFYQVTNNSKERPDVWIESPEKSIILSITSDIRTIKSEVFAAPYSLRFPRIDRVRYDKPWHECLDVQSFVELVHSSNGTTQRGTNNSGRQDSKGKYIKSIGKGRKKSVSVVPSHLLQTDITGITEDSLIFSNMLFYIVNVPPTHSLDSLHKLIVENGGTFSMNLNNSVTHSVAADSKGIKYEAAKRHGDVIHYSWVLECCSQKKLLPLKPKHFLFLSGNSKKKLEKEIDEFADSYFWDLELSELKQLLSNLSISEDVKAIDYYRGKYCPKQDWCMFVGCQIYFLPLRLSLKSDWGILLELSIRRLKVEVSFRGGKVSDDPVSATHIIVFEIPGAPVRYETVLKSCNEAEKHAMLKDKIHIIAHQWLEDCLEMAQRLQEDSYNLNPNGKRSIENINFGMDSDTPLALEDQENPQIPCPSKEYKDQGRNEAASGQQMLSHSTERYDGKKRGRPATRSMQKAKSDVEQVRRARTRKAKGPAKISEVESDISDHTDEKTKAETGNIGTLCTENSEKHEFKTLENEISNSKKRGRPPAGNAQREKAEAGKIRRTRAHIAKGSANIGGINNSDSSDEANAEESKRGKDENEKTNEFKMLEDCNAKQKGKAIEEVRADSKSVEKPEKLEVMKDPLEAMLLDMVPSLGMSGTKSSISSSSSSSTVVEEKKPFVENKSEAVKKKVSYKDVASELLKDW >KGN63130 pep chromosome:ASM407v2:2:20795713:20797801:-1 gene:Csa_2G404820 transcript:KGN63130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDGEEDESRGLENASSSSLQPNSKPNRVTKEQFSKFQELQRRRLQIKSRSKIRKNTKDATGKSQLNHLNTSNEVNEAEHSRLSNSDVDFGEKSSLVQHDKTKTTLPSKKLHKLHWGLDTKEPWERKANM >KGN61537 pep chromosome:ASM407v2:2:9386359:9386772:-1 gene:Csa_2G164670 transcript:KGN61537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTILVELISVSVFSNCLSLNRFRVIRECSKLSTACGVLRGLRGVQRGKKSCSACDEGLRQRAQRSQGKVYGEREGDWKNGKILEKGRLRAV >KGN63293 pep chromosome:ASM407v2:2:22066418:22067168:-1 gene:Csa_2G424720 transcript:KGN63293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKVSLRSKGKSSKSSSKSSEEKSATQAFKEWTTWAVKKAKVVTHYGFIPLVIIIGMNSEPKPQLSQLLSPV >KGN62427 pep chromosome:ASM407v2:2:16423956:16428823:-1 gene:Csa_2G354040 transcript:KGN62427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKICVAVRLRPSVSQDSLHGMYWKIDHNRISLHRPHGTPISGNSYAFDHVLDESCTNGSVYELVVRDIIHAAVEGFNGTAFAYGQTSSGKTFTMNGSETDAGVIHRAVKDVFEKIHATSDREFLIRVSYMEIYNEEINDLFAVENNKLPIHESLERGIFVAGLKEEIVSNVDQVLKLIKQGEVNKHFGETNMNARSSRSHTIFRMVIESKGKEIGENLSADSIRVSVLNLVDLAGSERIAKTGAEGTRLKEGKHINKSLMILGNVINKLSEGVRQRGHIPYRDSKLTRILQPALGGNAKTSIICTIAPEEVHIEETKGTLQFASRAKRITNCVQVNEILTDAALLKRQNQEIEELRKKLQGSHAEVLEQEVLKLRNDLLKFELEREKLQMELQEERNSHKERDQRIREQQMKIESLNNLVNLSESLQSSNQSREQDSVKNTQREDFGGSCNKSHEDGFVTPCFKAPPNAFVAKRSDYSIPPEFSPLPDAFSNVADEDAWLKLNKGFVADLDSLQTTPARKVQSFPFNEITPGQGLTNENHKQEIQNLERQLEHAIMEKNKLQVDFNLSFFPFFFFSVIEKHEEQILVNKKVMAEISEIKQKQRVIEELQEKFSNSLAMCKEVYMEIRSSLQSAKDDENPSTKKILSSTSEIGTCLFTTLEAHLSTAINDSLIQEQYDVLRGSINNIMESLVLSETSKGCAEDDFLKESLSIELDDVKERCHGLEKELDSNNQRLELSKQQNDNLERELKLMKDERDSLRKMVSECIQKLEMEKDLKESALKELNSEVQRRRDLGEGIKRFSAAFASRHKSFMSFNSEIMSKTEELRTNNWVVVPVPKSLGG >KGN60950 pep chromosome:ASM407v2:2:3000254:3005087:-1 gene:Csa_2G030600 transcript:KGN60950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPFLRNVSSSYKTFRRFAAVAAAGSCYLYARSDLDYSKPSIVLSIPAAWSDPLFLPWQTTHGVRPRPLGTFDHRLLDISLCSSRVSPDDKKETPCLGRDTIANAAADVGPAVVNISVSYGIYGIASAKSMGSGTIIDKDGTILTCAHVVTDFHGPRAASKGKVEVTLQDGRTFEGTVMNADFHSDIAIVKINSKTPLPKAKLGSSSKLRPGDWVVAIGCPLSLQNTVTAGIVSCVDRKSSDLGLGGMRREYLQTDCAINMGNSGGPLVNVDGEVVGVNIMKVDDAAGLSFAVPIDSVSKITEQFKKRGRVIRPWLGLKMIDLNEMIIEQLKERDATFPDVTKGVLVAMVTPGSPASHAGFRPGDVVIELDKQPVASIKEIIEIMGDRAGVPLNAVVKRSLNTIITLTVLPEESNPDM >KGN62323 pep chromosome:ASM407v2:2:15821168:15822138:1 gene:Csa_2G349080 transcript:KGN62323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPPLHRNAITFRPTPTIKFLCSYGGKILPRYPDGKLRYHGGETRLLSVNRSISFSELLLKFGELCGTSTSVSFRCQLPREDLDALVSVTSDEDLANLIEEYDRAASPSSSMKIRAFLSPPKYAKRISPPSSMTSFSSSVAHRCFRRMSPPSPSYLERSSSAVPFSVYNIQGSCASSHMFLHSGNSWE >KGN62368 pep chromosome:ASM407v2:2:16052680:16056078:1 gene:Csa_2G351000 transcript:KGN62368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQYNFKKITVVPNGKDFIDIILSRTQRQTPTVVHKGYAISRLRQFYMRKVKYTQTNFHEKLSTIIDEFPRLDDIHPFYGDLLHVLYNKDHYKLALGQVNTARNLISKIAKDYVKLLKYGDSLYRCKCLKVAALGRMCTVIKRIGPSLAYLEQIRQHMARLPSIDPNTRTILICGYPNVGKSSFINKITRADVDVQPYAFTTKSLFVGHTDYKYLRYQVIDTPGILDRPFEDRNIIEMCSITALAHLRAAVLFFLDISGSCGYTIAQQAALFHSIKSLFMNKPLIIVCNKTDLQPLDGISEEDMKLVKEMKTEAMKTVMGQGGEATGEEGVLLTMSTLTEEGVIAVKNAACQRLLNQRVELKIKSKRMDDCLNRLHVAMPKPRDQKERPICIPAAVLEAREKQDGEKQIRKTEKDLEEENGGAGVYSASLKKNYILANDEWKEDIMPEIMDGHNVADFMDPDILFRLEELEREEGFRQAEESKDDFEMDGAELTPEEQEALAAIRRKKSVLIQQHRIKKSTAESRPTVPRKFDKDREYTTKRMGRQLSVLGLDPSLAINRARSKSRGRKRERSPDRGDATGGTMDVDDETPSKKLRMRSMSMSRSRSRSRPPSEVTPGDGFKDSVQKVKALKIAKKSVKKRNKDARRGEADRVIPTLKPKHLYSGKRSTGKTDRR >KGN62614 pep chromosome:ASM407v2:2:17365488:17368563:1 gene:Csa_2G361780 transcript:KGN62614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNCESDITDNKGMLGDDNETRKICPHFLTRLKTFVLVRAGSRQGIAPKTLPSSFLSQSNPDPRSKMQGVMRAVRSWQETLKKITVMQHSYRREMSKVKNILEGLELEGGSSSSLKSLENITVREIVSKKGEGGIGSSWISCRAEDTAIDAVQNMARHNIGSLVVMKSEGENIAGIVTERDYLKKIIADGRSPIYTKVGEIMTHEDKLVTITSDTNILKAMQLMTENHIRHIPVIDGKLVGMISIVDVARAVVKQQNGELEQLNNYIKGEYY >KGN61705 pep chromosome:ASM407v2:2:11098497:11099220:-1 gene:Csa_2G228410 transcript:KGN61705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDEMLEMIHDLHGPLFEETKRKSNEQDESDKISGIFPEIEEELYPGYLKFTSFNFLVKLMHIRVLNCWSNKSFDMLRESLKKAFPDGVKLHASYYESKKRLRDRWERENFKSRSEENTKA >KGN62589 pep chromosome:ASM407v2:2:17237830:17239961:-1 gene:Csa_2G361540 transcript:KGN62589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPRKGKFFFIYCLFVFVFPHCSRVFVYSSSSFEQNQSETEVPFEIRQYDLLKNLEELVRNLSDVVSKLELMLSDIPAVVNREKLNTDGGDDGLDNSIQAEGRMRAVSVTKYSLFWSERFHFLSAVKLESDATCINVLPLRDFEGHSKYVAVGDERGRIYVFVINGDVAIELPTVPGSPVTAMLSYMSIYKNETILVTGHKNGGILMHRIWEGSNGEDLNLIFMEHVVEFVATDSREDESQISLLELHYVGRTRYILSSDFRGKIKVFKEDGTVYGSVMPTSRPIAFLKQRLLFLTESGAGSLDLRSMKLRESECEGLNHSLALSYVFDATERSKAYGFTSDGDLIHVLLLGDIMNFKCRVRSKRKLELNKPLMFQTIKGYLLVSSNEKVHVFNVSSQHYVRVGAPRLLFSAALDEIKSSFLNYQNLDLESNFMPLISSDREKLVVLGLGEGYVGMYHSNLPIFRGEFNSTVWTSPVLFFTLFLFGAWHFFSKKKEAFTSWGPDEPFSATSPTSVAPMGTVSNNRSSFTDTPSRSTDMMDIRGGGGLRGPPRRYGSPTRYPVGATTSFRPATTNNHSSSRSAIDPNYRAASELKFRGSPLEPPGFPKRREPLFANNQVVSQVVDEC >KGN61069 pep chromosome:ASM407v2:2:3801077:3807552:1 gene:Csa_2G036670 transcript:KGN61069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIASPQQQPQIHRFPQFKYVDGVRWLPPLSAFSRFAVIALFDSDSDSSSIEIHSLTQNPLDIVPHSTWISPSRVSSLKTSQLHRNPLVFASTYTGSLHVLSVEPMEASLDSELSVPEKMLHDGPISCVDVMDGGGECLTVSEDGRVSLVSVGESGLSYRRIFDSNGLVSYNAVKWASPTEFVTGGCGFSLQWWDQRKPGGAVSQFKANWASGIVHCIDIHPSRKHTCLAGGSFGTVFAWDLRSQQQPIILSGLEGSKTSNPSPCESEVWEVHYDPYIKSGNLGGMSSTQILPAMICSEDGILTSIEQGKEPVELLAEPCAINGFDINRQHPSEVICNLEWESVAILSRP >KGN62608 pep chromosome:ASM407v2:2:17343906:17346934:1 gene:Csa_2G361720 transcript:KGN62608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVGGDTASAVAPLAKWRNDFSRAFQYYLDRSTPHPVQRWLGTLLVAAIYVLRVFYVQGFYVVSYGLGIYILNLLIGFLSPKVDPELDVLDGASLPTKGSDEFKPFIRRLPEFKFWYAITKAFCIAFLMTFFSLFDVPVFWPILLCYWIVLFVLTMKRQIMHMIKYKYIPFSIGKQRYTGKRSSASSSGVSRD >KGN63421 pep chromosome:ASM407v2:2:23093711:23100191:1 gene:Csa_2G442250 transcript:KGN63421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWFAIVGGLIVYGLFKLFFAGDDDVMEVETSDFNAIFAVASRFEKLYGGNAYVGLRIPDADTGSRQNIDLVVVTKEELLVISVKNLSGFVSINSDGSWVCDDGKHKAKTLPNPVEETKQLIPILESYLEQRGVDLPAGYLSCKVVLPNPKFRTIDSGLFPSEVITYDQWMQLKPGHSSFSGWMKGAFRGKKEFQEEPLDQKLKAILGTAPMWDKLELKGKYILGDFLEFRGKEEDVDYLRDIKRSKISHLTIQKTSMLGFAPTRLQVLYAPRDYRSGGSASASEWREVTVRSSTEILFQPQNSTKARKFKLSSVISLTLSA >KGN62737 pep chromosome:ASM407v2:2:18168341:18173810:1 gene:Csa_2G370370 transcript:KGN62737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPLGVQLFITERQVTMDNGIVQVTLSNPDGIVTGIRYGGVDNVLEVLNDESNRGYWDLVWSSSSSTTGLFDVIKATGFEVIVENEEQVELSFTRTWDLSLEGKLVPLEIDKRFIMLRGSSGFYSYAIYQHLEEWPGFNLGETRLAFKLRKDKFHYMAMADNRQRYMPLPDDRSPPRGRALAYPEAVLLVDPIEPELQGEVDDKYQYSCDNKDSGVHGWISTDPAVGFWLISPSNEFRSGGPVKQNLTSHVGPTTLAVFISAHYAGEDLVPKIGAGEAWKKVFGPVFIYLNSTYDSFDALQLWEDAKAQAVIEVQSWPYSFPASDDFPRSNQRSSISGKLLVKDSYVNEDLIPADGAFVGLAPPGDVGSWQRESKGYQFWSRADESGNFSIDGVRPGDYNLYAWVPGFIGDYRYDALINLTEGSEVDMGDITYEPPRQGATLWEIGIPDRSAAEFYVPDPNPNYVNKLYLNHPDKFRQYGLWERYSELFPDSDLVYTVGVSDYTKDWFFAQVPRKMENGTYKGTTWQVKFELDDVDNQATYKLRVALASVSLAELQVGVNEAKAKAVFSSGLIGRDNSIARHGIHGLYWVYNIDIPGNRLVTGNNTIFFTQPRCTGPFQAIMYDYIRLEAPPPPSSAHPLE >KGN63174 pep chromosome:ASM407v2:2:21025980:21027458:-1 gene:Csa_2G406720 transcript:KGN63174 gene_biotype:protein_coding transcript_biotype:protein_coding description:Blue copper protein MEGSMMMMMMMKRGVLVMMIVGAALMAEMSLADQRHMVGGSQGWQESVDFDSWASSQTFKVGDQIVFKYDSSLHSVVELSDESSYKNCDIGNSIESKSSGNDAIKLTKSGTRYFACGTIGHCSQGMKVKIKIATGSASSTPSSPSSSSSSSSNSPYSLMGFILTLLPFYALRSM >KGN60566 pep chromosome:ASM407v2:2:422715:424222:-1 gene:Csa_2G000740 transcript:KGN60566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPTSILSFLLLLPLTAAVSSTQVIKMYPKQHVVGAEPKCESWKFSIEVNNAGSWKSIPPTCIDFVKDYFNSGRYLADSRSVAAFSLNFARSVKVSEGDAWIFDVDETLLSNLPFYKDHEFGLQPYNDTSFFEWVKKGSAPALPASLTVYNWLKKLGFKIFILTGRDESLRAVTEQNLIDAGYSGWEKLILRGPNDDKKNIEYKSEKRAELVNQGYTIQGSSGDQWSDLMGFALAKRSFKLPNPIYYFP >KGN63380 pep chromosome:ASM407v2:2:22799996:22800426:1 gene:Csa_2G435440 transcript:KGN63380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATLNQARQQQAVRLAEKEEDLALSSPTSLSREEEVGEKSLAQKRRAGEEEEVGEYDRAGDGETKKRRWKEMKKKQRRRNLRSSTPFKLQK >KGN61825 pep chromosome:ASM407v2:2:12188368:12191722:1 gene:Csa_2G249800 transcript:KGN61825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGEHGHGEAHGDFRAKVWSMSGGPYCRPKHWKRNTAIAMAGIVLICIPIAMKSAELEQRPHHPVRPIPSQLWCKNFGNKEY >KGN61000 pep chromosome:ASM407v2:2:3321416:3323162:1 gene:Csa_2G034530 transcript:KGN61000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFEQQQEALLLEKVCALYNQISSLSSLKPCKNVDTLFTQLVLTCSQHPPPPIGFDIASLSQPLRAMRAHLIQLCAQAEALLELHFSSLLASSFHHPISNLSIFPYYSNYLKLSLLEFDILRSHSRRIPDKVAFVGSGPLPLSSIVLASIHLKGTIFHNFDIDPTANTMASQLVCSDPDLSQRMIFHTKDVMEVTKGLKDYEVVFLAALVGLGEEEKGRVLKHLGKHMAAGSYLMLRSAHGARAFLYPVVDICTVEASGFEILSVFHPTDEVINSVVIARKKVMFDQSSDEDEDEDEKQGILVNTSSIVLAEKCSGFNGFTPMIEEPLS >KGN62555 pep chromosome:ASM407v2:2:17086284:17087563:-1 gene:Csa_2G360720 transcript:KGN62555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSESSNRNNSRPQPQHTMGFPPPPQSDPLPCPRCDSLNTKFCYYNNYNLSQPRHFCKSCRRYWTHGGTLRDVPVGGGSRKNSKRSRYHNINNTPSSVSASSSTSVSSSTSASSSSSVSLLPTANALNSDINDTIPAPGTFTSLLSSQASGFLALGGYASGSGSGHAPAAFDDMGFALGRGLWGTACPYPEVGDLVGSYATGGAPETSSSGYNTWQMNANDGGGNGGVVDGDLLGWPDLAISMQGKSMK >KGN61240 pep chromosome:ASM407v2:2:5705192:5705446:1 gene:Csa_2G073030 transcript:KGN61240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYSFEDVRLGQNVTNLQLNGFVYAWRSATSVWPHGERERCDDVLTVRDSERR >KGN62798 pep chromosome:ASM407v2:2:18635889:18638210:-1 gene:Csa_2G373410 transcript:KGN62798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNLLHRQVAYTKRCLFEQGYLDRDQFTQLEELQDDTNPNFAEEIVSLFYNDSARLIRSIEQTMASKPTDFEKLDNDMHQFKGSSSSIGANRVTDACSQFREYCLAGNLEGCTRSFQQVKQEHEILKKKLDTYFQLVRQAGKG >KGN61063 pep chromosome:ASM407v2:2:3728298:3742186:1 gene:Csa_2G036610 transcript:KGN61063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTATASFFPTLQAPIHGSNAWKKPTKRWKCERKTHTSFTSTFNSPLSSVSFPVNFDSTSQSLHLQPLADSVHENFTETLDNSMNLQIPISEIHQITRGESSKRIFIQDPPWIASLFLKGLYKCVQKEVKLEFMEIEKRKYNLLRRRQIKAETEAWEKMVEEYKELEREMCEKKLAPNLPYVKKLFLGWFEPLKNAIEEEQKTQRSKKQKAAFAPHIELLSADKMAVIVMYKMMGLLMMAAQDGCVQVVQAAVQIGGAIEQEVRIQSILEKARSNQRTKVRADTECLTKEKEVLRKQVNGLIRRRKLKEVQKLLKKEEFKAWGRDTQAKLGSRLIELLIESAFIQPPVSQSADSPPDIRPAFWHGFKPVAKTPGQNFVKKYGVVECDPIVLAGLDRTAKHMLIPYIPMLVPPKKWKGYDKGGHFFLPSYVMRTHGSSRQQDAMKNISGKQMQKVFEALDMLGSTKWRVNRSVLSVVESLWAQGGNTAGLVDRKDVPIPEKPLGDLTEMQEWRWSMKKAKKINQELHSQRCDVELKLSVARKMKDEEGFYYPHNLDFRGRAYPMHPHLNHLSSDLCRGVLEFAEGRPLGKSGLHWLKIHLANLYAGGVEKLSYDERLAFVDDHLDNIFDSASNPVNGDRWWLTAEDPFQCLAACMNLSEALKSSAPHTVISYLPIHQDGSCNGLQHYAALGRDTLEAAAVNLVAGEKPADVYSEIAARVHTIMIRDASKDPTTNPNASLAKLLIDQVDRKLVKQTVMTSVYGVTYIGAREQIKRRLEEKGLISDDRLRFRASCYAAKVTLSALGEIFEAARGIMGWLGDCAKVIAKDNQPVRWTTPLGLPVVQPYYKSERHLIRTSLQVLALQREGNLVDVRKQRTAFPPNFVHSLDGSHMMLTALACRDAGLRFAGVHDSFWTHACDVDRMNLILREKFVELYSMPVLESLLEGFETTYPGLTFPSLPGRGDFDLQEVLKSPYFFN >KGN62709 pep chromosome:ASM407v2:2:17980575:17983248:1 gene:Csa_2G369100 transcript:KGN62709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAQSQQTPSLSEQYSLKEKEEKVDIPQPSEVKEVENSENAAPAEVVVKNDEPPVEAVADQSSDIPPAEESNGDSSAAAVEDSETSPAAENDSGAAEEESSGAGEEENSGDQESTDERPEIKLETAPVDFRFPTTNQTRHCFTRYIEFHRCTQAKGEGAPECEKFAKYYRSLCPSEWVEKWNEQRENGTFPGPL >KGN63213 pep chromosome:ASM407v2:2:21351103:21353011:-1 gene:Csa_2G415550 transcript:KGN63213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAYRADDDYDYLFKVVLIGDSGVGKSNLLSRFTRNEFSLETKSTIGVEFATRSIRVDDKIVKAQIWDTAGQERYRAITSAYYRGAVGALIVYDVTRHVTFENVERWLKELRSHTDHNIVIMLVGNKADLRHLRAVSTEDAQAFAERERTYFMETSALESFNVENSFTEVLTQTYRVVSRKILDIGDDPTVLPKGQTIDIGSKDDVSAVKKAGCCSS >KGN61955 pep chromosome:ASM407v2:2:13147230:13148112:1 gene:Csa_2G271480 transcript:KGN61955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYYDHQQPPVGAPPPQGFPPKDAYPPPGYPVQGYPAAQGYPPPPPPPPGYAPQYSQPPPKNETTGCLEGCLAALCCCCLLDACF >KGN61134 pep chromosome:ASM407v2:2:4404579:4413285:1 gene:Csa_2G058670 transcript:KGN61134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDNQPSSSDEVRDDDGRFLFLALDRNDRLFDKKKKLLERQGFKSENLIYLKCSMCPEEVDTVLKELVQISRIIHLNEPEMYFGENDEGTPVDFYSPRNEVETFDSIISLLDLSLSSCTPAQFSVLQELRKAVIHMIHEYGNVHSMVAKTLENSCEKGNCLLEWGESNGVRTSLKIAYVEGAGRGTIAKEDLDVGDTVLEIPLAIIISEELVQKSTMYPVLSKVEGMLPETMTLLWSMKEKHIVDSEFRVYFDTLPEAFNTGLSFGVGAMTTLVGTLLFDELMQAKEHLRKQYNELFPALCNNHPDIFPEEFYSWEEFLWACELWYSNSLKIMFPDGNVRTCLVPIAGFLNHSLHPHILHYGKVDSDTDSLKFRLSRPCRAGEECYLSYGNYSGSHLVTFYGFLPEGDNVNDVIPLDIDFGDDDNNNITSDWSTHMLQGSMEGEIEVLNELLSIFSEMMEKLEDEDEDESRTSTEWDIKLALEYKDLQRKIVSSCLTSCHSGLKMVEIALCDCMKEDTRG >KGN61100 pep chromosome:ASM407v2:2:4102488:4102939:1 gene:Csa_2G049890 transcript:KGN61100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPCCEKEKVKKGPWSPEEDEKLKSYIHLHGAVSNWIALPHKIGLKRCGKSCRLRWLNYLRPNIKHGGFSEEEDNIICSLFISIGSRFFPFFCFVPFSLFLLPLFKFPLSFFPP >KGN62101 pep chromosome:ASM407v2:2:14195397:14204054:1 gene:Csa_2G297240 transcript:KGN62101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNKETKRNAPLLDSSTTALLDLENKEIKRNSSLVCVPIMADSADLMIADARKAKTSGADLVEIRLDSLKIFNQQTDLGTLVKECPLPTLFTYRPKWEGGQYDGDENERLEVLRLVMELGADYVDVELQVAREFIDSIRGKKPEKCKVIVSSHNYEETPSLDDLGKLVARIQESGADIVKIATTARDITDVSRIFHIIVHSQVPLIGLVMGERGLISRILCAKFGGYLTFATLEAGIVSAPGQPTIQDLLTLYNFRQIGPDTKVYGIIGKPVGHSKSPMLFNEAFKSIRFNGVYVHYLVDDIVNFLQTYSSLDFAGFSCTIPHKEAAAKFCDEVDPVAKSIGAVNCIVRRHDGKFCGYNTDYVGAISAIEEKLQGDYTGSPLSGSPLFGRLFVVIGAGGAGKALAYGAKEKGAKVMIANRTYERAKELADTIGGDAITLADLNNFHPEDNMILANTTSIGMQPKVEETPIAKDALRYYSLVFDAVYTPVMTRLLKDAEASGAKIVTGLEMFVGQAYEQYERFTGMPAPKELFRKIMGIDSKL >KGN60938 pep chromosome:ASM407v2:2:2875464:2875815:-1 gene:Csa_2G028500 transcript:KGN60938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGKILGDALKTAGDARRTTRDIAGSVINAGGNFLDRASDIRRLGKKKIKGKVVLMRSNVLDFTEFHSTILDNIAELLGSGIVINLVSATEVDRDCKFSFQ >KGN62953 pep chromosome:ASM407v2:2:19615361:19617947:-1 gene:Csa_2G381790 transcript:KGN62953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSRFRGYLLLIIWVSLILLLLRFRPCAAGFQSVGQISPGLQGTQMNWVDHDGVFLRSNNSEFGFGFNNQQNVTQYYLAIIHLSSRSIVWTANQASPVTTSDKFLFDENGNVVLYHESIVVWSTNTANKGVSALALRDSGNLVLFGSDNAVIWESFGHPTDTLLSNQGFVEGMRLVSKPDSNNLMYFLELKSGDMVLYSGFKSPQPYWSMSRENRKTINKDGGSVISATLTANSWNFHGENDVLLWQFSFSTNIDSNATWTAVLGSDGFISFYKLQDGGSGDASSIRIPDDPCGTPEPCEANFICYSEKKCICPSILGSRPNCQTGITSPCDQSSGPVELVESQDKIGYFALQFMQPSLKTDLENCKSSCSSNCSCIALFFQVSTGGCFLFDEIGGFLNSKSSEFVSYIKLLKNGENGENNGGNGSGGKNSIPAILGIAFSTMIVICVLIYVGVRFLRKKKKPPEPSQESSEEENFLEGLSGAPIRYSYNDLQTATDNFSMMEEGRMKAILDAKLNIKENDERIIIAIKVALWCVQEDMQQRPPMAKVVQMLEGVCPVPMPPICSPLGSRLVAAGFLKSSSEEWTSSGPSDCNSDAYLSSVQLSGPR >KGN61101 pep chromosome:ASM407v2:2:4103938:4104462:1 gene:Csa_2G049900 transcript:KGN61101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLHQSTFSFNNASTLWPPMPVGEVKVARTGQLANHNHVDGASCRVGTPMLEGYAMNCRSMKSPTVSSSSTELGRLEGGGGRGGVEFVKGMDGSKESLYWWGYDFDAKSGGATKLWETAASVDVQLEEIFKEFEQFSHSL >KGN62400 pep chromosome:ASM407v2:2:16233204:16237227:-1 gene:Csa_2G351810 transcript:KGN62400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACLHDHSCEDHDCSSDWSLYKHIDLPRVSALNEATPGSVKSVFKAWEHRLNSSGDHLESNEGDPELLVFIPFTSDVKIKSISIIGGPDGTSPSKMRVFINREGIDFSDAQSMQAVQEWDLAENLQGVLEYQTRYSKFQGVGNITLHFPDNYGGDTTQIHYIGLKGEATQLKRDVVATIVYEITPNPSDHKTRAEGGAGFSNVE >KGN60767 pep chromosome:ASM407v2:2:1740121:1740586:1 gene:Csa_2G009540 transcript:KGN60767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGNEGLRDVLRGREGWSVSVADKEYMDPRGITRTVGTTWKRTCQPPTSHPVDRRLRDLYAPPASQNGSTIHL >KGN60696 pep chromosome:ASM407v2:2:1272651:1276446:-1 gene:Csa_2G007400 transcript:KGN60696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNVVVLDNGGGLLKAGAGGDLDPSVIIPNCLYRPLSAKKWLHPSPISISTTATAATEPSSDLDLTSASVRRPIDRGYLINPDLQRDIWSHLFTSLLHVNPSNSSLLLTEPLFTLPSIQRATDELVFEDFNFASLYVSDSPSLVHFYEASRRPTSLLSRAQCSLVVDCGFSFTHAAPVFQNFTLNYAVKRIDLGGKALTNYLKELVSYRALNVMDETFIMDDVKERLCFVSLNVPRDLQIARKSGKENFFRCTYVLPDGVSYTRGFVKNPDDAKRYLSLSDEKVSSPSLGVKKDVIELDVSEKTEDKKRIDLTKNEFDLTNERFLVPEMIFHPADLGMNQAGLAECIVRAVNSCHPHLHPVLYESIVLTGGSTLFHNFAERLEKELRPLVPDECQVKITTQEDPILGSWRGGSLLASSPSFEAMCVTKAEYEELGSARCRKRFLH >KGN61882 pep chromosome:ASM407v2:2:12586380:12586985:-1 gene:Csa_2G258780 transcript:KGN61882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIRLPSLLLNAKQVFKMHTVSSRNQCGVPKGHIAVYVGDIERKRFVVPLSYLNHPSFSALLKSAEEEFGFKHPTGGLTIPCREDVFINLTSRLQIS >KGN61614 pep chromosome:ASM407v2:2:10189088:10191234:-1 gene:Csa_2G179730 transcript:KGN61614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTPIQVFNSYDRQADLEAFHNTKLGVKGLADAGVSQLPRIFCHDNQSAASLISSPAAAAEEKLAGKTDAKNLTIPVIDLQDSHKNRVKIINEIKDACKNWGFFQILNHGVPLSVMKEMMAGIRRFHEQEEEMKKDLYSRDFQRKILFNTNFDLFKGVSTNWRDTLTVVVAPRGVEEEEIPEVSREAIVEYSRMVKELGDILLEYLGEGLGVGSNRLKELGCGDGMVMFCHYYPPCPQPEMTWGTTDHTDSSFLTVLLQDELGGLQVRHEDRWVDVHPIEGAFVVNMGDFMQLMSNDTFLSVNHRVLANKRGPRISVASFFRCNLAPENGLVFGPLKELRSEENPDIYRETSIKDYVAHYYHKGLNGISALEHFKL >KGN61896 pep chromosome:ASM407v2:2:12658956:12661915:-1 gene:Csa_2G263910 transcript:KGN61896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQLIQRDGLLQNSPTPSSSSSVVPCVELPGHPIRSQSSSRSEGEFKDSAAARRVQKADREKLRRGRLNEQFVELGNILDPDRPKNDKATILMDTIQLLKDLTSQVNKLKAEYATLTEESQELAQEKSDLREEKALLKSDIENLNTQYQQKLRATYPWAAMDHSVVMAPAPFPFPMPMQMPPGPYPLHPSLQPFTFFGNPDPRVIANPCSTFVPYIPPNSLTEQQSSQNAPPFIQSDNRSRNLSEQNTRSKSSIESKIEKSEDSNEVATCLELKTPGSSTDQDTSYEQTNGKNRKESNLSVESSSSRCSSPRSLEANSSSSMPNGRKSTDICGSP >KGN61279 pep chromosome:ASM407v2:2:6168437:6168863:-1 gene:Csa_2G075380 transcript:KGN61279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLGVTNQVGWIIRGVAGSPYVGIKSLNLSLLPVIVEFNYSETIQALLNLVKLTKLDSGSCLEFFLSFASSSDISKNAV >KGN60849 pep chromosome:ASM407v2:2:2322102:2327497:1 gene:Csa_2G013300 transcript:KGN60849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTKLLHIQPKELQFLFELRKQSTCTVQLSNNTHHHVAFKVKTTSPKKYCVRPNVGIILPKSTCEFSVIMQAQRAAPPDMLCKDKFLIQSTIVPSGITEEDITASMFSKDGGKYIEEDKLKVALISPLNSPILSPSDGALDARMDDVMKLNEDSGPLSDGMDTNETPKLKDQNGASDEVLPSVVPILRYQNGLSNEGMTHEASRFKDDFVALKGERTIEALKPSDQNGAFREEVLPHEFSRLKDPNETSKEELPREALKPRDQNRALKEEELSVESPTKKVQNGALKWGAYGSSHLENPVPDTKFPEDADESLELNNSMSRLQKTAEIKQGTELEPLKLKKAEQLQLVKDIDEMKSKLHEIELKLGEAQGTISMLSEARRLSAQEVKILKEKLLELSRRGRASNQVGFPPLFICMVALICIVFGFVLHH >KGN61249 pep chromosome:ASM407v2:2:5789810:5797736:-1 gene:Csa_2G074110 transcript:KGN61249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLFNPNPPLVSFSSIRPSSFNPSKMVSNFNQTSTITTNTDVIAHTKLFSSSLSMATGCTSAAADNTSRKLPVLLFDIMDTLVRDPFYDDVPAFFRMPMEELLELKDPTVWIEFEKGLIDEAELEKRFFKDERPVDFEGLKSCMISGYSFLEGIEELLIALKEKNYEMHAFTNYPIWYEMIEEKLKISKYLSWTFCSCKNGKRKPDPEFYLEALRHLKVEPANCIFVDDRKKNVEAAKEVGIIGLHFRSADLLLKDLCLLGLDISPDTSSP >KGN61632 pep chromosome:ASM407v2:2:10356474:10357487:-1 gene:Csa_2G193360 transcript:KGN61632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLHLLCFFLFILSTITSCHGLQIGVYWGQGQNETTLIDTCATGNYQIVNIAYLSSFHTTENGSLNLYGHCDSTTGGCTILSTEIKSCQALGIKVFLSIGGGAGSYTLNSTNDDDATNDALYLWNNFLGGESSSRPLGDAVLDGIDFVAGWDPSSKHRDKLARALMKYKEQSKGKIYLSAAPECPFPDANLQPAINTGVFDYVWVLFFNNPSCDYSDGSLDNLLASWSKWTKINAGEVFVFLPAAPEVAHSGYIPPDVVRNRVLPELKRNSKFGGVILWSREYDRGYSSSISQIVCGSAWSDTTSDMALLPMGNKYGDTQGFRTCRGTCMLWIDSN >KGN62210 pep chromosome:ASM407v2:2:15082067:15082691:-1 gene:Csa_2G336080 transcript:KGN62210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVNPSAGRAFIWIVTCFLFFSILSGGGCLLMYMILPETETTAWLPITGLSLVCLPWFFWLLTFFYRVLSRACGFRVSLGIGADVSSNNANANNNNNNNASSAESAQQDVGERDNEDNNNADQRHSNSSSNNSIVSRESEMPLAITMAS >KGN61255 pep chromosome:ASM407v2:2:5865234:5869333:1 gene:Csa_2G074170 transcript:KGN61255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGWQDVYKVVAAMAPLYFALILGYGSVKWWKIFSTQQCDAINKLVCYFTLPLFTFDFTSHIDPFHLNFPFIAADAIGKLIIVLVLAFWAKCTTKGSYCWSITSFSLSTLTNALVIGVPLAKVMYGQMAVDLVGSVVQAIVWLTILLFVLELRRTGLDLVAAEASSGVVSEEKTVEVGGEGEKDLEGEGMEVEEMRRSSKSHRPSLKPLMKKVWVKMAGNPNSYACTIGFAWAFVAKRWHVEMPSIMEGSILIMSKAGIGTAMFNMGIFMALQEKLIACGPTLTIVGMVLKFIAGPAAMAIGSIAMGLHGDVLRVAIIQAAVPQSITSFIYAKEYGLHADVLSTAVIFGAIVSLPVLVAYYAALEFIVH >KGN60813 pep chromosome:ASM407v2:2:2039207:2042353:1 gene:Csa_2G011460 transcript:KGN60813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAGETTYGSYTYQELEREAYWPSEKLRISITGAGGFIASHIARRLKSEGHYIIASDWKKNEHMTEDMFCHEFHLVDLRVMDNCMKVTENVDHVFNLAADMGGMGFIQSNHSVIMYNNTMISFNMLEAARINGVKRFFYASSACIYPEFKQLETNVSLKESDAWPAEPQDAYGLEKLATEELCKHYTKDFGIECRIGRFHNIYGPFGTWKGGREKAPAAFCRKALTSVDKFEMWGDGLQTRSFTFIDECVEGVLRLTKSDFREPVNIGSDEMVSMNEMAEIVLSFDDKKLPIHHIPGPEGVRGRNSDNTLIKEKLGWAPTMKLKDGLRITYMWIKEQIEKEKSKGIDLTVYGSSKVVGTQAPVQLGSLRAADGKE >KGN60599 pep chromosome:ASM407v2:2:625352:629701:1 gene:Csa_2G002540 transcript:KGN60599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRITPMASFSVRPSLSAFRFAGFSRSGLSLSSFNTTRRIALLQLGSAVPQSQYFGLKAFDMLRGEGSNIGMTVAGNAAQASTSSSSENLLDWVKQDKRRMLHVVYRVGDLDKTIKFYTECLGMKLLRKRDIPEEKYTNAFLGFGPEDSHFVIELTYNYGVDKYDIGTAFGHFGIAVEDVYKTVELIKAKGGKVTREAGPVKGGRTVIAFVEDPDGYKFELIERGPTPEPLCQVMLRVGDLDRSIDFYKKAYGMELLRKRDNPDYKYTIAMMGYGPEDKNAVMELTYNYGVTDYEKGNAYAQIAIGTDDVYRTAEAVKLSGGKVTREPGPLPGINTKITACLDPDGWKTVSFSR >KGN61207 pep chromosome:ASM407v2:2:5382007:5393091:-1 gene:Csa_2G070250 transcript:KGN61207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSSTEAVPEMQEILLEFRAGKMCFEGKRVVPDTRKGLVRIGRGEEGLLHFQWIDRTQNVIEDDQIVFPDEAVFEKVNQASGRVYLLKFKTDDRKFFFWMQEPKAEDDQQLCISVNDYINRPLEFLEEEEPVASTPMHVSEGTVEENIPSSSSLRPVKLEDLQRILSNIEPSADIDVDPDAGFGLGDLLKPDLIMPVLETLPLEQSLASYLPEGPWTPEDILELLQSPPFRQQVDSFTYVLRTGQIDLSQFGIDPSKYKFTVLSFLDALEDSVSKTSSSELEQDNKDLPISLFFYWQREGCLTFLVYLREEELELLE >KGN60648 pep chromosome:ASM407v2:2:980990:981416:1 gene:Csa_2G005940 transcript:KGN60648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHLLCFGSTFGLYPPKCQFYLLNGTGYVSFPGANEAALNHFILQLHQNGLLKGDELPVLVCFSNFCLGLNHIFILPTHCILGLGNVGGFCGTLLII >KGN60797 pep chromosome:ASM407v2:2:1951190:1952423:1 gene:Csa_2G010330 transcript:KGN60797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTLLPHSRLLLKGSSKLLTSPTMAAHRLPTLQKMKKGGIKCSVEEKPEGKKLSEESMVPGTVGAVAMAALAAAGPAVALVDERLSTEGTGLPFGLSNNLLGWILAVVFALIWALYFVYASSLDEDEDSGLSL >KGN61199 pep chromosome:ASM407v2:2:5323109:5325825:-1 gene:Csa_2G068700 transcript:KGN61199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHSAAKFGFICSVSAPALGLLTKFTFPSFFLSISPNILPITLSIALVFAFIFFALSNSSNNPPGPLPVPIFGNWLQVGNDLNHRLLASLSQKYGSVFRLKLGYKNLVVVSDAELANQVLHAQGVEFGSRPRNVVFDIFTGNGQDMVFTIYGDHWRKMRRIMTLPFFTNKVVHNYSGMWEEEMDLVVCDLMNNPKVKSEGILISLCYVLPQNQRHKTTPIKGRIMAANGEKHKISCAMDHIIDAQLKGEISEENVIYIVENINVAAIETTLWSMEWAIAELVNHPTVQQKIREEISTVLKGKEVTESNLHELPYLQATVKETLRLHTPIPLLVPHMNLEEAKLGGYTIPKESKVVVNAWWLANNPAWWKNPEEFRPERFLQEESSTEAVAGGKVDFRFLPFGVGRRSCPGIVLALPILGLIISKLVTNFEMKPPNGMEKIDVSEKGGQFSLHIANHSTAAPALELRRCSLSLEGNERYYCCPLFVGGVSVFS >KGN61643 pep chromosome:ASM407v2:2:10496447:10498241:-1 gene:Csa_2G200420 transcript:KGN61643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVGLNMPNNQFVSLKETELCLGLPGGGGSGGDQTSLKASGKRGFSETVDLKLNLQSKDGGGGVGVGVGVDLNENIKNVSTNVDGEKSLCSKDPAKPPAKAQVVGWPPVRSYRKNVMAQKNTSGGEGTEKGNGGSSAAFVKVCMDGAPYLRKVDLKMYQSYQELSDALAKMFSSFTMGEYGTQGMIDFMNERKLMDLLNSSEFVPTYEDKDGDWMLVGDVPWEMFVDSCKRLRIMKGSEAIGLAPRAMEKCKSRS >KGN62263 pep chromosome:ASM407v2:2:15485598:15492437:1 gene:Csa_2G346040 transcript:KGN62263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLHSILIQKLLCTNAHVGRRVATHHFKLYTYGFRNRMAIIDSDKTLICMRHALNFIGSLVRLKGRFMFVNTNWLFDEIIEEMTKKIGCYRPSDNALWKMSGILTNSGSPKKFRSRRKKLFFGPTQPPDCLVVIDTERKSSVILEADRLQIPIVGLVNSSMPLEIYKKIAYPIPANDSVQFIYLFCNLITKTFLYEQKRLSSAKAVAVEEELPKAQPREEQMKIDDVSKKEVLLVPYESLAPLPDDIADTKNLLDKLVVLKFNGALGTTMGFNGSKSALKVCGDSTPLDLFVEQIELLNAKYGCKVPLFLLNSVETHDETLKAVEQYKKSRIDVHSLIQEQKLQQDLSQKPQEHDDLYTSDHGPLLLSLLTGGTLDVLLSKGKEFALIVGSDNVAAVIDPQILNYLIQNKTEICMEVTPTVALESSSLSNSTPERCQLADIALDSSQQMDKYKFSDTRNLWLNLTAVKRLVDTNTLKIGNSFSEVGSSDQMLRQNTAVRSMIKLFDRAVGVNVPHSRSLQLSSTSDLLLLQSDLYSFNKGLVVRNAARASPVNPSIDLGPEFEKIYDFQSRFKSIPSIVELDSLTVRGDVWFGSGVTLKGKVSVVAKPGVKLEIPDGVVIENQEINDPADIKQ >KGN62670 pep chromosome:ASM407v2:2:17737358:17741701:-1 gene:Csa_2G367240 transcript:KGN62670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKAVEDLIFRHRHSDFSFHHLSSHSNHRDMSSFASSSSALALPPQCCLQYHRPSPPSDQSNVCDARLSSEAHSTVSTIDLKRAYDINNSEALASRQVVASEQRMRSNDSSCEPVSSANEKQNKKFKLQKNSKSKTRKSIDEPVDSPNQSTNGRYDSSLGFLTKKFIRLVQEAEDGTLDLNKTADVLKVQKRRIYDITNVLEGIGLIEKTTTNHIRWKGGERRGPQELNDQVGRLKDEVKSLYADERRLDELIRMKQELLRNLEQNAHYRNHLFITEEDILRIPCFKNQTLIAVKAPQASCIEVPDPDEEACFSERQCRMIIKSTTGPIDLYLLRTAKQELEENTSKQAKLCLAQQKNPNIFTNNTYSPFQDLHGMQRILPLHNNIDDDYWFQSNSQVSITHLWGEEHNF >KGN62133 pep chromosome:ASM407v2:2:14473033:14474845:-1 gene:Csa_2G301510 transcript:KGN62133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEISPSPENSSAAVADANRVFKEEAAAASAGVLEEADRNWPGNRWPREETMALLKVRSSMDTAFRDASLKAPLWEEVSRKLGELGYNRNAKKCKEKFENIYKYHKRTKDGRSGKSNGKNYRYFEQLEALDNHSLLPSQADSMEEIPRIIPNNVVHNAIPCSVVNPGANFVETTTTSLSTSTTSSSSKESGGTRKKKRKFVEFFERLMNEVIEKQEKLQKKFVEALEKCEVERLAREEEWKMQELARIKKERERLNQERSIAAAKDAAVLSFLKVFSEQGGTVQFPENLLLMENLTEKQDDANGERNTSTQENINNGNSNQISSSRWPKEEIDALIQLRTNLQMKYQDNGPKGPLWEEISLAMKKLGYDRNAKRCKEKWENINKYFKRVKESNKKRPEDSKTCPYFQQLDALYKQKSKKVINNPANPNYELKPEELLMHMMGSQEETHQPESATDDGEAENADNQNQEDEGEEGEDEDEDYRIVANNNNNNNNNQMQVN >KGN62459 pep chromosome:ASM407v2:2:16589145:16594033:1 gene:Csa_2G354850 transcript:KGN62459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSRVYADVNVHRPRDYWDYESLAVQWSGQDDYEVVRKVGRGKYSEVFEGINITNNEKCIIKILKPVKKKKIKREIKILQNLCGGPNIVKLLDIVRDQHSKTPSLIFEYVNSTDFKILYPTLTDYDIRYYIYELLKALDYCHSQGIMHRDVKPHNVMIDHELRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDMWSLGCMFAGMIFRKEPFFYGHDNHDQLVKIAKVLGTDELNAYLNKYQLVLDPQLEALVGRHSRKPWSRFINADNQHLVSPEAIDFLDKLLRYDHQDRLTAREAMAHPYFFQVRTAENSRLRTQ >KGN63258 pep chromosome:ASM407v2:2:21759902:21764136:1 gene:Csa_2G418930 transcript:KGN63258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVFWIRRSGHFKTRMMLFALLFGIWMPLGVIGVSENITISSSNQRVLNVGVLFTFDSIIGRSAQPAILAAVDDVNADNDILPKMKLNLILHDTNCSGFFGTMEALQLMEDEVVAAIGPQSSGIAHVISHVINELHIPLLSFGATDPALSAQQYQYFVRTTQSDYFQMNAIADMVAKFGWKEVVAIFVDDDNGRSGISALSDALAKKRAKIAYKAAFPSGSSISTISDLLVSVNMMESRVYIVHVNPDTGLSVFSVAKKLQMMGSGYVWIATDWLPSFLDSFETNSPDVMNQLQGVVALRHHTPDGNLKKNFISKWRNLKYKKSPNFNSYALYAYDSVWLIARALDTFFKEGGNISFSNDPKLRENNGSMFYYKSFKVFNGGEQLLQTIKRTNFTGLSGQIQFGDGKHLIHPAYDILNIGGTGVRRIGYWSNYSGLSTIAPENLYVKPLNASPNNNLYSVIWPGETTTIPRGWVFPHSGKPLQIVVPNRVSYKAFVSKDKNHPGVKGYCIDVFEAAINLLPYPVPHTYILYGDGKDTPEYSNLVYEVSQNKYDAVVGDITIVTNRTKIVDFTQPFMESGLVVVTVVNEEKSSPWAFLRPFTIQMWAVTAIFFIFVGAVVWILEHRTNEEFRGPPRQQLITIFWFSFSTMFFSHKENTVSTLGRLVLIIWLFVVLIINSSYTASLTSILTVQQLTSKIKGIDSLISRTDVIGVQEGSFALHYLIDDLGVAASRIIKLKDQEEYADALRRGPENGGVAAIVDELPYVELFLAGTNCMYRIVGEEFTKSGWGFAFQRDSPLAVDLSTAILQLSENGDLQKIHDKWLSRTECSTDLNQVDVNQLSLSSFWGLFLICGIACFIALSVFFFRVLFQYRRFTPETQSDVEDIEPVRTRRLSRTTSFMNFVDKKEAEVKPKLKRSSDNKQVSQSSESLPASPP >KGN62942 pep chromosome:ASM407v2:2:19565055:19567508:1 gene:Csa_2G381680 transcript:KGN62942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSQFTKPKLLRTINNVLASSTPNPRAPEQNCLALLQACNALPKLTQIHTHILKLGLHNNPLVLTKFASISSLIHATDYAASFLFSAEADTRLYDAFLFNTLIRAYAQTGHSKDKALALYGIMLHDAILPNKFTYPFVLKACAGLEVLNLGQTVHGSVVKFGFDCDIHVQNTMVHMYSCCAGGINSARKVFDEMPKSDSVTWSAMIGGYARVGRSTEAVALFREMQMAEVCPDEITMVSMLSACTDLGALELGKWIEAYIERHEIHKPVEVSNALIDMFAKCGDISKALKLFRAMNEKTIVSWTSVIVGMAMHGRGQEATCLFEEMTSSGVAPDDVAFIGLLSACSHSGLVERGREYFGSMMKKYKLVPKIEHYGCMVDMYCRTGLVKEALEFVRNMPIEPNPVILRTLVSACRGHGEFKLGEKITKLLMKHEPLHESNYVLLSNIYAKTLSWEKKTKIREVMEVKGMKKVPGSTMIEIDNEIYEFVAGDKSHKQHKEIYEMVDEMGREMKKSGYRPSTSEVLLDINEEDKEDSLNRHSEKLAIAFGLLRTPPGTPIRIVKNLRVCSDCHSASKFISKIYDREIIMRDRNRFHHFKSGQCSCGDFW >KGN61476 pep chromosome:ASM407v2:2:8604557:8608849:1 gene:Csa_2G139290 transcript:KGN61476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFLLSHYSFFFFFFFFLFGTSTVDGAYWCVARSDATYESLQAALDYACATGAECTPIMLNGLCFLPNTIQAHASYAFNSFFQRKAMAPGSCDFAGSATIAQSDPSYGSCVYPSSLSSAGGAITPSPPANASPTITVPGTATPNFNNGGTSDTNGLNPDYNPTSTSNPTNSEASLSSTTPKLVILILFSIAISLPLQTM >KGN62515 pep chromosome:ASM407v2:2:16897481:16898097:1 gene:Csa_2G358860 transcript:KGN62515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRQLYDKKKSGYKLQKEEMKEMFREHDFNGDGRLSIKELSRAFGQFGAFFPLYRAAFGLFLADDDGDGFISEQELDKVVDYAIKCKYNLL >KGN61065 pep chromosome:ASM407v2:2:3762596:3769984:1 gene:Csa_2G036630 transcript:KGN61065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLIESVTGINCNDQVILSLDVRLESQRPLSVYKLPADDREVFLFDRCRLQSNSLPPPPEQIDILDLVEPPSPSSSQDSHPLDDASDPALKALPSYEREFRYHYHKAHMIYSSTMMKYECCERLLREQRVQERAIEVARGNLDQYYKMITQNYTDFMKRYSQQHRIHSDLLMNLDRDIGKLRSVKLHPALQTVNRKCLLDFVKEDNLRKSAENCSSSHSQFENKVFQFKDIFNEVKRKVEDLFSSRASFSIKNLELNIKDHQRYISDQKSIMQSLSKDVDTVKKLVDDCLSCQLSSSLRPHDAVSALGPMYDVHDKNHLPRMQACDRAVSKLLDVCKDKKNQMNNFLHYYMQKIAYNSYIIKDVKLQFPVFKEAMGRQDDLFMDLKLVRGIGPAYRACLSEVVRRKACMKLYMGMAGQMAERLARKREDEVRRREMFLTEHGGYFPRDVIESMGLNDIPNPCDVHISPYDECLIDVGILDLDRYAPEYLLGFPWKNEKQGITKDSSIKSIGALSSGEAEESSKDTFESYGSGELVEGSELIEIAGTSKLEVENAKLKAELASALATICSFSSEYDLSDDSKLDSVLKNAAEKTAEALRLKDEYGKQLQRMLKTKQMQCESYERRIKELEQRLSDQYVQGQSLSSNVVSDFSVSAVKSGDCKPQILGGPEAPALCVSTSEPMDEVSCISNSLDVKLGLFAEQPGRVREAVDENMLDSRGDQNPHLDSSMMEPNREEFQDNDKYVRDKVAGQMGISLTNSSTAESMPRSLNVLPCETVENPNLESNIPNGLLLELQNALADKTILLSETETKLKGSLEEVVVLKRDLEASRKLLDESQMNCAHLENCLHEAREEAQTHLCAAARRASEYTALRTSAVKMRGHVERLKSYVFAPNGAAAFAHSLRTLAQSLANSGSDNENDGTNEFRQCIWAIAERVGHLVKQHEKYAKLEATNEQLVKELEEKKELVKTLYTKHQLEKQANKEKISFGRMEVHEIAAFVRNAAGHYEAINRNCANYYLSAESVALFADNLLTRSNYIVGQIVHIEHQTVKPSPPSPRRDHGTVDQTDCVISDSGTDRLTLNSGLSSNPYGLPVGCEYFIVTVAMLPDTAIHSTAS >KGN61367 pep chromosome:ASM407v2:2:7448954:7450223:1 gene:Csa_2G100000 transcript:KGN61367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPMMGKGVFIPSVRLMEGQQMPVMGMGTASISAEEVTKAAILEGMKVGFRHFDTAYSYGTEKPLGEAIREGIEMGIVKSREELFITSKLSPAFAHPSLVHDAIHATLKNLKMEYVDMYLIHIPLKTKGEVRGRAIGKDEISEMDVKGVWEMMENCKSLGLTKAIGVSNFSIQKLTHLLSFANVPPALNQVEMSASWHQKRLREFCKEKGIHVTAYSPLGAAGTSWGHNQIVESQLLSQIAHTKGKTTAQVALRWVYEQEVSVVTKSFNKERMRQNVDIFDWSLNEDELAKINQLPQHRAIVFANIFGHHDLVLDLDAQLNHPHP >KGN62658 pep chromosome:ASM407v2:2:17639598:17641337:-1 gene:Csa_2G365650 transcript:KGN62658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAD >KGN62792 pep chromosome:ASM407v2:2:18615623:18617989:1 gene:Csa_2G372870 transcript:KGN62792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIPSELRDSWVHRRNSFVIPSPAEDRKIANAKRCTQEGVRAGFKNASIACVASAVPTLVAVRVVPWAKANLNYTAQALIISAASIAAYFITADKTILECARRNSQLEDALRRQGS >KGN61097 pep chromosome:ASM407v2:2:4071026:4078180:1 gene:Csa_2G049860 transcript:KGN61097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAKRNSWSETAPLLGQQHRFQSLPARLPSSNIGMASPTDSVPPPRPVFLGVDVGTGSARAGIFDETGKLLGSSSSPIQIWKDGNCIEQSSTDIWHAVCAAVKSACSKANVSGEEVKGLGFTATCSLVAVDADGSPVTVSWSGDSRRNVIVWMDHRAVEQAERINSFNSPVLQYCGGSLSPEMQPPKLLWVKENLPDTWSMVFRWMDLSDWLSYRATGDDTRSLCTTVCKWTYLGHAHMSQYNEKDSRNMEACGWDDDFWEEIGLRDLIDGHHAKIGRSVAFPGHPLGSGLTPVAAKELGLVAGIPVGVSLIDAHAGGVGVLESVPVQDSDSEGFNKEMILNRMALVCGTSTCHMAVSRDKLFIPGVWGPFWSAMVPEYWLTEGGQSATGALLDHIIQNHVASPHLANRAASQKISVFDILNKLLENLVVDLKSPFLAALTEDIHILPDFHGNRSPISDPKAKGVIYGLTLDTSEQQLSILYLATVQAIAYGTRHIVEHCNSHGHKINTLLACGGLAKNSLFIQEHADIIGCPIILPRESESVLLGAAILGAVAARKYSTLQDAMKALNSAGQVIYPSTDPKVKLYHDAKYQIFRELYEQQLSHRSIMARALS >KGN62807 pep chromosome:ASM407v2:2:18685576:18686500:-1 gene:Csa_2G373500 transcript:KGN62807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGRIYLHTGLHDPSPMAAKWEGRVTETLTVATPDQIWPMIKDFFNFHKWFPTLANCYGLSGTNAEVGSVRFCSGFSIPSSDGSDGVVSWSKERLVGVDEEHRRICYEIVDSNIGFKSYVATMEVGSVSGGGCMIEWRFEVEAVEGLKLEDLVKKYEVGLRSMANRMEAAVVENEITK >KGN62267 pep chromosome:ASM407v2:2:15503482:15505716:-1 gene:Csa_2G346080 transcript:KGN62267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLHLTKPPPPPSSLKVLKLIFQCLLLIPLLLQPLRAATDIIPPGYSVPAVFIFGDSIVDTGNNNNLITQAKCNYPPYGRDFPDGRPTGRFSNGRVPSDLVVDVLGIKPLLPPYADPNLQLEDLLTGVNFASGGAGFDPLTSKTAPAISLDAQLAMFREYRKKIEGLVGEEKAKFIIDNSLFLVVAGSNDIGNTFYLARFRQGQYNIDTYTDFMIQHASAYVKDLYAAGARRIGFFATPPLGCLPSQRTLAGGIERGCVNEYNNAAKLFNGKLQTTLGYLQTILPDSRVVYVDIYNPLLDVIQNYAKYGFEVVDKGCCGTGTIEVTFLCNKFVKTCPDTTKYVFWDSFHPSEATYNLLVSPIIKRYISSFL >KGN62423 pep chromosome:ASM407v2:2:16381946:16385526:-1 gene:Csa_2G354000 transcript:KGN62423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSMSDNEDSNNNNNNNNNSWLGFSLSPHMKMEVSSSDHPYNQHHSLHSASNPFYLSPHFNNNNTEIFYGIPDNSSLHHHSAAASLSVMPLKSDGSLCIMEALSRSQTEGMVPSSSPKLEDFLGGATMGGRGGYFNQNAESESDREHSFDLLQRPIRQNQQILIQNSNQYYSGLLPSSIGIGTCDPQILPPDDDGIPCFRNWVSRSHYSATHNTLEHHITGGDGGGGGTLMNESNGGGSASIGGMSCGELQSLSLSMSPGSQSSSFTTSGQISPTGGDGTAVETKKRGPGKLCQKQPVHRKSIDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCKKEGQTRKGRQVYLGGYDMEEKAARAYDLAALKYWGPSTHINFPLENYQTELEEMKNMSRQEYVAHLRRKSSGFSRGASVFRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGVNAVTNFDISRYDVEKIMASNTLLAGELARRNKDVEPSNDSSIVPYDSSIVSNNNGGIGIGMEINPDANTANGNANDWKMALYQNPSHHQQQAAAATCVADSLDNHQNKSMAVSGGYRNTSFSMALQDLIGIESLSANTHGIEDDVSKQVTHFSNSSSLVTSLSSSREGSPDKTNVSMPFGKAPPLMASKLIGATNGVGVGSWYPSPQQLRPTAAAAISMAHLPVFATWNDT >KGN63226 pep chromosome:ASM407v2:2:21465056:21469765:-1 gene:Csa_2G416170 transcript:KGN63226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMPKSNTPPLFERVRIENGLKRFIDITIFFLLVSLLGYRLFLLSSHGFSCLFAIAFLSELWFTFNWLLTLNCNWSPVRYQTYPQRLLKRVEEVPPVDIFVTTADPMLEPPIITINTVLSVLAMEYPADKLACYVSDDACSPLTFYSLCQALNFAKIWLPFCKKYKVQVRAPFRYFSTTRLSSNESTQFHHDWTKIKDEYEELRQKIEEAAKTITYGCELKGELADFSNAKPKNHPPIVKVIWENKEGVRDELPALIYVSREKNPQIPHHYKAGAMNVLTRVSGVMTNAPYMLNLDCDMFVNNPDVLLQAMCLLLHPTIDKEYAFVQFPQTFYNGLKDDPFGNQWIVTMQILIHGQAGVQGPMYMGTGCIHRRKVLYGQSPKEANVDAKYNEEKLYKTFGNSKDFVKSAIRSLRSFADDSNCLSSSIKSTYEVATADYEHNNAWGSEVGWKYGSIVEDVLTGMEIHKKGWKSAYITPTPPAFLGCAPLGGPVPLSHHKRAMTGLLEILISKNSPILTALSDKLQFRQRLMYMWAYLIGFGAIWEICYATLPAFCLISNSHFLPKVQEPVICVPLLLFVLLKLRMLLDFFKTGQSVRAWWNNLRMEKIQKMSSSLLGIVAVIFKIFGISETVFEITKKESSSSSDDIKSDDGDLGRLTFDESPLFVPVTTILMIQLAALYIGFLQMQASVREFGVAEVMCCLWTILSFWSFLRGMFAKGNYGLPWPTLFKSSVLAFLFVYLCQQTTK >KGN61482 pep chromosome:ASM407v2:2:8647371:8662903:1 gene:Csa_2G139840 transcript:KGN61482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLSLQCGDCGVLLKSVEEAQQHAELTSHSNFSESTEAVLNLVCTACGKPCRSKTESDLHTKRTGHTEFADKTLEAAKPISLEAPKVDAEAEDGGDASASKSEEMVVPEVNKNILEELEAMGFPTAQATRALFYSGNSSLEAAVNWVVEHENDPEIDQMPLVPKDTKVEAPKPSLTPEQLKAKQQELRERARKKKEEEEKITEREREKERIRIGKELLEAKRIEEENERKRILALRKAEKEEEKRAREKIRQKLEEDKAERRRRLGLPPEDPSTAKPPAPVVEEKKLSLPVRPASKAEQMRECLRSLKSNHKEDDAKVKRAFQTLLTYVGNVVKSPDEEKFRKIRLSNQTFQDRVGALRGGIEFLELCGFEKIEGGEFLFLPRNKVDRAVLNSAGSELDSAIKNPFFGVL >KGN62301 pep chromosome:ASM407v2:2:15734794:15735434:-1 gene:Csa_2G348875 transcript:KGN62301 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin extension protein MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGAKKRKKKTYTKPKKIKHKKKKVKLSVLQFYKVDDSGKVQRLRKECPNAECGAGTFMANHFDRHYCGKCGLTYVYQKPGGD >KGN61586 pep chromosome:ASM407v2:2:9878320:9881634:-1 gene:Csa_2G173050 transcript:KGN61586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIVTEAWQGYLLQLQKNPLRTKAITAGVLAGISDSVAQKISGIKKLQFRRLLLLMLYGFAYAGPFGHFLHKLMDRIFKGKKGNTTVAKKVLLEQVTSSPWNNLFFMMYYGLVVEGRPWSLVKAKVRKDYPTIQLTAWRFWPIVGWVNYQYMPIQFRVIFHSFVASCWGIFLNLKARSVKAA >KGN62139 pep chromosome:ASM407v2:2:14518544:14520921:1 gene:Csa_2G302060 transcript:KGN62139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVELIRQAVENYNSVFVFTVENMRNLKFKELREQLKSTSRQVLLPDFSNKVMQISLGRSDSDEIRPGLHKVSKLLHGNTGLCFTNLPKEEVERLFNEYEDYDYARTGSAASEKVELKEGPLGQFSHEMEPSLRKLGLPVRLNKGVVELVSDFVVCEEGKSLSPESAGILRALGLRTAIFRLNLVCRWSPGDFELYIDGPENSDVESA >KGN63097 pep chromosome:ASM407v2:2:20598291:20601176:-1 gene:Csa_2G402050 transcript:KGN63097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEASANIGVNFPPFLNSSSPTFLPSRTLKPEFPLTSKLKPNTWRTKPLNLTAFAPSSRLTSAAFTQTDDGKFQPRIEADNSRTGRVFFLDVNPLCYQGSKPSLRNFGRWVSIFFEEVSHSDPVIAVFDGEGGSEHRRLLLPSYKAHRIKFTRPPSSKRFTKGNFRTSYQVIRDALRSCNVPVVRVEGHEADDVIATLVEQVLQRGVRVVVASPDKDFKQLISEDIQLVMPLPELNRWSFYTLRHYLAQYNCDPCSDLSLRCIMGDEVDGVPGIQHVAPGFGRKTALKLLKKHGSLENLLSAAAIRTVGKPYAQDALTKYAEYLRTNYKVLALRRDVDVQFQDEWLVERDRRNDSTILSKFVENNDRNLLVQPSKQV >KGN61691 pep chromosome:ASM407v2:2:10938190:10938468:-1 gene:Csa_2G225300 transcript:KGN61691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNYNSSTKSSKEEDTTNLASECKTRLCAFGILSKDADLIDFAKEETFEEIFIIIERSSARQQPSVRFHQQPTAKVLLYTIDCDIEVSFVEH >KGN63227 pep chromosome:ASM407v2:2:21473686:21473868:-1 gene:Csa_2G416180 transcript:KGN63227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTANTDWSNFSKLVEATMCVESALAKGKTSSKEKKLSKEPTRTRVALLTALVKYHEEVLH >KGN61868 pep chromosome:ASM407v2:2:12549793:12550210:1 gene:Csa_2G258640 transcript:KGN61868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRLPSSLIPQAKHLLRRSSGNPSAVPKGHVAVYVGEFQRKRFVIPISYLNHFSFQQLLSRAEEEFGFDHPEGGLTIPCGEDAFIDLTSRLQAC >KGN61750 pep chromosome:ASM407v2:2:11579083:11582481:1 gene:Csa_2G237690 transcript:KGN61750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDFSAFRTGGFKRKMPRPHFHKLVLTSTIQARKLRIPETFVRMIRDELSAVATLTVPDGHVWRVGLRKADNKFWFEDGWQGFLEHYSIRVGYLLVFRYEGNSSFCVFIFNLNTSEINYQSAALSNNQRNNYSIQNRIFEEMEDYDIPEAIPSNQSMNSGSLRNKLFGDEWNLHQSKSASTLQAEYLSTRDIGVQFSAVEVKKSADEVRFQNLGDDAAHRVKKSGSKKRKIESSEHHPSAHNSEDLGDIRFRFYESASARKRTVTAEERERAINSAKAFEPGNPFCRVVLRPSYLYRGCIMYLPSCFAEKNLSGVSGFIKLQTPDGRQWPVRCLYKVGRAKLSQGWYEFCLENNLGEGDVCVFELLRMREIVLKVTMFRVIEEGGRMANPNPASMMNPPPLRSVSHIKLIRN >KGN62386 pep chromosome:ASM407v2:2:16151420:16154804:-1 gene:Csa_2G351670 transcript:KGN62386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARIVCMFFEMNTTNLLFDKMPPKRLEIGKYGLVVSFVILLTCNRIGFVVSHEYANEVHTPQVQRTSYHFQPLKNWMNDPNGPMFYKGIYHFFYQHNPNGAVFNSKMVWAHSISYDLINWVHLNHALEPTDPFDINGCWSGSVSFLPENKPRILYTGIDSSSQQVQNLAIPANYSDPFLEKWRKFSQNPIIAPPDGLERNRFRDPTTAWQGPDGEWRVAIGGQTSYGGAAMLYRSEDFVRWHQFRFPLYSSQDSGTWECPDFYPVMLNGTNGIGFSSGFGVGVKYVMKASFNSSDHYTLGSYVPEKEKFTSDYGPGFDFKGINLGLRYDYGKFYASKTFYDSSQKRRILWGWVNESDSRQDDINKGWSGLQAIPRKIWLSKTGRQLIQWPVKEIKMLRRNHFSLHHKELRGRSTMEVLGGSASQVDVEVSFELPYLEEAESVDTRWGVLDPQVLCSKRDASVNGRVGPFGLLVLASNDLSEHTAIYFHILKAHNRYVVLMCSDQSRSSFRKEVDKTAHGAFVDIDPCYKKISLRTLVDHSIVESFGGKGKTCITSRVYPTLAVNNNARLYAFNNGTQTVVISSLKAWNMSNAEIK >KGN61442 pep chromosome:ASM407v2:2:8235813:8236491:1 gene:Csa_2G123040 transcript:KGN61442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGLIPLFYRAMKKNRRRRQYTVLSTKAESEAETEAASLSFNIADFYVDPPSIKSEGRAYRRYNSYAGSTTQEWRRSGVAAGKSSSHGPPPEQQLVRFRSQRILSCLTGH >KGN62434 pep chromosome:ASM407v2:2:16460936:16461925:1 gene:Csa_2G354110 transcript:KGN62434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTKNAQNQNKFIRFVTLPIRALGKAKDFYVKSIMDCAPRVSHGYPSGQLPILPKSYSSRSCRSNETDDFRELVKAASVRSLDIKDIDADILYPQRQRQSKGLPKSCSVGMGRIDEDTACEFEEGVEDKAHLAYPRSKSYAVTNSNSGF >KGN63370 pep chromosome:ASM407v2:2:22646767:22656992:-1 gene:Csa_2G433360 transcript:KGN63370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTLFVPITFSELPHPLLTCNTNAASQSRVGPVSRFSPLSSLIHLNRFPTRFKKLSYPRFGHFRCAAEASGHRHGHHHGHHHHHHGCQHHCSGDSDGVELTGAQKAFVRFAEAIRWTDLANYLREHLHMCCGSAALFVTAAAFPYLVPKPAVKPLQNVFIAVAFPLVGVSASLDALTDISGGKVNIHVLMALAAFASIFMGNPLEGGLLLVMFNMAHIAEEYFTKQSMIDVKELKESHPDFALVLDVNDDNLPDTSDLEHRRVPVRDVKVGSYILVGAGESVPVDCEVYQGCATITVEHLTGEVRPLQIKVGERVPGGARNLDGRIIVKATKTWEESTLSRIVQLTEEAQLNKPKLQRWLDEFGENYSKVVVVLSVAVALIGPLLFKWPFICTPGFRGSVYRALGLMVAASPCALAAAPLAYAIAISSCARKGILLKGGHVLDAMASCHTVAFDKTGTLTTGGLVFKAIEPIYGHKIGGDKSQFASCCIPSCEKEALAVAAAMEKGTTHPIGRAVVDHSVGKDLPSISVESTEYFPGRGLIATLHGIKSGIGGKLRKASLGSVDFITSFCKSENESRMIKDAVRASTYGSEFVHAALSVDQKVTLIHLEDQPRPGVIDAIAELQHLGKLRVMMLTGDHDSSAWKVANAVGINEVYYSLKPEDKLAHVKEISREMGGGLIMVGEGINDAPALAAATVGIVLAHRASATATAVADVLLLQDSISGVPFCIAKSRQTTSLIKQNVTLALTSILLASLPSVLGLKVLLHEGGTLLVCLNSVRALNHPSWSWKQDLQNLIHDARSKLNTTPENSSGTIQTATS >KGN62401 pep chromosome:ASM407v2:2:16240369:16245469:1 gene:Csa_2G351820 transcript:KGN62401 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar processing enzyme MARIPTGVLLSLLFLAVIGLPAGARDLPGDFLRLPSEALKFFRGGASDASDEDSVGTRWAVLIAGSNGYWNYRHQADICHAYQLLRKNGLKDENIIVFMYDDIAFNPENPRPGVIINHPKGSDVYHGVPKDYTGEDVTVNNFFAAILGNKTALTGGSGKVVDSGPNDHIFIYYSDHGGPGVLGMPTYPYMYADDLNKVLKKKHAAGSYKSLVFYLEACESGSIFEGLLPEGLNIYTTTASNAYESSWGTYCPGDYPSPPPEYDTCLGDLYSVAWLEDSDNHNLKTESLRQQYELVKKRTLSGQYAYGSHVMQYGDLMLNKNALFSYLGTDPANENNTFVEENSLRPATKFTNQRDADLVHFWEKFRKAPEGSLTKVEAQKKFVEAMSHRAHIDNSVKLVGKLLFGIKEGPEVLEAIRPAGRPLVDDWNCLRNMVRSFEARCGSLSQYGMKHMRSFANLCNAGISKEQMAEASAQACMSVPPGPWSSLLKGFTA >KGN61354 pep chromosome:ASM407v2:2:7245849:7246214:1 gene:Csa_2G095920 transcript:KGN61354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAESRRKAIEMRASTSTIVSPTFIRREIYNELRRKRRKERFTLFDCHKNACSDVQTKIEEGQCKGNVHCSPTKVEENMARNEAEKLKGREN >KGN63246 pep chromosome:ASM407v2:2:21637192:21639031:-1 gene:Csa_2G417840 transcript:KGN63246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLNLPSSSFSVIKLANLKSPFSDFSVKSRTHFSKSLSSSSSSSSSSSLWHSPPSSELSFKDRHQIFWDKTKIRCRRNGDFRLWAFAGIDIGSAQSVLEAAAVLTAIIVVHESGHFLAACLQGIHVSKFAIGFGPILAKFNKNNVEYSIRAFPLGGFVGFPDNDPDSDIPVDDENLLKNRPILDRVLVISAGVIANIIFAYIIILVQVSSVGLPVQEPFPGVLVPEVLTLSAASRDGLLPGDVILAVNGNELPKLGSTAVSDLVEAIKRSPNRTVLLKVERGNQDLEIGVTPDKSFDGTGRIGVQLSPNVKISKVVAKNFLEAFNYSRKEFLGLSYNVLDSLKQTFLNFSQSASKVSGPVAIIAVGAEVARSNIDGLYQFAAVLNINLAVINLLPLPALDGGSLALILIEAVRGGRKLPLELEQRIMSSGVMFVVLLGLFLIIRDTLNLEFIKDLL >KGN63154 pep chromosome:ASM407v2:2:20941324:20944247:-1 gene:Csa_2G405050 transcript:KGN63154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNEYDKLIRRLNPPRVVIDNNACKDATVIQVDSMNKHGILLKVVQVLMDMNLIITKAYISSDGGWFMDVFNVITYEGNKIRDQEVINAIQMRLEASFVPSLRESVGVMPSEDHTSIELSGTDRPGLLSEVCAVLADLHCNVVNADVWTHNNRAAAVVHVTDDATGRAINDPQRLLTIKELLCNVLRGNGELKEAKMTLSPPGVTSTDRRLHQIMLADRDYERAVKTKLEVEDKNLRPHVTVFDCTEKDYTLITTRTRDRPKLLFDVLCTLTDMEYVVFHGMVETGRMEAFLEFYIRHKDGLPISSKAERDRVLHCLEAAIERRESEGLKLELCAEDRVGLLSDITRIFRENSLCIRRAEIATKRGKAKDIFYVTDMTGTTIDAKVVESIRKQIGDAMLQVKHNSCLSETPPKEMTAGFFLGYFFKARTFQNFKLIRSYS >KGN62091 pep chromosome:ASM407v2:2:14119818:14123579:-1 gene:Csa_2G297150 transcript:KGN62091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKRKLDSSKTSDSTEPPKKLQQQPQAPLDEAKPQDEPLKEAVPQDLPQYDVVEEEDDDDNENDNDNENDNDNDDDNDEEEEEEDEDDEDEDDEGDANVNNHHPTPKISTSSAAVATTDDDDEPIHKLLEPFTKDQLINLLREAADKHVDVAGRIRMVADADPSHRKIFVHGLGWDTNAETLINVFKQFGEIEDCKAVCDKVSGKSKGYGFILFKKRSGARKALKEPQKKIGNRMTACQLASVGPVPTTNAVAAPQVPPASEYTQRKIYVSNVGADVDPQKLLAFFSQFGEVDEGPLGLDKMTGKPKGFCLFVYKSIESAKKALEEPHKNFEGHVLHCQKAIDGPKPGKAQHQHHTPNAPFQRNKNPNYTGGAASGSGHLMAPAGPGVGYNQGPPQGLNPAIGQALTALLAGQGAGLGLTNLLGTLGTAGLNPAVQGAGPGVQSGYGSQANISPGVIGYGSQSGVQGGYPNQQMGQGGAGRGGQHGVGQYGGPGGYMGH >KGN62017 pep chromosome:ASM407v2:2:13606370:13613030:1 gene:Csa_2G286500 transcript:KGN62017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWWTKLFKGHKSSYRESYGDRIWDEPRTSVEELTEYEQEEIDYAIALSLSVEDQNGKAVKDEEAHSDEDEHSTKAESEDDEESTKCLSEDDDQISKSHLEEDEQLDKAQMEEDERLAKAQMEEEERLAKAQLEEDERIASTLVEDHEKVSTFQAEEDEQLARALQESLNIEPPPPRFDSGNIFNPYPFFYPPGYRVCAGCQTEIGHGRFLSCMGAVWHPECFRCNTCNEPITDYEFSMSDNRPYHKSCYKEQHHPRCDVCRNFIPTNSSGLIEFRKHPFWSQQYCPSHEKDGTPRCCSCERMEPRDTSYLLLDDGRKLCLECLDSAIMDTHECQPLYLEIQEFYEGLNMKVEQQVPMLLVERQALNEAMEGEKNGHHHLPETRGLCLSEEQTVATISKRPRIGAGYRIIDMFTEPYRLVRRCEVTAILVLYGLPRLLTGSILAHEMMHAWLRLKGYPNLKPEVEEGICQVLAHMWLDSEMYSITGSGVASTSSSSASSSSSSPSSSSSSSTSSKKGRRSDFEKKLGDFFKHQIESDTSSAYGDGFREGNDAVSKYGLKRTLDHIRLTGTFP >KGN62796 pep chromosome:ASM407v2:2:18628468:18632173:-1 gene:Csa_2G373400 transcript:KGN62796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNPLVSLVKNMNIVTSFWTLAVPCKNKPHQALTVFKSRVYVNNMGSRHLLSYTVLHLSHSVTLLFWKGKKQSNQNRITIRKKHPKMSRISLPFLTTLLPVYLFYFIYIQFHASSQTVNVDQAILLDLKEQWGNPPSLWLWNASSLPCDWPEIICRDSTVIGISLRNKTITGKVPTVICNLQNLTVLDLSWNYIPGEFPEVLYNCSKLKYLDLSGNYFVGPIPQDVDRLQTLQYMDLSANNFSGDFPAALGQLSDLRTLKIYRTQCNGTLPAEIGNLSNLETLSMAYNTLLVPSPIPEDFRKLKKLKYMWMTKSNLIGQIPESLLELLSLEHLDLSSNNLIGSIPVGLFSLQNLTNLFLYQNRLSGEIPKSIRASNLLNVDLSTNNLSGTIPEDFGKLKKLQVLNLFANQLSGEIPGSLGLLPELKGFRVFNNSLTGGLPQELGLHSNLEALEVSMNKLSGSLPEHLCKNSVLQGVVAFSNNLSGKLPKGLGNCRTLRTVQLSNNNFSGEIPPGLWTTFNLSSIMLDGNSFSGELPDSLSWNLSRLAINNNKFSGQIPQNVSAWRNLIVFEASDNLLSGKFPDGLTSLPHLTTLVLSGNQLSGQLPTTIGSWESLNTLNLSRNEISGHIPAAFGSLPNLLYLDLSGNNFTGEIPPEIGHLRLASLNLSSNQLSGKIPDEYENIAYGRSFLNNPKLCTAIGVLDLPSCYSRQIDSKYQSFKYLSLILALTVTLLVIALLWIIILYKSYCKKDERCHPDTWKLTSFQRLEFTETNILSNLTETNLIGSGGSGKVYCIDINHAGYYVAVKRIWSNNELDKKLEKEFQAEVQILGSIRHSNIVKLLCCVWNENSKLLVYEYMENQSLDRWLHKKKKRLTSAAMNFLEQSVLDWPRRLQIAIGAAQGLSYMHHDCSPPIIHRDVKSSNILLDREFQAKIADFGLAKMLASQGEPHTISAIAGSFGYIAPEYAYTTKVNEKIDVYSFGVVLLELTTGREPNSGDEHTSLAEWAWQQYSEGKTITDSLDEEIKNPCNFEEMSTMFKLGLICTSMLPEIRPSMKEVLRILRQCSPPEACDRRKHAIEFDAIPLLGTPL >KGN61177 pep chromosome:ASM407v2:2:4905756:4908699:1 gene:Csa_2G061560 transcript:KGN61177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLKDLLPAVKSTTVTHYDHSNDPWFKQRFSSSEAEQTSVIKVNPVPPYLKRGGFVPRKVEDFGDGGSFPEIHIAQYPLDMGRDKLSKPGSKILPITVDALGNVAYDAIVKQNENAKKIVYSQHKDLIPKILKDDEMSDEDEELQKEIEETTEETKSALEKIVNVRLSAAQPKNVAKQSSDSKFIKYKPSQQSAAFNSGAKERIIRMVEMPVDPLEPPKFKHKRVPRASGSPPVPVMHSPPRPVTVKDQQDWKIPPCISNWKNPKGYTIPLDKRLAADGRGLQEVQINDNFAKLSEALYVAEQKAREAVAMRSKVQKEMLMKQKEKKELELRALAQKARSERTGAAPPSSVLYPSDRNTVDTSEMKGEFERVREKEKDLPKESREEREERLQREKIREERRRERERERRLEAKDAAMGKKSKITRDRDRDISEKVALGMASTGAGRQGEVMYDQRLFNQDKGMDSGFANDDQYNIYDKGLFTAQPTLSTLYRPKKDTDSDMYGGADEQLDKITKTDRFKPDKSFSGTAERSGPRDRPVEFEREVEEADPFGLDQFLTEVKKGKKAMDKVGSGGTMRAGGGSSMRDGYEGGSGRTRIGFERGH >KGN60564 pep chromosome:ASM407v2:2:417322:418713:-1 gene:Csa_2G000720 transcript:KGN60564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPPSFLSLLLLLNFVITAATISTTNSSTAMLRTYPGKHIVRAKSNPRCESWKFAVEVNAAGSWKSVPGTCIAFVRDYFNSDRYLSDSRTVVNYSLSFANSVKFNRKDKGRNAWVFDVDETLLSNLPYYRVNGYGSEAYNNTAFNEWVNKGLAPPLPMSLRLYKKLKHLGFKIFLLTGRGESQRNVTQRNLLEAGYFGWDKLIFRGAADEGKKAAVYKSEKRGELVKEGYIIQGSLGDQWSDLIGFALPNQSFKLPNPMYYIP >KGN62002 pep chromosome:ASM407v2:2:13467205:13467591:1 gene:Csa_2G285370 transcript:KGN62002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNYHFQTICQCAILLLTIFIAFGTYQIQGRPFKPLTSSHIPSHSSLGDGFRESDVGSKDDFRPTTPGNSPGVGHHSIRRSGTMAAIASDGRAVHITEGLKDDFRPTAPGHSPGIGHVQHHIASKPNA >KGN61499 pep chromosome:ASM407v2:2:8895356:8895667:-1 gene:Csa_2G149430 transcript:KGN61499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVPPEVSRMQQKCCIFLEALRDFCHMQPISTQRIDAYIIHLYTVMEKNKTLGSYKFLDDDSVSVGTRRLSIGPGC >KGN61672 pep chromosome:ASM407v2:2:10796117:10799256:1 gene:Csa_2G223140 transcript:KGN61672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGKNKRISKGKKGGKKKTVDPFAKKDWYDIKAPSVFTVKNVGKTLVTRTQGTKIASEGLKHRVFEVSLADLQEDEEHAYRKIRLRAEDVQGRNVLTNFWGMNFTTDKLRSLVRKWHTLIEAHVDVKTTDNYTLRMFCIGFTKRRPNQVKRTCYAQSSQIRQIRRKMREIMVNQATSCDLKELVRKFIPESIGKDIEKATSSIYPLQNVFIRKVKILKAPKFDLGKLMEVHGDYSEDVGVKVDRPAEEAVEGATEVVGA >KGN60623 pep chromosome:ASM407v2:2:772513:773246:-1 gene:Csa_2G004720 transcript:KGN60623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSRFPGALSQDWEPVVLHKAKPKAQALRDPKAVNQAIRSGAPVQTVKKFDAGLNKKVTAAPVNARKLEEGTEPAALDRVAVEVRQAIQKARLEKKMSQAELAKQINERTQVVQEYENGKAVPNQAVLAKMEKVLGVKLRGRSGK >KGN60713 pep chromosome:ASM407v2:2:1397216:1402485:-1 gene:Csa_2G008050 transcript:KGN60713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTLADSTNGDEESIITPLLAQKSLANSSSQVAIVGANVCPIESLDYEIFDNECFMQDWRSRGDFQIFQYLVMKWLSCFLIGLIMGLVGFFNNLAVENIAGKKFVITSNMMLEGRYGMAFLVFSVSNLVLTLFASVITALICPQAAGSGIPEVKAYLNGVDAPGILSPRTLLVKIVGSISIVSSSMIVGKAGPMVHTGACVASLVGRGGFKIFGSTWRWLYLLKNDRDRRDLVTCGAAAGIAASFRAPVGGVLFAFEEMASWWRSAILWRSFFTTAVVAVVLRSLIDMCLNGLCGLFGKGGLIIFDTYSDFPTYHLEDLPPVLVLAFIGGILGSLYNFFLNKLLRIYNLIHEKGIIYKILLACTVSIFTSFLLFGLPWFASCQPCPSSAREICPTIGRSGNFKKFQCAPGHYNDLASLIFNTNDDAIKNLFSKDTDSEFQLSSMLTFFVTCFSLSILSYGTVAPVGLFVPVIVTGASYGRFIGMIVSPHTNLSHGFFAILGAASFLGGTMRTTVSLCVILLELTNNLLLLPLIMLVLLISKTVADAFNSNIYNLIMKAKGFPYLYGHVEPYMRQLNVVDVLTSPLQLFNGIEKVRNVVNVLSMTSHHGFPVIDEPPFSEYPILYGLVLRTHIIMLLKKKAFLSVPTLGSEREDPCKLFSADDFAKMGSGDVERIEDVQLTDEEMEMFIDLHPFANTSPCTVMETTSLAKALEIFRETGLRHMLVIPKVPGRSPVVGVLTRHDFMPDYILSLHPRLEKSRWKRLRIKFHLKKKFF >KGN61548 pep chromosome:ASM407v2:2:9558136:9562754:1 gene:Csa_2G169720 transcript:KGN61548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRFASGLASKARLARNSTNQIASRSNWSRNYAAKDVKFGVEARGLMLKGVEDLAEAVKVTMGPKGRNVVIEQSYGAPKVTKDGVTVAKSIEFKDKIKNVGASLVKQVANATNDVAGDGTTCATILTRAIFMEGCKSVAAGMNAMDLRRGISMAVDSVVTNLKSRARMISTSEEIAQVGTISANGEREIGELIAKAMEKVGKEGVITISDGKTLYNELEVVEGMKLDRGYISPYFITNQKNQKCELEDPLILIHEKKISSINAVVKVLELALKRQRPLLIISEDVESDALATLILNKLRAGIKVCAIKAPGFGENRKAGLQDLAVLTGGEVITEELGMNLEKVDLEMLGSCKKITISKDDTVILDGSGDKKAIEERCEQIRAGIEASTSDYDKEKLQERLAKLSGGVAVLKIGGASEAEVGEKKDRVTDALNATKAAVEEGIVPGGGVALLYASKELDKLPTANFDQKIGVQIIQNALKTPVHTIASNAGVEGAVVVGKLLEQDNPDLGYDAAKGEYVDMVKAGIIDPLKVIRTALVDAASVSSLMTTTEAIVVELPKDEKEVPAMGGGMGGMDY >KGN60730 pep chromosome:ASM407v2:2:1485458:1487037:1 gene:Csa_2G008700 transcript:KGN60730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRGLAGDKRTTRSSAMNAAAAAITRSKAKKLDQENHLNHQLITLIETTISSAHSFLSLNDLHLLPSQTLALESLLCSTSSSLHALSPRLPKLSLPPPLPPPRQCWFQRFLSATSDVDCDPRWNLSFRMSKSSFSLLLRLLSPIQSSPSSSVPPDCALAAALFRLAHGASYKAVGRRFGIDSADACRSFYAVCKAINEKLGHLLELRSDIDRIVVGFGWISLPNCCGVLGLRRFGFEGELKNGSLLVQALVDAEGRFLDVSAGWPSSMKPATILRQSKLYAEIEKSSELLKGPVYNLDNEKPIPQYLIGDSCFPLLPWLLTPYMELNEEDSSGFCGRAFNSTHGRAMALVNTAFCRLRARWKLLSKPWKEGCRDFFPFIILTGCLLQNFLIKCSEKLDEEQDQEEGASCSSEEQKFPLFDGEIGDGRGKDIRDALALHLSSLNYRR >KGN62420 pep chromosome:ASM407v2:2:16352391:16356007:-1 gene:Csa_2G353480 transcript:KGN62420 gene_biotype:protein_coding transcript_biotype:protein_coding description:Squalene epoxidase MVDHCTFGWIFSAFLAFVIAFSFFLSPRKNRRGRGTNSTPRRDCLSSSATTNGECRSVDGDADVIIVGAGVAGSALAHTLGKDGRRVHVIERDLTEPDRIVGELLQPGGYLKLIELGLQDCVEEIDAQKVYGYALFKDGKSTRLSYPLENFQSDVSGRSFHNGRFIQRMREKAAFLPNVRLEQGTVTSLLEEKGTITGVQYKSKNGEQKTAYAPLTIVCDGCFSNLRRSLCNPMVDVPSCFVGLVLENCQLPYANLGHVVLGDPSPILFYPISSTEIRCLVDVPGQKVPSISNGEMEKYLKTVVAPQVPPQIHDAFIAAIEKGNIRTMPNRSMPAAPQPTPGALLMGDAFNMRHPLTGGGMTVALSDIVVLRNLLKPLKDLNDAPTLCKYLESFYTLRKPVASTINTLAGALYKVFCASSDQARKEMRQACFDYLSLGGIFSNGPVSLLSGLNPRPLSLVLHFFAVAIYGVGRLLLPFPSPKGIWIGARLVYSASGIIFPIIKAEGVRQMFFPATVPAYYRTPPVFNS >KGN62663 pep chromosome:ASM407v2:2:17669793:17673023:1 gene:Csa_2G365700 transcript:KGN62663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDSGHRENGRHKPDQYKSAQGQWMMQHQPSMKQIMAIMAERDAAIQERNLALSEKKAALAERDMAYLQRDAAIAERNNALLERDNAIATLQYRENSINNNLSCPPGCQIARGVKHIHHPQQQHTHHVPHMNENNYNSREMLASNDPCPTSPVASESTKARRNKRPKEGKTVPTPNKKVSKGPRKVKREAEDLNKIMLGKSQEWKDGIGIMSAGDDLNKQLVVSKSDWKGQDLGLNQVAFDESTMPAPICSCTGVIRQCYKWGNGGWQSACCTTTLSMYPLPAVPNKRHARLGGRKMSGSAFNKLLSRLAAEGHDLSAPVDLKNHWAKHGTNRYITIK >KGN60800 pep chromosome:ASM407v2:2:1956668:1956928:1 gene:Csa_2G010360 transcript:KGN60800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRASGSSSSSRFRCSFDVFLSFRGKDTRSNFASHLNMALRQRGINVFIDNKLSRGEEISASLLEAIEESKISIVIISIMHLPVGV >KGN60993 pep chromosome:ASM407v2:2:3278377:3281145:1 gene:Csa_2G033970 transcript:KGN60993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSVITPEDVLESLMNDGTIDSLRLKIINQLKANEELKNTTIKMVEQSKVLNTPGAEKQTKRELFDALRQELEAPVLEKASKSVWELILDNNGLGKEINDTVEKVFCRLSGKEPPLFLPQNGDMPPVKETEHEKGKGKEPEDNYHKEKSQPLRKRSFNDMNGKEESTIADGAGESLAVPEEHSRSP >KGN61153 pep chromosome:ASM407v2:2:4603076:4610475:1 gene:Csa_2G059840 transcript:KGN61153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWWFRLLLNPKLETHVPIFGVRKILSTSAAALSSQFSTPRLGLQGISLLYNLLPIGAFTLHLKMASSIDGAAKAVSDLALGSTIPAPDMVDSAPGSEETISKNARKKELKMKQKEEERRRKEELKEKAKQEAPQNKKSVAVDDEEMDPTQYFENRLKYLASQKADGNNPYPHKFQVSMSLPEYIEKYGSLSNGDHLEDVLVSLAGRIMSKRSSSSKLIFYDLHGSGAKIQVMTDARNSELDEAEFARFHASVKRGDIVGVTGFPGKTKRGELSIFPKSFVVLSHCLHMMPRQKAAPGSDNANLKKNDAWAPGCTRNPETYILKDQETRYRQRYLDLMLNMEVRQIFKTRSKVIQYIRKFLDDLDFLEVETPMMNMIAGGAAARPFVTFHNDLNMKLFMRIAPELYLKELVVGGLDRVYEIGKQFRNEGIDLTHNPEFTTCEFYMAFADYNDLMELTEKMLSGMVKELTGSYKVKYHSNGLDNDPIEIDFTPPFRRIDMIEELEKMANLNIPKDLSSDEANKYLREACQKFEIKCPPPETTARLLDKLVGHFLEEMCVNPAFIINHPEIMSPLAKWHRARPSLTERFELFVNKHEVCNAYTELNDPVVQRQRFAEQLKDRQSGDDEAMALDETFCTALEYGLPPTGGWGMGIDRIAMLLTDSQNIKEVLLFPAMKPQDEPPAPKASTGV >KGN61579 pep chromosome:ASM407v2:2:9791690:9792513:-1 gene:Csa_2G172490 transcript:KGN61579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVAVIGAGIKGLVCSYVLAKAGVEVVLFEREEYLGSHRYRTITFDGFDLDLAIMVFNPVTHPNTMALLEDLEVEMEESNMSFSISIDKGRGYEWGTRNCFASLFAQKNNILDLSFWQMIREITKFNDDVTDCQCVVPFGRTP >KGN60884 pep chromosome:ASM407v2:2:2575534:2577215:-1 gene:Csa_2G021550 transcript:KGN60884 gene_biotype:protein_coding transcript_biotype:protein_coding description:Helix-loop-helix-like protein MALEAVVFSQDPLCYNGSKDLYSLLGGGIWANGGFEYPEIPHDFPENQTENFPFEDWNSSSSVFVPNPSPEAADSRNGLLKPPLEAESITPHPIRPRKRRPKSRKNKEEIENQRMTHIAVERNRRKQMNEYLSVLRSLMPESYVQRGDQASIIGGAINFVKELEQQVQVLSTVETKGKINNSAEGCCNSNSNSNSKIPFTEFFSFPQFKAMEGCSLVSENETQCSSTVADIEVTMVENHANLKIRSKRRPKQILKIVAGLHSLSLSVLHLNISTINQIVLYCLSVKVEDDCKLSSVDEIASALHQLLSRIEEDSLMN >KGN61021 pep chromosome:ASM407v2:2:3447515:3447742:-1 gene:Csa_2G034740 transcript:KGN61021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDDITALIGMIILQICYAGINIISKLAMQSGMNPLVLLTYRQIFGTLAIAPFAFLTERYTPDSLISLLWCHFL >KGN62070 pep chromosome:ASM407v2:2:13985170:13987813:1 gene:Csa_2G295950 transcript:KGN62070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANWWRSARTNLRLAAFSGTKSESFPFSSFRAAYHTIQAIPRECSGRKISSKDRAQGRIPAVVFSQQILEKNSVHGSPSRKHLLTTERKQIHSILKSVELPFFCSTRFKLQIRAGSGSSVLLESGSILPIKIHRNEETGKIFNIVFVWADEGSELKVDVPIVFKGEEACPGLQKGGQLNKIRTSLKYLCPAEHIPSKIEVDVSNLDIGDRIFIRDVDVHSSMKLLSKNEVMPICKIVTIKSETANTETSATSETSETS >KGN62755 pep chromosome:ASM407v2:2:18308684:18323401:-1 gene:Csa_2G370540 transcript:KGN62755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKGLDVFDFTEEDELPELISEKHLTKFKNPNLESNAVFKYEFLECGKEIENTDMDVDLDECKLGCDNGISRDPLGTTEEQQVMEEEKYRLDANTESKVNCHSQDMLMLLDNHVTQSPCSELGKIGSSSQSPALGLNCTLPEFTAERQHDDGLSDRNGSMKGRSPMSPSSETLEESVSLNEKSSDNCSSDNEKDDLNKEVVLYPDYIVCGDFYCASPSLTFSHSGIKINGFADYGSNEFLNLEWRVDDLIHIESQCFQRVEYVMIKLHVILKDAGECDNACDTSGIKEVKIVLVDSFWPEKQQKIKSLDSRYMAIWNISLDVGIGTDDDDFGGQRHYFPNFDEPFEEVVYPKGDPDAVSISKRDVDLLQPETFVNDTIIDFYIQYLKSQIDPKEKHRFHFFNSFFFRKLADLDKDPSSASDGRAAFLRVRKWTRKVNLFDKDYIFIPINFNLHWSLMVICHPGEVARCSDEDLKSIKVPCILHMDSIKGSHGGLKNLIQSYLLEEWKERNKETPEDISTKFKNLRFLPLELPQQENSFDCGLFLLHYLELFLAEAPLDFSPFKISKLSKFLNVDWFPPAEAYLKRTLIQRLIFEILENRSREMSAAACSDELLSKFPSNNEDEAGVEFLPENGSPGVACNNNLSSSQAADGIEITLLSESSNRHNHFMEGSGLVVRELFEPGASNGSLLGNYQSFAQTSSYFDSNGTVLEEDADAEAGDRFMYISEQDGLQPIDAMTSQACPFPCSSRSLESDPAFDLCMSIQPEHGGGIAASPPDDLEDVGIIEQRCDVREASPGNNEERNRKRSLSIEKENLEPVAECPTFAQDVDPILVSQDTNEENITSDDPMYKETPVASLHLDKDITTETDVEHDDAMVVASVTQVDLDEQPPAKKPRHSPDHEEASDNDVREGSSDSRLRVFFTFTVISKKPTMELHYYTHRNQSHRAALAAVFLLLFPLFLPNLFHPLGRASPSLFSEWISPKPRHTILLDSALHRITTFEDQKEIWSPLSNQGWKPCLKPTRMELSQRKSQGYIQVFLDGGLNQQRMGICDAVAVARILNATLIIPHLEINAVWKDSSSFGEIFDVDHFIDVLRDDISIVKELPTEYSWSTREYYATGIRSTRIKTAPTHASANWYLENVLPIMQSYGIAAIAPFSHRLSFNDLPTEIQHLRCKVNFMALAFVRGITELGDTIINRLRYSSNQKETERVDSLLEDEKIQLKGGKFVVLHLRFDKDMAAHSACEFGGGKAERLALAKYRQVIWQGRVPNSQFTDEELRYQGRCPLTPEEIGLLLAALGFSNTTRVYLAIHEVYGGEARISTLRKVFPLLEDKKSLTSPMERAGVAGKASLSAAVDYYVSLHSDVFISASPGNMHNALLGHRAYLNMKTIRPNMVLLGPLFLNKSMEWSEFKKAVLTGHRNRQGQIRLRKETQSIYTYPAPDCMCPNV >KGN61123 pep chromosome:ASM407v2:2:4289270:4289540:1 gene:Csa_2G057580 transcript:KGN61123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPNLTLRAILHARATFCRLAWSAWHAFGLAANLARFYFPRLGCDLILVAHNKAFRGSHVTLLA >KGN61778 pep chromosome:ASM407v2:2:11806248:11820272:-1 gene:Csa_2G245420 transcript:KGN61778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRSIAYLEFLKNNSYLSKVVSTVKWLEDELELNALQNPVSRQGTANEKVVLAQRAALATALGGRVDISAMSTISGVKATYLLAVSFLEITRFSSNGGILNGSTSVDASRSAFSCVFEYLKTPNLVPAVLQCLTALVHKAYETAVSWLEQRISEIGNEATVRNSILNAHTCFLIKSLCQREDHIREVAVTLLTQFRDKFPQVMWNSSCLDSLLFSMHNHSPTTIVNDPAWVVTIRSLYQKVVREWIIRSLSHAPCTSQGLLQEMLCKANTWQRAQHTPDVASLLSEIRIGTGKNDCWTGIQTANIPAVMSAAAAASGGNLNLTKAFNLEVLSTGIGSATVKCNYVGEIAGMKRLYNTFGGYQSAGPAPTGSGIGIGLQRLITGAFPQEPQGEDESFNAMLLLKFVQSLQKFVNNAETGGEIDKSQFHETCSQATAFLLANLGTDSKFNIEGLSQLLRLLCWCPAYISTSNAMETGVFVWTWLVSAAPQLGCVVLAELVDAWLWTIDTKRGLFSSREAFSGPTAKLRPHLYPGDPEMPPEIDPVEQIIAHRLWLGFFFDRFEVVRYQSVEQLLLFGRLLQGSMQLPWKFSHHPAATGSFFTLMFLGLKFCSCQSQVNLQNFKAGLQLLEDRVYRASLGWFAFEPEWHDINSTNFAQSEAQSVALFVQYLSNYLKDGSQTDVKGQVRENGSVVDQNFCHPIWGQMENYTVGRERRRQLLLMLCQHEADRLEVWAQPVNTKETTSSRPKISSEKWVEHARTAYSVDPRIAFSLVSRFPANITLRAEVSQQVQSHILDIRCIPEALPYFVSPKAVDENSVLLQQLPHWAACSITQAIEFLAPAYKGHPRVMAYVLRVLESYPPERVTFFMPQLVQALRYDDGKLVEGYLLRAAQRSDIFSHILIWHLQGETCEPETGKEATSTKTNSFQALLPVVRQHIIDGFSGKALDVFQREFDFFDKVTSISGVLFPLPKDERRAGIRRELEKIEMNGDDLYLPTAPSKLVKGIQVDSGIPLQSAAKVPIMITFNVADRDGDPNDVKPQACIFKVGDDCRQDVLALQVISLLRDVFEAVGLNLYLFPYGVLPTGPERGIIEVVPNVRSRSQMGETTDGGLYEIFQQDYGPVGSPSFEAARENFIISSAGYAVASLLLQPKDRHNGNLLFDNLGRLIHIDFGFILETSPGGNMRFESAHFKLSHEMTQLLDPSGVMKSETWNLFLSLCVKGYLAARRYMDGIITTVALMLDSGLPCFSRGDPIGNLRKRFHPEMSEREAANFMIRVCVDAYNKWTTAGYDLIQYLQQGIEK >KGN62659 pep chromosome:ASM407v2:2:17644534:17652581:-1 gene:Csa_2G365660 transcript:KGN62659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGDNKKSGFRKRTKPKDGGFDSDVDEPIGSLLKLKRSRNSKKTKLNVDDGGGRDKMVDKKGAKLPVQEDFGGMDDTLASFRKKLKRPKKVSGTGIAREQSSALSVTESLNPLFNTSKGHGDLDTRLRPENGELMDREDSDSSAKIDAEMRCEAPDLESKDMVMGISSRRSANCSLDKQLDDSLSAFVQKVQSGSTRKSVVSTTFRPDCKDEASEDKLSPFCRAVSEDHEAHSIVSINSSSKLAQVVKKPDSELTTSYLISCSHCTKENCNPGRGQCHQGIKHDQEEDPCSLNGHENPDMRPCISNEVADKDSKNFSQFRDNFRVLERKASCEIKNVLKHCSCGNTITNSHLAEMGSFQDGLGENQINENMCSSCRPLEKINENHDLCVGVSSRDFCDAVAQETTVNLSKTIPGVDCEGKERLLVKYHDELSKSTNFCESSSNPQLSAGFDSTKVDKTDSDSDNLNTGNDEPNDKGRSMQKENATISNRIDSTAVQSLTLQKLGPKYPDFCPGGNFSMISDSQPAKVPLEMDGPNNILTGKEVKVSSLGSFTPDDNDLEDVISAPESEKDLKLSALQRVARKTKKPRHEDMAYEGDIDWEVLISERAVDGDHSFRSRKDSTSTTFTEAETGGRAAVSAGLKAHAVGLLEKIKFKDVLKRKGGLQEYIACRNQILGLWCKDVTRILRLVDCGVTDTPSMDEPPRFSLVREIYAFLNLRGYINAGIASEKAKSESDIKYDYELGEKKVGDVSVASAADSEEGVSVMVKNSDASNAENDVSAGCEVILKDAEGRDPVIANNLDLPKPVELEQELVHDLEYCIPDPTQVKFVGDVPGKAASHLTNQSRNSWGPISSDECVGDDQQQQSNSEIKKKVIVIGAGPAGLTAAKHLLRQGFTVTVLEARNRLGGRVHTDRSSLSVPVDLGASIITGVEADVATERRPDPSSLICTQLGLELTVLNSDCPLYDIITCKKVPLDMDEALEAEYNSLLDDMVLLVAQRGEHAMAMSLEEGLEYALKRRRMARGMDVCSEEEVLSPFERRVMNWHFANLEYGCAAMLKKVSLPNWNQDDLYGGFGGAHCMIKGGYSTVVESLGGGLDVRLNHVVADISYSTSDIGFNGNQCAKVKVSTTNGCEFLGDAVLITVPLGCLKAETIKFSPPLPEWKRLSIQRLGFGVLNKIVIEFPEVFWDDSVDYFGATAEETKWRGQCFMFWNVRKTVGAPVLIALVVGQAAVERQYMSSSDNVSHALMVLRKLFGEAVVPDPVASVVTDWGRDPFSYGAYSYVAVGASGEDYDILAKPVGKCLFFAGEATCKEHPDTVGGAMMSGLREAVRMIDILSDGYDFTAEVEAMEAAQRQSECENDEVGDIITRLEAVKLSDALYKSSLDGARILTIEALLQDLFFSSKTTAGRLHVAKELLNLPAETLKSFAGTKEGLTVLNSWILDSMGKDGTQLLRQCVRILVVVSTDLLAVRLSGIGKTVKEKVCVHTSRDIRAIASQLVSIWLEVFRKEKAANGGLKLSKSVSAVELLKRKSNKDSSSGKPPLHANNSTLDSRGNLLTAASAAMPLQSDVNMKNDNSKQLKFELENSSKSDISSSRSRGSFGKQDAEMEDNIAMTEEEEAAFAAAEAARAAALAAAKAYASAEAKSAMQLPKIPSFHKFARREHYAQMDECEYKKKLSGSVLGRQDCISEIDSRNCRVRNWSVEFSAACVNLESSRMSADNLSQRSHSNEIVSQLNFREHSGESAPVDSSIYTKAWVDTAGSVGMKDYHAIERWRTQAAAAHVNDEEDSNTNWHKPMWNNDQVANESSISQVTINKEPMRNHHRGADRIKQAVVDYVASLLMPLYKARKIDKDGYKSIMKKSATKVMEQATDAEKGMTVSEFLDFKRRNKIRAFVDKLIERHMATKPVTKS >KGN63148 pep chromosome:ASM407v2:2:20899223:20904984:-1 gene:Csa_2G404990 transcript:KGN63148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAVNITNVAVLDNPSPFSTPLQFEISYECLSALKYDLEWKLIYVGSAEVETYDQLLECVLVGPVNVGNYRFVLQADPPDPSKIPEEDIIGVTVLLLTCSYMGQEFIRVGYYVNNDYDDEQLREEPPAKVLIDRVQRNILSDKPRVTKFPINFYPETNDSGEEPPSNDPVSAETDGDEQLPASPEHPSNDQNP >KGN63288 pep chromosome:ASM407v2:2:22039401:22039817:1 gene:Csa_2G423680 transcript:KGN63288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLKIREDEVKCLLSKLHGNHCKLEDDGFRVVEMGPLLKDLTLNIVMRMVSGKVFYEEDSNKFREIVTKIMEHGGASSPEDFIPLWNWIDPTGFMKKVKKLGKTSDEFLQLLIDGIRNQNDGGNTMIHHLLTLQDVET >KGN63280 pep chromosome:ASM407v2:2:21982626:21987212:1 gene:Csa_2G423600 transcript:KGN63280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIQREMELRRAGYVVVFVTLLCALAIASSEGRRKTVEMVLTNADYYTSSNLDKDMGEKACMKELAEKEYAHEHEESLVPHIKTEDMGEKTIRILPPDMKQDVLDCLRKKTMLSRSSESSSILFDRFSKPIELSLNGGSNIHMKRLIRSSQDSSIRHLAEASSPPAPPSPSPGAESPVNSPLPSPSHAPMPSPSHAPTKSPNHAPTKSPNHAPTKSPNHAPTKSPNHAPTKSPNHAPTKSPNHAPTKSPNHAPTKSPNHAPTKSPNHAPTKSPNHAPTKSPNHAPAKSPSRAPAKSPSRAPAKSPSRAPAKSPSRAPAKSPSRAPAKSPSRAPAKSPSRAPAKSPSRAPAKSPSRAPAKSPSRAPAKSPSRAPAKSPSRAPAKSPSRAPAKSPSRAPAKSPSRAPAKSPSRAPAKSPSRAPAKSPSRAPAKSSSRNLRPPVEAPPRSREPPPDDTDVPDLPTPSVVRSPPPPRASSKSRPPKKHEEDQTVIIAGIIAAGLGVVLVVALLLFCCRKGEESKIDPKDGQKDERPLLNISLSELSAGSSQKSYNLGNSGTNADNGTKPSSFVGNLSVNPENGTSMAEAQTTDGKSSAMPHIKPPPGRLDSQPPPSAPAPVVAPPPPPPPAPRAPPPPPLKVGRPPPAPPGAIPGKSQPVPIGPHRRGPSGSSMDADSGSQKTKLKPFFWDKVLANPGQSMVWHEISAGSFQFNEEMMESLFGYTAVETNKGDRKKDSVSDPSLQYIQIIDAKKAQNLSILLRALNVTTTEVLDALEEGNPDLPAELLQTLLKMAPTTEEELKLRLFSGELSQLGPAERFLKVLVDVPFAFKRLECLLFMLSMSEDVTNIKESFATLEVASNNLRNSRLFLKLLEAVLKTGNRMNDGTYRGGAQAFKLDTLLKLADVKGTDGKTTLLHFVVQEIIRSEGIRAARSDRQSRSSSSIVSNDTIFEDFADDSTEHYRQLGLQVVSGLTKELENVKKAAAVDADGLTTTISKLGQSLIKTKAFIDAEMKSLDEDSKFHQSMSKFLEGAEADIAWIAVEEKKIMALVKSTVDYFHGNSGKEEGLRLFTIVRDFLIVLDKTCKQVKEAAEAAAKQAKHTKKETATPTAACQQNSDLRQRLFPAIVERRIGDDESSSSEDDDGESSSSSSSSS >KGN61110 pep chromosome:ASM407v2:2:4151668:4151906:-1 gene:Csa_2G049990 transcript:KGN61110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVTRGSRMDTAGLHVRHGQKLRVGLRLVGQSGWEWYGRTNKDAQWAMAGFKRGEKKVHWEL >KGN61728 pep chromosome:ASM407v2:2:11417432:11421058:1 gene:Csa_2G234550 transcript:KGN61728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDETYLNNCFAHTGEVSSVKVICNKQTGQSEGYGFVEFFSHTTAEKVLQNYNGTIMPNTELPFRLNWATFSANDRRPDTGSDLSIFVGDLAADVTDAILQETFSSRYTSVKGAKVVIDSNSGRSKGYGFVRFGDENERTRAMTEMNGIYCSSRPMRIGVATPKKASGYQQGYASQALVLAGGHPNGMAVQGSQSDSESNNTTVSLSLPVSC >KGN62985 pep chromosome:ASM407v2:2:19786832:19787750:-1 gene:Csa_2G382580 transcript:KGN62985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSTAPPPKTASYNQEQNDDELEDNHHHLRREIPAEELNLIEGLKMGSSEPRVFSCNFCQRKFYSSQALGGHQNAHKRERTLAKRGGQRFPAFGAYHHYAAAVAPSFPLPGSPNNNNNNNRSLGIQVHAMVHKPSHHPPASSNSRFGVHYGRSYGGGWSRTPIHQQPGVGKLPLMNAGHPINHTTGFPPRGCSSVGRFDFDVVRSTTAAADDTHKVLDLSLKL >KGN60747 pep chromosome:ASM407v2:2:1597197:1597499:1 gene:Csa_2G009355 transcript:KGN60747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFIISFIEIKRITKCIVMEISGSRVTTSMATESGNETRKSIFNIMTLTRSGLILCRRTSHERSRRRFTVGRNRHHPPVVMMMVVMKMMMAIPVLTKATR >KGN61788 pep chromosome:ASM407v2:2:11882297:11892068:1 gene:Csa_2G245520 transcript:KGN61788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPLVKKDDDYDDEEEYSPFLGIEKGTVLQEARVFNDPQLDTRKCSQVITKLLYLLNQGETFTKVEATEVFFGVTKLFQSRDIELRRMVYLMIKELSPSSDDVIIVTSSLMKDMNSKNDMYRANAIRVLCRITDGTLLTQIERYLKQAIVDKNPVVASAALVSGIHLLQTNPEIVKRWSNEVQEAVQSRAPLVQFHALGLLHQIRQNDRLAINKLVSSLTRGTARSPLAQCLLVRYASQVASESAANSQTGDRPLYDFLDSCLRHKSEMVILEAARAIAELNGVTSREVTPAITVLQLFLNSPKPVLRFAAIRTLNKVAMTHAMAVTNCNIDMESLISDQNRSIATLAITTLLKTGNESSVDRLMKQITNFMSDIADEFKIVVVDAIRSLCLKFPLKYRSLMNFLCNILREEGGFEYKKVIVDSIVILIRDIPEAKENGLLHLCEFIEDCEFTYLSTQILHFLGIEGPKASDPSKYIRYIYNRVHLENATVRACAVSTLAKFAVMVDSLKPRIYVLLRRCLFDSDDEVRDRATLYLKTLGADGSVLENEKDVSEILFGSLDLPLGNLETSLKNYEPSEEPFDLNSVPKEVRSQPLAEKKGSGKKSNGLGAPPITLASSVDAYEKMLRSIEEFAGFGKLFKSSASLELTEAETEYAVNVVKHIFDRHVVFQYNCTNTIPEQLLENVNVIVDASEAEEFSEVVSKPLRSLPYNSPGQTFVAFEKPDGVAAVGKFSNMLKFIVKEIDPSTGEAEDDGVEDEYQLEDVEVVAADYMLKVAVSNFRNAWESMGEDGERVDEYGLGPRGSLAEAVRAVIDLLGMQPCEGTEVVPANLRSHTCLLSGIFIGNVKVLARLSFGLDASREVAMKLVVRSDDEAVSDLIHEIISSG >KGN62138 pep chromosome:ASM407v2:2:14515312:14515662:-1 gene:Csa_2G302050 transcript:KGN62138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEFRKRPSHLIMISLLLLLLFLFFIIYYCNASTASSPSSLSSSYFSKNYNNNNPTTKPHFYGFFPKRTIPIPSSTPSRKHNDIGFQTWPSSP >KGN61236 pep chromosome:ASM407v2:2:5650474:5656682:1 gene:Csa_2G072990 transcript:KGN61236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGGNHWGGPLEVIDNNGGGGEDHDRRTAAEDWDRAALQSQTFNQNELDETQRSWLLGPPDGKKKKKYVDLGCVMVSRKALKWSLISILIAFCVIGLPIIVAKTWPRHHPPPLPPDRYSEALRKALLFFNAQKSGRLGKSNNITWRGNSGLNDGNETLAKGGLVGGYYDSGENSKYNFPMAYSMTMLSWSLIEYSHKFKSINEYDHLRDLIKWGTDYLLLTFNSSSTKITQIYSQVGGSQNGSQIPDDSTCWQKPEQMDYNRPTQTTFQGPDLAGEMSAALSAASIVFRDDPLYSAKLIKGAETLFAFARDSGRRSRYSRDNAFIAAAYNSSGYYDEYMWGAAWLYYATGNASYLYLATLPGIPKNARAFNVTAVSSVPSWNNKLPAAMVLLTRVRMMLNPGYPYEEMLSMYQTVTGLNMCSYLKQFRVYNWTQGGMMIMNKGQQQGQNLQYIANAAFLANLFADYLNSTGVPGFNCGPNYIPSTFIRNFATSQIDYILGNNPLNMSYVVGYGTKFPRRVHHRGASIPSDNKYYSCEGGFKWRDNPGPNPHNITGAMVGGPDQFDKFRDVRTNPNYTEPTLAGNAGLVAALVSLTTTAGFGIDKNTIFSGIPPLGPKTPPPPPPWKP >KGN62573 pep chromosome:ASM407v2:2:17176285:17176832:-1 gene:Csa_2G361390 transcript:KGN62573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGVGLFLLFLSLLSFFSHSSVHARELKQSAKSAYLFTSPPPPSAFLYLSPPPPARRFLRQSSPPPPSAFLFLSPPPPSAFLYTSPPPPASVVE >KGN62844 pep chromosome:ASM407v2:2:18967756:18968402:1 gene:Csa_2G376820 transcript:KGN62844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISRFIGDFLQTLGGRSRAAVESTIDAGESKPNRMVYDDVLLRALITVFGMRENGRIKTEKAKGVVEKLGLIEEKEKFELAAGEGGDEVAVEEMIGEEEGKRNELLYEAFKIFDVDGDGFIDTIELKRVIDCLGLDKGWGIREIEKMVSVVDVNLDGKVDFSEFELMMGVKCL >KGN61369 pep chromosome:ASM407v2:2:7454350:7454622:-1 gene:Csa_2G100020 transcript:KGN61369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEFFEEMLGLKINRNKCTIYDINSDQVKLQRWAEAFDCEVDSFPSCYLGLLLRGNSRAVSFWNPICEKIKKRLAMWKKGFLSKPSKLTF >KGN62757 pep chromosome:ASM407v2:2:18341095:18342167:1 gene:Csa_2G370560 transcript:KGN62757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPFQTHYGFQSSWSLSYHNADNLKPSMSTAGCSIVDNAADASKDVKKSSEASRSHKEAERRRRQRINSHLSTLRTLLPNTTKTDKASLLAEVVSHVKELRRRATEVARRSTEQSGGGGMVSWPFPSEEDEATLCYCDNENKVMRATVCCDERSSLNRDMMQAIRSVEVRVVRAETMTLGGRTKNVVVMEWSGGGRQRDEEFMGLRRALKAVVENRAQSVLGNKRARACCPIEDTFLMC >KGN60526 pep chromosome:ASM407v2:2:190119:193341:-1 gene:Csa_2G000350 transcript:KGN60526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSVAIAVNGVRGGKGGGGSRRAVRWAVENLLPTADRFILVHVMPKITSIPTPMGDLVAVSELDADVVALYVHDVKQKYEQVFVPFKKLCKREKFLILVETLILEDDNPATALLRYASESGIKSLVLGSCFRTCIARKLKGDSVPSAIMRTASSSFDIYVKYKRRVITRKASTAPSTETDSRQWMLGDTDYYKGSSADSEKSLGTDMSSSYLSIVHQRDDSIGVDSTEQLRTLTEEEDMQSEVESLQLELETTVSLYKQACEELVRTQKKVQSLTQEYLEESRKVTDAVEREQALRKVAAKEKAKHLEAIKELEEAKDLLAKEAYERQLAELDALKESVEKQKIIDTLLTNDRRYRRYTTAEIEAATNFFNEVNVIGEGGYGKVYKSSLDHTPVAIKVFQHDIFEKKDEFLKEVEILSQIRHPHVVLLLGACPERGCLIYEYMENGSLDDHILLRNGKAPLPWSTRFRIVFQVASGLAFLHNSKPEPIIHRDLKPGNILLDRNFVSKISDVGMAKIIGDIVPDNVTAYQNTVLAGTLHYMDPEYQRTGTLRPKSDTYALGVTILQLLTGRQPHGLLLAIENSIASASLADILDKSISNWPLAKAEELARLALKCLKLRCRDRPDLESEVLPILKRLVDFADTFQNEDNGFGNPPSHYFCPILQEVMEDPYIAADGFSYEYVAIKAWLEKHDVSPATKLKLRHSFFIPNYTLRSAIREWRSRVTFSSS >KGN61744 pep chromosome:ASM407v2:2:11556864:11560098:-1 gene:Csa_2G237140 transcript:KGN61744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNLNLLLLFFFFFFLFLSPTLTKSLSDPPPSTDVGGGGGKHFVLVHGACLGAWSWYKLSTLLRSAGHRVTALDMAGAGIDPREAERLKSFNEYVEPLRNLMGEVGEEEKVILVGHSQGGLCISKAMEEFPEKISVAVFVVAAMPGPALNASFLIGQLRKWLDFGPDSHYTYGNGPRSPPTTLTFGPLFLAAKVFNKSPLEDLTLGRTLVRPTHLFGGEQWNKDLVLTKERYGSVKRVFVVSDNDKVIKKSFQKWVIRRNPPDGVVEVKGSDHMVMMSKPLHLFNILSHIARQHS >KGN62908 pep chromosome:ASM407v2:2:19369892:19372243:-1 gene:Csa_2G379880 transcript:KGN62908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDENERRVPKALIVGGSIAGISCAHALLKAGWKVQVLEKSTTPPTGSSTGAGLGLDLLSQSLVQSWLSDPHLLLHSTLPLTVDQNQATVDRETKERWILSRDENFNFRAALWADLHGLLYNDLPPEIFLWGHHFLSLSKSEDKSCVKIRARVLQSGEIVEIVGDLLVGADGCLSSVLQTFLPNFQLRYSGYCAWRGVLDCSKNENSETIVGIRKAYPELGKCLYFDLGSATHIGLYELPKKKLNWIWYVNQPEPQLKGNSMTMRVQDEMVRKMHEQAEKVWVPEFVKVVKETKEPFINVIYDRNSMEQLVWDNVVLVGDAAHPTTPHGLRSTNMSILDAAVLGKCLEKWGPENLQSALAEYQSVRLPVSSKQVLHSRYLGRLKQGLALPDREPFDPRVATPENCLELQQKNMPFFNDVPQLIDTTRKSIEKNV >KGN62141 pep chromosome:ASM407v2:2:14524520:14525354:1 gene:Csa_2G302080 transcript:KGN62141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVEGRTFRERMTNYMATLDINSQIGSCMPLGLMRIGTIIHNIEINPGQGGKLVRSAGTSARILKLPEGKSRCCLVKLPSREEKLIDAKCRATIGQVSNPGHGTKKLRKAGQSRWLGRRPKVRGVAMNPVDHPHGGGEGKSKSSGSHGRGSRTPWGKPTKCGYKTRSAKRKRPV >KGN62209 pep chromosome:ASM407v2:2:15077922:15079134:1 gene:Csa_2G336070 transcript:KGN62209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKLSMKLISNEKSRKTTFHKRKASLLRKAYELSTLCDVRVCVVVHGPNQSNDQSPLQLHTWPPSADEVNNMIASYKTNCLYKRVRKSFGLIDFFSERKKKVETDMSKLRKDVSEERFPSWDERLDHLLEDQLRVLMVELDSKIEIAKKRIEIATENNYNVEEGTSVESSGQTLNANMKCKQVMGFDHEEESTDYGMFGIRSSMEQTQGIMPFHHHHHHHHQQQQLQTMAQSCLQMDHEIENLSPFLFGSNGSAPQFQLSYGSNTNNCFQNYPHSFYNDPTNGMIMENTQSYSSMCHYGVPFGAQSVVPISYMQMQQLTAGAEDQMMMGYASTSQMALPNTASSQVINDPFDFYNSYEYLMKPNNF >KGN62245 pep chromosome:ASM407v2:2:15370070:15371873:1 gene:Csa_2G338890 transcript:KGN62245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQRLTYRKRHSYATKSNQHRVVKTPGGKLVYQTTKKRASGPKCPVTGKRIQGIPHLRPAEYKRSRLARNRRTVNRAYGGVLSGSAVRERIIRAFLVEEQKIVKKVLKIQKAKEKLASKS >KGN61044 pep chromosome:ASM407v2:2:3588623:3591938:-1 gene:Csa_2G035440 transcript:KGN61044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYDDSYISMDIMEQTPQESPTSLWKQISTIRQTALEAYRRKPISHWILLALSSVAMLVAFPASSILSRVYYDNGGKSKWIISWVSVVGWPIPALLLFPMYFLSEIRPTPLNWKLIISYIVLGFLSAADNLMYAYAYAYLPASTASLLASSSLVFSVLFGYLLAKNQVNASILNAVFIITAAVVMIGLDSNSDRYGGITDRQYILGFVWDILGSALHGLIFALSELVFIKLLDRKSFHVVLEQQVMVSFFGFLFTTLGVLLNNDFQNMKSEAASFVGGTSSYLLVLIWSAISFQLGVLGGTAVLFLSSTILAGVLNAVRVPITSIGAVIFLKDPMSGFKILSLVITFWGFSSYIYGSSSTSKSS >KGN62750 pep chromosome:ASM407v2:2:18275165:18276393:-1 gene:Csa_2G370490 transcript:KGN62750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVAFPQLSWWLWSGKHKEPRSSNGGSALNASLDTANRETDTLKFPLVNGANLSSSSRRVKRKWHSREERKIDREYDIVLVPSDGGCVSGSESDDSDWSIGWSEPHGPGFQSDDESDNSFAVLVPCYGRIYNDFVDETKNSILSAVGNINDSFSAADYVCTSPWNREQEIYGTVAVLSSELLML >KGN61164 pep chromosome:ASM407v2:2:4736331:4742790:1 gene:Csa_2G060450 transcript:KGN61164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEEATEKMNKKVIKENKKMERSHFDVLGICCSSEIPLIENILKPLQGIKQITVIVPTRTLIVVHDSLLISQLQIVKALNEARLEANIQLKGKGIMKKKWPSPYAIASGLLLTASFLKYVYHPLRWLAVAAVAAGIFPILLKAISAIRHLRVDVNILAIIAVVGTIAMDDYMEAGSIVFLFSIAEWLESRASHKANGAMCSLMRLAPQKATIAESGEVVDVRDVKLKSVLGVKAGEVIPIDGIVVEGNCEVDEKTLSGETFPVTKQKDSLVWAGTINLNGYISVQTTVVAEDCVVAKMAELVEEAQNNKSKTQTFIDECAKYYTPAVVIISACLAAIPAALRVHNLRHWLHLALVVLVSACPCALILSTPVAAFCALTKAAMAGVLIKGGNHLEVLAKIKVMAFDKTGTITRGEFVVTHFQALRDDINFHTLLQWVSSIESKSSHPMATALVNYGKLHSIDLKPENVEEFENFLGEGVRGKIDGNDIYIGSKKIAARAGYDIPVSSKLNNFDDETRQEQTLGYVFCGGMMIGSFGLLDSCRSGVKEAIEEIKSFGIKTAMLTGDCRAAAMHVQEQLGNTLDVIHSELLPKEKANIIKEFKNNDGAIAMVGDGLNDTPALATADIGMSMGISGSALATETGNVILMSNDMRKIPKAIKLAKTFHTKVVQNVILSIGTKTAILGLAFAGHPLIWAAVLADVGTCLLDHKMQLSQDHNKETCGVLNQEKNNHECGEHECEETNVHHKKEDKFHHNYSNQCEKTPLEREIRGNSSKRVGKSDCNCHSHHVTIDIHESNECERVDHN >KGN60995 pep chromosome:ASM407v2:2:3284689:3292175:-1 gene:Csa_2G033990 transcript:KGN60995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKALNSSCFRSRLHNLAPSFRPATESESPLLRVLGSLRGLGGRSTRLTCRAFFCSDANDVSAREAEIEAKVKEEDVEVKSSSAIVSTNPRPEDYLTVLALPLPHRPLFPGFYMPIYVKDPKLLAALQESRRRQAPYAGAFLLKDEPGTDSSTVSGSETEKNTSDLTGKELYDRLHEVGTLAQISSIQGDQVVLIGHRRLRITEMVSEDPLTVKVDHLKDKPYNKDDNVIKATSFEVISTLRDVLKTSSLWRDHVQTYTQHIGDFNFPRLADFGAAISGANKVQCQEVLEELDVYKRLKITLELLKKEMEINKIQESIAKAIEEKISGEQRRYLLNEQLKAIKKELGLETDDKTALSAKFRERLEPVKDKCPQHVAQVIEEELAKLQLLEASSSEFNVTRNYLDWLTVLPWGVYSDENFDVLGAQKILDEDHYGLTDVKERILEFIAVGKLRGSSQGKIICLSGPPGVGKTSIGRSIARALNRKFFRFSVGGLVDVAEIKGHRRTYIGAMPGKMVQCLKSVGTANPLVLIDEIDKLGRGHAGDPASALLELLDPEQNANFLDHYLDVPIDLSKVLFVCTANVIEMIPNPLLDRMEVIAIAGYITDEKMHIARDYLEKATREACGIKPEQVEVTDAALLGLIENYCREAGVRNLQKHIEKIYRKIALHLVRNGASNEAELAEIVESNEEKADIVDESSKSSSGSESQVDGELIDESSQDQKIESSAEAEKISSDLLADDSLPNQPVDAKDDESDVTNKVEKVIVDSTNLADYVGKPVFHAERIYNQIPVGVVMGLAWTAMGGSTLYIETTQVEQGEGKGALHITGQLGDVMKESAQIAHTLARAILLEKEPDNPFFANTKLHLHVPAGATPKDGPSAGCTMMTSLLSLAMKKPVKKDLAMTGEVTLTGKILPIGGVKEKTIAARRSEVKTIIFPSANRRDFDELASNVKEGLDVHFVDEYSQIFNLAFEDKSE >KGN62149 pep chromosome:ASM407v2:2:14559883:14562090:-1 gene:Csa_2G302160 transcript:KGN62149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSHSTSRFSFVLRSCAQSYAIAQAKQAHAQILIHGFLPHLTLLTDLLLVYCKCGFLHDARNVFDKMAHRNMHSWNILIASYVHNSFYFDALNVFNEFRHLGFLPDHYTLPQMFKASVGIGDAYLGKRLHCWTIKLGFVGYVVVGSTVLDFYAKCGIVGDARKVFDDMIFKDTVSWNSMISGYGRAGVYMDALDCFKRMLLEGANMDFMTIPSVLNACGGEGDLRKGKEIHCLVLKSPVFAADVAVGNSLIDMYSKCGSLLNSEKVFWNMSRLNIVTWTTMISCYGAHGKGEKSLVLFNKMKDCGIQPNSVTLTAILASCSHAGYINEGWRIFQSIISDNKVEPTVEHYACAVDLLSRFGFLKEAFLLIRNMKVKAAASIWGALLSGCMIHRNLEFGEIAANQLFKLEPTNPSNFIALISIYESLGMTHGVSLTREKMRDLGLTKVPGCSCIIIDGIVHKFYGGGNSHPLALRISEPLNSVRQAASYFRSNFIWERPFFWDLVDFSASKGCVTKRTMTNVAFGVA >KGN61839 pep chromosome:ASM407v2:2:12292432:12293307:1 gene:Csa_2G250420 transcript:KGN61839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDASQLNYDFRNPFVTCGATYVPIYRGQKDVSCPYCSSRIDDQTLGIISSLNDLTYHVENRSFVEYSRLLVEAIHVRYDEIKYRRVLYELIVYRDDMTSFKLMLAGSFLQSSLSRSVRRSRFNRTVSFVLKRSVCYEDSGSSLVAHIVDAKAWLSNMRFEV >KGN63176 pep chromosome:ASM407v2:2:21040265:21042403:-1 gene:Csa_2G406740 transcript:KGN63176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTCASLYNRSECSAVKPPAPPQTTTDQFEIPPSPIKHFKLQNNTDSAIKDISVIPNHHKTPSGSKEEAFFDSRAWLDSDCEDFFSVKGDFTPSCGNTPLHHRFPAETPRTSRVSVASADNEASNFNLPPLPTKRRKKLADLFRESLGNEASFAFLNQEENRKADEPRVSGTDSVCNSERTAGDGINQLVDENPIELDSKMCCLPPLASRSSSRERKEDLKLINGVS >KGN61103 pep chromosome:ASM407v2:2:4107970:4113738:-1 gene:Csa_2G049920 transcript:KGN61103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGEKAAPVSWATDDDERVDKLFANDISDVRGAPRAAPSSKCFHGYFLRCCHWFQPPQWNVQCIRDILWAIIWSKTIPYTWNSLAKSYGCSSSHQLPTRRRLVQRRRHSPVSRSRFRDRHRSWPLRPLYGSQHFCVRHSSMPCPFPADPEQCSSGYCSRPPPPPAAATAVLHCFVCWALVVRLGLGNRGAALANAVSYWINAAAMVVYVRVSPSCRKTWTGFSGEAFCGILNFLKLAIPSALMHSLEIWSFEMVVLLSGLLPNPKLETSVLSISLNTCSMIYMIPLGISGAVSTRVSNELGAMRAKAAILAGRVAMGMVSIEGAIVATIIIIDRRLWGYFYTSDDTVVAYLAQILILLAVVHIFDGIQSIFSGITRGCGRQKIGAFINLGAYYLVGIPMAIFLAFFQGIGGKGLWMGIMMGVFIQSLLLGILILCTNWDNEVKKAVERISRSISENVLE >KGN61638 pep chromosome:ASM407v2:2:10419186:10424125:-1 gene:Csa_2G196890 transcript:KGN61638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSLKAPSTAPMPLHSKQSTNPKEELSTRHYSDDLVTGHIYAKHRDDDTVKIDLPNYISVIENIIEIADQITDNVHRGIEWRMTRSDAALTTSNVVIEPPLCILHRISSQLSCKAPGIEKAHETTLQIFETLANYPWEAKAVLTLIAFATDYGDLWHLHHYSHVDPLAKSLAIIKRVASLKKHLDSLRYRQVILNPKSLIQSCLQAIKHMNEIKEFSKYDVKELPELPSALRQIPLITYWVIHTIVAARIELSTYLSETENQPQRYLNELSEKMAIVLAVLEKHLDAIREQHEEVDLYRWLVDHIEHYQTDITLVLPKLLSGKPETKPLFDGSSLKEVTVHESLLGKNVILVISGLDISVDDLTAIHQVYSELKARDANYEIIWIPIIPEPYQEEDRKRYEYLRSTMKWHSVEFTTKISGMRYIEEKWQLREDPLVVVLNPQSKVVFANAIHLIRVWGTEAIDFTHDRAKALLRRNWPDSTLLKFTHQPRLQNWIRQEKSILFYGGKDSKWIQQFEERADILKSDPLIMDGGSFEIVRIGKDTKGEDDPSLMARFWTTQWGYFVVKSQIIGSSASETTEDILRLISYQNEDGWVVLAVGTAPVLVGRGILILKLLEEFPKWKQSLRIKAFPDVFREYFNELALQSHQCDRVILPGFSGWIPMIVNCPECPRFMETGISFKCCHGGAHM >KGN61595 pep chromosome:ASM407v2:2:9955391:9960203:-1 gene:Csa_2G174120 transcript:KGN61595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRGRSDGSQKKRLIATICVVAIFLGFLYAYYGSIFGNQDSALQHGSKSLSHYLMRNEDSEESSTTTEQEDADDDVIPKSYPVCDDRHSELIPCLDRHLIYQMRLKLDLSLMEHYERHCPPPERRFNCLIPPPAGYKVPIKWPKSRDEVWKANIPHTHLAHEKSDQNWMVVKGEKIVFPGGGTHFHYGADKYIASIANMLNFSNDNLNNEGRLRTVLDVGCGVASFGGYLLSSNIIAMSLAPNDVHQNQIQFALERGIPAYLGVLGTKRLPYPSRSFELAHCSRCRIDWLQRDGILLLELDRLLRPGGYFAYSSPEAYAQDEEDLRIWREMSDLVGRMCWRIAAKRNQTVIWQKPLTNDCYLQREPGTRPPLCRSDDDPDAVWGVQMEACISPYSDRDHKAKGSGLAPWPARLTSPPPRLQDFGYSNEMFEKDTEMWRRRVESYWNLLSPKIETDTIRNVMDMKANMGSFGAALKDKDVWVMNVVPEDGPNTLKLIYDRGLIGTTNNWCEAFSTYPRTYDLLHAWTVFSDIEKKECSSEDLLLEMDRMLRPTGFIIIRDKQSVIDLIKKYLPALHWEAVATADASSDSELDSDEAIFIVQKKLWLTSESVRDSE >KGN61687 pep chromosome:ASM407v2:2:10913976:10915260:-1 gene:Csa_2G224270 transcript:KGN61687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLIGKRRVLVELTSEPRESLNVRTILPVSVHIGCSYHFRKAYPERSNSVFLSSPEGLGGKGGRRAAGVDFYDDEKKDKNSREPKFKILKTQTDLCRLDPDRNTTPFTLLLSPLHVIVSRLKKAVPITVKCNLLGLTGKAKTPPHFGYLATECELPFKDSLQSDDCEHVIVDISGHFPTFQGCILMLLLV >KGN61292 pep chromosome:ASM407v2:2:6291729:6292955:1 gene:Csa_2G076000 transcript:KGN61292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRQPFQVLELSLLSANDLASVSKTMRTFAVAWINPDRKLTTRVDQVGLTNPTWNEKFVFKVDDDLLEDPTSTVTIEIYSSALLRDILVGTVTEVVSNLIPQSSSKSNMRFLTLQVRRPSGRPKGTVKVGVTLLDSAKRSMPLESDLGSSAVDYDWDLSEIKAQKQNFQKNGYRIVMKRSHSERYDPDAFNGKPSGSVCNTNSVIGGRESVRSKSELGTTKKIVNANGSLCSDVGPSPSVVAAAIAKGLYPAPDDVGSSILEDWTEKDSIEGLKTKIERWRTELHPMYESEIKKLPSRSYRKKSVKKQRRKKGSGLFSCFGTAYGCEFSITCGGPNQKKKSGNGKGHMTPSEITFDESYV >KGN61513 pep chromosome:ASM407v2:2:9044869:9047695:-1 gene:Csa_2G151040 transcript:KGN61513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSDKPEIVERGDKGKDNKEDESEGKGGFLDKVKDFIHDIGEKIEETIGFGKPTADVSGIHIPSISLEKAEIVVDVLIKNPNPVPIPLVDIDYLIESDGRKLIAGLIPDAGTIHAHGEETVKIPVKLVFDDIRNTYHDIKPGSIIPYKIKVDLIVDVPVFGRLTLPLEKTGEIPIPYKPDVDIEKIQFQRFSFEETVAILHLKLENKNDFELGLKDLDCEVWLSDVSIGRADLSEFTPIDKNGISYVDLPITFRPKDFGSALWDMIRGRGTGYTIKGNIHVDTPFGQMKLPIVKEGGTTRLKKNKEDGEDDDDEE >KGN62520 pep chromosome:ASM407v2:2:16912167:16912908:1 gene:Csa_2G359890 transcript:KGN62520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRSVNNLQFWKDDMRRAYREKVGSSRRRRIKIRDIIDVLEIVGPIIPHFFGSNSLSAGPDNYSNYGNNHMNGSYGRRDDDMHSFHCGGGGGGRFGLDMGSGDYGNESRHYGGRGNGNSQYGMRMALAEDYYDREITEEEFERLLDFAEQSHNNNSRMY >KGN62316 pep chromosome:ASM407v2:2:15805302:15809098:1 gene:Csa_2G349020 transcript:KGN62316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKALDYESINENMKKVEYAVRGELYLRASQLQKEGKKIIFTNVGNPHALGQMPLTFPRQVIALCQAPFLLDDPNVGQLFPPDAIVRAKHYLSMIGGGLGAYSDSRGNPGVRKEVAEFIERRDGYPSDPELIYLTDGASKGVMQILNTIIRGEGDGILVPVPQYPLYSAAIALFGGSLVPYYLDESSNWGLDLNDLRQSVARARSQGIMVKAMVIINPGNPTGQCLSEANLREILNFCFQENLALLGDEVYQQNIYQDERPFISSKKVLMDMGPPISKELQLISFHTVSKGYWGECGQRGGYFEMTNIPPQTVDEIYKIASISLSPNVPAQVFMGLMVNPLKPGDVSYEQYHRESKGILDSLRRRARIMTDGFNSCKNVVCNFTEGAMYSFPQIQLPPKAIETAKRAGKEADVFYCLKLLEATGISTVPGSGFGQKEGVFHLRTTILPPEEEMPAIMASFKKFNDEFMEQYA >KGN63378 pep chromosome:ASM407v2:2:22750892:22758878:-1 gene:Csa_2G433930 transcript:KGN63378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGEIYRVSSARINSSSIWRNSAMEVFSRSSRDDDDEEALKWASIERLPTYLRVRRGILNLDGESAREIDVQNLGLLERRNILERLVKIAEDDNERFLLKLKNRMERVGLDLPAIEVRFEHLEVEAEAHTAGRALPTMFNFSLNMLEGFLSYFHIIPNRKKQLSILHDVSGIIKPGRMTLLLGPPSSGKTTLLKTLAGKLGKDLKFSGRVTYNGHGMNEFVPQRTSAYISQQDLHIGEMTVRETLSFSARCQGVGPRYDMLTELSRREKAANIKPDPDLDIIMKAAALGGQETNVVTDYVLKILGLEICADTMVGDEMFRGISGGQKKRVTTGEMLVGPSRALFMDEISTGLDSSTTYQIVNSMRQYIHILNGTALISLLQPAPETYELFDDIILISDGQVVYQGPRENVLEFFQHMGFTCPQRKGVADFLQEVTSRKDQEQYWTKRDEVYRFVSVEEFSEAFQSFHVGKKLGDELATPFDKSKSHPAALTTEKYGASKKELLKACISRELLLMKRNSFVYIFKLIQLILMAFVTMTLFFRTEMHRRTVDDGSVYMGALFFAIIIIMFNGFSELALTILKLPVFYKQRDFLFFPPWAYSIPTWILKIPITFVEVGIWVVMTYYVVGFDPNAGRFFKHFLMLLFVNQMASALFRLIGALGRNIIVANTFGSFALLTVLVLGGFVLARDDVHPWWIWGYWISPMMYAQNGIAVNEFLGHKWRHPAPNSNESLGVLILKSRGIFPQASWYWIGVGATIGYILLFNFLFTIALQYLDPFEKPQAIVSKETSTDKSVKKSQDVQELELSSKGKSSSERTENQISLSSRTSSARVGSFSEEANQNKKRGMVLPFEPHSITFDEIRYAVDMPQEMKSQGVTEDRLELLKGVSGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGNITISGYPKKQETFARIAGYCEQTDIHSPHVTVYESLVYSAWLRLPPDVDSATRKMFVEEVMELIELNPLRDAIVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFDAFDELFLLRRGGEEIYVGPVGRHSSQLIEYFESIEGVPKIKDGYNPATWMLEITTAAQETTLGVNFNTLYKDSELYRRNKALIKELSVPNENSNELYFPTKYSQSFFIQCIACLWKQHLSYWRNPPYSAVRFLFTTFIALMFGTIFWDLGSKRGTQQDLFNAMGSMYAAVLFIGVQNATSVQPVVAIERTVFYRERAAGMYSALPYAFGQVVIELPYIFIQTVVYGVIVYGMIGFEWTAAKFFWYIFFMYFTLLYFTFYGMMTVAVTPNHNIAAIVSSAFYGFWNLFSGFIVPRTRIPIWWRWYYWICPVAWTLYGLVTSQFGDINDPMDSNQTVAEFVSNYFGYKYDFLGVVAAVHVGITVLFGFIFAFSIKVFNFQKR >KGN61413 pep chromosome:ASM407v2:2:7970004:7971203:1 gene:Csa_2G119350 transcript:KGN61413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSVGIPDPSPPFNPFNFKDYCSQEICSAFCPQWCAFAFPPPPPLFGLDDTDDSGTFFSPLIVAVIGILASAFVLVTYYAIVSKYCRRRVDDSGGGGSGDNLEADRVVNDTRQSGAGAGLDEALIKSISIYKFKKGEGLIEGSDCSVCLSEFQENESLRLLPKCSHAFHLACIDTWLKSSSSCPLCRCNIASTNPPPPSVTALGYRHSSDAAVVVIQDLSENVSLEAVVVEVVTDSSNQEEGSLNRNSNSRNLDQGDERTGRSGEESVGSIRSVSEDYQLSAYGNRNLSVADILSINHEEDEEVEAPVDSPMAAAGSGPSNGNGKETEKCRNRNGVLNSAVRMSISSGIFSFARNAKGKSSNSPI >KGN61162 pep chromosome:ASM407v2:2:4721309:4724038:1 gene:Csa_2G060430 transcript:KGN61162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDAVVLAAVMKRRSGFQSPSYSWPWRRGDIEVTRLAVVRATYNPCYSGDLAVRMVPAPRGAGIVAAGVPKKVLQFADASSVVESTFNNLGSLDILVAAWELFGINRRFVYEMDFEQVVSDILLMDNTLSLSSTHNLYFLSIPSTNIPFMFLLYQRRRNVLCNVIRVHGGCRNHEDGEGGYSMISYKPI >KGN61150 pep chromosome:ASM407v2:2:4563918:4564563:1 gene:Csa_2G059810 transcript:KGN61150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKFYSLSALLTRSLNSTTNKFPRAEEIEPMNSQLAARSLQLKHLEFTGLNEQRLMQLTDNLSSGRPPMSPLSLPFRPILDISKEVSTQELYMNHLMFPSLVMYFSIHLSRIEELKQHQQHKHDAYKGRIHPLDIVKVQL >KGN63042 pep chromosome:ASM407v2:2:20157512:20161963:-1 gene:Csa_2G386100 transcript:KGN63042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGSESSSNYFQSEIVFFGIDNIHAMRESLTRLREYLDTHGEKSSDGMSSFLRHGGWTWGGGNLSSMSASVSTLGDSGWLIHVQSVLAGSAWIAARVALEKATVLVHCSDGWDRTTQLVSLASLLLDPYYRTFAGFQALVEKDWLAFGHPFSDRSGMPTVSGSGNMPYELSRQSSTGSFSSSPMRQSSGAFISQASSSPHAQTSNNCSPIFLQWVDCVSQLLRMYPFAFEFSSAFLVDLLDCMLSCRFGNFLCNCEKERQQCAVSEVCGCLWAYLADLRASEGGSHVHYNLFYDPTIPTKHEGALLPPAAALAPTLWPQFHLRWACPKESQAGELEVRCRKMAIQLSEMQKDKEIAERKAQEMTAAMESLKSELQNEKQLSTSARNVAKNASKECEAIKRAIQSLGCKVQVSSNGYCTVDIDGDLMKKSNQKSHPASRRTSHRSLPSPSEENDLSLSITVTADDVPSNPLSHICEALCPLRTRDGGCQWPDAGCAHMGSQFIGMKANFEAFDQLSIYDGYFKPE >KGN61657 pep chromosome:ASM407v2:2:10671866:10672963:1 gene:Csa_2G215510 transcript:KGN61657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEALTKEQMDQLKEAFFLFDKNKDGRITIDELRAEIRNLGHNPTEEELKEMIREVDADGNGTIEFGEFQNLMSKIMKEETEKKLKEAFKVFDKNQDGYISANELSHVYWMLNSGEEKLTDEEVFHMISEADLNGDGHVDYHEFVKIMTQDVSQILPESGFF >KGN61941 pep chromosome:ASM407v2:2:13004812:13008701:-1 gene:Csa_2G270840 transcript:KGN61941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKGNGRLDCSHASNPYHVCSEYCFEKKGESKKQIVRKDSGDSAWKFSSERKKTVRSDCTRGSNPYHNCDEFCSNMTTQSGRPKAERGSAVGSGGARRDSLPKSPRKLSDPLASAVSSKPQVTKTCVPPESSKTSVPPEERTHFVEDSLTIEEQNKFSKLILVSANALKVEANNLQKLHKTETYSITSVKSKEHITKSSEISELVQDEDPSVHEEEEGNHKTTSPNITSFPFPTMAPNQKGSDENDVKSVSSEPYVPVGKYHVKLSLAPILQSIFNKHGDIAASCKLESISIRSYYLECVCYIIHELQHTKFSQKVSKSKVKELLAILNDVVSSGMNVGWLHSILNDIAEAVESSGQQFTLEVAKANCDHELELIKNELESQTQDLTRKEKEMNDAKAKVAGTRARLSELESKYLQLNKEISSLQFKVNGLKCNDLTDDLL >KGN60565 pep chromosome:ASM407v2:2:419991:422049:-1 gene:Csa_2G000730 transcript:KGN60565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPTSILSLFLLVLAATTVSSTDQLIQMFPKQHIVGAEGDTKCESWKFSIEVNNAGTWYSIPQPCIEFVRTYIDTGRYLADSRNAAAFSLTFARSVKVGDGKGMDAWIFDVDETLLSNMPYYKATGFGTEPYNVTSYNEWVETGLAPALPATLSVYKWVKKLGFKIFILTGRPVSQSAITAQNLIDAGYSGWEKLILRGPEDEGKKATVFKSEKRAELVKQGYTIQGNTGDQWSDILGYAVAKRSFKVPNPMYYVP >KGN62660 pep chromosome:ASM407v2:2:17652722:17654440:-1 gene:Csa_2G365670 transcript:KGN62660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPANGIKTKDQNDVGWRFRRPPRSTTFVRRTCRFDPQNCRFGDFFPPSRWRRTSLSRPSLSSPVRANVRTSLSSSSSQLFSNAAIDELLQGYCWISIDFCGGRDI >KGN61644 pep chromosome:ASM407v2:2:10507912:10508498:1 gene:Csa_2G200430 transcript:KGN61644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANEIYQYLTSNTKLQMLSQRGRSIQVQIRTEPKRKDFAMFILLKRTPTRELVKSQSTPLATISSNQEASIQFSTSQREVQKTVTRQALKLQVRPLAIIFKYQRVFKEAVAQGR >KGN63132 pep chromosome:ASM407v2:2:20809582:20810531:-1 gene:Csa_2G404840 transcript:KGN63132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLHKFKLLASQCAVAGSPTRSPNASPLIHLRRRRRKTLRMLLNRTDRRRFPFQDNSADSQDLDDHDHDHDDNHNLIPEKNEKEVRARRKLKDLFVSSPPPLEDRLSDRRIEEQEAFLTSTNTNAAGISSPSTTRRSLRPVSATFRYRFLRRAWRPVLVAIPE >KGN63225 pep chromosome:ASM407v2:2:21462683:21465597:1 gene:Csa_2G416160 transcript:KGN63225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYEIPRDLIKQLQISLRNEANISSYDPHHPSLPNLPSFNETIADLDPSPPYLRCKHCKGRLLRDLKSFICVFCGREQYSDVPPDPINFNNTIACRWLLQSLDLDGSEMVGTIDLKESNRGKSPEQFPLTDLLDLEIRWPESEKKGISDETPAPSKSTLNLAGVDLGNYFTEEKNDTTSKASDGLPPPSKRTVEDNADLSLFDKFPSFETATRTTKHESDDSFSGWEASFQPASSATPLDNSKSVDPFVVSGVNISSSLETTFGNQNKSSSGETEDTKNPSSSTTNDWFQQQDDLWSSSNHKTIHMPDQVEQTGILIDGRTTETANYSSSATVDWFQDDQLQGVSQKKPDDKSVFKDDGSADAWDDFTSSTGVQGPFDNSKKDIVNDVPKVDEISEVDFFSTMTTKDSDFRDSSQPISFAEAFPNPNGTSVEKAIWPDASDLSRMSEENGKTRENSDAVQRQAASGPSSSTDDAKMMMEKMHDLSFMLESKLSIPPK >KGN62506 pep chromosome:ASM407v2:2:16853441:16855004:1 gene:Csa_2G357290 transcript:KGN62506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSFSAATALHLHPSSSSYTPLFNPSQFLRLRSPSRRTLLIAHAQSEHRQTWLPGLDPPPHLDGTLAGDFGFDPLGLGEDPQSLKWYVQAELVHCRFAMLGVSGVLLTDLLRVTGISELPVWYNAGAVEYGFANKRTLFIVQLLLMGFVETKRYMDFKSPGSQAQEGTFFGLEAAFKGLEPGYPGGPLLNPLGIAKDIKNAHDWKLKEIKNGRLAMVAMLGIFVQAYVTHTGPIDNLVEHLSNPWHKTIIQTLSSSSP >KGN62776 pep chromosome:ASM407v2:2:18483446:18489522:1 gene:Csa_2G372720 transcript:KGN62776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPLLDVGEVEESRSNVTLLASSNSMESVSPNNLEFEERNYMGLSDSSSEDSCMTATKSDGNKPSLNLKATELRLGLPGSESPERDPDNCLRSSSQLDEKPLFPLHPSSDGLYSSPQKTVVSGNKRGFSDAMNEFSEEKYHANIGLKAGSLLENLGSQMGKVKEPTTQKAVQERPQENSESRPSHNETANNNTSTPVSKAQVVGWPPIRSFRKNTLATTSKNNDEVDGKAMAGALFIKVSMDGAPYLRKVDLRNYSAYQELSSALEKMFSCFTIGQYGAHGALGMEKMSESKLKDLLHGSEYVLTYEDKDGDWMLVGDVPWEMFIDSCKRLRIMKSSDAIGLAPRAVEKCRNRS >KGN61715 pep chromosome:ASM407v2:2:11253753:11255014:-1 gene:Csa_2G231965 transcript:KGN61715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYISSSSESSSSKSLLQTNVEVPELDSKEPTETPTHPHVHTFDSPCPENKDRLHAPMKTKQFSKCLKVIPTKIGKRKLSPKIPFVSIDGISFHHEESAQRWKYVIQRRIDDEVNISNKHHSYISVTELIIKVGLCKTIANIGPFYSRLIRKFIVNLSSCFNDPSSSAYQLIHIRGSQFKISQSVINGFLGNDTSSDSSVTQPSNEELASSFLWWHSIHNSQDALGSNPKTLSLSYRLFQGSHVPNIKHTIQPSREAPELRALSTSINMLADRIVEMDSLIRYLKTIIPSTSSASQSRE >KGN62631 pep chromosome:ASM407v2:2:17463942:17470206:1 gene:Csa_2G362440 transcript:KGN62631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLARRIPSVAVYLPQALPWRRRPPHSYSINYGTALEFHSLLAFCPKTLLVSLCSASQLTYEAVEPIHAEESSKRGSLKPGLYLVGTPIGNLEDITLRALRVLKSAHVILSEDTRHSGKLLQHFSIKTPLLSFHKFNESQREQTVLKRLKQGEIVALISDAGTPGISDPGTELVRLCVNENIPVVPVPGPSAVVAAISASGLSTDEFTFVGFLPKHAASRRERLMVSANEEATQIFYVPPHKLKQFLEETSQLFGESRRCVIAREMTKIHEEFWRGTLAEAKEFFSLNQTKGEITLLIEGKLCPEVETPSESQLEKELEELISTGHRLSMAVKLVASKTSTSRKTVYSIALRRFGNQLGVENDSCK >KGN62946 pep chromosome:ASM407v2:2:19579390:19584819:-1 gene:Csa_2G381720 transcript:KGN62946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGETRDNEYEEELLDYEEEEEKAPDSVGAKVNGEAGKKGYVGIHSSGFRDFLLKPELLRAIVDSGFEHPSEVQHECIPQAILGMDVICQAKSGMGKTAVFVLSTLQQIDPVAGQVSALVLCHTRELAYQICHEFERFSTYLPDLKVAVFYGGVNIKIHKDLLKNECPHIVVGTPGRILALSRDKDLSLKNVRHFILDECDKMLESLDMRRDVQEIFKMTPHDKQVMMFSATLSKEIRPVCKKFMQDPMEIYVDDEAKLTLHGLVQHYIKLSEMEKNRKLNDLLDALDFNQVVIFVKSVNRAAELDKLLVECNFPSICIHSGMSQEERLTRYKGFKEGHKRILVATDLVGRGIDIERVNIVINYDMPDSADTYLHRVGRAGRFGTKGLAITFVSSAADSDVLNNVQERFEVDIKELPEQIDTSTYMPS >KGN63095 pep chromosome:ASM407v2:2:20591677:20594638:1 gene:Csa_2G402030 transcript:KGN63095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPFRAVQLLLGSSNPLHKRRILLSGSFLFQTRWFDSSFPWREADSVLRPRNSEFLENPHVFNNRSFTRSYCSGKESGNGGREWTEDIEYLDESGSVIFSGKGVRSVEPGVDDHVMVGGLKKPFLNASAVAKIVEVVRRWKWGPELESQLEKLQFVPNMTHITQVLKIIDDAEASLSLFRWAKRQSWYSPNDECYGLLFDGLNQRRDFDAIQLLFDEVVRDLSSDETVSFSAYNRVIQYLAKAEKLEVSFCCFKKIHDSGFKVDTQTYNSLITLFLNKGLPYKAFEIYESMAGAECSLDASTFELMIPCLAKSGRLDAAMKLFQEMKEKKYRPAQNVYSSLVDSMGKAGRLDTSMKIYMEMQLLELRPSALMFVSLIESHVKAGKLDTALKLWDDMKRAGFKPNFGLYSMVVESHAKSGKLDVAMSVFTEMEKAGFLPIPSTYCCLLEMQAASGHVDAAMKLYNSMTNAGLRLGLNTYTSLLTLLANKKLIDIAAKVLLEMKAMGFSVSVSASDVLMVYIKEGSVDSALRWLQFMGSSGIRTNSFIIRQLFESCMKKGMYESAMPLLETYVNSAAKVDLILYTSILAHLVRCQEEQKERYLMSILSTTKHKAHSFLCGLFTGTEQRKQPVLSFVREFFQSIDYELEESSAKYFVNVLLNYLILMGQINRARCIWKVAYENKLFPKAIVFDQHIAWSLDVRNLSVGAALIAVVHTLHRFRKRMLYYGIVPRRIKLVTGPTLKLVIAQMLSSVESPFEVSKVVLRATGDSVMEWFKKPIVQQFLLNEIPSRSDILMHKLNTLFPSSAPEIRSLSPPKPLISRNSA >KGN63275 pep chromosome:ASM407v2:2:21951058:21953184:1 gene:Csa_2G423550 transcript:KGN63275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPLCEFCGVVRAVVYCKSDSARLCLQCDGRVHSANSLSRRHLRSLLCDNCNAQPAIVRCMDDKLSLCQSCDWNNNPNSHPNPSPNAPPPSHILHSYSGCPSMPDFFRFWSSDPSSLPPPHSWFPPPPPPHFPFSTTPFHLSDPHSKGCSDFKDVTTTTTAADATHDHDDLCEALNLDDVSLHLDNNEDELFGCPQGTTIKCQFEDGELDSLLMEKNFFEVTDSNAPPPPPLDNTIEDISSVQQDFIGFQSSQEGVSINMIQNGNSNCMLMNPSCNGNINIGFPPTAQVHSSISLSLSNMTGESSVADYQDCGLSPAFLTEASWDPSMEGIGPQAKDRNRDKAKMRYNEKKKTRTFGKQIRYASRKARADTRKRVKGRFVKAGEAYDYDPLVTRNF >KGN62451 pep chromosome:ASM407v2:2:16544851:16546801:1 gene:Csa_2G354770 transcript:KGN62451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTENPMNFTYMGRTFDDLSNGDSSGAFSDCNSDRSGEFPTASSQSRRLLIACASDNSDELIRHLVLDLESCSIEEQKQAAMEIRLLAKNKPENRLKIAKAGAVRPLISLISCTDPQLQEYGVTAILNLSLCDENKELIAASGAIKPLVRALMSGTPTAKENAACALLRLSQMEENKIAIGRSGAIPLLVNLLENGGFRGKKDASTALYSLCSVKENKIRAVKAGIMRPLVELMADFGSNMVDKSAFVLSVLVSMSEARSALVEEGGIPVLVELVEDGTQRQKEIAAVILLQICEDSVLYRTMVAREGAIPPLVALSQSGTNRAKQKAEKLIELLRQPRSGNYAATTSDVSV >KGN62641 pep chromosome:ASM407v2:2:17516567:17520090:-1 gene:Csa_2G363020 transcript:KGN62641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVPAFRLGFSVLLVFAFVLCDLGVCNGGITSGFVRDDDASLDMPLDSDVFRPPPGKNAPQQVHITQGDSEGKSVIISWVTPDKPGSNRVVYWAENSGIRNHAEGYFTSYKYFNYTSGYIHHCTIENLEYDTKYFYVIGFGSLSRRFWFTTPPKVGPDVPYTFGLIGDLGQTYDSNRTLTHYELNPTKGQTVLFLGDLSYADRYPFHDNTRWDTWGRFVERSAAYQPWIWTAGNHELDYVPEIGESEPFKPYFHRYHVPHNSSAGSSHLWYSIKRASAHIIVLSSYSSFVKSSPQYKWLANELLKVNRDETPWLIVLMHTPMYSSYIHHYMEGESMRVAFEPWFVKYKVDVVFAGHVHAYERSERISNIEYNLVNGLCSPVRNINAPVYITIGDGGNSEGLVTEMTKPQPKYSAYREASFGHGTLDIKNRTHAYFAWHRNQDEYAVETDSLWLHNREWISTKLPQSSIMTHLSSAAA >KGN62700 pep chromosome:ASM407v2:2:17930675:17931186:1 gene:Csa_2G369010 transcript:KGN62700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPLGGPVRLSLEEERWWVDTGYRLPAMKGLGNTTAQRGIIREDKNWERERERERVIYHVQVTCYSPPSTPTHHTTTIQYSPPLDAHCQLDSFTPLDEFTFMHCLQPVRLPNVYFHLPPFSHFAPTSRGLFL >KGN61976 pep chromosome:ASM407v2:2:13277852:13289391:-1 gene:Csa_2G278160 transcript:KGN61976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEQPSASNRRRSRGSEAAARLTALERLKAIRSGGRRSEAGGFQVKLENPIYDTIPEDEYDALVAKRREEVRGFIVDDDGLGYGDEGEEEDWSKAGVCFSDESDGELDKPKKRKVVKKETQPKKPSSSSLTAAAAMMGKQKLSSMFTSSIFRKTGRDDKAKGLGCDSIVDDVIAEFAPDETDRERRRKGQIGAIPILRTVTSVPAVKSEGFTARGLNLTGESDFIKDAENGNSETTRVVTNSDLESVRGGVEVQGNGETKEFDSKDLNSQINLDPVEQLPNSLIKEDVSGDTMPIKVETKAEPLVKKEPVSTLNAKISNERDPALSATAEWQAVRSEGSGSVNSAAEMAEEKSEFDTDTDGSLPFYIVDAHEELFGANMGTVYLFGKVKAGDTFHSCCVVVKNMQRCIYAIPSASFLHSDEMLELQKDAEESQLSPADLRAKLQEVTAGLKNEMAKQLLDLNVSTFSMTPVKRKYAFERQDIPAGENYVIKINYPFKHPPLPADLKGELFCALLGTHRSALELLLIKRKIKGPSWLSISKFSSRPASQRVSWCKFEVIVDSPKDVQTSTSSSKNLEIPPMIVTAINIKTIINERQSVNEIVSASVICCQRAKIDGPMLATEWKKPGMLRHFTVIRKLDGGIFPMGFAKESTDRNSKAGSNVLICEGNERALLNRLMIELFKLDSDVLVGHNISGFDLDVLLHRAQFCRVPSSMWSKIGRLKRSVMPKLGKGGNIFGSGASPGLMSCIAGRLLCDTYLSSRDLLKEISYSLTELAKTQLNKDRKEVTSHEIPKMYQASESLMNLIEYGETDAWLSLELMFHLSVLPLTRQLTNISGNLWGRSLQGARAQRVEYLLLHAFHAKKYIVPDKNSSYVKDKKIVKKRTNHGSEEKNVDQFDLDDGNVEAPNTDSGKGKKGPSYLGGLVLEPKRGLYDKYVLLLDFNSLYPSIIQEYNICFTTVERSPDGVIPPLPSSRVTGVLPELLKNLVQRRRMVKSWMKNASGLKLQQLDIQQQALKLTANSMYGCLGFSNSRFYAKPLAELITSQGREILQSTVDLVKNNLSLEVIYGDTDSIMIHSGLDDVGKVKAIAGKVIQEVNKKYKCLEIDLDGLYKRMLLLKKKKYAAVKLQFKDGMPYEVIERKGLDMVRRDWSLLSKELGDFCLNQILSGGSCEDVVESIHDSLMKIQEDMRKGQVALEKYIITKTLTKPPEAYPDARNQPHVQVAQRLKQMGYTTGCSVGDTIPYIICCEQESTSGGSTGIAQRARHPDELKKEDGKWMIDIEYYLSQQIHPVVSRLCASIQGTSPERLADCLGLDSSKFQNRSIEVSRSDISTSLLCSVNDEERYQGCTPLTFTCPSCSGTFNCPPIFSSIYKSAEGKQERLVDEPTTKFWNNLRCPKCPDEANAGRITPGMIANQVKRQADRFISMYYNGLMMCDDETCKYATRAVNLRVMGDSEKGTICPNYPHCNGHLVRKYTEADLYKQLSYFSHILDTERCMEKLEVHARVTLEKEMASIRPVVELAATTIQSIRDRSAYGWVQLQNFVVTF >KGN61256 pep chromosome:ASM407v2:2:5874652:5874971:-1 gene:Csa_2G074180 transcript:KGN61256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQTKVNVLKKRSLLASTLQHRGVVREIGPYSRKESGVVMLRDVVLREDFSASRRLHFLELFLLFWFLNTTLPSNMWMRG >KGN60743 pep chromosome:ASM407v2:2:1580545:1583359:-1 gene:Csa_2G009320 transcript:KGN60743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSRMKLLSRFRIWVLTCSLIFQLGYGFYLPGSYPHKYVVGDLLSVKVNSLTSIETELPFGYYSLPFCKPSEGVKDSAENLGELLMGDRIENSPYQFKMFTNQTDIFMCSSDPLTSDQFKIMKERIDEMYQVNLILDNLPAIRYTQKEGYVLRWTGYPVGVKVKDAYYVFNHLKFKVLVHKYEEANMARVMGTGDAAELIPTIGKEGSDVPGYMVVGFEVVPCSIVHNVEQVKNLNMYQTYPSSIQCDPTTVSMPIKEGQPIVFTYEVLFEESDIKWPSRWDAYLKMEGSKVHWFSIMNSMMVITFLAGIVFVIFLRTVRRDLTRYEELDKEAQAQMNEELSGWKLVVGDVFRAPSNPALLCIMVGNGVQILGMAVVTILFAALGFMSPASRGTLITGMLFFYMILGVAAGYFAVRLWRTIGCGDNKGWISVSWKVSCFFPGVAFLILTTLNFLLWGSHSTGAIPFSLFVILLLLWFCISVPLTLVGGYLGAKAPHIEYPVRTNQIPREIPAQKYPSWLLVLGAGTLPFGTLFIELFFIMSSIWMGRVYYVFGFLFIVLILLVVVCAEVSLVLTYMHLCVEDWKWWWKSFFASGSVAIYIFLYSINYLIFDLKSLSGPVSSTLYLGYSLLMVFAIMLATGTIGFLSSFWFVHYLFSSVKLD >KGN61760 pep chromosome:ASM407v2:2:11665320:11666591:-1 gene:Csa_2G238760 transcript:KGN61760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTSPSPSPSPSPSPSPSTSSSSSSSSAAAANFPPPSSLQPAKLPVDFSPPLIAMVVVIATAFLIVTYSRLISRHLIPPILHLLRLHRRRWRRWRRRRRLAARSYIPSSSGGDLDSLPYDSPFFEPTTDAFHVFSPYGLDESVIKTIPLSVYTAKSRDRECAVCLLEFEDDDYVRTLPICSHAFHVDCIDVWLRSHANCPLCRAVVFRPESPFTPVMAARIRPSLDDTILRSIILEPLAEGPPIPNRDSYHATESEITPCIDEPRNSNSVEDQMNGRDFLLKRSYSFGFERSLASERMILEPATTSPWRYRRGSFWSKRPSPFGSLPKARVFSFRYYRGMKSPFFRRRGGFFPLSESSWRFSNGVGGGSSRRSKSMTSPMFLRSSVTGGGGAAAFSSSRLRCGDPEALLSPERFNRR >KGN62509 pep chromosome:ASM407v2:2:16857486:16860591:-1 gene:Csa_2G357320 transcript:KGN62509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGSETEAPTIIACNANINFEGGGPTGPVANGVIYSAPVANGVIYSETPKFFVTKDSPSVISQEKGNHVAMEVRSSKDLKQGGLNKGFIETKAPIESVKAAVSKFGGIVDWKARRVHSMVERSRTVEERLEDVQEEILHCRKKSDEFGVEEFQVSNELENTKQRIEELKHALEVAQMEEQQAKQDSELAKLRLEEMKQGTTDQENDDALAKAQLEMATAGHAAAVSEQKSIKEELEILRNEFASLVCERDAAVKNAENVLAASVEGEKALEELTMELVALKQSLQSAQASHLEAEEQRMSAALAKEQDCFKWRKELDDAEEEFCRLNLQVLSIEDLKLKVDTASTLLSDLKAEMMAYMESVMREEISDERVLEGDVSEIVKKIDTATLLAVDSTKKELEEVKLNIEKAIAEVECLKMAATSLKSELEVEKSNLTTAKKREVRPSDTAVSLEVELDKNMSEIDVVQGNVKEAKESSVDLTNQLKQAEEEVDKAKSIAQIALEELQKIKIEAEQAKAESKAVESRLLAAQKEIEASNASKVLALSAIQALQESSDSSETTKEDSPTTVTISLEEYNELSERAREAEEQARIKMTEAISQIEAAKESEAKYQEMLEEVSRELVARQEALKAAIDKESETEEGKLAVEQELRLLRTEQEQLRKEEKSNPEVASPTSPRTNIEVKESTTDEQADSPAPQEPSAKERKQKGLGRSETLSETKDGKKKKKSFFPKMLTLLGKQKSSRHKTT >KGN60900 pep chromosome:ASM407v2:2:2669560:2670182:1 gene:Csa_2G021710 transcript:KGN60900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWENGSAESSTFKWSYDVCLSFRGEDTRDNFTSHLDMALRQKGVNVFIDDQLEREIQGSGSFADFLQGGSFGCTKTNWLVWRSIGQT >KGN60572 pep chromosome:ASM407v2:2:462320:464016:1 gene:Csa_2G000800 transcript:KGN60572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLSISNPSSPPPTKSPPPPPPPPSQLLHFFDLSPKKQLPPPPPPQQLLSCSQIVTEAKSLFSLAFPIALTALIIYSRSIISMLFLGRLGDMELAAGSLAIAFANITGYSVLSGLALGMEPLCSQAFGAQRPKLLSLTLHRAVIFLLVSSIPIFLLWVNMGKILLFLRQDPSITEMAQTYLIFSLPDLLTNSFINPIRIYLRAQGITVPLTLASLGGALCHVPINFLLVSYFDFGVAGVAASAAATNLLVLVFLVAYVVVSGVHAPTWTAPSRECLSGWKPLLELAAPSCISVCLEWWWYEIMIVLCGLLVDPKATVASMGVLIQTTSLIYIFPSSLGFAVSTRVGNELGGGRPEKAKLSAVVAVFVAAMMGLGATSFATGMRNKWARMFTDDGEILRLTSVALPILGLCELGNCPQTVGCGVLRGCARPSAAANINLGAFYGVGMPVAVGLAFRLGVGFCGLWLGLLSAQVCCAGLMLYVIGTTDWDFQACRSHLLTCYAGDELPLLSPPQHIIDSS >KGN63264 pep chromosome:ASM407v2:2:21843416:21844339:1 gene:Csa_2G420470 transcript:KGN63264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSVYSSAQQFPHPLPSFPSSALESGWTAYLDSPSDDDTNTFPTSSLLSDAASHAVAAALPPTPLTNHLRFPTKLILKPKQPHLFVDTSLEDTASSPDNSPKVGDHLGPFDGNHYRRKSSLGNGEKYRDHERRLEISFKRKASEYTDLKKRGLCLVPLSMLTNYLD >KGN61364 pep chromosome:ASM407v2:2:7407591:7414433:-1 gene:Csa_2G099480 transcript:KGN61364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIARFAVVSFFLVISFFSSGDCNLVLKVQHKFKGRERSLEAFKAHDIQRRGRFLSAIDLQLGGNGHPSESGLYFAKIGLGTPVQDYYVQVDTGSDILWVNCAGCTNCPKKSDLGIELSLYSPSSSSTSNRVTCNQDFCTSTYDGPIPGCTPELLCEYRVAYGDGSSTAGYFVRDHVVLDRVTGNFQTTSTNGSIVFGCGAQQSGQLGATSAALDGILGFGQANSSMISQLASSGKVKRVFAHCLDNINGGGIFAIGEVVQPKVRTTPLVPQQAHYNVFMKAIEVDNEVLNLPTDVFDTDLRKGTIIDSGTTLAYFPDVIYEPLISKIFARQSTLKLHTVEEQFTCFEYDGNVDDGFPTVTFHFEDSLSLTVYPHEYLFDIDSNKWCVGWQNSGAQSRDGKDMILLGDLVLQNRLVMYDLENQTIGWTEYNCSSSIKVRDEHSGAIYTVGSHDLSSASSLRVERISVLLLILLLTILHSFRN >KGN61386 pep chromosome:ASM407v2:2:7679070:7680847:-1 gene:Csa_2G108640 transcript:KGN61386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEQCDGHYFAASTLASIILCDTLFYYANGEAWHLPDGAYATLGVLKDAGVRLAVVSNFDTRLRKLLKDLSVLDMFDAVIISAEVGYEKPDGEIFEAALDQLGVRSDKAVHVGDDQKADKEGANAVGIDCWLWGSDVMTFEDIENQILV >KGN61553 pep chromosome:ASM407v2:2:9614264:9615632:-1 gene:Csa_2G169770 transcript:KGN61553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMMMMDEHFGWGKVAAEGWRKGPWTAEEDRLLMEYVRVHGEGRWNSVAHLAGLRRNGKSCRLRWVNYLRPDLKRGQITPHEESIILELHARWGNSNFNPQIDHDQPGSLLLSLPEAISMEDHQLWDGLLWNFEDFHTNLTPNSAFGKANVHNLVTPFC >KGN62671 pep chromosome:ASM407v2:2:17745174:17750219:-1 gene:Csa_2G367740 transcript:KGN62671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTILQSESRRNPRLTWEGCSVLLDVNDGDRLVFARLSPASTLKIGNKSFSLKPLIGCPFGSLFQIENGGNGPELARVMPSREEEGISCQETEEMKEEQVTKDELRDNRAIVDNNKAQSLSSEDIDAMRSQGATGDEIVEALIANSATFDKKTVFSQEKYRLKKQKKYAPRVLLRRPFTRSICEAYFKKYPARIGFLRLDALSLLLSFGNVTANSDVLVVDMVGGLLTGAVAERLGGKGSVCNTYVGNTPNPMDIVRIFNFDDEICSRIMRSSLADLTSSQIEPTKPNGENEDSINVQEQASQPVSMDESPLPSTDQSSDLNSESIVSPLTKVFKCAKAGDKAPPEVINLWKENGFTSLIIAAPQSDAWSLVKDLLPLVANSAPFAIYHQYVQPLATCMHKLQLEKMAISLQISEPWLREYQVLPSRTHPFMQMNAFGGYILSGTKICDRQ >KGN60804 pep chromosome:ASM407v2:2:1969434:1970176:-1 gene:Csa_2G010390 transcript:KGN60804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGSIMMILVLALGVLSLANAQSATNVRATYHLYNPQDINWDYLRASVFCATWDADKPLEWRRQYGWTAFCGPVGPRGRDSCGRCLRVTNTETGASEIVRIVDQCANGGLDLDVNVFRRIDTNGNGNLRGHLIVNYQFVNC >KGN60807 pep chromosome:ASM407v2:2:1976036:1980191:-1 gene:Csa_2G010420 transcript:KGN60807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRRISSLLSRSISSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSLLLSKGRRGLNGRTIAKYSTASAVEDPITPSVKVNYNQLLINGQFVDSVSGKTFPTLDPRTGEVIAEVAEGDARDIDIAVSAARKAFDEGPWPKMTAYERSKIMLRFADLVEKHAEEVAALETWDNGKTYEQSLKIEIPMFVRLFRYYGGWADKIHGLTVPADGSYHVQTLHEPIGVAGQIIPWNFPLVMFAWKVGPALACGNTIVLKTAEQTPLSALLVAKLFHEAGLPEGVLNIVSGYGPTAGAALASHMEVDKLAFTGSTETGKVVLELASKSNLKPVTLELGGKSPFIVCEDADVDKAVEMAHFALFFNQGQCCCAGSRTFVHEKVHDEFVEKARNRAANRVVGDPFLGGIEQGPQVDAEQFKKILKYIKYGIEGGATLEAGGDRFGSKGYYVQPTVFSNVKDDMKIAEDEIFGPVQTILKYKDIDEVIRRANASRYGLAAGVFTQNINTANRLTRSLRVGSVWINCFDVFDAAVPFGGYKMSGHGREKGIYSLSNYLQVKAVVTPLENPAWL >KGN61799 pep chromosome:ASM407v2:2:11983654:11986288:-1 gene:Csa_2G247600 transcript:KGN61799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTLEEEEEYNWREVRLPSLIPVVPEPELERETAERRRGRDILIAVDHGPNSKHAFDWALIHFCRLADTIHLVHAVSNVKNELVYEFSQGLMEKLAVEAFEVAMVRTVARIVQGDAGKVICKEAEKLKPAAVVMGTRGRSLIQSVLQGSVSEHVFHNCKSAPVVIVPGKEAGETSVI >KGN62337 pep chromosome:ASM407v2:2:15890914:15896614:1 gene:Csa_2G350200 transcript:KGN62337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAFDEYGRPFIILKEQEQKTRLRGLDAQKANIASGKAVARILRTSLGPKGMDKMLQSPDGDVTITNDGATILEQMDVDNQIAKLMVELSRSQDYEIGDGTTGVVVMAGALLEQAERLLERGIHPIRVAEGYELASRIAVDHLEHIAQKFDFGEKNLEPLIQTCMTTLSSKIVNRCKRSLAEIAVKAVVAVADLERRDVNLDLIKVEGKVGGKLEDTELVYGIIVDKDMSHPQMPKQIEDAKIAILTCPFEPPKPKTKHKVDIDTVEKFQTLRLQEQKYFDDMVQKCKDVGATLVICQWGFDDEANHLLMHRNLPAVRWVGGVELELIAIATGGRIVPRFQELTSEKLGKAGLVREKSFGTTKDRMLYIEHCANSRAVTIFIRGGNKMMIEETKRSIHDALCVARNLIRNNSIVYGGGSAEISCSVAVETAADKYPGVEQYAIRAFADALDAVPMALAENSGLQPIETLSAVKSQQIKENNPYCGIDCNDIGTNDMREQNVFETLIGKQQQILLATQVVKMILKIDDVISPSDY >KGN61029 pep chromosome:ASM407v2:2:3490977:3491234:1 gene:Csa_2G034820 transcript:KGN61029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSANNKRQKRQKSTSCCFSIFSLFKSRDGGKRWDDAMTMDDTRKVWPSDGDKGRHWVAEPGIDRKAKAYIEKIHHQIRSSQTQ >KGN61133 pep chromosome:ASM407v2:2:4396781:4402353:-1 gene:Csa_2G058660 transcript:KGN61133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWASQIYKWISIHAGRRCTLDMAAASTALILPINGGNLPPSQYLSFRHTLPSATFSRLGWSRDAGRSTRRTRGQAFRISNVSPGRDGLIKQVIMVDPLEAKRMAAKEMEKIKAKEKFKRRRQIEAINGAWAMIGLTAGLVIEGRTGKGILAQLADYFSILINFFIR >KGN63061 pep chromosome:ASM407v2:2:20367443:20373293:1 gene:Csa_2G396220 transcript:KGN63061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSLTASSGSENAAARPPFSPQILNGLSLKELHLAGVAQSSTKVVTPIKLRLCKGKKEVLQGIAAGVDLFDSAYIYHLTLGGFALTFPLDGIVEKQPDAHLIDNASDMTKINLRAIVFRKDTSPILEGCNCYTCLNHTKAYINHLLNVHEMLAQILLEIHNTYHYLGFFQSIREAIKVGKFQQFHQKFVEERRNHLTLPTSALSS >KGN61759 pep chromosome:ASM407v2:2:11651198:11659083:-1 gene:Csa_2G238260 transcript:KGN61759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSYTVVVEADTPQSGGRPSAGPVYRSIYAKDGLLQLPDELQSPWQFFSDSVKRNPGNPMLGRRQTNGDSKAGSYVWLTYQEAYDAAIRMGSAIRKRGVNSGDRCGIYGSNCPEWIISMEACNSQAITYVPLYDTLGANAVEFIINHAEVSIAFVQENKLASIFSCLPRCSNQLKTIVSFTNVSSVLKKEAEELGVSCFSWEEFCQLGSLDCELPSKQKTDICTIMYTSGTTGEPKGVILNNTAVMAEVLSVEHLLLLTDKVATEEDSYFSFLPLAHVYDQIMETYCIYKGSSIGFWRGDIRFLLDDIQELKPTIFSGVPRVYDRIYSGILNKVSSGGSLRKMLFDYAYIYKLGYLKKGLLQEKAAPLLDKLVFDKIKEAFGGRVRLFLSGAAPLPRHVEEFLRVTSCATLSQGYGLTESCGGCFTSIANVFTMMGTVGVPVTTIEARLESVPDMGYDALATTPRGEICLRGSTLFSGYHKRQDLTGEVLIDGWFHTGDIGEWQPDGSMKIIDRKKNIFKLSQGEYVAVENIENIFLQCSLITSIWVYGNSFESFLVGVAVPDQKALEDWAQNHNMTGDFKSLCENLKARKYILDELNSNGQKHHLRGFELLKAIHLEPYPFDMERDLITPTFKLKRPQLLKYYKEQIDELYEEAKNAKK >KGN62224 pep chromosome:ASM407v2:2:15185031:15187108:1 gene:Csa_2G336710 transcript:KGN62224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEKTKGYLEKHGDDASTIDLLPSRFYENFILTGIRVLLIQPGRILCSLKVPARLLNENNSLHGGASASLVDCIGSAALATLGAITTGVSLEISVSYLDAAYLDEEIEIDSKVLRMGKTIGVVNVELRRKGNGKIIAQGRHTKYLAFSSKL >KGN60533 pep chromosome:ASM407v2:2:231729:234745:1 gene:Csa_2G000415 transcript:KGN60533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDLLEKVQIMYYVHYNVTQYQCYVDLESKKKPANSSDGSDSSEKKLSKKELALQQALGQITTTFGKGSIMWLGRSASSKNVPVVSTGSFSLDMALGVGGFPKGRVIEIYGPEASGKTTLALHVIAESQKQGGSCVFVDAEHAFDPALAQAIGVNTENLYLSQPDCGEQALSFVDSQIRRGSVDVVVVNNVAALVPKGEVDGEMGDTPMAMQARLMGQALRKLCHSLFLSQTILIFISQIRSKPSTFGGSEVVTCCGNAVKFYASVRLFVCRIGYVKKGEEVIGSRVQVKVVKNKLAPPFRIAQFELERGKGICKESEIIILGLKYKFMSQAASLYRFHGRSFYGKESLKTFLLESEDAREELITKLREKLLDAEMGKPQNRDETEGSLQEDVITPPNSRDEDAVTAVKVMKNNKHAPFRNVQFELKSGKGISKESEIIDLALKYKFITKAGSFFKYNGRNFHGKEALKTFLSKNEDVRKELITKLQEYIITPPDSTDEDAVTAAEA >KGN60618 pep chromosome:ASM407v2:2:751409:752247:1 gene:Csa_2G004680 transcript:KGN60618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKRFKLRFSRLFQSSFFSCRSKKNSDILISHKPISKPLISPKPPQLSSNYTPKLPLNPSHFQFPPPPASSPIISPPLSHLNDCLSRHRSKSKIKRRKNPHSRPAPPAPPLPRPHPEDFGSAWWYGGHDETEEDETETLFSSRSLTSDSSVSRRRHRRRHGRRRPERKMRDGFFAVVKNSSNPYMDFKASMAEMVVEKKIFGGKELEELLQCFISLNSRHYHKVIFEVYSEIKEALFFL >KGN61502 pep chromosome:ASM407v2:2:8917213:8917470:-1 gene:Csa_2G149460 transcript:KGN61502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKLSRPEALFFSKEKMESFLFREGSYQERLNFKANRMPNKTMNIGAAYSVALLQRLSKEPLKFLVLFKKHRFAVFWLDLNFIVV >KGN62033 pep chromosome:ASM407v2:2:13727004:13727618:-1 gene:Csa_2G287150 transcript:KGN62033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIVEEPAEVGDVDYGSFFVSMALEEFRCVVQDMENADYVRVTITSSQIKFVAPVDHWETSFTTQEGKCIIGGIEEGEEIKCGISLYPMEFYKAFTSKRVWFFSSCDTNGLLLIAPMGVYTHISSFFPQDIPNGGNTST >KGN62455 pep chromosome:ASM407v2:2:16578517:16580119:1 gene:Csa_2G354810 transcript:KGN62455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFETVLQNKISELLNYDFCSSFLSQLQLHILPSSSLNLPPSLAFLAPSFCTLDSIKWSLFFLPPTTHLMTIEQSFDRIPSAADNPLNQNVLTLSLYLPLHLPITPHATSFLFYSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSLSIKLPTSLSFLLQIPISKFL >KGN60989 pep chromosome:ASM407v2:2:3254801:3256236:1 gene:Csa_2G033930 transcript:KGN60989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVSERERKIVVAVDEGEESLYALSWCLKNVVFQNSKDTLILLYARPPRPIYTAMDGTDGEFQTLHPTEELPGYLFSADIMATLDRYSYDVAEAVVEKAKRLCDHLNNVKVETRVESGDARDVICQVVEKLGAHILVMGSHGYGPIKRAFIGSVSNHCAKSVKCPVLIVKKPKNSSPLSSSSN >KGN61068 pep chromosome:ASM407v2:2:3787281:3788807:1 gene:Csa_2G036660 transcript:KGN61068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGAADNASSGSSFLFDKSAKIFVAGHRGLVGSAIVRKLQQLGFTNLILRSHSELDLTRQSDVESFFANEKPRFVILAAAKVGGIHANNTYPADFIAINLQIQTNVIDSAYRYGVEKLLFLGSSCIYPKFAPQPIPEDALLTGPLEPTNEWYAVAKIAGIKMCQAYRIQYKWDAISGMPTNLYGPNDNFHPENSHVLPALMRRFHEAKVKGAKEVVVWGSGSPLREFLHVDDLADAVVFLMEEYSDLGHLNVGSGKEVSIKELAELVKEVVGFEGDLVWDKSKPDGTPRKLMDSSKLAELGWNPKISLKDGLVDTYKWYVQNVQQ >KGN61504 pep chromosome:ASM407v2:2:8941295:8943206:-1 gene:Csa_2G149480 transcript:KGN61504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPLWLVLMFKDGRRPKTWGMTGEESNPALLFRLYVEYGRYTEATHLLLEYMESFASMAPADLINRKRPFSICFPYNAVQYLWCKIDELIRSGHMVDACEKLRNLLHGALLNHLKLLKVEGDDILSAVA >KGN62219 pep chromosome:ASM407v2:2:15131798:15136913:-1 gene:Csa_2G336660 transcript:KGN62219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILIQFPSNLQFFHSSSFARKLDGNIMGDTAQPIGQSWRLHRKGRCLISLYCSSRNVQTSRNISVSFSSINGEKTHQNFLRDEKVAPDSEPPSLKDVDFLYNFLDLRSKLVISTGAGISMECGIPDYKSPNGAYSSGDRPIAHQCFCDPRTHTGKQPLEHKFALVTSRRAMNVSILSLFFYGFSTSISSAVFAEDFELERYTDSKEGFTLLRPSSWVKVDKAGATVLFEEGNKGSNNVGVVVNPVRLTSLGEFGTPQFVADKLIQAEKRKESTKDAAVVGVAERSGEGGLQVYEFEYTVDSTRGGMKRIFSAAFVASKKLYILNISHSDKPESPLDSQKRMMLEQVLHSFDAAPSS >KGN61592 pep chromosome:ASM407v2:2:9918592:9924770:-1 gene:Csa_2G174090 transcript:KGN61592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMEVEGDLEFLLDIEMLQLPEVSSFAMKSNPYVVEALFSQWLSLPETGRLVNSLVADAKSGASFNVGGNSTGINGVSNHSLPSMFPGGSTPPLSPRSASSSPRMVKQRFGPSSLSSPLKLVKEPAREAIPQFYFTSGRPAPNELTEDFLSKANQFFLSRSDGVQIKEFKLVTKEICKLPSYLSTALFRKIDVNCNGKVSREQFINYWIMSNMLTMDLASQVFAILKEPERNYLVQDDFKPALQELLATHPGLEFLQSTPEFQERYAETVIYRIFYYINRTSNGRITLRELKRGNLIAAMQHVDKEEDINKVLKYFSYEHFYVIYCKFWELDNDHDFFIEKENVIKYGNHALTYRIVDRIFSQIPRRFTCNVEGRMGYEDFVYFILAEEDKSSEPSLEYWFKCIDLDGNGVLTRNEMQYFFEEQLHRMECMAQEPVLFEDILCQIFDMIGPENEECITLRDIKNCKLAGHVFNILFNLNKFVAFESRDPFLIRQEHEDPTLTEWDRFAHREYIRLSMEEEAEDASNASDVWDESLEAPF >KGN61501 pep chromosome:ASM407v2:2:8897738:8915438:1 gene:Csa_2G149450 transcript:KGN61501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAIKKNSECSRIGNVFHKLMRQIGNPVDFELPDWFSKGKPMPDTFIRRNIYLTKKFKRRVEDDGIFCSCSPSPGSPGVCCDKDCHCGMLLSSCSSGCKCGVLCLNKPFQHRPVKKMKLVKTEKCGSGIVADEDIKQGEFVIEYVGEVIDDKTCEERLWNMKHRGETNFYLCEINRDMVIDATYKGNKSRYINHSCCPNTEMQKWIIDGETRIGIFATRDIPKGEHLTYDYQFVQFGADQDCHCGAVDCRRKLGVRPTKPKTSSDAALKLVASQVAVSSPKLKAYLSKRDVYKNGTLHVGSSKQACNQQAVHTSNCIGEVIWIARPISGRSFGIIKRFDQYSRKHTIMFEDGNVEFLDMSKEDWEFARPVIEL >KGN63091 pep chromosome:ASM407v2:2:20565872:20581780:1 gene:Csa_2G402000 transcript:KGN63091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTAIDQVNQSYDEANKTPKNVFDLESFVGDLTVEEDACSDDISLEGLQQELEECKDDDVVTNILSKGVKLREYTKGVENNLRQVELDSIQEYIKESDNLVSLHEQIRDCDNILSQMETLLSGFQAEIGSISADIKVLQEKSMDMGLKLKNRKVAESKLAKFVEEIIVPPRMIDIIVDGEVNDEYLRTLEILSKKLVVAEVDPMIKNSKALKDVQPELEKLRQKAVSKVYDFLVQKLQALRKPKTNIQILQQSVLLKYKYVISFLKDHSKEVYNEVRTAYIDTMNKVLSAHFRAYIQALEKLQLDIATSNDLIGVEARSSGLFLRGREPLKNRSAVFALGDRIKILKEVDEPALIPHIAEASSIKYPYEVLFRSLHKLLMDTATSEYNFCDDFFGEEPMFYDIFAGPFAVIDEHFTSILPNSYDAIGLMLMILIIHQHQLIMSRRRIPCLDSYLDKVNIALWPRFKMVFDMHLSSLRNANVKTLWEDDVHPHYVMRRYAEFTASLIHLNVEYGDGQLDLNLERLRMAIDDLLIKLAKTFSKAKSQTVFLINNYDMTISVLKEAGPEGGKILVHFEDLLKSNTALFVEELLAEHFSQLIKFVKTRGSEDLSSNPDRPITVAEVEPLVKDFASRWKAAIELMHKDVITSFSNFLCGMEILRAALTQLLLYYTRLSDCIKRIVGGSALNKDLVSISSIMYEIRKYSRTF >KGN62443 pep chromosome:ASM407v2:2:16510416:16513320:-1 gene:Csa_2G354690 transcript:KGN62443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIKPLESLPPTESLEMESGLKLVQRLKFNFTVYPSTPSVTKSLDEWKLKRALIDFLKSSLSVPVIVPEEDLVIKRIKQLKTRKSGDPLARGTLFVRDLAFMKTANKRYEEEEEEVSALEKKFLDWRRLLVEKLDGIELNLEGFKFKLIVVIPESDNFEEMKKNWEEFYAFGNQGYSRSGSQGPDTITLRGAPSRWFAEPWVSSKPSMLVTHTIFSTFGSIRNLNIAVDDDFGKDGNEDGEDIISGLHCKITVQFEKHRDFYNALKVLSGRSLQKSVSKYDGKIKMLTITQIAHCSKDLVSGLITRSPGTRMVLPNTQEIKHQLMAAECRKWLQGNLKMRP >KGN60764 pep chromosome:ASM407v2:2:1720765:1725636:-1 gene:Csa_2G009510 transcript:KGN60764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKDNNAVPAPTGCFKCGKPGHWSRDCPSSAPNPNPTSNSSTPSFSSPPAAPSKAVEKPKKAPRTRPKLTPELLLSDDGLGYVLRHFPRAFKYRGRGHEINDLENLIGLYREWHSHILPYYSFEHFVHKVEQVAATKRVKMCLGELRERVARGGDPTKWREAPILNDDDDDVNNEKEAKDPDDVFDNQEEASLRNNGADDIEEDMLQEVYEKATEEPLQNEQSDSLTIGGTMEGVANQEPKTSNSSETSELTEEQRVRMEANRLKALERAAAKARSLPTV >KGN60936 pep chromosome:ASM407v2:2:2869194:2869830:-1 gene:Csa_2G028480 transcript:KGN60936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEVGSKEYKELESCPEKAFLRTINSQLQCLLGMSLIEILSRHASDEVYLGKRGSLEWTCDRDALEAFDDFGQEVNEVEERIMERNRNIKFKNRTGQANVPYTLLLPSSNEGITGKGIPNSISI >KGN60539 pep chromosome:ASM407v2:2:280148:284042:1 gene:Csa_2G000470 transcript:KGN60539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGTIRLSVLEFIDLPELLPSQISIKVSMGKRHYETSDKGDFSFPLTTLRDDVILIVQDAGGNEISRAGVQAKSIVEKGYWDDLFPLEGGGHVHLQFQFALSEDDRSRIRMMRETALRRKQVERQDRNLRSSGSNIGSSFYRNPELSDSQKCLLQIGDLSAKGPTQQSTSTSTENISDGKPITERTNNVQLDQNDANRTKRNPSTTPQLQEVDANKPKVNNTVLVERIEKQSPHANKRSPTIRSEENLFNSQGTELSNSSSKGEEKTDATGTPSRRRAPGNVKKLLSAFESSLTQDTKPRIKPTLRNAQHSVVEKQTSLRVNQSKKPSEDNTKAILSQTPIGPPLAGELTHDLADIKQKEQKRKFIEARDGTKIFEDPGQSLKLKGKKNQVGGENLIEKDKMHKERDDIDVKNDESYQSRVQDKQFLSKRSGGWIFPDERRRLCVTTSDNQIQDLAGGGRISYTFVRKGEMKISTEESRGTSETKANGGKSEHQEMIKPDSSDDVKPFEGALAKALKIAIMVGFGTLVLFTRQRKKKK >KGN61053 pep chromosome:ASM407v2:2:3659662:3661982:-1 gene:Csa_2G035530 transcript:KGN61053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKARKGVAANSMACALFESSMVGIKHQSLLQDYEELHNETEAMKKKLLIAKRKKETLLDEVRFLRHRYELLKKQPANIQPKVGFKRPRNLELKPPTVKKEKSSRKREASLKPLAQAHDVNQRGGIYNGVEASSRKSQSFFDLNQKSNTCSKKEVIVNSSFPTFDQKERVYRAHEAAANRNMTPVFDLNQISREEEELQAGFKPVRLEDEPKNIFPRSEHDAKNSELVLSSMCRNDDNGSNRAGKRKISWQDQVALRA >KGN62312 pep chromosome:ASM407v2:2:15785678:15786804:1 gene:Csa_2G348980 transcript:KGN62312 gene_biotype:protein_coding transcript_biotype:protein_coding description:Invertase/pectin methylesterase inhibitor family protein MAASYNRSTISSCSNFYLLMLLVVANMLWSSWGSEAALTLNLNLSLDLCKKADYPALCRSVVKGLVDPSTAMETSIKQLMVETKQAMSVAKRQKSSAMDVCIEVYDDAYSNLETCLSSLKSHDKGTLNINLSAALTDYVTCQDAIAEKGLSSPITRNNNLLSQMTTNCLYLSGLIRLH >KGN61478 pep chromosome:ASM407v2:2:8628592:8631304:1 gene:Csa_2G139800 transcript:KGN61478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVFRLAGDMTHLSSILVLLLKIHTIKSCAGISLKTQELYALVFITRYLDIFDRFTSIYNFLMKLIFLGSSLSIVWYMRRHKAVHRSYDKDQDTFRYQFIVLPCLLLALVINHKLTFKEVMWTFSLYLEAVAILPQLVLLQRTRNIDNLTGQYVFLLGAYRALYLLNWVYRYFTEPGFVRWITWIAGLVQTLLYADFFYYYILSWKNNVKLELPA >KGN61681 pep chromosome:ASM407v2:2:10866995:10867688:-1 gene:Csa_2G223720 transcript:KGN61681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQRRRFSFSLYQTHVKLLAFDLNSLNQTSSSDSVSFSRKGYAVSCTEIVGVVVFRDLKPNRFLKFSVDDGTACVGCILWLNHLTSSYFASRHHQDVRILGDMATHFAAQIRVGIVVRVRGKLSSYRGMVQITVSDVVVEDDPNAEILHWLDSMRLAMKCYDLSPTPT >KGN61714 pep chromosome:ASM407v2:2:11244395:11252615:1 gene:Csa_2G231960 transcript:KGN61714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFLMVLSLLLSLLNKLKKMMSENSIVSKLKPYILVVSLQFGIAGIYVICMATLTKGMSRYVLIVYRNTVATLFLAPFALIFERKTRPKMTLPVALQIMVLGFLEPVVDQGFGYLGMTYTSASFTSAIMNAVPSVTFIIAVLFRVERLNVKQVRGVAKVIGTLVTFAGALVMTLYKGPILDFFWTQKTNHHVNIGAAATNQHWVAGTLFILLGCVAWSCFYILQSITVKRYPAELSLSALICLAGALQSTVIAVAIEHHASAWAVGWDSRLLAPLYTGIVGSGIAYYFQALVMKTRGPVFVTAFNPLCMIVVTIISSIVLAEKIHLGSVIGGLIIAIGLYAVVWGKSKDYSTADHLQKPNGTAVPELPIVASQLPAEQEAHLQPSK >KGN61229 pep chromosome:ASM407v2:2:5577280:5577599:1 gene:Csa_2G072430 transcript:KGN61229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQKLATISIVVLVIFPCIVSTTKGDVLNVHCVRPCYDTYDDESCYNDCIRENLGAGFCYPKLPSTDKDCCCND >KGN62633 pep chromosome:ASM407v2:2:17476564:17476864:1 gene:Csa_2G362460 transcript:KGN62633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRETRVRLRKLCKEEDERRAAPGPTFGYGGSPLGHEHGSLFYLDANTESNP >KGN63013 pep chromosome:ASM407v2:2:19997918:20003018:1 gene:Csa_2G383350 transcript:KGN63013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTLSRLIHSRSSFPKLYTMAASRGGRPFSTDSNKIDEPFKVEEAETVNVPPPPTEKLLVLGGNGFVGSHICQEALNRGLTVASLSRSGRSSIRDSWANNVIWHQGNLLSPDSLSEAFDGVTAVISCIGGFGSNSQMYKINGTANINAIRVASDKGVKRFVYISAADFGLANYLLQGYYEGKRAAETELLTKFPYGGVILRPGFIYGTRHVGSMKLPLGIIGSPLEMVLQHAKPLHQLPLVGPLFTPPVSVTSVARVSVRAATDPVFPPGIIDIYGIQRYSQHKSK >KGN61706 pep chromosome:ASM407v2:2:11107231:11109979:-1 gene:Csa_2G228420 transcript:KGN61706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPQYPVKEEDWGPSSSEFGGGYGLPPTPQPMEGLNDVSPPPFLIKTFDIVDDPLTDHIISWGRGGISFIVWDPKAFSANLLPRFFKHNNFSSFIRQLNTYGFRKINPERWEFANEGFLRGQKHLLRTIKRRKPPTTDHLPSEQEPSACVEIGRFGLDVELDRLKRDKQVVMMELVKLRREQQNTRAYIQAMEQKLQGTEMKQRQMMKFLARAMQNPDFVHQLIQQKKKRDIEEASTKKRRRPIDQGPASSSRSSEEESISNIKIEPIEFCGYEVSELEALALEMQGLGRAVKKETKVKEEMQQSSENGDAELDEGFWEEFFSGRIEEGENDDMVKALSNRFGYLGSIP >KGN60687 pep chromosome:ASM407v2:2:1216913:1220326:-1 gene:Csa_2G006820 transcript:KGN60687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSCISSAQEQLCYIPCNFCNIVLAVSVPCSNLFDIVTVRCGHCSNLWSVNMAAAFQSLSWQNSQASNHSHSGSGGDHYRVELGSSSKANNKMKMRAPIKNPTNDHDQRLINRQRKSKGSKQLIQILPTGKPSVLLLKIGHISHIFILASCWIPTICRVRMMDLRSI >KGN60737 pep chromosome:ASM407v2:2:1518250:1526995:1 gene:Csa_2G008770 transcript:KGN60737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITSLSSLQSTNVSSTPSISPLSISSPSSSSPSSSSTSLSLQLSSFRPTRLRSSSVFTHPHLTRTPKLKGLKVNCAAAEPLKVMISGAPASGKGTQCELIVQKFGLVHISTGDILRAEISAGSEIGNKAKEFMNSGRLVPDEIVTTMVTTRLSGKDATEKGWLLDGYPRTLLQAESLQKLQIRPDIYLILDVPDEILIDRCIGRRLDPETGKIYHLKYFPPETEEIKGRLVVRPDDTEEKVKQRLEIYKRNAEAIAPVYLNIAKKIDGSRPKEEIFEELSSLLSQIQKEKAMKSGKSTLGIKSTSTQDSWRGIPTRLNNIPHSREIRKYFYDDVLQATKRAVQAGRTRLKVENNIPELNPEMDVYRIGTLMELVRTIALSFADDGRRVKVCIQGSMGEGALSGMPLQLAGTRRILEYMDWGEYGALGTFVKIGSIGAKEVDDEDDMFILVAPQNAVGNCIIDDMKAMTDAAGDRPVILVNPRLKDLPGSSGIMQTMGREKRLEYAASFEICYFFRLLYYAGTQYPIMGALRMSYPYGYELYRRVDEPSGKEKYIELSKYPKRPSTDDINDAFQGNKRKEAKSSSGIWGFLSGIL >KGN61389 pep chromosome:ASM407v2:2:7696419:7696864:1 gene:Csa_2G108670 transcript:KGN61389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKHCNAPKIGRRHARVSKHNAMLRQGSQASRRSFQSSLRGSTILGIPATIPLSFLFHFPPRVFFIVD >KGN60505 pep chromosome:ASM407v2:2:104219:107304:-1 gene:Csa_2G000140 transcript:KGN60505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIREPDTQSERPTGCKQERIVIENIWEDRAEPNDGVGLQMTLLFFSSRAYRLRTSNFSIAQFYSLADSVSRARPFCDREIIRHSEAWLVKASTSSSSHVKGLKLAV >KGN60517 pep chromosome:ASM407v2:2:150485:150853:-1 gene:Csa_2G000260 transcript:KGN60517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSAISMAMPITNAAQKRARRAEAFAKPLPLRPSNKPSGSSSSSAKFQVRASLKEKAVAGLAATALTASMVLPEVAEAAGSGVSPSLKNFLLSIAAGGVVVTAILGAVIGVANFDPVKRT >KGN61319 pep chromosome:ASM407v2:2:6647550:6650870:-1 gene:Csa_2G080190 transcript:KGN61319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMCYFFLLFLFGQLSNNSVIVNSQHHDVHDHVLCDPKQSLALLEFKNAFSQMNPFRKYLCNHGQAYFRTSTWNKNTDCCSSWNGVECDDEGDGHVVGLHLGCSFLQGILHPNSTIFTLSHLKTLDLSGNDFSGSPFSPQFGMLTNLRVLDLSGSSFQGHVPLQISHLSKLVSLNLSANHLTFSNVVMNQLVHNLTNLTNFKLALTNLYDIKPTSFNNLSLSLVSLDLSLSKLSGNFPDHILSLPNLNFLKLYNNPELSGHLPMSNWSKSLQILDLSQTNFSGGIPNSTSEAKALSSLRLSNCNFNGEIPNLQSHSNAFMGQLVTNCVFNISQQISSSNYNSFTNVCSDTILPNLVHLSLEFNSFTGVIPSWIYSLPNLNHLDLSSNQFSSFMRDFRSNSLEFLDLSSNNLQGEISKSIYRQFNLTNLILDYNNLSGVLNMDMLRITSLRDLSVSNNRQLSILSTTATSSNLTLVRIEVLLAMPNLKRVGLDHNLFKQLPVPMLLPSIMETFSVSNNKVSGNVHPSICQATNLTYLDLSNNSLKNHFIGQIPRSICFAYNLQILSLANNRMIGGTIPSCLPNITSLTVLDLKGNNFSGTIPTFFSKECRLRSLELSHNQIQGELPQSLLNCENLEVLDLGYNNITGYFPWWLKAALNLQVLILRSNRFYGHINNSFHKDSFSNLRIIDLSHNYFIGPLPSNLFNNLRAIKEVEKQMPNNSFLGISYYKVSIVISIKGLEQRLLKTILSILRTIDFSSNDFSGEIPKEIGMLRSLLVGLTFLSHLNLSHNQLSGPIPKGKQFDTFWNSSYLGNLGLCGFPLTKCDTNQKDHKTQMLLDEDEEDARLEKGIWVKAVFIGGTQKSSKEPTIHKASQA >KGN61417 pep chromosome:ASM407v2:2:7997468:7997803:1 gene:Csa_2G119880 transcript:KGN61417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQPLCCISHEFQRVALSHPGKIAVIHASGGVQLFRQLHGAGGGGEADDFFQGRATSSFPPMYEADRCFTYSQLLASVDSLSSRLLATVRGPQLNAPTAPRPGHFFNSTEF >KGN60744 pep chromosome:ASM407v2:2:1589836:1590619:1 gene:Csa_2G009330 transcript:KGN60744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADGLLRPIYEACIGCDSEIHRRPYHRNCGCALHKSSRQPPHCSHSKSKSISYPIRRSWSEGCLSLVLASASSSPSSSPVVGKTSQPGAPLSEDDDDDSPILFARPN >KGN61116 pep chromosome:ASM407v2:2:4197974:4201169:-1 gene:Csa_2G055040 transcript:KGN61116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYSIATFLPLILISIEFLSSHAIPNQDISYMKFVHNANDQPIQEKYDYIVIGGGTTGCPLATTLSSKFSVLLLERGSDPNTYPFVLNEETLSYTFILDDDGQNPIQRFVSEDGVENIRGRVLGGGSMVNAGFYSRGHQEFFETAGVDWDMELVEKAYEWVEETLVSRPNLTAWQAAFRNTLLEGGVVPDNGFDLRHLVGTKIGGSIFDNKGNRHGAVELLNKANPKNLKVVIQATVQRIIFKGLSAVGVLYSDSKGKLHTALIHKKGEIFLSAGAIGSPQLLLLSGIGPKSYLSSLKLHLVHHQPHVGQYMTDNPRFSRSIIFPFQLLASTAQVVGTLEKNIHLQSLASPLPFFPLPSYGLLPPQSTSITSSLVIIVGKFSNVSSKGWLHLNNSSTDAKENPIVRFNYYSQHGDISRCVSGVRKVEDLLKTQTMERIKTQDLEGNKGFQFMELPMPENLWNDSSVEEYCKNTVATYWHYHGGCLVGKVVDDNYKVIGIKNLRVVDGSTFSDSPGTNPMATLMMLGRYVGLKVLQQRSS >KGN61969 pep chromosome:ASM407v2:2:13241231:13242808:1 gene:Csa_2G277600 transcript:KGN61969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASELPVAVSVTDVELPEVSSSVLMAESWLRTFVLAHYPSTKITTIVVGNSSLCSNKNLDTNNLHIVLLSMKNLFYSLTRWGLENQIKVSTLFPKDCFHSQEESIQNMVKLVIEFIQSTNSTLSLKLPENVISLHETESFISTHTNKFGFLKLKKVNLLTSVSKQRNPTNRKLSSFMELKEYEPFFTSEPPLPSDLATPPLPPESQIASPPHWSFASAPESPPFVVPASPPMGFTLPPCNPNQNAGAPFPQTGGVQKLWCVAKPNVPAEILQQAMDYACGDGGADCREISAEGSCFHPDSLVAHASYAFNSYWQKNKRSGGTCSFGGTAMIISSDPSFNHCRFVLS >KGN62578 pep chromosome:ASM407v2:2:17192911:17197595:1 gene:Csa_2G361440 transcript:KGN62578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDRCLARDELSVFLESGIYRFVDSRFIFVDPVRVLNRSYSRFRVSPAGYYSRFFDYERKGLENKDCLSYGKKRKRKEKKLRCLNEKECAADQRHQVAKPLLLKAYELLLQATDLLSVTRSLNRESGSSEGRNLPSDHQLLIEHGRIWQAPLYEITLKLPEHDQSSEVGGSSFKDRVVPLFNNLVVNETSDEVEAEFLDHQYILPRESCFYMSDLRQVHNLIPAKASSGFSLILIDPPWENGSAQQKSKYPTLPNRYFLALPIKKLSHGKGALVALWVTNREKFHRFIDLELFPAWGVVHISTYYWLKVKADGSLISDLDLFHHRPYECLLLGYCYGEDVQDVKILDQTLPKDRVIISIPGDYSRKPPIAELLQEYVPGPKPGRCIELFAREMNPGWLSWGNEPLHFQESQYFTRT >KGN62770 pep chromosome:ASM407v2:2:18442378:18447659:1 gene:Csa_2G372170 transcript:KGN62770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMALRRIAVGVTNPILPLLNARFLHRMSSLSGAAAEEREKARATWIQQLNAPLEVIDPEIADIIELEKARQWKGFELIPSENFTSSSVMEAVGRWIKFSKSVTCRDGTGPFCSYIDMAESLCQKRALEAFRLDPAKWGVNVQSLSGSPANFQAYTALLKPHERIMALDLPHGGHLSHGYQTDTKKISAVSIFFETMPYRLDESTGYIDYDQLERSASLFRPKLIVAGASAYARLYDYARIRKVCDKQKAVMLADMAHISGLVAAGVIPSPFEYADIVTTTTHKSLRGPRGAMIFFRKGVKEINKKGQEVLYDYEDKINQAVFPGLQGGPHNHTIAGLAVALKQATTPEYKAYQEQVLSNCSKFAQSLIEKGYELVSGGTDNHLVLVNLKNKGIDGSRVEKVLESVHIAANKNTVPGDVSAMVPGGIRMGTPALTSRGFLEADFVKVAELFDETVKLALKIKAGSEGTKLKDFVATMQSNKDFQSEISKLRHQVEEYAKQFPTIGFEKETMRYRD >KGN62458 pep chromosome:ASM407v2:2:16585563:16588809:-1 gene:Csa_2G354840 transcript:KGN62458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSLRRSYSWLLLPVVANINISAKASVSPKIQWRAYLSFGSPPMRFTSRGNQIYSPSTQHRFSSSSSSKPGIGFVGWYLRKVDTHPFITKGITASLIYAAADLTSQTITLSSSGSFDLIRTARMAAYGLLILGPSQHLWFNFMSTISPSRDFLSTFRKIFLGQAVFGPTITSVFFSYNASLQGESGSEIAARLKRDLLPTLLNGVLFWPVCDFLTYKFIPVHLQPLANSSFAYIWTIYLTYMASLKAVDIK >KGN63197 pep chromosome:ASM407v2:2:21201456:21205110:-1 gene:Csa_2G408430 transcript:KGN63197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLALSPIRSGNKDEKQGEMERFSIGGDDFPDFDDDTNLLDSINFDDLFVGINDGDVLPDLEMDPELLAEFSVSGGEESEVNASVSLEKFDDNTLKIIGNKDNDDDEDQKDFDFRSSSQVVDQEILSKREDELATPTNIIEVSPLVKDGGDKSIKPLKASSSQSKNSQSKRKVKVDWTPELHRRFVQAVEQLGVDKAVPSRILELMGIECLTRHNVASHLQKYRSHRKHLLAREAEAASWSQRRQMYGGGGGGGGGGKREVSPWGAPPTMGFPPMTPMHPHFRPLHVWGHPPAMDQSLLHVWPKHLPHSPSPPPPPPTPPPSSWPHTAAPPPPPDSSYWHHHHHHQRVPNGLTSGTPCFPQPIPTTRFGGASFSVIPPPHPMYKAAEPTTSIGRSPTHPPLDSYPSKESIDSAIGDVLAKPWLPLPLGLKPPSLDSVKVELQRQGVPKIPPSTCAA >KGN61165 pep chromosome:ASM407v2:2:4747473:4748139:1 gene:Csa_2G060460 transcript:KGN61165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSLCVVPCPKIVIKKRSACTTPCSYRFRVGQNFSVNLARALLRRSKILVLDEATAAVDVQTDALIQKTIKEEFKSCTMLTIAHRLNTIIDCDRILLLEFGQVLEYDTPKQLLSNEESDFSKMVQSTGVANAQYLHKLVFEGQDNIGISKEM >KGN61613 pep chromosome:ASM407v2:2:10180762:10182951:-1 gene:Csa_2G179230 transcript:KGN61613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDFPGTPGTLTGFVLRILQCVFAAGSIASMATSIGFYNFTAFCYVIASMGLQVTWSLMLALLDAYALVRKKMLHNPILVSLFVVGDWVTATLSLAAASASGGVAVLFFSDLGHCSFGKECRKFQISVVLAFLSWITVTISALIMLWILAAV >KGN62713 pep chromosome:ASM407v2:2:18002723:18003672:-1 gene:Csa_2G369150 transcript:KGN62713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYSFRVPFLVLATIVISMAIPTFAVVYTVGDAAGWSTGVDYSSWTSGKTFVVGDTLMFNYGGGHTVDEVSGSDYNSCTASNSISSDSTGATTVTLNKPGTHYFICGALGHCSNGMKLAVTVADSGAPSSTIPAPSPTEDGVSPSKAPSSLGGASPTTMPSIDFAPKDTDSSLVNSPTSSKVPVEASSATMVSTWAAAAAAGVLFVVA >KGN61403 pep chromosome:ASM407v2:2:7869084:7873154:-1 gene:Csa_2G116270 transcript:KGN61403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVNSPTHLAPPPLPSLPRSSFAFVSRIFLRPASPSTFPWRTLVSLRAQPPNTDGYGSKQPLGLENSEPSFRSTGSPSSSSVIDFLTLCHRLKTTKRKGWINHGINGPESIADHMYRMALMSLIAGDLPGVNRERCIKIALVHDIAEAIVGDITPSDGVPKEEKSRRESAALHEMCQLLGGGMRAKEIKELWAEYENNSSLEANLVKDFDKVELILQAFEYEIEHGKVLDEFFHSTAGKFQTEVGKSWAAEVLTRRNSRLTNSHN >KGN60873 pep chromosome:ASM407v2:2:2506310:2509547:-1 gene:Csa_2G020960 transcript:KGN60873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLTLILTFFLLCFPFARSNPFSRPAIFNFGDSNSDTGCLVGAAIESINPPYGHRFFGHPSGRYCDGRLIVDFLLDAMDMPFLNAYLDSLGAPNFRKGCNYAAAGSTVLPATATSVSPFSFGVQVNQFLHFKARVLELREGKGGKKLDKYLPAEEYFQKGLYMFDIGQNDLAGAFYSKTLDQILASIPTILAEFESGVQKLFDQGARNFWIHNTGPLGCLAQNVAKFGTDPSKLDEFGCVSSHNQAAKLFNLQLHALCKKLQGQYTDSNITYIDIYSIKSNLIANYSRLGFQQPIMACCGYGGPPLNYDSRIVCGQTKMLNGTLVTAKGCDDSSEYINWDGIHYSEAANQYVSSQILTGKYSDPPFSDKMPFLLKLKF >KGN62198 pep chromosome:ASM407v2:2:14993027:14996719:1 gene:Csa_2G327500 transcript:KGN62198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDSSTSLAPGFRFHPTDEEIVRYYLRRKVSGKSLRFDPISVTEIYKSEPWDLPGKSKLKTRDLEWYFFSPLDKKYGNSSRTNRATEHGYWKTTGKDRPVRHNSRVVGMKKTLVYHSGRAPRGARSNWVMHEYRLTDEDLEKAGVVQDAYVLCRIFQKSGSGPKNGEQYGAPFIEEEWEDDEELTLPGEEVVANEGLVDVDDYMHFEVDDIAQYFDGELPGEYGQPPLIYPQETSNHVELPNGLVENDNKPEVCAGDTFELQPSLNFFQLPEQYGTGESSERDDRFAESSGNLAESSDNFNQEDINYLLDEPYPSVPDDLALNEELFLEANDLSNPVESDPSALDVLEEYFTFFDADDNLQLAFDPSDLFDSEEPISSQTTSEEKVSEVAEKEFMAAKQSSVTFVNDASTSKQDPKAIETSSDSKSPFFKGASYMLGNIPAPPAFASEYPSKDMAIRLNSAAQTSSSVHVTAGMIHIRNLTSNGDLVNDPLYGKNADVNLILSFAQHQHQVESDHQSEKNGTIVGSRGFLFFFLLFWVLILSVSFKVGSCIYSH >KGN60782 pep chromosome:ASM407v2:2:1864658:1864993:-1 gene:Csa_2G010180 transcript:KGN60782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSLMKTVAFLFIFLSIVDMSAARVLNGERWLPGSMVPFAMLRGPVPSSSRNPCSFIPGFSRGRCTLSEVDEGDASVRGGSSAFPGLNADGFAAASVVNQTQKQDSSMSS >KGN60977 pep chromosome:ASM407v2:2:3189394:3194861:-1 gene:Csa_2G033320 transcript:KGN60977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRPNERAEVRRNRYKVAVDADEGRRRREDNMVEIRKNRREESLQKKRREGLQAQQLQTSTHSSVVEKKLEYLPSMVAGIWSDDGSLQLESTTQFRKLLSIERSPPIEEVIQAGVVPRFVEFLMREDFPQLQFEAAWALTNIASGTSENTKVVIDHGAVPIFVKLLGSPSDDVREQAVWALGNVAGDSSRCRDLVLGHGALVPLLAQLNEQAKLSMLRNATWTLSNFCRGKPQPAFDLVKPALPALARLIHSNDEEVLTDACWALSYLSDGTNDKIQAVIEAGVCPRLVELLMHPAPSVLIPALRTVGNIVTGDDLQTQVIIQHNALPCLLNLLTNNHKKSIKKEACWTISNITAGNKAQIQAVIDANIVAPLVHLLQNAEFDIKKEAAWAISNATSGGSHDQIKYLVNQGCIKPLCDLLICPDPRIVTVCLEGLENILKVGEAEKNTTNTGGVNLYAQLIDDAEGLEKIENLQSHDNTEIYEKAVKILETYWLEEEDETMPPGTDPQAGFNFGGDRPVVPSGGFNFG >KGN60518 pep chromosome:ASM407v2:2:152734:157571:-1 gene:Csa_2G000270 transcript:KGN60518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTCIARSKKLGDASLSQIEEPDSDNGLENKQQSVKSLTGQLRDMALKASGSYRTCNPCAGPIPQSRLKNCSSQSDADSERFKWAYKRSGSLSSTKTRTWGKEMEARLKGISSGEGTPNSLSGRRVDPVVYVEESEPKEWVAQVEPGVLITFVSLPRGGNDLKRIRFSRDVFNKWQAQRWWAENYDRVMELYNVQRFNRQAFPLPTPPRSEDESSKIESVENSPVTPPLTTERLPRNLYRPIGAGVSYSSSDSLEYQPMQYRQYQDSGLTSTPKLSSISGAKTETSSIDASMRSSSSRDADRSGELSISNASDLESEWVEQDEPGVYITIRALPGGKRELRRVRFSREKFGEMHARLWWEENRARIHEQYL >KGN61187 pep chromosome:ASM407v2:2:5164169:5181090:1 gene:Csa_2G062620 transcript:KGN61187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFKGPPQSPYGRRTDVESGSSNSGDVDDDDSSNPFEIRTTKHASVDRLRRWRQAALVLNASRRFRYTLDLKKEEEKKEALRKIRAHAQAIRAAYLFKEAGDRLTGPGPTTAEAPNGDFSVGPEQLAVLVKDRNVEALEQHGGVKGIADMLQSNLEKGIVGDDSDLLNRKNKYGSNTYPQKPGRSFWRFLWEAWQDLTLIILMIAAVASLVLGIKTEGIKEGWYDGGSIAFAVILVIVVTAISDYRQSLQFQNLNKEKRNIQVEVVRGGRRIEVSIYDIVVGDVIPLNIGDQVPADGILISGHSLAIDESSMTGESKIVQKHGKEPFLMSGCKVADGNGTMLVTSVGVNTEWGLLMASISEDNGEETPLQVRLNGVATLIGIVGLTVAFAVLVVLLARYFTGHSKNPDGSRQFIAGQTKVGRAVDGAIKIVTIAVTIVVVAVPEGLPLAVTLTLAYSMRKMMADKALVRRLSACETMGSATTICSDKTGTLTVNQMTIVEAYAGGKKIDPPEKKSEFSPTLHSLLVEGIALNSNGSVYVPESGGEVEVTGSPTEKAILNWGIKLGMNFEALRTESTILHVFPFSSDKKRGGVACQQDNQVHVHWKGAAEIVLASCTQYMDEHDQFVQLDEDKMKYFKRAIEDMASRSLRCVAIAYRPVDPENVPDSEEQLSKWALPEEDLVLLAIVGLKDPCRPGVKDAVRLCQNAGVKVRMVTGDNVQTARAIALECGILGSDSDATEPNLIEGKVFRALSDAQREEVAEKISVMGRSSPNDKLLLVQALRKRGHVVAVTGDGTNDAPALHEADIGLAMGIQGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALIINVVAAISSGGVPLNAVQLLWVNLIMDTLGALALATEPPTNHLMDRPPVGRREPLITNIMWRNLLIQAFYQVTVLLVLNFRGRSLLHLNHSKFEAIKVQNTLIFNAFVLCQIFNEFNARKPDEKNIFKGVTKNYLFIGIIAITVILQVIIIEFLGKFTSTVRLNWKYWIISIIIGLISWPLAFLGKFIPVPETPFHVLIIRMFRKRQSGQP >KGN61733 pep chromosome:ASM407v2:2:11446016:11446994:-1 gene:Csa_2G234585 transcript:KGN61733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFISSKLIILFIWACISTLCSANNGGWFWGRPNCTVSSIPCHKPSLPPPLRRLPPSRYPRTPPSRRFPPPPSRRLRTPPSQRCPLLPPPPPPPRHPRTPPPSRRPRTPPPPPPMLSSPPPPSPIVSPPPPQRRPRPRPRPPPFQPIPPSPPSLPSPSPPSPSPSLPPSPQPTPQSPRKIIVGGSNQWQLGFDYTDWALKNGPFYVNDILVFKYDPPNRSTPPHNVYLLPNMRSLANCDFGKAKVLANITQGSGDGFEFVLKDQNPYYFACGEGNGFHCKLGSMKFTLTPILKA >KGN62464 pep chromosome:ASM407v2:2:16616596:16619096:-1 gene:Csa_2G354900 transcript:KGN62464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRKQGFFSSLKDEVVRGLSPGKSRAKSPVPSTTPATTLRRRRKGAHRHSRHELLIGRSGSLRPAEALSPLKEGPDETDADDSKMEGRWAQWMKGQLCRAPSVSCSAYKRSDLRLLLGVLGAPLAPVHVSSSDPLPHLSIKDTPIETSSAQYILQQYTAASGGQKLQNSIQNAYAMGKVRMVASEFETANRVIRSRNSSKDAESGGFVLWQMNPDMWYVELALGGSKVHAGCNGRLVWRHTPWLGAHCAKGPVRPLRRALQGLDPKTTASMFTNARCTGEKKINDEDCFILKLCADPATLKARSEGPAEIIRHVLFGYFSQKTGLLVHLEDSHLTRIQNNGGDAVYWETTINSFLDDYRPVEGIMIAHSGRSVVTLFRFGDTAMSHTKTRMEEAWTIEEVAFNVPGLSVDCFIPPAELRFASMSEACELPQDQIIDNAVTAQALHAKVAALDKLDDNNVVWNTDAA >KGN62704 pep chromosome:ASM407v2:2:17950427:17962445:1 gene:Csa_2G369050 transcript:KGN62704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNSGPPAAVYGFRDRRPEALGDLRFLPDEVINAILENLTPRDVSRLACVSSVMYIFCNEEPLWMSLCLNSVKGPLQYKGSWKETALRLENVPDGYEEPCRKKLQFDGFHSIFLYRRFYRCNTTLNGFYLDAGNVERKTDLSLEEFQEEFDGKKPIILSGLVDTWPARRTWSIDNLSQKYGDTAFRISQRSTKKISMKFKDYAAYMQLQHDEDPLYIFDDKFGEAAPDLLKDYDVPHLFQEDFFDVLEEDKRPPFRWLIIGPERSGASWHVDPSLTSAWNTLLCGRKRWALYPPGKVPLGVTVHVSEEDGDVNIETPSSLQWWLDFYPLLADEDKPIECTQLPGETIYVPSGWWHCVLNLESTIAVTQNFVNVNNFEFVCFDMAPGYRHKGVCRAGFLALDGNGLEDTETHIPCDKDSLSTFDLERKEKRIKVHKCEDDSTHENALNGASKFYNLWKQGFSYDINFLASFLDKERDHYNSPWSSGNCIGQRELREWLSKLWYEKPAIRELIWKGACLAINAGKWLECLEEICAFHDMSPPTDEERLPVGTGSNPVYLMDDRVVKIYIEEGVEASLYSLGTELEFYNLLCKGNSPLKNHIPEVLASGILYLENGAYKIVPWDGKKIPDVIARCNLLPDMYQANDFPFGVWSKKQFEFRKAGLSMYEPMGSAEPINIWPYIITKRCKGKMFAQLRDFLSWDDALNLASFLGEQLRNLHLLPHPSFNSTISSTSYTLEAIPDCSKITPKWDVFIKTLNKKRESISDHVKKWGSSIPRSLIEKVDEYLPDDMAKLFDIIEDENDLKDCMGLSWIHSDFMDDNILMNPCLVKSCLSESTGDKYLPSNGSKNGWNDNEQSESWCPSYILDFSNLSIDDPICDLIPIYLDVFRGNPNLLQRFLESYKLPLARSQNVDSGDKLRRHSYRIMCYCILHDEDILSAMASLWKELKTAKSWEEIELTVWGGLNSYKGLT >KGN60839 pep chromosome:ASM407v2:2:2246812:2250395:1 gene:Csa_2G012710 transcript:KGN60839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLFETSAGFALFKVLDEGKLSKVEDLSKDFSNAESARQIVKLKAFSKFENMSEALEATTLLIDSKPSKGLRKFLRANCDGETLGVADSKLGNIIKEKLQIDCVHNNSVMELIRGLRNQLNELIAGLAVQDLAPMSLGLSHSLSRYKLKFSADKVDTMIIQAIGLLDDLDKELNTYAMRVREWYGWHFPELAKIIQDNIQYAKTVKLMGNRENAAKLDFSEILPEEVEIELKEASMISMGTEVSELDLINIKELCDQVLSLSEYRAQLYDYLKSRMNTIAPNLTALVGELVGARLIAHGGSLLNLAKQPGSTVQILGAEKALFRALKTKHATPKYGLIYHASLIGQAAPKLKGKISRSLAAKTALAIRCDALGDGQDNTMGLENRAKLEARLRSLEGKELGHVAGSAKGKPRIEAYDKDRKKSIGGLITAAKTYNPAADSLLGKLEKAIEEEAEAPVTGQEKKEKKKKKKRVEEEEDSKPEVENVGKDEKKKKKKRTEEVEEVQNGSEEKEKKKKKKRKHDEDEEEAEQPSKKKEKKKKKSQE >KGN61817 pep chromosome:ASM407v2:2:12097035:12101716:-1 gene:Csa_2G248740 transcript:KGN61817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATNLYSPSPSPSLSSTLKHSLNSNPFHRIAFLPHKPFLKAPLSLKAVLSQNPSKTQTQFQHCFSKSSDGFLYCEGIKVQDIMESVDKRPFYLYSKPQITRNVEAYKEALEGLNSIIGYAIKANNNLKVLEHLRKLGCGAVLVSGNELRLALHAGFDPTRCIFNGNGKLLEDLVLAAEQGVFVNVDSEFDLDNIVAAARIARKKVNVLLRINPDVDPQVHPYVATGNKNSKFGIRNEKLQWFLDAVKAHPDELKLVGAHCHLGSTITKVDIFRDAAVLMVNYIDEIRDQGFEVDYLNIGGGLGIDYYHAGAILPTPRDLINTVRELVLSRNLNLIIEPGRSVIANTCCLVNRVTGVKTNGTKNFIVIDGSMAELIRPSLYDAYQHIELVAPTPPDSEISTFDVVGPVCESADFLGKQRELPTPPKGAGLVVHDAGAYCMSMASTYNLKMRPPEYWVEEDGSVSKIRHGETFDDHLRFFENL >KGN62097 pep chromosome:ASM407v2:2:14155234:14162746:1 gene:Csa_2G297210 transcript:KGN62097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLFHYLLTVTAHSSSLLRVAMDAKTSSLPSSGDSRKVFACVSMKEEKPFDFLRTVFEGVIAGGTAGVVVETALYPIDTIKTRLQAVRGGGQIVLKGLYAGLGGNIAGVLPASALFVGVYEPTKQKLLRTFPESLSALAHFTAGAIGGIAASLIRVPTEVVKQRMQTGQFASAPDAVRLIATKEGFKGLYAGYGSFLLRDLPFDAIQFCIYEQLRIGYKLAAKRELNDPENAIIGAFAGALTGAITTPLDVIKTRLMVQGSANQYKGIIDCVQTIVREEGAPALLKGIGPRVLWIGIGGSIFFGVLESTKRLLAERRPSTRKDPKQE >KGN62110 pep chromosome:ASM407v2:2:14301649:14301900:-1 gene:Csa_2G298320 transcript:KGN62110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDTDASEQEARQYIKDLIMELWKKMNEEVHALNNSPLFCKGFVEIVSILARISHTVYQHRDGHTIEEHETKDRVLSLFIKAV >KGN60586 pep chromosome:ASM407v2:2:512855:513272:1 gene:Csa_2G000930 transcript:KGN60586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAVMTEPTINLPSPYPIEFLFDLDTVLSGADDLGCCQITPSDSPVADFPTVVADVCAVCLDDFHPDEAGKQIPCGHVYHESCISSWLTVADCCPLCRCLVAGQPPDSTLIRR >KGN62580 pep chromosome:ASM407v2:2:17202518:17203537:1 gene:Csa_2G361460 transcript:KGN62580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKPPPSSTEKQRDFFNHLEAYLAKRDGVDKLLKISRYTTKIILSSSAISESHPLTQRLKSFESSVGVSRKAFRLGKFIQDLNALRNSHFESNQEFLLALLAYGGEGFYYFVEQFIWLAKSGLIDKRHSSRLQKISAWAELIGYIGSISLKFRDLNRILEDEACLKASIEIAVNRGIGHREEEEKMKKLRAKRLMKKLSIVQDFADGFMAVADVRDGNGRFSGPLLISFAGLLSALISTHKNWISC >KGN61043 pep chromosome:ASM407v2:2:3585194:3587227:-1 gene:Csa_2G035430 transcript:KGN61043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHDDSYILMDEMDPTPEESKNSSIKQTALQEFRTKQISHWILLVVSSISMLLGFPASSLLSRVYYNNGGKSKWIISWASSIGWLIPALILLPIYFFFHIKPTPLNWKLIVSYILLGFLNAIDSLMYAYAYSYLPASTASLLASSSLVFSVLFGYLLVNNKLNASILNAIVVITAAVVMIGLDSNSDRYGDITDREYIFGFMWDILGSILHGLIFAVSELVFIKLLDRKSFHVVLEQQVMVSLFTFLFSTLGVLMNDDFREMKSEAASFVGGMSSYLVVIAWSAVSCQLGVLGGTAVVFLSNTILAGVLNAVRVPITSIGAVMFLKDPMSGFKILSLFTTFWGFTSYIYGSSSISN >KGN62591 pep chromosome:ASM407v2:2:17243112:17252270:-1 gene:Csa_2G361560 transcript:KGN62591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRFDFGSRPNLSVSSPLHAANDVQGSENPIPLSPQWLLPKPGESKHGIGTGENHFSHQPAYGNRMDMMKGSENYEDMNDTQKKKEVFRPSLTDSETGRRDRWHDEERENNSSMRKDRWRDGEKEMGDSRKMDRWNEDSSTRVFRESRRGPSERWSDSNNRDNVHYDQRRESKWNTRWGPDDKETEGFREKRVDSGRDGDLHLDKNFSHVSNYGKNDRDGDHYRPWRSSSAQGRGKGELPHHQTQTPSKQVPAFSHRGRADNTPPTFSLGRGIISSGVNPTNSIYSSPNYLGASSEKSGREPYYYKYSRTKLLDVFRTTNLTSQQTLKDVFVPVPTLTLDEPLEPLALCAPTTEEMTFLKGIDKGEIVSSGAPQVSKDGRNSSEFMQARRTKLGVSPSLGSREDLPHGFDDYNDDKDDSTTKLGHTNYSEVSTERQVPYHRPQSKNEAIQEQMGHTSGTFKSEAFREDDNALRKTDEVPGNRESSVKGGTNIHPSSTWDASSLEQPLNTSLPDWRDNPNNIISSGTPDKGWVQSSKNLNDGWGSNATNPSYAKDNSKWQTAEESILRRQLSGILDKEQLSRKTVQPAAEDLQLHYIDPSGAIQGPFGGADIIQWFEGGYFGLDLPVRPTNAPSDLPFSALGDVMPHLRSKAKPPPGFSGPKQNEFADSLGNPSFGSLGKLHTGLNEIDTLRNETRHKHGSTVEAENRFLESLMSGNIGSSPLEKSAFSEGVPGYFGNNPNSLSSLGIDNGNNLFLLAKRMELERQRSLSNPYAFWPGIDATSKVSKPDIGLDDPIQQAKLLSSIIDHSRQTSHSQSPDMSAILQGLSDKAPPGINEVAGWSKFSHAPDPLQSKLDLHHDLNLPSQAPFGFQQQRLQPQPSLTNLLAQATDNPTLTPDKFLPSSLSQDPQLISKLQQQHLLQLHSQVPFSAQQMSLLDKLLLLKQQQKQEEQQQLLQQQQLLSQVLSEHQSRQHLIDPSFGQLQGAPIPIGNASADPSQVQQPREKFQIGSQKPLNVVTDRAIPFGNMALQVTQGASYNVNSEDPSLALPHQMFGNVQQKGWTPGLPEQLTDTRSKDMLPGSIVGEVSLFPGLTSKPSEDVSHVQKSSDSHTIQALEQIGEDVPRLDATATSLASDVMVEPLPLKTADISVALQPAEVHDIEVSIPDSVPVLKVQEASMPVQKLERGGCKDDTTLETELKNIEVQEPKKPSDKKTKKQKSSKSLSSDQAKDSKNSAIQQSKQSKSGKSENDLKLKADNIMGKSSDLASSPRKIRDGDDGKISVVDHQPIQSSASAMNTWSDGDTVQVKDDARLVGSDSVLNSQTQSAQRAWKVASSFKPKSLLEIQEEEQKRAHTETAVSEISTSITSMSLSTPWAGIVSSSDPKASKEIHKDSVISESSEKHENLLISKIRRSQLHDLLAEDNMEKSGASDVRVSDSVQIASSPRVLATQAEPMDDNFIEAKDTKKSRKKSAKAKGVGSKPSAPVPSGDVPVGSSPNEKGKISRQTQQEKEAMPAIPSGPSFGDFVLWKGEVANVAPSPAWSSSDSGKVPKPTSLRDIQKEQGRKTSAAQHSHQIPTPQKGQPSQVGRSSSTSTPSWALSASSPSKAASSPLQNVPTQSNHGGDDDLFWGPIESKKENQQVDVRLVSNNWGNRNAPAKAASTGVLSRQKSSGGKADYLSSSPAQSSQKGKQDPVTKHSEAMGFRDWCESECERLIGIKDTSFLEFCLKQSRSEAELYLIENLGSYDPDHDFIDQFLNYKDLLPADVLEIAFQSRNDRKVSAVASREVNSGNAGGDLDPDVPVGRDGSAKSGGKKKGKKGKKVNPSVLGFNVVSNRIMMGEIQTVED >KGN63039 pep chromosome:ASM407v2:2:20133323:20143068:1 gene:Csa_2G385080 transcript:KGN63039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLHRLRDPVIVRARSLLHARLGAFHSSSPVSSRYISRYSTWNVQRFSVGDGSLFRPVPFSCFTGACGRALHLESVGIRFFSSTDSSHAVLEMPALSPTMNQGNIAKWRKKEGDKIAVGDVLCEIETDKATLEFESLEEGYLAKILVPEGSKDVPVGQPIAITVEDPDDINRVLANDVSGATDVKQEKSEASAQASSVEINSSKLPPHIVLEMPALSPTMNQGNIATWRKKEGDKIEVGDVICEIETDKATLEFESLEEGYLAKILAPEGSKDVAVGKPIAITVEDLADIESVKNAVSSSSSIKEDKPADSTVKNGVETLKGGGAVARISPAAKLLIAEHGLDVSSLKASGSHGTLLKGDVLAAIKSGKGLSEVSLSREKRSPEVHAQASSTVLSETKLSTKQSDSFEDLPNSQIRKVIAKRLLESKQNTPHLYLSTDVVLDPLLSLRKDLKEKHDVKVSVNDIVIKAVAVALRNVCGANAYWDDVKGEVVFCDSIDISIAVATEKGLMTPIVRNADLKTISAISSEVKELAEKARAGKLKPDEFQGGTFSISNLGMFPAGILAVGRGNKVVEPIIGDDGIERPVVVNKMNLTLSADHRVFDGKVGGEFLSALQANFSSIQRLLL >KGN62965 pep chromosome:ASM407v2:2:19666282:19669350:-1 gene:Csa_2G382390 transcript:KGN62965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFFHPPKPITIFSSISILLLLIFLLLSQNYNFKFHTPLNSTHLHREFPPISDQFRALHFPQTAPSRVKLRKVRKTRLSREEKLELGLAQARASIRKAANDSNLSTSSIDYIPSSSVYHNPRAFYQSYVEMEKRFKVYVYPEGELPITHAGPCKNIYTIEGRFIHEMEDGGNGFRTVDPSRAHVLFMPFSVAWMVKYLYKDGSYDQTPLRMFVSDYVEVVSKKYPFWNKTNGADHFILACHDWGPIATEGNRFLYNTSIRVLCNANSSEGFNPQKDVSLPEIHLYDGEISPKLLSASNSHHHRPHLAFFAGGLHGPIRPILLNHWKNRTHTNIHVYEYLPKQLDYYDEMLQSRFCLCPSGYEVASPRIVEAIYAECVPVIISERYVLPFSDVLRWEGFSIEVSVSEIPRLEEILMGVSEERYEKLIQGLRTVRKHFVLNRPAKRFDAFHMILHSVWLRRLNVKLA >KGN61049 pep chromosome:ASM407v2:2:3624324:3635503:-1 gene:Csa_2G035490 transcript:KGN61049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDCGYKSQLMGGQREKFVRLDDLDSRLSSPSDSGMRRCGFNIDGFNRAVHGNDKPSGSFKRGMRKGSEGLKSIGRSLKFGVSRAVFPEDLKESKKQIFDPQDKLLLFLNKLFVISCILAVSVDPLFFYVPVINQSSNCLGIDKKLAITVTTLRTIIDVFYLIHMALQFRTAYIAPSSRVFGRGELVIDPAQIAKRYLRRYFIVDLVSVLPLPQIVVWRFLQRSRGSDVYVTKQALLLIVFLQYIPRFLRMLPLASELKRTTGVFAETAWAGAAYYLLLYMLASHIVGALWYLLAVERNDTCWQKFCNAPCKKDFLYCGNQNMEGYASWNQTGVDGLKSSCKPADENKQFDFGIFQQALSSGIAASKNFIGKYCYCLWWGLQNLSTLGQGLKTSTYPGEVIFSIALAVLGLILFALLIGNMQTYLQSLTIRLEEMRVKRRDSEQWMHHRLLPQELRERVRRYDQYKWLETRGVDEQSLVQTLPKDLRRDIKRHLCLALVRRVPLFENMDERLLDAICERLKPCLFTEYTYIVREGDPVDEMLFIIRGRLESVTTDGGRSGFFNRTFLKEGDFCGEELLTWALDPKSGSNLPSSTRTVKAITEVEAFALVAEELKFVASQFRRLHSRQVQHTFRFYSQQWRTWAACFIQAAWRRYSKRKSMELRQKEEAAAEEGSQTATSGGSYSIRATFLASKFAANALRGVQRYRNAKSAQELIKLQKPPEPDFSADDAD >KGN62940 pep chromosome:ASM407v2:2:19553907:19557034:-1 gene:Csa_2G381660 transcript:KGN62940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKEFRVFDDSNHGGFFTVSGLGSQCRLHQDESFLPGGLFASVGQMGVGFGISPDSSNPRNNGGNKLAYTDLYMKYLSWVEGFRIHGANEDEGVVKKKKGGLRLKVKVANPSLRRLMSGAVAGAVSRTCVAPLETIRTHLMVGSSGNSTTEVFHNIMQTDGWKGLFRGNFVNIIRVAPSKAIELFVYDTVNKNLSPKPGEPSKLPISASFVAGACAGVSSTLCTYPLELLKTRLTIQRDAYNGLFDAFLKILREEGPAELYRGLAPSLIGVIPYSATNYFAYDTLRKAYRKICKKERIGNIETLLIGSAAGAFSSSVTFPLEVARKQMQVGALSGRQVYKNVIHALVSIFEKEGIPGLFRGLGPSCMKLVPAAGISFMCYEACKRILVEDDSED >KGN61916 pep chromosome:ASM407v2:2:12818143:12821796:1 gene:Csa_2G264600 transcript:KGN61916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRPLPLLLATVFTLLLLSDVESTSFKLINKCRTTVWPGLLSGAGTAQLPTTGFPLHSGKSKIIPLPKSWSGRLWARTLCGFDSDGKFSCLTGDCGSGKVECIGGGAKPPATLAEFTLNGADGLDFYDVSLVDGYNIPMLIVAKGGRRGGCAATGCLVDLNGACPSELRVAHSGGGGRSVACRSACEAFNAPQFCCSEAFSTPDTCRPSVYSEYFKHACPRAYSYAYDDKTSTFTCASADYLIIFCPLPYTSQKVLSAKKDGAELPLVNKTMMYLRSRRANGVLSAGR >KGN63316 pep chromosome:ASM407v2:2:22293306:22296654:1 gene:Csa_2G428390 transcript:KGN63316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSLSNSHLHLKYIFSLPNSLMASSLIQTLKPDLAPQDLDDLLCSPSHKQPQHESSSLTNSTSNDSTPLLGSPTGYLSRESRIERAWAHWTKLGRPKLIVAPMVDNSELPFRLLCRKYGAEAAYTPMLHSRIFTENEKYRNMEFTTCQEDRPLFVQFCANDPDVLLEAARRVEPYCDYVDLNLGCPQRIARRGNYGAFLMDNLPLVKAIVEKLASNLQVPVSCKIRIFPNLQDTINYARMLEDAGCSLLAVHGRTRDEKDGKKFRANWSAISAVKNAVRIPVLANGNIRHMEDVNDCLQETGVEGVLSAETLLENPALFAGFRTAEWIEGHEENTRDGNLDQADLLVDYLKLCEKYPVPWRMIRSHVHKLLGDWFKIHPHIREDFNAQSKLTFEFLYNMVERLRELQVKMPLYIKDSHALAISSNA >KGN60622 pep chromosome:ASM407v2:2:771250:771901:1 gene:Csa_2G004710 transcript:KGN60622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLKLTAVPIVGFLFLIVLQLAHGHSHDISPAAGPSNDGAAIDQGIAYVLLLLALAVTYIVH >KGN61223 pep chromosome:ASM407v2:2:5529425:5533572:1 gene:Csa_2G070890 transcript:KGN61223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLSSLLSSSALLPHNFTSRLRVPVSFQSHYFPRLFSMDSSEASLRNALSQNLSAVEAQGNAVRALKAAGAAKPEIDAAIEALNALKIEKASIEKQLQAAVSGGGGDGSLNRESFRQTVSNTLERRLFYIPSFKIYRGVAGLYDYGPPGCAVKSNVLAFWRQHFVLEENMLEVDCPCVTPEVVLKASGHVDKFTDLMVKDEKTGTCYRADHLLKDFCNEKLQKDLSISSEKAAELKHILAVLDDLSADQLGAKIKEYGITAPDTKNPLSDPYPFNLMFQTSIGPSGLLPGYMRPETAQGIFVNFKDLYYYNGNKLPFAAAQIGQAFRNEISPRQGLLRVREFTLAEIEHFVDPEDKAHPKFADVANLEFLMFPREEQMSGKSARKIPLGEAVSKGTVNNETLGYFIGRVYLFLTRLGIDKDRLRFRQHLANEMAHYAADCWDAEIECSYGWIECVGIADRSAYDLHAHTEKSGVPLVAHEKFAEPREVEKLVIAPVKKELGLAFKGSQKNVVEALEAMKEKEALEMKAALESNGEVEFYVCTLGKNVSIKKSMVAISKEKKKEHQRVFTPSVIEPSFGIGRIIYCLFEHSYYMRPSKAGDEQLNVFRFPPLVAPIKCTVFPLVQNQQYEQVAKVISKSLTFSGISHKIDITGTSIGKRYARTDELGVPFAITVDSASSVTIRERDSKDQIRVSVEEAATVVKDVTDGLRTWEDVWSTFPHHASASAED >KGN60986 pep chromosome:ASM407v2:2:3240183:3243504:1 gene:Csa_2G033890 transcript:KGN60986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHTSNTAAGVDNTFRRKFDREEYLERARDREKQEVDRVKSKLKGPPVQRKPLKHRDYEVDLESRLGKTQVVTPVAPLSQQAGYYCSVCECVVKDSANYLDHINGKKHQRALGMSMRVERASLEQVQERFEVLKKRKTPGSFTEQDLEERILKQQQEEEQRKRERRERKKEKKKEKAAEEEPEVDPDVAAMMGFGGFRSSKK >KGN61459 pep chromosome:ASM407v2:2:8428625:8436747:1 gene:Csa_2G130670 transcript:KGN61459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGNLFHCRKNSWPPEEYISKSTLQLFDFDSASPPEQAWRRKLNGHANLLKEFSVTFVEAIKMVRLGIRLWSYVREEASQGRKAPIDPFTRESCKPSASQGVPLGGMGSGSISRGFRGEFRQWQIIPGTCEASPVMANQFSIFVSRDGGGKKYASVLAPGQHEGLGKDGDSGISSWGWNLDGQHSTYHALFPRAWTVYDGEPDPELKVSCRQISPFIPHNYRDSSLPTAVFVYTLVNTGRERAKVSLLFTWANSIGGNSHLSGNHVNEPFIDEDGVSGVLLHHKTAKGNPPVTFAIAACETQNVSVTVLPSFGLSEGSCITAKDMWDKMVQDGQFDRDNFSSGPSMPSSPGETLCAAVAASAWVEPHGKCTVAFSLSWSSPKVKFLKGFSYHRRYTKFYGTSGKAAQTLAHDALTNYKRWEEEIEKWQRPVLMDERLPEWYKFTLFNELYFLVAGGTVWIDSSFVGKKASYDQDHLARLKNDDVKAVEAKVSGRGEEVSRTTTTTTLDGFPSIEYDDENSTSSSHASEDELMVPLKRGYTDRSYQTYKVLEPGNTEEDVGRFLYLEGVEYVMWCTYDVHFYASYALLELFPKIELNIQRDFAKAVLSEDGRKVRFLAEGKYGIRKVRGAVPHDLGTHDPWNEMNAYNIHDTSRWKDLNTKFVLQVYRDFAATRDMSFGVDVWPSVRAAIEYMEQFDRDGDGVIENDGFPDQTYDTWTVHGISAYCGCLWVAALQAAAAMAHELGDQEFAETCKSKFLKARPVLEAELWNGSYFNYDSGSSSNSKSIQADQLAGQWYTASSGLPPLFDDFKIKSALRKIYDFNVMKVRGGRMGAVNGMHPNGKIDETCMQSREIWTGVTYGVAATMILAGMEEEAFKTAEGIFLAGWSEEGFGYWFQTPEAWSTDGHYRSLIYMRPLSIWGMQWALSLPKAILDAPKINVMDRIHVSSSNTKFFNHETGVRRIATKAKCFGDSVFNCAC >KGN62001 pep chromosome:ASM407v2:2:13457109:13459702:1 gene:Csa_2G285360 transcript:KGN62001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Porin/voltage-dependent anion-selective channel protein MSKGPGLFSDIGKKAKDLLTRDYISDQKFSVSTYSHAGVVLTSTAVKKGGLSTGDIAALYKYRNVTFDVKVDTESNISTIVTLNEIVPSTKTIASVKIPDFNSGKLEVQYFHDHATLATAVALNQNPFVDVSATIGTPTIAFGAEAGYDTTSGNFTKYAAGISVTKPDSSASIILGDKGDSIRASYVHYLDQFKRSAAVGEITRKFSTNENTFTVGGSYAVDQLTVVKGKLNNNGKLGALLQHEIIPKSLLTISGEIDTKALDRVPKFGLSVALKP >KGN61587 pep chromosome:ASM407v2:2:9886662:9890385:1 gene:Csa_2G173060 transcript:KGN61587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTPSSKSNQGHMTQLRTPQAKRLNFNTPRSHSSPFPNSAIKDSQSEHPVEVIGRIRDYPDRKDKPVSILQINPDGQNVRVRADFGYRDFSLDGISLSEEEDLDSFYKKFVEARIHGVKLGEKCTIMMYGPTGAGKSHTMFGCSKQPGIVYKSLKDILGDGESEAAATAGVDGGERLNVGMFVQVTVLEIYNEEIYDLLSSNSGGGLGLGWPKGSASKVKLEVMGKKAKNATYLSGNEAGKISKEIQKVEKRRIVKSTLCNERSSRSHCMIILDVPTVGGRLMLVDMAGSENIEQAGQVGFEAKMQTAKINQGNIALKRVVESIANGDSHVPFRDSKLTMLLQDSFEDDKSKILMILCASPDPKELHKTISTLEYGAKAKCIVRGPHTPTKDKCGADDSASAVILGSRIAAMDDFIFKLQKENKLREKERNEAHRELMKKEEEVSALRVKLEKAGSKGSNVSEEEINLKVNERTQLLKLELERKLEECQKMANEFVELERRRMEEKILQQQQEVEMLRRRLEEIESELLNSRDATSIDVNKSRDMDGCRLAKRLLGVYASADAGMVKSMDLDMDDQEPIREVKLIGGVDYQPTTNNGIQSLLDKVNEKVDHDVFSSRFGDGDRVCLSTVFEEEEAEEEEEKEVIEEKRVVCTVEGLTEQQLTPNVVIRSQNKEDFIKERSEIGIGLLNDNESSKDTAFSRKLRIQNIFTLCGNHRELSQQIAPILPEKKRSDDAENQHPSSPLKTIGEVQKATSDHYTQILSDLTNQNGAVLTENEETMQQPVKLVQVKGGLNSPSVIPMSPILPLGSKENKLPGTPNMVSQQSDGLSPRLSATPFITVRRH >KGN61737 pep chromosome:ASM407v2:2:11471927:11472906:-1 gene:Csa_2G235600 transcript:KGN61737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPNTPIFLSFFFLIFIFFFEPSRAYIELRPDFYDESCPRLPMIIRYHIWAAVQNDSRMAASLLRLNFHDCIVDGCDASVLLDDTTEMKGNVKSLRGFEVIDGIKADLEAYCPQTVSCADIVNLAAREAVYLVLFF >KGN62396 pep chromosome:ASM407v2:2:16211206:16214489:-1 gene:Csa_2G351770 transcript:KGN62396 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pollen specific protein sf21 MGDSSDSVSVDMESISCGGKEYLVKTCYGVVSVTVVGDLDKPALITYPDLALNHMFCFQGLMFCPEACSLLLHNFCIYHISPPGHELGAAAICPDDPVLSADDLADQIAEVLNYFGLSAVMCMGVTAGAYILTLFAMKHRHRVHGLILISPICTAPCWTEWLYNKVMSNLLYFYGMCGVVKELLLKRYFSKDARGCSQVPESDLVQACRRSLDERQSSNVWRFLEAMNGRPDISEGLRKLKCRSLIFVGDRSPFHSEAHHMTVKLDRRYSALVEVQSCGSMVTEEQPDAMLIPMEYFLMGYGMYRPSHFSVSPRSPLSPSCIAPELLSPESMGLKLKPIKTRISLQV >KGN60740 pep chromosome:ASM407v2:2:1560399:1563537:1 gene:Csa_2G009290 transcript:KGN60740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCKCLCFYLLVFLLFCVAAASTDRYSEALLSLKSEFLDDFGSLSDWIVDSRENPFGKIHGCSWSGIKCDKNSTIVIGIDLSMKRLGGGISGEQFHVFKELVDLNLSHNYISGKLPVGIFNLTNLRSLDISRNNFSGHFPLGISSLQNLVVLDAFSNSFAGSLPVDLSQLENLKFLNFAGSYFKGPIPSEYGSFKKLEFIHLAGNFLSGNLPPELGKLKTVTHMEIGYNNFQGNLPWEFGNMSNLQYLDIASANLSGSIPKEFGNLTKLESLFLFRNQLSGFLPDELSKIISLVNLDLSDNHISGPIPESFSELKNLRLLSVMYNEMSGSVPKGIGELPSLETLLIWSNQFSGSLPNNLGSNKKLKWVDVSTNNFVGVIPPDICQGGLLFKLILFSNKFSGGLSPSLTNCSSLVRLRLEDNVFSGDISLNFNDLAHVSYIDLSRNNFSGGVPLDINKASNLQYLNISHNPQLGGVFPVETWISPLLQNFSASGCGIRGNLPKFQVCKSISTIELNNNKLSGKIPESIANCQALVRMDLSYNNLSGHIPEELAHLPSINILDLSHNDFNGTIPDKFKDSSSLLLLNVSYNDISGSIPEKEVFRSMGRSAFTGNSKLCGAPLRPCSGSLAMIGGKGMGKFILILILCAGLAIITVISLLWIFFVRRGSKGKWKMVSFTGLPPFTANDILRSFDSTESKEAILPLSASIFKAVLPTGITVSIKKIDWEAKRMKTISEFITQLGSLRHKNLVRLLGFCYNKQMVYLLYDYLPNGNLAEKISTKREWPTKLKLIIGIARGVHFLHHDCSPAIPHGDLKPNNIIFDENMEPRLAEFGLRFLQQLNEDTLPLSSTTKGGDNFNNATEEELWMDVHSFGEIILEIISNGRLTTAGSSTQNKARDLLLREICKENGTSSPNSSQEEIEQVLDLALLCTRSRPSNRPSMEDILKLLSDIKPEVKIIRL >KGN60697 pep chromosome:ASM407v2:2:1277154:1281601:1 gene:Csa_2G007410 transcript:KGN60697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFLQVHTVDNDHPLTVGIPLDESSTTSTISQRNSTASHANTKFCERRGIVHLFRSVSNSSLPNPSSQSTILFVVAVPNYLSYDDFVTFCGSRINHVSELLFIRNDGVEERYSVLIKLGNLIDADKFFSNLNGKKFSPSEAEVCHILFLMSVEYTESAEVAGSPPDGCTELPTCPVCLERFDPDTSGIIHTLCDHSFHCLCISKWTSLSCQVCRFFQQQDEKQACFICGTVENLWVCVICGFLGCGRYKEGHAIRHWKNMHHCYSLDLRTQQIWDYVGDNYVHRLNQSKVDCKFGEMNPHCMSHEGECGTCEYDENSGINEALYHSKVEAIVDEYNRLLATQLETQRQYYESLLAEAKSKKEISISEAVEEALISKTQDIQDKLEKCVKETNTVSEVNQKLVKNQEMWLAKAKQIEERELASLKSRNEKIHDLEEQIRDLTVYIEAQKTLNNITDSDDIKGGTLLPVPAKESSPGNGRKKKGNRRRS >KGN63207 pep chromosome:ASM407v2:2:21287399:21288078:1 gene:Csa_2G409500 transcript:KGN63207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLSKPPNKVPPIKTAGNCKLTLEDLAKASNSLSTPDSCSSSTSYTAGLAPSPNRRRFTTWLIQHPLLANTIAALSETDATTSLLLPPPSEGMDAADPPTTVAAHGGLIDNVVCFVSIDCHDR >KGN62526 pep chromosome:ASM407v2:2:16943660:16944175:1 gene:Csa_2G359950 transcript:KGN62526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSHLQAWAKNEANELIKKYDRNGDGVLTKEELQSFLRDARGSTQLNNIRNVSSSTVPKTNENLAQKVSSNTCITPKKQLLDKAQGSSKQSNVPLSREQIKEIFKYHDSNKDGFLSIRELTKAFSSMGSIVPFCKARYAMAYADVDEDGLVSEAELDKLIDYAHKIIKKK >KGN60832 pep chromosome:ASM407v2:2:2175539:2177464:1 gene:Csa_2G012140 transcript:KGN60832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVYSSARPTTLSFHLSQPSLPIPRFNFKPPIPATAAIPPLLRSSAARPISIFTLNSIDVSKEDKPTSDDPNTPVPLPVVAVEEEEEKFDKRRLEEKFAVLNTGIYECRSCGHKFDEAVGDPTYPIAPGLPFEQLPEDWRCPTCGAAKSFFESKSVEIAGFAQNQQYGLGGNTLTSGQKAVLIYGSLFFFFALFLSGYFLQ >KGN61593 pep chromosome:ASM407v2:2:9926486:9931561:-1 gene:Csa_2G174100 transcript:KGN61593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHVIGGKFKLGRKIGSGSFGELYLGTNVQTEEEVAVKLESVKTKHPQLHYESKLYMLLQGGTGIPHLKWFGVEGEYNVMVIDLLGPSLEDLFNYCNRKLSLKTVLMLADQLINRVEYMHSRGFLHRDIKPDNFLMGLGRKANQVYIIDYGLGKKYRDLQTHKHIPYRENKNLTGTARYASINTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLRAGTKKQKYDKISEKKMLTPIEVLCKSYPTEFTSYFHYCRALRFEDKPDYSYLKRLFRDLFIREGYQFDYVFDWTILKYPQIGSSSRTRPTGKAAITPGPSAERVERPLVGQDLRDKFSGPVEAFARRNGTGIGLHSDHSRHRSSDDVPSSKDVQPDAERARSSSRQGSASKRPILSSSRPSSSGEPSELRSSRLFSSSSRLSGSTQRIQPGVESKSSFLRSSATKGSRDDALRSFELLTIGTGKKK >KGN60801 pep chromosome:ASM407v2:2:1957726:1958649:1 gene:Csa_2G010365 transcript:KGN60801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLYGIGGIGKTTLARALYNKIVDDFESCCFLAYVREASNQYRGLVGLQNELLREILVDDSIKVSNLDIGISIIRDRLCSKKILLILDDVDTSEQLEALAGGRDWFGPRSMVIATTRNKHLLAIHEFDILQSVKGLNDDEALELFSWHAFKTSCPSSDYLDLSKRVVRYCKGLPLALEVVGSFLHSIEQPKFQLILDEYENQYLDKGIQDPLRISYDGLEHEVKENFLYISCCFVGEDINKVKLMLEACGCLCLEKRTTKLMNLSLLTIDESNQVEKVVYKFGFLRNNGSCHPFIEKVGLVQKQLL >KGN60907 pep chromosome:ASM407v2:2:2708129:2713396:-1 gene:Csa_2G022270 transcript:KGN60907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSTVATESPTFKWTYDVFLSFRGEDTRTNFTSHLDMALRQKGVNVFINDKLERGEQISESLFKSIQEASISIVIFSQNYASSSWCLDELVNIIECKKSKGQNVFPVFYKVDPSDIRKQTGSFGEALAKHQPKFQTKTQIWREALTTAANLSGWNLGTRKEADLIGDLVKKVLSVLNRTCTPLYVAKYPVGIDSKLEYMKLRSHSLFEKSNKFHYRKQHEYESDTGVYMVGLYGIGGIGKTTLAKALYNKIASQFEGCCFLSNVREASKQFNGLAQLQETLLYEILTVDLKVVNLDRGINIIRNRLCLKKVLIVLDDVDKLEQLEALVGGRDWFGQGSRIIVTTRNKHLLSSHGFDEMKNILGLDEDKAIELFSWHAFKKNHPSSNYLDLSKRATSYCKGHPLALVVLGSFLCTRDQVEWCSILDEFENSLNKDIKDILQLSFDGLEDKVKDIFLDISCLLVGEKGKYVKDMLSACHMGHKIVCGESLELGKRSRLWLVQDVWEGTDAVKGIKLDFPNSTRLDVDPQAFRKMKNLRLLIVQNARFSTKIEYLPDSLKWIKWHGFRQPTFPSFFTMKNLVGLDLQHSFIKTFGKRLEDCERLKLVDLSYSTFLEKIPNFSAASNLEELYLSNCTNLGMIDKSVFSLDKLTVLNLDGCSNLKKLPRGYFMLSSLKKLNLSYCKKLEKIPDLSSASNLTSLHIYECTNLRVIHESVGSLDKLEGLYLKQCTNLVKLPSYLSLKSLLCLSLSGCCKLESFPTIAENMKSLRTLNLDFTAIKELPSSIRYLTKLWTLKLNGCTNLISLPNTIYLLRSLKNLLLSGCSIFGMFPDKWNPTIQPVCSPSKMMETALWSLKVPHFLVPNESFSHITLLDLQSCNISNANFLDILCDVAPFLSDLRLSENKFSSLPSCLHKFMSLSNLELRNCKFLQEIPSLPESIQKMDACGCESLARIPDNIVDIISKKQDLTMGEISREFLLTGIEIPEWFSYKTTSNLVSASFRHYPDMERTLAACVSFKVNGNSSERGARISCNIFVCNRLYFSLSRPFLPSKSEYMWLVTTSLALGSMEVNDWNKVLVWFEVHEAHSEVNATITRYGVHVTEELHAIQTDVKWPMVNYADFYQLEKLQSLDIEELLLKRFFEEMSCWSNSQAMLYAANYDPEAIIDSNIQPMIFPLHVTYNGETFICGMEGMGDTTLANSLCNKFNWPNDNVRAREALDNSTSFLHFRGGKFNGGSWSSSHHRKRGDGERGTNITTRTISSKRYLILFHKAGSYDDLFNFAGSHRLIAGSRSYDSLNGRGDVRLLIERVDTSLL >KGN61196 pep chromosome:ASM407v2:2:5299004:5300122:1 gene:Csa_2G068682 transcript:KGN61196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESPILGKRKDSEEESAVAETGNTQETSSSKRPKESKNLEDEKTTPSQETVSNRRSLTRTCVHEVAVPVGYSSTKDESVHGTLPNPVYNGTMAKTYPFTLDPFQQVSVSCLERNESILVSAHTSAGKTAVAEYAIAMAFRDKQRVIYTSPLKALSNQKYRELSQEFKDVGLMTGDVTLSPNASCLVMTTEILRGMLYRGSEVLKEVAWVIFDEIHYMRDRERGVVWEESIIFLPPAIKMVFLSATMSNATEFAEWICYIHKQPCHVVYTDFRPTPLQHYVFPAGGNGLYLVVDENEQFREDNFLKLQDTFAKQKQIVGHRTANGKSSGRIAKGGSASGGSDIYKIVKVCSSSFFLFVISNIFYFVFFSMLL >KGN63282 pep chromosome:ASM407v2:2:21991022:21991876:-1 gene:Csa_2G423620 transcript:KGN63282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVGVLICLLVVAMDIVAGLLGIEADIAQNKVKHLRLWIFECRDPSEQAFKLGLGAAGLLGLAHIIANLLGGCNCICSQDELEKSPPNRQISIACLIFTWIILAVGMSMLVIGAMGNNKSRASCGFTHHHFLSIGGILCFVHGLFCVAYYVTATAAE >KGN62271 pep chromosome:ASM407v2:2:15531963:15538924:1 gene:Csa_2G347100 transcript:KGN62271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVIPAITDVTMFALCPDQDQCSVAIYFTGLQQVVTGFGSLLMMPLLGNLSDKFGRKTVLTIPMILVVIPLGILAYGRSRKFYYVYFVFKCVTSIICEGSVQCMAVAYAADNVPEHQRASAFGILSATISAAFVCGTLCTRFLSIPSTFQVAASTAVVAAVYMRIFLTDSVANCNLSAPLLSGENADSVSSDPISPKKEHIITTLPSVKDLFSLLMTSSTFSQAAIVAFLTNLADVGLHASVLLLLIPILVPVLGEGRLLSVALFFYSVQMLLYSIAWADWVVYAATMLSMLYIFWQPCLQSIVSKQVGASEQGKAQGCISGISLFANVVSPLVFSPLTALFLSENAPFYFPGFSIFCSGASVMIAFVRSILMRAPTKATTSNLVEA >KGN62639 pep chromosome:ASM407v2:2:17507071:17511092:-1 gene:Csa_2G362510 transcript:KGN62639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNYAFHFLTLFLLLFRPLSATSNVSELFEIWCTEHGKSYSSAEEKLYRLGVFADNYEFVTHHNNLDNSSYTLSLNSYADLTHHEFKVSRLGFSPALRNFRPVLPQEPSLPRDVPDSLDWRKKGAVTAVKDQGSCGACWSFSATGAMEGINQIMTGSLISLSEQELIDCDRSYNSGCGGGLMDYAYQFVISNHGIDTENDYPYQARDGSCRKDKLQRNVVTIDGYADIPSNDEGKLLQAVAAQPVSVGICGSERAFQLYSKGIFSGPCSTSLDHAVLIVGYGSENGVDYWIVKNSWGKSWGMDGYMHMQRNSGNSEGVCGINKLASYPTKTNPNPPPSPPPGPTKCSILTSCAAGETCCCAKKFLGLCLSWKCCGLSSAVCCKDGRHCCPFDYPICDTDRNLCLKQTMNGTRTEILENRSSSGSSGTWSSF >KGN61923 pep chromosome:ASM407v2:2:12868247:12874380:1 gene:Csa_2G270160 transcript:KGN61923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSEEPNKPTSLFDSSSSSSQPLLSNSPASLFPYPSIDDPPHSNPHESDPSQFLQISYNYGHRPFKDVPILVLFLLFVLSSFGFGIFASFNRNIHYPDLSFYHFDSKTSNCVMTNSLSSSSFIWVSLYSPSSSVFKSLIWTLVVTLVLSLPICFLLLLLLKHYTRQIVYALLPFFVLVPIFINVYWFVACTVNPTCSNDFPMVYRILVLIFIFLVIGVIVWIFIVNWHRIELTIQIIGVASDALSMNLGLFVAIPSMTLGLLIYYAPIVLFLVFARMNGKILPHHHVSSGEYSCVWKEDKWVPAYYALAILTMLWSGAVMVEGQVYVISGTISQWYFSKEEDDTPRRSIRKSLRNAFGPSAGTICLSGLLILVVRVVRAVVDSARQEDIPGMFNLILRCCVNTFLAAVDYLNKFAITFAAVTGEAYCSSARMTYELLKRNLLSTVFVETISTRLLAGIAFVLSAIYAIVACAILHAVSHLGIDTYFIAVAAWLLLIVVLTFFIHVLDNVIETVYVCYAIDRDRGEVCKQDVHEVYVHLPISRNHRSSMATTSLLV >KGN61186 pep chromosome:ASM407v2:2:5132316:5132594:1 gene:Csa_2G062615 transcript:KGN61186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKETSDVEIEDEWVSSSRVRTKWMCLESETEEETQRVRSKTDGFRCLGFGQNGCVSNLRQRRRHRTESKTEEETLGLRSKTRKAKVEGSEP >KGN61565 pep chromosome:ASM407v2:2:9701544:9701798:-1 gene:Csa_2G171865 transcript:KGN61565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHVLDVMTNVLEKVCPTPHWKSFLADAERDVESPYYRRVVSIKLPASGVRHSRCVFVCTSRVPHQRTTHDFLFDGTMYLEILS >KGN62999 pep chromosome:ASM407v2:2:19898115:19901903:1 gene:Csa_2G382720 transcript:KGN62999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTSHNRATRTFMDYDSISQAMDGICGLYERKLKDLNPAIRNITYDIADLYNFIDGLADMSALVFEHSIQAYLPYDRQWIKQRIFQHLKKLAH >KGN61380 pep chromosome:ASM407v2:2:7591157:7591549:-1 gene:Csa_2G101100 transcript:KGN61380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSIHRVLIVLLMCVVALLAVKTDQACALRSIDLALRPSRHILVEDSTVEELNMKRNSQPRNNKFDPNRSSKRRVRRGSDPIHNRS >KGN61023 pep chromosome:ASM407v2:2:3457534:3463150:1 gene:Csa_2G034760 transcript:KGN61023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSVSTFSAPTGALPLCSHGRRIPNRSSHIMGNSLRSRRASNFCQIFHGLKLWISETLSVLQHNGKSGSSQKLKTIKNHKEDEFEKKPFEETSSHARQVPESVLESKISSDSNSETTKAATHSKGSENLQSDASVDVENSSGSSGSLQQSPMLNYVVRDDQVPSLCIAVIGATGELATRKIFPALFALYYSGFLPENVGIFGYSRKNITDEELRSIISATLTCRIDHQQNCSDKMDTFLSRTFHVNGGYDNNKGMSKLNLLMEQIERHSKANRIFYLSVPQDALLDVACSLSSKAQTKKGWNRVIVEKPFGFDMMSSHFITKSLLSQFEEKQIYRIDHLLGKNLIENLTVLRFANLVFQPLWSRTFINSVQVILSEELGVQTKRFLDGGGIIGDIVHSHILQTIALLAMEPPISLDGEAIRNEKVKLLRSIRPLEPSDVVLGQYKSSTNDKVDLSLDNLTPTYFAGALYIDNARWDGVPFLIKSGLGLIKHCVEIRIQFRQVPGNIYREHFGYNNESATNEIILRDLPEEAILVRVNNKIPGLGLRLDSPELNLLYKDKYNVDVPDSYEHLLLDVIDGDSHLFMRSDELAIAWNILTPILNEIKKNNIKPELYEFGGRGPIGAYYLWAKHGVRWVEE >KGN61107 pep chromosome:ASM407v2:2:4128907:4133856:1 gene:Csa_2G049960 transcript:KGN61107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSREISVLLLCLLGFVLGAIANSHTCPADLSSECSHSGDWEGEFFPGIPKIKYEGPTSKNPLAYKWYNADEEILGKKMKDWMRFSVAYWHTFRGTGADPFGAATKHWPWEDGTNSVAMAKRRMRANFEFINKLGVEWWCFHDRDIAPDAPTLEETNANFDEVVAVAKELQGTKIKPLWVTAQLFMHPRYMHGGATSSEVGVYAYAAAQVKKAMEVAHYLGAENYVFWGGREGYQTLLNTDMGRELDHMARFFQAAVAYKKKIGFNGTLLIEPKPQEPTKHQYDWDAATSANFLRKYGLIDEFQLNIECNHVTLSGHSCHHDLETARLNGILGSIDANTGDPQVGWDTDQFMTDIAEATMVMLSVVRNGGLAPGGFNFDAKLRRESTDVEDLFIAHIGGMDTLARGLRNVAKLLEDGSLTELVRKRYESFDTEIGAQIEAGKADFEFLEKKALEWGEPKVPSAKQELAEMIFQSAL >KGN61115 pep chromosome:ASM407v2:2:4193845:4194054:-1 gene:Csa_2G055030 transcript:KGN61115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRIGEEDEKKTIKREADLLKKNETEERREGYMLKKNDGEEGEEDKEKRSRQRGETVKNGGEEEKKMKR >KGN61571 pep chromosome:ASM407v2:2:9723161:9724621:-1 gene:Csa_2G171920 transcript:KGN61571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFLACFGFHKHRKKRRSPPDGLVIGDQIHLSYEPLDSSQITNCHTNQKLEVQNSKPRDRAKEQPWVKIRKKVSFNLNVQTYEPVPDYDYFLESDEEVKNEEHCQETTARTDSTPLQNKAFTASNSGKYPQNHRYQNCEDSYDDEEDDDSGNGDSDLDDSEIDENHDENKDEFRSCALENNSVVERRQQVHSVLKPVENLTQWRTAKAKAGSFTKHQVQNKNKTSTQAKSPAISSSNTSSIQPQLKSRSNLCLPDTQMQENDLVHSSLSDWLVRTA >KGN61879 pep chromosome:ASM407v2:2:12577450:12577945:1 gene:Csa_2G258750 transcript:KGN61879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIRFPSVLLSAKQILKMKSVSIRCQSDVPKGHIPVYVGENQRKRFFVPISYLNHPSFVNLLSRAEEEFGFSHPTGGLTIPCKEEAFIDVTSRLHIS >KGN61243 pep chromosome:ASM407v2:2:5714785:5723790:-1 gene:Csa_2G073060 transcript:KGN61243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRAILVKKRHLLSSENLSTSLYLRFSRFEHGQASQADDLQRLSCISDPPPVPINGGCDSGLSSVSRKDLLSFPAMEYFRINLINPQMFSHGTGRGTSASCMGFRHILQLSHYSTTATAGQPEFGGDNDRNEKQAKNRIKEPSPEDCDQAVEGLSTVKAQAKQMQESQKSAESLLKSIWAKLLGIGPALRVLASMSREDWAKKLRHWKDEFKSTMQHYWLGTKLLWADVRISSRLLVKLASGKGLSRRERQQLTRTTADIFRLVPFAVFIIVPFMEFLLPVFLKLFPNMLPSTFQDKMKEQEALKRRLNARIEYAKFLQDTVKEMAKEVQNSRSGEIKKTAEDLDEFMTKVRKGASVNNEEILGFAKLFNDELTLDNISRPRLVNMCKYMGISPFGTDAYLRFMLRKRLQEIKNDDRMIQLEGVESLSEAELRQACRERGLLGLLSVEEMRQQLRDWLDLSLNYSVPSSLLILSRAFSVSGKVKPEEVVQATLSSLPDEVVDTVGVTSLPSEDTVSERRRKLEFLEMQEEMIKEEEEEEEEELAKMQETAGSQRDVALEEMTSPTIGEEPKEKTKTLEKQEQLCELSRALAVLASASSVSREREEFLQLVKKEMDLYNSMVKKEGKDGQEEARRAYKAARQDTDQAAELTLGRKVSSALINRIDTMLHKLEKEIDDVDAEIGDRWRLLDRDYDGKVTAEEVASAAMYLKDTLGKEGIQEIISNLSKDREGKILVEDIIKFGSATEDGDASEAGKS >KGN62786 pep chromosome:ASM407v2:2:18581751:18586453:1 gene:Csa_2G372810 transcript:KGN62786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLMEDLSYSANEGTPEMDQTVSLHSRFSNAHQQRKVVVVGYALTSKKTKSFMKPKLEGLARNKGILFVAIDQNRPLSDQGPFDIVLHKLSGKEWRQILEEYRQTHPEVTVLDPPDAIQHLHNRQSMLQAVADMDLSLSYGKVGVPKQLVIKKDASSISDAVVNVGLKLPLVAKPLVADGSEKSHQLSLAYDKYSLQKLEPPLVLQEFVNHGGVMFKVFIVGEAIKVVRRFSLPNVSMWEVLKNAGIYHFPRVSHAAASADDADLDPCVAELPPRPLLERLAKELRRRLGLRLFNLDIIREYGTRDHYYVIDINYFPGYGKMPEYEHIFTDFLLGLVQSKYKKRTTY >KGN62322 pep chromosome:ASM407v2:2:15817929:15818681:1 gene:Csa_2G349070 transcript:KGN62322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSCLPYLGSPHCVNNINDESKSRELEEAGRHHGHNNNNNQNNNSPSSFVSSINSKHIFVRVVHAGGKVDHYPNAVLASKILQTLPGKWLTHPQVFKQPHQSIIYPQEHLLPGHKYYVLPLSTIDKLMRKHSSNNNNTTTAIRFIIPQNNTTGTNQQIETADSEANIDHRDGHTLESTDQEDFSFYSAREFYANKNKRSASSAMNGSGRGSRKPRVLVPLPKPKPKPKPKPVSAYAWEPGLTSIQELSP >KGN62010 pep chromosome:ASM407v2:2:13550156:13551088:-1 gene:Csa_2G285940 transcript:KGN62010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACLDMYNSDHKSLHRRAPATSPRISFSSDFVDIQQSLKYQEQRSAAPPVSSSSDFEFSVSNYNMMTADELFFEGRLLPYKDSNRTATTLREELLVEEEEGEDEDDNDASQRPTSRGRWKGFLGLKKSHNNASKKGCRNDGSSSSRDVSSGKRPFGWSSSSSSTRANSSHQVQNEGSGTSCKDVEIDF >KGN62127 pep chromosome:ASM407v2:2:14439259:14445401:1 gene:Csa_2G301450 transcript:KGN62127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEHRIAFMAVILIQAIYAGMFLVSKAAFDVGMNSYVFVFYRQAFATVFLSPIAFFFQWKDAPPLTFFTFCKIFMLSLFGIALCLNLYGIALVYTSATLAAATTNSLPVTTFFVALLLRMEVLRLKSIAGIGKLAGILFCIGGVGVLAFYKGPQLNFFNHHHLFSVHNPNHHSSSVALPNTWLKGCFLMLSANTLWGIWIVLQAFVLKSYPSKLMLTNLQCLLSSFQSFAIAIAMERDPQQWKLGWNLRLLSVAYCGIVVTAVTYCLQAWVIEKKGPVYLAMSTPIALVITIFFSAVFLGESISLGSILGGLLLVGGLYFVLWGKSKEQKISEGLKEGTKECDDMEEGKDSTKLPNENPTSSVENV >KGN62472 pep chromosome:ASM407v2:2:16647752:16649940:1 gene:Csa_2G354980 transcript:KGN62472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASDNCARVTRASKKRAAAAAVVEGQSANKKRVVLGELSNVQNLNASVVGQKRKAKSQITKCKPKKRAKVGVAPTIKTTVVSEDNEPKLTVDDLLDDPEMKGPYSSDIYAYLRKMEAEPKRRPIPNYIEKIQTDVSANMRGVLVDWMVEVAEEYKLGPDTLYLSISYLDRFLSMNILSRQRLQLLGVSSMLIASKYEEITPPHVEDFCYITDNTYRRDEVVKMEADILKSLNFEMGNPTAKTFLRRFTNVAQEDFKIPNLQLEFLGYYLAELSLLDYNFVKFLPSMVAASVVFLAKFIIRPKLHPWGPGIQQYTGYKPADLRPCVILLHDLYMARRGGSLIAVREKYKLHRFKCVAMMPSPPEIPFSYFEEA >KGN62988 pep chromosome:ASM407v2:2:19798795:19800018:-1 gene:Csa_2G382610 transcript:KGN62988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSILPKGDSIQIREVWNANLEEEFALIRAIVDKFNYIAMDTEFPGVVLRPLGTFKNINDFNYRTLKDNVEMLKLIQLGLTFSDEDGNLPTCGTDKFCIWQFNFREFNIGSDIFASDSIELLRQCGIDFQKNNEEGIDVNRFGELLMSSGVVLNDDVNWVTFHSGYDFGYLLKLLTCRGLPNDQAGFFELINVYFPVLYDIKHLMKFCNSLHGGLNKLAELLEVERIGVCHQAGSDSLLTACTFRKLRDTFFNGSIQKYAGVLYGLGVENGQRTN >KGN60742 pep chromosome:ASM407v2:2:1572009:1577477:-1 gene:Csa_2G009310 transcript:KGN60742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNISLEDVRNENIDLERIPIEEVFEQLKCNRNGLSSDEGEKRLQIFGPNKLEEKKESKFLKFLGFMWNPLSWVMECAAIMAIVLANGGGKPPDWQDFVGIVVLLIINSTISFIEENNAGNAAAALMAGLAPKTKVLRDEKWSEEEAAILVPGDLISIKLGDIVPADARLLEGDPLKIDQSALTGESLPVTKNPGDEVFSGSTCKQGEIEAIVIATGVHTFFGKAAHLVDSTNQVGHFQKVFSKNTDADTLLLLAARASRVENQDAIDASIVGMLGDPKEARSGITEVHFLPFNPVEKRTAITYIDSNGNWHRSSKGAPEQIIDLCDLKGERRKKAHNIISNYADRGLRSLAVARQTVLEKTKESTGEPWDFVGLLPLFDPPRHDSAETIRRALELGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSSLLGQSKDEAIASIPVEELIEKADGFAGVFPEHKYEIVKKLQERKHICGMTGDGVNDAPALKKADIGIAVADATDAARGASDIVLTEPGLSVIVSAVLTSRAIFQRMKNYTIYAVSITIRIVLGFLLVALIWKFDFSPFMVLIIAILNDGTIMTISKDRVKPSPMPDSWKLNEIFATGVVLGTYMAVMTVVFFWLAYQTDFFPKTFGVKHISENLAELNSALYLQVSIISQALIFVTRSRSWSFVERPGLLLVGAFLIAQLLATIIAVYAEWDFARIHGVGWGWAGVIWIYSIITYFPLDILKFIIRYALSGKAWDNMLQNKTAFTTKKDYGKGEREAQWALAQRTLHGLQKPEALFSDTSSYRELSEIAEQAKRRAEVARMSQNV >KGN62259 pep chromosome:ASM407v2:2:15466283:15468370:1 gene:Csa_2G346000 transcript:KGN62259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLPFAFAFFLALSNFFFLFVNAFTASGWAPAHATFYGESDASGTMGGACGYGNLYQTGYGTRTAALSTALFNDGASCGQCFKIICDYKTDPRWCIKGASVTITATNFCPPNYALPNNNGGWCNPPLKHFDMAQPAWQKIGIYRGGIIPVLYQRVPCKKRGGVRFTVNGRDYFELVLITNVGGAGDIKSVSIKGSKSSNWTPMSRNWGANWQSNSYLNGQSLSFKVTTSDGQVQVFNNVVPSSWRFGQTFASKVQFS >KGN63234 pep chromosome:ASM407v2:2:21528473:21536834:-1 gene:Csa_2G416240 transcript:KGN63234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSLPLYEKTNIKRSTQRVLDITIFILLVSLDGYRVLLIYNHGFSYLQTIAFLCEFWFSFVWFLAIIIKWNPVHYETYPQRLLKREVELPAVDIFVTTADPVLEPPIITVNTVLSLMALDYPSNKLGCYVSDDGCSSLTLYALKEALKFGKIWVPFCKKYEIQVRAPFRYFSSPPHLHTSAEFRNDWQMVKVEYEKLEANIKEAEENKFGLEEEVDGMDMADFCNLHTKNHPTIIKMLWENKDDLDELPHLIYVSREKSFKHHHYYKAGAMNVLTRVSGVLTNAPYILNVDCDMFMNNPQVVLHAMCVFFNSEDDFEDIGYVQTPPCFYDGLKDDPYGNQLVIVYEYFTRGIMGLQGPIYSGSGCFHRRKVLYGQFPHYTTNSVDGRKASEQEIIKSFGYSKAFAKSAIYAFEETTFGYLPEGLFNNNNLEAAIQVAGCGYEIGTTWGSKIGWMYGSTCEDILTSLVIHRKGWRSIYIALNPPAFLGCAPSQLVTSLTQQKRWVTGLLEILFSKHCPIFGTLFENLQWKQCAAYLWILTWGIRSILELSYALLPPYCLITNTSFFPTMEERAIFIPISLFIVYNFQQLLQYKETGQSVRAWWNNQRMGRINTICAWLFGVGNAVLKLLGVRETVFEVTKKETYCEVDLGHFTFDESPMFVTGTTILLLQLIALLTSFIRLGRSRSAVLEVICSLWLFLCFWPFLKGILMFGKGRYGLPFSTIYKSAILTLLFVLLCLRTTVN >KGN61573 pep chromosome:ASM407v2:2:9730970:9742264:-1 gene:Csa_2G171940 transcript:KGN61573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVAVVGGGISGLVSAFVLAEAGVEVVLFEKEDYVGGHSKTVHFDGVDLDLGFMVFNTVTYPNMMEFFENLGVEMEISDMSFSVSLDRGRGCEWGSRNGLSSLFSQKKNLLNPYFWQMIREIVKFKDDVTNYLEVLENNSDIDRNETLGQFIKSMGYSELFQNGYLIPMCGSIWSCPSEGVLSFSAFSVLSFCRNHHLLQLFGRPQWLTVKGRSHSYVKKVQEVLESHGCQIRTSSEVNSISTMDKGCKVSYGDDLQEMFDACIIATHAPDTLRILGNEATSDELRVLGAFQYAYSDIFLHRDKNLMPQNPAAWSAWNFLGNTDKKVCLTYWLNVLQNLGETGPPFLVTLNPDKEPKNILLKWSTGHPIPTDAASKASNEFHSIQGNRRIWFCGAYQGYGFHEDGLKAGIVAAQNLLGNSLTLLSNPKHMAPSLVDTGARLFVTRFLGQYITSGSLTLIEEGGTIFTFEGTDKKCLPKVVVKVHSPQFYWKIMTRADLGLADAYINGDFSFVDKDEGLLNFFLIIIASRDTNSSIAKQKKKRGWWTPPLFTACIASAKYFFQHASRQNTLTQARRNISRHYDLSNELFSLFLDDTMTYSCAIFKGENEDLKVAQMRKISLLIEKARINKNHHVLEIGCGWGSLAIEIVKKTGCHYTGITLSEEQLKYAEKRVKDANLQDRIRFLLCDYRKLPSTEKYDRIISCEMIEAVGHEFMEDFFGSCESVLAENGLFVLQFISIPDERYNEYRLSSDFIKEYIFPGGCLPCLSRLTTAMANASRLCVEHLENIGIHYHQTLRCWRKNFLENKSKILQLGFDESFIRTWEYYFDYCAAGFKSHTLGNYQIVYSRPGNVAAFTNPYQGIPSAY >KGN62026 pep chromosome:ASM407v2:2:13680167:13681229:1 gene:Csa_2G287080 transcript:KGN62026 gene_biotype:protein_coding transcript_biotype:protein_coding description:STS14 protein MPILINSTTHPFHSHHETQSSNLPKNPQDKLKPHQEMAINQVVLPLLPLLVLVLALIVQSSAAAPPTAPLSPAANEYLEAHNRARAEVGVEPLQWSQNLASLTDRLARFQRNQKGCGFAELSGSRYGGNQMWVSGRVLTPREAVEAWVREKAFYNYSSNTCVGDHHCGVYTQVVWRKSVEVGCGQATCWKEGITLTICFYNPPGNVVGESPY >KGN61566 pep chromosome:ASM407v2:2:9708616:9711520:1 gene:Csa_2G171870 transcript:KGN61566 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L17 MSKRGRGGSAGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVLPAVIVRQRKPWRRKDGVFMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIA >KGN61491 pep chromosome:ASM407v2:2:8745825:8746208:-1 gene:Csa_2G145900 transcript:KGN61491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGILKIKVKAHGIKALAQEKQETNLREWEELHSKDDEVALSVEKDKEKEKEKGDQEIAEELEKEIGHMSSLEEEAPRRQKRISKVSKNFLHAQVEMRRKAKDKEALQKDDEEEDEESASEESLSAS >KGN61961 pep chromosome:ASM407v2:2:13177936:13181576:-1 gene:Csa_2G277030 transcript:KGN61961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNMASGGNIGRSITAGGGLSLPGLASRLNLGANSGSGSLTVQGQNRLMSGVLPQGSQQVISMLSNSYPSAGGPLSQNHMQSVNSLNSLGMLNEVNTNDNSPFDINDFPQLTSRPSSAGGPQGQLSSLRKQGLSPIVQQNQESCAGGNADYGMDIHQKDQHDNSVPMMQSQQFSIGRSAGFNLGGTYSHRPQQQQQHSPAVSNSSVSFPPANNQDLLHLHGSDMFPSSHAASYHQQSSGPPGIGLRPLSSPNSASGMSYDQLIPQYQQHPSQSQFRLQHMSGVSQSFRDQGLKSMQATQSSPDPFGLLGLLSVIRLSDPDLASLALGIDLTTLGLNLNSADNLHKTFGSPWSDEPAKGDPDFNVPQCYLIKPPPSLHRGYFSKFTLETLFYIFFSMPKDEAQLYAANELYNRGWFYHKEHRFWFIRVSNMEPLVKTSTYERGSYLCFDPHTFETVRKDNFVLHYEMVEKRPVLSQH >KGN62921 pep chromosome:ASM407v2:2:19437265:19444551:-1 gene:Csa_2G380010 transcript:KGN62921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKVFPKLQDEPWRVLEFYSGIGGMRYSLLSAGIHAKVVQAFDINDKANDVYEHNFGDRPYQGNIESLTAADIDSYQAHVWLLSPPCQPYTRQGLQKQSGDARAFSFLKILELMVEASRPPVMLFMENVVGFETSDTHTKMIEILEKTGFSTQEFILSPMQFGVPYSRPRYFCLAKRKPLFFEKELYNNQLLWSPNPLLDSDANLESIETHGSQATSDKLLLSCEPICRFLDHSNHQELSFYDASSIQSEVALEKNKDADEQVIEPFNQYLVPSSLIDRWGSAMDIVYPDSRRCCCFTKSYYRYVKGTGSLLAPFETEGMGKAHSLKEQKLRYFTPREVANLHSFPEDFQFPQHIGLRQRYALLGNSLSIAVVAPLLRYLFTEPS >KGN61105 pep chromosome:ASM407v2:2:4124228:4124983:-1 gene:Csa_2G049940 transcript:KGN61105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHKMELHLPKASVVVVNSFKETEPVMFDILKPKLQELLTIAPINLVTPPKSIINDEYGCLEWLDKEKRNSIAYICFGTFVALPPHELAALAEALVESGVRFLWSFRGDPKESFCEEFLQRFDVQGKLVAWAPQTRVLAHPSVGVYISHCGWNSVLEAIMEGVPMICRPFVGDNGLNVRTIGCEWKVGLGLPNGIFTKDGVMKAMETILDPYKGDQIRSNLRAIKDLALKANEPEGSSTKNFNSLKKLLTK >KGN61766 pep chromosome:ASM407v2:2:11696242:11698254:-1 gene:Csa_2G238820 transcript:KGN61766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSHSTLTLHFSDSALLRNPFDPMPKFSIHQLNPLTISLHKPARLSPFFYFSSLPLSSNSTPDAQNELSISPQIFKSRPQFGSYKLGDATFYRLIENYATSREFHFIHQVLDRMKREGRVLTETIFILIFKACGKAHLPGEAVNFFHRMANDLHCKQTVKSFNSVLNVIIQEGDFSYAFKFYLHVFGANSKGFQPNLLTYNLIIKALCKLGQIDRAVDTFREMPLKNCNPDVFTYSTLMNGLCKERRVDEAVFLLDEMQAEGCLPNPVTFNVLIDALSKNGDLSRAAKLVDNMFLKGCVPNEVTYNTLIHGLCLKGKLDKALSLLEKMVSSKCVPNQVTYGTIINGLVKQRRAEDGVHILMSMEERGQKANEYIYSSLISGLFKEGKSENAVRLWKEMAEKGCKPNVVVYGAFIDGLCRDEKPDEAEDILQEMLSKGFLPNAFTYSSLMKGFFKKGDSQKAILVWKEMMSQDMRHNVVCCSVLLNGLCESGRLREALTVWTHMLGEGLKPDVVAYSSMIKGLCDVGSVDKGLKLFYEMQCQEPKSRPDVVTYNILFNALCRQDNLTRAIDLLNSMLDEGCDPDSLTCNIFLETLRERINPPQDGRLFLDELVVRLLKRERKLSALRIVEEMLLRFLPPEPSTWSRVIQRTCKPKRIRETIDECCRSLYG >KGN62863 pep chromosome:ASM407v2:2:19084637:19085167:-1 gene:Csa_2G377982 transcript:KGN62863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKRSYHLSSEHDEQSRNKSELSLVKKLNSSQNMRSINRASTRIGKNSNQNMLLYIEGSRIHSKLKPCAFEKLLSWKRLGQQMTKRHNSNLCSNRRYRQWVRSVPEKLVHKREYYTSRNPQNPHSECENWQVGVIGFGYRNIDLLDRTRIVNCHLGRFCTLNLRYNTTRHCNQCAR >KGN62292 pep chromosome:ASM407v2:2:15692839:15697181:-1 gene:Csa_2G348790 transcript:KGN62292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNTLSSFSLSTLPLKMSSKSLTLVVFIAFLVWSSNFEACIARRGKHWRQNRDASASLSKKKGSHGGSKNHHSGAPPSPLPPKPKEEIVQTPPKKGPSAGDSAIFNVLDFGAKGNGETDDTKAFEDAWAEACKVEGSTVMVPADYVFFVGPISFSGPYCQPDIIFQLDGTIIAPTDHQTWGKGLLQWIQFTKLVGITIRGNGIIDGRGSVWWQDSSFDEPIDDEMKLIIPVNNTINETKPTPIGSNLEGKMPSIKPTALRFYGSFNATVTGITIQNSPQCHLKFDNCVGVLVHDISVSSPGDSLNTDGIHLQNSKDVLIHSTSLSCGDDCVSIQTGCSNIYIHNVNCGPGHGISIGSLGKDHTKACVSNITVRDVTMHDTMNGVRIKTWQGGSGSVQNVLFSNIQMSEVQLPIVIDQFYCDKAKCSNQTSAVALSGINYERIRGTYTVKPVHFACSDNLPCTDVTLTTIELKPLQERYHLYDPFCWQTFGELRTPTSPPIGCLQIGKPSSNRVQYEENDSC >KGN61521 pep chromosome:ASM407v2:2:9121668:9122039:1 gene:Csa_2G153590 transcript:KGN61521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIIQPDRLYLHGVVFEVRVINGFKNNSSLPLVIWCSSKENDLGGRALQEHDDFSWKVTTKLWKSNQFSCTMKWDAKRRSFDAFKVPRDFYRCGHFKKCSWLVTEDGFYFSSDEINWKKDFQW >KGN61810 pep chromosome:ASM407v2:2:12056519:12059533:1 gene:Csa_2G248670 transcript:KGN61810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFVSVSSLKSLPLICSSNFVSFFLAKSSVCYDVAFGRITYNYEFVPTVKDYLVTMSDHITKSYQEGNGASTRPYHSVWLAHWSRSGCKSANGVCNNLSTSDESCEESSQAKKRPLLDGSVPVTITGKLDIGSGKVAGVSADNLSHESLTGRSKKRRKERLDSESLPMLNVSQHRGGKLAMNIEQATASQGGDLKFHTCSGSGHDTSSLDGKSRSLSLLAVVPERGNPNKEKMKSKDIKAPRQQHENSNELLTNNALNVSPLIEDGAMGSASSFIPYGMNNRFPFTTCEQSINKEPSSYLSSKTQVGNSNFHTYSTLFVQETKINQLLDSTEATNALSRQHMRTFLLHNPSSSNLDQPNPFPIQCDTRKSSSDTLPFQSQSNRAGTTLPEYLYHGGYSMQRLPFSVHDVETMRICSTVDSVGQALKGPPKFCQTTHRFMITKKTDVDLFEGQEFREVVASTNLKEKTCAHLSSSTNSGRHDQNNNELLQPSSTLYGENENFGNMRSATSLSNQSSSETDIMDMDEYQGNHLRGLDTSQANKGLRSSKSPLLSVSAASSDRKQIEDRLPKKRLLDINERPPNRSTSASLMDNEESSTSKTQTLDVEHLLPNAEQPRFSNSNAPPEDCSKREKDNEWVKRLRPCASESVHDTKSTKKEEDSSCDKANRLFSKMKCSSTSSDRSRGPLRGQEQLGQEQLTIAQATTIVKDSRSTNREMILSSPWIRRLCHDRPAPCARNLETTVVRKSQDSKPTLDQAFPSIAAMALMGKTVTGLPPCEFIRRGSSLVWNNEGI >KGN60686 pep chromosome:ASM407v2:2:1204822:1206546:1 gene:Csa_2G006810 transcript:KGN60686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLKSPSTPILNTWKPHFKESSPETVILHQFCKSRLPTLSASSKLLPAPCMIGGQAIKTMLSNISVVAKRIPRMAVLDEGLCCGVDDVGESSDCGGEMVGGFVDGKVNRDGCNGNDNGNGGCFVSWDGDTEEDMAEMHFQRMIKTNPKDSLLLSNYAQFLKEVRGDLIKAEEYCGRAMLARGNNGSVISMYADLIWSNYMDASRAESYHLQATKTSPDNSFVFAAYARFLWEVEKEVDKGEAETDSFGGNPFSPPPCFGPQSHPTPPPDS >KGN62679 pep chromosome:ASM407v2:2:17783759:17784190:-1 gene:Csa_2G368800 transcript:KGN62679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWLIRERRGPAWKQGWAEQALSSITPPPRPLLAILGILVILLSVSSYSSYRSQVKKTRINFKLFFLLLPLVFVFVGNSIVRYGRLVIITPRTKLEPVYVTEGAASASPWGAAVLLVVLLVLLSYQPYFHSKWFPAIWRPYYY >KGN61666 pep chromosome:ASM407v2:2:10732516:10734584:-1 gene:Csa_2G222090 transcript:KGN61666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMATASFPPPLATAISSNVFEIGKFNAVIEKVEAGGCCSSGRFLPPKPLLIGRPSDAGEFPVLLLVHGYLLYNTFYSQLIHHIASHGFIVVAPQLYTVAGPDASEEINATAAVMNWLPTALRQHLPPHVNPNLTKIALAGHSRGGKTSFALALQKSSKLSALIGLDPVDGTGSGKQTHPPVLKYIPQSFDLGIPVLVIGSGLGELKRNPLFPPCAPKGINHEEFFKECRSPAYHFVVKDYGHLDLLDDETGGIRGKVSYCLCKNGESREPMRRFVGGAVVAFLKSRLNGEEGDLKAIEDGDLILPVHLQTSESLL >KGN63216 pep chromosome:ASM407v2:2:21376442:21378430:1 gene:Csa_2G416070 transcript:KGN63216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNLPPKIPNLTTPHHFPQHNNHFLSFSSSKQSFHRRSVSDSIAFLDSPTMMSQSFLGSPASISNSNNAFDGFDEEQFRSMLSDEIHSNNEDDEPTSSDHNSNEKSEGTTNDDPKHSVRNEPKEEVESRQWEDNVVPTNISNDRVTDPKRVKRILANRQSARRSRVKKLQYISELERSVTTLQAEVSMLSPRVAFLDQQRLLLNVDNSALKQRIATLSQDKIFKDAHEEALKREIERLGQLYHRQQKSKRMECNESSPTEPPTSSGDQKHKLLYV >KGN62253 pep chromosome:ASM407v2:2:15438124:15442190:-1 gene:Csa_2G345940 transcript:KGN62253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYSRGHDFLFCNLCGTMLSFCSTKYVECPSCKSRRSAKEIVGREISYTVTAEEIRKQLGISLIDEEKMQLAKERRRCEKCGNDEAWFESRQMRSADEGQTTFYTCTKCHHQTREN >KGN62095 pep chromosome:ASM407v2:2:14146380:14148880:1 gene:Csa_2G297190 transcript:KGN62095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVSATKRRLLKVIFLGDSGVGKTSLMNRYVYKKFNLQYKATIGADFMTKELRIDDQLVTLQVWDTAGQERFQSLGAAFYRGADCCVFVYDVNVTKSFESLSSWHEEFLKQAEPADPKAFPFLLLGNKIDVDDGHSRAVSEKRARQWCDSRGSMPYFETSAKQDYNVNEAFICIAKAALTNEEEQEPYFESISESVSEVETRGGGGCAC >KGN62029 pep chromosome:ASM407v2:2:13696177:13702147:-1 gene:Csa_2G287110 transcript:KGN62029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQQDYQQAAAAAAAAAAAGFFTPFSNGFDRSSTTHHQDSHQHYQHIAHQIRKEKLRLQGSYDAPPHPPSLVGIDDDDDNDDQSTVHHVYDSTGILSEMFNFPPPPPPPPPQTDWYSNRQTINAADSAVAMQLFLMNPNPRSPSPPPPPPPSSTLHMLLPNPSSGGSYGQFTWGVVPQQETNNDHSSSNPNEGRLSLSLSSSLEAAKAEELRMGDSNNGLLYHHHHHPHVGIGSSNPLGVVNLLRNSKYIKAAQELLEEFCSVGRGQFKKNNHNNKSNLPSNSNSHGGGGGDGAGVSSSSTKDHQPSLSATDRIEHQRRKVKLLSMLDEVDRRYNHYCEQMQMVVNSFDEVMGFRAAVPYTALAQKAMSRHFRCLKDAISSQLKHSCEMLGEKDGGVTGRASGITKGETPRLKLLEQSLRQQRAFHQMGIMEQEAWRPQRGLPERSVNILRAWLFEHFLHPYPSDADKHLLARQTGLSRNQVSNWFINARVRLWKPMVEEMYQQEAKDDDDDDHNNNNNNSNNNNNNSDDEEEEEDTQTNNNHQQIMNPLSQTPTLTPQPQALPPSSSAVAQTFPANNYETELQDTCRRVSVLAAPDLQFGTTNAAATSDIEGPTTLIRFGTTAGDVSLTLGLRHAGNIPDQTSPFALRSEFGGC >KGN63203 pep chromosome:ASM407v2:2:21246243:21247305:-1 gene:Csa_2G408980 transcript:KGN63203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFTDSPVIDLSVGGTALSLQQDNGSMHVGTSVWPCSLVLVKFVDRWSSITPTENPYSSLLDFRGKRAIEIGCGCGAAGMGLYLLGLTDLLLTDISPVMPALKHNIKRNKPVLKKALKHSVLYWNNPAQIAALNPPFDIVIATDVVYIEETVGPLISTMDTLIGNNGIVLLGYQLRSPEADELFWEMCDRIFQIEKIPHQDLHPEFAYEESDVYVLRKKKEEKASGS >KGN61402 pep chromosome:ASM407v2:2:7865904:7866338:-1 gene:Csa_2G116260 transcript:KGN61402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDANTLAADCIVICCCCQCLALQIILLLFLKLPWKLVRKTREFAKKRLRLREKEEKMVGKEKGCGNEVRGISNGSCMRNEEKGLGLSCCMEEIEKVMQDLHEKGEFGFGSFWGNGNTSSGSCRDDLFSPTNVQYQLIQVIPST >KGN62916 pep chromosome:ASM407v2:2:19404303:19405258:1 gene:Csa_2G379960 transcript:KGN62916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVCVSGQVGPRLSKDRESFPPNNILLMLAGAGLLWMGWTGFNGGDPYAANIDASLAVLNTHVCTATSLLTWLIFDIIFFRKPSVIGAVQGMITGLVCITPAAGVVQGWAAIIMGVLSGSIPWFTMMVVHKKSAILQKVDDTMAVLHTHAIAGSLGGILSGIFAEPHLNNIFYGNYNKYIGLFYGFNTKQVKLGFRQLGVQLLGIIFVTLLNIIVTSLICVLLQYIVPLRMSEEDMAIGDEAAHGEEAYAIWGQGEKMDSSKYDIEAPVSAKHRHAAGQVEMT >KGN63004 pep chromosome:ASM407v2:2:19929225:19930034:1 gene:Csa_2G382770 transcript:KGN63004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPRSIWKGGFVDAFLSKMKKNVEPLMNKKIWSRGSMILPEFVGSTVRVYNGKNFIRCKITEERVGHKFGEFAMTRKRKIARSNSAPVKRGGKK >KGN63262 pep chromosome:ASM407v2:2:21813447:21818978:-1 gene:Csa_2G419960 transcript:KGN63262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQPSIMDAHLLPLDIPQNTSESDLARIRDDDFDSATKSGSDNNHELVSGDDQDPRPKKKRYHRHTQHQIQEMEAFFKECPHPDDKQRKELSRELGLEPLQVKFWFQNKRTQMKNQHERHENTQLRTENEKLRADNMRYREALSNASCPNCGGPTAIGEMSFDEHHLRLENARLREEIDRISAIAAKYVGKPVVNYPLLSPSVPSRPLELGMANFGPQPGLGGGDIYGSASDLIRSISAPTEADKPMIIELAVAAMEELTRMAQMGEPLWMTTLDGSTHMLNEDEYLRTFPRGIGPKPSGFKCEASRESAVVIMNHINLVEILMDVNQWSTLFSGIVSRAMTLEVLSTGVAGNYNGALQVMTSEFQVPSPLVPTRESYYVRYCKQHGDGTWVVVDVSLDDLRPTPGVRCRRRPSGCLIQEMPNGYSKVTWVEHVEVDDRGVHNLYKQLVSSGQAFGAKRWVTTLDRQCERLASAMATNIPTGDVGVITNQEGRKSMLKLAERMVISFCAGVSASTTHTWTTLSGTGADDVRVMTRKSIDDPGRPHGIVLSAATSFWLPVPPKRIFDFLRDENSRSEWDILSNGGVVQEMAHIANGRDTGNCVSLLRVNSANSSQSNMLILQESCTDPTASFVIYAPVDVVAMNLVLNGGDPDYVALLPSGFAILPDGGGGEGVSGGSLLTVAFQILVDSVPTAKLSLGSVATVNNLIACTVERIKASLSCENA >KGN61564 pep chromosome:ASM407v2:2:9696171:9698909:1 gene:Csa_2G171860 transcript:KGN61564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQDVMSSASDQMLTIDEISSPINAQIFDFCDPELFAETLQNSEFNSCSNCCYDKNSPYATNLSNSPDQTDNNGNGNGNGNTVAGAASFIPTNDASAATNITTNSASNLTAIFDSQEELDNDISASIDFSPSASFSIPQYLTIQSGQFDVSQVQSQMPLVDPMIEGLVQCPMAPVGALIDEDLPSIYVDDCLSSLTSYMPLNPASPSCSFVGTTMATYLPTTSMNPATSTVESCGMFSLLGPDLQDLDYQGDNCGLYSQDCMQGTFNPADLQVLNNENLQLAAGAMNCTSLASDLSSLKDSTFKVGKLSTEERKEKIHRYMKKRNERNFSKKIKYACRKTLADSRPRVRGRFAKNDELAENHRAACSNHEGEEEEEVVVKEEDSMVDSSDIFAHISGVNSFKCNYPIQSWT >KGN61353 pep chromosome:ASM407v2:2:7245101:7245352:1 gene:Csa_2G095915 transcript:KGN61353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDIDSATEALNGVKMELIDAAFPLLEGLVATIDVSIYKRIGFSLGTTCCFRFPVF >KGN61697 pep chromosome:ASM407v2:2:11003604:11005614:1 gene:Csa_2G225360 transcript:KGN61697 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2A MAGKGGKGLLAAKTPAANKDKDKDKKKPISRSSRAGIQFPVGRIHRHLKTRISANGRVGATAAVYLASILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKASKD >KGN61355 pep chromosome:ASM407v2:2:7256677:7275216:1 gene:Csa_2G095930 transcript:KGN61355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKKKEVLEHHQEDADGPNAKPLSRHDFPPNFFFGVATSAYQVEGAANEGGRGPCIWDEFTHIKGKILDGGNGDVAVDQYHRYKEDVELIANLGFDAYRFSISWSRIFPDGLGTKVNVEGINYYNNLINALLEKSIQPYVTLYHWDLPLHLQEAIEGWLNKEVVNYFATYAETCFSSFGDRVKNWITINEPLQTSVNGHGIGIFAPGRWENPSVEQYLTAHHQILAHATAVSIYRKKYKEHQGGQIGLSVDCEWSEPNSDSVEDRTAASRRLDFHFGWYMHPIYFGDYPEVMREELGDNLPKFSDEEKELIMNSVDFVGLNHYTSRFIADASESPDGGNFYKSQKMARLVQWEDGELIGERAASDWLYIVPWGLRKLLNYIKQKYNNPIIIITENGVDDEENETCPLHEMLDDKMRVGYFKGYLAELSKAIRDGVDVRGYFAWSLLDNFEWAQGYTKRFGLVYVDYKNGLTRHPKSSAYWFRRLLKGSEEKNGKKE >KGN61730 pep chromosome:ASM407v2:2:11432977:11434677:1 gene:Csa_2G234570 transcript:KGN61730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVVRAPPAGADIFNFGSPCSSHYLSAPSTPFSSLSAPTSPSSLGFNFFRLDHDVDVPIGSPSAVPFGWEEKPGIPKSPDCAASSTDHGFEFHCSRHSFFSKPSLSADELFHAGKIKPLKPPPGFQSNVSSPKSPHNLRLSPRKTKSNIDTKNINDDPFEAALVKETHRQRINYELIDSNNNNEIIRGRTDKIAYISSNFARKQTRSLSPFRLSSESALHEDSTRKSKSSSFLSAISFSKTNRKWRLRDLLFRSASEGRATEKADNLRSTYVVMSEKLDDDMKISSFRSEDSGGSRMRFTAANRAVSEELKKKSFLPNKPSFLGRCLRFNRSGMQEISRGIGSLTRG >KGN62321 pep chromosome:ASM407v2:2:15815542:15815994:1 gene:Csa_2G349065 transcript:KGN62321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHCHPRHQTCRLCLHQHRRICKSLHYYRNSVLLNNSAHSVRIICERIKCLDGRNFSLRRGAFLQKLEKRRNSPFLNNNVFVSLIISGKGSEFVGPFDTIGQLTHLNRGNGRPNPSNNGVIARNWGKRKHDTATIAFENSEKPFHIPNRSV >KGN62035 pep chromosome:ASM407v2:2:13735284:13736401:-1 gene:Csa_2G287170 transcript:KGN62035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCMLVVCKDCSKYTWKGCGRHLPGLYKSVEKGKHCNCHPWPGVVVPPEDVPDTTKPSQPVQPSSVSTGKTVK >KGN61081 pep chromosome:ASM407v2:2:3905127:3905408:-1 gene:Csa_2G037770 transcript:KGN61081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQLKVSPDVHFEKDLGLDSLDTVEIVMALEEEFKLEIPDKEADKIDSCNLAIEYVYNHPMAS >KGN62775 pep chromosome:ASM407v2:2:18473315:18475073:1 gene:Csa_2G372710 transcript:KGN62775 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding protein MGAEPPLESERKLEREMELDEHVFLEELMALRRDPNWEAIPNEITDLCSNAWPFDYCFDQNTLSFPPNSSSQPLSTHNLHEFYNPLPNEFSVPQIPDSAFTAMEVAAAAAVAAAPLTFQPEHPNVEREEEEEEEEEQLGFLADEIQNMEAVQVESICKMEPNQSPEELQVFNIGTCSSSSSLERKNRAKKLQGQPSKNLMAERRRRKRLNDRLSMLRSIVPKISKMDRTAILADAIEYMKELLEKIGNLQNEVEGSNSRMNSLKNTKPSEFVVRNTPKFEVESRDGETRIEICCGGKPGLVLSTVNTIEALGLEIQQCVISCFNDFALQATCSSQEMKQRTREVEAEELKEALFRNAGYGGSCL >KGN60568 pep chromosome:ASM407v2:2:436735:438473:1 gene:Csa_2G000760 transcript:KGN60568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWQTYVDDHLMCDIDGQGQHLAAAAIVGHDGSVWAQSASFPQFKSDEINGIMKDFDEPGHLAPTGLHLGGTKYMVIQGEPGAVIRGKKGSGGITIKKTGQALVFGIYEEPVTPGQCNMVVERLGDYLVDQGL >KGN62317 pep chromosome:ASM407v2:2:15809689:15810033:1 gene:Csa_2G349030 transcript:KGN62317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVISEDERCRCLLMKVKPKVADLEEMEQPTTPTSEEFRIGRVHNYLACPPPPRKPKSLPGPITMSFTTVHNNNNNGAKYFTSYSELQAFFFASTSPFPSTQQNHSSFYRSATQH >KGN62636 pep chromosome:ASM407v2:2:17493273:17494390:-1 gene:Csa_2G362490 transcript:KGN62636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEGQVIACHKQSEWEALLAKAKESGKLVVVDFTASWCGPCRTIAPYFSELAKNHSGVMFIKVDVDELNAIASEWKITAMPTFVFVKGGETVHKIVGADRAALLKKIEELKTSTTAAATSTA >KGN63241 pep chromosome:ASM407v2:2:21607772:21607951:-1 gene:Csa_2G416800 transcript:KGN63241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPHKCASLLFRPSKPPHADEQRRRFICSSASHQFRSTKPIPPLQLLPIANLTGEDVSV >KGN62187 pep chromosome:ASM407v2:2:14897758:14901621:-1 gene:Csa_2G324420 transcript:KGN62187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGSISLCFLLSVLILSPPSSFAGIFDDPRLPPSDFPSAQAEKLIRELNLFPKSDTNIIHRNIQNSSLLAAGEKKIVERRLRFPLFDDSGVSLEELGHHAGYYKIEHSHAARLFYFFFESRNRKSDPVVIWLTGGPGCSSELAMFYENGPFKITKNLSLVWNEYGWDKVSNLLYVDQPVGTGFSYSSDRQDIRHDENGVSNDLYDLLQTFFDEHPDLVENDFFITGESYAGHYIPALASRIHQGNKAKEGTHINLKGFAIGNGLTDPAIQYKTYPDYALDMGIITKSQHRRINLLVPPCELAIGACGTDGTLSCMTSYYVCNAIFTSIMLHAGDMNHYDIRKKCEGSLCYDFSNMEKFLNQQSVREALGVGDIEFVSCSPTVYKAMLVDWMRNSEVGIPALLEDGIKMLVYAGEYDLICNWLGNSRWVHAMQWSGKEEFVASPKVPFLVDGSEAGLLKRHGPLSFLKVHDAGHMVPMDQPKAALEMLKRWTRGTLYEKSSNPQILVADM >KGN61576 pep chromosome:ASM407v2:2:9775756:9776337:-1 gene:Csa_2G172460 transcript:KGN61576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVAVIGAGIKGLVCSYVLAKAGVEVVLFEREEYLGSHRYRTITFDGFDLDLAIMVFNPVTHPNTMALLEDLEVEMEESNMSFSISIDKGRGYEWGTRNGVSSLFAQKNNILDLSFWQMIREITKFNDDVTEYVLLKNNTISSLRFSIIYFLLFKYFKRL >KGN60625 pep chromosome:ASM407v2:2:784043:789944:1 gene:Csa_2G004740 transcript:KGN60625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKRSSDDEDEKDSPKSKRRELGLSCMLNTEVGSILAVIRRPPSELNSPYISTIDETYDSTIQQSLKSLRALIFHPQQKWRTIDPSIYISPILDVIQSDDIPAAATGVALSALLKIIKVEIFDEKTPGAKDAINLIVIGITNCKLEKTDLVTEDAVMMKVLQVLAGLMNHRASYLLNDQSVCTIVNTCFNVVQQSASRGDLLQRTARYTMNELIQIIFSRLPEIEVRDGEESESDTEDADLGGSLDSGYGIRCVIDVFHFLCSLLNVVEIMVEVGDGGLGSRTADEDVQLFALVLINSAVELSGDAIGKHPKLLRMVQDDLFHHLIHYGAASNPLVLSMICSTVLNIYHFLRRFVRLQLESFFVYVALKLASFGNSTQIQEVALEGIINFCRQSSFILEFYVNYDCDPLRWNLLEEIGKLLCKLSFPTGSPLTTLNIQAFEGLVIVIHNIAEKLDKHKEETCGGGGNLRVYPAQVDEYIPFWEEKSKEDLDLEDWLRYVRVRKAQKKKILIAGHHFNRDEKKGLAYLKLSLLVSDPPDPKAYAYFFRYTHGLDKQFVGEYLGDPGQFHVKVLAEFTETFEFTGMILDTALRTYLETFRLPGEAQKIHRILEAFSERFYELQSSNTFASKDTVFVLCYSLIMLNTDQHNPQVKKKMTEDEFIRNNREINAGKDLPRDYLSELFHSISNNAIILSPQSGLQLDMNPSKWVELMNRSKIIQPFMSYDFDPRLGRDMFGCIAGPSVASLAAFFEHADEDEMLNECIEGLFSIAKITQYGLEDTLDELLAMFCKFTTLLNPYASAEETLFVFSHDMKPKLATLAVFTIANNFGDTIRGGWRNIVDCLLKLKRLKLLPQSVIDFEVASTSSNDVARSDSGVIFPSQDPKFCTQQSSGMVSRFSQFLSLDSMEDSLTLNLNEYEQNLKFIKQCRIGNIFSNSSNILDEALLNLGRSLIFAAAGKGQKFSTPIEEEETVGFCWDLIITMTMANLYRFQVFWPNFHEYLQTVVQFPLFSAIPFAEKAVLGLFKVCLRLLSTYQPDKIPEELIFKSINLMWMLDKEILDTCFESITQSVSKILIEYPANLQSQIGWKSLLHLLSATGRHPETYDQGVETLIMLMSDASHITRTNYTFCIDCAFSYVALKNSPLDKNLKILDALSDSVNFLVQWYRNYCAESGNSFSVASNASSSSLDEKGLGSSNFALTLFLKLGEALRKTSLARREEIRNHAITSLKKSFVLAEELDFPPTNCIGCFNNIIFAMVDDLHEKMLEYSRRDNAEREARSMDGTLKISMELLTDVYLVYLKQISESPGFRTFWLGVLRRMDTCMKADLGSYGESSLKDLIPELLRKIITTMREKEILVKKEGEDLWEITYIQIQWIAPGIKDELFPEECF >KGN60868 pep chromosome:ASM407v2:2:2484864:2487342:1 gene:Csa_2G020910 transcript:KGN60868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METILSPYSLSPILNPKPSSSKNPSLYHSTQPRSNLASLSKPISLSLKTPSPPKSLRSSLPFPSTWFSHLQHGLAAVAISLALNFSPLLAGHNALASEFDVLSDGPPKETHLVDDAGVLSRVTKSDLKRLLTDLEMRKNFHIDFVTVRKLTSKADAFEYADQVLERWYPTVEDGNNKGIVVLVTSQKEGAITGGPAFIQAVGENILDATVTENLPVLATDEKYNEAIYSSAKRLVAAIDGLPDTGGPSFKDNKRESNFKTREETEEKRGQFTLVVGGLLVIAFIVPMAQYYAYISKK >KGN61425 pep chromosome:ASM407v2:2:8036792:8037018:1 gene:Csa_2G120910 transcript:KGN61425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDISPLMCFCMDEWHHHDRTLRQFGLKQDVPLDFNTEPLLHNVDLRITDWFDIVVHLVM >KGN60870 pep chromosome:ASM407v2:2:2492218:2498156:-1 gene:Csa_2G020930 transcript:KGN60870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATASVAFKSREDHRKQLELEEARKAGLAPAEVDEDGKEINPHIPQYMSSAPWYLNAERPSLKHQRKWKSDPNYTKSWYDRGAKIHQADKYRKGACENCGAMTHDSKSCMERPRKVGAKWTNMHIAPDEKIETFELDYDGKRDRWNGYDPATYARVIERYEARDEARRKFLKEQQLKKLEEKNTKQNEDDEVSAEDEDEDDLKVDEAKVDESKQMDFAKVEKRVRTTGGGSTGTVRNLRIREDTAKYLLNLDVNSAYYDPKTRSMREDPLPDVDPNEKFYEGDNQYRISGQALDFKQLNVHAWEAFDKGQDIHMQAAPSQAELLYKNYKVIKEKLKSHTKDAIMEKYGNAADEEQLPRELLLGQSERQVEYDRAGRIVKGLEMALPKSKYEEDVFINNHTSVWGSWWKDHQWGYKCCKQTIRNSYCTGAAGIEAAEASADLMKSNIARKATSEDTPAPTEEKKLATWGSEVPDDLVLDQKKLTESLKKEDERRKEEKDERKRKYNVRWNDEVTAEDMEAYRMKKVHHDDPMKDFLN >KGN60633 pep chromosome:ASM407v2:2:858916:859875:1 gene:Csa_2G005300 transcript:KGN60633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHCNGCARRVEKHISKIQGVESWKVDMERETVVVTGDVFPFEVMQCISKVKSVEILEPQV >KGN62036 pep chromosome:ASM407v2:2:13737055:13737430:-1 gene:Csa_2G287180 transcript:KGN62036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVILTTKEGECIIGGTEEGDEIKCGISLYPMEFYKAFTSKGVWLLFNSYDPNGMLLIAPMGLYTHISSFSPHDIYGGIDLHIVNISSLHFSFPHQ >KGN61812 pep chromosome:ASM407v2:2:12061112:12062289:-1 gene:Csa_2G248690 transcript:KGN61812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESNQTQCIAMDSATALQQYLDHIPVSSISGIKSSVVLEVKTGDFVKDAIRIMFQKNVASALIADVSTDGFPDRFIGFVHLSSLLLWCIQEIRKLENEIECIESEDTKETVCGILGFLEQNPHIGQTKVGELGKTFLWDPYFPVGMRDTLFHVLLMLSKHRLQAVPVVELSNSHVIGFITQSAVIHLLLESSGLEWFDGIADKVISDFR >KGN61320 pep chromosome:ASM407v2:2:6678628:6683500:-1 gene:Csa_2G081190 transcript:KGN61320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSHVEESWLDERDKEALQHIQHITSNAGEIQRQILSEILSTNANVEYLQQHGLHASTGSSTFKKLIPLVSYEQLKPYITRIAEGDDSPILCSNPITAFFLSSGTSGGEPKLVPIYEKEFERRLSFFNYLMARTKELFPNINWHKGKAMNFHFAKPDHKTKAGILVHTVFSRLLKRSLNLKSVESGNNAIPDDILRCTNTYQSLYCQLLCGLYQNDLVFQVGAVLASGLIHVFKFLENHWVDLVSDIRRGSINNPKITDLSLRESVMKILVKPNPQLADLIETECSKGKWKGIVPKLWPNTKYIKAIATGSLSQYIPLLNYYTNNLPIFSDHYGSTECFLGLNLDPICDPNETSYTLIPTMAYFEFLPIDTTNINGEVTQELVDLVDVKLGQEYELVITTFAGLYRCSLGDIVRVTGFTNKAPKFSFVRRKNVVLNLEYEKTNETDLRMGVENAGGVLKPFGATIVDYTSYADTSTIPGHYVLYWELLIDGNDHNTQTNHFIPSSVFNDCCFAIEESFTTFYRIKRSHEKTINPLEIRIVKSGTFEKLMKLAINGGASMNQYKTPRSLNSNQIHFIQLLESNVVCSYFSQKNPRVGLH >KGN61400 pep chromosome:ASM407v2:2:7827024:7828235:-1 gene:Csa_2G115750 transcript:KGN61400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHAISFSYFPSPTMASKSWSFFVICLAFLFSSSFAQAPAQPPSLPTVSPPPTTPPPTVATSPPLSTPPPTVVAPSTSPTIPPPQSSPVSTPSLPPALPPPPATPPPLPPPLPPPQVSPTPLPTPPVLAPTLAPLPLPTPPTPTPSPPTPAPVAAPEPSPAPAPGKHKHRRRHKHKKHQAPAPAPTVPSPPAPPTVVDSQDSTAPAPSPDLNGGDPVKRKVGRWSKVGLGFIFLVATTGFNL >KGN62722 pep chromosome:ASM407v2:2:18059510:18061044:1 gene:Csa_2G369730 transcript:KGN62722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKEQQQQNTPSVKRTLLIFNCCLLAVGNCGGPLIMRLYFVHGGKRVWLSSCLETGGWPIIFIPLLISYIHRRRLAALDPSGSPNSAQFIFMKPRLFLASAFIGILTGFDDYLYAYGVARLPVSTSALIIACQLAFTAGFAFLLVKQKFTSYSINAVVLLTIGGAVLALHTSGDRPAGESNKDYIAGFLMTVAAAVVYGFVLPLVELTYKKARQQITYTLVLEFQLIMSLFATIVCAIGMLINNDFQVIPREAEAFGLGKFRYYLVLVLSAILWQGFFLGAIGVIFSSSSLFSGIVIAVLLPVTEILAVIIFNERFQAEKGVSLALNLWGFLSYFYGEIKHNKRKKLELQRYEETTSTQVANV >KGN61695 pep chromosome:ASM407v2:2:10976012:10977994:-1 gene:Csa_2G225340 transcript:KGN61695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFQMKIQPIDFDTIEAAARFELVKPVVKSKLKRLFERQFPNVLRNSAEKANFEELNANKDGSDGVSSELEPSSLCLANMVQNFIEDNNEKQFSASRCGRSRCNCFSGNNTDSSEEDVDSHGSFGDSNFSSGGEAWELLKSLLPCTSVHERNLLADTARIVEKNKVCKRKDNLAREIVTDGLLALGYDASICKSHWEKSPTYPAGDYEYIDVIIEGERLLIDIDLRSEFEIARSTKSYKSILQLLPYIFVGNPYRLQRIVSIVSEAAKQSLKKKGMPVPPWRKAEYVKAKWLSPHIRASSLSISCPDPESKDTIEICAEKSVDRNGLGEVESVSVVKEWKPPELKPKSSSVGARNLKIVTGLASVIED >KGN62584 pep chromosome:ASM407v2:2:17226840:17229512:-1 gene:Csa_2G361500 transcript:KGN62584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIERKGRFFFIFFLFIFAFPHVSRVFVCSSSSLEQNQSEIEVPFEIKQYDLLKNLEELVRNLSDVVSKLELRLSDIPTAVNREKLNTDAFRRVVPEVGKEKRKGKEEGLNDGGDGGLDHKIQDGGRTRAVSVTKYSSFWSERFHFLSAVKLEADATCINVLPLRDFEGHSKYVAVGDERGRIYVFVINGDVAIELPTVPGSPVTAMLSYMSIYKNETLLVTGHKNGAILMHRIWEGSNGEDLNLIFMEHVVEFVATNSREDESQISLLELHHVGRTRYILSSDFGGKIKVFREDGTVYGSVMPTSRPIAFLKQRLLFLTESGAGSLDLRSMKLRESECEGLNHSLARNYVFDAMERSKAYGVTSDGDLIHVLLLGDIMNFKCRVRSKRKFELDEPLAFQTIKGYLLVTSNEKVHVFNVSSQHYVRVGAPRLLFSAGLDEIKSSFLNYQNSDLVSEANFIPLISSDHEKLVVLGLGGGYVGMYRSNLPIFKGEFNTMVWTSPVLFFILFLFGAWHFFAKKKEALTSWGPDDPFTATSPTTGAPMGTGSSERASFIDTPSRSTDVMDLRSAGGLRGPPRRYGSPTGYPAGATSSFRPATTNDHSSRPAAVDPNYRAASELKFRGSPLEPPGFPKRREPLFANNQVVNQVVDDSS >KGN61211 pep chromosome:ASM407v2:2:5413462:5413756:1 gene:Csa_2G070280 transcript:KGN61211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METDWVCGLFVGIELISGGLWSCGCKFIGTIDEGLKDLKEIKKERAEYNPSKNSFSKIVVNGEYEYSPNFPSKCIND >KGN62773 pep chromosome:ASM407v2:2:18460457:18462298:-1 gene:Csa_2G372690 transcript:KGN62773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNYSYSSYPDSRDSSPRSCENPSWDDPSTNLNPTHHNYKVKFMCSYGGKIQPRAHDNQLTYTGGDTKILAVDRTITFSALSSRLSSLCDVTVCFKYQLPGEDLDALISVTNDEDLEHMMLEYDRLYRVSKPARLRLFLFPLNSPVVPKILASQDPKSDRQWFVDALNSVRIQPLEDSSSSPVDPPGSASNPDFLFGFDKGYHPTPVSGSNLTDLPASNTVVKDVSAGSDCGSEDRHLVGEPVVSPSEFQKQILDLQRLQVTNERSSDETNSKTSASDSHPPKIAEKIAPPPAAVPLPVPLAVPTAYFPDRQMISSGYTVAASANAPATDQSIYLIPTAGGLFQAQTLRPINGPVGHQPYYGMPTYREAQVHSSVAQPNVGVYTSEGIQMMQPKITVNEAGYTQVAYDHNTGRQVYFTTAPPYQTMAPVAVDHGRPSVGGVGGGVSSYNPDGNVMNTSKASGL >KGN60510 pep chromosome:ASM407v2:2:128987:129835:-1 gene:Csa_2G000190 transcript:KGN60510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQRSGTSLSPEICDSHHLTLKFKMLSSALRTLTTLPSTSRNLRLLRLNPCPSSRPFSVKMSAHTQPIEHIVLFKVKEGIDSSKVNDMLNGLNGLISLDQVLHLTAGPILRNRSSSSSFTHLLHSRYPSKEDLSIYSAHPAHMNVVKQFVLPICDDIMAVDWVADALQGSLVPPPGSALRVTFLKLKENLGDEVKGQILEVIAGLREKLGPNVQLTVGENFSPARAKGFSIASIAILPGPNELEAAASDKEMVELQKEKVREHLESVIVVDYVVPPPQTASL >KGN62752 pep chromosome:ASM407v2:2:18287890:18293314:1 gene:Csa_2G370510 transcript:KGN62752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKLDVQSASQATGATSAASKQPEAKEIKLWGGRFQESVTDAVERFTESISYDKDLYKHDIRGSRAHASMLAKQGLMSIGDRDSILAGLDEIERRIRNGEFVWRADREDVHMNIEAALTDLIGEPAKKLHTARSRNDQVATDFRLWCRDAIDSIVARIKDFQVAMVDLAVKNAGIIVPGYTHLQRAQPVLLQHVLLAFVEQLDRDAGRLLDCRARLNFCPLGACALAGTGLPIERFMTSSALEFSSPLRNSIDAVSDRDFAIEFLSANSIMAIHLSRLGEEWVLWSSEEFGFITPNDAVSTGSSIMPQKKNPDPMELVRGKSARVIGDVVTLLTLCKGLPLAYNRDLQEDKEPVFDSVKTVLGMLEVSAEFARNISFNQDRIKKALPAGHLDATTLADYLVHKGIPFRTSHDIVGKSVAMCLGKNCQLKDLSLNELRSLSPIFDEDVYEFLGVENAVNKFSSYGSTGSECVASQLQYWIEKLGLISQ >KGN61171 pep chromosome:ASM407v2:2:4807252:4810596:-1 gene:Csa_2G060520 transcript:KGN61171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLFTIFTPKLVRSFTFSSNPSSNSRCLQTLLKSGFSPTLKSINHFFRFLYHNRRFDYVIHFFYQLNANQIKGNSKTHLILSWALLKSHKYDDLEQILKTQMLVSSIFHRNRLWNLLIRGICVNKEDPGKALWVLQDCFRNHAILPSSFTFCVLIHKFCSLGMMDKAVEILELMSDENVNYPFDNFVCSSVISGFCNIGKPELALKFFENAKTLGNLKPNLVTYTAVIGALCKLHRVNQVSDLVCEMEKENLAFDVVFYSCWICGYIAEGMLLDAFKRNREMVQKGIRPDTISCTILIYGLSKLGNVEKAFGVLERMRKSGLELSSVTYTVIMLGFCKKGKLEEAFSLFEMVKGLEMEVDEFMYATLIDGCCRKGDFDRVFGLLDEMETRGMKSSIVTYNTVINGLCKWGRTSEADRLSKGLHGDVITYSTLLHGYIQEQNITGIFETKRRLEDAGISLDVIMCNVLIKALFMVGAYEDAYILYKRMPEIGLAANSVTYHTLINGYCNICRIDEAFEIFNEFKLASCDSVAVYNSIIKALCREGRGEKAFEVFIELNLNVLTLDVGVCKMLIRTIFEEKGAAGLCEALYGMEKVEQDVYNNTCNDAIRFLCKRGFSEMASEFYSRMMRTRLLLEKKTFYFLIKALNSEGKTWISRPIFSNFLKEYGLFDPIVKQIIVDFECTKFTLPTSEKMEESFSRFMVPNSMFKRLVKEKRFFDAYNLVMKRGNNLLLGDVFDYSTLVHGLCKGGQMSEALDICVSAKTNGMKLNIICYNIVIKGLCLQSRLIQAFQLFDSLERLGLIPTEITYGTLIDSLCREGYLEDARQLFERMIPKGLKPNTHIYNSLIDGYIRIGQIEEAFKLLHELRTGAFNPDEFSVSSAIKAYCQKGDMEGALSFFFEFKNEGISPDFLGFLYLIRGLCAKGRMEEARDILRETIQSQSVMELINKVDTEIEAESIGSALTHLCEEGRILEAYTILNEVGTIFFSAHQHSTIYNQPRKLHMNDERSVDIIHSGPKACSYASFPNFGSSDVNTTENMEHENLEKRAHFEDFNFYYTLLSSFCSEGNVQKATQLVKEVISNLDRG >KGN61959 pep chromosome:ASM407v2:2:13165056:13167853:-1 gene:Csa_2G277010 transcript:KGN61959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGATFAIAVTWTVLIVVTTTITSVVQAHLGANWGTMASHPLNPNIVVNLLEDNGIKKVKLFDSDSWTVSALAGSKIETIVGIPNDQLESLASDYNHAKDWVKENVTAHIYDGGVNIRYIAVGNEPFLTAYNGTYIKLTFPAMQNIQKALDEAGYSKKIKVTCPLNADVYESATNQPSDGQFRSDILDEMKDIVRFLSRNDAAFMVNIYPFLSLYLNSDFPVDFAFFDENGKSINDKGKKYTNVFDANFDTLVWSLKKIGLGDLKIIVGEVGWPTDGNKFATVELAKRFYDGLLKKLASKKGTPMRPNEKLEVYLFGLLDEDLKSIQPGFFERHWGLFRYDGKPKFSLDLTGKGNDKRLVAAKGVQYLEHKWCVVKNSVKDLGTISSQIDYACSMSDCTSLGYGSSCNNLNSRGNISYAYNMYFQMQDQSVEACVFGESAEIVTRNASVGSCLFPIQIDSIGQRLTPMNIVVFTATVLIGLVLSMYV >KGN63333 pep chromosome:ASM407v2:2:22382286:22382528:1 gene:Csa_2G429050 transcript:KGN63333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTIDFSFQVRKCQPELIAPANPTPYEFKQLSDIDDQQSLRFHAPFVNIYHHNPSLEGRDPVKVIIDTYMRYHLTGNISQ >KGN61441 pep chromosome:ASM407v2:2:8194135:8194476:1 gene:Csa_2G122540 transcript:KGN61441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKRNIPQRLASPIGRIDVNPTKVRVSFVLKCNQEEKRKRKGSLSGLECKGLKLTKALTLKFEKGKNCVILLGDPSQTKKEISLERLSKQWQTHHKGFLVEMRALMPSALTN >KGN60852 pep chromosome:ASM407v2:2:2350860:2358782:1 gene:Csa_2G013330 transcript:KGN60852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKLQTYAGISFIATIMVIYHAFNSRGQFYPALVYLSTSKINLVLLLNMGLVIMCILWQLTKKIFLGSLREAEVERLNEQSWKELMEILFAITIFRQDFSVAFLGMVTALLLIKALHWLAQKRVEYMETTPSVPLLSHIRIVSFLGFLFVLDSLFFYNSIDSLIQTRKASVSLFFSFEYMILATTAVSTFVKYIFYVSDVLMEGQWERKPVYTFYLELIRDLLHLSMYLCFFLVIFMTYGVPLHLIRELYEIFRNFRIRIADYIRYRKITSNMNDRFPDATPEELNSSDATCIICREEMTVAKKLVCGHLFHVHCLRSWLERQHTCPTCRALVVPPEGSSTTTGHHGVQSDANQQGTGTTSSSAQGTSGTGVTNDNLSHHQARVRAAAAAASIYEKSYVYPCASTLVWSLGYAVVPQVERPLFDSNNTETNGEQSPNGHPQYFSFPGGPSNLSFTQFPQNIFVPFQPREGTGNNIEELGSSLNVSGSQLEAQEKFLQQQIEFLQNQLQLLRKPKVEESSRGASEADKKGKSLAISPSSSASLSSSDSHPPGETERAVR >KGN62454 pep chromosome:ASM407v2:2:16573711:16577151:-1 gene:Csa_2G354800 transcript:KGN62454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAVFDLTSSLPLSPSPSHYCRTTAIFTPSRDLIASTSTALGGAFSSCRTHLTHFPHHTSSFSPLRCNVRKTVPDQVMAPTDKQDPRIPKISKAIRVIPDFPKPGILFQDITTLLLDTKAFKDTIDLFVERYRGKDISVVAGIEARGFIFGPPIALAIGAKFVPMRKPKKLPGEVISEEYSLEYGTDKIEMHVGAVEAGERALVIDDLIATGGTLCAAIRLLERVGVEVVECACVIELDGLKGRERLGDKPLFVLVNAEG >KGN62611 pep chromosome:ASM407v2:2:17354775:17355559:-1 gene:Csa_2G361750 transcript:KGN62611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLMGGWNSPVLDPKSVKFKRNRSLTKEEIEAYWKSKRKLEKEHLRAVSLPVDDRYDCETVGLDYKRSSSFPPAKDDVAVEAKFVENKMDRKNLEELKKIGWWTRSNSAFLNEPPVLDGASKACVSLYGVADVASCKLNHSNGVGA >KGN62156 pep chromosome:ASM407v2:2:14599610:14600301:-1 gene:Csa_2G302230 transcript:KGN62156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIDRKSSIENEPRTLKMHQIQFAREAALYVMNTATIEEAMKIFTEGLQPVECKAIMEDKDSIVKNWYHDEEIDESLQPFYQLRDIVSAPF >KGN62529 pep chromosome:ASM407v2:2:16959693:16961987:-1 gene:Csa_2G359980 transcript:KGN62529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIANLSYEECRRKRLEENKKRMEALNLPMLSQALIDSSPSKSSPSKLTKPRVTQKELVVVRRSSRVAKQTAPVYAEVIINRVVIPRRISKARDLSNRVYASDEARKEAFERAEQLQSGLEPNYPSFIKSMLQSHVSGGFWLGLPSHFCKNRLPNRDGVMTLIDEDGDEYPTIYLARKTGLSGGWKGFAVAHKLADGDAVIFQFIKPTTCKVHILRTNSSREDSDSNSS >KGN62685 pep chromosome:ASM407v2:2:17826120:17827737:1 gene:Csa_2G368860 transcript:KGN62685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLQTHFVSSSSVLFHSLFLIIFFKGVSGAGFTFVNRCDFTIWPGILGNPKLESTGFELSPGAVRSFQAPPGWSGRFWGRTGCSFDPATGQGNCQTADCGSNQIECNGAGATPPATLAEFTIGGGAGGQDFYDVSLVDGYNIPMVVDATGGSGACQSTGCAAELNRRCPSELRAGEGKACRSACEAFGNPEYCCSGAYNSPAACKPSIYSEMFKSACPKSYSYAFDDATSTFTCSGADYTITFCPSSASFGSHLPHHPFFFSAKNQKETRQAEATGHLYRLTVHGCRTLSPEKDHPKQSFCLQL >KGN62793 pep chromosome:ASM407v2:2:18619804:18620105:1 gene:Csa_2G372880 transcript:KGN62793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESRKLTVDADFATSVLCDDWNRKEEKSIISHFQFTVAYSEGSTSSIGSISSSSVCDEDALSSFSSSSSCSSLSSTSSLLSQSELREQQLPIK >KGN62867 pep chromosome:ASM407v2:2:19104496:19109639:1 gene:Csa_2G378010 transcript:KGN62867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIKGKERRDYTLPLSFSLLTSFIKLLYFFLSLIVLDHYREDTSAKLFIYVLYPNECFCIMGDSLDLNDVFALILVEAWFVSLQWMLGDEGERRSWSIYNLLYMDDYPSKRVADGYTSRRGPSLILRDTANNRDQEGKYCSRIGCSGRLNSPKSTRGSYSEKHKSPLQSFRTSSSGKETAGSSSKTYYAVRGSKKSVVETQRKLSTQETDSSETSSTQDDPEISQVIPSNEGIRTGLRVGLKSSNSTDDTMMEPGSSSVAPNTRGRRDFNQRSGTRNKDTPATSSVLTASKSTRPTARGGADPNSSKRKDMAKKRNPEAESSSTKGKKMNGSSLERRITSSGYGVSISDSRGAKKGTSNRENGITSGRSRTLNNGTSRARSHGNRPDRNGTSLHESRSMISQVSQDHQPDSSTDNITHEVSTELIPDHPISYRRSDSMNENILIHRPASPADIGLARSLTTRDSFRHYGIAEELLALEERMGTVSTAVTEEALSQCLNRSTYQSRQAEGEDTSAAGSEYEDGVKCCICQEEYLNGDEVGTLQCEHTYHEGCIHQWLRLKNWCPICKASVEEPASALPS >KGN62151 pep chromosome:ASM407v2:2:14574722:14580429:-1 gene:Csa_2G302180 transcript:KGN62151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLEEVKAAVGALWNSRGLNWPSTFEQRRQKAGDLDLLDWLRAMFGFQRDNVRNQREHLILLLANSHIRLHPKPEPLNKLDERAVDAVMNKLFKNYKTWCKFLGRKHSLRFPQGQLEIQQRKILYMGLYLLIWGEAANVRFMPECLSYIFHNMAYELHGLLAGNVSIVTGEDIKPSYGGDDEAFLRKVITPLYRVIEREAKKSQNGKAPHSTWCNYDDLNEYFWSSDCFSLGWPMRDDGEFFKSTRDVAQGRKGLQRKSVSTGKSYFVETRTFWHTFRSFDRLWTFYVLALQAMVIAAWKGVSPLEIFQKDVLYALSSIFITAAVLRLLQSILDLVLNFPGFHRRKFTDVLRNLLKVIVSLAWAIVLPLCYLHTFKMASEKFKDVLSYLNTLRSIPPLYIMAVVLYLLPNLLAAVLFIFPMLRRWIENSNWHIIRFLLWWSQPRIYVGRGMHESQFALIKYTIFWVSLLCCKFTFSYFVQIRPLVKPTKDIMSIHRVEYEWHAFFPKAKNNYGAVIVLWMPIILVYFMDTQIWYAIFSTIYGGFIGACDRLGEIRTLGMLRSRFQSLPGAFNTNLVPSDKSQKRGFSLSKRFAEITASKRSEAAKFAQLWNEVICSFREEDLISDRKGYIN >KGN60654 pep chromosome:ASM407v2:2:1007605:1007874:1 gene:Csa_2G006010 transcript:KGN60654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTNLLIFRPIRIQPSATSGSSSGHRKPISIPCRHGWPQWRLDPPDYIGDNVEPDSYSTKKVTESQLSAGCLTEEKAKQLRIMTTRYNT >KGN61027 pep chromosome:ASM407v2:2:3479781:3482952:-1 gene:Csa_2G034800 transcript:KGN61027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHCEKNHLPPDSTPHLNGDANTHLPKRPKIAPTFISDSEIQSEFAHHDPSVARINNGSFGCCPSSVISAQQQWQLKFLRQPDWFYFNGLKKGILESRTIIKDLINAEHIDEVSIVDNATTAAAIVLQKIARDFSEGRFEKGDAAVMLHYAYGAVKKSIEAYVSRAGGHVIEVQLPFPVKSNDEIIFEFRKALERGKANGRKVRLAVIDHITSMPCVVIPVKELVKICREEGVDQIFVDAAHAIGCTDIDMQEIDADYYTSNLHKWFFCPPSIAFLYSRRSPNHSDLHHPVVSHEYGNGLAIESAWIGTRDYSSQLVVPSVLKFVNRFEDGIKGIKKRNHEAVIKMGEMLAESWKTHLGCPPNMCASMVMVGLPSCLGISSESDTLKLRTHLREEFRVEVPIYYRAPKKGETAPITGYARISHQVYNKFEDYVKFKDAINELVQTGFTCTQLSK >KGN63000 pep chromosome:ASM407v2:2:19903027:19916804:1 gene:Csa_2G382730 transcript:KGN63000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFSITRKKTPFQKHREEEEAKKKREEDETARLYAEFVESFQGDNAPGSKTFVRGGTINPNEKLKSESEGEKSKDGVSVPKKGSRYVPSFIPPPLASKGKESDKKELEKPKEKEKGKSRNIDHFMEELKHEQELRERRNQDREHWREGRHGEISTPSSRFDELPDDFDPSGKFPGSFDDGDPQTTNLYVGNLSPQVDENFLLRTFGRFGPIASVKIMWPRTEEERRRQRNCGFVAFMNRVDGQAAKDEMQGVVVYGYELKIGWGKSVALPSQALPAPPPGHMAIRSKEGGTVILSGSSGPPVTSVPNQNSELVLTPNIPDITVEPPEDDHLRHVIDTMALYVLDGGCVFEQAIMERGRGNPLFNFLFELGSKEHTYYVWRLYSFAQGDTLQRWRTEPFIMITGSGRWVPPPLPTAKSPELEKESGPTYAAGRSRRMELERTLTDSQRDEFEDMLRALTLERSQIKEAMGFALDNADAAGEIVEVLTESLTLRETPIPTKVARLMLVSDILHNSSAPVKNASAYRTKFEATLPDIIESFNDLYRSITGRITAEALKERVLKLLQVWSDWFLFSDAYVNGLRATFLRLGNSGVIPFHSLCGDAPEIERKANCDDSGDGSKINQDAELAMGKGGAMKELMNLPFGELERRCRHNGLSLVGGREMMVARLLSLEEAEKLSGYELDEDLKYSNSHSGRYSSSSRETKVERGPAETSGWSRFGDDEADFQRMGSVPLAQTLSIPQPELKGFIKSGKNDPVLPASKWAREDDESDSEQKGGTRGLGLSYSSSGSENAGDGPSKADEMEITTELSALMQPDSGLNEEQRQKLRRVEVALIEYRESLEERGIKSTEEIERKVLIYRKQLESEYGLSDSNETASRKKRRDRPDDSHESSRKLHRSQSHSDSPVRKSSNRDRDRENDMDRERERSRDRDREKSGSRERDDHDRDRGKERDRDRRKRGK >KGN61894 pep chromosome:ASM407v2:2:12649764:12650270:-1 gene:Csa_2G263890 transcript:KGN61894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCFYPKRRGPEWKRGLTGTGQTLSSVSPPPIQLLIIFGIVIVLLWFSQFNAGHEAQLNRSFQLFLFLLPIFVIFFMYSYSSSRLINFGFRRSPTGLAQRGASPWTIAILVLLILVLLYYQSSFH >KGN62898 pep chromosome:ASM407v2:2:19295221:19299018:1 gene:Csa_2G379290 transcript:KGN62898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQHSMLTNVNSNSNKDYVCKNSTDPHPQLPANPIFHDFLGMKNPDNTPLVFAPRTAAAAAEPSPAASASRGPSSSGGRGPISTTSDLASDRQVGSHLEGVPFYGPRGNMSTTEIHSRIIGSKRSISDSFMGSYRDGVPHMPSESHHNSLHLMKTLQNGAGGERNRRSNDDEVTPGMQHPMRPNPASLILQSHIGSGSRLDADVTKWERSTVMNIGPAPVVQASPRGKLEPFLSRLELATKKFRDANMIPPNIFQSAADEGSRTGIKGPGILSSSNPGGGTSERNSSILLGSCSLQKSGTNVVEHEAGIPSSRRGLASANRQMTIFYGGQAHVFDDVHPNKADVIMALAGSNGGSWSTNYGAKSNARPINENQEPSGELDIGMTSNSTPAFAKEARGKLCVAGSSVPLAGSVERISTTSPGAPHGSSGGKGGRDQVQATDSMEKKREVQY >KGN60598 pep chromosome:ASM407v2:2:620068:623528:1 gene:Csa_2G002530 transcript:KGN60598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESEDGLELSLGLSLGGASAKSKGKITSSSGANAEESDRGNKLVDDFKSFLHGDNQRQESDTGSFQSNSIPSKDNFFNDLSKVGVDGDSSIDLKRKGAWIESNYAEAEDENLPEIGNKRKLLLMEMNSQKKQERESHHVDLHEKGRASFISSTEDGSTAEKGDVVESRAEGSTSRLASKHDDSSKQCIGETSLTKGSKDICGFSDSSGADLSRQKRFNNSSVGVSDAVQAMNMHNVPFPISVKDTNSLGAPSTSGHLQLGIQHVRPTVNGDRPGAELINPRNLPLMAGISPLQISAMDKDKSWGLVSHPQMIHHPYGGGGGLGGVSSSSAPGQVTGPFSSDGLLYGGRVTELTKGDNKQPAMEEGSSSRADHAKGSSSNMNAKDVLERSKTASVSLDFPAIKPGIAADIEFGGCGSYPNLPWVSTTAPGPTGKTISGVTYRFNANQIKIVCACHGTHMSPEEFIRHANDESFSAQNDNAPPTIPNKNPAASAQS >KGN61885 pep chromosome:ASM407v2:2:12593201:12594118:1 gene:Csa_2G258810 transcript:KGN61885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVPLEYHIRTDGFVRKRKTSQHILVIGPPCKRKLLHQWTKLPVNAYPVHQCTLSLSHCNISTNSGLILSIGEYHMACCLVKFSSPLCYWKSWASEKVTEIIMDRAIPCEPLKKWVLKGSYLVLKMQAMSARNQSDVPKGHIAVYVGEIQRKRFVVPISYLKHPSFVDLLNRSEEEFGFCHPMGGLTIPCREDAFINLTARLHTS >KGN61329 pep chromosome:ASM407v2:2:6794468:6798154:1 gene:Csa_2G083750 transcript:KGN61329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKLNSPLKLPSHNLPSIPLPHRRSPTLLMASSTLHSLSKSTEGSKKPNFPPREVHVQVTHSMPPQKMEIFKSLEDWAENNILVHLKPVESCWQPQDYLPDPESDGFYDQVKEIRERSKDIPDDYFVMLVGDMITEEALPTYQTMLNTLDGVRDETGASLTSWAIWTRAWTAEENRHGDLLNKYLYLSGRVDMKQIEKTIQYLIGSGMDPKTENNPYLGFIYTSFQERATFISHGNTARLAKEHGDFKLAQICGIIASDEKRHETAYTKIVEKLFEVDPDGTVMALADMMKKKISMPAHLMYDGQDNNLFEHFSSVAQRLGVYTAKDYADILEFLVSRWKIENLTGLSGEGRKAQDFVCGLPPRIRRLEERAQSRAKQAQRVPFSWIFGREIQV >KGN62252 pep chromosome:ASM407v2:2:15436504:15437658:1 gene:Csa_2G345930 transcript:KGN62252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVFIPFSADQSRGFNPQNFSPANVHGGFLNFPLPGISYNSCRQHQQPPLLPLPRRPLPPSEIGATVSSRPKCMEFRDQSLTPKKSKPIKRSRGPPAAKSTVELVIVDSTNRLGPEPSHVPKDLLTLLGLRPSVPLCESTRSWETAAEIEFSGSAYSQSPPPSSVPLPKFSLRRKVVGCNVEASGVDAGATDNLRRLLRIR >KGN62305 pep chromosome:ASM407v2:2:15757109:15759863:1 gene:Csa_2G348910 transcript:KGN62305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPRKLGFPEQILSPRRRVVPGFGLGISLSLIVLSFLLLYTSSHGKFFFSLYDQPPSVDSLFSSWSFSFSSPSFSPFTPNSSNLPTYDDPSLPSQFPPFPNHTHRHNNATLQLNPHSPNASLFPPNATLFDIPELDTQHNFPPSNSTSLNTNTTRYPKDTNHSSNAQGNMPSQPNGGATNSSHTIEISNNTQPLLTSDDSFYEDCDIFDGEWVRDDSKPYYPLGSCPFIDRDFDCDLNKRPDDGYVKWKWQPYGCNIPSLNATHFLEVLRGRSLVFVGDSLNRNMWESLVCILRHSVSDKKKVYEISGRTEFKKKGFYAFRFEDYNCSVDFVSSPFLVRESSFKRKNGTIETLRLDLMDPTTEMYRDSDVLVFNTGHWWTHDKTSRGEDYYQEGNHVHSKLKVLEAFKRALTTWGRWIDNNVDKNRTLVFFRGYSYSHFSGGEWNSGGQCNIETEPIYNKTHLGKYPKKMRALEYVIQEMKTPVSYLNITRLTHYRKDAHPSIYRMEYKTEAEKRAGLRVQDCSHWCLPGVPDTWNELLYASVLRMAKRYWNN >KGN61167 pep chromosome:ASM407v2:2:4783307:4786342:1 gene:Csa_2G060480 transcript:KGN61167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTGQKWQLDMGDMKILPGPRPRTTKRPLWIIILVSMVSVFLICAYIYPPSGTAACYIFSSKGCKVITDWLPPIPAREYSDAEIASRIVIREILNTPFVTANTPKIAFLFLTPGSLPFEKLWDKFFHGHEGKFSVYVHASKEKPVHVSRYFSGRETHSNEVIWGKISMVDAERRLLANALHDPDNQHFVLLSDSCVPLHNFDYIYQYLINTNISYVDCFNDPGPHGNGRYSEHMLPEIQMKDFRKGAQWFSMKRQHALIVVADNLYYSKFRDYCKPGVEGHNCIADEHYLPTFFHMTDPGGIANWSITHVDWSERKWHPKSYGAQDVTYELLQNITSIDVSVHVTSDERKEVQRWPCLWNGVQRPCYLFARKFYPEALNNLLNLFSNYSSISA >KGN61462 pep chromosome:ASM407v2:2:8465595:8465969:-1 gene:Csa_2G136680 transcript:KGN61462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSRKARDKLWLIDKQQRTRRSTSDGQEWWTIFSRHTVANRKRDEVPRRSASNFDHLRRELVVMRLAGQHSVTVVIDVGSRKIERYCNVRRGHQRDFEKRGMLGFHEEDFSNSLSFFLLFINLK >KGN62308 pep chromosome:ASM407v2:2:15774632:15777664:1 gene:Csa_2G348940 transcript:KGN62308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSYLQFQLPLPLLRHRPIQCASDRQALFNRIAPVYDTLNDFLSLGQHRIWKRMAVSWTCAKPGDCVLDICCGSGDLAFLLSQKVGSTGKVTGLDFSWEQLSIASSRQRSLSKPCYENIEWVEGDALNLPFPDCSFDAITMGYGLRNVVDKRKALGEILRVLKEGSKASILDFNKTTQPTIAAIQEWMINNIVVPVASSYGLADDYRYLSKSISEFSTGKELEELALEVGFSTSIHYELSGGLMGNLVASR >KGN60567 pep chromosome:ASM407v2:2:426490:429583:-1 gene:Csa_2G000750 transcript:KGN60567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPCPFVRLMVDSLALNLPQATRPAGAAVHPSATPCFCKISIKNFPSQTALLPLSSVSGDSPPDSAASSAGFHLDPSSLRRLSGKPVVMCLSVFAGRMGHTCGVNSGKLLGRVRITVSIDGAESKPKVFQNGWVKLGKGEDKISARLHLVVRSEPDPRFVFQFGSEPECSPVVFQIQGNIRQPVFSCKFSADRNSRTRSLPSDFSFNSTKGKWMRTFSGEREKPGRERKGWMIMVYDLSGSPVAAASMITPFVPSPGTDRVSRSNPGAWLILRPHGFSVSSWKPWGRLEAWRERGPIDGLGYKFELVADTGLATGIPIAEATMSVKKGGQFCIDRKTVRDLTINSKSTVKGSFVMASSVEGEGKVSKPIVQVGVQHVTCMADAALFVALSAAIDLSMDACRHFTQKLRRELCHDEHDSSFL >KGN61082 pep chromosome:ASM407v2:2:3905934:3908975:1 gene:Csa_2G042770 transcript:KGN61082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAMTNLIPAVTFVIATIVGMENLKMGSLRSMAKIGGTVVCVSGAMCMALLRGPKLLNSTQDFGLKSTIFGVEIGGQTAWLLGSLCLFCSCCCWSIWLILQVPASASYPDNLSLSAWMCLMGTIQSIILTLLVEPINLQTWKIHSTIELICYLFSGIVGSGVAFFVQAWCVSKRGPVFSAMFNPLCTIVTTILAAIVLHEEIFTGSLLGGVAVIIGLYIVLWGKAKDYAKVGAKLEKPSLDQKEEVCESLERSTDQIDLEEPLLSKE >KGN62476 pep chromosome:ASM407v2:2:16658505:16658993:1 gene:Csa_2G355020 transcript:KGN62476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNRIKKFKSVSNQQEPDHSQPQPSTFANLDDNLLFEVFKHVDARTLATVAGVSKQWFKTAHDERLWELLCTKHWTNLGCANQQLRSVVLALGGFRRLHSLYPFPPMIGCNHPWGKDEVHLSLSLLSIRYYEKMKFKNRPTS >KGN62808 pep chromosome:ASM407v2:2:18687683:18688226:-1 gene:Csa_2G373510 transcript:KGN62808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPSRCAACKYLRRRCSSNCIFSPYFPSNNPQRFAIVHRIYGASNVAKFLQQVPMDLRGEAAETLYFEAKCRIEDPIYGCVGIISQLQYELHVAETQLAKTRAEIALLASNRQQAQHEDFPFDDPSSGPIFTGLSTPAHFSEQLFRA >KGN63179 pep chromosome:ASM407v2:2:21057418:21059478:1 gene:Csa_2G406770 transcript:KGN63179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIVINVLFLRTLVLFIWLVSSAFGESSLSCDSMLSNAFGFHCNGKETLMQCGTFAVLFANSEFSSLFNLSYYLGINQFAIAEINGFSADTKFLPKNQPLLIPIECKCNGSFFLAELTKTSIKGESFYSIAESLEGLTTCKAIKEKNPGVSPWGLRDSIRLLIPMRCGCPSSYAGGPKPRLLISYPVRQGDTIFNLATNFNTTPESIITANSRSLSTFKPQSLVPFSTLLIPVNGKPILGSFAKPKQPNLHLPSTSIPTINPHKNKAKMLHLGVYIAVGVTILGVCIAAITYFLVIKLKKDKQKKTQKSYEERGDMELQQLSLSIRTASDKKFSFEGSQDTFDSHLLESNASKMLISMYTVEEIRKATENFNPTNQIEGSMYQGRLNGKNMAIKRTENETISKIEFNLLHEIKHPSILRLLGICLTEDPDSFLVFEYAKNGSLKDWLHGGLAMKNQFIISCYCFLTWSQRLHICLDVAAGLQHMHHVMKPVYVHRNIKSRNIFLDEDFNARIGNFGLAKCVQNDIEDPKLCSSNPASWSLGYLAPEYIHQGIISPTIDIFAYGVILLEVLSGKTPITKPNADGEGSVRLTEKIKTIMESDNENELREWMDSALGDNYPFDAAIKLAKLARACVNEDHSLRPSAAEVFDRLSRLVEDLPQGDQCVSCESSTKPLVKGLQASETNP >KGN60766 pep chromosome:ASM407v2:2:1731577:1736136:-1 gene:Csa_2G009530 transcript:KGN60766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRLRAVLRHRRTHLHGDFGRCLHYKVPKTPQPPAPPAPPKPPKKPQSFTLHEITWEDPYSWMSSLNDKVAMRHMDVYMEQEEKYTEAVMGGTERLQSKLQSEMASRLAFELSTPPLRWGPWLYYRRVEEGKQYPVLCRRLASLHEEFISNKSPSAGFDYVSGQKIEQKLIDYNQEAERFGGYAYEELSEVSPDHRFLAYTMYDKDNDYFRLSVKNLSSGSLCSKPQVDRVSNLAWAKGGQSLLYVVTDQNKRPCRLYCSTIGSIDEDTLLLEEKDDDVHVYIRHTKDFRFVTVNRFSPTSSKVFLIDAADPLSGMKLIWECEELAHCIVEHHLGDLYLFTDASKGHERVDSHYLLRSPLKVDSTLRTWEHVFVDDPDFVIVDVDFCHTHLVLILREGRKFSLCAVRLPLPVGGKGPISLKELELQYLPLPKHVSQISSGPNYDFYSSTMRFTISSPVMPDAVVDYNLSDGKWNIIQQQSILHERTRILYGTTSSAGGSREISNALENSVGEANFDEQMWNSLSEYYACEHYNVSSDDGVLVPLTVVYSYKCKKENENPGLLHVHGAYGELLDKRWRSELKSLLDRGWVIAYADVRGGGGGGKKWHQDGRRIKKFNSVQDYISCAKFLAERQIVNEDKLAGWGYSAGGLLVASAINQCPELFRAAILKVPFLDPISTLLNPIIPLTPADYEEFGYPGNEDDFHAIRRYSPYDNIQKDAAYPAVLITSSFNTRFGVWEAAKWIARVRDYSIYDPKRPVILNLTIDIVEENRYLHCKESALETAFLMKAMES >KGN62106 pep chromosome:ASM407v2:2:14248949:14250689:1 gene:Csa_2G298280 transcript:KGN62106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESCLLKPKDTTSWWVLALPYFLGLENYALFHSFLLILLAFFSLALLTWVFSPGGTAWRNGRNRRGLLPIPGPRGLPFLGSLFTLTHSLPHRTLAALASTRCESNLMAFSLGSTPVVVASDPNTAKEILTSPHFADRPLKQSAKSLMFGRAIGFAPNGTYWRMLRRIASSHLFSPKRIAAHESARQRQCAEMIRNIHHEQIVHGSVGLRKHVQVASLKNVMWSVFGKRLEEGDMELEMVRDLVREGFELLGAFNWSDYMPWLSWFYDPFRINQRCAKLVPKVNEFVGGVIDEHRRCKTLSDDSDFVDVLLSLDGDEKLKDDDMIAVLWEMIFRGTDTTALLTEWVMAELVLHGEIQEEVRKELERVVGGGEESNITDAEVAKLPYLQAVVKETLRLHPPGPLLSWARLSSSDVQLSNGMLIPQNTTAMVNMWAITHDPHVWEQPHVFNPARFLNADVDIRGSDLRLAPFGAGRRACPGKNLGLVTVTLWVAKLVHHFKWAPDPAHPVDLTELLKLSSEMKNPLRAVVGEIRAL >KGN61678 pep chromosome:ASM407v2:2:10832724:10838700:1 gene:Csa_2G223690 transcript:KGN61678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWKAAQKLIRHWKILRGDNVMIIRGKDKGETGVIKRVIRTQNRVIVEGKNLVKKHIKQGQGHEGGIFTVEAPLHVSNVQVIDPVTGKPCKVGYRYLEDGSKVRVARGLEASGSVIPRPEILKIRSSPRPTVAGPKDTPMDVVLEKTYDPKTGKGMPEL >KGN63002 pep chromosome:ASM407v2:2:19925534:19926490:-1 gene:Csa_2G382750 transcript:KGN63002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELTPLQLSVYNGTDPTKPIYVALKGQIYNVTSGRSFYGSGGPYAMFAGKDASRALAKMTKNEEDITSSLEGLSEKEIGVLNDWENKFQAKYPIVGRVVS >KGN60771 pep chromosome:ASM407v2:2:1762607:1765050:1 gene:Csa_2G009580 transcript:KGN60771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVRVKTQTYAGPETNILAAMGTDSIKQSSNRTPNQQATVKEEPPFLLNGTQRVLVALYEQNDKPSSALEFIQQRLGGPSKAEYEKLQSEMADLQVKYDELLATHKETCKELEELKCSHNMTVTSTKDTTDDEEENDKL >KGN62669 pep chromosome:ASM407v2:2:17730287:17736977:1 gene:Csa_2G367230 transcript:KGN62669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGALITRGLTMILGYAYPAYECYKTVETSQPEIEQLHYWCQYWILVAILTILERVGDSFISWFPFYGEAKLAFFVYLWHPKTKGANHVYDSFFRPYISKHEPVIDRSLSEMKLKASDAAFLYVRRAASYFQTTLFDIFSKFNTSNPSTTAPPPPKPQKQPSKKEKEEEEEEEAKDK >KGN62015 pep chromosome:ASM407v2:2:13583698:13587370:-1 gene:Csa_2G286480 transcript:KGN62015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREGNLVMNLSLGIIVLLVCCSLGWVNAEDPYRYFTFQVTYGTRAPLGFNQKVILVNGQFPAPKIECVTNDNIIVNVINKLDEPFLFTWSGVKQRRTSWQDGVLGTNCPIPPNSNWTYKFQVKDQIGTFTYFPSINFQRASGGFGAFVIQPRSVITTPYPIPAAEVDLLISDWYNTDHKTLRKKLDSGILLPLPNRLLINGQPSLTLTLKKGLTYKLRISNVGIATSINFRIQGHLMTLVEVEGAHTVQEVYESLDIHPGQSAAVLVSLNNLPKDYYFVVSSRFTKPTILTTVGILRYEGSSIPPSKPLPIGPTYQLHWSMKQARTIRLNLTANAARPNPQGSFHYGNITVVRRLILENSLRKIKGALRCAVNSVSYVDPPTPLKLADWFKIDGVFDFKTFTDVPTSRPAMLGVSVVNITLHDFVEIVFQNNENTVQAWHFDGTSFYVVGYGSGVWSYKMRKRYNLVDGISRHTVQGD >KGN61948 pep chromosome:ASM407v2:2:13066618:13069289:-1 gene:Csa_2G271400 transcript:KGN61948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATLSVRPNRPTAGSPFPRPPTVRRSGSTFPGRIASWSGGAAGALPPRRAFTRTLLLPVQASSRADDSAPSEMSLENALKLLGVSEGASFDEILRAKNSILATCSDDKTIAQVEAAYDILLMQSLTRRRAGKVENNRIRYADVKPINSPSAGSSSQWLQNLPISVETPSTGDLGIQAGVYGALAVLTYVNGASSSSSLPYAGADVPGLILAGSFGATLYFMTKKNVKLGKATVLTLGGLVAGAVVGSAVESWLQVDIVPFLGIHSPATVVSEFVLFSQFLVSLYLR >KGN62310 pep chromosome:ASM407v2:2:15777646:15779494:-1 gene:Csa_2G348960 transcript:KGN62310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMGIQLVYASKYILFSVITFLLLQATSTLGKPRDQTVRVICGKELEHNTTAYVPNFFGSMEKVRQQIGTSGFGTAGTGTGPDASYGLAQCHGDLSSLECVLCHSQARTMLPQCFPFNGGRAFIDGCFLRFENYSFFDEYKGPEDTAICGNFTQNDPNYRQAAKEAVMQVVDGAPDNRGFAKARMAVAGMANESAAYALGQCWRSLNRSSCSTCLQIASASMLRCLPRSEARALNTGCFMRYSNTDFLNQDIKNSSRGGGMRTELIVSVLSSVVVLIIGLAIGTYIWKRRYIQKKRKGGSTDVKKLAKTLQDSNLEFKYSTLEKATNNFSLDNKIGQGGFGSVYKGTLSDGREIAVKRFFFDNRHRAADFYNEVNMISSVEHKNLVRLLGCSCSGPESLLVYEFLPNKSLDRFIFDTSNSRALDWDKRYNIITGTVEGLIYLHENSKFKIIHRDIKASNILLDQKHQAKIADFGLARSFQDSKSHISTAVAGTLYVFS >KGN60736 pep chromosome:ASM407v2:2:1514322:1516483:-1 gene:Csa_2G008760 transcript:KGN60736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKIFVNGGYWFTHTNTHFPISKIDTNLFTHLFCGGCVSINPQTYELSISNSTKPLIDQFSKAIKEKNHEVKTLLSINESEDDAKGDHGRFAAMARDSSNRATFIRSLISKARESNFDGVDLQWLYPSSREEMANFEALILECYNDFVKEGKECHKPRLILVATVFYLPYIGKDDGVMYPIETMRRTLDWVNVIAYDIFTPISSSNETGPSSALFNNPSKATLGGYFGIRTWIEKGMPTKRMIFGLPFHGWAWKLERSYNHNVFSPAQGPAQGQNISMEGLIEYRNVKKFIVDNNNVTNVLIDHKYPIAYTHCDNTWIAYESEETITAKIAKAKINLAMLGYFASNVAADDDHHSLAKAASREWRKSYGYYWW >KGN63330 pep chromosome:ASM407v2:2:22364215:22365956:1 gene:Csa_2G429030 transcript:KGN63330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISSHNNFVFQVRRCEPELVAPAKPTPYEFKQLSDIDDQEAFRFQVPLLFLYAHNPRMEGSDPVKVIKKAISDTLVFYYPFAGRLREGPGKKLFVECTAEGILFIEADANVALQQFGDAVQPPFAFLDDVLYNVPSSDGIINSPLLLVQVTRLRCGGFIFAIRVNHTMTDAFGLMQFMTAVREMACGDTAPSVLPVWQRSLLNARDPPTVTHRHHEYDQVVDTKQINISLNEMAHGSVFFGPTEISTLRKTLPIHLRYCSSFDLITACLWRTRTIALQPNPNDEMRLLCVVNLRSKSKYLPSGYYGNAFALPAAVATAGNLCQNPLSYAVELIRKAKAEMTEEYMKSVADLMVINGRPNLTAVRSYAVSDVTKTDLEKIDFGWGEAIFGGPAMGGVATVPGLTSFYISFKNKKGEQGTVVPFSLPVEAMERFVVELDAAFKTKPLNEVENTKQGFIRAAL >KGN61630 pep chromosome:ASM407v2:2:10335526:10338522:-1 gene:Csa_2G193340 transcript:KGN61630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCKDPGVETADQTTLNILRKLTRYSWDAKAVLIFTAFATNYGVLWHLDNYSHSDTLAKSLATIKRVSLLRKELDSVKYGQAIKYINEFRTLSKYDTKDVPELSAALRQIPLVSYWIIHTLVASSIELHCYLSGVQGQAHKYLNELFEKSESILLTLENHLQLIREQIEEVELYRWLVDQTDHFPTDITLFLSKLIDGKHKARPLINCSTQLEEYIEDFLKEKKLILIVSKRLDISTEDLEILYSIYNEVKKENKFEMVWIPVIPDPPMDGDEEAYEYLISVMKWYVVPFNTKIAGMRFLEERWELREDILMVVLNTQSKVEFSNAIHLTRIWEKEALPFTYDRAKALLKKNWIESTVVKFTDQPRLRSLVVINQERNVIFYGGHNPRWIKKFEESAETMKRDPTTREEGITFELAPVGMNKGEQDPVITFRFWMAQRSYFILKHQLQGSTATEDISRLISYETEDGWAIITKGPTVVFVAGGDLILKAMDQFNLWKKNMRRLGFSGSFKEHFDELTATSLHCTNVNLIGYSGWIPLFITCPMCRRYMGSGIRFTCCHGGPDVLF >KGN61845 pep chromosome:ASM407v2:2:12354752:12360292:1 gene:Csa_2G251460 transcript:KGN61845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLGAILKHPDDFYPLLKLKIAARHAEKQIPPEPHWGFCYTMLHKVSRSFALVIQQLKPELRNAVCIFYLVLRALDTVEDDTSIQTDIKVPILKAFHCHIYNRDWHFSCGTKDYKVLMDEFHHVSTAFLELGKGYQEAIEDITKRMGAGMAKFICKEVETVDDYDEYCHYVAGLVGLGLSKLFHAAELEDLAPDSLSNSMGLFLQKTNIIRDYLEDINEIPKSRMFWPREIWGKYADKLEDFKYEENSVKAVQCLNDLVTNALNHVEDCLKYMSNLRDLSIFRFCAIPQIMAIGTLALCYNNVEVFRGVVKMRRGLTAKVIDRTKTMADVYGAFFDFSVMLKAKVNSNDPNASKTLSRIEAIQKTCKQSGILNRRKLYVVRSEPMFNPAVIVILFSLLCIILAYLSAKRLPANQSV >KGN63157 pep chromosome:ASM407v2:2:20960734:20961197:1 gene:Csa_2G406070 transcript:KGN63157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRCRARGLVKGMVGPLHGEAMTGSRSKITPTTSNASLCSSHISFTVHHQERALMAPSNHRVVHDQAMNNVHEATLWNLYGVGVDEHIDRKASLYISSVQERFKLEGLIN >KGN61085 pep chromosome:ASM407v2:2:3941646:3941840:-1 gene:Csa_2G047785 transcript:KGN61085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVICSSLKQVVEVGFVGVARDQPLKISQPFVHGSRRSCSVGGGGDSGGGGVFWEVKKLYPSSP >KGN61404 pep chromosome:ASM407v2:2:7874870:7875076:1 gene:Csa_2G116280 transcript:KGN61404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATNTTSSPRNKTTVSIKGRDQLKLNEWNKWVVSTLLKAYKLKYVLRKQSKVERAWARCGSPCVFKI >KGN62961 pep chromosome:ASM407v2:2:19649305:19650222:1 gene:Csa_2G381860 transcript:KGN62961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKYKEHNVDELWRDETETVKIHSNCFKSCVLFSHFPIQFPPPPSSLLPPPSSLLPPPSSLLPPPSSLLPPPSSLLPPPSSLLPPPSSLLPPPSSLLPPPSSLLPPPSSLLPPPSSLLPPPSSLLPPPSSLLPPPSSLLPPPSSLLPPPSSLLPPPSSLLPPPSSLLPPPSSLLPPPSSLLPPPSSLLPPPSSSLPRSRA >KGN60962 pep chromosome:ASM407v2:2:3085575:3089889:-1 gene:Csa_2G031700 transcript:KGN60962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAMVEDSNFEDDQLTSMTTDDIVRASRLLDNEIRILKEEVQRTNLESESIKEKIKENQEKIKLNKQLPYLVGNIVEILEMNPEDEAEEDGANIDLDSQRKGKCVVLKTSTRQTIFLPVVGLVDPDKLKPGDLVGVNKDSYLILDTLPSEYDSRVKAMEVDEKPTEDYNDIGGLEKQIQELGEAIVLPMTHKERFQKLGIRPPKGVLLYGPPGTGKTLMARACAAQTNATFLKLAGPQLVQMFIGDGAKLVRDAFQLAKEKSPCIIFIDEIDAIGTKRFDSEVSGDREVQRTMLELLNQLDGFSSDERIKVIAATNRADILDPALMRSGRLDRKIEFPHPTEEARARIMQIHSRKMNVHPDVNFEELARSTDDFNGAQLKAVCVEAGMLALRRDATEVNHEDFNEGIIQVQAKKKASLNYYA >KGN61092 pep chromosome:ASM407v2:2:4032856:4035426:-1 gene:Csa_2G048830 transcript:KGN61092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFGDVKTEGILRFCAIILLVLSVLLLGFDKETVQIFHVDKKASFKSLRALVIIIYVDSMAAGYNILQLCKCWIFAQPKGISKLGTHFHIYLFWLSFFLDQVAAYLTFASNTAGMEAALLAVTGAHDFQWMKLCNRFHRFCYQVGGAFLCGYAAFFALLLISFISAFNLFRHYSPNHFLRLKSSNNNK >KGN62081 pep chromosome:ASM407v2:2:14029575:14032698:1 gene:Csa_2G296060 transcript:KGN62081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNRKIYGVSISLILINLAAIMERADENLLPSVYKEVSETFNASPSDLGYLTFIRNFVQGLCSPLAGILVLSYDRPKVLAMGTFCWALSTAAVGISLEFKQVAFWRAVNGFGLAIVIPALQSFIADSYMDGVRGMGFGLLSLIGSLGGIGGGVLATVMAGQQYFGVEGWRCAFILMATLSAIIGILVYMFVVDPRKTINNIQESSDRYLRRDNLIDRTLPNSSSIWFESWSAMKAVMKVHTFQIIVLQGIVGSLPWTAMVFFTMWFELIGFSHNGTAVLLSLFAVGCALGSLLGGLIADRLSKIYPHSGRIMCAQFSASMGIPFSLLLLRVIPQSVDSLLIFGVTLFLMGLTISWNGTAVNAPIFAEVVPIKHRTMIYAFDRAFEGSFSSFAAPLVGILSEKMFGYDDTAGASLLKALALSKGLLTMMTVPFGVCCLCYTPLYKYFRLDRENARMQGSKGTKSIDDL >KGN62486 pep chromosome:ASM407v2:2:16710934:16711815:1 gene:Csa_2G356600 transcript:KGN62486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESVETTIPEKRKQSGRQEKPYRGIRMRKWGKWVAEIREPNKRSRIWLGSYTTPLAAARAYDTAVFYLRGPSARLNFPDLILQDMDHQLLDVSPSSIRKRAIEVGARVDAAAHQTSLRQSKPISDKPDLNQFPDPESSGED >KGN62417 pep chromosome:ASM407v2:2:16330484:16335086:-1 gene:Csa_2G352960 transcript:KGN62417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFSALLTSVGINIGICVVLFSLYSILRKQPSNITVYFGRKIATKKLKHCETFCLDRFVPSPSWIVKAWETSEEEILALDGLDAVVFLRIIIFSIRVFSIAAIICMFLVLPVNYYGQEMTHKMIPSESFDIFCIENVKKNSKWLCVHCIALYIICCSACVLLYFEYSSISRLRLIHITGSQKNPSHFTVLVQSIPWSPEETYSETIRKFFSNYHASTYLSHQMIYRSGTVQKLMSDAEKMYNTMKENSVEMHCQKLRGGCFCAGSTNSFTILPSVNDSVKEKKLYGNMDLVASEKECSAAFVFFKTRYAALMASSVLQSANPMSWATSLAPEPHDVYWSNLSIPYRQLWIRKIGTLVAATGFMIMFLLPVTVVQSMTQLEKLQRTFPFLRGLLKKKYTSELVTGYLPSVVLILFMYLAPPTMMTLSAMEGPISRSGRKRSACLKVVYFTIWNVFFVNVFAGSAIGTLSAFSSVKDIPAQFGKAVPAQAGFFVTYVLSSGWASLSCEVMQLFSLTWNFFRRWIFRIKIEPFYEPLAFPYHTEVPRILLFGFLGFTCSILAPLITPFVLFYFFLAYLVYKNQILNVYTSKYESGGQFWPIAHNTTIFAMVVAQVIALGVFGVKESPVASGFTIPLIVGTILFHGYCRQRFRPIFRDTAAEVLIEMDRKDEECGRMEEMYRQLRTAYCQFTLLAKRNSSTSGSSSGHESESNVTELESARPGNPKQVIELWSTPSCHLGEPVVITK >KGN61160 pep chromosome:ASM407v2:2:4701266:4706120:-1 gene:Csa_2G060410 transcript:KGN61160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCSMSKLEDEEAVKLCKDRKSFIKQAVEQRRRFACGHLAYIQSLKRVSAALREYVNGYEPRELLLDSFITPSFTPPVKKTSPSFISITPNSFSQLPIQSKPNTVVRVNYLRSGGNGAVSVEERPQSPETVRVQSYSPMHQYGFDGYFPMQSPPVNTSFFSYSPYNRPNIPPPSPESSQWDFFWNPFSSLDNYGYPSHNGFDHMAIDDEIRGLRQVREEEGIPELEDDETEQEDNSNRRVDNSNRRVDNSNRRVDNSNRRVDNSNRRVDNSNRRVDNSNRRVDNSNRRVDNSNRRVDNSNRVNATDERTRTGQSCCREEVVVEDVDEDEDEDEDDEDEDEDEDEDEDEDEEETNHGSEIELEPKGIHSRRNGKIDVSRVQNAGTIASTSQESGVAHPESKEETPGFTVYVNRKPTSMSEVIKELEAQFITVCNSANEVSALLEAKKAPYMATSNELTAMKMLNPVALFRSASSRSSSSRFLISSSVTKDESGYESSGDVAEESSSFSPGHQSTLDRLYAWEKKLYQEVRSGEKVRIAYEKKCNQLRNQDVKGEDPSSVEKTRSAMRDLHTQIKVSIHSVEAVAKRIETLRDEELQPQLLELVQGLARMWKVMAGCHQLQKRALDEAKLLLAGIPSKLDARKLSSAPVIEPNWLARASANLETELRNWRSCFESWITSQRSYMHAITGWLLRCVNSDSSDTTKPPFSPRRSNASALPIFGLCIQWKRFLDDIQEKAVLDGLDFFAAGMGSLHAQQQQRDDPHRIQVGSQRYEESSGNMEMVEFGKAEEAMTAEKMAEVAIRVLCAGLSFAMSSLTEFAISSADGYGELLKQMPKGDNGQMTQ >KGN61373 pep chromosome:ASM407v2:2:7508301:7510528:1 gene:Csa_2G100550 transcript:KGN61373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASKSKVCGRGHWRPAEDTKLKELVGLYGPQNWNLIAEKLEGRSGKSCRLRWFNQLDPRINRKAFTEEEEERLMQAHEMYGNKWAMIARLFPGRTDNGVKNHWHVVMARNCRQHFSSYRRSSRNLTHSLSIASSALPTPPLPPTRSLSRPNHLPFHLLPRPGSETSNEMEVISSYSCNKTSSNHENQTCNNIHYCPSYIMTEMQQRSNYSYNSHCQIPKAWDHHIIGSEGSSSVSEENGVGNISEGMVVVEPVFIDFLGVGGR >KGN61216 pep chromosome:ASM407v2:2:5438206:5441522:-1 gene:Csa_2G070330 transcript:KGN61216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIVIRAGEEGFFLTLLNNATTLSQLLQIQAQLILHGIHYDLSSITKLTHKFFDLGAVAHVRQLFNKVSKPDLFLFNVLIRGFSDNGLPKSSIFLYTHLRKKTNLRPDNFTYAFAISAASRLEDERVGVLLHAHSIVDGVASNLFVGSAIVDLYFKFTRAELARKVFDVMPERDTVLWNTMISGFSRNSYFEDSIRVFVDMLDVGLSFDSTTLATVLTAVAELQEYRLGMGIQCLASKKGLHSDVYVLTGLISLYSKCGKSCKGRILFDQIDQPDLISYNAMISGYTFNHETESAVTLFRELLASGQRVNSSTLVGLIPVYLPFNHLQLSRLIQNLSLKIGIILQPSVSTALTTVYCRLNEVQFARQLFDESPEKSLASWNAMISGYTQNGLTDRAISLFQEMMPQLSPNPVTVTSILSACAQLGALSIGKWVHGLIKSERLESNVYVSTALVDMYAKCGSIVEARQLFDLMVDKNVVTWNAMITGYGLHGHGKEALKLFYEMLQSGIPPTGVTFLSILYACSHSGLVSEGNEIFHSMANNYGFQPMSEHYACMVDILGRAGQLTNALEFIERMPLEPGPAVWGALLGACMIHKNTEMANVASKRLFQLDPENVGYYVLLSNIYSTDRNFPKAASVRQVVKKRKLAKTPGCTLIEIDDQQYVFTSGDRSHPQATAIFEMLEKLTGKMREAGYQAETVTTALHDVEDEEKELMVNVHSEKLAIAFGLISTKPGTEIRIIKNLRVCLDCHTATKFISKITERVIVVRDANRFHHFKNGICSCGDYW >KGN62048 pep chromosome:ASM407v2:2:13792512:13795479:1 gene:Csa_2G292780 transcript:KGN62048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRGCKMGLLIIVGLILMECLLSNNGVDGATANNNKKAVGASFIFGDSLVDAGNNNYLPTLSKANLRPNGMDYKPSGGKPTGRFTNGRTIGDIVGEELGIPNHAVPFLDPNATGKSILYGVNYASGGGGILNATGRIFVNRLGMDVQVDFFNVTRKQFDKIMGAEKAKEYIGKKSIFSITIGANDFLNNYLLPVLSVGARISQTPDAFVDDMISHLKNQLTRLYKMDGRKFVVGNVGPIGCIPYQKTINQLNEDECVDLANKLALQYNAKLKDLLSSLNKDLPSSTFVYANVYDLVMDLIVNYDNYGFKTASRACCGNGGQFAGIIPCGPQSSLCSERSRHVFWDPYHPSEAANLLIAKKLLDGDHKFISPYNLRQLRDL >KGN62085 pep chromosome:ASM407v2:2:14060109:14065296:1 gene:Csa_2G296100 transcript:KGN62085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFPNLGICFFFFFILFDIAAAQSAFLKIQLPNVPLSEKRFGFFIFGNSFVDAGNNNYLNGTIRTRSNFPPYGESFFPIPTGRYCDGRIIPDFLAEYAGMPFLPPFLDPNNSNYMNGVNFGSGGAPILPESTNETALSLQTQIEFFKIVEKSIRKDMGNETLSQTFLSNSVFLFNIGGGDILHPFESSFDIFNTIESQEQYANMVINNMTIALKEIYNLGGRKFGVLGVLPSGYLPSSRLAKNEEFIQKSNSLSKVYNKLLLIALQKLVKQLKGFKYSYVDAYNFFMQRIQNPTKYGFKVVDTACCGSDEFRGSYNCGRNTGTIPFSHCKNISDYLFYDSYHPTEKAYEQFAKLIWSGGVDIVKPYSFKQLFQSDSTFGV >KGN61720 pep chromosome:ASM407v2:2:11304182:11305175:-1 gene:Csa_2G232990 transcript:KGN61720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAEANQTIPTTFIFTYGTLKRGFWNHTLLQDLMRTGDASFIGSYRTRERFPLVCGPFQVPFLINSPGSGHIVEGEVYAVTNRGLGRTDELEGLSRGHYIRLPIDVEASEGGGVVAAEAYYAHPSYAMELWKKKKEIGGVISSYSEKEAKGYVKRKDRPQHLTFLDHISLFLSSSSD >KGN61843 pep chromosome:ASM407v2:2:12330734:12337838:-1 gene:Csa_2G250950 transcript:KGN61843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFKILHSSSSSFVPRFHCPNILKPFSPSSFPLTRPFLSSPTISFPSSSISSPSPLHFSSRNRRRFPVLRVEIEREIGIEVQKDEQVLGIEGEELGNQGLLNQLKEIVTFTGPAIGLWICGPLMSLIDTAVIGQGSAVELAALGPATVLCDYTSYVFMFLSIATSNMVATALAKQDKNEVQHHISVLLFVGLMAGFLMLLSTKLLGSVALTAFVGAKNADIIPAANTYIQIRGLAWPAILTGWVAQSASLGMKDSWGPLKALAVASIVNGIGDVVLCMFLGYGIAGAAWATMASQIIAAYMMIEALNKKGYDGYSLSVPSSGEFLSILGLAAPVFLTMMSKVVFYSLLIYYATSMGTHTMAAHQVMIQTFCMCTVWGEPLSQTAQSFMPGLINGVNRSLDKAWMLLKSLMIIGAIFGLVLGTIGTSVPWLFPNLFTPEEKIIQEMHKVLIPYFLALVITPPTHSLEGTLLAGRDLKYISLSMTGCLSLGALVLLIISTRGYGLTGCWYALVGFQWARFLSALRRILSPNGILSSDLSHNELEKQKAT >KGN63269 pep chromosome:ASM407v2:2:21890733:21892233:1 gene:Csa_2G421010 transcript:KGN63269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTMAFMAIRRKFRDNVVQEFMLQRCYSSKVNLKKLRPMILKRIQDRAKNYPIKGMTPVAQQVLEARAMLIHGVSTLLKSFPVLSCKFCPEVYVGEEGHLIRSCGGYKRGAKNQVHQWIRGDLKDIIVPVEAFHLHHMFQDVIKHDERFNFERVPAVVELCSQAGANPDDKNLASSTQNSAEGGGSGMDEPLSDHEMMLLATETIRAWETLRTGVQKLLMVYPTKVCKYCSEVHVGPSGHKARLCGVFTYESWRGSHFWEKADVDDLVPPKIVWHRRQQDPPVLVDKGKDYYGHAPAVVALCTQAGVIAPFKYHCMMKVQGLSPRVKLEL >KGN62043 pep chromosome:ASM407v2:2:13762197:13763241:-1 gene:Csa_2G292240 transcript:KGN62043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHEIPRKFVREYGLKALLKDQICLKVRDGKEWNVGLTKSNNGTRVWLHYGWEKLVGFYSVKSGYFLVFKYENPSPSFYVVIFDHTATEIEYPVKKTRLDKAKEKVKMETYFDDDCLDLDFKDGDLSSGVKKPTNSRWRPPSTGSQQARAMAEANRFQSMTLNPSFICKIWPSHIHRTKSLSIPKNFADMNLEDSITKIILKASDGRMWSGECGFYWTPKMQRMTFYKYGWKSFIRDNNLAVGDFCVFEMTGKNSTTVAFKVESFRAS >KGN63336 pep chromosome:ASM407v2:2:22398833:22401235:1 gene:Csa_2G430570 transcript:KGN63336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METMQTIDFSFQVRKCKPELIAPANPTPYEFKQLSDMDDQQSLRFHMPLVIKEAIGKALVFYYPLAGRLREGPGRKLFVECTGEGILFIEADADVSLEQFRDTLPYSLSSMEINIIHNALNSDGVLNSPLLLIQVTRLKCGGFIFGLHLNHTMADGLGIAQFIKATAEIARGAFAPSILPVWQRALLTARDPPRITFRHYEYDQVVDTKSTLIPVNNMIDQLFFFSQHQISTLCQTLPAHLHDCSSFEVFAAYVWRLRTIALQFKPEEEVRFLCVVNIRSKIDIPLGYYGNAVVVPAVITTVAKLCGNPLGYAIDLIRKAKAKATAEYIKSTVDLMVIKGRPCLTTVGSFIMSDVTRIGFENVDFGWEKAIFGGPITGGSGIIRGMASSCIPFMNRNGEKGIVITLCLPLPAMERFRENFHASFQVK >KGN60532 pep chromosome:ASM407v2:2:226787:230274:1 gene:Csa_2G000410 transcript:KGN60532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLVRNASFLARSLLQREGYRRGVLVTSTPICNFSTKGKKKASKSSDGSDSSEEKLSKKELALQQALDQITTAFGKGSIMWLGRSQSSKSVPVVSSGSVSLDIALGVGGFPKGRVIEIYGPEASGKTTLALHVIAETQKQGGCCVFIDAEHALDPALAQTIGVDTENLLLSQPDCGEQALSLVDTLIRSGSVDCVVVDSVAALVPKAELDGEMGDAHMAMQARLMSQALRKLCHSLSQSQTILIFINQVRSKMSTYGYGGPSEVTCGGNALKFYASVRLNIRRIGSVMRGEETIGNQVQVKVMKNKVAPPFRTANFELEFGKGISKEAEVIDLGSKYKFISKAGSFFKYNGQSFHGKETFKTFLSNNEDVRNELITKIQEKLLDVEMDKPQDGDETEGSPQEDTIEPSESNDEDAVTAVEA >KGN62140 pep chromosome:ASM407v2:2:14521714:14522152:1 gene:Csa_2G302070 transcript:KGN62140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEKLKPQKTLSGGRRIFSLLPSLNLTSSFFQKPKLLDSGFWIHSLSHCFNPKCYGYDEIRLRGCSSWPQEVLFPKASFFSNQSGTGTTHLRFWQVSLPISVTFFVFSF >KGN62881 pep chromosome:ASM407v2:2:19186864:19191071:-1 gene:Csa_2G379120 transcript:KGN62881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGSRQRPCLKPSVDIVILVSLVSIFAFGAYVYPHRTSLLCYIFSSGCVNGAFERPLPVAYRELTDEETATRVIMKEILKKPLAQSKNPKIAFMFLTPGSLPFEKLWHKFLDGHDDRFSIYVHASREKVERASPHFIGRDIRSEKVAWGEISMVDAEKRLLANALLDPNNQHFVLLSESCIPLHDFEYIYNYLIFTNVSYIDCFEDPGPHGTGRYSEHMLPEIEKKDFRKGSQWFSMKRRHAIIVMADSLYYKKFKHYCKRTKEGPNCYADEHYFPTLFHMIDPGGIANWSVTHVDWSEGKWHPKTYRTQDVTYELLRNITSIDEIIHITTTVPKRMTLRPCIWNGVKRPCHLFARKFYPETLGRLLHIFSNYNTAL >KGN60948 pep chromosome:ASM407v2:2:2995469:2996625:1 gene:Csa_2G030090 transcript:KGN60948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECRTLDVNIKRANGLKNVNLFSKMDVYAVVSISGDPRGKSKQKTPVAKEGGSDPYWNYTMKFTIDEAALQSNRLNLKIKFVSDRSLGDKKIGKVIVPIKRLLDESANKGDEGKSERTVNFSVRTMSGKEKGNVELSYKFGEKYTVQAPPPPPPAMKSGEPMTAYPQGYAGSSSGYPAGGAYPPAPGTAYAYPPPPQGYGYPPPPQAGYAYGGYPPPPQAGYGYAPVHQAQRPHKSGGGFGGMALGAGAGLLGGLLIGEAISDVGDMAAYDAGYDAGFGDGFDF >KGN62498 pep chromosome:ASM407v2:2:16797944:16801602:-1 gene:Csa_2G357210 transcript:KGN62498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFKDFGNDPSGGNGGGGGRPPANYPLARQSSIYSMTFDEFQSMGSIGKDFGSMNMDELLKNIWSAEEMQTMASSAAAVGKEGGGSAGGSSGYLQRQGSLTLPRTLSQKKVDEVWKDIINEHASAKDGATLAPNLQQRQQTLGEVTLEEFLFRAGVVREDTQVTANPNNGGFFGNNTGFGIAFQRQPKVPENNNHIQIQSSNLSLNANGVRAHQPQPIFPKQATLTYGSQLTLPSDAQLASPGIRGGIMGIADQGLNTNLMQGTALQGGRMGVVNIAAAPLPIATESPGNQLSSDGIGKSNGDTSSVSPVPYALNGGIRGRRGNGIVDKVVERRQRRMIKNRESAARSRARKQAYTMELEAEVAKLKEENQELRQKQAEIMEMQKNRALEVMDKQQGIKKRCLRRTQTGPW >KGN60843 pep chromosome:ASM407v2:2:2285507:2290504:1 gene:Csa_2G013240 transcript:KGN60843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASMANGDDGLKKLEYLSLVSKVCSELETHLGFGDKVLAEFITEMGRSCESVDEFDTKLKENGAEMPDYFVRSLLRIIHLILPPQKGDSEKELKKEKESDGKGKFRALAIGDDRERAKELEKEIELEAHQKHGNRDVEDDRYRDRAGDRGRGRDRDRDRGRDRHRDRDVDRDRDRYRDRDWDRDKDRDRRRDRYDRDERHGGRDRDDDGGDDDHRRSGRQRDRNRRNGYEENESHRGDVEDGNGNWRGDRNGRHQPVNHEPELYTVYKGRVSRVMDTGCFVQLNDFRGKEGLVHVSQIATRRITNAKDVVKRDQEVYVKVISVSGQKLSLSMRDVDQHSGKDLLPLKKKDADDGPRMNPSDTKDDGPVVRTGLSGIKIVEDDVTVPSRRPLKRMSSPERWEAKQLIASGVLSVSEYPSYDDEGDGLLYQEEGAEEELEIELNEDEPAFLQGQSRYSIDMSPVKIFKNPEGSLSRAAALQSALIKERREVREQQQRTMLDSIPKDLNRPWEDPMPETGERHLAQELRGVGLSAYDMPEWKKDAYGKDISFGQKSKLSIQEQRQSLPIYKLKKELVQAVHDNQVLVVIGETGSGKTTQVTQYLAEAGYTTSGKIGCTQPRRVAAMSVAKRVAEEFGCRLGEEVGYAIRFEDCTGPDTVIKYMTDGMLLREILIDDNLSQYSVIMLDEAHERTIFTDVLFGLLKQLVKRRPDLRLIVTSATLDAEKFSGYFFNCNIFTIPGRTFPVEILYTKQPETDYLDAALITVLQIHLTEPEGDVLLFLTGQEEIDFACQSLYERMKGLGKNVPELIILPVYSALPSEMQSRIFEPAPPGKRKVVVATNIAEASLTIDGIFYVIDPGFAKQNVYNPKQGLDSLVITPISQASAKQRAGRAGRTGPGKCYRLYTESAYRNEMSPTTIPEIQRINLGHTTLTMKAMGINDLLSFDFMDPPSPQALISAMEQLYSLGALDEEGLLTKLGRKMAEFPLDPPLSKMLLASVDLGCSDEILTIIAMIQTGNIFYRPREKQAQADQKRAKFFQPEGDHLTLLAVYEAWKAKNFSGPWCFENFVQSRSLRRAQDVRKQLLSIMDKYKLDVVSAGKNFTQIRKAITAGFFFHAARKDPQEGYRTLVENQPVYIHPSSALFQRQPDWVIYHELVMTTKEYMREVTVIDPKWLVELAPRFFKVSDPTKMSKRKRQERIEPLYDRYHEPNSWRLSKRRA >KGN63105 pep chromosome:ASM407v2:2:20640664:20644015:1 gene:Csa_2G402130 transcript:KGN63105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNTNPKKLLFVLVSFFAISISIAMADSDEASSTHSIASSIPSSRPAVHIVYTETPPDEDPKHFHIRTLASALGSEEAAKDALVYSYKTAVSGFSAKLTPDQVSRVSREKEEEKDKSLVDELYGLPGAADHALRFLSLHTLVTTRRSTGCSRQPGWVAPLKDFVPLPSSRV >KGN60996 pep chromosome:ASM407v2:2:3298329:3299105:1 gene:Csa_2G034490 transcript:KGN60996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVKNLLNEMQCRGVKADTVTYNILISAWCEKKEPKKAARLIDEMLDKGLKPSHLTYNILLNGYCMEGNLRAALNLRKQMEKEGRWANVVTYNVLIQGYCRKGKLEDANGLLNEMLEKGLIPNRTTYEIIKEEMMEKGFLPDIEGHLYHASQ >KGN63342 pep chromosome:ASM407v2:2:22443304:22443608:-1 gene:Csa_2G431110 transcript:KGN63342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSTKTAPKDGPSRFNLHEIDQRRLHQNLRTSTTTTQLLKREGQELPPPQLQAGFKGFRCSRHYGESPLLLSQSLNPSATGHQ >KGN60571 pep chromosome:ASM407v2:2:448936:449742:1 gene:Csa_2G000790 transcript:KGN60571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKEKILEDFEAMDQFRKLNWVIMETREDEVLEEASTSSTIDSSSMNSIESSASELLEDASSSSLTSNSSSSLSLSPSDDGPLYELSELMANLPIKRGLSKFYNGKSQSFTSLASVKSLEDLAKRMNHNNNYNSQRKKIKCCKSYGGSLESQKSSNYYSPKPLIAKKVSRPSSLLSSVCTKRSNRLFDAHC >KGN60749 pep chromosome:ASM407v2:2:1606033:1613100:1 gene:Csa_2G009370 transcript:KGN60749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWIVFLNGLGCGPFILSKRPDTKMGHCKLFISSHRRPHRRVPLLAPPLPPFPPIFTTDYHTAFSTVVGREFPLLVSHSCPSLSVEGRVFKTLVLASLRILSMDDSLYDEFGNYIGPEIDSDKDSDIEDEDENLMEKPEEDEGVSDGEDAGGASNGWITTSNDVDMDNQIVLAEDKKYYPTAEEVYGEDVETLVMDEDELPLEQPIIKPVRNVKFEVGVKDSRTYVSNQFLVGLMSNPTLVRNVALVGHVHHGKTVFMDMLIEQTHHMSTFDIKGEKHLRYTDTRIDEQERGISIKAVPMSLVLEDGNSKSYLCNIMDTPGHTNFSDEMTAALRLADGAVLIVDAAEGVMVNTERAIRHAIQERLPIVVVINKVDRLITELKLPPRDAYYKLRHTLEIINNHISAASSTAGNVQVIDPAAGNVCFASATAGWSFTLQSFAKLYVKLHGIPFDADKFATRLWGDYYYHPDTRGFKKKQPASGGERSFVQFVLEPLYKIYSQVIGEHRKSVETTLAELGVTLSNAAYKLNVRPLLRLACSSVFGGASGFTDMLVQHIPSPRDASSRKVDHIYTGPKDSMIYKAMKECDPSGPLMVNITKLYPKSDCSVFDAFGRVYSGKIQTGQTVRVLGEGYSPDDEEDMVVKEVTKLWLYQARDRVPIAEAPPGSWVLIEGVDASIMKTATLSNVDYDEDVYIFRPLQFNTLPVVKTATEPLNPSELPKMVEGLRKISKSYPLAITKVEESGEHTILGTGELYLDSIMKDLRELYSEVEVKVADPVVSFCETVVESSSMKCFAETPNKKNKITMIAEPLERGLAEDIENGVVSLDWSRKKLGDFFQTKYEWDLLAARSIWAFGPDKQGPNILLDDTLSSEVDKNLLNAVKDSIVQGFQWGAREGPLCDEPIRNVKFKIVDARIAPEPLHRGSGQIIPTARRVAYSSFLMATPRLMEPVYYVEIQTPIDCVSAIYTVLSRRRGHVTADVAQPGTPAYIVKAFLPVIESFGFETDLRYHTQGQAFCLSVFDHWAIVPGDPLDKSIVLRPLEPAPIQHLAREFMVKTRRRKGMSEDVSINKFFDEAMMVELAQQAADLHQQMI >KGN63143 pep chromosome:ASM407v2:2:20874374:20876598:1 gene:Csa_2G404940 transcript:KGN63143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPPPPPSNAAPTITKLRLMCSYSGHITRRPRTKSLSYLGGETRIISVDPTTVNTLSTFISHLLTILPIKPPFSLKYHLPHSALDSLISLSSSDDLHFMFSEHLRLSSSSSSSSRIRLFLFFPEPEKPHNVIHHPKTEAWFSDALKSAKILQKGRDCLVGFDGEGLIGENEVKGIVDLGNGGFSLPESMVLETSSSFGSSSSSASLANVSPPIKPQSEDFGLSSVASDSVATLASDIPPTNSCSSVENGVTSVPVITESNFHNLAAGVRSRNPHDFSGYARPNLFQHQELQFVQPSIPVESCLPVVYQMPSYYPVQQPQFVHYQPMPNHMYPVYYLPVGQTQISALFNLPVQAPVGQTQVSTPSNLPMQWGLHNTATAISTHSLVLPDASPVVPLPQVAYKEMMPELHSQNHGAMPSLANPTSLESADEVQQHPVIIPNDVAADASSEVGHTCDEYNEDDPTRTLIYKSQPLPPLQSKPRASTNLLSDAMAQLQMIKINQ >KGN61018 pep chromosome:ASM407v2:2:3437032:3437484:-1 gene:Csa_2G034710 transcript:KGN61018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCDRIHCNEDSQVPLPLDQWAIWCWWSTGSLFSWCCSFSLDHIGIVFLIEVRNCLHQCLPDLASTDSASLESSFRYCIISAGLKFNNELRFYCKTHNPHFDGIFDLSAAVLPGHPKLDEQ >KGN61374 pep chromosome:ASM407v2:2:7528434:7530050:-1 gene:Csa_2G100560 transcript:KGN61374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNHHQQHMNLVLSTDAKPRLKWTHDLHQRFVEAVNHLGGADKATPKSLMRVMGITGLSLYHLKSHLQKYRLGKSQQAETNAQLKLEEMQKKGGHIDGEENKDRTQNQNKTENMKISEALEMQLQVQKRLQEQIEVQKHLQLKIEAQGKYLKIVLRKAQETIAGYGCCSEALEEAKAELSQLASMVSSGCQSSCLSELTDSTELNFKGIERVGRRMCSIESSLTSSETSEEAPITKKRKGKEKEESSSVCEEDKELRMMDLNDTAIGFESKLIQFL >KGN62594 pep chromosome:ASM407v2:2:17263391:17264843:-1 gene:Csa_2G361590 transcript:KGN62594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGAVETLPKVESIDNELQLARFSRHQPRVKAYSSSWLDLRVFYVRISNFQVDNLTPEFLTLNHIPLSHDTLFEVNGVRCSSHSEGVSSSLRRDRADKKSEEATFVTTDNIRLSGSVKFEVYDKEDLILSGILQMSNCNGLLGESKGNAKKWHMSCESKLGAGFLKGKHMNGAETSSPIIEVYVAGSFSGNPIILTRTLQLSSRKKQSWKSMLDAIPESETPESNEDQFPEYDLQATEYARYKQEIDNGDDYDYNMYWKRREYLDNEDGELSWFNAGVRVGVGIGLGVCLGIGVGVGLLVRTYRATTRNFSRRFV >KGN62645 pep chromosome:ASM407v2:2:17544102:17544620:1 gene:Csa_2G363550 transcript:KGN62645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFPPGSALRNDISTALLNLTQNSKEINEIDERWFGKIDKLNSSHDSNINAFSSRIDLSYFKSLFIITASAAILALTLYLFRYSFDSTTIWTRIIDAVTYQINVMKDKCKINNVKPPVAAIEEEEEEEASPSTE >KGN62804 pep chromosome:ASM407v2:2:18678244:18681173:1 gene:Csa_2G373470 transcript:KGN62804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRAEMDQLTSGASNRIIPIFKALRTSLLFIHTFFLSLLLLLWPRRRRSPATSTAQVQSSVKKRRLVWRREEEDTQRRRALAEVIEMGVNDGDGGFRGRQSTSLFYGVKRNALFCRSWLPEPDELKGILIIIHGLNEHSGRYAHFASRLTSCNFGVYAIDWIGHGGSDGLHGFVPSLDQVVADTGSFLEKIKSENPETPCFLFGHSTGGAVVLKAASKPHIENMVKGIILTSPALRVKPAHPIVAALAPIFSIVIPKFQFKGANKRGIPVSRDPAALLAKYSDPLVYTGPIRVRTGHEILRISSYLMRNFKTITVPFFVLHGTADKVTDPLASQDLYNEAASEFKDIKLYEGFLHDLLFEPEREEITMDIINWLEKRLKSGVESAQ >KGN63086 pep chromosome:ASM407v2:2:20543500:20547427:1 gene:Csa_2G401460 transcript:KGN63086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVGHARSTSWFNQKIVDPLLQILQRGAEPKQLAFSAALGITLGLFPICGVTVFLCGFAIAFLGSLCHAPTVMLANFIATPIELSLVVPFLRFGEAISGGPRFPFTPDALKKVFTGEASHEVLLSIAHLLLGWLVAAPFILGIGYLLFLPCFKILVRKFSTVASSPKKPPHSHTDIKLKVRDV >KGN61191 pep chromosome:ASM407v2:2:5212601:5217195:1 gene:Csa_2G062660 transcript:KGN61191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSSLPHYRFTFAPIFFLLFLCSFIFFTKRNADVSLSLYQDLSSQSPFTVSLASPSISEPQISFPGSGSDSSDIESLTNSSAIQTETSNDQIENKLSVNVENGSVSDGQAAASMVADDSPDLSMQGLKQCDLYMGTWVKDEEHYPVYRLGSCPYVDEAYDCGNNGRADSEYTKWRWKPYGCDLPRFNATDFLVRLRGKRLMLVGDSMNRNQFESILCLLREGLQDKNRMFETHGYKITKGRGYFVFKFKDYDCTVEFVRSHFLVREGVRINAQGNSNPTLSIDRIDKTSGRWKRADILVFNTGHWWTHGKTARGKNYYKEGEVLYPQFDAVEAYRRALKTWGNWIDNNINPAKQLVFYRGYSSAHFRGGDWDSGGSCNGETEPVLSGAILDNYPLKMKIVEETIKEMRVPVILLNVTRLTNFRKDGHPSIYGKNHTAARKVSTRRQDCSHWCLPGVPDAWNELIYAALVSRSIKSKFI >KGN63398 pep chromosome:ASM407v2:2:22891726:22894433:1 gene:Csa_2G437080 transcript:KGN63398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRITINNDTCIVCRRNYITFSFSSFATPYFRSPLLYSLTQTLSISNKNLGFKSPKLAYFRNGWYCVCLRQKTPSPVLNFPPQLNLHPSLSSLHARFPRCHSSSSVTHYSLTTHRHSRSLRPLVGDLSQIRLHSVSALRSLTPTLILGFRIYLNKKTPMAELSLGILIDILDEEWMRDTLPHDDLLLPPVLVVRADDTEDSNQETQPVDTDTWHDLALGNQ >KGN62930 pep chromosome:ASM407v2:2:19504671:19506116:-1 gene:Csa_2G380590 transcript:KGN62930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDNGQHEEHGVSNQKSNNMIEISGVNQQLICDRVVISIKNLLYQVPPALKNQCSIYRVPKQQREMNPKAYVPQHISIGPFYYHADENLRANEQYKFQSVINFLRRVYKIESLEQLLQTRSLEDLVKKAQSWVKEARNCYAESIDMNDEDFIKMMLMDGCFIVEFFILDYEEYKMPDESFFPKIENNVSMSFYKERIPDIDDDLIKLENQLPFFILQHLYDLIPKQDDNPKCFKELTCKYLKMGWLENYEPSDIQSIEPKHFIDFLSFYFVPHHRCEHDKKSSDLEEWNVIIPPSITELFEAGVTIKKAENTKCLMNIKFKNGILEIPPLHIDDYFEPMMRDLLAFEHFPIEVQNTYVIPYITFMDYLISTENDVNLLVKEKIIINDIGGSDREVSQLFNNLCKFVSSSFNNIYFNDISERLRKHCDRWWNKAKATLKHNYCNTPWAFISFLAALILLILTVLQTVFSAISAFPKSKPDIP >KGN63079 pep chromosome:ASM407v2:2:20488131:20492395:1 gene:Csa_2G401390 transcript:KGN63079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRSRRALLQRRALEKTTNGRNRLYMLFLSLIFVLWGLFFLFSLWIRQSNSRKDGCTYLQDSISTWNESKYENYKHSEIISESHQNKNCSVVLLKDQFIDSAKSRAFDDANFVREENEKDDNFDEKVNLLSFGELPDVKNDNGLGGNFQTDTLNFDRLSHVLPLGLEVFKSRAFISETKTRTGQVESTFHRLEPSGAEYNYAAASKGSKVLEFNKEAKGASNILERDTDKYLRNPCSAEEKFVTLELSEETLVRTIKIANFEHHSSNLKEFELLGSSIYPTDVWIKLGNFTAANAKHAQRFALKEPKWVRYLKLRLLSHHGSEFYCTLSVFEAYGLDAVEEMLEDLVSVHDNTIISTGLPADNEPKTKYKNEHHNYDGEVSSLRNDADIVEDFVKQDVPDRFQELRHHHQAGRMPGDTVLKILMQKVRSSDLNLSILERYLEELHSKYGNIFKQFNDDIRENDILVEKSREDIRNLLRVQESIGKDVNDLISWRSFISLQLENLLRDNAILRSEMNKVREKQNAEENQGGMMAFVCIVFLLFGVIRVFIDVMVSVYRRTRSVEKKSKSGNFGMISSWILLLLSCSIFILILL >KGN60961 pep chromosome:ASM407v2:2:3069426:3069750:1 gene:Csa_2G031200 transcript:KGN60961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFRHGEESCGSEYGRALKGQWERYLKRNLRGDVEDKNVLTSGPYNSGVLKKIKRHVDFGKERVGPVLSDGKVPEYP >KGN61634 pep chromosome:ASM407v2:2:10370307:10375974:1 gene:Csa_2G193380 transcript:KGN61634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLPPKKPTTSLVHPKLQNLKEGLSLDHFSDDVVTNHIYTKHREDDRIRIDIDSYILLVESIIITADRITDSVSRVIEGRIAFSGDAYSASLNLPLCTLHRISTELGCKAAGVEKAHETTMEILNILTTYPWEAKAILSLAAFAMDYGDLWHLNHYFKTDPLAKTLATIKQVPELKKHLDTPKYRQLFLSPKCLIYGCMKAIKYMKEIKDFSKYDMKEITELSSAIRQIPLFTYWVIHIIVAARTEISSCLTRTQGQSQKYLNELTEKMSSILSILETNLQTIRQQQEEINLYKWLVDHIDNFPTELHLVVSKLLEGKNEATPFIDGTTKRKVNIENALRRKKLVLVISGLNISEEDMKALHSVYDELGREDKYKIVWIPIINPNEPYEENRRRYEYVISKMPWYIVQFTTKIAGWRFLEENWQLRDDPLVVVLDSTSKVEFTNAIHLIRVWGSEVVPFSNRKIDILLEKTWPESTILKFTDHPRVHNWINQEKNIIFYGGKDPNWIQQFEEKVIDIKTDPWIRSKGITFEIVRIIRDDDPKLMSRFWITQWGFFIVKSQIKGSSASETTEDILRLISYENENGWGILAVGSEPVLVGRGNLILAVLQDFNKWKQILNIKSFPDSFRDYFNELALKTHQCDRVVLPGFSGWIPMVVNCPECPRFMDTGISFKCCHGRARI >KGN63364 pep chromosome:ASM407v2:2:22602749:22609384:-1 gene:Csa_2G432810 transcript:KGN63364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTTYAVGLISAIAASTSLSQHHSAHADGPFNFPPFSSSPPANLPLPSPAPQSSSPASNPEPSAPKPRNDNPRTSSSGFDPEALERGAKALREITTSSHAKKAFEVMKKQEETRQVELQAKAAEFKAMQAQAETERQRVIYDEQKKLAQHQAQTKSQMARYEDELSRKRMQAENEYQRARNQELVKLQEESSIRQEQARRATEEQIQAQRRQTEREKAEIERETIRVRAMAEAEGRAHEAKLAEDVNRRMLVDRANAEREKWIAAINTTFDHIGGGLKAILTDQNKLVVAVGGITALAAGIYTTREGAKVVWSYVDRILGQPSLIRESSRGKYPWSGLFSRIKDTVSHSDKGSSSKKGNGFGDVILHPSLQKRIEQLANATSNTKSHQAPFRNMLFYGPPGTGKTMAARELARKSGLDYALMTGGDVAPLGSQAVTKIHQLFDWAKKSRKGLLLFIDEADAFLCERNKTYMSEAQRSALNALLFRTGDQSKDIVLALATNRPGDLDSAVGDRIDEVLEFPLPGEEERFKLLKLYLEKYIANAGLRKSGLFQNVFKGQQKKIEIKGLTDDIIHEAAAKTDGFSGREIAKLMASVQAAVYGSETCVLDPNLFREVVDYKVAEHQQRRKLAASEGGGVQN >KGN62479 pep chromosome:ASM407v2:2:16669593:16670707:1 gene:Csa_2G356040 transcript:KGN62479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLRLSIILCVMLYGPSLSGAASPKNIFILAGQSNMAGRGGVENNAQGNLQWDGLVPPECQPQPSILRLNPGLQWEIAREPLHLGIDIKRTPGIGPGIAFAHELLVKAGPNAGAVGLVPCARGGTLIEQWIKNPSNPSATFYQNFIERIKASDKDGGVVRALFWFQGESDAAMNDTAIRYKDNLKKFFTDIRDDIKPRFLPIIVVKIALYDFFRQHDTHNLPAVREAKEAVSKELPDVVAIDSLKLPINYTTNEGINLDHGHFNTTTEITLGKWLAETYLSHFGQLL >KGN61091 pep chromosome:ASM407v2:2:4020715:4025935:1 gene:Csa_2G048330 transcript:KGN61091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHDEQRNGKDFIFKSKLPDIYIPNHLPLHTYCFEHLSQFQHRPCLINGTTGRIHTYAEVNLAARRFAAGLSKIGVGQGDVIMLMLQNSPEFVFAFLGASFAGAIATTANPLYKPGEIVKQAVAAKVKVIITQGEFVEKVWEFAVEHGVKILCTDSSSASESAGCLKFSEVMEADENEIPAVKINSSDVVALPFSSGTTGVPKGVMLTHKSLVTSVAQQVDGENPNVNMNRKDVIICVLPLFHIYSLNSVLLCGLRVGAAILILQKYDVSSLLRLIQTHKATIAPFVPPIVLDFAKNPDIHRYDLSSIRIVMSGAAPMGKDLEDTVKAKLPNAILGQGYGMTEAGPVLSMCLGFAKEAFKVKSGACGTVVRNAEMKIIHPQTSLSLPRNNPGEICIRGPQIMKGYLNNKEATEKTIDKDGWLHTGDLGYIDDDDEIFIVDRLKELIKYKGYQVAPAELEALLTSNPNITSAAVIPMEDEAAGEIPVAFVVRSKGSKISENDIKNYISDQVIYYKKIRKVFFVDSIPMAPSGKILRKILKAQLEAGVF >KGN61070 pep chromosome:ASM407v2:2:3810547:3811716:-1 gene:Csa_2G036680 transcript:KGN61070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVLTKLTDASAPEQPPSPIGFEGFEKRLEITFSEPPIFQDPEGLGLRALTRTQLDSILEPACCTIVSHLSNSDFDSYVLSESSLFVYPRAIILKTCGTTKLLLSIPIILQLADSLSLAAVSVKYSRGTFIFPDYQPAPHRSFSEEVTALNVYFGHFHSEAYVLGDPAVPNRNWHIYSAVKTHSNVNRMDLVSLEICMTGLNREKAAVFFKKPGGEDSSAEKMTKMSKISEIIPNHIICDFEFDPCGYSMNGIEGAAYSTVHVTPEDGFSYASYEAMGFDTMELPFSELVNRVLRCFSPAEFSIATTCGDGDNSRSWAVDHADVEGYTCENVVKQEMVGGELVVYRTYSVDRRRCVEAPACKTLLMQCWKEAAANAAEEEVVLRRLSSA >KGN62822 pep chromosome:ASM407v2:2:18796350:18799061:1 gene:Csa_2G374640 transcript:KGN62822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDPLVVGENRGMEEPLLSGTDDCKGGIRTLPFIIASGALEKLASMGLSPSMILYLTEVYGMKSVQASSVIFLWTAATYFTPIICAFLADSYFGRFMMIVAGSIFSCLGMFVLWLTAMIPQARPFCDEISGHCNAPSTPQLLFLYSSYAIMSIGSGCLQSSNFAFGADQLYKENKSNSGILDIYFNLCYISVSVGTLVGMSCIVYIQDRMGWEMGFGVPIALMLLATVTFLSASSLYLKSVPSKSWCAGLVHVVFAAYKKRRMQIPFVGTSEMYHHENGSSCTLPSDKLRFLNKACIIKNYEEELISDGKASNPWSLCTVEQVENLKALIRIIPLWSTGILVSASMSQSVYVLQVASMDRHLTSSFEVPAGSFIAMLVVFVIIWIILYDRLILPLASRYRGKPTRLSGKTRMGMAIPFCILSLAVSAIVEGYRRALAIKEGFSDDPNAVVSMSAFWTLPRYILFGIAEAFYAIGQLEFFYNELPKAMSSVATSLLGLSSCAGNLAASFIMTTVDNFSKTIGVKSWVSSNINEGHNDYYYWLLFGLLVANFFYYLACNNSYGPSKEESEDISNAEDYNNTVN >KGN63043 pep chromosome:ASM407v2:2:20170988:20179001:-1 gene:Csa_2G386110 transcript:KGN63043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPKPRTTRSRSHRDVPDLEKMDAAYSWDALEWTKIEPVTRSVSRVNLDCLLEAEQVIAEGYGVVLVNTDEAGTLFVTNFRLLFLSEGTMDVISLGTIPLATIDKFNKIVVKSNAVSRQSEKSSPSRRLLQVIGKDMRIIVFGFRPRTKQRRKVYDALLRCMKPARIWDLYAFQCGPSKYSNTDPKVRLLNEYFRLLGKGSLHASMSMIEDGSFTLSNELWRITKINSSYTLCQSYPFALVVPKHFSDEEMLQASTFRARCRLPVVSWCNPGTGAVLARSSQPLVGLMMNMRSNTDEKLVAALCSNLAGVRGSQRR >KGN63210 pep chromosome:ASM407v2:2:21326728:21328843:-1 gene:Csa_2G415520 transcript:KGN63210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGENLNELVQSQAHVWNHACKFINSMSLKCVVELGIPDIIHSHGQPMSFPSLVAALHIEPTKAQCLSRLMNLLVHSGFFTAAQTEAHDKAEDVKYSLTPSSKLLLHNSQATPFLFLSLDKATIASFQNLSSWFCSSNNNGQHYSNAFEMANGKLIWDYAAQEQSFANLFQQTMVCDSETIGKIVKEECSEVFEGLKSLVDVGGGTGAMGKAIVEAFPHITCTVFDLPQVISNQPLQNAKNLRFVEGDMFEEIIPPANAVLLKWILHNWNDEQSIRILKKCRDAIPSRDEGGKLIIIDMVMERKKEDIESTETQLLFDLLMMVNLNGKERNENEWKNLFMEAGFSGYKIISKLGLRSLIEVYPA >KGN60635 pep chromosome:ASM407v2:2:872285:878922:1 gene:Csa_2G005320 transcript:KGN60635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKLLGFTASQFLFKSSTLPLSQTLPSLTLFHIHSNSVSRRTLAAMAGTDDQFVKGSVHPNGVAVITLDRPKALNAMNLDMDIQYKKYLDEWEEDPRVKCVLVEGSSSRAFCAGMDIKGVVAEIQKDKNTSLVQKVFTAEYSLICKISNYKKPYLSFMDGITMGFGIGLSGHGRYRIITERTLLAMPENGIGLFPDVGFSYIAAQGPGEGSVGAYLGLTGRRISSPSDALYVGLGTHYVPSGNLGSLKEGLLTATFSEDPHQDINTLLAKYSSDPESPPSLKLLLPQITSSFGTNKSVKETVEELKKHQLDSSSSVVEWAKESLQGIGKGAPFSLALTQKYFSKVAAAHGKSNDELSTLNGVMRTEYRIALRSSLRNDFAEGVRAVLVDKDQNPKWNPSTLEDVDEKEVDSLFEPLSPVAELEA >KGN62096 pep chromosome:ASM407v2:2:14149559:14152224:1 gene:Csa_2G297200 transcript:KGN62096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVELNFNFNSGTSIGNGWPLNPHFHFFLSHRKPTYFPTLSMPSHRPTVSRRLLTVPPPVVAEANKNMAMADTPVRIVAIVGEGSISPLKSTPWEEVMLHTAKRLKWVDECYEMHVFADNVCNVTRQDTETIQIICEADILVVVAVTTKDSVLWIRLNCENIQNIICFESAAELVNKLGGISFLSETKGNLLENFFGNSQLMEKRKKSEEVVQTVFEAWDRRNSDDIRFCLLVIINAYIKPVPILKNLRSKGFSTLNCMVKNCGRQILNCLMDANCRKALQCLNQCSPVDQVCNYRCIASYESPNLEAFSLCVLQKHNCLDLDAKVPEKPYVPPIERFRGKEICHETAEDLFIGWLGSLEWSWRVVAGQNPAYDQFPCQYQLFYRGKARGSFWYEPVFMVKTLEGKLVWRRRRYRVKRGKIAGTFLFSVLDNGVVSNEFWSIVDVCDDLSWGLFHYNGAARAAGQSYTGAVLVSRDGKYPENDHQKERIVAALEKCGIKEWELFAVDNSSCLDPPLGIPDGSTLHNVIEVK >KGN60631 pep chromosome:ASM407v2:2:845151:850916:-1 gene:Csa_2G005280 transcript:KGN60631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRVKEDEKNERIIRGLLKLQENRRCINCNSLGPQYVCTNFWTFVCTTCSGIHREFTHRVKSISMAKFTSQEVSALQEGGNQRAKEIYFKELDPQRHSFPDSSNVMRLRDFIKHVYVDRRYSGDKNFDRPPRVKSGEKEDTYETRRADTYQGGSRSPPYEDRRYNERSSPGGRNFDERRSPGSDHENRQFGDFRKSPARSEVVNDWRREDRFGNGKRVEDGRLSDGDSKIGGRSPDRPNDLDVSSPPMVRPVRDILGENVSPLRVIEPPKSSGTTKVADSSVHKQRTASSSSLGSISENVVETKLEPSGSLIDFDAEPEPIASAVPQPPQSSAPQPVTHPVNTTGDNNWASFDVTPHAPPAPANVGTLESVLSQLSVSGSVPGVSGSHGAAGAVPNAPVGSMTMLPTGFDPSFGSGGNAHMSPPFSGGAPSAGPGAGLSTFPPSGQWSNVQSQTHSLFPGGNPQPAGQQFPPSMDRTINHVPWNASHNSQGPLSNPAAHAPQDFSTPSQALPSGVPQTSAPEVKPSGRKELPADLFTFNYSSYPAPVPGWHTVPQRPVVYAMPYNPAMPVPSFPQSSTSTNPFDLSSEQPQFPSMGPLQNTLPSGQPAPNLIHNPGYGNPTSTWMPHQPPSNLSQLPPQGPYQPTMPSRPPYMGQQIASNVQPYRHPGLGNYGNEGATGVYTDMSQQTAGRFSAPSTPNPFSSTGGNPFG >KGN62697 pep chromosome:ASM407v2:2:17910641:17911369:-1 gene:Csa_2G368980 transcript:KGN62697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTTMVPSSSNDSYRMNPLPIIDLRLLSQSELHCLSRFSSSSSSSSTSQSNNEDDVLIPKIDRSVFNESAGSRKQTYSRLRLAPRKPQFSSSSSSSTIRPQSPDRLDTESAQIVHLFNQLFVGDSHCVVNDSYGDGDEDLVLVNHTYDESVPDSSYAIFQSIPVDVIDSSQVPIKRRRGRPRKDSNRFVQSNGNAAPEFKSGCDKPAAAAAAKEEIVMVML >KGN62995 pep chromosome:ASM407v2:2:19872620:19877680:-1 gene:Csa_2G382680 transcript:KGN62995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFMKLGSKPDAFLADGKTTRYVSSELPTDVTIFVGEVKFLVHKFPLLSKSNCLQKLVSKANEENSEEIHLIDFPGGPKAFEICAKFCYGMTVTLNAYNVVAARCAAEFLEMTEDIERGNLVFKIEVFLNSSIFRSWKDSIIVLQTAKSLLPWSEDLKIVGRCIDSIASKTSVDPANITWSYTYNRKLAEVDRIVEDGNKYQKKTDCVPNDWWVEDVCELEIDLYRRVMIAVKSKGRMDGSVIGEALKTYAVRWLPDTVNALASDAHIKRNRSLIETIICLLPSDRNIGCSCSFLLKLMKVAILVGADDALREDLVKRIGLKLHEASVKDLLILAQSPQVTRYDVELVYRIVNQYFVHQKFNRNTDIIERSEKGAENLLLGHGSSLNVAKLIDEYLAEIADDPNLSLTSFIDLSQSIPEFARPIHDRLYKAIDIYLKVHPSLLKADKRKICSLIDVKKLTTEASMHAAQNERLPLRVVVQVLFFEQVRASVSVDAQNSRDSSSVMTSIDEECPKELDSCKSLKHNMGHMKISEDIQKTKKLAKKSSKNSRSGMQLLPSRSRRIFDKLWIMGKGHGESRSPETSGSSQSPTSVVPGDMKSSGSYTRQRRHSIS >KGN61774 pep chromosome:ASM407v2:2:11734045:11734844:-1 gene:Csa_2G239390 transcript:KGN61774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYNEISHFSHPKHKLKFEYSESPFKCDGCKEVGIGSRYKCTICDFDLHMHCAIPSSSISHPFYTRCSFQFMSRQPGNTPRYCNACEKDVNGFLYHCKACGFDLHPCCAKLPMALSDGEIKLYLYKKVSSSCHKCGRKGRSWSYRSSCKKYNLHVACVKEMLEESWHELHFGTRKSIRLETRIPSLKNTLQTYHNKDKGKMKRCCEMAGMAVQSVISAVLGDPTALIAGVIGVLISAT >KGN60650 pep chromosome:ASM407v2:2:990184:990564:1 gene:Csa_2G005960 transcript:KGN60650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPISRLPCVLGLKNLGGDGGHGYREGCDCGYTTLVSMAEGDSDDDDGGYDFAPAA >KGN63415 pep chromosome:ASM407v2:2:23004981:23006440:1 gene:Csa_2G439230 transcript:KGN63415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSPTPEENGVKKGPWTPEEDRKLVDYIEKHGHGSWRALPKLAGLNRCGKSCRLRWTNYLRPDIKRGNFSDQEEQIIINLHASLGNKWSIIASHLPGRTDNEIKNFWNTHLKKKLLQMGIDPVTHMPITDRHHLNTLSNLHHLLSLHTSPWDNPLRLQNDATQLAKAQLLQNLLQLLASQSSLSISNNNLFNTQQILDLNYNLPIGSFFQNIPINIEPPQGPLSCDDYTAATDGALGFENDNDRIKTFYDMNQRLNSLPNLIPASPECSKIPTAIENNWDDDLMDVEASDSSWKHVIE >KGN61360 pep chromosome:ASM407v2:2:7324247:7333773:-1 gene:Csa_2G099440 transcript:KGN61360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLVIFFLSFFLFFRFATAYGDFTLMFKNHVKREEIEDKVIWITGASRGIGEVLAKQLAALGAKLIISARDEAGLERVKSELSGKFAPNEVKVLPLDLASGENKLKEAVELAESFFPGSGVDYMIHNAAFERPKTTALDVSEESLKTTFDVNVIGTISLTRLLAPFMLQRGRGHFVVMSSAAGKTPAPGQAVYSASKHALNGYFHSLRSELYQKGIRVTVVCPGPIETSTSSGAEVVGKKGVSEKRLSSEKCAQLTIIAATHNLKEVWISYQPVLAVMYLVQYMPTIGYWLMDKIGRNRVEAAAKKGNTYSLSLLFGKNKSS >KGN61519 pep chromosome:ASM407v2:2:9100631:9100870:1 gene:Csa_2G153080 transcript:KGN61519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMIVLFDDLWLSDGRICTRFGDRIVWKAASGGFSLVEFFGGCVLVLASWFFTVDGLGVPVCGRWIGGFECRRVDRCCL >KGN63046 pep chromosome:ASM407v2:2:20196241:20197383:-1 gene:Csa_2G386130 transcript:KGN63046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGDKRNILDNYRRQLAAKFELKRNLFKAICNDPSLPKDIREEHRNKLSKLPRNSSFTRLRNRCIFTGRPRGVYQLFRMSRIVFRELAKKGLVMGVKKASW >KGN63256 pep chromosome:ASM407v2:2:21747224:21747581:1 gene:Csa_2G418910 transcript:KGN63256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFSFTANGIRMIPSDKTCQSPMASFFLPSFVLILDLHLFPIHGGMLVLLWSMFLSSFSSFKVFLVITNSFLLVQQSPAL >KGN62298 pep chromosome:ASM407v2:2:15723562:15724564:1 gene:Csa_2G348850 transcript:KGN62298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMTHVDLMKSFLITILWISLIPVRRGKSFLLVHVLCCAGVSIGVYLKRRFSA >KGN60922 pep chromosome:ASM407v2:2:2795743:2796582:1 gene:Csa_2G023890 transcript:KGN60922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIHLLLMVLTFGQPLKHGRRFMPEVGTAEYKELESNPEKAFLRTICSELQALVSISIIEILSKHASDEVYLGQRASIDWTSDKIALEAFEKFGKNLFEVENRIMERNKEVNLKNRSGPVNLPYTLLVPSSNEGLTGRGIPNSISI >KGN61997 pep chromosome:ASM407v2:2:13433464:13436855:1 gene:Csa_2G285320 transcript:KGN61997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDRRSHQHGGDSSSGEEDGDAQWRSAIDSVAISSVFISSLTNGVPATSIATTSSSDNGFEFNLCAQPPKQYQIKAQKLLDNILETTLELVEHSNSVPCDDDSKSSEGGIRLFKNAPVGVVFDHVDELPRPTKRPKILPGKEINEKSKKFKQQLRSVAVEGEDIITASKRVCEKSIARLEAKEAAVKAAAKREEDRVAKLKKVRGEKWLPSIAREMKLQSQQ >KGN60569 pep chromosome:ASM407v2:2:439644:442027:1 gene:Csa_2G000770 transcript:KGN60569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWQVYVDDHLMCEIEGNHLTSAAIIGQDGSVWAQSQAFPQLKPEEVSGIVGDFDNPGTLAPTGLYIGGTKYMVIQGEPGAVIRGKKGPGGVTVKKTGLALVIGIYDEPMTPGQCNMIVERLGDYLMDQGL >KGN63381 pep chromosome:ASM407v2:2:22802260:22802493:1 gene:Csa_2G435450 transcript:KGN63381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADFLWTFAVEEMLKKVLKVAGEQTGLAWGFQEHLSNLQKWLLKAEAFLRDINMRKLHLDSVRMWVGRSSTSCLSSR >KGN61625 pep chromosome:ASM407v2:2:10314176:10314382:-1 gene:Csa_2G191310 transcript:KGN61625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSWLICLALIGMLVVGQEVAAETKHLKFIDPCNQPSPPPTCPNRDKVEEANPYNRDCSAINRCRGGG >KGN61762 pep chromosome:ASM407v2:2:11676110:11678139:-1 gene:Csa_2G238780 transcript:KGN61762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGNGCVPSKNGPSVTVSDANPIPIPDQPKSTAREISRNLRIFIVYYSMYGHVDLLAKRMKKGVDSVDGVEGVLFKVPETLPLKTLEQMRVPVKDDGVPVISVEEMVEADGFLFGFPTRYGSMAAQMKSFFDSTIVLWQDQRLAGIPAGLFVSTGTQGGGQETTALTAVNVLAYHGMVYVPIGYTFGSEMSNLESTRGGSPYGAGVFSGDGSRPPSSNELDLAHHQGKYMATLLKRSLPFYSR >KGN61884 pep chromosome:ASM407v2:2:12590991:12591591:1 gene:Csa_2G258800 transcript:KGN61884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIRLPSLLLNAKQILKKHVQFDVPKGHIAVYVGDIQRKRFLVPISYLNHPTFMALLKRAEEEFGYNHPMGGLTIPCREDAFMDLTSRLHSS >KGN63063 pep chromosome:ASM407v2:2:20384884:20387044:-1 gene:Csa_2G401230 transcript:KGN63063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQDNNNIVRVENVEPTGSTTTMTTPTPQEEDKPQDQISNWLPITASRKAKWWYSAFHNVTAMVGAGVLGLPFALSQLGWAPGVTAIVLSWILTFYSLWQLVELHEAAPGRRFDRYHELGMYAFGPKLGYWIIMPLQLTVQVASTIVYTVTGGKSLKKSFQLMFPKVFGGVRQTYFILFFTVFQLVMSQSPNFNSLKGVSLLAAIMSFSYSMVACVTSFIKGTADHRIHHVTYGVRSQTAIDRTFDALNGIGTIAFAFAGHSVVLEIQATIPSTEEKPSKIPMWRGVFVAYIIVAICYISVSVSGYWAFGIAVEDDVLISLEKPNWLIAAANFMVFLHVIGSYQVFAMPVFDTVESALVQKYEFKPSRTLRLVARSSFVALVGLVGMCIPFFGGLLGFFGGLVFSATSYFVPCIIWLLVKRPKPWSFHWIASWVATIIGVLIAMLTPIGGLRQIILSFKTYKIFS >KGN60606 pep chromosome:ASM407v2:2:676883:679422:1 gene:Csa_2G003580 transcript:KGN60606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASKFIKCVTVGDGAVGKTCMLICYTSNKFPTDYVPTVFDNFSANVSVDGNIVNLGLWDTAGQEDYSRLRPLSYRGADVFVLAFSLISRASYENILKKWMPELRRFAPNVPIILVGTKLDLREDRRYANEQMHYDVITSAQGEELRKQIGASAYIECSAKTQQNVKAVFDTAIKVVLQPPRRREVTRKKRRRGSGCSFSRIICGGCAA >KGN61179 pep chromosome:ASM407v2:2:4977928:4978851:1 gene:Csa_2G061572 transcript:KGN61179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYEDKFDVLPDALLSIIVSSLPFKEAVRTSILSKRWMKIWQATKNIELHECFFVQRDDYSDQQTIDAQRRAFIDFVTNFIRIYQESSVSKFCLSVSNPSIVVALVDECIRFAISRNVKTLELDFSDGENELETTFSLPPIVYEHENLESLKLFGCGFKGVELEKVTNLREFCVGWMEVRIGEIRELVKKCGKLESFSMKNCWNVTHFEIGGNDDDELRLKSLEIENCRFVHDWISIEAPKLSYFRYFGTVGIFRMEVNKGCFEEADLGFEIDDDDDHSEMANLLYVLLDGLYPARVFTVCSSLLQV >KGN62805 pep chromosome:ASM407v2:2:18681531:18682512:-1 gene:Csa_2G373480 transcript:KGN62805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQPSPPPPPSKWEGEVFAETTAADPHQIWPLLADGFCSVHKWLPFVDTCHYVEGVPGQPGLIRHCSTTDTTSSIKWAKERLNLIDPIAHTLTYEILDNNMGFKSYVATMKLLQGSGGGCKFVWSFVADPIEGWPLEEFVKYLDSALHQMMKKMADFLQKSP >KGN60688 pep chromosome:ASM407v2:2:1234904:1235773:1 gene:Csa_2G006830 transcript:KGN60688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIWQFFPKQGNSPRKHEVIFTTPTGEEINNKRKLDQYLKAHPGGPAAAEFDWGTGETPRRSARISEKAKVSPPMESEHPKRKRTSVSKKDLKETEAEPEVMEEKKEVDNPDAGKDESMADAEVKDADKKENQDEKETKNEAAEAEPPKPDDQLNAGNEAEKQEHKITNESNQEKSEGNLNEKEPESSKLNLNENVEGAKHEEMIEKPQVEKNDGNFAEAVKPDTLVSDKQENALENQNPNQQDIELEEEIKDKAGEAEEKSEKHTETNKTIEVTENGNHRNGTGEVKP >KGN62435 pep chromosome:ASM407v2:2:16462895:16482047:-1 gene:Csa_2G354610 transcript:KGN62435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILAANAAVGVITETNAEKALVELRAYQADIATVLRNGCFSILPATDLVPGDIVEVAVGYKIPADMRMIEMMTSQLRVDQAILTGESCSVEKELESTRAANAVYQDKTNILFSGTVVVAGRARAIVVGVGANTAMGNIRDSILQTDDDVTPLKKKLDEFGTFLAKVIAGICALVWIVNIGHFRDPSHGGVLSGAIHYFKIAVALAVAAIPEGLPAVVTTCLALGTKRMARLSAIVRSLPSVETLGCTTVICSDKTGTLTTNMMSVSKICVVHSVVHGPQLSEYNVSGTTYAPDGIIFDNTGVQLEIPAQLPCILHMAMGSALCNESTLQYNPDKGSYEKIGESTEVALRVFAEKVGLPGFTSMPSALNMLSKHERASYCNHHWESQFKKISILDFSRDRKMMSILCSRNQSHILFSKGAPESIISRCSSILCNEDGSTTVLTSSVRIELEARFQSFAGNEMLRCLAIAFKLLPLNQQSLSFDDEKDLTFIGLVGMLDPPREEVRNAMLSCMTAGIRVIVVTGDNKSTAESLCRKIGAFDHLVDLTGHSYTASEFEELPAMQKTMALQRMALFTRVEPSHKRMLVEALQHQNEVVAMTGDGVNDAPALKKADIGIAMGSGTAVAKSASDMVLADDNFATIVAAVAEGRAIYNNTKQFIRYMISSNIGEVVCIFVAAVLGIPETLAPVQLLWVNLVTDGLPATAIGFNKQDSDVMKAKPRKVNEAVVTGWLFFRYLVIGAYVGLATIAGFIWWFIYSDNGPKLTYTELMNFDTCSTRETTYPCSIFEDRHPSTVSMTVLVVVEMFNALNNLSENQSLLVIPPWSNLWLVASIVLTMILHMLIMYVQPLAVLFSVTPLSWAEWSMVLYLSFPVIIIDEVLKCFSRRSSSTGRLRLPFRFRRHELLPKKELHDK >KGN60690 pep chromosome:ASM407v2:2:1245260:1247751:1 gene:Csa_2G006850 transcript:KGN60690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKCFWFCFQSLSGFWVVFSAIASLTMTVQAFTGTYGINYGRIADNIPSPDEVVSLLKAAKIKNVRIYDADHKVLKAFSGTGLELVVGLPNGFLREMSASEEHAMNWVKENVQAFLPETHICGIAIGNEVLGGGDLELWGTLLGAAKNIYKAVKNLNLDGVVQITTAHSQAVFWNSFPPSSCIFRENVVQYMKPLLEFLSEIGSPFCLNAYPFLAYMSDPENIDINYALFESTKGIFDPKTGLHYDNMLDAQIDAAYAALADAGYQKMEVIVTETGWASRGDENEAAATASNARIFNYNLRKRLAKKKGTPFRPKNALKAYIFATFNENLKPGPTSERNFGLFKADGSISYDIGFHGLKYSSSDSSYLSLKDFRARGLLGSHMISLTITAMTLLLLLR >KGN62563 pep chromosome:ASM407v2:2:17129151:17130414:1 gene:Csa_2G360800 transcript:KGN62563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATRFVQRVRPAAAVSASSSSSSPSSMTNVRVLGKTGLNLNNGERLITSGGDERRQLVTVKAAAATAAPKTVETKTGELDLGSLVANLLIQLKNTLGKTKIKKGEIQKFIEKIIIDCRFFTLLAVSGSLMGSILCYIEGSFIVVESYLQYFHGLSQRTDQTHTVELLIEALDMFLVGTALIVFGIGLFAMFVGSEKMKDKNQKWSSRSNLFGLFYMKKIPTWVEMESMSAAKSKIGHAVMMILQVGVLEKFKNIPLSSAVDLACFAAAVLISSASIFFLSKLNVGGGGSSGFK >KGN60748 pep chromosome:ASM407v2:2:1601375:1604357:1 gene:Csa_2G009360 transcript:KGN60748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGMLPGVECARRRRFHQSINSSDSPFTAGKSGSTRRPSFCLYTSNRDFHLISSSSSQQRSLTNQAYQNDKLGEIAREAKERLDERLRTHRKPENSRKKNNNEEVKGKESREKNNGEKKEMKNTKGKEEETKKRFSWGRKLMKWKASEQEECSVCLERFRLSEPLLHLPCAHKFHSTCLVPWLQANAHCPCCRFPIAVPSQ >KGN61724 pep chromosome:ASM407v2:2:11381388:11382664:-1 gene:Csa_2G234510 transcript:KGN61724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDDIALNVAPFFILYKDGRIDRLIGNDIDPPGLDPKTGVETKDVDISPDVAVRVYRPKSPDEKQSEKLPLLVYFHGGGFCIETAFSPFYNQHISAWVAEANIAAVSVNYRRAPEHQLPIPFEDAWTAMKWIASHSEGKGPDEWLNEIADLNQVYLAGDSAGGNMAHRMALRTVTEGLEGVKIKGLQLIHPHFWGGELLGEENDWDPKDLFVVENLWFVVSKDIKTLDDPIVNPEHDPDLGRLPAERVGIYVAEKDNLKERGRHYAECLKKSGWGGTVEVVETEGEGHVFHLFNPTCDMAGELVKQLAAFIKSGCRG >KGN61346 pep chromosome:ASM407v2:2:7159323:7159538:1 gene:Csa_2G094370 transcript:KGN61346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQEAARTARESLDLAFHMSNVLDAGIDRHTLSVLIALCDMGVNPEALAAVVKELRREPPSSDTIVSSNKS >KGN63041 pep chromosome:ASM407v2:2:20155319:20157389:1 gene:Csa_2G386090 transcript:KGN63041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDNPKFVAVKEDENNPKSPFPWFSFLPKFDFRLPFPVNGGKKPPPVVVDESRKADNDAQKPEFVRFPKAELPVASVEAEADVSGKTSNPAVVWQVYALGGFLILSWAWARWKERRPQRRSNDDDEDEDSSDS >KGN60504 pep chromosome:ASM407v2:2:99975:101752:-1 gene:Csa_2G000130 transcript:KGN60504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVKKMKWRSIFSSCCEVESQSSEVMKVVAANNKPSSFQRISKLDFTNPNSTISEDLSISLAGSDIHVFTLAELKLISQAFSSSNFLGEGGFGPVYKGFLDDNLRPGLLPQPVAIKLLDLDGTQGHREWLTEVIFLGQLKHAHLVKLIGYCCEDEHRMLVYEYMPRGSLENQLFKKYSIPLPWSTRMKIALGAAKGLAFLHEADKPVIYRDFKASNVLLDSDYTAKLSDFGLAKDGPEGDNTHVSTRVMGTHGYAAPEYIMTGHLTAMSDVYSFGVVLLELLTGRRSVEKSSRKRQQNLAEWARPMLNDPRKLSRIIDPRLEGQYSEMGAQKAASLAYQCLSHRPKQRPSMSSVVKVLEPLKDFDDISSGTFVYTVMDQPNSNQA >KGN62203 pep chromosome:ASM407v2:2:15037301:15038500:-1 gene:Csa_2G334540 transcript:KGN62203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEADDHETIPHLFRCPISLDLFKDPVTLCTGQTYERSSIEKWLASGNLTCPVTMQKLHDPSFVPNNTLRHLICQWLQMSDQINPQCVSTIDSMAALRIKLESDEFSYEYKLQVLQRVRILCEEFPSRNSCLIRIGFLSVLLELIFGQEETKLSQEYQEFVEQALSFMVAMVSLEQIQSLNVLMDESKLSRFMVLFSNGTSMIKISLCHLVQAISSSVETKDLSVLLGNTTELLREIVQLLHHNSEASDAATKAICSLSNLEQNINNLVVEGAVTGLISYISNAQQRERSSAREAMAAIEKLLVFRSAKEEVVNIPDGVNVIVKMVFRVSDHGGSESACCAKTKTKARILLKLLRSKWVEDKRNM >KGN61886 pep chromosome:ASM407v2:2:12596633:12597149:1 gene:Csa_2G258820 transcript:KGN61886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIRLQSILLNAKQILKMQAMSARNQSDVPKGHIAVYVGEIQRKRFVVPISYLKNPSFVDLLNRSEEEFGFCHPMGGLTIPCREDAFINLTARLHTS >KGN60959 pep chromosome:ASM407v2:2:3061229:3064827:-1 gene:Csa_2G031180 transcript:KGN60959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVPLQIQSIVFFLRFSETENFHGRSSKMAERTKNINIWHLFMLLNFNLLFPFSSGESNDVCISKGGRFAPFSLEGKPPSKVSKVQDLTLCRVFRKRTCCGVAQTHPALLSVRRLASTGEANHECLQLWELLECSICDPQVGVQPGPPLICASFCDRVFKACSDAYFSVDAKTQVLAPCGVNDFVCGRASEWVSNGTDLCNAAGFTIKISDEESSCYGSKARLDSIANSWKTSPSVMSSQRTGYLGILEDFQQWVKEMSFHEQVSWLIGSMVLSAGLLFASKRQSHSQRQKYAAIQRATKKIEVTMNQNSLATQGIRKGSRR >KGN62137 pep chromosome:ASM407v2:2:14507310:14508993:1 gene:Csa_2G301550 transcript:KGN62137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVNPLNGLKSKQNYVSTRKIPFDLGKKMPPAKKGKTKAPKATESIQSSVKSNNYPSCLRSVSPSSVAITIHAKPGSKIASITDFGDDALGVQIDAPAKDGEANAALLDYMSSVLGVKRRQVSIGSGSKSRGKVVIVEDVSLQSVFDALNKALTCE >KGN61509 pep chromosome:ASM407v2:2:9009220:9023589:1 gene:Csa_2G150510 transcript:KGN61509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSDSDSSSYGGDYKSFRQISRDRLLHEMLRSAKTGDSKSTWKVLIMDKLTVKIMSYSCKMADITDEGVSLVEDIYRRRQPLPSMDAIYFIQPSRENVIMFLSDMSGRSPLYRKAFVFFSSPISKELVSQIKRDSTVLPRIAALKEMNLEYFAIDSQGFTTNNEKALEELFCDDESSQKGVACLNEMAIRVGTVFASLREFPFVRYRAAKSLDATTMTTFRDLIPTKVAAGVYDCITKYKKTIPDFPQSETCELLILDRSIDQIAPVIHEWTYDAMCRDLLSMEGNKYVHEVPSKVGGPPEKKEVLLEDHDPVWLELRHAHIADASERLHEKMTNFVSKNKAAQIHQGSRNSSELSTRDLQKMVQALPQYSEQIDKLSLHVEIAVKLNKFIKEQGLRELGQIEQDLVFGDAGTKDVIKFLTTNEDASRENKLRLLMILAAIYPEKFEGEKGQNLMKLAKLPPEDMNAVTNMRLLGTAPDSKKSSLGSFSLKFDIHKKKRAVRKQQNGGEETWQLSRFYPMIEELVEKLSKGELSKDDYPCLNDPSPTYHGPSHTAAVQPPPAAHSMRSRRTPTWARPRNSDDGYSSDSILRHASSDFKKMGQRIFVFIVGGATRSELRVCHKLTAKLKREVVLGSTSIDDPPQFITKLKMLTAHELSLDDLQI >KGN61735 pep chromosome:ASM407v2:2:11450949:11456685:-1 gene:Csa_2G234600 transcript:KGN61735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMPRCFLDITIGGELEGRIIIELYNHLVPKTAENFRALCTGEKGISPNTALPLHFKGVCFHRVIKGFMIQGGDISAGDGTGGESIYGLKFEDENFELKHERKGMLSMANSGPNTNGSQFFITTTRAPHLDGNHVVFGKVVKGMGVVRSIEHVITGENDRPTLDVVIADCGEIPEGAHDGVSNLFKDGDTFPDWPADLDQSPFELSWWMSAVDSIKAFGNVHFKKQDYKMALRKYRKALRYLDICWEREGIDEEKSTCLRKTKSQIFTNSSACKLKLGDLKGALLDTEFAIREGVNNVKAVFRQGQAHMALNDIDSAVESFKRALDLEPNDAGIKKELAAAKKKIADRRDQERKAYGKMFL >KGN60823 pep chromosome:ASM407v2:2:2096201:2101126:-1 gene:Csa_2G011560 transcript:KGN60823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQYEILEQIGKGSFGSALLVRHKHEKKKYVLKKIRLARQTDRSRRSAHQEMELISKLRNPFIVEYKDSWVEKGCYVCIIIGYCEGGDMAEAIKKANSIHFPEEKLCKWLVQLLMALDYLHMNHILHRDVKIVDPLKFVNSGDFGLAKMLTSDDLASSVVGTPSYMCPELLADIPYGSKSDIWSLGCCIYEMTALKPAFKAFDMQALINKINKSIVAPLPTKYSGAFRGLVKSMLRKNPELRPSAGELLGHPHLQSYIVQIHLKLNSPRRSTLPALWSEPNYMKKTRFSEPFPYKRHSLSNDRAINPSVSVIEYDSLSSTHDIHDTQNYPSRKPSIGGTRGGVAIHKTPSKSATNAKTTRLLSKAHATPKKWAEPLKNDKKVQLPISSTPSKSHVRRASFPLHTKAATDQNPCRPNAGILHHIKSPDVSVNSPRIDRIAEFPLASYDDTFLPVRRASLPSMHGSTGSLQHIDCSITKDKCTIQVCDKVCSTPGYTSAWQGIQCSIFQDDQVARSDSSDQNATAGASSHTSSDLRRRHFDTSSLQQRAEALEGLLEFSARLLQQERYEELGVLLKPFGPEKVSPRETAIWLSKSFKENTLKQET >KGN60540 pep chromosome:ASM407v2:2:285620:289726:-1 gene:Csa_2G000480 transcript:KGN60540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYLSMGEAHRRITEYLNRFSDSVSSQDGVSLKSLLALSSNSPNLLALADSLNIFQDANRLIRQSDRYSQFGEMLVNFFRALQCYRLGNLVDAYQAFEKFSNAFTQEFRSWDSAWALEALYVVAYEIRIIAERADRELASNGKSPEKLKGAGSFLMKVFGVLAGKGPKRVGALYVTCQLFKIYFKLGTVHLCRSVIRSIETARIFDFEEFPKRDRVTYMYYTGRLEVFNENFPAADQKLSYALMHCNPRRESNIRMILKYLIPVKLSMGILPTKSLLEKYNLFEYENVLQALKRGDPRLLRHALQEHEDQFLRSGVYLVLEKLELQVYQRLVKKIYFIQRQKDPNKAHQIKLEVIVKALQWLEVDMDIDEVECIMAILINKSLVKGYFAHKSKVAVVSKQDPFPRLNGKPVGS >KGN60805 pep chromosome:ASM407v2:2:1973785:1974706:-1 gene:Csa_2G010400 transcript:KGN60805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRVVVSLLRILTKSIYSQDAIGLRESAKLYFGVSIVIMVICIIFYNVVEKLPIVKYYKELKIQAMIMEKEEKGPLTLWQIVKSIKWYGFGIILIYLVTLSIFPGYISEDVHSSILKDWYPILLIFGYNVFDLVGKSLTLVYVIQNLKIVVGGCVVRLFFFPLFFVCLHGPLVFRTEIPVMLLTCLMGLTNGYLTSVLMMLAPKVVQLQQAEIAGVVMVLFLVSGLVVGSVMSWFWII >KGN61596 pep chromosome:ASM407v2:2:9968726:9970593:-1 gene:Csa_2G174130 transcript:KGN61596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWIFLLHNNAQKRHGNWCVSSSIPPPLFIHGCLSQTDQFPWSWGFQGSEARQQHRFHSPKPCHLENLRAQDPVRRIEAEGGFTELWEPNSEELQCAGVNMVRHTIRPRGLLLPGFTNAPKLVFVVQGTGIRGVAMPGCPETYQTDLRRSQSSGNFRDQHQKIRQFREGDLLVVPAGVSHWMYNRGQSDLILIVFVDTRNVANQIDPFARKFYLAGRPEMMRRGEWEGRSYRGSSGEKSGNVVSGFADEFLEEAFQVDSGLVRKLKGEDDERDRIVLAEEDFDVLMPERDDQERSRGRYVESETENGFEETVCTLRLKHSIGRSEHADVFNTRGGRISTANFNNLPFLRQVRLSAERGVLYNKAISAPHYTVNAHTLAYATRGSARVQVVDNYGQSVFDGEVREGQVVMIPQNFVVMTKAGDRGFEWIAFKTNDNAITNLLAGRVSQMRLLPLGVVSNMYKISREEAQRLKYGQPEMRIFSPGRTQR >KGN61761 pep chromosome:ASM407v2:2:11671671:11673727:1 gene:Csa_2G238770 transcript:KGN61761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPGMFMRKPDKAAALKQLRSHVAMFGVWVAVIRVTPYVLHYLSDEKEELKLDF >KGN61861 pep chromosome:ASM407v2:2:12482236:12483132:1 gene:Csa_2G252100 transcript:KGN61861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGAWPFLVGGAICLVNSVNERDLSLLTSYAEIIAIVGLQRGIPSKRESSARVDYVPALCTHRPSLLPIEWSGEVFGSRRRGRGRRSRNKVSVGEPAEGSLSMPKTSNDPRTRLQNKPTASGAMGRRARSLLAFLLPLPARLKQNTGVGRAKELEMNSPAPPSLGVRGAEHSSHITHNDSRQRISRLSHR >KGN61860 pep chromosome:ASM407v2:2:12478970:12481550:-1 gene:Csa_2G252090 transcript:KGN61860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHLLLQQIHPQFYFSPLKFLQSSPFPSQFQASRPCVYSPTSVTLLPPPPVMRGATIRCMANSRRIKMVAKQIQRELSDMLLTDKVLQFAILPEASLGADKYLSSLTTITDVEVSADLQVVKVYVSVFGDERGKDVAIAGLKSKAKYVRSVLGKRMKLRLTPEIRFIEDESFERGSRVIAILDRIKDKKKDTVDEDFDQPELSDALDDDRDWKGDDADEDIIYVQ >KGN62054 pep chromosome:ASM407v2:2:13828062:13831197:-1 gene:Csa_2G292840 transcript:KGN62054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPNFDKGELITILSIDGGGVRGIIPGTILAFLESKLQVNKRAKLYILHCWNTQTYFSSHFLSGVFNLVGQAVGPKYDGKELRRVVNDLVGDLTLKQTLTNVVIPAFDIKILQPVIFTTNDAKISALKNPRLADVCLGTSAAPTFLPPHFFETKDDVTNATRTFDLIDGAVAVNNPTMAAITHVNREIAIHHQNSRVKANDTRRMLVLSLGTGLGKHEEKFNATQASKWGAVSWIFQSGSTPIIDFFSDASSDMVDYHVSTLFQSSNVQQNYLRIQEDSLTGNAALVDIATPENLLQLVKIGEDLLKKPVSRVNLETGKFESVDGEGSNGDALTKFAKLLHQERKLRLSTA >KGN62221 pep chromosome:ASM407v2:2:15143485:15154836:-1 gene:Csa_2G336680 transcript:KGN62221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLRLPPKLTSSSDALLGSNSEGTNKQVTFREPVSNSEIDDQDVVHQTEREPITNWSSGQSPPPATFDEPSSSHSPILPPVLEEPSPSFSEGNINDDPLPAIEALQISGEAFPGQDLQACGYSINGTTSCNFEWVRHLEDGSVTYIEGAKQPNYRVTADDVDTYLAIEVQPLDNRRRKGELVKVFANDHRKITCDPEMQNQIERTLSSGHASYKVSMSAGYLDIWEAATLSIKREGYSIKCSGSSGDVITEKFSPNTTVSILFGHPTEFTITGSNNVDHHMRADNNSADVSCCRDTIVLTLRLFILRASERRKGRKRVLFFHK >KGN62831 pep chromosome:ASM407v2:2:18854546:18858110:1 gene:Csa_2G375210 transcript:KGN62831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIIGDALRQAFMPKHEYENLREEEKAWGKLQKPLVMALVALIGLAIIVCTSISLNIVFPDDIGNRPFCSDRRLQPLPMMNGKGGESDHFLGAFYLTNQEIVDYYWMLVFIPSVVAFFASAIYLVAGIVVAYSAPSRHGCLKVVENSYCASRRGGVRCLTILNVVFAIIFGLLALFLGSSLLTLGGSCSVPLFWCYEISSWGLVILYGGTAFFLRRKSATILGEGDLGSRNLGLEMLVANPMEITPDVERRVNEGFKAWMGSSLLSSDEEDEPDSYEEVTSHINHANFNNGHIV >KGN61487 pep chromosome:ASM407v2:2:8720027:8720638:-1 gene:Csa_2G140870 transcript:KGN61487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSHIHVRRLCISQAKRLKLECFIDNFSYSSLKVSWMLHVQARRLHRCILIFKLESFIKTSSYSSFSSLKTLGFKDANAALPPLQNIYAKRYVSSPMYCSRESCHLKYVGVEKVIHLQYVVALGGRRGATPPLSLQENNNGAASPQSL >KGN62574 pep chromosome:ASM407v2:2:17178219:17180956:-1 gene:Csa_2G361400 transcript:KGN62574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEAVESSINGGFSHLQSCGDSSEEELSVLPRHTKVVVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLTNGIEVKLQRNALSVIEAPTGNEEDDDLEFENLQWNRIDMASDDAQKSHKSRHKLHKSSGSSHKTMSRSLSCDSQSKSSVSAPQGSTKVDLSKLEMAALWRYWRHFNLVDAIPNPSKEQLVDLVQRHFMSQQLDELQVIMGFVKAAKRLKTVCK >KGN63183 pep chromosome:ASM407v2:2:21074562:21077514:-1 gene:Csa_2G406810 transcript:KGN63183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRRNNPWNFDLDPDLYGIDLDPSDFGSSLPLKKVPNGDIFSASRAGDVDRLRYLLESGVNVNARDQWDSVALYYACLAGHLDAARMLLESGAICSEHTFDGDRCHYAALNLKVRKLLKAFEARPPPLGPLQAALRETFLGCGANRAYLEQVESFHHLSGLPFKSDSNYEFFPSDVSFIVQGRPIEAHRVILSARSPFFKRKFQVDWKDRKEVRFSKEKLSYSALYSLLHFFYSDRLEVAVDDMEDLIRICKVCKCESLLRILEKELVHQKYAQYKALGNVDNSVKRFILQGVSLPEEDRLPAALRRMLQITLANSTRELGDANDLHLFASKLQINDHMDDLADICVRVDKKFFRCHKVVLASRSEYFKARISRIKDFGEGKNEIAVHTLPFLEEHDLSKEAFEKMIEYMYTDCLKDIDPDQAEEMFDAASRYLLFPLKRAVADALLPQLEMVPPAELCQWLILSDMYGVIKIREYCLDTIACNFETFADTREFREMLLTLPPPSGDSSLRTTVPSAPGAAVNTDQGNLLDDLREKWLEAEAAELDKRDESALLFDKRLEMLMIIAEQEKSDENP >KGN60665 pep chromosome:ASM407v2:2:1090522:1095310:1 gene:Csa_2G006110 transcript:KGN60665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFLRRLANAAPSLRYNALLGTQSKIHSSNFRFPIGAIAAASGGISYLYYVSYSDLVHLDQSSEEASPKVDFRAPIGQDDEGKAKYVVRPYTPISDPDAKGYFDLLIKVYPQGKMSQHFATLKPGDVLEVKGPIEKLKYSPNMKKHIGMIAGGTGITPMLQVIDAIVKNPDDNTQVSLLYGNVSPDDILLKQKLDILASSHPNIKVFYTVDKPTKNWKGGKGYVSKDMVIKGLPPPGDDALILVCGPPGMMKHISGDKAPDYSQGELDGMLKELGYTKEMVYKF >KGN63125 pep chromosome:ASM407v2:2:20773160:20774316:-1 gene:Csa_2G404770 transcript:KGN63125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLYQKKLQFLGIYGIFIETFRLIFTARVIFSQITLAFILPLSLLIFTLSQSSSNPWTFHSFSFNFTLFFFSAVFFFLSTSAAVFSAACTFTDCRITFHHLILVAPKISIQLLVTFLCLIIDFLAFNFIALSATTPIAIMIPLLLNYEPMEFLTQFLILLLCIEMMFYFGLIWQISSVVSVFEADSYGFEAIARSKEVIKGKMMMGLILLILICFPVGVVVFVVHYGIVVESALVRVGIMGSVWIYSFMMFLLSGTVLYLVCRLFKGERIEESTLSDHLQGYFPIKSEYVKVEGDSDIETSLVV >KGN61507 pep chromosome:ASM407v2:2:8985384:8993041:-1 gene:Csa_2G150000 transcript:KGN61507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRMPLAGMETSIMATDSVEFIGVSVPSSSSREAAITSVASQATTSLTEDHTSCVVVGDPPTYLIWRIRKILPHVVELLEFSTSNEFCRTGLRIAFPETLHPAVFVCKNEISSSMGHPFLMYAVTSSGFAYCLKLRNLSSYVSYSAIPLDEVVEFNLQTHPDNKPVTSVSAISGCLVIGRNDGSVSCYKLGSLDQHSPGFEHELRDDSGFNRLLGFISRVKLAGAVQDMVISEVCGRQFLFVLHSDGNLRVWDLSCHSRVFNHSMNIATMAEARFVRLWVGQANTDKSTISLAILYNHPEDLSLETIYIYSLRFSWGDRVSLLLEPSTHSIPLNQGGCLDVKLAYDKIWVLKDNALIFLDLLASDGHS >KGN62496 pep chromosome:ASM407v2:2:16788164:16789155:1 gene:Csa_2G357190 transcript:KGN62496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIEEMGKFGENFECNEIATSLDFGFGSSNITSTSHPIFPSFNNIPPTTLSMNNATHAVAPPSFPPYSAAARWRSHFPATRNSRMKAGEEEVEGSESESMGGGMREMIYRIAAMQPIEIDPEAVKAPKRRNVKISKDPQSVAARHRRERISERIRILQRIVPGGTKMDTASMLDEAIHYLKFLKNQLHSLQIAAASSSSSSSSSSAYAFPTIAHYNNKQQHLIHFPQLPTHPHHHLNQDA >KGN62179 pep chromosome:ASM407v2:2:14778881:14781987:1 gene:Csa_2G310390 transcript:KGN62179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQNIGASNRDDAFYRYKMPKMVTKIEGRGNGIKTNVVNMVEIAKALGRPASYTTKYFGCELGAQSKFDEKTGTSLVNGAHETAKLAGLLENFIKKYVQCYGCGNPETEIIITKTQMITMKCAACGFISDVDMRDKLTTFILKNPPEQKKGSKDKKAMRRAEKERLKEGEAADEEQKKIKKDVLKKKSTTTKDAVTKVPSKKKNNGSDEDHSPTRSHADDNDRAADDEDDDDVQWQTDTSLEAAKQRIQEQLSAVTADMVMLSTTEENKSSKKSSEHEKSAANGNKNGNGVSTHGALIQEIKDYLKKGASTTDLKSFLESLAGTRQEIVNALVEALFDGVGKGFSKEVVKKKKFLAVVAAVEGSQIILLRAIESFCLNTSPEAGKEVALVLKSLYDGDVIEEEFVLEWYQQGVAGANKSSQIWKYVKPFIEWLQNAESETEEE >KGN62371 pep chromosome:ASM407v2:2:16078867:16084843:1 gene:Csa_2G351520 transcript:KGN62371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSVCSVTPSCSSQSKITFHGGLRPFLPFPKDFQVGSHVTADGSFTGLLRGTQFHGGFPKAFTTRSSLSNLTMNAQHPLSTGEGTLLDVSGLRSEITSAQVLPIQHETGLGDKIASEKMLFLSDSLNVDNSSVSNLKASTEDFLDRVSESFNASIQQGENTIEKSLDTINSFVSSLIKRGNQSVDDAVSSIFSSVDQIGEQGSNKVTNFSSGLKEGSIKASIAAIDLLRHAVVAIEDSLINATSFVVYSYGSAKELFPPEIRIALSSSEQKVAEILSPVKTGFQQIYPTVESLEKIVGLDPSDPLVPFFLLVGSSVTLWIFYWTNTYGGYSGDLSPEATLELLKGSDNAVLIDVRPEDLREKDGIPDLRRGARARYTSVTLPEVDGSIRKLVTNGRDLDDTLLASVIRNLKIVQDRSKVIVMDANGTGSKNVARSLRKLGVKKPYLIQGGYQSWVKQGLRIKELKPETPLSILNEEAEAILEEINPSPVQVLSYGLGLAATLYALLEWETSLQIIAILGIGQTIYRRVTSYEDAEDLNKDVRLLLTPVSLGAQALSWAAEKLETNGNGLPTSPSSLDVQNRVLQAAAKHESQPSVDEGIQNRPPEAAIPVSEGIDLSEA >KGN62471 pep chromosome:ASM407v2:2:16645751:16646236:1 gene:Csa_2G354970 transcript:KGN62471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCDEYGMGRTPTVAGDVYSFGITLLELFTGKSPTDEGFSEKQNIVKWVQSTYLRDLIEFQTVGSPSSQLSQLIGFHCSHYEGREISEQNQMDCLIQVIAIAISCVANSSNKRITIKDALLRLQNARNSLHRLS >KGN61533 pep chromosome:ASM407v2:2:9322551:9322862:-1 gene:Csa_2G162160 transcript:KGN61533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKPRRELPFQVMSTLHQSKLHRHNTTTLKLSYCRCIDPTSTKLFCCRSDLTLALAYCQHVDLVGESRVGHNPMLTSSHSDVAITSYDAPIMMPTSTYPSAST >KGN61636 pep chromosome:ASM407v2:2:10391965:10400812:1 gene:Csa_2G194880 transcript:KGN61636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILAPKKPSTPITHPRLQTHKEDLSLKNLSDEAVAGHIYSKHRDDDTIKIDVDNYISFLQSLFSNVQQINEASSQGHEGRVIYSENSYKSNVTTIDPPADILKKVSIKLAFKSPGIEKAHQTTLEILDILVSYPWEAKAILCLAAFGSDYGLLWHLNHHSLFDPLAKSLANIHHSTSLKKHLDSFSYRQVIFSSRSLIYLCFEIIKLMNQIRLFSKYDSKEIPELASALRQIPLFSYWVIHTIVASSTEISSYLANTESQSPTYLNELNERLNAILNTLGDLLNIFQEQLEEINLYRWLIDHIDQFPTEITLVVSKLLEGKPNAKPLINCSTFNEERIEDALREKNVILLISSGLNISNDDIRALKLVYEELKREDNYKIVWIPVMNSEAFNEESHKRYENLRSTMKWYAVQYGTKIAGLRFLEEIWQLRDDALMVVLDSKSKLKFSNAIHLLRVWGNNAIPFTLERANALLRKNWPESTIVKFTNQPRLQSWIDQEKTIIFYGGKDIDWIQKFEEKVVDIKNDRSMRDNGITFEIVHIGINKNTTKGEDDNNSNMARFWISQWGFFIIKSQLTGSSASETTEDILRLISYENENGWAILTVGSAPLVVARGNLVLGVFEDLNAWKKNLNLKGFPNSFKDYFEQLALRTHQCEKVILPGFSGWIPMIVNCPECPRFMETGINFNCCHGRDQLNK >KGN62311 pep chromosome:ASM407v2:2:15780189:15783780:-1 gene:Csa_2G348970 transcript:KGN62311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTGSPLRFLISIAALLLLDSSTLADPRSRTVKILCSTVLEHNTTAFVPNFVRTMQLISDQMRTGGFGVAVAGKGPDANYGLAQCYGDLSLMDCVLCYAEARTVLPQCFPYNGGRIFLDGCFMRAENYSFYEEFAGPLDRAECGNNSITNSIFRQSARQAVARAIETAPSNGGYARIQVAPPGTSNASVYLLAQCWRNLNRTSCTSCLQNASASILKCLPRSEARALNTGCFMRYSNLDFLNAEASASRSRGTIIEIVVSLVSSVAVLVVGVLIGIYVWNNRYVKKKRRGSNDANKMAKTLNNSSLNFKYSTLEKATGSFAEANKLGQGGFGTVYKGVLSDGREIAVKRLFFNNKHRAADFYNEVNIISSVEHKNLVRLLGCSCSGPESLLVYEYLANKSLDRFIFDRDRGKALNWEKRYDIIVGTVEGLAYLHENSKNKIIHRDIKASNILLDSKLRAKIADFGLARSFEEDESHISTAIAGTLGYMAPEYLAHGQLTDKADVYSFGVVLLETVTGIQNSRSKTSDYLESIVLIVWRHFQSGTIESILDANLMVEEDRSTKEEILRVVQIGLLCTQESASLRPAMSKVLQMLMKKEEELPTPTNPPFMDERTMELNDSSEDPSCFNLTEASSSAATVTHSSFHPR >KGN60649 pep chromosome:ASM407v2:2:982837:985055:-1 gene:Csa_2G005950 transcript:KGN60649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIRVDTKQYFTTSGLVIGYALCSSLLAVINKFAITKFNYPGLLTALQYLTSAVGVWILGKLGFLHHDPFTYATAKKFLPAAFVFYLAIFTNTNLLRHANVDTFIVFRSCTPLLVAIADTMFRNQPCPSKLTFGSLVIILGGAVGYVATDSAFTLTAYSWAFAYLVTITTEMVYIKHMVTHLGLNTWGFVLYNNLISLILAPVFWFITGEYVDVFSTLGSSGGDWFEYDAFFAVSLSCVFGFLISFFGFAARKAISATAFTVTGVVNKFLTVAINVFIWDKHANPFGLVCLLFTISGGVLYQQSVTGAGSAPSSADKPTGNDNDPEGNHGKPGFDMNEENSIKLQVSNLHPQLHKNDQQQDQEDEALLYQWCQSGDQARNNPGELQEPKNEEKK >KGN61077 pep chromosome:ASM407v2:2:3872279:3875784:1 gene:Csa_2G037240 transcript:KGN61077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRETLQPLYNGRPVKAPGLMRHGPFPGSDSAVGHKSLELLEDKLTVQTSDIEKLARENHKLATAHVTLRQELVGAEKEIQTVRAHIRSIQTESDIQMRVLLDKIAKMEASIEAGEGLKKDLQQVHMEAQGLVKDKQELTFQIQQATQELNKTESDIKNLPNLHAELEDLRKEHQRLRVTFEHEKGRNIEQVEQMKATEMNLIALAREVERLRAEALNVEKRAYAPNAYSGAYTRLDPSYPPHMPGANGYIDIYGRSQVVMAPVVSGDSEISHSIDHGSAPAETSAGVAAPIGNTAAWETRFDPSIPRR >KGN62199 pep chromosome:ASM407v2:2:14997283:15003063:-1 gene:Csa_2G327510 transcript:KGN62199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDSWQWGLAFDQWVALPVSGSRPPARYKHAAAVVDQKLYIVGGSRNGRYLSDVQVLDLSNLSWSSVKLQMNPGVENSDGNGSLVEALPPASGHSMVKWDKKLIVLGGNLKRSSDRILVHCIDLETHTWSVMETTGNIPVARAGHSATLFGSKIMMFGGEDSSRKLLNDIHVLDLEALTWDEVETKQSPPAPRFDHTAALHAEHYLLVFGGCSHSAFFRDLHVLDFHTMEWSQPQLQGDLVTPRAGHAGITIDENWYIVGGGDNKNGCPETIVLNMSKLSWLALRSVKQREPLASEGISISLATIDQEKYLVAFGGYNGKYNNEVFVMRPKPRDSSRPKIFQSPAAAAAAASVTAAYALAKTEKLDFSMIEGVSNGRHQNHSQPNGAIELKAIREEKAKLELTLSEVQSENSKLKQEIDEVNSTHAELSKELQSVQSQLIAERSRCFKLEAQIAELQKMLESMQSIENEIHLLREQKSALEKHMEAASTVQRQGSGGVWRWIAGGNSG >KGN61060 pep chromosome:ASM407v2:2:3703667:3708199:-1 gene:Csa_2G036090 transcript:KGN61060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHRLLRDLEADGWERSDFPIICESCLGDNPYVRMTKADYDKECKICTRPFTVFRWRPGRDARYKKTEICQTCSKLKNVCQVCLLDLEYGLPVQVRDTALSINSNDAIPKSDVNREYFAEEHDRKARAGIDYESSYGKARPNDTILKLQRTTPYYKRNRAHVCSFYIRGECTRGSECPYRHEMPETGELSQQNIKDRYYGVNDPVALKLLNKAGEMPSLEPPEDESIRTLYVGGLDARVSEQDLRDNFYAHGEIESIRMVLQRACAFVTYTTREGAEKAAEELSNKLVIRGLRLKLMWGRPQAPKAEIEGSDEAKQAAVAHSGMLPRAVISQQHNQLHPPGTHDQPQAMHYFNIPPPPPQQERAFYPSMDPQRMGALVSTHDAGMPPNGPTGSTEARPGSDKQHQHQHQQGHQFPYHPMHPPPPAQYQQQFYPPPPPYGYVQHYPPYPPYHSGMPPPPQSQTQPHPPSGSQQYQQQHSAPPSSAPLSHGGASSGSAPLGSTPSASAPSSTSAEPASS >KGN61152 pep chromosome:ASM407v2:2:4584090:4600474:1 gene:Csa_2G059830 transcript:KGN61152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSVFVRAYRSHLTITAVGGIGNGWTNRDVNNVRLPGPRPGALKISKRHCCVESEYESHHCEFVFRRHRERYTFYQKKDDRIQVRKAASNQPFETESEALSSKNYGDSVRSFLDAFYRFSRPHTVIGTALSIVSVSLLAVEKLSDLSPLFLTGVLEAIVAALFMNIYIVGLNQLFDIEIDKINKPYLPLASGEYSFGTGVAIVSTFSIMSFWLGWVVRSWPLFWALFVSFILGTAYSIDLPLLRWKRFAVVAAMCILAVRAVIVQLAFFLHMQTHVFQRPPVFSRSLIFATAFMSFFSIVIALFKDIPDIDGDKIFGIRSFTVRLGQERVFWSCISLLEVAYTSAVLMGVASSSPWSKWLTVLGHVTLGSILWIRAKSVDLKSKAAITSFYMFIWKLFYAEYLLIPFVR >KGN61871 pep chromosome:ASM407v2:2:12558204:12558552:1 gene:Csa_2G258670 transcript:KGN61871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIRMPSLLLNAKQIFRMQSVSTRCHSNIPKGHIAVYVGEIERKRFVVPVSYLNHPTFLSLLNRAEEEFGFNHPSGGLTIPCKEDAFIDLTSKLHTS >KGN62146 pep chromosome:ASM407v2:2:14546055:14548318:-1 gene:Csa_2G302130 transcript:KGN62146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFPRKPTFPFSKSTLLFLSMASLSFLSFLSLFFFFSSLSLSSSSADHHHHPHPHLPSHFTNYPSDQILHACKSTRFPDQCGSSLSHSSLPPNPSPLQILQSAIALSSDGLTTAQSLVKQILDSSATNLNRTTAAKNCLEVLRNSDYRISLTNDALHHGRTKDARAWLSASLLYHYDCWSALKYANDTQLVDKTMAFLDSLIGLSSNALSMLVAYDIYGNDTAAWTPPRTERDGFWEGGGGSGLGFSGGFPAKVTADATVCKDGSGGCYGSIQKAVDAAPENAAARRFVIHIKEGVYEEIVRVPLEKKNVVFLGDGMGKTVITGSLNVGQPGLSTYNTATLGVVGDGFMASGLTIQNTAGPDAHQAVAFRSDSDLSVIQDCEFLGNQDTLYAHSLRQFYKSCKIQGNVDFIFGNSASIFQDCEILVRPRQLKPEKGENNAVTAHGRTDPAQSTGFVFQNCLINGTQEYMSLYYSKPQVHKNFLGRPWKEYSRTVFIHCNLEALVSPSGWMPWSGDFALKTLFYGEFENSGAGSKTSERVGWSSQIPAQHVFSYSVENFIQGDEWIPSSESSDEQKMLNKRSKDVKLD >KGN62527 pep chromosome:ASM407v2:2:16945156:16952373:-1 gene:Csa_2G359960 transcript:KGN62527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAVGLLGSYISKGVYTVSGPFHPFGGAVDIVVVQQQDGSFKSSPWYVKFGKFQGVLKTKEKVVSIVVNGVEANFQMYLDHKGEAYFLREVDVEGEPGLYPSSSGDEILQELDGRRILSSQSCYYNTKSSIDGIEQSNNNGKIVTKTTSRRQILGFVWGRKSMKEDLHAGTSVARVDSLERAEIAADLLEVRWSTNLRAQKLEKTDSSKFSSIDTSDGKDEENLRRDDEKSHVTSTVKANMGNSIDKIFDNNTCNKPVTNGSQLESEKLELSIEVTREMSSLNIKDQMVETSIIGEKVFDRTYEVKYAPIDIQQSEKETVQAMGTFAENVDSKSQISLLMEHLSDETNIASHVFNMSEDKSESDAVQSLMFYETSKQSMLTMDDSRVLTHEVSHLTNGGSGIIDIQTEGLHLTTKVHLEDTESSVVAGDFEIETEKVEVLVNSSQQVDHCISSVHEGNTMDQEKTPTLEASYSQIVSTEEMPGSVKELKFDSTGSSFCSDFQDDKSVDGSVTSKFQNSLSSIDNRVATKESHILPATNSDDEQFLFSDIDVPKTEVNGSIESESQHFDDKEDYPLVYPSSIDEEDRFANRSYVTSSSVDSQEIFNQRITSPITIPPSHPISSKEVERLAASLPNMQARIDNSIVCKLNHPLSHSVDSNSKPLNWMEFCKDNASSKTGGDGEEKVAEDRSKSEEPWVSEERKNIIRNSGAGSPAEATVDPVGNWKLWPFSFKRSNSSKGTQSAVDGCIDFDIKKDSDRNNGLDGEASIVKHKVEKQMVKSLSPTSEQLASLNLKEGGNTITFTFYTAVLGKQQVDARIYLWKWNTRVVISDVDGTITKSDVLGQFMPFVGMDWSQTGVTNLFSAIKENGYQLLFLSARSISQAYHTRQFLFNLKQDGKALPEGPVVISPDGLFPSLYREVIRRAPHEFKIACLERIRELFPPDCNPFYAGFGNRDTDEFSYLKVGIPKGKIFIINPKGEVVVNRRVDTKSYTSLHTLVNGMFPPMTSSEQEDFNSWNYWKLPPPLVDF >KGN61325 pep chromosome:ASM407v2:2:6766678:6767418:1 gene:Csa_2G083720 transcript:KGN61325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGILDLINSAVLDQDVMGGLRWPLEKASSGDRFRLDRVWHTVAKSYVSPVVRLKLRNVDRYDFGTSVGEASKEVILKLKQVTSELLREEAQYDVISDTLNDTLKLIWNNFYDVVFRLSAVTLMQAKAKIFLRCGV >KGN61490 pep chromosome:ASM407v2:2:8745081:8745365:-1 gene:Csa_2G145890 transcript:KGN61490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERIMFDYCIMKEISVNIGKIISEHILAWVKLPQETRFFLHLINKLRLKACLALEKLPQVKVEDGVWTPLTLHCIIRYSQNQSKDKTPQDPEKW >KGN60968 pep chromosome:ASM407v2:2:3121159:3125177:1 gene:Csa_2G032740 transcript:KGN60968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSSLKSSISVSHSLHKSFTAPSFTNSTKTIEVFHSPSSLPMRITLNHVPPLSLSRRLFVPSVSGIWDALTGGNNPRDAVAAIRRGMLLFRQGDVLGSLAEFDKAIELDPRQKAYLWQRGLSLYYLDRFEEGAEQFRLDVAQNPNDTEESIWCFLCEAQLYGVDEARRRFLEVGRDPRPVMREAYNMFKDGGHPEKLVAAFSSGRENEYFYASLYAGLYHEAEKKVDAAKQDIVAACQSTYAQRSDDYMAALAKVHCLCRNWSFS >KGN61350 pep chromosome:ASM407v2:2:7187255:7189386:-1 gene:Csa_2G094900 transcript:KGN61350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPKPTAAVLADSATPLDFSALPEGCVSHILSFTSPQDVCRSASVSSTFRSAADSDALWDRFLPPDYADEISHALSHLFPPFSSKKQLYLHLCRFPVLIHGGAKSFSLDKKTGKKCYMISPRQLSIVWGDVPRYWRWSSTPEARFGEVAELVSVCWLEIRGKIETEMLSPGTLYSAHLVFKPTTSSYGFQQQPVEVGVGLTGTEPVKRTVYLDDVSRDWRQRHPIVHRGFGLFNLGGRRSMIGTQVGTPPEITRNDAPAVDCGRHIPKEREDRWLEVQLGEFFHDGDNGELEISVLEVKGGHWKGGLLIQGIEIRPKGLKT >KGN61544 pep chromosome:ASM407v2:2:9482623:9491863:1 gene:Csa_2G169190 transcript:KGN61544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRASFGAFDESNSPSTSTPPLPPHVSHPPLPYPTNRQRVSNVFQLLAQREVSPQTKRASRRFWGDSHDRQCDSVGPRCEAARDAKRGLISWVEAESLRHLSAKYCPLLPPPRSTIAAAFSPDGRTLASTHGDHTVKIIDCQTGTCLKVLSGHRRTPWVVRFHPLYPEILASGSLDYDVRLWDANTAECIGSRDFYRPIASIAFHAQGELLAVASGHKLYIWHYNKRGETPSPTIVLRTRRSLRAVHFHPHAAQFLLTAEVNDLDTSESAITLATSPGYVQYPPPTVYFADAHSSDRSSLMDGLPLMSFPLLIWPSFSKENRRMSMQRTEGDPGAGRLQRVDPSASVRLLTYSTPSGQYELVLSPIEPNSSSPVPEETGTNHYSSEMETEVSETAGDASENMEVQTEVRNNQNFPFNDPWELPFLQGWLIGQSQASQHALRPHSDDVRENSSAPSDIGGIHAPLTSLIPTSASQSRVGRRSSSRHRSMRSRVPVPVSGSDEGGSMSNTIPDESDPQPIVSRIQSELAASLAAVAAAELPCTVKLRIWSHDVKNPCAPLDDERCRLIIPHAVLCSEMGAHFSPCGRFLAACVACMLPHMEADPGFQSHANSDATGAATSPTRHPISAQQVMYELRIYSLEEATFGVVLASRAIRAAHCLTSIQFSPTSEHLLLAYGRRHSSLLKSVVVDGETTVPIYTILEVYRVSNMELVRVLPSAEDEVNVACFHPSVGGGVVYGTKEGKLRILQYDSSQAINHSTYGFLDENMLEVPTYALEC >KGN62174 pep chromosome:ASM407v2:2:14727128:14733204:1 gene:Csa_2G308360 transcript:KGN62174 gene_biotype:protein_coding transcript_biotype:protein_coding description:Periplasmic beta-glucosidase MASFFFFFFPHKIKLLTLLLSAAFLSLIVAGSSSQPPYACDSSNPLTKTLPFCKTYLPIKLRARDLVSRLTLDEKVLQLVNTVPPIPRLGIPAYEWWSEALHGVANVGYGIRLNGTITAATSFPQVILTAASFDENLWYQIGQAIGTEARAVYNAGQAKGMTFWTPNINIFRDPRWGRGQETPGEDPLMTGKYSVAYVRGIQGDAIEGGKLGNQLKASACCKHFTAYDLDRWNGMTRYVFDAKVTMQDMADTYQPPFESCVEEGKASGIMCAYNRVNGVPSCADHHLLTATARKQWKFNGYITSDCDAVSIIHDAQGYAKIPEDAVADVLRAGMDVNCGTYLKEHTKSAVEMKKVPMLHIDRALRNLFSVRMRLGLFDGNPTKLPFGQIGRDQVCSQQHQNLALQAAREGIVLLKNSAKLLPLSKSNTHSLAVIGHNGNDPKTLRGNYAGIPCKSATPFQGLNNYVKNTVYHRGCNYANCTEATIYQAVKIAKSVDYVVLVMGLDQTQEREDFDRTELGLPGKQDKLIAEVAKAAKRPVILVILSGGPVDISSAKYNEKIGSILWAGYPGQAGGTAIAEIIFGDHNPGGRLPLTWYPHDFIKFPMTDMRMRADSSTGYPGRTYRFYNGPKVYEFGYGLSYSNHIYEFTSVSESKLLLSHPKASQPAKNSDLVSYRLVSELDKKFCESKTVNVTVGVRNEGEMGGKHSVLLFIKPSKPINGSPVKQLVGFKKVEINAGERREIEFLVSPCDHISKASEEGLMIIEEGSYSLVVGDVEHPLDIFV >KGN60699 pep chromosome:ASM407v2:2:1303481:1303879:1 gene:Csa_2G007430 transcript:KGN60699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVCVDEPDMEKQGRKGKKTDAKGGKKKSQLVRTSRLMTHLAACLLPPAKAAIVFLPSDCLSLMKA >KGN61433 pep chromosome:ASM407v2:2:8132945:8135354:-1 gene:Csa_2G121980 transcript:KGN61433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLQDKVRLEVGVCLVNAKQMVKLSSRTPPSTVYRLLPRQPMNRISKTLLQFRNHFPSSFRSINGRLSSVSPSILPLLYYSPKFSVSVPSFSGSITFLVPFNSQFVRSGFYCTLNEVEGEEIPETNADKLHRAIVDNSYAHHDMEGALDQVGVYLTTDLVADILHRLRFDEKLAFRFFTWAARQENYSHEPRVYNEMIDILSSTRYKVKQFRIVCDLLDYMKRNDKNTVPVEVLFGILRNYTDKYLTCLQKFAKKKKVIVKRQPEINAFNLLLDALCKCSLVEDAEALLKKVKKKLKPDANTYNIMFFGWCRVRNPGRGMRVLEEMIELGFDPDNFTYNTAIASFCKAGMLKEACELFEFMRTKGSALSSPTAKTYAIMIVALVNNGRMEECFKFLEYMIKSGCLPDVSTYKEMIEGICVAGKVQEAYMFLEEMGKKGYPPDIVTYNCFLKVLCDNKMSDDALRHCDRMIEVGCLPSVQTYNMLISMFFEMNDHNGAFQIWLEMDRYGCTRDVDSYCIMIEGLFGCNKVGDACLLLEEVVNKGMKLPFRKFDSFLMQLSVNGNLKGIHRLSEHMRKFYNRAMARRFSLNQKRMSVSLRGK >KGN62687 pep chromosome:ASM407v2:2:17841192:17846126:1 gene:Csa_2G368880 transcript:KGN62687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKWTIASALLLLCILSLVPDEGPRFHAKADVDADEVVDPPKVEEKIGAVPHGLSTDSDVVKRESESISRRSLRSSGEKFEFQAEVSRLMDIIINSLYSNKDIFLRELISNASDALDKIRFLSLTDKEILGEGDNSKLEIQIKLDKANKILSIRDRGIGMTKEDLIKNLGTIAKSGTSAFVEKMQTSGDLNLIGQFGVGFYSVYLVADYVEVISKHNDDKQHVWESKADGAFAISEDTWNEPLGRGTEIRLHLRDEAQEYLEEGKLKDLVKRYSEFINFPIYIWGSKEVEVEVPADEDESNDEDESPESSSEEGEDDAEKSEDEDSDKPKTKKVKETTYDWELLNDVKAIWLRSPKEVTEEEYTKFYHSLAKDFSDEKPMSWSHFNAEGDVEFKAVLFVPPKAPHDLYESYYNTKKSNLKLYVRRVFISDEFDELLPKYLNFLLGLVDSDTLPLNVSREMLQQHSSLKTIKKKLIRKALDMIRKIADEDPDESSDKEKKDVEKSSDNDEKKGKYTRFWNEFGKSIKLGIIEDATNRNRLAKLLRFESTKSDGKLTSLDQYISRMKSGQKDIFYITGSSKEQLEKSPFLERLKKKNYEVIFFTDPVDEYLMQYLMDYEDKKFQNVSKEGLKLGKDSKDKELKESFKDLTKWWKTALSFDNVDDVKVSNRLDNTPCVVVTSKYGWSANMERIMQSQTLSDASKQAYMRGKRVLEINPRHPIIKDLRERIVKDPEDEGAKQAAKLMYQTALLESGFILSDPKDFASQIYDTVKTSLNISPDATVDEEEEAEVEAETESKGTEAEENIKSETADEEAKDEL >KGN62800 pep chromosome:ASM407v2:2:18647979:18652798:-1 gene:Csa_2G373430 transcript:KGN62800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSMKKTMSNGSVHMDMEEIDNKFEEAYGEHNATEDQLITPWTVSVASGYSLLRDPIYNKGLAFSEKERDAHYLRGLLPPAVIDQELQERRMMHNLRNYEVPLHRYIAMMDLQERNERLFYKLLIDNVEELLPIVYTPTVGEACQKYGSVYRRPQGLFISLKEKGKILEVLKNWPERNIQVIVVTDGERILGLGDLGCQGMGIPVGKLSLYTALGGIRPSACLPITIDVGTNNEQLLNDEFYIGLRQKRARGQEYMELLDEFMYAVKKNYGEKVLIQFEDFANHNAFELLSRYSSSHLVFNDDIQGTASVVVAGLLAALKLIGGTLADHTFLFLGAGEAGTGIAELIALEISKQTGAPTEETRKKIWLVDSKGLIVQSRFESLQHFKKPWAHDHESIKDLYGAVQAIKPTVLIGTSGVGKTFTKEVVEAMASFNEKPLILALSNPTSQSECTAEEAYTWSQGRAIFASGSPFDPVEYDGKVFVPGQANNAYIFPGFGLGLIMSGTIRVHDDMLLAASEALAAQVSQENYDKGLIYPPFTNIRKISANIAAKVAAKAYELGLASRLPRPKDLVKFAESCMYSPRYRSYL >KGN63341 pep chromosome:ASM407v2:2:22442455:22443033:1 gene:Csa_2G431105 transcript:KGN63341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGATIPCSFFPSPPPLYPIFSSSFRPMPLVCFSNAPTFPGSESFLLPDTIRLFFSICDFTLSLSLLSAPSLILFPKAVGKNKSSPSSSPSTLEPFSLNPLGFLSELEELNPRPEPDVLEPKPRPVWSSSTLSVSPSLEILSISWLGMTVPVDTKLTGLVRSGFLDKSRSLRRFLDENPSPSESESDPVANTP >KGN63194 pep chromosome:ASM407v2:2:21169438:21169989:1 gene:Csa_2G408400 transcript:KGN63194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSWMPKPLTPHPPALPLFHVLNVYSSGWADSSLRLPLIVFLRDPNHLSLQIFLRPPSSSIRLQMQLEVGFVPMDTVLGISKRTVKRFSICLGLVNFFLVEPSSLVLYKEDWLDFLKEGTRAVNGCFWVAVDEDSSFLLRNLDVLASSRVDFCWLVIMSKVDGMSFLARMMNFLVRARTHREF >KGN62379 pep chromosome:ASM407v2:2:16129202:16130497:1 gene:Csa_2G351600 transcript:KGN62379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISERMTTQPTRGQPKLGAQGRSDYSRFSNASRFREEIGKNKFLNPIKKRIERTNQSRFKREEDPERGDGVDGGFGVAARKDFLSPCGEEERFLIIYMVVLLRFSQGTAICTAPSPVTIQSNHELSFIVPVDVSCRLSRRRLACEFARILTGYSQAALSIY >KGN62154 pep chromosome:ASM407v2:2:14588545:14589308:-1 gene:Csa_2G302210 transcript:KGN62154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYLPVSVVDGILVGLSKLKFGDMSAYGICRPKLGPMQLKYATGKTPVIDVGTISKIQDGQIKVVPQISNIDGETIEFENGVRKKFDAIVFATGYRSSANNWLQDYELVLNEKGMPKSGIPNHWKGKKNVYCVGLSRQGLAGVSFDAKAVAQDISNNISNKFT >KGN60605 pep chromosome:ASM407v2:2:676477:676791:1 gene:Csa_2G003570 transcript:KGN60605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINATFQELELCGWTPLMAAATGTRGTHLTHPFHSATIPTHKKGLSFCAFQKPLSLRFEIENISLERNKEISVDLKATFHCKSKSLFPISAIVTLRVLLPALHL >KGN60651 pep chromosome:ASM407v2:2:999201:1003084:1 gene:Csa_2G005970 transcript:KGN60651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMELDTKLPEAISEDTCSAKPATKHGEGLRHYYLQHIQDLQLQLRLKTHNLNRLEAQRNELNSRVRLLREELQLLQEPGSYVGEVVKVMGKNKVLVKVHPEGKYVVDIDKSIDITKITPSTRVALRNDSYVLHLVLPSKVDPLVNLMKVEKVPDSTYDMIGGLDQQIKEIKEVIELPIKHPELFESLGIAQPKGVLLYGPPGTGKTLLARAVAHHTDCTFIRVSGSELVQKYIGEGSRMVRELFVMAREHAPSIIFMDEIDSIGSARMESGSGNSDSEVQRTMLELLNQLDGFEASNKIKVLMATNRIDILDQALLRPGRIDRKIEFPNPNEESRFDILKIHSRKMNLMRGIDLKKIAEKMNGASGAELKAVCTEAGMFALRERRVHVTQEDFEMAVAKVMKKDTDKNMSLRKLWK >KGN62467 pep chromosome:ASM407v2:2:16629856:16632989:1 gene:Csa_2G354930 transcript:KGN62467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAQTPVVEFFISVTILAFTTSFFMVESARLSIETDKQALISIKSGFTNLNPSNPLSSWDNPNSSPCNWTRVSCNKKGNRVIGLDLSSLKISGSLDPHIGNLTFLHSLQLQNNLLTGPIPHQISKLFRLNLLNMSFNSLEGGFPSNISAMAALEILDLTSNNITSTLPNELSLLTNLKVLKLAQNHIFGEIPPSFGNLSSLVTINFGTNSLTGPIPTELSRLPNLKDLIITINNLTGTVPPAIYNMSSLVTLALASNKLWGTFPMDIGDTLPNLLVFNFCFNEFTGTIPPSLHNITNIQIIRFAYNFLEGTVPPGLENLHNLIMYNIGYNKLSSDKDGISFITSLTKSSRLSFLAIDGNNFEGQIPESIGNLSKSLSILFMGGNRLSGNIPHTIGNLNGLALLNLSYNSLSGEIPSEIGQLENLQSLVLAKNQFSGWIPSTLGNLQKLTNLDLSRNELIGGVPTSFNNFQKLLSMDLSNNKLNGSIPKEALNLPSSIRLNMSNNLLTGPLPEEIGYLANLFQIDLSTNLISGEIPSSIKGWKSIEKLFMARNKLSGHIPNSIGELKAIQIIDLSSNLLSGPIPDNLQYLAALQYLNLSFNDLEGEVPKGGIFESRANVSLQGNSKLCWYSSCKKSDSKHNKAVKVIILSAVFSTLALCFIIGTLIHFLRKKSKTVPSTELLNSKHEMVSYDELRLATENFSEKNLIGKGSFGSVYKGMLKEDIPVAIKVLDVNRTGSLRSFKAECEALRNVRHRNLVRLITTCSSIDFSNMEFRALIYELLSNGSLDEWVHGQRSHEYGIGLNILERVNIAIDVASAINYLHHDCELPIVHCDLKPSNVLLDENMTAKVGDFGLARLLMENKNAQSSITSTHVLKGSIGYLPPEYGFGVKPTTAGDVYSFGVTLLELFTGKSPTDECFTGELNLIKWVESSYPEDIMEVIDHKLPELFVDLVYRGRTIGSDMQKDCLTKVIGVALSCTVNTPVNRIDMEDAVSKLRSAKDNLIRPSNKNDVS >KGN60765 pep chromosome:ASM407v2:2:1726663:1730896:1 gene:Csa_2G009520 transcript:KGN60765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASAASAVAKPPSTSLPPRTFNYTTSHSSLSFRLPNKPKLRIFTRGVQPVRKHSTLIRAQLNELSVSLKDPVDVPTVAKITSESDDLASDGSAKPSSDQSSKILATEESISEFITQVSSLIKLVDSRDIVELQLKQLDCEVVIRKKEALPQPPPPAAIPMMYAPPPSVAQSAPPPMPAALPAPASASSPPSSPPPAKSAASSLPPLKCPMAGTFYRCPGPGQPPFVKVGDRVKKGQVLCIIEAMKLMNEIEADQSGTIVEVIAEDGKPVSVGTPLFAIQP >KGN61022 pep chromosome:ASM407v2:2:3450436:3454005:1 gene:Csa_2G034750 transcript:KGN61022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIVDLFTLLLLHLLFTLACSHCIARPPVIFNFGDSNSDTGGLVAGLGFPVLLPNGRSFFRRSTGRLSDGRLLIDFLCESLNTKLLNPYMDSLAGSNFKNGANFAIVGSSTLPKYVPFSLNIQLMQFLHFRSRTLELLNANPGHGNLIDDSGFRNALYMIDIGQNDIADSFSKNLSYSQVINLIPSIISEIKNAVKALYEQGGRKFWIHNTGPLGCLPQKISLFPMKGLDRHGCISSFNAVATLFNTALRSLCQNMRDELKDTSIVYVDIYAIKYDLIANSSLYGFSNPLMACCGAGGPPYNYNIRVTCGQPGYEVCNEDSKFISWDGIHYSEEANKIVASKVLSTAYSTPPLPFDFFCHN >KGN63093 pep chromosome:ASM407v2:2:20583605:20584018:1 gene:Csa_2G402015 transcript:KGN63093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVNHFTSLDSATGRFQNSLAMLESGTPLALNTSILGAINSENVCKTRVLCVVQNNETIQSVDPKKFIRKSKHGTIFLSRLLRRICGSLQIKSTRKLPDMPFLERNSNLGLSVLSGSARRSLGCLQKMLAVWEGVNP >KGN62012 pep chromosome:ASM407v2:2:13570969:13572203:1 gene:Csa_2G286450 transcript:KGN62012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLLKLFRSCSGKRPSKNTSFSIPIKERSNSSSPSSKHFRPNLEEIKWAFEKFDSNKDGKISFEEYKEAHRGLAGSKEITDAEAEKSFKLVDVDGDGFVDLKEFVELYTMSSGEVKVGDIESAFKVYDSNGDGKISAEEVMGIMKILGENTTLKACKQMVKGVDMDGDGFIDVQEFSKLMGKYSSKFQN >KGN62777 pep chromosome:ASM407v2:2:18505113:18505991:-1 gene:Csa_2G372730 transcript:KGN62777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWGSSERKGKEKWKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKEDDDEEEDNVKLGLLIVLGWCLEWNGYLLKF >KGN62369 pep chromosome:ASM407v2:2:16057343:16060102:1 gene:Csa_2G351010 transcript:KGN62369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRRRPLQTCGVSFLAVAHSTCSRAQNLDGRLGSITRKMVWIAKLMNPLIFLLKYQSLLVLCFIDDRLLAIANTLEKIFPPSKLVFDKIDVLLHLIETFPSKFDDAVDKIPCFNQEVLLLDWTVTHVISLLNFMITILMNWGRDVAREKEILVDMNYKESCNGSKSIDKSKCCSEGIVSSLSEIQRTSMNEKMKSNPMKGSYAEILKMGTKGVLKDDEGCKENEKKGTRDEINEKEDCKKDEKGNSNRVEKGIEVMEDKESYKKDENNEIHNAKMEESVGKAKGNEEIRDDQSHKRIINNEEKSKEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEGVMDNESEKGNKGSKENAEDEILELFESAWLMKPTIKGKGNVMQRSSSLF >KGN61921 pep chromosome:ASM407v2:2:12860308:12863860:1 gene:Csa_2G270140 transcript:KGN61921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRGFSLLYQPRCLLLFIVFLIFLVFVLFTHNQREEKQEEELEITHRVFLDVDIEEQRLGRIVIGLYGQVVPKTVENFRALCTGENGKTTSGKALHYKGTLFHRIVSGFVIQGGDILYGDGKGYESIYGGTFADENFRIKHSHAGVVSMVNSGPDSNGSQFFITTIKSSWLDGEHVVFGKVIQGMDYVYAIEGGAGTYSGKPRKKVTIADSGEIPKSKWDEEA >KGN63198 pep chromosome:ASM407v2:2:21216426:21216682:-1 gene:Csa_2G408930 transcript:KGN63198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIVGRPLGVISDNYADKLLAINFDNYSMVLTLDLSETSSQYPYIAPPSLASPMG >KGN60550 pep chromosome:ASM407v2:2:315031:318984:1 gene:Csa_2G000580 transcript:KGN60550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQKQQLSADPRPDTKKKRRVAFFNIDTGISAKDCIKIYLVCTKEEVGSTDGLCIDPVDLNSFFDDEDGKIYGYQGLKITVWFSIVSFRAYADIVFDSTSDGGKGITDLKSALQNIFAETLVDNKDDFLQTFSKDVNFIGSLVADGEVLHPKASSNGKSNDSNFHLQAANSDLEVIRLPMDNMAGRNLYSHLVPLALLLIDGSSPIDVTDPRWELYVLTQKTNDQLGQTYPTLLGFAALYRFYHYPDSSRLRLSQILVLPPYQRKGFGRVLLEVLNNVAISENVYDFTIEEPLSQLLQLRTCIDVKRLRGFGPIQEAVESAVSQFKFGRLSKKVSFPPLLPPSEAIEAVRKSLKITKEQFLHCWEILIFLGIEPDKHMEDFILAVSSRMRNDLIGESSDAEGKQVVDVPTDYDQEMSFVMFRSTNNATGVETDESRANQEEQLKKLVDDRVSEIKLIAQKVSAD >KGN62785 pep chromosome:ASM407v2:2:18564925:18569546:1 gene:Csa_2G372800 transcript:KGN62785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKLLVHEQLRKKMLGSIEVRSPLGNPTNSSSSDSPLSLLHNYSNFKRSGLPSRFMFYFHSSWVDFPTQVLEILRTAFSDRNPAVELQIDGSKYLFDLYRMLQIDLDTGRQRSVAWIDDKGECFFPKVFIGEETTNSSPISCSPKIEISITIDGKSGKRKRETMEENEVSSSNEHMKVKASKIPRVVMNDSETSVWPKTKVLNEGDSGYSLVSNSLLPSMKKVDSTFSISAIHRCTRTGPLEKARLDVFLKQNEITTAARGVSNMVYAWYGASAKTLAGILAHGFGEPVQIPASDTTHGIGVYLSPLGLPHLSSKLSEADGNGVKHMILCRVILGNMEKVGAGSRQSHPSSTEFDTGVDDPTCPKRYIVWCSNMNRHILPEYIVSFKSTSHLPGNLRESTETKYPLVKLLSKMRNSLPPSKVQEVATLFQKFKVGQLAKDVLVKRLRSIAGDQMLLSIFRESRG >KGN62904 pep chromosome:ASM407v2:2:19337054:19339320:-1 gene:Csa_2G379350 transcript:KGN62904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCKDQEDDHHKQEPPPKCIWVHGPIIVGAGPSGLAAAACLSHNQTPSLILEKSDCIASLWQYRTYDRLKLHLPKQFCELPLMGFPENFPKYPSKDQFISYMESYASHFSIHPRFNQTVLAAEFDSVSGFWKVSTQDSQYISRWIIVATGENAEPVIPEIVGIERFARTVVHTSMYKSGSEFKNQRVLVVGCGNSGMEVSLDLCRQNAIPHMVVRNTVHVLPREMFGFSTFGIAMGLMKWLPLRLVDKILLLVANLTLGNTDHLGLRRPKTGPIELKNATGKTPVLDVGALSQIRSGKIKVMEGVKEITRNGAKFIDGQEKEFDSIILATGYRSNVPSWLKQGCDFFTKDGMPKTPFPNGWKGERGLYTVGFTRRGLLGTASDAMKIANDVAEQWRMAGNKDGKHCSTSYVIVLKESIRK >KGN61080 pep chromosome:ASM407v2:2:3893184:3893582:-1 gene:Csa_2G037270 transcript:KGN61080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFKLFITVKLGETMRFLDLRALWLEPLRSFNGLDLSRLKKGIQPWQERCSTEYITHTPLGSLNSVGGVATEINAVNYVSPRSWLATSHFVLGFFLFVGHLWHAGRTRVTGARFEKGIDRDFEPVMFMTPLN >KGN60596 pep chromosome:ASM407v2:2:612717:616093:-1 gene:Csa_2G002510 transcript:KGN60596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGFTNGGQLKRAHLYEYKFTWYFFSACVVAALGGSLFGYDLGVSGGVTSMDDFLKQFFPKVYNRKQLHIKETDYCKYDDQILTLFTSSLYFAGLLSTFFASHVTRNYGRRASILVGSVSFFLGGLINAVAINIPMLIIGRILLGIGIGFGNQAVPLYLSEIAPAKIRGRVNQLFQLTTCLGILIANFINYGTEKIHPWGWRLSLGLATFPAAMMFIGGLFLPETPNSLVEQGKLEEARRVLEKIRGTTNIEAEFADLVDASNQARAVKNPFRNLLRRKNRPQLVIGAIGIPAFQQLTGNNSILFYAPVILQSLGFGSAASLYSSAFTSGALVVAALISMFLVDKFGRRKFFLEAGFEMFVYMIAVAITLKLNFGQGKELSKGVSILLVCLIWLFVLAYGRSWGPLGWLVPSELFPLETRSAGQSIVVCVNLLFTALIAQCFLAAMCHLRYGIFILFAALIFLMSCFIYFLLPETKQVPIEEIYLLWENHPFWKSFVRDDDHQQNV >KGN61480 pep chromosome:ASM407v2:2:8637472:8640114:-1 gene:Csa_2G139820 transcript:KGN61480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEKTHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDLISEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVLKPGMVVTFGPTGLTTEVKSVEMHHESLPEALPGDNVGFNVKNVAVKDLKRGFVASNSKDDPAKEAANFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAEILTKIDRRSAKELEKEPKFLKNGDAGMVKMIPTKPMVVETFSTYPPLGRFAVRDMRQTVAVGVIKSVEKKDPTGAKVTKSAVKKK >KGN62638 pep chromosome:ASM407v2:2:17501251:17502215:-1 gene:Csa_2G362505 transcript:KGN62638 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin MAEEGQVIGIHNVKEFDEQVRIGKEARKLIVVDFTASWRPPCRFIAPIFAELAKKNPHAIFLKVDVDEVRAIAARFNVDAMPTFAFVRDEVEVSSHRIVGADKNGLMDKVIELCGPVPSTSTA >KGN60817 pep chromosome:ASM407v2:2:2063821:2066712:-1 gene:Csa_2G011500 transcript:KGN60817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQIFHSRVKPITKLTAVSSNPSVSGRVYPLSAADHALARHSAAVVMYYSENPFGSFILDPMRESLSKVLTLYPTVTGRLTRTENGNWAVKGNDAGVRVTMTKVGSSLDEWLRSADSVEERDLAAFDEMPEDPYIWSPFRIQINEFEGGGVAIGVSFTHLIADPTSATFLLKTWADAHRGGPVSPPLFTKPSSLGDGEKIPNIATKSTSFYANKSKLDNHIVSPTKMSSVTFKFSNTTINQCLSKIKPHCPNATPFDFLASLFWKQILKIKSNSPNSEHNNNNNNNHSLSICSDIRNSFQSSNSQRCYFGNALHISQLTIDPKEMEQHELGQIVELVHNHLERLGEEEEETWSAMEWLESQKEKDGKYVMPFKMYGPELSCVSMEHMMMMMKKGNDNESLSYATKFVKDSKPVHVSYNIGNVEGEGLIIVMPSNEGGVARNVVVMLPSEREVDELCEDQAILSFNPTMILGGRHK >KGN61356 pep chromosome:ASM407v2:2:7278333:7281248:1 gene:Csa_2G096930 transcript:KGN61356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIISPVVGPIVEYTLKPIGRQLSYLFFIRQHIQNLESQVELLKNTKESVVNKVNEAIRNAEKIESGVQSWLTKVDSIIERSETLLKNLSEQGGLCLNLVQRHQLSRKAVKLAEEVVVIKIEGNFDKVSSPVALSEVESSKAKNSDFVDFESRKPTIDKIIAALMDDNVHTIGVYGMGGVGKTMLVQEISKLAMEQKLFDEVITSTVSQTPDLRRIQGQLGDKLGLRFEQETEEGRALKLLNRLKMERQKILIVLDDVWKQIDLEKIGIPSIEDHSGCKILFTSRDNDVLFNDWRTYKNFEIKFLQEDETWNLFRKMAGEIVETSDFKSIAVEIVRECAHLPIAITTIARALRNKPASIWKDALIQLRNPVFVNIREINKKVYSSLKLSYDYLDSEEAKSLFLLCSMFPEDYIIDCQVLHVYAMGMGLLHGVESVAQARNRITKLVDDLISSSLLLKESNVDLVMYVKMHDIVRDVAIIIASKDDRIFTLSYSKGLLDESWDEKKLVGKHTAVCLNVKGLHNLPQKLMLPKVQLLVFCGTLLGEHELPGTFFEEMKGMRVLEIRSMKMPLLSPSLYSLTNLQSLHLFDCELENIDVICELNKLENLSLKGSHIIQIPATISQLTQLKVLDLSECYALKVIPPNILVNLTKLEELYLLNFDGWESEELNQGRRNASISELSYLSQLCALALHIPSEKVMPKELFSRFFNLEKFEIFIGRKPVGLHKRKFSRVLCLKMETTNSMDKGINMLLKRSERLHLVGSIGARVFPFELNENESSYLKYLYINYNSNFQHFIHGQNKTNLQKVLSNMERLELSYLENLESFFHGDIKDISFNNLKVIKLLSCNKLGSLFLDSNMNGMLLHLERINITDCEKVKTVILMESGNPSDPVEFTNLKRLRLNGLPQLQSFYSKIEQLSPDQEAEKDERSRNFNDGLLFNEQVCFLNINSPIALTFNSEYVRSLPSTLPCLIKV >KGN60591 pep chromosome:ASM407v2:2:556258:559568:1 gene:Csa_2G000980 transcript:KGN60591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVLVDSHNIQVQQLKVTNLSLRTQRKTGAAAVKPRAEPNKCSTNAVECDNANLPWSCSCSGMVEWEYLAGSSPLISWFINFITL >KGN62531 pep chromosome:ASM407v2:2:16966623:16968929:-1 gene:Csa_2G359990 transcript:KGN62531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVDDLDDEEFAFSRNYFLAKELGGSKKKSSGKLADIDVVDEQELRAAAANTEPKHEREIAALISSYKSSYAKWVFELRCGFGLLMYGFGSKKSLIEDFASTALMDYSVIVVNGYLQSVNIKQVIIAIAEELSDQLKSRPKNASGSNVHQTFSSRSMDDLFVFLNGSNEEDKDCFVCVVIHNIDGPGLRDSETQEYLARVAACSHVRIIASVDHVNAPLLWDKKMVHTQFNWLWYHVPTFAPYKIEGMFFPLILAHGGTAQSAKTATIVLQSLTPNAQSVFKVLIEHQLSHPDEEGMPIDKLYAICRERFLVSSQITLNSHLTEFKDHELVKTRRHSDGQDCLYIPLPSEALEKLLTELS >KGN61248 pep chromosome:ASM407v2:2:5777928:5782287:-1 gene:Csa_2G074100 transcript:KGN61248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPSNLSCYNCGSIGLTDGFDGFFYCLQCGSQADDIIDTGVAEEDLVLRDVGKSGAPIYSQSHTRRRNPTVLKVEPLSQSQSLFGTSQSEFWDSLNLMEDPSGNVGGKDGDIVMLNDGVGPTGPEDFGSGDVLSGKPSFEEYADEVRMRYVMGLQLIMELQCEVLVKEFKATPIICGLAASIWLRFVTATRVFDEDWAFQTVQESESQCLDPERIRRVCSSHKDEPHNFYGQRVVVLWVKSLRKKIPLFSTLAVSFLACHVAREAILPTDIIKWSLEGKLPYYAAFVDIESRIGKTSRACPISSKLMHRPSRISSLQKLESLAASIAHTIGLNLPPVNFHSIACRYLNKLALPVDKILPHACRIYEWSMPPDLWLSTNELRLPSRVCVMSILIIAMRILYNLHGFGEWEKSLSVDCASCFPPHQKTHSSPANNFSNMQADSENRPGFTSHDVDNPSVSPENPHLTTTEFLRKIEARYHEIAETYEYSKDLPTYLQYCKDVAFAGSESLFIDDHDEQKMIEKLWNYYQNEKDYDQTEDVDQNAASNQKRLREGSNDRLSNESKKVKGEEDRISRESLNNRTGSIDSRQSHSSKSLDNSDDDEQSSVDKAASSLTSINEAIRQLKLDMEEKRFCYIPPRINPKRFDYLHYSRKIDEGALTYAAHADYYILLRACARAAQVDIRIMHIGVLSLEKRLSWLEDRIHKSLRLTPTSITCEFCSDVPDHVGSVGLSDLDI >KGN62648 pep chromosome:ASM407v2:2:17558078:17566514:-1 gene:Csa_2G364570 transcript:KGN62648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLRSEPMQLVQLIIPNESARRTISYLGDLGLFQFNDLNASKSPFQRTYAAQIKRCGEMARKLRFFREQMTRAGLSPSSYSLGTHDFDLDNLEVKLGELEVELLEIKDNNEKLQRNYSELLEYKLVLQKVGEFFHLAQRTAAAHQRELEVQQNGEGSIDTPLLLEQEMTTDPTKQVKLGYISGLVPREKSMAFERILFRSTRGNVYLRQAVIDGSVTDPVSGDKVEKNVFVIFYSGERAKEKIRKICEAFGANRYPFTDDLGKQFQMITEVSRKLSELKITIDMGQLHRSQLLQTIGHQYELWNLLVKKEKSVYHTLNMLSVDVTKKCLVGEGWCPVFATIQIQSVMQKATHDSKSQIEAIFHVLDTKEAPPTYFCTNKFTSSFQEIVDAYGVAKYQEANPGVYTIVTFPFLFAVMFGDWGHGICLLLATLYFIIREKKFSGQKLGDIVEMTFGGRYVIMMMALFSIYTGLIYNEFFSVPFELFGPSAYGCRDTSCRDATSIGLIKVRDTYPFGVDPKWHGTRSELPFLNSLKMKMSILLGVAQMNLGIILSYFNAKFFGESINIWYQFVPQMIFLNSLFGYLSLLIIVKWYSGSQADLYHVMIYMFLSPTDDLGENQLFPGQKFLQLLLLLSALTAVPWMLFPKPFLLKKQNEERHQGQSYSVLHCTDDNHEIERHHGSHGHEEFDFSEVFVHQLIHTIEFVLGAVSNTASYLRLWALSLAHSELSSVFYDKVLLLAWGFDSLIIRIVGMAVFIFATVGVLLIMETLSAFLHALRLHWVEFQNKFYAGDGFKFSPFSFSLLREEDE >KGN62532 pep chromosome:ASM407v2:2:16971077:16971561:-1 gene:Csa_2G360000 transcript:KGN62532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSDKEPDDVCDTGGGDNVNRRLCKLSEKFHSAVRRRQFVKMRRSNKAFLRSMVKWLTNCGAVSFLGINKKNEKEKEKGKREMN >KGN63189 pep chromosome:ASM407v2:2:21142646:21142993:-1 gene:Csa_2G408350 transcript:KGN63189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHYTSYIDKKGNEDTGANDKTGVEESKLHCYHGCCRYYGHECAKCCRNLAEAQAAAAGANNAATEESEMEVRPQGWGGHGGWGGHGGWGGHRGGWGGHRGGGGGGGGWGRGGGGK >KGN62009 pep chromosome:ASM407v2:2:13540829:13546878:1 gene:Csa_2G285930 transcript:KGN62009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNGDGLLISVNPNGASSQGRNVIVLKSSAAATVADDDGDMTVSQLARSPRHRLASSSGKARKQMDDNPMVQKVKKRLADWKTSHAVTGPGGKFMSFEQNKAAFDEQCSPGEFKSSAVMRALEVRSNLEQEFPSFVQSLVRSHVASLFLMGLPGAFCKSYLPARDSTMTLEDEAGRHFQVKYIAHKTGLSAGWRQFSAAHKLLEGDVLVFQLVGPTKFKVYVTRASDLTEVDGALGLLNLESRTRHSDAGKDTDYKGDMASDILNKRRVKSALLDTAEKQKKRSPMMSISRNQVAEQYVNTSEEVVSAIVEAYDVYPAVPFKHIKSFDDFNIVIDGLSINSELPRDLRKRYYKLCCSQNMFLHENLIQGMNRKLVTGVISETITIANAIKASDLSTSRDEYSAWDKTLRAFQLFGMNVGFLRTRLHRLANLAYESENALDLRTLVDAKNDQVHVHDEIRNIETKLVELKEACDRFGAAIDGLDSKAEIYKSKFQEEVSAPW >KGN62702 pep chromosome:ASM407v2:2:17934974:17940262:1 gene:Csa_2G369030 transcript:KGN62702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQPHSHQSLLSPETSCPPASSSSSSAHDNSTGACGTCRKCGGPTTFAPPPPSWSSEVPLPPNYRPIRAPAINLPPNEQSRQAIILSPVPQSEKVLPVAPPFLFQAPSKKIQSPDHIRSFNDSDSGKNFLGFVVALSESMRGHKISDPCHESDTVNNIISILHTLIDWVDEIPPTEQAARYGNVSYRTWHSRLVENSEDFMIRLLPDDWRSATVELIPYFTDSFGNSSRIDYGTGHETNFAAWLYCLARLGLIKEEDYHAVVARVFVKYLELMRKLQLVYSLEPAGSHGVWGLDDYHFLPFIFGSSQLIDHKYMKPKSIHNPDILDNFSSEYLYLSCIAFVKKVKKGLFAEHSPLLDDISGVPAWKKVNSGLLKMYKAEVLEKVPIMQHFLFGWLIKWE >KGN63171 pep chromosome:ASM407v2:2:21006428:21010237:1 gene:Csa_2G406690 transcript:KGN63171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLPQEPFNAEPPRSALISSYITPVHFFYKRNHGPIPLVDDIERYCVSINGLIENPKELFMNDIRMLPKYNVTATLQCAGNRRTAMSKVRKVKGVGWDISTLGNAVWGGAKLADVLELVGVSKFSYCTQKGGKHVEFVSVDKCEEEKGGPYKASIPLIQASNPEADVLLAYEMNGEPLNRDHGYPLRVIVPGVIGARSVKWLDSISINAEESQGFFMQKDYKMFPPSVDWSNIDWSARRPQMDFPVQCAICSLEDVDRIKPGKVTVSGYAVAGGGRGIERVDISIDGGKNWIEATRYQKIGVAYVADSLSSYKWAWVFFEITVDIQRNTEIVAKAVDSAANVQPEKVEEIWNVRGILNNSWHRVQVGVGRSKI >KGN61195 pep chromosome:ASM407v2:2:5286210:5294421:1 gene:Csa_2G068680 transcript:KGN61195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTPANGAAGAAAAPNSNEGGLEKKIINPELWQACAGPLVNLPPAGYHVVYFPQGHSEQVAASLRKDVDGQVPNYPSLASKLLCLLHNVTLHADPETDEVYAQMTLLPVPSFDKDALLRSDLALKSNKPQPEFFCKTLTASDTSTHGGFSVPRRAAEKIFPPLDFSMQPPAQELVAKDLHDNVWTFRHIYRGQPKRHLLTTGWSLFVSGKRLLAGDSVLFIRDEKQQLLLGIRRANRQPTNLSSSVLSSDSMHIGILAAAAHAAANNSPFTVFYNPRASPSEFVIPLAKYYKAVSANQISLGMRFRMMFETEESGTRRYMGTITGISDLDPVRWKGSQWRNLQVGWDESTGGERRNRVSVWEIEPVIAPFFICPPPFLRSKRPRQPGMPDDDSSDLDGIFKRTMFGDDFCMKDPQGYPGLNLVQWMNMQNPSLSNSMQQNYMHSFSGSMLPNLGSVDISRQLGLSNAQLPQSNNIQFNAQRLLSQAQQLDQLPKLPTSMNSLGSVVQPPQQLDDMSQQTRQNLINQNAVSSQIQAQIMQQPHTNGILQQQTALQNQQLQRNAPQNLQMQQHQQILSQNQQQNMNPSPHLEQLNHQLQMSDNQVHIQMLQKFQQQPQSLLAQQSALQPSQLVQLPDQQRQSVDASQSFSRSMSSNQMLDIPQSTPAAGPPSNALPQQAANCNGQTNNRFSNQHLQPKLPQLQQPASSTVLSDMSRPMGLPPAQINNQLSAATSSLITGVAGAGQSGITDDIPSCSTSPSTNNCSSLVQPVANGRVHRTTGLVEDVAQSTATIFSSNTLDNMSPNANLVHKDLPQKTAVKPSLNISKNQSHGIFAQQTFLSGVVAQTDFLDTSSSTTSACLSQNDAQLQQNNMMSFNSQPMLFKDNSQDLEVPTDLHNIPYGNHVDGQMVAQLSSDPLLDKGIGGLGKDFSNNFSSGAMLTTYDAQKDPQQEISSSIVSQSFGIPDMTFNSMDSTINDNTFLNRNQWAPPPPFQRMRTYTKVYKRGAVGRSIDIARYSGYDELKQDLARRFGIEGQLEDRQKIGWKLVYVDHENDVLLVGDDPWDDFVNCVRSIKILSPQEVQQMSLDGDIGNGVLPNQACSSSDGGNA >KGN61667 pep chromosome:ASM407v2:2:10735003:10736757:1 gene:Csa_2G222100 transcript:KGN61667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQTKNRDLENDQIYPMMMESPQLRWGFIRKVYAIISMQLLLTAAVAAAVVFIRPIPNFFVKTTPGIIAYIGIVIVTFIVLCPLYAYHKHHPWNFILLTLFTIGIAFSVGISCAFTKGEIILEAAGLTSGVVLGLTLYTFWAVKRGQDFNFLGPFLFASVLVLFMFGLIQIFFPLGKLSVMIYSGLSALVFSGYIVYDTDNLIKRMSYDDYIWGAVSLYLDIINLFLALLNLLRVLDD >KGN63068 pep chromosome:ASM407v2:2:20421742:20422106:-1 gene:Csa_2G401280 transcript:KGN63068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRIQNSAGSSDNPDTEPHNVTNKKSRHRRHPIENVERRRRLVPDGSWQIRESIAIKKIIAPACSIDPEESWKSFDGKKCGGDFWYGDWR >KGN61057 pep chromosome:ASM407v2:2:3680188:3683628:-1 gene:Csa_2G036060 transcript:KGN61057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESQVPAASGGLDDLSLKLAIASSFLPSEAYQNQHQQHPPTVSSLSSDSNALRWKRKAKERKQELIRLRENLKQAEGLCIIISSSSLLLSSELIRFVSDDLTLDASHDDLLSQNSSCKCFFFDNLGKLNPTRLQDGTDNRFNDVLRRRFLRQVRYRERRRKSDDAVHRKRVLDFNEDDEVERLQASVDFLVELYDTYSPVGEANFKNWAHQAVDFILASLKDLLPKGRYVELIEGIISSLIMRLIRKMRSPVKDELEDSASDVQFYTQHLIRELGRETYIGQRAIVSVSQRISAAGESLLFMDPFDDNFPSLHEYLFLMIQLIELLISDYLQMWSKDENFDSLLFEEGMISILHAKKALELLESRNGLYILYMDRVTGDLANQICNIPRLREIKPDIVDDLIH >KGN61295 pep chromosome:ASM407v2:2:6322857:6323084:-1 gene:Csa_2G076020 transcript:KGN61295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFLLQISGIRFMWENIIQSIRKVKSGDKGLGCILAHTMGLGKTFQVFVSLSCYRPLFIYMKGGSLISPLYKEDK >KGN60632 pep chromosome:ASM407v2:2:856967:857436:1 gene:Csa_2G005290 transcript:KGN60632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLVMSIGKVFSCFINTTDSSTSCNLYRLEIEDSNNFDQKQPLMPKQTTSTTHDLLGFKDVITHQNETLPLQFNPKFGFFS >KGN62825 pep chromosome:ASM407v2:2:18807815:18815640:-1 gene:Csa_2G374670 transcript:KGN62825 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tocopherol cyclase MEATAWNLPLCGIHHCSFKLVEAQSGKPMFGLELPQSLKSSSSFRLRSGGSSKLELKSRSTSIRVLASSSNSVYESPASSKIEKDDDGGLVCPPGYRPTPANRELRTPHSGYHFDGSTRKFFEGWYFKVSIPQKRQSFCFMYSVENPAFPKKLTAVDIAQHGPRFTGVGAQILGAYDKYICQYSEESQNFWGSRHELSLGNSFATKGGFKPPNKEVDPEEFNKKVVEGFQVTPLWHQGFIRDDGRTNYLETVKTARWEYSSRPVYGWGNVGSKQKSTAGWLAAFPVFEPHWQICMAGGLSTGWIEWEDERFEFVNAPSYSEKNWGGGFPRKWFWVQCNVFEGARGEVALTAGGGLRQLPGLTETFENAALVGVHYDGTFYEFVPWNGTVNWEINTWGYWYIAAENETHKVELEGKTDEPGSPLRAPTSECGLDTACKDTCFGTLKLQIWERRFDGSKGKIVLDVTSNMAALEVGGGPWFNTWKGETTTPEILKRALTTPIDVDQAFNLLPLFKPPGL >KGN62854 pep chromosome:ASM407v2:2:19034805:19039476:1 gene:Csa_2G377900 transcript:KGN62854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVMGLIQPISKRLCFVLRTVHYYLSPWRARFFSVLDFVCFPPSTSTFTFSGELFTAFLFFVADGALPTFFIALVVETGGCSMAWCEGVEETRILIAPDHGVKENVTGCFLSLRHPKSGNPTCFLYVNEMLEEIHWFKQSYSSWFLGDYVAEDGRLYSATPVDPVFIFLPIFEEARMKKGDDLGKFRQLDDIMFINGYPGYLHLLPLAEKFMQVVCEVKEVGSSRFFRLDDSKVLAWLYHKVCQLKRTLCTLDKNYAAREEKETLCDAVSILGEYLKDQPWLKLLCDHLKLNFAEATRKASDSERAPTSIENNFDSISQDKSGSRSGSGAKNTRNGKQAKKPKVETESRNIKDMFSKAAKRKR >KGN62021 pep chromosome:ASM407v2:2:13630538:13631336:1 gene:Csa_2G286540 transcript:KGN62021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGICVSSHSTNVATAKLILVDGTLVEYSYPVKVSYVLHKHPASFICNSDDMDFDDVVYAVDEDDELQLGQLYFALPLERLNQRMQAEEMAALAVKASSALMKGGGGGGGGMEKCGSRGTAVIPVGNWEEEELRKGSRKVGLKKKKGGSRKFRAKLSAIPE >KGN62225 pep chromosome:ASM407v2:2:15186550:15190402:-1 gene:Csa_2G336720 transcript:KGN62225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSSSATPPPSAKPSWVLPYRTQPLTDFYTLGKKLGQGQFGTTFLCTDKQTGFNYACKTIPKRKLLCKEDYEDVWREIQIMHHLSEHPNIVRIKGTYEDPVSVHLVMELCEGGELFDRIVQKGQYSEREAAKLIGVIVSVLESCHSLGVMHRDLKPENFLFQSVDEDAALKATDFGLSVFYKPGETFSDVVGSPYYVAPDVLRKHYGPESDVWSAGVILYILLSGVPPFWAETEIGIFRQILQGRLDFESEPWPGISASAKDLIRKMLDRNPKRRLTAHEVLCHPWIVDDKVAPDKPLDSAVLSRLKQFSAMNKLKKMALRVIAERLSEEEIGGLKELFKMIDTDNSGTITFDELKEGLKRVGSELMESEIKDLMDAADIDNSGTIDYGEFLAATIHLNKLEREENLLSAFSYFDKDGSGFITIDELQLACKEFGLSELHLDDMISEIDEDNDGRIDYGEFAAMMRKGNGGVGRRTMRGPMNLGDALGLSASANNQSIENPT >KGN60918 pep chromosome:ASM407v2:2:2765607:2768478:1 gene:Csa_2G023850 transcript:KGN60918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYNKNIYYLSKEDKTAWSSDEEFAREMLAGVNPVLIARLDEFPPKSTLDTNIYGDQTSKITEEHIKDGLDGLTVNEKIIHMLLMVLRFGQQSKHGRRFLPESGTPDYKELESNPEKAFLRTITSQLQALVGVSVIEILSRHSSDEVYLGQRSNPEWTLDKEALEAFEKFGEKLGEIEKKIAMRNKDPQLKNRVGPVDMPYTLLFPTSSEGLTGRGIPNSISI >KGN62729 pep chromosome:ASM407v2:2:18100835:18102803:1 gene:Csa_2G369800 transcript:KGN62729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPFFPSLSSHQSLTTIPQFRFPTIPIMGSDQKFFTKPRFLCLHGFRTSAAILKKQVGKWPLSVLDQLDLHFLDAPFPAEGKSDVEGIFDPPYFEWFQFSPEFTEYRNFDECLSFIENYMIKHGPFDGFLGFSQGAILSAALPGFQAKGIALTKVPKIKFVIIVSGAKFRSESVAEKAYSTPIGCPSLHFLGEEDFLMPHGKKLLESYIEPTIITHPKGHTIPRLDDKALEVMESFIHRISKILNENEE >KGN62098 pep chromosome:ASM407v2:2:14176448:14178947:1 gene:Csa_2G297220 transcript:KGN62098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKSQVMLNPQALLEDHQEVTPNSQMGFFNFPSNLTFFQLPSIPQTHSPSPSFDPPNFSTSNNNTNNNNNSNNLSETLLSSSILPLKSSISYELAPQHLLSLQTSTPNLWPWGEIGERLLMNGKRSNNNENYNNQLGVSKMKMKKMKGRRKVREPRFSFKTMSDVDVLDDGYKWRKYGQKVVKNTQHPRSYYRCTQDHCRVKKRVERLAEDPRMVITTYEGRHVHSPSHDSEDSEAQTHLNNFFW >KGN62830 pep chromosome:ASM407v2:2:18854566:18855006:-1 gene:Csa_2G375220 transcript:KGN62830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHIYWIARTIDAFPRKRQETKHMDRKRREAQPACRVSNYNYLARSFQAESAIYSSPPVSHDLRDLTVLCALTGGFRQSYRY >KGN61401 pep chromosome:ASM407v2:2:7856289:7865760:1 gene:Csa_2G116250 transcript:KGN61401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKSSFFDSRRSLHVSSWFSLKTRGISARAGGGCLYWCLTKFCAGSGDNEDWKPKEEAEAKTSLKDEDHSQIGTPGFSRVVFCNDPDCLESGMRNYVDNSIRSTKYTPINFLPKSLFEQFRRVANFYFLVAGILAFTPLAPFTAVSAIIPLIAVIIATMIKEGIEDWRRQSQDIEVNNRKVKVHQGNGVFDSTEWKTLRVGDIVKVEKDQYFPADLLLISSCYEDGICYVETMNLDGETNLKVKQALDATAFANEDSNFRDFKATIKCEDPNANLYTFVGSMDFKEQQYPLSPQNLLLRDSKLRNTEYIYGVVVFTGQDSKVIQNSTDPPSKRSKVEKKMDKIIYLLFGILFVLAFIGSIVFGVVTKDDLKNGRSKRWYLKPEDSTIFFDPENAPAAAIFHFLTALMLYNYFIPISLYVSIEIVKVLQSIFINQDIHMYYEEADKPAHARTSNLNEELGQVDTILSDKTGTLTCNSMEFIKCSVAGTAYGSGITETERAMEARNGMPMLNGNGNGNIYKHNEDATDTNPSVKGFNFKDKRIMNGKWVNEPHADVIQKFFRLLATCHTAIPDVDVNTGKVSYEAESPDEAAFVIAAREIGFEFFQRTQTSISIRELDPRSGRKVERSYKLLNVLEFNSARKRMSVIIRDEEGKILLLCKGADSVMFERLAKNASKFEEKTKEHINEYADAGLRTLVLAYRELDEVEYKEFDRKFYEAKNSVSAERESIIDKVTDRIERNLILLGSTAVEDKLQNGVPECIDKLAQAGIKIWVLTGDKMETAINIGFACSLLRQGMKQIIITLDTPEIQALERTGEKDMITKASKDSIVHKITRARSQLTASSGSSEAYALIIDGKSLTYALEDDVKNVFLDLAIGCASVICCRSSPKQKAMVTKLVKLATGKTTLAIGDGANDVGMLQEADIGVGISGAEGMQAVMSSDIAIAQFRYLERLLLVHGHWCYRRLSSMICYFFYKNFTFGFTLFLYEAYTSFSGQPAYNDWFMSLYNVLFSSLPVVALGVFDQDVSARYCLKYPMLYQQGVQNVLFSWVRILGWMFNGLCSALIIFFFCTSGMEHQAFNSEGKTVGRDVLGATMLSCVVWVVNLQMALSVSYFTLIQHIFIWASIFIWYLFLMIYGAFPASISTNAYRVFLEALAPAGSYWLLLIFVVISTLTPFFVYSALQLNFFPMYHEKIQWIRHDGKGQIDDPEFVHMVRQSSLRPTTVGFTARLAAKIRKEKS >KGN62398 pep chromosome:ASM407v2:2:16222336:16228169:1 gene:Csa_2G351790 transcript:KGN62398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEAQYSSATDTPSNKRKYDDQPPPRRPTGFSGPITSPSSDSAPSYNNVPPPMDEIQLAKQRAQEIASRLIISSGGVGAGAGPGVGADVKRPRVENGGGYDSYDKGFSSGPDLKPHMSNSAPSAIPISYGFQGSSKKIEIPNGRVGVIIGKGGETIKYLQLQSGAKIQVTRDMDADPNSTTRMVELMGTPDQIAKAEQLINDVLSEAESGGSGIVSRRLTGPSGSEQFVMKIPNNKVGLVIGKGGETIKSMQARTGARIQVIPLHLPPGDTSTERTLQIDGSSEQIESAKQLVNEVISENRARNSGMSGGYNQQGYQARPPSSWGPPGAPPMQQPNYGYGQQGGYSAPSSQYNISQPPYQGYSQPASGGYASNWDQSTVPPNQPATQGSGYDYYGQQAPQQQQTAGGPGATGDNSGYSYGQPPATTYNQQGYSQDGYGGNYHAPQSGYGQPPTYDQQGYSSTTNYGNVANATQDGLNSYGSQGDSSQTVPPAAPQPSSVSQQGYSANQQPSPNPGSYPPPSQSGYGMTAPSQTGYGNQPAAQAAYGAAYGAPQTQKQPPTNPPAYGQSTQSPSTASGYGQPAGLPSGYSSTQPPASGYTQPDSASQRAPPSSYGTAAAQPGFAPPPYGGAPPTNQSAYGQAPPPYGGSYGAGYPQPHYSSEGNAGGATRGGYDSASAAQAATQSGVAKASPKS >KGN61113 pep chromosome:ASM407v2:2:4183881:4184904:-1 gene:Csa_2G055010 transcript:KGN61113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDNPRFGTNIVLPFQVVPTSGKVVGILQDNIYFQSLASPSPFLVPPTFSLLPPHPTSINPTLATFFGKFSEVHSKGSLKLNSSIDVKKSPIVQFNYYSHPDDLARCVKGVRKLGDVFKTPTMEKIKTQDLKGNKGFMFLGLPLPGNLWNDSAVEEYCKKTVATYWHYHGGCLVGKVVDGNYKVIGTENLRVVDGSTFSISPGTNPMATVMMLGRYVGLKIVQQSSS >KGN60762 pep chromosome:ASM407v2:2:1707754:1708215:1 gene:Csa_2G009495 transcript:KGN60762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAIVSFPFLPISCPCNLTHVSLFFTSNFTAFPTSSSLDITLLAVDDVANLISSFSSLFFSSNNGDRSNPSDRDIMWNAFNVSAGGFDFVKFSSVCSCSSFVIRLFGTDFLNQDEDVIFVIPALVTGFGSSSFVMSRRASGENHDGHLKSPL >KGN61922 pep chromosome:ASM407v2:2:12864191:12865190:-1 gene:Csa_2G270150 transcript:KGN61922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERTVDVQVAKDLLEKGRLCLDVRTVEEYNKGHVENALNVPYVFFTPEGQVKNPDFLAQVTSILKKEDHIVVNCNRGGRGLRACVDLLNAGFEHVNNMGGGYSAWVDSGFAGEKPPEELKIACKFRGSLS >KGN62724 pep chromosome:ASM407v2:2:18070524:18082899:-1 gene:Csa_2G369750 transcript:KGN62724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRLGWLGLCRRQLLSSEGVGPSIDLRLSLGKVPSLRQFFTCARALQAFPSAVGNGPDFATAFPGSRHFIHSTGSCCSIERDYYEILGVQHNASREEIKKAYHALAKKYHPDANKNNPSAKRKFQEIREAYETLQDSEKRSQYDQRHGGESENVGFGAGDAEGFSYTYRTHFSDSFQKIFSEIFEHETGRLPSDIQVDLLLSFAEAAKGCTKDLSFDALVPCDSCYGRGYPVNASKRSCPTCRGLGRVTIPPFTSTCTTCRGSGQIIEESCGQCRGMGVVEGVMKVTVTIPAGVDSGDTIRVPEAGNSGGRDTHPGNLIIKLKVAEDHVFKRDGVDIYVDSNISFTQAILGGKVEVPTLSGRMQIEVPKGVQPGQLLTLRGKGLPKHGFFVDRGDQYVRFRIKFPTEISERQRAILEEFAEEEIKHGIGNSNEASWWQRIVNHVGEPMFVLELSLLILIAVLLTSNG >KGN61106 pep chromosome:ASM407v2:2:4125242:4125796:-1 gene:Csa_2G049950 transcript:KGN61106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMEEVARSHEWRHQVLVLAFPFGSHPRSLLGLVRRLASDAPDVKFSFFNTATSNAALFNDGQPNDNVFPYSVCDGLPKGYVWRWGVPEEPVELFLKAACGSFKEAIAGEVAAVEVGGVVSDAFLWFAGEISAEMEVAWVPVWIAGLRSLVVHLHTDLFRQNLVDSGMCWSILMINFNFSSPFS >KGN61303 pep chromosome:ASM407v2:2:6421673:6422541:1 gene:Csa_2G078070 transcript:KGN61303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKSNGVVKDSTEPAAAHMEPIYSHSPDSQPFELSTDFPNLVENNSSHDTFPSHTPALTFHNILLGPTKSPSPSFNQPSMAMVTFQNGFSNLREGPKHMATPKNQPQTFSDLEAYLTSPSLKKTTLSLIRWKKLFWGKSQRIKSPTYYPTLVPTDLHSPIQQSIPLTKPSPGDIPSSSTANPISLRDMAFILLQHGLCVMAVPSLPLKKAKKPCTDNNKRNKLQRKLQTLQSSICYDKTSSLDNRKGSEAIQSGSSLGMIVT >KGN62247 pep chromosome:ASM407v2:2:15398566:15399271:1 gene:Csa_2G340400 transcript:KGN62247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFPFYAHHTHTALVLELNFNYYSNIIRMMMMNKSLVPTLLVLLLIFSASEEMMGGGAEARMCQSQSHHFHGPCVRDHNCALVCRTEGFSGGECIGFRRRCFCTHRC >KGN60500 pep chromosome:ASM407v2:2:77000:85602:1 gene:Csa_2G00090 transcript:KGN60500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKEKGISAEYLSSTQSTQAKNKIHEDLNSSKPTLRLLYVTPELIATSGFMAKLMKIYSRGLLNLIAIDEAHCISTWGHDFRPSYRKLSSLRSQLPNIPILALTATAVPKVQKDVIISLGLENPLVLKSSFNRPNIYYEVRYKDLLDDPLADLCNQLKSSGDVCAIIYCLERAMCDQLSVYLAKYGISCAAYHAGLKNELRKSVLEDWISSRIQVVVATVAFGMGIDRKDVRVVCHFNIPKSMEAFYQESGRAGRDQLPSKSLLYYGIEDRRRMEFILRNRSSADKKILPSSSSQEKQPEKSLTDFTQMVEYCEGSRCRRKQILESFGEQVPASICSRSCDACKHPNIIAAYLEELTTSAVRRNNSSSRIFVSRSNTDDEDEFSEFWNLKDEASESGEDISNSDDDTEVVKSLTGMKSLKKSGLNEKMALLERAEANYYQNKISVKQNDKHDKNSVSTSLRKASRERLENSLEQAQQRLGSTKIEVERYACFLEHECYEKYGRTGKSFYYSQVASTVRWLWTASSTELTNRLSIADNPSSEKNRELPALPSPSPVLDLAKLAMKNEEFCSSNLVLETSATNVAERKASPAVSLPSIPSFSEFVNGIKEEANQSNKSHGHSLDRDEKDPVKKARLG >KGN63045 pep chromosome:ASM407v2:2:20195386:20196341:1 gene:Csa_2G386140 transcript:KGN63045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYKGIKRRASRCIPRCNHLYAFLPYHRHQKSNLNIFKNFHMSHYDRTIWWDAQRCVHPGMHLNIFFKNEPEKEKPKNKLHKITPIQQNQTKIVNTKIPSDAHSKGGNTSSELPNLSHTTS >KGN61239 pep chromosome:ASM407v2:2:5688599:5701598:-1 gene:Csa_2G073020 transcript:KGN61239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYSQFILAKKGPLGTIWIAAHLERKLRKNQVADTDIGVSVDSILFPDVPIALRLSSHLLLGVVRIYSRKVNYLFDDCSEALLKIKQAFRSTAVDLPPEESTAPYHSITLPETFDLDDFELPDNEIYQGNYVDHHVSSREQITLQDTMEGVVYTTSQFGLDERFGDGDASQIGLDLEEEELFVEKITVKDHDNISDNDPPTPSQSTFLKDKDGDMEEHVETFETVQNPSSTTRQVDECNLSSVQDCDVSLKMEDHGTDLEAVGIENNESRKSDIYGGTTDVLDWSSHNDLDYETTRSMHPEGNGHLSSDPENKDGKLEQLSLPTDEAMEKIKGDALGGPSTGEELNNGVVINNEPEMTFLDHVDAEYNRSQSTLDATAMSPSRSGVTPDMEDLGHKAPSDSMHATASEGGLIGDQLSSNPTDNLVEVLSSEKVAPDKTYQEESPGRPEVIDAESKEFQEPKDTEAQNSFNGEEITSMEKSVLQPCNSHAIEPDRSSLEGESYQATAAVTQNLESSEKAGTEFSEDGQAGFRDSDKPLDCALSNDICTEISNRSPTSDFPAPEKFLSVPEGLTEMHVDNLPLDSSLNKGNLIEDDGGVSGTNLISGKKRSFTESTLTAQSLNSAESVGVHPSKKVTESIPDDDDLLSSILVGRRSSVLKLKPSPPVHETVSLKRPRSALRVGTSKKKVLMDDVMVLHGDTIRQQLTNTEDIRRVRKKAPCTRSEISMIQRQFLEEEIFSESIYSGISKELFSLHAEAFDLSEIRVYEKGTFSASTEAGNDIESAVRPNTTEESATETNPEAVVDKIDLQSQLAEAAVQNETELAQELTLECPDLDVQEQQQVTSTKNAGLEPMGEVEKIDSEAGNVDDVVNSFDIPELELPSLAIEDKYDEPNASFQVDISCFSSEKILESQPGVEDTLTVETGNIGLDTVNTNNCTEIGDNVDDEKSDHNVSLVTSPRENGESNYLSPENCDKPVKLGEIDVDGVKTTDFVCDEKDAASLCLIDGVQVDSHFSSGFDMDFKSTPFNEVVNPEYPEEADLLNIVDTESNILDHPMEDRGDFEDATMANDIEFLNEDDDDEEDEDNMQFAGDPSFLENSGWSSRTRAVARYLQNLFDRDTVHGRKVLHMDSLLVNKTRKEASRMFFETLVLKTKDYLHVEQERPFDNISIKPRINLMKSSF >KGN62661 pep chromosome:ASM407v2:2:17658165:17660067:1 gene:Csa_2G365680 transcript:KGN62661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITNKWRILNVRATYFSITMLLQTLQFADFLFLVSQSQPIDSGRWNLLQEDIGVLPMHMQLLHSDRVVIFDRTDFGASNLPFPDGKCRMDPNDVALKLDCTAHSLEYDIASNSFRPLMVQTDVWCSAGAAMSDGALVQTGGFNDGDRRVRIFKPYPNGSDWEEIPFALAVRRWYPTNQILPDGRQIVIGGRRQFSYEFVPKTNGATKAYSFPFLVETNDPLIENNLYPFVFLNVDGNLFVFANNRSILFDYARNKVLKIFPAIPGGDPRCYPSTGSAVLLPLRNLQAATIEAEVLVCGGAPKGAFDKAVNRIFVGALNTCARIKITDPKPEWVMETMPMGRVMGDMVLLPNGDVLIINGAGSGTAGWDNARDPILNPILYRPNDSPGSRFRLLNPSPIPRLYHSTAVLLRDGRVLVGGSNPNVYYNFTGVPFPTELRLEAFSPPYLDPEFENMRPKILSPSSQTTVRHTQQLAVRFNVTGNNVSLNTVEVTMVAPPFNTHSFSMSQRLLVIGGENVTDLGNATCDVHVSIPGSGTIAPYGYYLLFVVHREIPSNGIWIRIV >KGN61742 pep chromosome:ASM407v2:2:11522864:11527049:1 gene:Csa_2G236630 transcript:KGN61742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSTFSSLSCLLKDESQILKLRKRSVGNVFFKCSMSVKSQNPQPEVRIGVLGASGYTGSEIVRLLANHPHFGITLMTADRKAGQPFSSVFPHLFTQDLPELVTIKDADFSKVDAIFCCLPHGTTQEVIKALPKELKIVDLSADFRLQDPFEYEEWYGQPHRAVDLQKEAVYGLTEILRDEVKGARLVANPGCYPTSIQLPLVPLMKANLIQLGGIIIDSKSGVSGAGRGAKEANLYTEIAEGMYSYGITRHRHVPEIEQGLSEAAKSKVTVSFTPHLMPMIRGMQSTIYVEMAPGITTEDLHQHLKVSYKEEEFVRVLDKGVVPRTHNVRGSNYCHINIFPDRIQGRAIIVSVIDNLVKGASGQALQNLNLMMGFHETTGLLYQPLFP >KGN62134 pep chromosome:ASM407v2:2:14479389:14481947:1 gene:Csa_2G301520 transcript:KGN62134 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription regulator MGVTKEQLESTLTSKLNPQHLEVTDTSGGCGASFVIDIVSEQFEGKRLLERHRLVNAALVEEMKQIHALSIKKALTPQQWKQQQEESEKSKSAA >KGN61392 pep chromosome:ASM407v2:2:7714113:7716310:1 gene:Csa_2G108700 transcript:KGN61392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFRNLARKLDLADSESLIRKAGEFRRLSDLHFNSSLIGVGEVCKAIICLEIAATRLGFLFDRSSAIKLTGMSEKAYTRAFNLLQNGLGFKSRLDIRELAVQFGCVRLVASVHKGLSLYKDRFVASLPASRRANADFSRPVFTAVAFYLCAKKNKLKVDKVKLIELSGTSESEFSCVATSMKDLCYDVFGICSEKKDPRDAKGNRDLLDALPEKRKCEDGGYLSDDCEEFPRSKRCKPTAEQTYNQWKSSVIASNNLTKTKVLGKRTRQTSIHFFKEIKETDDK >KGN62107 pep chromosome:ASM407v2:2:14277900:14279794:1 gene:Csa_2G298290 transcript:KGN62107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSEDVDRASPCAKMLLSILTLCRNPKHGSPKCLSGLAVGFCWLSLAKMSSTDDKERVEMSWVEDSLVEGRNKLKRCDVPKSMNDVGVSECDARNYIKHLISETWKKLNECETENIALSQVIIQMSKNLARMAHCITSRNKRPCIIFNYSPYSN >KGN60971 pep chromosome:ASM407v2:2:3151299:3154929:1 gene:Csa_2G033260 transcript:KGN60971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHFSTMFNGLARSFSFKKGRNSGSLDDGGGRESAKAMLKDAKRKDSILCTSGVLNSEGSDTFASVFSKKGEKGVNQDCCIVWEEFGCQEDMIFCGIFDGHGPWGHFVAKTVRESLPPSLLCHWQQGLAQAFLDPELDSEKKHQRYDIWKHSYLRTCAAIDRELEQHRKIDTFYSGTTALSIVKQGELVVLANVGDSRAVLATTSDDGSVVAVQLTVDFKPNLPQETERIIQCNGRVFCLSDEPGVHRVWLPNEESPGLAMSRAFGDYCIKDFGLISVPEVTHRSITSRDQFIILATDGVWDVVTNQEAVEIVSSTPDRAKASKRLVECAVRAWKRKRRGIAMDDISAICLFFHSSSEQEHHLVSPL >KGN62394 pep chromosome:ASM407v2:2:16207196:16208601:1 gene:Csa_2G351750 transcript:KGN62394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLRRPGRNRNFNRENPDILIMMIAVDQIIRGCEQDKGDGTERKGMGPLKPATKRDLVWGFRSKFLILYRMTEIHQMEAKK >KGN62635 pep chromosome:ASM407v2:2:17488919:17491650:-1 gene:Csa_2G362480 transcript:KGN62635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLAIPCQTNPPISGPASVIKPRPLKFSSKPIKTSIFFTYKLTSKFNDDHLSYLCSNGLLREAITAIDSISKRGSKLSTNTYINLLQTCIDVGSIELGRELHVRMGLVHRVNPFVETKLVSMYAKCGCLKDARKVFDGMQERNLYTWSAMIGAYSREQRWKEVVELFFLMMGDGVLPDAFLFPKILQACGNCEDLETVKLIHSLVIRCGLSCYMRLSNSILTAFVKCGKLSLARKFFGNMDERDGVSWNVMIAGYCQKGNGDEARRLLDTMSNQGFKPGLVTYNIMIASYSQLGDCDLVIDLKKKMESVGLAPDVYTWTSMISGFSQSSRISQALDFFKKMILAGVEPNTITIASATSACASLKSLQNGLEIHCFAIKMGIARETLVGNSLIDMYSKCGKLEAARHVFDTILEKDVYTWNSMIGGYCQAGYGGKAYELFMRLRESTVMPNVVTWNAMISGCIQNGDEDQAMDLFQIMEKDGGVKRNTASWNSLIAGYHQLGEKNKALAIFRQMQSLNFSPNSVTILSILPACANVMAEKKIKEIHGCVLRRNLESELAVANSLVDTYAKSGNIKYSRTVFNGMSSKDIITWNSIIAGYILHGCSDSAFQLFDQMRNLGIRPNRGTLASIIHAYGIAGMVDKGRHVFSSITEEHQILPTLDHYLAMVDLYGRSGRLADAIEFIEDMPIEPDVSIWTSLLTACRFHGNLNLAVLAAKRLHELEPDNHVIYRLLVQAYALYGKFEQTLKVRKLGKESAMKKCTAQCWVEVRNKVHLFVTGDQSKLDVLNTWIKSIEGKVKKFNNHHQLSIEEEEKEEKIGGFHCEKFAFAFGLIGSSHTRKSIKIVKNLRMCVDCHQMAKYISAAYECEIYLSDSKCLHHFKNGHCSCGDYW >KGN61452 pep chromosome:ASM407v2:2:8340750:8341123:-1 gene:Csa_2G128620 transcript:KGN61452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSQTFSKGGKRNVDVRVFGDAQVAKTKFQKLPNVVGLPMVADSLSQNVASPEKEKRRRAGKGMKAWEELVRGENAGEGRERD >KGN62405 pep chromosome:ASM407v2:2:16261592:16261988:-1 gene:Csa_2G351860 transcript:KGN62405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFSLIFRLFPSCLSSSPVSDVGKEIPVKKLAAPAETKEAPIEVGNSHVNSYHSHLYWR >KGN62745 pep chromosome:ASM407v2:2:18256333:18259680:1 gene:Csa_2G370440 transcript:KGN62745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVPEEKFSGLDPAFAGYDNESGKGSNKMSMEKKKEIIHEIAQKSKAATEILRSFTRRELLEIICAEMGKERKYTGYTKSQMIEHLLKLVSQKSENSSSPTLAFVRDKTQTSHKRPRKADQSSVVLLSSNNNASFETDEEFSEVKVCQNVACKAPLNPEFAFCKRCSCCICHCYDDNKDPSLWLTCCSDSSNENGSCGMSCHLECALKHERSGIVKNSLCEKLDGSFYCISCGKINGLMGSWRRQLLNAKEARRVDVLCLRLSLCHKILIGTNLYRELHKTVELAVNMLTNEMGPLDEVCLRTARGIVNRLSCGAEVQKLCASAVEDFDSMCRVPYRDCMQKRETLNCKILFEDSSPTSVMVVLQYDDHLVKDFLGCRLWHRKANAKDYPDQPSFIALKPEKKFKINDLFPSTEYYCKVSLFSSIQVFGVWEAKWVTPKLSTPYPGLGKHRSGEIRTIDLLPSRVDSKGNLTNLHPWNGLNKSKWESHYKNPSPKNSITPMKPISVCPSTPCKTSETRILLGSNCKRRTEESDYDYSVRIVKWLEHDEHIDEDFRVKFLTWFSLKASVRDRRVVSAFIDALIDDPPSLAGQLSHTFMDEIFCNQKPTSKHEYCNWI >KGN61904 pep chromosome:ASM407v2:2:12744511:12744890:-1 gene:Csa_2G263990 transcript:KGN61904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALSRRSTPSMSNAMPNVGRGRDRDEDVGSGNNGNDEKKKMEWFGVGLQEKGGGVVREMKSERLGLKL >KGN62415 pep chromosome:ASM407v2:2:16323852:16324304:1 gene:Csa_2G352940 transcript:KGN62415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPCCDKANVKKGPWSPEEDAKLKSYIEKHGTGGNWIALPQKIGLKRCGKSCRLRWLNYLRPNIKHGGFSEEEDRIICSLYVSIGSR >KGN61019 pep chromosome:ASM407v2:2:3437769:3439886:-1 gene:Csa_2G034720 transcript:KGN61019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIYSLYIINKSGGLIFYKDYGSAGRMDTNDSLRVASLWHSMHAISQQLSPIAGCSGVELLEADTFDLHCFQSLTGTKFFVVCEPGTQHMESLLKYIYELYTDFVLKNPFYEMEMPIRCELFDINLAQAVQKDRVALLGR >KGN61117 pep chromosome:ASM407v2:2:4206906:4209419:-1 gene:Csa_2G055050 transcript:KGN61117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNSMANQILLFTLISIFQLGLIASHTIPNQDTSYMKFVYEASELQESEEYDYIIIGGGTAGCPLAATLSSKFSVLLLERGNDPNKYPSVLNEQGLLNAFVAEDDGQNPFQHFISEDGVENLRGRVLGGGSMINAGFYSRGHRDFFETAGVDWDRELVEKAYEWVEETVVSKPSLSPWQAAFRSALLEGGVGHDKGFDLRHLVGTKTGGSIFDNKGNRHGAVELLNKGEPKNLKVATQATVQRIIFTGLSASGVSYSDSKGKLHTAFIRKKGEIILSAGAIGSPQLLLLSGVGPKSYLSSLRLPVVLDQPHVGEFMSDNPRFSPTIVLPFQLVSSSAQVVGTLDHNIHLQSFASPLPFFAPPSFSLLPPQFTSIVPSLAIFVGKFSDVHSEGSLRLNSSIDVKESPIVRFNYYSHPDDLARCVRGVRKVGDLLKTPTMEKIKTQDLEGNKRFQFLGLSLPENLLNDTAVEEYCQKTVTTYWHYHGGCLVGKVVDDNHKVIGIDNLRVVDGSTFSVSPGTNPMATLMMLGRYVGLKLLQQRSS >KGN62109 pep chromosome:ASM407v2:2:14289806:14301201:1 gene:Csa_2G298310 transcript:KGN62109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSMALLHLPLSSSFSFHGAGLPSTNYKPSFTMLKYVVIERGMCIKASIQSGDVIVRQCANYSPPLWKDDFIQSLHSKFKGEVYRRRFSQLKGQVQMLLKEERDSLEQLELIDALQKLGISYHFESEIKKILERISNKFLKKDKEKNSFYATSLQFRLLRQHQFDISEGVFNAFKDEMGNFKTCFCEDINGMLSLYEASFLSTKRETVLEEAKCFTVKYLNEFIKSSKDELKVEIVEHALKLPLHWRIERLEARWSIDIYERIGTLYPILLEIAKLDFNMVQSIYQEDLKYASSWWRDTELGEKMSFARDQLMENFYWTVGIGFEPELSYFRRMGTKIVALITMIDDVYDVYGTLDELKLFTNAIESFNLQEASGGACTAASLTIATFQVATPLFSREPTAFDDFSDLVSPTQAMPIGELRFSSQSPTAVVDSTMNNDETWLWGQIKAEARQDAESEPALASYLYSTILSHSSLERSLSFHLGNKLCSSTLLSTLLYDLFLNAFSTDYGLRSAAVADLRAARERDPACVSYSHCLLNYKGFLACQAHRVAHKLWNQSRRPLALALQSRIADVFAVDIHPAARIGKGILFDHATGVVVGETAVIGNNVSILHHVTLGGTGKMCGDRHPKIGDGVLIGAGATILGNVKIGEGAKIGAGSVVLIDVPPRTTAVGNPARLVGGKEKPSQLEDIPGESMDHTSFISEWSDYII >KGN63379 pep chromosome:ASM407v2:2:22782592:22799869:-1 gene:Csa_2G435430 transcript:KGN63379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIDCLVLGAGQEVGKSCVVVTINGKRIMFDCGMHLGYVDHRRYPDFSRISASHDYNNVLSCIIITHFHLDHIGALPYFTEVCGYNGPIYMTYPTMALAPITLEDYRKVMVDRRGEAEQFTNDHIMECLKKVVPVDLKQTIQVDEDLQIRAYYAGHVLGAAMFYAKVGDAAMVYTGDYNMTPDRHLGAAQIDRMQLDLLITESTYATTIRDSKYAREREFLKAVHNCLASGGKVLIPTFALGRAQELCVLLDDYWERMNLKFPIYVSAGLTVQANMYYKMLISWTSQKVKETYTTRNAFDFKNVQKFDRSMIDAPGPCVLFATPGMISSGFSLEVFKRWAPSKLNLITLPGYCVAGTVGHKLMSGKPTKIDLDKVTQIDVQCQVHQLAFSPHTDSKGIMDLVKFLSPKHVILVHGEKPKMAVLKERIHSELGIPCHDPANNETVSISSTLSVKAEASSMFIQSCSTPNFKFLKRNLIDPDLKDLSYKAERTSNMLIRECSNPHFKHLKRNLDAKFDSSLSCGPALQVSDDRVNEGILVMENGKKTKALHQDELLLLLGQQEHEVRFAHCRPIYFGSLDEIHVMDSLSRKSLWLSQLSFKLSTELSDRNVQNLGEYLQVESITLSICSKENCPYRTIDRIKNESTAMVFCCCSWLVADEILAWKIISILEKHDLGST >KGN61518 pep chromosome:ASM407v2:2:9076963:9078774:1 gene:Csa_2G151580 transcript:KGN61518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGIVGSGAMVTVMAWCVRMRGPLYVSVFSPLMLLIVAIAGSLFLDEKLHLGSVVGAMLIVCGLYMVLWGKSKEMNKCLQLTPSESIGQLELKDIAVTTPNPLNETHIQDINANKSIIN >KGN61562 pep chromosome:ASM407v2:2:9677947:9679595:-1 gene:Csa_2G171840 transcript:KGN61562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFYSKPFFFFFFFFFFSFFLFVACLVESGSDYTTLVYKGCAKQGFSDPNGVYSQALAALFGSLVSQSAKGSKFFKTTSGTTQTAITGLFQCRGDLSNSDCYNCVSKLPQLADSLCGRTIAARVKLSGCYLLYEFPGFVQISGFEMLYKTCGATNIAGSGFEERRDTGLSVLENGVVSGHGFYTTNYQSLYVLAQCEGDLGDSDCGECVKHAVQKAQVECGSSISGQVYLHKCFISYSYYPNGVPKRSSSPSTSSSSYSSSPSGMGQNTGKTVAVILGGAAGVGFLVICLLFIRNLKKKHDDY >KGN61741 pep chromosome:ASM407v2:2:11512062:11517065:1 gene:Csa_2G236620 transcript:KGN61741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVPIMHTSDRYELVRDIGSGNFGVARLMRDKHTDELVAVKYIERGDMIDENVQREIINHRSLRHPNIVKFKEVVLTPTHIAIVMEYASGGELFERICNAGRFSEDEARFFFQQLISGVSYCHAMEVCHRDLKLENTLLDGSPAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLKKEYDGKIADVWSCGVTLYVMLVGAYPFEDPEDPKNFRKTIQRILNVQYSIPDYVHISPDCCHLMSRIFVADPAKRISILEIRKQEWFSKNLPTELVEDEMTNRNINNMVEEEAIDEPSQSIEEIMQIIEEASVMEARFLQSPNGQYLTGCLDIEEDMEEDLETDAELDLESSGEIVYALT >KGN61003 pep chromosome:ASM407v2:2:3335281:3338879:-1 gene:Csa_2G034560 transcript:KGN61003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEFYRLNNPVITSYSNGVVGSEAIASTSCGEIHEALMVDDDMLQLEAEVTTGLNMSDMIKTQIVNHPLYPKLVSAYIECQKVGAPPQVASLLEEIGRENHPSRSSIELGADPQLDNFMESYCEVLHQYKNELSKPFDEATMFLTNIELELSNLCKGSFTMMSDSRSAMNDEVSGTPEEEPSSYEEVEMPRNHEPFCIRKTNQDLKEMLLKKYSGYLSSLKKEFLKKRKKEKLPKDARMALLDWWNTHYKWPYPTEEEKSKLSVTTGLDQKQINNWFINQRKRHWKPPEDMRFVLMDGVGAGECMKGSNFYDNGETGSHVI >KGN62662 pep chromosome:ASM407v2:2:17663139:17666520:1 gene:Csa_2G365690 transcript:KGN62662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSKGYVWAISAGLNAAFAAIAAKLFSYTLIRYVLVIAFNLAMWGCYVNSLKALSSLQATGTNFSANFLCSGLAGFFLFEEALSFRWFVGALLIVIGVLILNKSSIEKKESKD >KGN61968 pep chromosome:ASM407v2:2:13236293:13236550:-1 gene:Csa_2G277100 transcript:KGN61968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGEKSFIRNPLNKNPLALPLALALPLALALALGGLGSWGFGETIDHTHTEGTTPFP >KGN61262 pep chromosome:ASM407v2:2:5920900:5923231:1 gene:Csa_2G074230 transcript:KGN61262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWMNLSSSCFPSPAFLKLSHSISQGTMTHKIISFNLSEHHLFKSFSYHTSNHFSSNTLHAKMVKIGSIFVSGKFVLTSYVKSEKLNDAQKLFDEMPNRDVLTWTALISGFSRVNSSGMALQLFREMLVEGVSPNHFTLSTVLKLCSKVGDVRMGKGIHGWILRNGVKLDVVLENSMLDLYAKFDEFVYARKLYDSMREKSTDTDNIILGVYVRSCDVNKSLHLFRNLPCRNAASWNTIICGLMQGGYLNAALELLYEMVENESEFNNFTSSIALSVVSSLLILELGRQVHGRIVRCGLHNDGFVKSALINMYIKCGNLEKASVIYSRLPSGFATKQSSNIVCSDTMTEIVSRSSMVYGYVRNGKYEDAFKTFVSMVRERVLMDKFTIANVVSACSNAGVLELGRQVHGFIHKTVEQLDAHLASSLIDMYAKGGSLDCAHRIFDQMTNYLNVVIWTSMIVGCALHGHGKEAIRLFEQMRYEGIIPNEVTFIGVLTACSHAGLLEDGHLYFNMMKDVYAIKPKVEHYTCMVDLYGRAGLLNEVKEFIYENDLSHLSAVWKAFLSSCRLYRDLEMGKWVSEKLFRLKPQDEGSYVLLSNMCSGSQKWEEASRARRSMQHSGINKTPGQSWIHLKNQVHSFVAGDQSHPQHAQIYEYLDKLIGRLKEIGYLHDVKLVMQDVEEEQGEVLLGWHSEKLAVAYGIISLGSAIPIRIMKNLRICTDCHNFMKLTSQLLGREIIVRDIYRFHHFNSGHCSCGDYW >KGN61286 pep chromosome:ASM407v2:2:6223282:6230704:1 gene:Csa_2G075450 transcript:KGN61286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVEVSQPNGVVSNGDLDLNSNPKSGAVKKSRENERRRRRRKQKKNQKASKVKEAAGGEDSDASGDDTKENDDPLQVVEKVEIEYIPEKAELDDSLDEDFRKVFEKFSFSEVAGAEENEDKDESAQNATSKKSDSDSDDEEHDNQQKEKGLSNKKKKLQRRMKIAELKQICSRPDVVEIWDATAADPKLLVYLKSYRNTVPVPRHWCQKRKFLQGKRGIEKQPFQLPDFIAATGIEKIRQAYIEKEDSKKLKQKQRERMQPKMGKMDIDYQVLHDAFFKYQTKPKLTTLGDLYYEGKEFEVKLREMKPGMLSQELKEALGMPEGAPPPWLINMQRYGPPPSYPDLKIPGLNAPIPPGASFGYHPGGWGKPPVDEYGRPLYGDVFGVQQQEQANYEEEPVDKTKHWGDLEEEEEEEVEEEDEEELEEEEMEDGIESVDSQSSTPTGVETPDVIDLRKQQRKEPDRPLYQVLEEKEERVAPGTLLGTSHTYVISGGTQDKTGAKRVDLLRGQKSDKVDVTLRPEELEAMENVLPAKYEEAREEEKLRSQREDFSDMVAENEKKRKRKMQEKEGKSKKKDFKF >KGN63394 pep chromosome:ASM407v2:2:22868397:22868810:1 gene:Csa_2G437055 transcript:KGN63394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLESTNYVGFKRFFHHHGELYLLRQINILSFYPLPFKFPYQIPNEILQMKNCQTKSRTYSPPCSKRHHLSLFAPCYIKLNNSFLSCTAFHEPLWLKLHRIFPHFWIPTHFCHHEIHTPILRYHIILQLCIFFHSVW >KGN63209 pep chromosome:ASM407v2:2:21321744:21322577:-1 gene:Csa_2G415510 transcript:KGN63209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEISSSSGGGGSLESTPTWAISTFVFFFFFLAFIIETSLHHLAQFLTRKRIKSFEKGLRKIKTEMMKMGFMSLLLEFLESSIPNICVSKRVAKSFLPCKDIAMEYLSMEPVVFTLKNQSLGFDSPTNLPLLNSEEIDHCDSKGLPRYHFNT >KGN62523 pep chromosome:ASM407v2:2:16926789:16931559:1 gene:Csa_2G359920 transcript:KGN62523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGRKHWVSSFVGFVFLLVLLNQLVEASAISSSCRHIAIGVVTDQSSRMGRQQKIAIEMAFQTFHFSTSFPKLELFNNDSNGNSARAITSALDLIGNKEVSTILGAFTLQEMQLMSEINKNFIDISIISLPIAASLPPHNNNNPLPLPSFIRMAHNITFHIQYTAAIVAHFQWHKVTLIYDNTDDMSFNMEALTLLSNQLGDFNIEIDQISSFSSSYSESMIEEKLKSLVGRERNQVFILVQFSIELAKLLFHKANKMNMMENGFVWIVGDEISSHLDSLDSSTFNDMQGVIGFRTYFDHNKNSFKKFRSKFHRKYVLEYHENEEEEMKNTEPTIFALRAYDAGWAVALAMHKLQANFSNKQLLKEILRSKFEGLSGKIGFKNGVLMEPPTFEIIYVVETGVVKGRTINIDNSNSGGMGRTLRIGIPANNTFREFVKVSYDHINAIYISGFSISVFEAVVKNLPYSLPYQLIPINGSYDGLVKQVYTRGLDAAVGDIGIFADRFKYVDFTEPYMMGGLDPKDGELSGVSEMIWFAVTVIFFAHMDAGKEVKGNLARLVLGTWLFVILVVTSSFTASLTSMMTVSRFAPSVVDVETLRQMNATVGCNYHSFIPRYLNDTLKIPQRHGSLALHLVFGNNRKFCYMLQAFRKGSSLAVDVSTSIVELIERREMPQLETMLLSTFNCSSGSQVDGSTSLGPWPFAGLFIISASVAAGSLLYFCICGPNHDNKDNNAAAGEVHNGNNNAAGQEPMANGNNNAAGQFQPRANRND >KGN60495 pep chromosome:ASM407v2:2:40267:53413:-1 gene:Csa_2G000040 transcript:KGN60495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVYESLQKLLQRYHWIVPGYITGGESRSKEKARLRKGISILVATPGRLLDHLKNTSSFLYSNLHWIVFDEADRIFELGCGKEVEEILDHLGSRKNIHVNKDNAISSFGFPQQNLLFSATLNEKVTHFAKISLENPIMIGLDAGNSALEFQPTERGRFLEHDINDEVHPIQKENNFSIADYKIPSQLVQSYVQAPCGSRLTVLFSVLKYLFERESFEKILVLFSTCDAVDFHYSLFGGFKFSSESESRPEHLFLKCKIFRLHGSMKPEDRRTTFQAFKTEKLALLLSTDIAARGLDFPKVRCIIQYDPPGEAIEYVHRVGRTARLGERGDSLLFLQPTETDYLQDLQNHGVSLTEYPLVKVLDSFPVRGRKQFVEKLVSLESHSWIMFLQRAVESFIAAEPGMMKLAQKAFCSWVRAYTAHRGALKRIFVVKKLHLGHVAKSFALKQQPSVVGKSFKKQVKKRKRDSKQDVSSSKKRTL >KGN62030 pep chromosome:ASM407v2:2:13714997:13715347:-1 gene:Csa_2G287120 transcript:KGN62030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYSSNGFAIGKVTSKQQKWAEADAMFLRKLSLNKNENEKEKKMKKDETLGYSSSVFGFEKYRSNDIINYSRQRYLRSYKFNDDHKEDDVDQVQKTSPFEKVINFFKLKSIAMPIK >KGN63331 pep chromosome:ASM407v2:2:22372323:22374706:1 gene:Csa_2G429040 transcript:KGN63331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METMQTIDFSFQVRKCQPELIAPANPTPYEFKQLSDVDDQQILRFQVPLVNIYHHNPSLEGRDPVKVIKEAIGKALVFYYPLAGRLREGPGRKLFVECTGEGILFVEADADVSLEQFRDTLPYSLSSMEINIIHNALNSDGVLNSPLLLIQVTRLKCGGFIFGLHLNHSVADGFGIVQFIKATAEIARGAFAPSILPVWQRALLTARDPPRITFRHYEYDQVVDTKSTLIPVNNMIDQVFFFSQLQISTLRKTLPAHLHDCSSFEVFAAYVWRLRTIALQFKPEEEVRFLCVVNIRSKIDIPLGYYGNAIVFPAVITTVAKLCGNPLGYAIDLIRKAKAKATTEYIKSMVDIMVIKGRPCLTTVGSFIMSDVTRIGFENVDFGWEKAIFGGPITGGSGIIRGMTSFCIPFMNRNGEKGTVITLCLPPPAMERFREMFMPHCK >KGN61203 pep chromosome:ASM407v2:2:5340525:5341747:-1 gene:Csa_2G070210 transcript:KGN61203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSKLPPGPLSIPIFGNWLQVGNDLNHRLLASLCNRFGSIFLLKLGSKNLVVVSDAELANQVLHAQGVEFGSRPRNVVFDIFTGNGQDMVFTVYGDHWRKMRRIMTLPFFTNKVVHNYSGMWEDEMDFVYVVDMIDLIKFYRKIMAANGDKHKITCAMDHIIDAQVKGEISEENVIYIVENINVAAIETTLWSMEWAIAELVNHPEIQHKIRRSCPGIVLAMPILGLIVAKLVSKFEMKPPSEMNKIDVTEKGGQFSLHIANHSTVVFNPITI >KGN61610 pep chromosome:ASM407v2:2:10149832:10150212:-1 gene:Csa_2G177220 transcript:KGN61610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEGKSSNDQGGEVRYRGVRRRPWGKFAAEIRDSSRHGARIWLGTFNTAEEAARAYDRAAYMMRGHLAVLNFPNEYPSLGGSSSASSSSSSAAAPRVRGQVIELECLDDKVLEELLGYEEERTKK >KGN61253 pep chromosome:ASM407v2:2:5828981:5834123:1 gene:Csa_2G074150 transcript:KGN61253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVFLYHVVGDLTVGKPEMTEFYETETIETAIRVIGESTECGVPIWKRKTHVGIIENAEMKQQRFVGILSSLDIVAFLARSENLEDQERAMKAPVSEAVVPNYSLLRQVDPATRLIDALEMMKQGVRRLLIRKSVVWKGMSKRFSILYNGKWLKNIDTPGNSSNNLNLNPNRPSSSSTSTSHDKFCCLSREDVIRFLIGCLGALAPLPLSSISTLEAINPNYCSIDASTPAIDISHKLPDDPVAVAVVENIHDNQYRIIGEISASKLWKCNYLAAAWALANLSAGQFVMGVEDNMTSRMVPDLSTNGNVDENDSANGGGATRARKFSSRSIGFNPLSRAFRINRSMYRGRSAPLTCKVTSSLAAVMAQMLSHRASHVWVTEDENDDILVGVVGYADILAAVTKQPTSFIPANRSFETLGNSIQS >KGN61973 pep chromosome:ASM407v2:2:13267022:13268588:1 gene:Csa_2G277640 transcript:KGN61973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLLEQSYSKHNMKMAMLNHEQTFRHQVYELHRLYRIQKVLMKNIREKNRGKTEEEEEEEGEEEGDFIEESDIELTLGPSNYNNQTGGRTRTRTRRMKKFGEGNSDSGMSFSASSSSTNGSVQKIKQFYRDNGEFVNGSQMGFLGFLDVQDDIKVSHHHPNPWLYQTVSLNLT >KGN62408 pep chromosome:ASM407v2:2:16272150:16272452:1 gene:Csa_2G352380 transcript:KGN62408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTNNNNNNNNNLPGIQIQSDRIEVELQSDGNGWRKKAKGYESLKRVRRNDERGEIDKRTRESGRKRRKKKGSQEERKGAKVRRSGSS >KGN60858 pep chromosome:ASM407v2:2:2443261:2443631:1 gene:Csa_2G015340 transcript:KGN60858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKIRMRRPKLLVEESIEEIEVVMCRKMFYFKGERDAAFNGELQTAFHGAFTSAFPHTDDELRTAVTQLTALSSVDSVCGSGAPAAH >KGN61949 pep chromosome:ASM407v2:2:13071182:13074933:1 gene:Csa_2G271410 transcript:KGN61949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILIGSTLGIGSSISYKPHFSNSLRTGFYIKAYSKHLCFNGGEDGKKKRVVVVGSGWAGLAAANHLCNQGFDTTVLEPSNNFGGADIHGIRGYWRPYKNIFGLVDELGIAPFTNWTAPAHYSVDGLEVKFPLFQDLPQLPTPLGTLIYPEFPQLPLVDRLTSLPLMAAVIDFDNTDTAWRKYDSITARELFRQFGCSEKLYSSILNPLLQVGLYAPAEQCSAAATLGILYYTILAHQNDFNLVWCRGTAKEMIFQPWIDLLESKGCRFVGSRKITDVTVDDETNCLSDILCGRERYEADAIVFAVGISVLQELIRNSAALYTKEEFVKVLNLRSVDLLTVKLWFDRKVNIPTASNSCSTLDNSFGWSFFNLNAIQDEYKDESVTVLQADFYHAIELLPLNDEAIVEKVKSYLSTCIKDFENAIVVKKEIGRFPESLTHFFPGSYKYMMRGFTSFPNVFMAGDWIINRHGSWSQEKSYVTGLEAANRVVDFLEEGSFAKIVPVEEDEPHVEALRGLNRRIDEIRSQLPFNGFFL >KGN63391 pep chromosome:ASM407v2:2:22843936:22845495:1 gene:Csa_2G435540 transcript:KGN63391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEICFILLISLAISIFLHSIFNHFRSSTKLPPGPFFSFSILTELLWLRTSSLHIESLLRSLIPKYGPVLTLPIGLRPVIFIADHSVAHNALVLNGALFSDRPPALPVSNVVSSNQHDISSASYGPLWRLLRRNLTSQILHPSRLKSYAPARKRVLDILLNRLQSDSQSGTPVSATDHFRYAILCLLVFMCFGDKLDESQIDRIKKVERVIKLNYGRYNTLNLFPKLTKILLRKRWEEFLQLRRNQEEVIIPFIEARRKIQQNKENRDENKEEIVVSYVDTLLELELPDEKRKLTDTEMVTIASEFINGGSDTTSTALQWIMANLVKYPEIQNKLLVEMKGVMGDGSEEEVKEEDLGKLPYLKAVILEGLRRHPPAHFLLPHAVKEETKLGNYVIPKNGTTNYMVAEMGRDPKVWEDPMAFKPERFMKGGEEGIEFDITGSKEIKMMPFGAGRRMCPGFGLAILHLEYFVANLVWRFEWKAVDGDEVDLSEKVELTIVMKKPLQANLRPRF >KGN61472 pep chromosome:ASM407v2:2:8562208:8567157:1 gene:Csa_2G138760 transcript:KGN61472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEEERTEAGWGSRKELTMASTSFAGGKQLPVIEVASNCVPYPPPQTTYEDIIANSKIFMTALEKLHSLMGTKFMIPIIGGKELDLHRLFVEVTSRGGIEKVIRERRWKEVTSVFNFPSTATNASFVLRKYYISLLHHFEQIYFFKAVGWTPVTSDSSPCPSASAIPTQGVTSMLPPSDNQAASHQPQSTATELPAVSPSSTSPVGGFPVIGVIDGKFDSGYLVTVTVGTEKLKGVLYQAPEQPSQPQVLQPVGAFAKDGSTPTTPNAHRRRRRKKSEIKRRDPAHPKPNRSGYNFFFAEQHARLKPLYPGKDREISRMIGDLWNKLKESERTVYQEKAMKDKERYRIEMVDYREKLRTGQIISDAVPLQQRLPEPDLNMVYGDKNEETEGGESQTPDHDTSYVEGDSGEYKTEEKEEDEEDDEEDEEDDSEEDASPQGIGNVDVNILVEEEQCETRTTGVENVRKESSTVGDKKEVLAGDSVMVEVEAKEVPEPTTAEPTTAAAIRED >KGN61779 pep chromosome:ASM407v2:2:11826719:11829853:-1 gene:Csa_2G245430 transcript:KGN61779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALLELSDLIAQNPSAFYEKLSWICSRCPPSDALLSDSPRVSRSQLNAVLAIARFLSKSADSVEPRPKAVVLEFIRSIPSSFNQSFWPPTYGNDSITSFYTDFLGYVSKATEITPDFSAEVAVYTGEVVASAISSGGGDSGISRVFLMVFSKNFLPIMPSDANKLVDMVIERYLMTGSCAPREHLQGNSDSSAPHSTDGSIPQNGGSHDSGSRVGDDNISSWKSSATSYGSGILWKSSADQLGPMFGFNDGGGGGEILLKQQVSGFEEESLESLEKQAIAFELLAHILDKARIDSWLWDQVRSIAKKQLQSLLIFVKSLGSLDSEGKVAKKLVFETFALLMDAAEACILSIWRKLRVCEELFSCLLAVLGKIAVAWKGQPLRVLLIRLKPLVLTVCAQTDPYDSSPNSMFETVSKTMCGIIEACWTKERAPVDTFIMGLTASIRGRNDYEEQENKEKGVPPLQLNGIRLLANLNVAVKKSEIVDMILPLFIESLEEGDASSPCLLRLQFLDAISRMASLGFEKSYRETVVLMTRSYLSKLSNAASPPPEGSAPAPEATTERLETLPAGFLLVAKGLASSKLRSDYRYRLLSLCSDVGLAADSRNARSGADFLGPLLPAVAEICSDFSPTGDVEPSLLKLFRNLWFYVALFGLAPPIQNSSVPSKAISTTVSIAGSVGTTAIQAVSGPYMWDKQWSSAVQLISQGTPPLVS >KGN61120 pep chromosome:ASM407v2:2:4240255:4240595:1 gene:Csa_2G055570 transcript:KGN61120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNGSSRRDLLLNSQNFCRSIPAGAENPSLSRLCYRRLWGSRNRRALILGWAYYLDAFSSYPLRTWLPSVYRGHDNWLKIAIENVS >KGN60597 pep chromosome:ASM407v2:2:616486:620117:-1 gene:Csa_2G002520 transcript:KGN60597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLKLQPWRLEQRETAMEISAICNWEVGDKQGLSSHNFINITNLRDQHYSSILIVLFTLYQFSSDLRFPLPHDFSKNSFRFDFTSPATLPQGGAAALAI >KGN62955 pep chromosome:ASM407v2:2:19623288:19625382:1 gene:Csa_2G381800 transcript:KGN62955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKFQVKLDNFKLYGYNKENERIAIEIKWKGPQRHSLLSVPFYAKSPLQINRTTAQLVLNNHHQWNHEFHSICEFELPHHDHPSSIPFWDTKFYVLLEEYTKSKTKTSVLGKASLNLAEMLLAMETKMERNVPITLKDSAGAAPHHAMISVCVNFVEIRDGSDMIHQQDKEGFLKALKGLTSFKKKNREKGKVISSDGENRGLGDPTIGEEDGDQKLGKLLSKKRRLSFSFRHSKGKVEPWLEKTNTAVNDGVTVDQPEHDNDPSVLKVVPISTSQMDKAETTAFSLETDGQNKETSGGKWETREIISRDGKTKLKTEVFFGSFDQRSEKAGGESACTAIVAVITHWLHSNYGTMPTQPELDSLIIEGSSEWQKLCNNACYSNYFPNKHFDLETIVQADVRPIAVSAENSFVGFFSPEKFNCLAEAMSFEQIWNEVNAKTYSTYESRIYIVSWNDHFFVMKMEEDACYIIDSLGERLFEGCNQAYILKFDKSSLMFKNQEKGEPGELVCRGKECCREFFERFLAAITIEELEEEQKKLSDSNFIPHQRLQIDFHFSSPVASSPSNSPCSLFSDRSPA >KGN62603 pep chromosome:ASM407v2:2:17310617:17311155:1 gene:Csa_2G361685 transcript:KGN62603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVVAEVNQKPAPNSSRSKVFHYIRTCFARSITSMNSNKCGALELKYQPSSRRMTCDYLLLKNVRAPSIYGIEPEGTNNKNQCRNLFRVVASIFFLASHEGFSSMPLRLVLSHGSKVLFQLPFDTPSVGGNPVFSLKSSMDFKYTVVQQRIWKL >KGN63307 pep chromosome:ASM407v2:2:22213278:22213944:1 gene:Csa_2G427320 transcript:KGN63307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELVNNITEEDHLALASHRASLLFLNNKNFIEILAKDLRVIQRPFQNPKQFPSFLLVLFGWITIHPAKSPSLRRYPSRNHGVIGYAFLLFFLCVAVLGFMLYVMVHLFNLIMINT >KGN61974 pep chromosome:ASM407v2:2:13270158:13270555:-1 gene:Csa_2G277650 transcript:KGN61974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGSPTGVEVPAVPAKLNIYSAETAAAAAHPVSDELSILRRENQDLKLELVKMKMKMREFEKPSISSPQTNTQLQPNAEKPPLPKKSFINSVSKRLGRLYPFVRADGVTSKGRVRPAKDRRHSIS >KGN62307 pep chromosome:ASM407v2:2:15770430:15774065:1 gene:Csa_2G348930 transcript:KGN62307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRGWRWEAALRPFALSHTRTVSSVLGVDEDAMSSDDELNCGERELQDGQMRLEDKGLKDMLLSRFGGHIGTLKLEFSKKKKKGKLPKEGRKVLLEWWDVHYKWPYPTEADKVALAETTGLDPKQINNWFINQRKRHWKPSESMQFGNMDNAGEQFYARLDS >KGN63300 pep chromosome:ASM407v2:2:22111869:22113826:-1 gene:Csa_2G425770 transcript:KGN63300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPRCTATKPSRSDVVLDNEEQMRITDHIRAQFDSIAPKRPMKPSRSESDPLTQYPSGFTSKKAIPELDKLRNLQSKSHAFRLGVGDCLVQEDYVETEYYKELDSIEKRHHKTGSGFIQVGNEGGENGVHNQKKTQEFINDVANGRVHLHGGYKGNPATNDWLPKFDDRSSIFRSQKPNRSEGSSL >KGN63158 pep chromosome:ASM407v2:2:20962292:20962501:-1 gene:Csa_2G406080 transcript:KGN63158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDWAPVLIAVILFVVLSPGVLFQLPGNDRVVQFINMQTSAISVFVHSIFFFGLITIFVIAIDVHISSG >KGN61399 pep chromosome:ASM407v2:2:7782935:7789073:-1 gene:Csa_2G110250 transcript:KGN61399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYSKLETPDLEMATPIQTPMGMALASSSSSFLSLPRTPPHLLLPSKRPPRLSVTSLASPDLDPSLPSNVHTFWQWVRQEGMVSYKTHVKPAIFPEGLGLATTKNLSKNEVVLEVPKRFWINPDAVADSEIGNVCSGLKPWISVALFLIRENLKGDSRWRRYLDILPQETDSTVFWSEEELAEIQGTQLLSTTLNVKEYVKSEFLKVEEEILLRHKDLFPSRITLDDFFWAFGILRSRAFSRLRGQNLVLIPFADLVNHSANVTTEEHAWEVKGPAGLFSWDVLFSLRSPLSVKAGDQVFIQYDLKKSNADLALDYGFIEQKSDRNAYTLTLEIPESDLFFDDKLDIAETNGLNQTAYFDIILERPFPPAMLPFLRLLALGGTDAFLLESLFRNSVWGHLEMPVSRANEELICQVVRNACEAALSGYHTTIEEDEKLKEENLDSRLRIAVGIREGEKRVLQQIIQIFKDRELELDQLEYYQERRLKDLGLCGEQGEIIFWETK >KGN62313 pep chromosome:ASM407v2:2:15788895:15791278:1 gene:Csa_2G348990 transcript:KGN62313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPASFLWRKNTPHCDPSTLGHRLSMASALCFINITPTILIFVLLFSLLASTITPVHSLQQRPTNQTDFHPQQELNKLKMIRAHLDKINKPAIHTIQSPDGDIIDCVLSHHQPAFDHPKLQGQKPLDPPERPQGHKPPRTETESFQLWSTSGENCPEGTVPIRRTTEEDILRATSFQMFGRKVRKWVRRETSSDGHEHAVGYVTGEHYFGAKASINVWAPRVADQYEFSLSQMWVISGSFGDDLNTIEAGWQVSPELYGDNYPRFFTYWTSDAYQATGCYNLLCSGFVQTNNKIAIGAAISPTSSFEGGQFDISLLVWKDPKHGNWWLEFGSGVLVGYWPSFLFTHLQDHATMVQFGGEVVNSSPSGLHTTTEMGSGHFAGEGFGKASYFRNLQVVDWDNSLVPLSNLVVLADHPNCYDIEGGINTVWGNYFYYGGPGRNDRCP >KGN62403 pep chromosome:ASM407v2:2:16254891:16255617:-1 gene:Csa_2G351840 transcript:KGN62403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFVEKGTTPIYELSFNHSPACTSPFLSIYLSFYYFPFSFPNQTVLSSLLSPASTLTPRPHYLFYPMAALSKVSLLALIALIFALSSVAAQEAPAPSPASPATSIAPSAVSACLAAFLALAFGSTLRI >KGN61539 pep chromosome:ASM407v2:2:9400295:9401784:-1 gene:Csa_2G165680 transcript:KGN61539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKALFPHPSHPFPFPWRTFPSSSFRFTSTLLHYRPPDPNAETFASHNFRRRDQYSEPDFEDDEQPGFDPGIRFRKNRRRWWSDDPAPEFEDQPSGILDEVIDSVWIFKVFKSYGWTLPPIIISLLLNSGPKAFLMALALPLGQSIIALALEKLWGTPERKPKRRTRSKTRKRPFYSTRTSRVQEEEDDEEEVARGNEEGNGKMGYGYQSWELGSNGGEVRNEGRNGNSFGGWEDLDGVGTERKPKPGVRAKKQSSTTMEKGKLNWREKKSDTPLLLRLLIAVFPFLGSWTKML >KGN61764 pep chromosome:ASM407v2:2:11686215:11691069:1 gene:Csa_2G238800 transcript:KGN61764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSFLLFTLIALLPFLTHAFSPDNPTDRKVLVLLDDFAIKSSHSLFFKSLQSRGFDLDFKLADDPKIALQRYGRYFYDALILFAPTIERFGGSVDSAAILDFVDSGHDLILAADSNASELIREIATECGVDFDEDPASVVIDHTSYAVSETEGDHTLIASDDLIKSDVIVGSQKIEAPVLFQGIGHTLNPANSLVLKALSASPAAYSANPKSKLSSPPQLTGSAISLVSVVQARNSARILISGSLSLFSNRFFKSGVQKAGSPTKFDKSGNEQFSVELSKWVFHERGHLKAVNVRHHKVGETDEPAIYRINDELEYSVEIYEWSGKTWEPYVTGDVQVQFYMMSPYVLKTLSTDNKGLYHTAFKVPDVYGVFQFKVEYQKLGYTTLSLSKQIPVRPFRHNEYERFIPTAYPYYGSAFSMMAGFFIFTIVHLYNK >KGN63214 pep chromosome:ASM407v2:2:21357353:21359714:-1 gene:Csa_2G415560 transcript:KGN63214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTARFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGSTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLISKASYENISKKWIPELRHYAPTVPIVLVGTKLDLRDDKQFLTSHPGAVPITTAQGEELKKSIGAAVYIECSSKTQQNVKAVFDAAIKVVLQPPKPKRKRRKARKCVFL >KGN61698 pep chromosome:ASM407v2:2:11024127:11028535:1 gene:Csa_2G226860 transcript:KGN61698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLRVIQDTRVILPTMMNNNKMKLRRKSILCFGVLTRPAEGELIEETRKTNTVYTDNWFDKIAIDHLSQAVQATSGWRSKKSGYESLVEVTTMASRNFNHIKQKEVVIQALGMAFPKPILSLIKALLPQSKLAREYFAAFTTVFFAWLVGPCEVKESEFKGKREKNVVQIHKCRFLEQTNCAGMCINLCKFPCQDFIKDSLGMPVTMVPNFDDMSCEMIFGKEPPASIDDPALKQPCYKLCKTKEKHTTNCFI >KGN61483 pep chromosome:ASM407v2:2:8670907:8673275:1 gene:Csa_2G139850 transcript:KGN61483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRRNGSGSNIMKDLHVLFNPRIAFFSSMFSSSSPPISFLETHFIDLIHASNSTHKLRQIHGQLYRCNVFSSSRVVTQFISSCSSLNSVDYAISIFQRFELKNSYLFNALIRGLAENSRFESSISFFVLMLKWKISPDRLTFPFVLKSAAALSNGGVGRALHCGILKFGLEFDSFVRVSLVDMYVKVEELGSALKVFDESPESVKNGSVLIWNVLIHGYCRMGDLVKATELFDSMPKKDTGSWNSLINGFMKMGDMGRAKELFVKMPEKNVVSWTTMVNGFSQNGDPEKALETFFCMLEEGARPNDYTIVSALSACAKIGALDAGLRIHNYLSGNGFKLNLVIGTALVDMYAKCGNIEHAEKVFHETKEKGLLIWSVMIWGWAIHGHFRKALQYFEWMKFTGTKPDSVVFLAVLNACSHSGQVNEGLKFFDNMRRGYLIEPSMKHYTLVVDMLGRAGRLDEALKFIRAMPITPDFVVWGALFCACRTHKNVEMAELASKKLLQLEPKHPGSYVFLSNAYASVGRWDDAERVRVSMRDHGAHKDPGWSFIEVDHKLHRFVAGDNTHNRAVEIYSKLDEISASAREKGYTKEIECVLHNIEEEEKEEALGYHSEKLALAFGIVSTRPGTTVRIVKNLRVCVDCHSFMKYASKMSKREIILRDMKRFHHFNDGVCSCGDYW >KGN61130 pep chromosome:ASM407v2:2:4327806:4328432:-1 gene:Csa_2G058630 transcript:KGN61130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEKILPLFDLFWFQREIFARNPLLKTHSSAPEIHFQSPDSEYAVSSSMNFPASKTAVHSTNNQKLETIVSSKVREFSVEENYEKMKIMGKVKRLSKSLSELEFEELKGFMDLGFVFSEEDKNDTNLASIIPGLQRFGEKKEEKQKQIEDGVLLKRPYLSEAWEDVEKENDKKRILMKWRVPSLGATEMDIKHHLKFWAHTVASTVR >KGN62413 pep chromosome:ASM407v2:2:16306379:16310046:1 gene:Csa_2G352430 transcript:KGN62413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDEYKVHGDRCRGNFLYNSVPVLATNSSSPVGNFVIRSSYSSASSSNGNNHNHHHHHHHMIMAAGHSNNYFPPSDQLQLPVKVEPPTTTTTASSSQSHHIQLHDFHYHLMTEFQNNHINININNHNSDSIKAKILSHSLFSNILQAFLDCQNVGAPPEVAAKLTSVREEFERQRSSMATAEGSSIDPELDQFMEAYYGMLVKYREELRRPIQEAVDFMHRIESQLNTLCNGSFQILPSGREGKSEGMGSSTEEEAEKGGEEEREIEEDQIDPRAEERELKNHLLKKYSGYLSSLKQELSKKKKKGKLPKDARQQLLRWWELHNKWPYPSEAEKLALAESTGLDQKQINNWFINQRKRHWKPSEDVQFMGMEGFYHPNAAAFYFDHGHFMADSHYRLGP >KGN63054 pep chromosome:ASM407v2:2:20320188:20321422:-1 gene:Csa_2G395690 transcript:KGN63054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRTFPMATSNREFTVDDNDDDDDFDWEAAVKEIDVASLSGIHSASSHSLHPSLTESSDAAAETPASFPLSEENEKRGTSRQSTLHRFIVNAKFRKKTMDVEKPVQDPGLVEDSVGLVDIDEEAAKTWIYPVNVPLRDYQLAITKTALFSNTLVALPTGLGKTLIAAVVMYNYFRWFPEGKGKILLSY >KGN61991 pep chromosome:ASM407v2:2:13406290:13407099:1 gene:Csa_2G279280 transcript:KGN61991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGRSRFLSFPMVMGAVIIGVVSGKAIFGPPLDEYWKKKLEEEAAAKETGTSTTSST >KGN62164 pep chromosome:ASM407v2:2:14640460:14641711:1 gene:Csa_2G302300 transcript:KGN62164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRSKSSSSSGGSSGGGGGSSGVSCYRGHWRPAEDNKLRQLVEQFGPQNWNYIAEHFEGRSGKSCRLRWYNQLDPNINKNPFSEEEEERLLMFQQLHGNKWALIARHFKGRTDNAVKNHYHVIMARRRRERFTIFTNHNKNNNTIRHSLLSFSKTTAAVKWTPLTAGAPVLLGNGRGKSSLPAVVASGSGEGEDHKRRIPFIDFLGMGSS >KGN63215 pep chromosome:ASM407v2:2:21368388:21369253:-1 gene:Csa_2G416060 transcript:KGN63215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKHNNGVLQGTPACAACKHQRKKCHETCPLAPYFPAERNREFQAVHKVFGVSNVTKMVKNVREEDKRKAVDSLIWEAVCRQNDPVLGPYGEYKRVLEEMLYLVSGGNLEL >KGN61125 pep chromosome:ASM407v2:2:4298973:4299155:-1 gene:Csa_2G058090 transcript:KGN61125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFGMCGSVARNRVWIWGVVDGGGDGEVRWRFTVVDCRPLKVDMDRFDGGLSLWVASRWR >KGN61218 pep chromosome:ASM407v2:2:5465823:5474029:1 gene:Csa_2G070840 transcript:KGN61218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCFSDVKGGQAAVGGGQQSAGNSVTESSGGGQNDAVDFYFRSHGLEGLFTQVELSLSASKLLDRDITSKSDPMVVVYIKKNGVLQEIGRTEVILNNLNPQWIQKVSVAFHFETVQPLIFRVYDIDTKYCNVPVKTIKLNDQDFLGEASCVLSEIITKQSRSLTLCLKDRDGGSRNLGGSLTVRAEETIASRSIVEIVLRCSHLDNKDVFSKSDPFLRISRVVETGGSIPICKTEVVKDNLNPVWRTLCLSMQKFGDKDNPLVIECFDFNSNGSHELIGKLQKSMGDLEKLYREKSGANFVIPSSHGGYEKVLKGQLFVDHFVEKTQFSFIDYISSGFQLNFMVAVDFTASNGNPYSPESLHYIDRSGRLNSYQQAIMEVGEVIQFYDTDRRFPAWGFGARTRDGNISHCFNLSTNPTEPEVEGVEGIMRAYANALRNVSLAGPTLFGQVINKAAEIAAHSLFANINKYFVLLIITDGVLTDLQETMEALVRASDLPLSILIVGVGGADFKQMEVLDADNGHRLESCTGRVATRDIVQFVSMREVHRGAISLVGALLEELPEQFLSYMRNRDIKPTTTTPLHLAQPYASFAPQDI >KGN63233 pep chromosome:ASM407v2:2:21519801:21520061:-1 gene:Csa_2G416230 transcript:KGN63233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPLPFYERTTIKRPTQRALDIAIFILLISLLAYRVLLMYNHGFSYLQTIAFLCEFWFSFVWFLAIITRWNPVDYKTYPQRLLKR >KGN61995 pep chromosome:ASM407v2:2:13421690:13423857:1 gene:Csa_2G285300 transcript:KGN61995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALMVYASAKPFSLPPLVPAQSSFRATKPARVIRSTASHMAEGSSSKLKDFPHLSSSQRALMGDLINAIEDRFEDRLLPCTLPPDVEYYQNQSGNSEGALLIRSGSPDSSINFILASWLHSQLPTGASLNIASLSAYLRPSTDAPNFLIEFIQSSPTSLILILDLPPRKDLVLNPDYLQSFYEDTRLDTYRKTIEELPEARPYIMSSLFFRSLVSPTSIISRVDTESGGPERMEEIIKNHVGPIARDMVRVWVDECACKEREVGMMERVEIEKRDGLIKKKTIDIDLGSSLPRLFGQEVADRVVAAIQQVFDEA >KGN62956 pep chromosome:ASM407v2:2:19625818:19628628:-1 gene:Csa_2G381810 transcript:KGN62956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLRLGAWHSDRHQPIRGSLIQQIFRVVHEIHNSSTKKNKEWQEVLPVVVLKAEEILYSKASSEVEYMDFGTLRYRLLDAINTIIRLDESTETGDFLQPCIEAALNLGCTPSKASRSQRNNVSTNYLSPRNQESPMVMKTSQGSVISSRCVPYCWSLAKSVNNGISNSGFRFQSFLPAHNSSSGKFPDFSSSHGLIGNALPPSRFFVYPLYYGNGFQWQGQHSGFQIAPRPVSTYLDSRNGGVMEKTKAYCTMATNGITQNEVIYAFTSPCDDKCDLALRLGPFSASSSSCENKASLRVLKVGSGTSVKETRTEDCFQVMKRKFPLFSMRNAYGLSEHETWGQSLERERVDPDTRMRKRKADFSDSLKERHFSFLPKLPSSRFIG >KGN63239 pep chromosome:ASM407v2:2:21593394:21593944:-1 gene:Csa_2G416780 transcript:KGN63239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTNFTTKLSLALILTAAAVSDTTARKSKHTNLILYVQDFANGPNPTFIPVAGVAGKPWNFTQFGTIFVTDNPITAGPDRNSRALGRAQGMYVVAAADGRNLAVILTLALAEGSSIEIQGTSRQFEGVRELGVVSGTGKFRFVRGFAVGKNVVTDIANGYTVVQFNVSLKHY >KGN61159 pep chromosome:ASM407v2:2:4697802:4699186:1 gene:Csa_2G060400 transcript:KGN61159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVFTFVCRSSGGKWTANQYSGDLEGSADSTYELQRKLVQSALSVDSSGGVQSSFSFITPSSAVFQVIVGGGSGGGFSAGGGAAAAPAGGAAPAAAEAPAEKKEEVKEESDDEDLGLSLFD >KGN61826 pep chromosome:ASM407v2:2:12194592:12196235:1 gene:Csa_2G249810 transcript:KGN61826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANVRDEHGNPVQLTDERGNPVQLTDEFGNPMQLTGVVGTTPSNLHPTPPSNLHPTAVTTTGENVAQTQPPREIHDASPRRSSSSSSSSSEDDGQGGRRRKKKGLTQKIKEKLTGSGKHKEAGTTGEHHESTTTTTTSVEHHEPGKKGVMEKIKEKLPGHHH >KGN62903 pep chromosome:ASM407v2:2:19323127:19330998:-1 gene:Csa_2G379340 transcript:KGN62903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQTNWEADKMLDVYIHDYLVKRDLKATAQAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSDVAASYIETQLIKAREQQQHQQQQQQQTQPQQQQPQHMQMLLMQRHAQQQQQQQQQQQHQQQQQPQQQQQQSQQQQQQRRDGAQLLNGSSNGFVGNDPLMRQNPGSVNALATKMYEDRLKLPLQRDSLDDGAMKQRYGDNVGQLLDPNHASILKSAAATSQSSGQVLHGSTGGMSPQVQPRSQQLPGSTPDIKTEINPVLNPRAAGPEGSLMGIPGSNHGGNNLTLKGWPLTGLDQLRSGILQQQKPFIQAPQSFPQLQMLTPQHQQQLMLAQQNLTSPSVNDDGRRLRMLLNTRMAKDGLSNSVGDVVPNVGSPLQAGSPLLPRGDNTDMILKIKMAQLQQQQQQQQSSSQQQQQQQLQQHALSNQQSQSSNHNMHQQEKIGGAGSVTMDGSMSNSFRGNDQVSKNQTGRKRKQPVSSSGPANSSGTANTAGPSPSSAPSTPSTHTPGDAISMPALPHSGSSSKPLTMMFNRFTFTEVNSVRASTSKVSSCHFSSDGKLLVSGGHDKKAVLWYTENLKPKTSLEEHAAIVTDVRFSPSMPRLATSSFDRTVRVWDADNHCYSLRTFTGHSASVMSLDFHPKKDDFICSCDGDGEIRYWNITNGSCAAVFKGGTGPMRFQPRLGRYFSAVVDNIVTIFDVETQARVHSLRGHTKTVQSLCWDPSGEFLASVSEDSVRVWTLASGNEGESIHELSCNGNKFHSCVFHPTYSTLLVIGCYESLELWNTTENKTMTLSAHEGLVSSLAVSAASGLVASASHDRFIKLWK >KGN60819 pep chromosome:ASM407v2:2:2077763:2081731:-1 gene:Csa_2G011520 transcript:KGN60819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASAASATSATSATAINRNQVDLSDFINWSGVECLNQNFSHTFTNALNQTCRDNDSLLLESDADEQLLIYIPFNQVVKLHSLVIKGPEEEGPRTVKLFSNKENMGFGNVNDYPPSDTIVLSPENLTGKSEVVKYVKFQNVRSLTIFIEDNQSGTDTTKIQKIALYGTTVETTDMKGLKKIEDH >KGN61751 pep chromosome:ASM407v2:2:11589062:11593651:1 gene:Csa_2G237700 transcript:KGN61751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNSLTAMPESNEQEGAQSFNQTSPARRAGLFYKLVVPSILQDKKLKIPNKFAKKFGGDILDLVTLVAPNGYRWVLELKRHGRSMWFEDGWHEFVKHHCIQVGQLLVFRFEGNSVFNFYMFNLTAIPNGPCNTSNASIEQNDGEQCPDTLGKEAEYKKLVEILGTGSPDPSPRPSVKDLVCEFPDQQKFNGSCNGTSIKNFMHWFDTENLHPLKDFDNPLKHLDKLRMQLLNSNRDIGIQFDGDELAKARENHDFQLNQSSDEREEGAMKKKLKLEPIDYYNDNEPIDEKKCGNVPHKINRMAFGVEEFKFGNPFCWIVMRQSYIRRGFHLHIPSKFAEKYLKGVWGDITLQVSSGKQWRVRCIREGPGTKLTRGWADFVVDNDLKEEDVCVFELINMKDIVMQVTVFRVHGDPTKT >KGN62284 pep chromosome:ASM407v2:2:15637390:15639749:1 gene:Csa_2G348220 transcript:KGN62284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLAPGVLVKLLDGMNSGVKPTSDHRSSLLQVTDIVPADLDEKNLWPKHGFYIKVSDSSHSIYVSLPSDQDDFVLSNKMQLGQFIYVDKLEPGSPVPLMKGTKPLPGRHPLVGTPEPLMGLRKKGEKCDDKSKAAKAKVSCPRRGSWGTGTGLGLGDGNSSPLILKPLPLDFEQCTPVKERATSSSLMTSPVAGGKKGIRSSFGGSLLGKLETPAPTPLMLRKSCATISKFPRSKSVCEREPRISPPTPFNSAVVKKSATPPPSLRRNQRTPAPAASTSPMPKSCDSDDSLTALPINLPGKLSILGKEAVQQRDTAQKNALHALRGATATEALIRSLRMLSRLSKWARADAPANCFNKFLEFHQQIMQAVSDMVSIQAATELAQNQASKEEQESPSILSDITRNSNNPEASLSKRRCGLYKSVGAFPDRSEQKKTKFGKQKTAAASVGKLGMESSGSGENDENQKPPVPMPMASWCSLSDTIKLGRQIEMEAGKWFMEFIEKALEAGITKTKGAGDEDIRKVPQSLLLKLINWVEVQQCNTNKMGALHPKGSQIARKLRIKIKNP >KGN62392 pep chromosome:ASM407v2:2:16184716:16192866:1 gene:Csa_2G351730 transcript:KGN62392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNLFSLSHRIFISIFIFLFLVSPPLLPSSALPLSDSDQPLLANTTLQSNNVSVPRNKEGSFADIIDRALENEFKENDQNEAIDSGSFNNSVAEQQATLETVARVKSKKNDTKEEKFQLQNVFNLDSDNRAEDTPTLIDRKDNVFIISNFKSKYPVLQLDLRLISDLVIVIVSATCGGIAFACAGQPVITGYLLAGSIIGPGGFNFVSEMVQVETVAQFGVIFLLFALGLEFSTTKLRVVRAVAVLGGLLQIFLFMCLSGITASSCGGSASEGVFVGAFLSMSSTAVVLKFLMEKNSTNALHGQVTIGTLILQDCAVGLLFALLPVLGGNSGILQGVMSMSKVLVILVGFLVALSILSRTCIPWLLKLMISLSSQTNELYQLASVAFCLLVAWCSDKLGLSLELGSFAAGVMISTTDLAQHTLEQIEPIRNFFAALFLASIGMLIHVQFLWNHVDILLAAVILVIIVKTIVISTVVKGFGYNNRTALLVGMSLAQIGEFAFVLLSRASNLHLVEGKLYLLLIGTTALSLVTTPFLFKLIPAVVHLGVLLRWFSPDSLVEIGLKGDIIRSDSVKQRVMLIVQGPHDS >KGN62845 pep chromosome:ASM407v2:2:18969177:18973967:-1 gene:Csa_2G376830 transcript:KGN62845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSSSELALEEFLRKAAVISNDDATDPEDDVFKIDDQQIIIRSPKRGKNFQDSPDATYFFGDIDFSYFLVKNNREIMDAIVNCGGGLAEAPLRSQNLTPKRSSFSPTLDSQSSIVGSPTSASNLMGGEHQRGNNSGSSEDQSDDEIEAGSCDQSTDALALKRMRRMISNRDSARRSRRRKQAHLAELENQVKQLKGENETLFNQLLDASQQYRDANTNNRVLKSDVDALRAKVKLAEDTLARGSMTCSLNQLLQSHLSTPQPLTALRRMPTSPLGFSGDEVSYSGVTMSGQNPTAAGIPNSEMHMKTGMGSEAVSCISGIWPRN >KGN61543 pep chromosome:ASM407v2:2:9428382:9432142:-1 gene:Csa_2G167190 transcript:KGN61543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRSIHQIGTLFPATNCNDHSSHSRVPTSTAAVRAVPTAAILRSRFSNREREEVMVSSLDRVGRSFSLSCSASTAAVEEEEKGGYRSGVGVFVMMALDSVTMGNKVNRRKAMEVSFQAMKGAGVEGVMVDVWWGLVEKERPGEYNFGGYEDLLGMAAKYGLKVQTVMSFHQCGGNVGDSCTIPLPKWVVEEMEKDPDLAYTDQWGRRNLEYLSLGCDNLPVLKGRTPVQCYADFMRAFKHNFNHLLGNTIVEIQVGMGPAGELRYPSYPEQNGTWRFPGIGAFQCFDKYMLSSLKAAANVAGKPEWGSTGPTDAGHYNNWPEDTQFFKKEGGGWNSTYGEFFLSWYSQILLDHGDAILSHASSIFKPSSVKISVKIAGIHWHYGTRSHAPELTAGYYNTRYRDGYTPIARMLARHGAIFNFTCIEMHDHEQPQNAQCSPEKLVRQVKLATQKAHVPLAGENALPRYDEYAYEQIVRASREMCAFTYLRMNTQLFEEENWRRFVGFVQKMKEGKNGHRSWDEKKKQQVEKLVPNLPSETNLFQRA >KGN60652 pep chromosome:ASM407v2:2:1003181:1003483:1 gene:Csa_2G005980 transcript:KGN60652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFWKLNEAVGCERPVQKPLQSPLQCKLLLSKSLLHFKTRLCPIPTLKSKYFGGGPLMSCRICQFVVPIVLKDIRAFLSILLYFPGLLGESEKQKRSSLHV >KGN61205 pep chromosome:ASM407v2:2:5356348:5372042:-1 gene:Csa_2G070230 transcript:KGN61205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIFEPYRAIGYITNSVPFSVQRLGTETFVTVSVGKAFQVYNCAKLNLVLVGPQLPKKIRALASYREYTFAAYGSDIAVFKRAHQVANWSSHKAKVNLLLLFGDHILSVDINGNMFMWPFKGIEDSQSPVGQVILGRKFSPSCIMHPDTYLNKVILGSLEGSLELWNISSKKKLFEFKGWNSSVCCCVSSPALDVVAIGCADGKVHVHNIRYDQELFSFTHSTRGSVTALSFSSDGQPLLASGGSSGVISIWNLEKRRLQSVIREAHDSSIVSLHFFANEPVLMSSSADNSIKMWIFDTTDGDPRLLRFRSGHSAPPLCIRFYANGRHILSAGQDRAFRLFSVIQDQQSRELSQRHVSKRAKKLKLKEEEIKLKPVIAFDCAEIRERDWCNVVTCHVDTPQAYVWRLQNFVLGEHILKPCPENPTPVKACAISACGNFAFLGTEGGWIERFNLQSGASRGSYLDKMEGGSCAHVGEVVGLACDSTNTHVISAGVHGDIKVWNFKERDLKSRWEIGSSVAKIVYHRVNGLLAVVTDDLVIRLFDIVALRLVRKFEGHTDRITDLSFSEDGKWLLSSSMDGSLRIWDVILARQIDALHVDASITAFSISPNMDILATTHVDQNGVYLWVNQLMFTGSSNVNTLASGMEFEDRVENPSNLPESKDLSCLSISTQQIPDLITLSLLPRSQWQSLINLDIIKVRNKPIEPPKKPEKAPFFLPSLPSLSGEILFKPSESANKEGEEKRVDSEQQKKSDITSSQFLQLLESSSETKNFSAFTDYIKGLSPSTLDLELRMLQIIDDDDHQEPANRPELISIELLLDYFIHEITYRNNFEFIQALIRLFLKIHGETVRCQLTLQEKAKKLLDVQTSVWQGLDKLFQSSRCMITFLSNSQF >KGN61062 pep chromosome:ASM407v2:2:3723146:3725920:-1 gene:Csa_2G036600 transcript:KGN61062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMYIRIKRHKTTYFIQCDPIETTLNIKQKLESLIDQPVVDQRLILMGSGEVLEDSKTLADQKVENDAVVALTLRKDDNDFEEINIVHPDDFYQSPILAVGKD >KGN60820 pep chromosome:ASM407v2:2:2084097:2085482:1 gene:Csa_2G011530 transcript:KGN60820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSFISPPSISSSRHVSSIANLLLRSSKPLNLSTHYRPLSVHARVSTLTQDDLKKLAADKAVEYVKSGMVLGLGTGSTAAFVVAKIGELLKTNQLTDIVGIPTSKRTEEQARSLGIPLSVLDDHPHLDLAIDGADEVDPDLNLVKGRGGALLREKMVEAASDKFVVVVDDTKLVDGLGGSGLAMPVEVVQFCWKYNLVRLQELFKEEGCEAKLRLDGDEKPYVTDNSNYIVDLYFKTPIKDGLAAGKEISSFEGVVEHGLFLDMATAVIIAGKDGIDIKNK >KGN63083 pep chromosome:ASM407v2:2:20521355:20522675:1 gene:Csa_2G401430 transcript:KGN63083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTGDAQSPNSSLPTLPWKRKLADLFTSFLFRQAFRSDFTVNRRFLRLFDRKLPPFTSRGVAASDATIDSSTSDLWIRVYNPLTFSNSDPLPVIIYFHGGGFVYGSADAPPTDTFCRDFAREIGAIVISVNYRLAPEDRFPSQFDDGFHVLKAMDKGAISETVPENADLRRCFIAGESAGGNIAHHVTVRAAESEFKRVKIVGMILIQPFFGGEERRDSEIRFGRGYGLTLEMTDWFWKAWLPVGSNRDHTAANVVGSSISGVKVPAALVVIGGLDLLRDRNREYVEWLKKSGQEVRVVEYPNGTHGFIGKPDLPEYSMLIQDAKQFINKIS >KGN61014 pep chromosome:ASM407v2:2:3423461:3428238:-1 gene:Csa_2G034660 transcript:KGN61014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIALLDNSTMKASCRLLISCRNSGFFGFSPVKSSYTSPHNSCLNFSFKFHSNGRYTSHPFHFSRSQRFLKGTQNCSMARLTYGQSRVITRPCSYSIFPETKSGVSIIARIASKVRDFSTSIETRVNDNNFERIYVQGGLNAKPLVVEKIDKDENIVGEEDSRIEVGSEHVNGENLEDLNKAKVITSKREESDIEKEAWRLLREAVVTYCGSPVGTMAANDPADKQPLNYDQVFIRDFIPSALAFLLNGEGEIVRNFLLHTLQLQSWEKTVDCYSPGQGLMPASFKVRTVPLDGNNFEEVLDPDFGESAIGRVAPVDSGLWWIILLRAYGKITGDYALQDRVDVQTGLKMILNLCLTDGFDMFPSLLVTDGSCMIDRRMGIHGHPLEIQALFYSALRCSREMLTVNDGSKNLVRAINNRLSALSFHIREYYWVDMKKINEIYRYKTEEYSMDATNKFNIYPDQIPQWLMDWVPEEGGYLIGNLQPAHMDFRFFTLGNLWSIVSSLGTPKQNEAILNLIEAKWGDLVGHMPLKICYPALEYEEWRIITGSDPKNTPWSYHNGGSWPTLLWQFTLACIKMGRFEMAKKAVAVAEKRISNDRWPEYYDTRTGKFIGKQSRLYQTWTIAGFLTSKMLVENPELASSLFWEEDYELLEICVCALSKTGRKKCSRGAARSQILV >KGN62256 pep chromosome:ASM407v2:2:15451436:15454127:1 gene:Csa_2G345970 transcript:KGN62256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKINGVHLLSRLVSADATTTTTYRQLLRQSAVLRTCTGSNIRCFSQVANPFGSYDPSSVRKVAGNARFVSVASSSLAEDLVNGSPRPSFVPKDVVLYQYEACPFCNKVKAFLDYYNVPYKVVEVNPIFKKEIKWSEYKKVPILMVDGVQMVDSTDIIHNLYQRIHPENSASNLEEEKKWLGWVDNHLVHVLSPNIYRNYKEALESFNYITTHGNFSFAQRIIAKYGGATAMYFVSKKLKEKHNITDERKALYGAAETWVDALKDRQFLGGANPNLADLAVFGVLRPIRHLQSGKDMVEHTRVGEWYTRMEKAVGKSARING >KGN61538 pep chromosome:ASM407v2:2:9393979:9400037:1 gene:Csa_2G165670 transcript:KGN61538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFLSSCASSSSSSSPHFAFFNLHHQNSLTSRATVKLQLLQWNSSAVGIGRSTSLSTDGRERIWSCNCGPRRALSLPQLRSSHSHMSSGFDLISLNCQYVFKWRRYPVNFRRIRSSMEKFRQRFSVQVARVMVCVMLVVSVSSTFGDTSSWALTEENLLFLEAWRTIDRAYIDKTFNGQSWFRYRENALRNEPMNTREETYTAIKKMLATLDDPFTRFLEPEKFKSLQSGTQGALTGVGLSIGYRTIADGPGGLVVISAAPGGPAERAGISSGDVILAIDDTTTESMGIYDAAERLQGSEGSSVQLTIQSGPSVKHLDLVREKVALNPVKSRICELPGSGNDSSKIGYIKLTSFTQKASGAVKEAIDSLRSNSVNAFVLDLRDNSGGLFPEGVEIAKIWLDKGVIVYICDSRGVRDIYDSDGSNTIAASEPLAVLVNKGTASASEILAGALKDNKRAMLFGEPTYGKGKIQSVFKLSDGSGLAVTVARYETPAHIDIDKVGVIPDGPLPASFPKDGDGFCSCFEDPASVCNINRVQLFSR >KGN60719 pep chromosome:ASM407v2:2:1425204:1427077:-1 gene:Csa_2G008100 transcript:KGN60719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHHSSFVDEEGISKACGCPLLPLKSHIKGPAPVSDQDKTDIVDEAITFFRANVFFRNFDIKSAADKLLIYLTFYINVALKRLEGCRTLAVGTKAIINLGLENVPVPGESGFPFPGLFPIPQSNEEAELFRNYLKQIREETSGRLLSVAYRTNGTPNKWWLAFAKRKFMNIIIP >KGN60676 pep chromosome:ASM407v2:2:1162304:1164993:1 gene:Csa_2G006220 transcript:KGN60676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANAWKKDKPHKLLSPVPLFFLFSFSILIIFFFLFNNSSSSHQSTPSFTIKPHFPFRSISPFDCFKCPQSYPVIANVVEGVRYPFLFSIADLGNLPDKPHKNIVRMLKGKPFRKPDISVTIQEVLEKMKGDSGNGFVVDVGANVGMASFAAAAMGFRVLAFEPVFENLQRICDGIYLNRVGELVNVFEAAASDRLGNITVHKLVGRLDNSAVSATGAKLAFKSNEEIAVQVKSIPLDEVIPDSERVLLIKIDVQGWEYHVLKGAKGILSRKGTEAPYLIYEEDEKLLKASNSSSREIREFLHSVGYHHCTQHGTDAHCTKTG >KGN63122 pep chromosome:ASM407v2:2:20747650:20748166:-1 gene:Csa_2G404740 transcript:KGN63122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLSPWELQGKGKRVWENRKKKDNRRERGGSGRVYEEEDENPGRRSGDFGIRGWVAWADKMGGVVLRRSRYSLGCTARVWELESGKWKGN >KGN62280 pep chromosome:ASM407v2:2:15586496:15589780:-1 gene:Csa_2G348180 transcript:KGN62280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLPKFLFANFFFKKWLYLLLFLIFFSYNFCDFEQCFQDLQAGPIIVFGLKDEWTKVGPNPITNGKILSSLQVRLRASFGIQAELMNLYLLPPQHRSFVDLLLRCTRQKDLQKGKAIHAQLLRTGSFSSVYLTNSLVNLYAKCGSIVKAKLVFESITNKDVVSWNCLINGYSQKGTVGYSFVMELFQRMRAENTLPNGHTFSGVFTAASSSPETFGGLQAHALAIKTSNFYDVFVGSSLINMYCKIGCMLDARKVFDTIPERNTVSWATIISGYAMERMAFEAWELFLLMRREEGAHDKFIYTSVLSALTVPDLVHYGKQIHCLALKNGLLSIASVGNALVTMYGKCGCLDDAFKTFELSGDKDDITWSAMITGYAQAGDSHEALNLFYNMHLNGNKPSEFTFVGVINACSDIGALEEGKQIHGYSLKAGYECQIYFMTALVDMYAKCGSLVDARKGFDYLKEPDIVLWTSMISGYAQNGENETALTLYCRMQMERIMPHELTMASVLRACSSLAALEQGKQIHAQTIKYGFSLEVPIGSALSTMYAKCGSLEDGNLVFRRMPSRDIMTWNAMISGLSQNGEGLKALELFEELRHGTTKPDYVTFVNVLSACSHMGLVERGKVYFRMMLDEFGIIPRVEHYACMVDILSRAGKLHETKEFIESATIDHGMCLWRILLGACRNYRNYELGAYAGEKLMELGSQESSAYILLSSIYTALGRSDDVERVRRLMKLRGVNKEPGCSWIELKSQVHVFVVGDQIHPQIVKICSELRRLRDHMKDECYESFNDTNSMTLYI >KGN61855 pep chromosome:ASM407v2:2:12424824:12430241:-1 gene:Csa_2G252040 transcript:KGN61855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFDGYGYHGTSFEQTYRCYPASFIEKPQLETGDKIIMPPSALDRLASLHIDYPMLFELRNDVTERLSHCGVLEFIAEEGMIYMPYWMMENMLLQEGDVVRVKNVTLPKGTYVKLQPHTKDFLDISNPKAILETTLRNFSCLTTGDSIMVAYNNKKYYIDIIETKPSNAISIIETDCEVDFAPPLDYKEPEKPVPSLPTGKAPVQDEEPPAEAEPKFNPFTGAGRRLDGKPSMQQAPLSWTSISKDKQVDTRTVTGQPSSGSTSRNASRQSEGKLVFGGNASRTPKETKKEGEKDGKQEQTKEKEEAKFQPFGGKKYSLRG >KGN63367 pep chromosome:ASM407v2:2:22618191:22618379:-1 gene:Csa_2G433330 transcript:KGN63367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADFLWTFAVEEMLKKVLKVAGEQTGLAWGFQEHLSNLQKWLLKAEAFLRDINMRKLHLDIL >KGN61971 pep chromosome:ASM407v2:2:13252143:13254106:-1 gene:Csa_2G277620 transcript:KGN61971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIIGRRSCHGDERCEEEDKEETQIDDDDDEEEEEEEEALSLCDLPVKEKQQPTRSVSTTVVETDQDFDFNHWRPPPSPMLTADDLFFQGHMLPLRLSFSSENSQNNNGNLWCRSESMDGNNMLRFRNESTSSSSSRSHYSRSSSLSNNSISIPTNSKPRPSNNNVFHSHPSPTPQIRSFSTSSHRSRSSSRWEFFRLGLLRTPGMELHDLKTRTTTTTTTTTTTSTAHKTTASILGVVSCKRSVETVPTTTGSKNRIRRENVLENNKKNNDDNKVEIREKEKEKERRVSHRRTFEWLKQLSHATFGEEQ >KGN62927 pep chromosome:ASM407v2:2:19489861:19490900:-1 gene:Csa_2G380070 transcript:KGN62927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYLQNFQIIMPNELDSLYVPQVISIGPFHHGSKDLNVTERYKFQGLRNFLRRLNDHKEKSLEELTKIAQLSWVEEACGCYIYPIDMDDHEFVKMMCVDGIIPDVYFDLIKLENQLPFFLLQRLFELIPKENNLKSLIPNNEFSTISFLELTFQFLHLGCAENYVQLGERIVWSKFDPKHLVDFLKLYYISSSADECREDKKIRILSFSYSCCRYLNMTNKETSEKKKVHAENGEKQRTHSIFKRTYSPKYWTKGKNNLLSRAGLCFGRNKTKHEENMWIPPSITEISKVGVIVKKAKKSPFITNNLQK >KGN60794 pep chromosome:ASM407v2:2:1936636:1939121:1 gene:Csa_2G010300 transcript:KGN60794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVKFSSGYGAVPSQAAATIPTTSSGAPPSFPSSSSFLERAKTTTQSLIATQRPWRELFDFSAFSLPFSYDDAMARIRQNVNYFRVNYALVMLIIVFLSLFWHPISIIVFLLIFVAWLFFYFFRDQPLVLFNQTFDDKVVLGVLSIFTIIALVSTDVGSNVLGALITGVTVVGLHSAFRITADHFLDEETAAEGGLLSVVGNQQQQRGYTRI >KGN60991 pep chromosome:ASM407v2:2:3265368:3271791:-1 gene:Csa_2G033950 transcript:KGN60991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLSEGLTQLLIPAAALLGIGFALLQWLLVSRVKVYSYPDDESQENNLIEGGQEEGIDDVEVVAKCAEIQKAISVGATSFLFTQYKYLVVFMGAFGAIIFLFLGSVKSFSTKSEPCTYNKGQMCKPALANAIFSTIAFLLGALTSVLSGFLGMKIATYANARTSLEARRGIGRAFVIAFRSGAVMGFLLAANGLLVLYASINLFKLYYGDDWEGLYESITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKIEKNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESTCAALFVASISSFGSTHNYVAMSYPLLISSMGIVICLITTLFATDFIEIKKVSQIEPSLKRQLLISTVLMTVGVALVSFVALPSKFTLYDFGSDKVVKNWHIFFCVVTGLWAGLVIGYTTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFSIALSIYVSFRLGAMYGIAMAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHEIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRADIETVNVMNPKVFIGLIVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGLMEGRTKPDYANCVKISTDASLKEMIPPGGLVLVTPLIAGTFFGVETLAGLLAGSLVSGVQVAISASNTGGAWDNAKKYIEAGRSEHAKALGPKGSDAHKAAVIGDTVGDPLKDTSGPSLNILIKLMAVESLVFAPFFAAHGGIIFKYI >KGN61198 pep chromosome:ASM407v2:2:5319712:5320518:1 gene:Csa_2G068690 transcript:KGN61198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWENRKLILLETGASFSEVIQMTDIFEGSIIRSARRLDEFLNQLRAAANAVGEVNLESKFSAASESLRRGIMFANSLYL >KGN63129 pep chromosome:ASM407v2:2:20793109:20795627:-1 gene:Csa_2G404810 transcript:KGN63129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRLERPELCGNLHGEEWIKDREAVANSWELPLVSNSHLKLFGFSISKENKRVSNFKEPPLTVESPESENSFPPKFECRYCCRQFSNSQALGGHQNAHKEERQLLKTPQIKYFRRNYFHISARAELAVVVLRAAASPNGGGCSSTACCGALRYVTARVFPGRSRR >KGN61700 pep chromosome:ASM407v2:2:11065138:11068135:-1 gene:Csa_2G228360 transcript:KGN61700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLDEHLVTSASIGVGAVVDSRGKHRILAELKRLEQELRYLQEELDEVEKMGNISSICKDLLPCIETKTDPLLPVLNGVVNPSWDRWFEGSPSSPECSCWIL >KGN62075 pep chromosome:ASM407v2:2:14006353:14009676:1 gene:Csa_2G296000 transcript:KGN62075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDVATAYSAAITFQFRHSKASTIPHQWRIRASSASSTVDLTALQSAIEKKDSNAVKEALDQLRELGWAKKWSSQPYVSRRTTTLRELTTLGIKNAENLAIPSVRNDAAFLFTVVGVTGFLGVLAGQLPGDWGFFVPYLIGSISLIVLAVGSISPGLLQAAIDGFSSFFPDYQERIAGHEAAHFLVAYLLGLPILDYSTDIGKEHVNLIDERLEKLIYSGQLDDKELDRLAVVAMAGLASEGLKYDKVVGQSADLFTLQRFINRSKPKLGKDQQQNLTRWAVLFSGSLLKNNKLIHEALIKAMSEKASVIECFEAIEKAA >KGN62715 pep chromosome:ASM407v2:2:18007989:18009781:-1 gene:Csa_2G369170 transcript:KGN62715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKVVEKAEDVAEMAGSAADKVDKAAEDIAAYLPDGSELQKTAESVDDVAEKIGKDADMAGDLFEKFKTAEDELSSLVDHSGESNEEDDLKQKND >KGN62296 pep chromosome:ASM407v2:2:15714418:15718031:1 gene:Csa_2G348830 transcript:KGN62296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVPIEKKGGVRLDNWKLEIGSRNWEKTGCWGMPCFRDESLAVRAYTVCDESRYLIVRNVPALGCGDDLHKLFSSYGNLEECKPMDAEECDEFTDVYFVKFHLVTNARFAKRKLDEFVFLGNRLQVSYAPEFESLLDTKEKLEVRRREVLARLDSGRPRGTAVSSTTAKLTNQSRSHYTLKQKNSLEKRNYGDAALISNPHVSQITRVSSDQDYFASESMNQTVHLVREKLDKIQSSSEHLQAGPASKKSRMDNRRRI >KGN63135 pep chromosome:ASM407v2:2:20826521:20829449:1 gene:Csa_2G404860 transcript:KGN63135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGSFGPILTLPAPSEDSKPTVQDVQDEIINNNEVDKDNTNSAPTSVATHTKTIGIIHPPPDIRSIVDKTSQFVAKNGPEFEKRIIANNAGNVKFNFLNPSDPYHGYYQHRLSEFRAQNQSSAQQPSQGADSVAPASAPSGPTADNNETIAAKPDVSALFKPVRKVLEPPEAEQYTVRLPEGITGEELDIIKLTAQFVARNGKSFLTGLTSREINNPQFHFLKPTHSMFMFFTSLADAYSKVLMPPKGLTEKLKKNVTDMTTVLERCVHRLEWERSQEQARQKAEDEIEQERIQMAMIDWHDFVVVEAIDFADDEDEDLPPPMTLEEVIRRSKISVAEEEIVEPGKEMEMDMDEEEMQLVEEGMRAARLGENDNDKNDMKVDEEPEPPMRIVKNWKRPEERVPAERDHTKFVVSPITGELIPINEMSEHMRISLIDPKYKEQKERMFAKIRETTLAQDDEISRNIVGLARLRPDIFGTTEEEVSNAVKAEIEKKKEDQPKQVIWDGHTGSIGRTANQAMSQNLEDQNDATNNDARNLPGPAALPPKPGVPSVRPLPPPPGLALNLPSLPMNAHYSTPISGGLPIPPPQPPVISMIPSVQPPPPAMPGQQSFFMNRPPSMPPQMSMNAPNMSVPPPPGSQFTHMQVPRPFVPLPAPPPMNTMIPPPPMPQGVPPPPMPQGLMPPLPPDEAPPPLPDEPEPKRQKLDDSLLMPEDQFLAQHPGPVRITVSVPNLDDGNLKGQVLEITVQSLTETVGSLKEKIAGEIQLPANKQKLSGKPGFLKDNMSLAYYNVGAGEGLSLSLRERGGRKR >KGN61361 pep chromosome:ASM407v2:2:7340394:7350341:1 gene:Csa_2G099450 transcript:KGN61361 gene_biotype:protein_coding transcript_biotype:protein_coding description:T-complex protein 1 subunit alpha MSIASQTPDILGERQSGQDVRTQNVVACQAVANIVKSSLGPVGLDKMLVDDYGDVTITNDGATILKMLEVEHPAAKVLVELAELQDREVGDGTTSVVIVAAELLKRANDLVRNKIHPTSIISGYRLAMREACKYVEEKLAVKVEKLGKDSLINCAKTSMSSKLITSDSDFFANLVVDAVQAVKMTNARGEIKYPIKGINILKAHGKSAKDSFLLNGYALNTGRAAQGMPVRVAPARIACLDFNLQKTKMQLGVQVLVTDPRELEKIRQRESDMMKERIEKLLKAGANVVLTTKGIDDMALKYFVEAGAIAVRRVKKEDMRHVAKATGATMVSTFADMEGEETFEPSLLGYADEVVEERIADDDVVMIKGSKTTSAVSLILRGANDYMLDEMERALHDALSIVKRTLESNTVVAGGGAVESALSVYLEYLATTLGSREQLAIAEFAESLLIIPKVLAVNAAKDATELVAKLRAYHHTAQTKADKKHLSSMGLDLTNGSIRNNLEAGVIEPAMSKVKIIQFATEAAITILRIDDMIKLYKDETQNEE >KGN63362 pep chromosome:ASM407v2:2:22594153:22594859:-1 gene:Csa_2G432790 transcript:KGN63362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPTTFSFRCSIRCNANGSAATNGQPLQFVELRLGHFSQLVLSPSHQILQQTSPILISDTLIRFPLRELEDPPFISHSSLCRFLSSYNISDAVCDAIFLKISSFAFQLVAGNLNSNFHIIAALDFVYTHWVDLDPAEDAAAVRQGAPRSAIERLMKEKYDGNGGEEMEDECSVCYEDLHGKTEKEKEVSRIPCGHMFHKSCILKWLKISNSCPLCRGELEA >KGN62484 pep chromosome:ASM407v2:2:16698179:16700517:1 gene:Csa_2G356090 transcript:KGN62484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWPKFFTIFLILHSFFLLHGVAQTGPPRPNPTITRIRPRPPLIPPGTKLKPRQPINNPGPLANRARILYITQELKRNITYDPKGYTKTWVGNNYCLFKGFFCDVVPDLNITGLSSIDFSGARFGGPFLNFYRFIRNLPDIALFHANSNNFTGVINRNINKLRYLYELDLSNNKFLGGFPGYILGANKLSFVDFRFNTYNGSVPYRLFNIDTDVLFINNNGFTGRIPQATFGNTPANYITLAGNKFTGPIPSTIGRAWRTLTEVLFLKNRLTGCLPFEIGYLVKATVFDANTNILTGPIPQSFGCLFSLQILNLANNQFYGTIPESICRLPDIYNITLSNNYFTRIGPVCRKLVIAQRLHIQGNCIPGFRLQKTQSQCAAFFAKPRTCPRANTFSYVPCVLPTAAEAVQLDKAKVTSVDDMVPPSPRSYAALEMPRHHH >KGN61457 pep chromosome:ASM407v2:2:8398286:8405510:-1 gene:Csa_2G129160 transcript:KGN61457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENEELAILMRGLRGQNLKDSLFAEDNVELRLVEVDESSEFLPLAYDPASISAYWGKRPRAVATRIVQLLSVAGGFLSHIAWDIINKKIKENEVERAIELREIVTSLGPAYIKLGQALSIRPDILSPVAMTELQKLCDKVPSFPDDVAMALIEEELGQPWQNIYSELSPSPIAAASLGQVYKGRLKENGDLVAVKVQRPFVLETVTIDLFIIRNLGLVLRRFPQISLDVVGLVDEWAARFFEELDYVNEGENGTRFAEEMRKDLPQVVVPTTYQKYTSRKVLTTGWIDGEKLSQSTESDVGELVNVGVICYLKQLLDTGFFHADPHPGNLIRTPDGKLAILDFGLVTKLTDDQKYGMIEAIAHLIHRDYSAIVKDFVKLGFIPEGVNLEPILPVLAKVFDQALEGGGAKNINFQELASDLAQITFDYPFRIPPYFALIIRAIGVLEGIALVGNSDFAIVDEAYPYIAQRLLFDESPRLRNALRYTIYGKSGVFDAERFIDVMQAFENFITAAKSGGGEGLNGGMAELGGLGTRTASPFTQFLPAPRELQQKKPIETRASLAFLLSDRGNFFREFLLDEIVKGIDAITREQLVRLMSIFGLRNTTPIFNMVPSIGPFKPVAFLPSITEEDRVILNNVQKILEFLTAGSSISTKSKEGLDVVRVIQELLPVLPGISATVLPEVASRLSSRVIARLIRDSML >KGN61143 pep chromosome:ASM407v2:2:4490667:4491304:1 gene:Csa_2G059740 transcript:KGN61143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKLCHWDMKNKVISRTSSIGYSSHSIYYSGTADQGVPFKWETQPGTPKDPPPQDLLPPLSPPPAVLSLGVPKPYIDQPKSRPLPRMRLRFWKKIMKSRDGGKAAAQTTTIDHYNDKI >KGN61287 pep chromosome:ASM407v2:2:6233545:6245888:-1 gene:Csa_2G075460 transcript:KGN61287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLDTDEDDREPLDGDDVDGHNMGDEEDEEDEEGEDEYEKDGFIVDDVEEEDEEDVEEREDSDDERQKKKKRKKKEEYVLDEDDYELLEDNNISIQRPKGSKKFKRLKKARRDNLEPSGFSDDEDFVESSRGGRTAEEKLKRSLFGDDEAPLEDIAEEEEQPEEEEDADIGDEDEMADFIVDEEEDEDGAPIRRKKLKKKKSRQAPGVSSTALQEAHEIFGDVDELLQLRKRELDTQEWREKRLEDEFEPIVISEKYMTEKDDQIREIDIPERMQISEESTGSPPTDDASLDDEASWIHGHIANGVSSLSSNASGQDLSVTKDDILRYLDLVHVQKLDIPFISMYRKEEILSLLKDTEHEAGDDQDKNDKAPTLRWHKLLWAIQDLDKKWLLLQKRKKALQSYYKNRYLEEIRTAEHVTRTTLNRQLFDSVNRSLEAAESEREVDDVDSKFNLHFPPGEVGVDEGQFKRPKRKSLYSICSKAGLWEVAGKFGYSSEQFGLQLSLEKMRNDELEDPKETPEEMASNFTCAMFESPQAVLKGARHMAAIEISCEPCVRKHVRSYFMDYAVISTSPTADGNVAIDSFHQFSVVKWLREKPLNRFEDAQWLLIQKAEEEKLLNVTLKLPEKHLNKLISDFNEYYLSDGVSKSAQLWNEQRKLILQDALSGFLLPSMEKEARSLMTSKAKKWLLMEYGKNLWSKVSIGPYQHKENDISSDEEAAPRVMACCWGPGKPATTFVMLDSSGEVLDVLYTGSLTLRSQNVNDQQRKKNDQERVLKFMTDHQPHVVVLGAVNLSCTRLKDDIYEIIFKMVEENPRDVGHEMDGLSIVYGDESLPRLYENSRISSDQLQGQSGIVKRAVALGRYLQNPLAMVATLCGPGREILSWKLNPLENFLTPDEKYGMVEQVMVDVTNQVGLDTNLAISHEWLFSPLQFIAGLGPRKAASLQRSLVRAGSIFTRKDFVTAHGLGKKVFVNAVGFLRVRRSGLAASSSQFIDLLDDTRIHPESYALAQELAKDVFDEDVKGDANDDEDAEMAIEHVRDRPHLLRTLDVDEYAKSKKREDKIETFLDIKRELMQGFQDWRKQYEEPSQDEEFYMISGETEDTLAEGRIVQATVRKVLGQKAICGLESGLTGMLMKEDYADDSRDISDLSDRLREGDIVTCKIKSIQKNRYQVFLVCKESEMRSNRHQITQNLDPYYHEDRSSLQSEQEKSRKEKELAKKHFKPRMIVHPRFQNITADEAMELLSDKDPGESIVRPSSRGPSFLTLTLKIYDGVYAHKDIVEGGKEHKDITSLLRIGKTLKIGEDTFEDLDEVMDRYVDPLVAHLKAMLSYRKFRRGTKAEVDELMKIEKSEYPMRIIYGFGISHEHPGTFILTYIRSTNPHHEYIGLYPKGFKFRKRMFEDIDRLVAYFQRHIDDPQHDSAPSIRSVAAMVPMRSPATGGSSAASAGSPWGGSSHEGGWRSQSFDRDRSSTPGSRTGRNDNRNSSGRDGHPSGLPRPYGGRGRGRGSYNNNRGNNDRSDSGYDGSRWDSSSKDGDDGLSNFPGAKIHNSPGKEAFPGGWSSGGGGGGNGWNESSGGGGGGGGGGGSGSGGGGSGSGGGGWGGTGGNSKGNWSGSGGSNSGGWGS >KGN61251 pep chromosome:ASM407v2:2:5810883:5814239:1 gene:Csa_2G074130 transcript:KGN61251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAILFNLTADIIFKLGSSALRQFGSLRGGVKDDFDKLWHSLSAIQAVLHDAEEKQFKDHAVEVWVSRLKDVLYEIDDLIDEFSYQILRRQVLQSNRKQVRTLFSKFITNWKIGHKIKEISQRLQNINEDKIQFSFCKHVIERRDDDDEGLRKRRETHSFILEDEVIGRNDDKEAVIDLLLNSNTKEDIAIVSINKELYQVLGRGQDALKEIQLFLELSYKYLPSSNLKQCFLYCALFPKDYRIKKDELILLWRAQGFIQQNGNNDDNSSLVDIGEDYFMELLSRSFFQEVEKNDFGDIITCKMHDLMHDLACSITNNECVRGLKGNVIDKRTHHLSFEKVSHEDQLMGSLSKATHLRTLFIQDVCSRCNLEETFHNIFQLRTLHLNLYSPTKFAKTWKFISKLKHLRYLHLKNSFCVTYLPDSILELYNLETFIFQSSLLKKLPSNVGNLINLKHLDLSSHLNLEFLPDSITKLYKLEALILHGCSNLKELPKYTKRLINLKSLVLYGCSALTHMPKGLSEMTNLQTLTTFVLGKNIGGELKELEGLTKLRGGLSIKHLESCTSIVDQQMKSKLLQLKSGLQKLELQWKKPKIGDDQLEDVMYESVLDCLQPHSNLKEIRIDGYGGVNLCNWVSSNKSLGCLVTIYLYRCKRLRHLFRLDQFPNLKYLTLQNLPNIEYMIVDNDDSVSSSTIFPCLKKFTISKMPKLVSWCKDSTSTKSPTVIFPHLSSLMIRGPCRLHMLKYWHAPKLKLLQISDSEDELNVVPLKIYENLTSLFLHNLSRVEYLPECWQHYMTSLQLLYLSKCENLKSLPGWIGNLTSLTGLKISTCDKLTMLPEEIDNLTSLTNLDISYCKNLAFLPEGIQHIHNLRSIAVIGCPILEEWCKKNRREDWPKIEYYISRLSHLIKNSFITS >KGN63369 pep chromosome:ASM407v2:2:22633598:22637202:-1 gene:Csa_2G433350 transcript:KGN63369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLAPLCDPQQPNNVSSSPPPSTPPTHVFRSKLPDIPIPDHLPLHTYSFQKLSQVSDRPCLIVGSTGKSYSYSETHLLSRKAAATFSKLGVKRGDVIMILLHNSPEFIFSFMGSSMLGAVATTANPYYTGAEISRQLKASGAKFVVTYSRCVDKLRESCGDVLTIVTIDAPPENCLSFSMVYDADENDVPSVEIDTNDAVALPFSSGTTGLPKGVILTHKNMVSSVAQQVDGENPNLYLKRNDVVLCVLPMFHIFSLSSIVLISMRSGAALLLIEKFEIESLLRLVERHKVTVATVVPPLVVSLVKNPKVADFDLSSIRLVLSGAAPLRKELEEALMERLPQAIFGQGYGMTEAGPVLSMCSAFAKEPPMPTKSGSCGRVVRNSELKVVDPITGASLTYNQPGEICVRGPQVMKGYLNDPVSTSLTVDVEGWLHTGDIGFVDDEEEIFIVDRVKEIIKFKGFQVAPAELEALLVTHTSIVDAAVVP >KGN60927 pep chromosome:ASM407v2:2:2811275:2811774:-1 gene:Csa_2G023940 transcript:KGN60927 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase MPEVGSAEYKELESKPEKAYLKTVNSMLQTLLGVSLIEILSRHASDEVYLGQRDSIKWTSDKDAIERFEKFGKDMYDVESRIIERNKDGNLKNRSGPVNVPYTLLLPSSTEGLTGRGIPNSISI >KGN62079 pep chromosome:ASM407v2:2:14025123:14027920:1 gene:Csa_2G296040 transcript:KGN62079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNFEDELIIQPGISWLIWIQLLVILLIFFLCCLTIFAFDFSKSNTTDFNSTAAIASSSSPTRFLSHSTHSGKNILPNPNIRIGPNPPRNAQVTNDQSTRGEITSSTSRRITQVGEGTVIGGEVSQETKLDLHPCSYFRLAKSAFLRCLGLDSSTDNSISDERQRNESRKSKES >KGN61999 pep chromosome:ASM407v2:2:13442164:13445784:-1 gene:Csa_2G285340 transcript:KGN61999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTLLRLVNDRLESSDQYSYNNNSSSSSKNSSDQNHYNFYLQNPQSQECFNNLFMEDEDHFSASSSSSHHHHHQLRQLQCSTTTTSTTSTGVVAPVDQDPNFDLSEEWASTILLQTAIAIVNNNTPRIQHLMWVLNELGSPYGDIDQKLAFYFLQGMFSRVTDSGAKCYGTLAAALEKQSCFESMRRMVLKFEEVSPWMRFGYVASNGSLMEALQGEKKLHIIDIAGSYSSFCTQWPTFIEALATQSDQTPHLTLTTLVAAKSEGTLRAHKKLMKEISRRLEKFARLMGIPFKFKPIFHYGDVSHFDFTNLPLKHDEAVAVNCSGALRSVAPLQNRRDFLISLFRSLRPKIITVVEEEADLNAHGGADDFVKHLQECLRWFRLYFDSLDGSFPVVTDERLMLERAAGRAVVDLLARGLAESVERRETAARWVRRMHDGGFKPVSFSEDVNDDVRALLRRYKDGWTVMDGDGAGAGMFLAWKGQPVVWAAAWVPGQVDGEKTPV >KGN62014 pep chromosome:ASM407v2:2:13573988:13582117:1 gene:Csa_2G286460 transcript:KGN62014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLGGEKCLRLSRLVDHCLHPFTEEDGVVLESKGKEKELLIALSHVVTEVQRWVREIDGDSDNEGIQMPNSHEKRSDEQDQSLESHHYMTKIVSELVPLLAFENKYVKHLVGNVLTAVTKFIFLTGNASDWCELVHSLCFSMELVLARIISSPAPSITGSENLDFYLSILQPKLKNANFSTVAGLLQVLRNTLKFLKQEQSDLIGELFDSVNSCLSKIPWDLLGRILTEKICNIVEVQSNDDACSDNLHQRQGLKFLFLGNFVQFLCSLAEPSDFEEASCGSFKSHPLLGTIINLIPNLFDWCLNNQVDHFDRCLSRYFSHKLLILMIRLSFHCHLQCSTLVLWLQLCRNCFQNLLLLPKLELESTADTSLEDSPLIVSYFGDKRSPCSLHLRRLAVFLFLRCSLSFICKQPTEKCDPSIAIKSQLIYTTTLESKCDDCTCSKKGVLELYKWLLGNLPTNIFLDTNMYAKNCTKFASSFLQLYMHEDDLLFKVLLQLLRLPSHTEPCSSEGPSQEVKEVILFHVSNIFDPQHMFHIFLKELNYDHEMLLDYLMSKDAGIYCLEYLLRCLHIINDSRHALGDSSTILDILTDSSGKRRKVMLNSSTISEERLSGSLNQSNETLPSFEDTGNYDYGYKPQRVGVESLKKSKNCLHSLKTSLENLHRENLFPYNPKVLIKRLTKFLELPMEIK >KGN61900 pep chromosome:ASM407v2:2:12688206:12689724:1 gene:Csa_2G263950 transcript:KGN61900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKNKGKGGKNRKRGKNEADDEKRELVFKEDGQEYAQVLRMLGNGRCEAMCIDGTKRLCHIRGKMHKKVWIAAGDIILVGLRDYQDDKADVILKYMPDEARLLKAYGELPESTRLNEGIAGGIDDEDDGAGDNYIEFEDEDIDKI >KGN61612 pep chromosome:ASM407v2:2:10176227:10179423:-1 gene:Csa_2G179220 transcript:KGN61612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSLKLNLINLYCEEERWVSSIAMMNVCFLQVFFIRFKPHILIIFTQFGYTFLYFFVDASFKHGMNPHVHITYRQTLATITLLPFAYFLERKLRPRITLALFLEIFVLSLLGVTLSTNTYFASLRYTSPTFITSMLNTIAGLTFIIAVVVRLEVVEFDNPKGIAKVMGTLVSLGGVLIMTFYKGPIIRNVCQPLIHIQHKATYHLHEDWLKGSLLTVSSCLSWAISYILQAFTLKRYPAPLSLTTWMNLFGAVQTAVYTVSTQHKAGVWNIGFNIDLWAIIYAGLMCSSIIIYIQLWCTEERGPVFVTMYNPLGSILVALLSYFVVGQKLYLGSIVGGGIVIIGLYLLLWGKQDDEQKLQNKSPLESDSVHQTSKQSDFQISP >KGN62548 pep chromosome:ASM407v2:2:17054406:17058822:-1 gene:Csa_2G360650 transcript:KGN62548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSFNKSASNSRLQQKVPDSDFFDFSVGLPEPFIQRRLTRQRKLRHLTDQDVGFEHPRSLPDSPDISAKPKSPLGGSERWSSSPSPQPLPLPELFPVRSPEFGSNYGQGRVGSPVETSVRKCSDHATTNVSRSFGHNQRRVATDLTLEVVDGNSRTGATAFTSPQSLSANSGKLNPNKEFLFGCSDQTPNNKGRGSPTHPSAERGNYNLTPKKSSSKSAPTSILPSPVVSPRKSYNGNHFVPGLSHHEHQESPSNNSPKVPPLKTALSSQPFPLHSPTARSSISNSRSHNDMTFPLHTKLQKDNSIDRSESHAHVNAHPLPLPPPLVASSQASAQSLPSNVHHVIEKPFISSMKGQWQKGKLIGRGTFGSVYLATNRETGALCAMKEVDLIPDDPKSAECIKQLEQEIEVLSHLKHPNIVQYYGSEIIGDCFYIYLEYVYPGSINKYVRERCGAITESIVRNFTRHILSGLAYLHSTKTIHRDIKGANLLVDSSGVVKLADFGMAKHLTGQYDLSLKGSPYWMAPEVIKAAMLKDANPDLALAVDIWSLGCTIIEMLNGKPPWCEFEGHQVMFKVLNKTPPIPEKLSPEGKDFLQCCFQRNPADRPTAMVLLDHPFLRSSSDSNASIPTSAFSTMNLLEKLLSPKDPLNPKGDQAQNSSGTLTSNDYLSCRSPSTNISSNVPASAVANHHFSISRTHKREVPHL >KGN62547 pep chromosome:ASM407v2:2:17052888:17053733:-1 gene:Csa_2G360640 transcript:KGN62547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPIEQQSPPGMQQNYVGHPGSGSVGPVIGVLIVIIVFAVVAVVVGRLCSGRSIMGYGHFDLESWAETKCSSCIDGRITPPPPRVDASTTAAASSLPAGTMAVGTQQETKQEEPASQNPSANA >KGN62959 pep chromosome:ASM407v2:2:19636968:19638184:-1 gene:Csa_2G381840 transcript:KGN62959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQLGLSLPLHSHPNIHCKPAGGRFGDHSFILENELPQTLPLLSWNDKPKDEDDPHHPRGTHFCNIQKKDEEKKQAVGWPPIESWRKKAFDWHTQPPQTIENRRPAVADQSNQNGGRNSLFVKVKMEGVAIARKLDLKLYHSHHSLKTALLTMFTTNKGMDNSDWDFTLIYEDEDGDWMLAEDLPWNSFVESAQRLKILVGNRNKE >KGN61688 pep chromosome:ASM407v2:2:10916315:10918975:-1 gene:Csa_2G224280 transcript:KGN61688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYIASWDEFVERSVQLFRSDPTSTRYNIKYRHCDGKLVLKVTDNRECLKFKTDQAQDAKKMEKLNNIFFTLMARGPDVDISEVTGKDQVDAQPTKKGRGRKQ >KGN60673 pep chromosome:ASM407v2:2:1127261:1131810:1 gene:Csa_2G006190 transcript:KGN60673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METNNKLQKKKKWFIPLVFSLLLTTLVVFVSIFISPHFSSSQFNRTHLMKNRIPRFVESKLAVSKTSSDSVPRLAYLISGSTGDGKSLKRALKALYHPRNHYVVHLDLEAPAAERLELADFVNNEPLFRSVGNVRMILRANLVTYRGPTMVTNTLHAAAILLKDGGDWDWFINLSASDYPLVTQDDLLHTLIPIPRNLNFIEHTSDIGWKEYQRAKPVIIDPGLYSLHKSDVYWVSEKRSIPTAYKLFTGSAWMMLSRPFVEYCLWGWDNLPRVALMYYANFLSSPEGYFHTVICNADEFRNTTVNHDLHFISWDNPPKQHPHFLNLDDFQHMVDSNAPFGRKFGHGDPVLDKIDSDLLRCNSDGYFPGDWFNLFQNSSTSSIHDITNTTNLRPGPSAKRLKHLIDGLLTAPDFHTSHCV >KGN60521 pep chromosome:ASM407v2:2:168438:170552:-1 gene:Csa_2G000300 transcript:KGN60521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIRGTVPSFVDDDKTAISSFGQARLPIHLTAFGRLKSSIRFTKWILRILRVHSSINTGFSMDPNGKMDQTVQLVKSVSDKHLDLLRPSARICSIFKGQGKDAPDHIRSKYTLLRDVEDAQVGLYDKPLPCFGCGIGWFSFLLGFFFPLLWYYATILYFWNARRDPRERAGLAASAIAALACSILLLIVVAVLL >KGN61129 pep chromosome:ASM407v2:2:4319569:4324459:1 gene:Csa_2G058620 transcript:KGN61129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHLLSPVCTDTIILQSQPPILLTPWRSLPKPTPSFGRAPGRRNCGSLKVASRDSASTESVADDYYAVLGLLPDASPEQIKKAYYNCMKECHPDLTGDDQDTTNFCMFINEVYEVLSDPVQRLVYDEIHGYALTAINPFIDDSSTKDLAFVDEFSCIGCKNCANVAPDVFGIEEDFGRARVYSQCGNQQRVQEAIDSCPVDCIHWTSAAQLSLLEDEMRRVERVNVAFMLSGMGSSAVDVFRLASSRWEKRQAKVLEKAKVRMTKKKKNSDTDDSYWSNLWGTPKDQRNSEEETNERAKRAAAAARRWREYSRRGVDKPPTFKLPESISGSDN >KGN61079 pep chromosome:ASM407v2:2:3887099:3889972:1 gene:Csa_2G037260 transcript:KGN61079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRISNNLVGILNFITFLLSIPILWAGVWLSKQGSTECEKYLDKPIIIIGVFLLLVSLAGLLGACCRISWLLWVYLLVMFVLIVILFAFTIFAFVVTNKGAGEVLSNRGYKEYRLGDYSGWLQKRVNNNKNWNKIKSCLIDGKICSTFADKYIKDTVEQFYQENLSALQSGCCKPSNDCNFTYVSPIVWNRTVTNSPNPDCNLWENDPNVLCFNCQACKAGLLDNIKSNWKKVAVVNIVFLVFLIIVYSVGCCAFRNNREDHAYQRQWK >KGN63273 pep chromosome:ASM407v2:2:21932737:21936640:-1 gene:Csa_2G422040 transcript:KGN63273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADASSKDDLLQLIKRFGAYLTLKMSNFFPISLQNLDSRSVGAIAGFAVAIIFTWRLLRSSNGHQRQQPKRQMPAPSSSASNAGLNTNEQSIPSGVCSPSEDLRAHNVVDEFFQPVKPTLGQIVRQKLSEGRKVTCRLLGIILEEYSPEDLQKQATVRSSVLEVLLEITKYCDLYLMETVLDDESEKKVLSALEDAGVFTSGGLVKDKVLFCSTENGRTSFVRQLEPDWHIDSNPEIITQLARFIKYQLHVAPIRHERSASNIFSSPSLEQFFGNI >KGN60889 pep chromosome:ASM407v2:2:2617160:2618938:-1 gene:Csa_2G021600 transcript:KGN60889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQNYYTVMSFLEKCKTMKELKQIHSLMITTSVVKNIIPCSRLIDFCANSELGDIEYARTVFDQINQRTVYIWNSMIKGYCNGGDKFGALFMYEEMQRKGFSPDHFTFPFVLKVCSIIDLLVYGQSVHNRIVKTGFELDVYTSSCLLNMYVSCGDLNSGLKVFEFIPKWNVVAWTSLIAGFVNNDQPKEALRLFKDMENEGVEPNEITMTTALAAAARCRDIHTGKLVHDRLRQLGFDPFDTNSCFNVILATAIMDMYAKCGKLVTARNLFDKMPQRNLVVWNSMISAYSQYGRGAEALRLFVDMEMAGFVPNKATFLSVISACTHMGFRSTGRSLHARALRASFHEFVAIGTALMDMYAKAGDADTALKIFSKLRKKDVMAWTTMISGLAIQGKGKEALNVFRRMEEEAEVAPDQITYIAVLWACSHLGLVEEGQKQFTSMTEVYGIEPTMEHYGCMIDLLSRAGHSKEAEELLMKMPTQPNATILSSILNGCEMYGNVGLANRVKSHIVELENSSSGVYVLLSNIHAKACNWQEMKLARDMLKHKNIGKTLGNSFVEIKP >KGN61109 pep chromosome:ASM407v2:2:4143912:4146164:-1 gene:Csa_2G049980 transcript:KGN61109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFVQDASEFPIKEEYDYIIVGGGTTGCPLAATLSKKFSVLLIERGSEPSKYPSVLNEQQLLNVFTVEDDGKNPFNRFISEDGVENIRGRVLGGSSMINGGVYSRADPEFFRTQLGMQELDMEMVEKAYEWVEEAIVFKPSLNLWQGAFRRFLVEGGVEPDNGFDLRDDVGTKISGSIFDENGTRHGAVELLNKAQPTNLKVAVQAIVQRILFSGLSANGVLYSDSKGKLHTAYIRKEGEIIVSAGAIGSPQLLLLSGIGPKSYLSSLQLPVVLHQPHVGQSMMDYPRFGYVLAWPFPLSFTSSKVIGISQNKTFYFQSIASTTPLSIPPLFSIFPPNSTSLTTTSLATIGGKFSKVASTGSLRLNSSADAASNPIVRFNYYSHPADVAMCVKGVRKVGGFLKTQTVENIKTRDLEGNKTIQFVGLPLPGNLSDDSAVGEFCKKTVTLYWHYHGGCLVGKVVDGNYSVIGVKNLRVLDGSTFAVSPGSNPTATLMMLAR >KGN61324 pep chromosome:ASM407v2:2:6764691:6766094:1 gene:Csa_2G083710 transcript:KGN61324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYIPPHKRHPRDIDKPTPTAELLAPLFNTKLNLRPSAPRFIRKDLKPSGRKEDRSGKITFAVKTKIKWFSIGSSDVGNLFPSCLHLVRYSVPSIERRWGLRPLALMNSNLSQGNIQEKEGVTEPWETIAVKLLPDLLSDYAIDKTELPTETTLRQLKRSFYTNVSNAYMDYVTEKVIPLIGVEFKEEKDIYEVKLLDVRRPFVTILCKCTALPVSNNLKLCKVELNRTRHLFEDISCLKQKIDLRMVLYAKKIRLEKLTVSLVIKTFKRDA >KGN60850 pep chromosome:ASM407v2:2:2332268:2346199:1 gene:Csa_2G013310 transcript:KGN60850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRFHVGGKVVERVDLLRKKHWAWRFDLWPFAILYAAWLAVVVPSIDFGDAFIVLGGLAALHVLVLLFTAWSVDFKCFVQYSQVNDIYFADTCKIVPAKFSGSKEIVSLHFRKLLAGSTSAVDLEEIYFDFRKQRFIYSKEKENFCKLPYPTKETFGYYLKNTGYGSEPKVVAAVEKWGRNIFEYPQPTFQKLMKEQCMEPFFVFQVFCVGLWCLDEYWYYSLFTLFMLFMFESTMAKSRLKTLSELRRVRVDTQTLMVHRCGKWVKLPGTELLPGDVVSIGRDSGQSGDDKSVPADMLILAGSAIANEAILTGESTPQWKVSITGRGIDEKLSAKRDKSHVLFGGTKILQHTPDKTFPLRTPDGGCLAVVLRTGFETSQGKLMRTILFSTERVTANSWESGLFIMFLVVFAVIAAGYVLVKGLEDPTRSKYKLFLSCSLIITSVIPPELPMELSIAVNTSLIALARRGIFCTEPFRIPFAGKVDICCFDKTGTLTSDDMEFRGVVGLSDKEELETDMTSVSLRTVEILASCHALVFVDNKLVGDPLEKAALKGVDWIYKSDEKAVPRKGSGNAVQIVQRHHFASYLKRMAVVVRLQEEFFAFVKGAPETIQERLTDVPSFYVETYKKYTRQGSRVLALAYKSLPDMTVSEARGLDRDLVESDLTFAGFAVFNCPIRADSATILSELKGSSHDLVMITGDQALTACHVASQVHITSKQILILNSMKGTEEYKWLSPDESQTVPYSEKEVGTLSETYDLCIGGDCIAMLQRTSTVLDVIPYVKVFARVAPEQKELILTTFKTVGRMTLMCGDGTNDVGALKQAHVGIALLNAVPPPQSGNSSSEASKDEAVRPGKSKKSKPSSESSGKALVSGEGSSKSKVSAKLDSAAEQASNRARTPAEMQRQKLKKLMDELNEEGDGRSAPIVKLGDASMASPFTAKHASVAPTTDIIRQGRSTLVTTLQMFKILGLNCLATAYVLSVMYLDGVKLGDIQATISGVFTAAFFLFISHARPLPTLSAERPHPHVFCSYVLLSLLGQFAIHLCFLISSVKEAEKHMPDECIEPDSDFHPNLVNTVSYMVSMMLQVATFAVNYMGHPFNQSVSENKPFLYALLAAVGFFTVITSDLFRDLNDWLKLVPLPAGMRDKLLAWAFLMFLCCYAWERLLRFMFPGKIPAWRKRQRLVAANLEKKKQV >KGN61676 pep chromosome:ASM407v2:2:10823921:10829390:1 gene:Csa_2G223670 transcript:KGN61676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSTPKKKPTKLPPNLSKFEDSPVFNFINSLSPIKPVKSIHITQTFNSISFPSLPVFTSPPQHHFSPLKSPKRPNFSNPSRSPPPPVSKNEGGAVIIMDQLLEIFVPEIPTASTPVIPPPETVDSDAGEVVIFHCPISTEAMEEEDEALFQNDGALATIEENKPLSNLHSGNMRRRCLDFEMAGNPVSATVEARTGDASIPASSSSSSSSFRRTLPSIGLHLNALAATLKHSDSDNICSDTQPSFPSSSAPIFASNSTSDQLLLASSTPESENPPQESANLTGEEISHTNPKNNWQSMENAGVGACKRCNCKKSRCLKLYCECFAAGVYCIEPCSCQDCFNKPIHEAMVLETRRQIESRNPLAFAPKVIMNCDLISELRDDSNKTPASARHKRGCNCKKSGCLKKYCECYQGGVGCSINCRCEGCKNAFGRKDESALLGTEIEQEEEGREHCQKTIDVHSDEDRQNPSNAAPSTPLGPNRSLIPFPFQLKRRLPSFLNDESSSRLSVRFKLEKHGITQTEPKFEKTPCEDVTPETLCNGCPSITGVKSVSPNSKRITLPLPQADFRPLPSTRIGRKLILQSIPSFPSLTNQTSGINGPSEL >KGN62827 pep chromosome:ASM407v2:2:18828191:18834844:-1 gene:Csa_2G375180 transcript:KGN62827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMHRINVMEENNHHDGTDSRPARNFVQIDSIYIDLFSSDHICDDQKCELFSIRGYVSDMHKKDWKICSPFSDIIDNGHKLNEPIASVPSVLDPSFDAYQGKIHWQETSDKDADQGFLFDHNLGKFSNSSPNASKQDVISGRTIMADNVSNSYYDQKEKKLNVADRSDNCTVALISQSEPGCASHGVTEIELVSRNLTLKAAEESLAALQDGKQTPADCLNGQLTLLVSEKDDMVDVVHGHHTVKVQGNGDASMESNESTVSSSESAETVGNSPHNCHLGRLHRRRTPKIRLLTDLLGDNGNMVVKHVDQSSPSDGSPEASEQADVRFTSKCQVTIEEDASHPDHKRERRLARNGKCRHQEIPSSSSVDKQIQTWRGEIESSVSCLGTENAPSGMKSTMKGPWCSYKMDGNSSLRRKKSKKFPVVDPYSMSLTPSEVKDQCEIWEINENRSEVAVDSVAIFAHHNEFSCRIPHSISSNVIESKPGTSGNPNSSKEPVVFEGPTNVVPWNNRILWRGSVTQKDVETMNGNPAANPFPNFKKNEREWHPSLNNYSSLQKDHKGIRCRGENELSTFVPEQDDTSKVSQLNGNRTGSHRDPNYPHQASDVICGHGVDTVMNSKMTNLKMSLPRDPQTDNSQSQLQNKDLLRRGNGKRTIEAQEPLALKKRQINQRTDQPSDRGTSDDIPMEIVELMAKNQYERRLPDAENNYKHVSETGKFSRAVQVNNYDYVYRNGRELLQKPGNLKQNAQERNGGNGLICAREVVEARTHTPANYFSNIGESQFGISHLQQNHMLRCNDSIHSLEEPSNGMQYSSIGSKRKIRSEIRKCNGTTVESGPYNSKVQYSEGCIDHLPVSEQNIEAAYLWSTSSLMPDHMSNGYQNFPAHSTDSRKISSPRTFQMGNTNAQNHHNHHPTNLERHGRQKSTEAYSQRFAESSFCRHPNVVELQHNPVGSLELYSNEAISAMHLLSLMDARMQSNAPTTAGEKHRPSKKPPVPRTQKAEEFSATDICFNKTIQDMSQFSSAFHDEVCSSATNASTSTFQHSRGFGSGTNFSSQAVFRSQNGAKMKCSDSSSWSKDQKLSKSHFISGDDRTFPVNGIEKGLVNASNSEVFVLAHHMKRNSEECKLVAHTRTLQNEKSTSETEICCVNKNPADFSLPEAGNRYMIGAEDFNFGRTFLPKNRSGSICFNNRYKQQTFV >KGN62861 pep chromosome:ASM407v2:2:19074805:19075891:1 gene:Csa_2G377970 transcript:KGN62861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEILFPPPSFSIQLPSSSSFLSDRTSAPPPPPPPPPDHRLDSPFNSFDYCSDSSSSIGDPDDSDDESVSSTGGDSEEVQSKLSLRSLEDSLPIKRGLSSHFSGKSKSFANLAEAKSVKDIEKHENSLNKRRRILIASKLAKKSSFYAWPNPKSMPLLTLREEEDDDNNDEEESCTTAPSSSEDNEEDEGHEKRKGKRVRDFRQRRLMSFKSRSFSMADLQQQHHGIDGQQEQ >KGN61947 pep chromosome:ASM407v2:2:13062314:13065922:1 gene:Csa_2G271390 transcript:KGN61947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVASKLEEEEEVVSICRERKRHLKLAVERRYALAEAHCKYCQALYAVSAAIKLFVARHSSPSPFLITFPPPSPPSEKVITNPMFLQQMPSDSTHETIATCPSCISSSSTSSQSSIEEREEESVEEERIEQVPPYFYMQMPPPMPSPQREFGWDFFNPFESMRTDVVGEYREDELRMVREEEGIPELEEAEVEKEDGNQRVVAVAEEENVGAFREQRNGVEVIKVGDKEDEGQFKQKGLTVIDTPVEGRELLEALQDVEDYFIRAYDSGVDISRMLEANKIQLQSGLEEIKENSTKLIQAITWHRSVSGKPSSCKSLVASSSKGSPAWTEFKNELFDDYDVMDSGSHSSTLGRLYAWEKKLYEEVKAGDSMRKLYEKRCSRLRNQDVKGDNGVSTDKTRVAVKDLYARILVAIRSAESISTRIEKLRDDELQPQIIELLKGLTRSWKIMLEVHETQKKIILEVKTYSCHSYLKFCNESHRLATLQLGAELQNWRSCFSKYVESQKAYVEALHGWLTKFVVPEVEFYSRGRASAVPYGLHGPPLLSICHNWLSSMEKLPDKPVAFALKSFAKDMKALSDIQMEEQHQKRRVESLGKELDRRILSLQKTENKFFEFNFTETKSELEVENQNEYLTEKKDQLDLFKKKLDLEKEKHNNCIQEAQRITLNGIQTGFSTVFESLSEFSKASQKMYDHLVNYSENANKSENINYIEGSSQTEENVGR >KGN60985 pep chromosome:ASM407v2:2:3240207:3240433:-1 gene:Csa_2G033900 transcript:KGN60985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREGDESVKSHVKGVWSSGMILASGARGREFDSRNAPNRRSATGGVFSFPFGPCNFTSATAVVRP >KGN61755 pep chromosome:ASM407v2:2:11617604:11618001:1 gene:Csa_2G237730 transcript:KGN61755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLVDLWTSEQAKLRHEGGSSPAAPTVDVAEQGRWNSTELTRVWDRVRRLGMNGKMCSEASVCMLVEFMSP >KGN63142 pep chromosome:ASM407v2:2:20870562:20872874:-1 gene:Csa_2G404930 transcript:KGN63142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASFRWILQLHKDVPKAARFYSEGLDFTVNVCTLRWAELQSGPLKLALMQSNDHIVQQKGYSSLLSFTVTDINSTVTKLMAMGAELDGSIKYEIHGKVASMRCIDGHMLGLYEPA >KGN62846 pep chromosome:ASM407v2:2:18982100:18984560:-1 gene:Csa_2G376840 transcript:KGN62846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWKESHLDLILVPTGFILMMCYHLGLWYKVRTQPFTTIIGINTSGRRLWVSSIIKDIDKKNILAVQTLRNAIMGSTLMATTSILISCGLAAILSSTYSIKKPLNDSVFGAHGEFMLSLKYVSILTIFLFSFLCHSLSIRFINQVNVLINTPQEPMSPVTPKYLSELFEKGCILNTVGNRLFYTAVPLLLWIFGPVLVFLCYLSLLPLLYNLDFVSCNAHNKNNTTKVEANKGIVVGSENFV >KGN60856 pep chromosome:ASM407v2:2:2424879:2433866:-1 gene:Csa_2G014830 transcript:KGN60856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESIPISIIAKICEYTVKPVGRQLCYVCFIHSNFQKLKSQVEKLTDTKGSVEDKVFIARRNAEDIKPAVEKWLEKVDRLVRKSEKILAHEGRHGRLCSTNLVQRHKASRKASKMADEVLEMKNQGESFDMVSFKGRISLVESPLPKAPDFLDFGSRKSTVEQIMDALSDDNVHKIGVYGMGGVGKTMLVKEIVRKIEESKKSFDKVVTSTISQTPDFKRIQGQLADKIGLKFEQETIEGRATFLRRWLKAERSILVVLDDVWEYIDLETIGIPSVEDHKGICKILFTSRNKQLISNDMGANKIFEIKVLGEDESWNLFKAMAGEIVEATDLKPIAIQIMRECAGLPIAITTVAKALLNKPSDIWNDALDQLKSVDVGMANIGEMDKKVYLSLKLSYDYLGYEEVKLLFLLCSMFPEDFNIDVEKLHIYAMSMGFLRGVDTVVKGRRRIKKLVDDLISSSLLQQYSEYGNNYVKIHDMVRDVAILIASQNDHIRTLSYVKRSNEEWKEEKLSGNHTVVFLIIQELDSPDFSKLMLPKVQLFVLFGPSPSIYNRHVVSVVETFYKEMKELKGLVIERVKISLSPQALYSFANLRLLRLHDCELGSIDMIGELKKVEILDFSKSNIVEIPMTFSKLTQLKVLNLSFCDELEVIPPNILSKLTKLEELHLETFDSWEGEEWYEGRKNASLSELRYLPHLYALNLTIQDDEIMPKHLFLAGELNLENFHITIGCQRQKRHIDNKTNFFRIKMESERCLDDWIKTLLKRSEEVHLKGSICSKVLHDANEFLHLKYLYISDNLEFQHFIHEKNNPLRKCLPKLEYLYLEELENLKNIIHGYHRESLFSKLKSVVVTKCNKLEKLFFNCILDDILSLEEIAIHYCEKMEVMIVMENEEATNHIEFTHLKYLFLTYVPQLQKFCSKIEKFGQLSQDNSISNTVDIGESFFNEEVSLPNLEKLGIKCAENLTMIWCNNVHFPNSFSKLEEVEIASCNNLHKVLFPSNVMSILTCLKVLRINCCKLLEGIFEVQESSITDTSLIVLKNLRELKLYNLPNLEYVWSKNPCELLSFVNIKGLAIDECPRLRREYSVKILKQLERLTMDIKQLMEVIENQKSTDHNMVKSKQLETSSKVEVLLTGDGSELFPNLKELTLYGFVEDNSTHLPVEIVQILYQLEHFELEGAYIEEVFPSNILIPMKKQYYARSKNSVRSWFLSKLPKLRHLWSECSQKNAFPILQDLNVIRISECGGLSSLVSSSVSFTNLTVLKVDKCDRLTYLLNPLVATTLVQLEELTLRECKMMSSVIEGGSAEEDGNEETTNQIEFTHLKSLFLKDLPRLQKFYSKIETFGQLSRDNSENPETTTIHNRIGDSFFSEQESLPNLETLRIDGAENLRMIWSNNVLIPNSFSKLEEVEIYSCNNLQDVLFHPNIINMLTCLNTLRIKNCELLEGIFEVQEPISVTKTKTNAIVLPNNLIELELYNLPNLEYLWSKNPNFERLVTFESIRSLSIEKCSKLKGEYFLSIKTFKQLVRLKMGIRQLTVALGKEVKSADHSMLLEPKQLETSSSKVEVLQLRDGSKLFSNLKELKLYGFVEYNSTHLPMEIVQVLNQLEKFELKGMFIEEIFPSNILIPSYMVLRELTLSKLSKLRHLWGECSQKNNDSLLRDLTFLFISKCGGLSSLVSSSVSSFTNLRILEVEKCDGLSHLLSSSVATTLVQLEELRIEECKRMSSVIEGGSSEEDGNDEIINDDDDDTWHHPKESIEMVVETDMNVIIREYWDDNIDTRISNLFGEENLEESQFEHSSSSNKVEE >KGN61311 pep chromosome:ASM407v2:2:6535409:6536295:-1 gene:Csa_2G079630 transcript:KGN61311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLNVAQGFLLIIKNQKSWVYLSPSELSAVADTYGCKDGCGQSTYLGLPLHGKPKSYSFWQPVIENLKISLALGHLLSYQRENDVGCLMRKVIAAKYGIFCSGNKAGNHSMFSARSPWKLNNNPLSLAFPRLYELSLSKDAFVADMSHALDAGLNLCFRRNLNDVEFAERPATNHRKASG >KGN62628 pep chromosome:ASM407v2:2:17452602:17455234:1 gene:Csa_2G362410 transcript:KGN62628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSESRDPDVINVMVYGVISWTLGFILLRKAVFPNRSFEFCNRLISTIHAFLAVTLASISVQNWRCPICPLASKSSSFQMQTLSVSCSYLIYDMVCCHFDKKVSLDNTIHHLVSIVGIAAGLAYQKCGSEMVAALWITEISSPFLHLREILKEIGYKGTDLNLAADIGFAVIFSFARMVGGPYLTYVTLFANVPFLIKAMALGLQLVSAYWFYKIVRMIRFKLNNRSTLKKS >KGN60834 pep chromosome:ASM407v2:2:2195387:2195703:1 gene:Csa_2G012650 transcript:KGN60834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTRTEILKGTPLLKPAFYHPCTVVHFFILFEYKSREIKAHFFCPALGFSDKLSLEVFVIWVSVCCENHQHFDCFL >KGN62352 pep chromosome:ASM407v2:2:15955595:15957687:-1 gene:Csa_2G350350 transcript:KGN62352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMMSLQHLQIVSTQIIKPSSPTPPNLNIHTLSLFDQLAPHTFVPLLFFFSHHGPSSGTYYDHTTVELLRQSLSTTLSRYYPLAGRIKDKVSIDCNDEGVTFVEARLEGVTVSKILENPRSEIVEKLFVDGLQWKDSKMGSLLKVQITLFECGGLSIGVLLSHKLGDLATLVKFIQDWGVITRNNGFCDEEEIINPLFFSGDLFPHGDLPAMSGAVIEEGNFTCKRFVFEGSKIESLKNRISEKVQNPSRVEVVSALIYKAIISASRTNNNSQNHPATLLLQTLNLRKRVAPPLPDTLVGSLVSFFPVGVDGEREVVELHELVGTMRKEMGEFCEKYAKKYRTKEWLELIKKRLNESREILSKNGNNQLVYRCSSGCNFPIYEVDFGWGAADWITMPAFKMKNTVMMLDAKNGRGIEALVSLQDGEMAAFQDNEELLAFASLNPSVH >KGN63372 pep chromosome:ASM407v2:2:22695267:22695570:-1 gene:Csa_2G433380 transcript:KGN63372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIYVSLALILDSWISSNITPRSCPYPSIYPIFHPYKFPRGEPGGVGSGLDNVFPILDPELSLGKI >KGN60809 pep chromosome:ASM407v2:2:2007197:2008889:1 gene:Csa_2G011420 transcript:KGN60809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFEKDLNLEATELRLGLPGTSPDDQSNSPINRTNSNKRALPSDDQNSSESRREINSDTSKCSQENTPPTKAQVVGWPPVRSFRKNSLQAKKKEETAAGMFIKVSMDGAPFLRKVDLKIYQGYPDLLQALENMFKFSLGKFCEREGYNGSEFVPTYEDKDGDWMLVGDVPWEMFMSSCKKLRIMKGSEAKGLGCGSV >KGN63324 pep chromosome:ASM407v2:2:22330318:22334098:-1 gene:Csa_2G428970 transcript:KGN63324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSCPFCDQVVPSDELQRHANGHFEDEENDNKQRQLAMDFELAQQMAFSSTSYDNDNDNGDMPQEIKCEGSLSSNKLSCESGTSVMGELNVNEKVSCLIGSQNRGAFYKVEGGLMSLLKNCLELESHDSTSILSGYVDHYQSIEFEDFGWGCGWRNIQMLCSHLLMQRPETRNILFGGSGVVPDIPSLQRWLEVAWERGFDVHGAEHFNYKIYGKKNWIGTTECAALLRSFGLQARIIDFGPKECEELYLSVPGSSSGAQMENLIDANKRKTIKVCGPMDRYLVRTNDSDPQTGSSGHENSVYFKISQNVTKNKSPKKAKGHQVLVDWVWNYFSDGRICTYDHQRVNISEKTPLFFQHDGHSRTIVGIQVKQKCNGMQQYNLLILDPAHNTRGLERALRENIGWQQLIKRGIHTLNKPQYQLCYIDSGIAAGVDVELLKTIDSIFLEI >KGN62163 pep chromosome:ASM407v2:2:14632735:14635829:1 gene:Csa_2G302290 transcript:KGN62163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLQNPNANTNSNPNTISSPIRVLWPTIDGSLGLSEEESVSYARRFYKFGFALLPFLWAVNCFYFWPVLRSRSFPRIRPYIVGSAIGFGVFMAIISSWALTFSIGGERLFGPVWDKLVMYNLADKVGLTGWS >KGN61702 pep chromosome:ASM407v2:2:11081888:11083392:1 gene:Csa_2G228380 transcript:KGN61702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEALTEDQIADFREAFCLIDKDADGFITMEELGAVIQSLDVHPTKNEIRDMISEVDVDNNGTIDFDEFLNVMARKMKDNVTEELKEAFKVFDRDQDGYISAFELRNVMINLGERLTDDEAEQMIREADLDGDGRVSYEEFARIMTIS >KGN60726 pep chromosome:ASM407v2:2:1463950:1464546:1 gene:Csa_2G008660 transcript:KGN60726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEVKLRLPDAVSHGQVSTLLSSFHIKTHRQENFFFDGLSGELSSRLAVLRIRFYDGDARCVICLKARAVLVDGVSRVEEDEEELEPSVGRACVEDPQKLKEVESRIMGRVKDEYGEYGFVGLGGFRNVRSVFEWNGLKLELDETSFEFGTLYEIECESNDPDAAKKMLEDLLKENEIAYSYSEASKFAIFRSGKLS >KGN61393 pep chromosome:ASM407v2:2:7724938:7730346:1 gene:Csa_2G109700 transcript:KGN61393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTQKVGLAQRDIEQAIIALKKGAHLLKYGRRGKPKFCPFRLSSDESLLVWYSGKDEKQLKLSLVSKIIPGQRTAIFQQYPQPEKEYQSFSLLYNDRSLDLICKDKDEAEVWFVGLKALITRGNHRKWRVESRCESVSSDSPHARLRRNSPSITPCDPGDLDGVSYENTGQNRLGKAFSELLSYTAVSKGTSQPKSIVNPSLSTALIDNSNGRISASEGFRVSLSSAVSSSSQGSCPEDFDALGDVYIWGEGIGDGLLGGGVNKVGSQCSLNSKLDALLPKALESTVVLDVHGIACGGRHAVLVTRQGEIFSWGEESGGRLGHGVEADVSHPKIIDTLSGTNVELVACGEYHTCAVTLSGDLYTWGDGTHNYGLLGHGSEVSHWIPQKVGGQMEGIRVSYISCGAWHTAVVTSAGQLFTFGDGSFGALGHGDHITSNFPREVETLRGLRTTKVACGVWHTAAAVEAINELSDSGTSASPSSRKLYTWGDGDKGRLGHGDNEPRLVPECVAALIEERICQVACGNDLTVALTTAGRVYTMGSTAYGQLGSATANGKLPTCVEGEIANSFVEEVACGSYHVAVLTSKNEVYTWGKGLNGQLGHGDNNHRNTPTIVDVLKDKQVKNVACGSKFTVVICIHKWVSSADHSVCSGCHNPFGFRRKRHNCYNCGLVFCKACSSKKSLKASLAPNLNKPYRVCDDCFNKLKKIMESSSVSQNARARTGNIHYKSNGVMDKETWVPKLQATLSRLSSFGAINNAESMHSRLASRATSPVLGTSSPIRSSEDFSEDSKRANDTLTQEIISLRAQVKPYCFCFYLFVCFSFGEKKPT >KGN60621 pep chromosome:ASM407v2:2:768205:768453:1 gene:Csa_2G004705 transcript:KGN60621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDGSLSVSVSTSPKHANRDAETVRSNSPKGQCLCSPTMHQGSFRCRLHRSTSSAWMKRSKSMPTNNPVASLSPKESLESS >KGN61748 pep chromosome:ASM407v2:2:11569725:11572230:-1 gene:Csa_2G237180 transcript:KGN61748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPMRIMIVALVVTLLLLVVEGIDTNDVYSPCLDSKIQRSDGFTFGVAFSSKESFFQDQIQFSPCDTRLSLASKMAQLVVFRPKVDQLSFLTINSSTFNPALNGGYMVAFAGQKYAARSLPVLEFQRGILQNLFWKKFGCDKCSGDFSLCVDNQDCAIPNSKCKYNGGSVDCNLGIQLAFSGTDKNLEVLNSWYEVDNLRRFSLYQLFSDVRDTVTNPFG >KGN62986 pep chromosome:ASM407v2:2:19794763:19795587:-1 gene:Csa_2G382590 transcript:KGN62986 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ccr4-associated factor MSILPKGESINIREVWNDNLEEEFALIRDIVDQFNYIAMDTEFPGVVVRPVGSFKNINEYNYRTLRENVDTLKLIQLGLTFSDENGNLPTCGTDKFCIWQFNFREFNICDDIYASDSIELLRECGIDFQKTHEEGIDVNRFGELLMSSGIVLNDNIFWVTFHSGYDFGYLLKLLTCRNLPETQAEFFDLIHMYFPMVYDIKHLMRFCNSFHGGLNKLAELLEVERIGVCHQAGSDSLLTACTFRKLRDTFFNGSTQKYAGVLYGLGVETGQTTN >KGN61984 pep chromosome:ASM407v2:2:13344384:13354798:-1 gene:Csa_2G279210 transcript:KGN61984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEANIYDLKVPLNSRDLDYNLEKDRANLFFYGEVWRGRVRSNVEEHRTIDTIEAGGFLTDEFFNQAITSTCRLRISQITYPAKLRSLQKGLAMVHGVKRATVHLEFKEAKVLFDPNLTTETLILKAIADIGFEADLISVGDEAYEVHLKLDRASSGDMGAIKSSLEQAVGVTYVEMEVVERMVTVGYEPDRTGPRSILQFLEKYGARLYVPPKRRELEQHQEACAYRNLFLFSCLFSVPVVAFAMVLPMLPPYGDWLNFRVCKMLTIGMVLKWIFCTPVQFLAGGRFYVGSYRALQRKSANMDVLVAVGTNAAYFYSVYIVFKASTSNSFRGKDFFETSSMLISFILLGKYLEVMAKGKSSDALGKLAHLAPDTACLMNFDDNGSLLSEVEIDTQLIQRNDIIKIVPGAKVPVDGIVIGGESNVNESTITGEARSICKSTGDKVIGGTVNENGILFVKTTHVGTDTTLSRIVQLVESAQLSRAPAQKLADQISKFFVPVVVVAAFVTWLGWLICGEIGLYPKHWIPKGMDEFELALQFSISVLVIACPCALGLATPTAIMVASGKGASLGVLIKGASALQNAYKVKTVVFDKTGTLTVGRPEVVSVVLFSTFPMQEVCDAAIAIESNSDHPFAKPVVEHAKKMRRKFGARSECCKRVQNFEVFPGGGVGGKIDRKTVLVGNKRLMRVHNVDITPQVDRYTIENERLAQTCVLVAINGKIAGGFGVLDTPKPGTKAIISFLRSIGISTIMITGDNLATAFAVARGVGINKVFAEMDPIEKANQIKSLKTRGNIVAMVGDGVNDSHALAAADVGIAIGAGTNIAIEAADIVLMRSNLEDVVTAIDLSRQTVYRIWLNYIWALGYNIIGMPIAAGILYPFFGIRLPPWLAGACMAASSLSVVCSSLLLKCYRRPLNFQST >KGN62347 pep chromosome:ASM407v2:2:15936911:15940175:-1 gene:Csa_2G350300 transcript:KGN62347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESACLLRSFSHPSDASREAKEGDPIRALGESISFGRFMSESLAWEKWSAFSHNRYQEELERFSKPGSVAQKKAYFEAHYKRKAAQKAAAELEEADTEGTMNQVKTEETEVCTSSCVESEPLRSPTFQVIESNEQHNVVQNAESISPTDADVHDSMNEESMVETLITDNVEEVLDKNNSMETAPNIENENQNEKDEDHVKTVIAEEVKTPAENPPAEKEIISSGGSLKRLRNYSKLLTRSWSSKSQSSPAKKATTPSQQFMHKGNATPKSKKHVGTIVEKLSMKSVHMSMNFNSGPKEIGKTSPKLPQIGRKRTHMSSLAPSKETSTPPQKFVSRVASSVNGLLKQPLIKPPLENKRCLKSSNASGTGSKERPPLICSPFSFRSEERVAKRREFFQKLEEKANSKEMEQKQLQARCQERKKNDITKLRQSRNFEAKANQESNQGSKPPTDHIKMIPVTRPRSLKLGRKSASSSAVQSVSSLPPKRPSVTSNDSKSVVRKRYGGTTQSVTSFGKKTNGCENFSPNIQT >KGN61829 pep chromosome:ASM407v2:2:12215893:12216054:1 gene:Csa_2G249840 transcript:KGN61829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKSLHGGPSPIGRGGRDGHASASTLREVEQNIAPIKKVVERNTNPETPICP >KGN63052 pep chromosome:ASM407v2:2:20269093:20283315:-1 gene:Csa_2G393190 transcript:KGN63052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFHSRETKDPQDSRVIIFSNFRGSVRDIMSALSKIEDIVRATEFIGQSSGKSLKGQSQKVQQAVLEKFRAGGFNVIVATCIGEEGLDIMEVDLVICFDANISPIRMIQRMGRTGRKHDGRVVVLACEGSELKGYMKKQATSKSIKKHMQNGGVNSFNFHASSRMIPHAIRPEVQFVKLSIKQFVRPGKKVKDDHAVQISFKNKLTDTETQLLLKYFHPCDDAWRPSLIAFPHFQTFPSRTHGVLHSCRTMVLIDTMQHLQGLHFSRESESFSVAQSINEVNASKAELEVPLVYPEVSLPQNSAENNCISGCCSPKHSTIDIIGSGSKLLNFSEPGSYCVRTAQDLIVPTEAVIKQTTSQTKILPDEFFPSPETDCVPVLEDKAMNLIETNHQNTLLKRIFFNKDTVDEKLVLEIEPQFTPADEFSITETQLSPRLTNLIESGFVPDSPIDDCGYSRQRISESAKSQFILPAQVDGLQLLNSSSSGINEMINCNAGFCAGNDIFLASSEGQSSALKDNESVGIKSHAPTSPMADEIQTPLATIASSCDNEVWDSVNGEKFSSVPKPHKFKRLRKVGDMKKNENIESMAKTSISPLGNMVGTFSSTRQFKKKKRDGERRFDDNVKAFIEEEAEVSSDATISGDEDDNIKSSSDSFIDDRVNASASSTQDGTSKPDMMAIYRRSLLSQSPFGRLTSPLATRVTESETSPDKTLNIFQSTVTDDVNQSHTLHSKHVKMNCSPEVVIATIGVCPRTTDVESMNRNSTFCTSEPVPVLNLDKQFELVVAGRESISEVDSNRNVFIDDDEFYEGLDLDAVEAHAKLLLQKKVELPQIMVTQQQKNIPIDTSPSFDLGI >KGN60814 pep chromosome:ASM407v2:2:2045301:2049798:-1 gene:Csa_2G011470 transcript:KGN60814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGPITVLDGTHLRDIDLTPPFSDSVLTGAHLLDLADSTASSSLFGIPLPETLKSSALNIIGLHDIVAFRRSELTSQRASQILKDYVSAIADILRDDPLLVSILDGNTLRIFLDDEDDFAMLAETLFTDLDTEDKGKIKKSEIKNALVHMGVETGVPPLSEYPLLSDILQKHEVESSTELGQAQYAEVLQAVLQELADALAKKPYVFIQNIKITNGAQVKKLLTDEKQFNDVIEKLWQWQGTHKEENGVTTLQKIRNYFEKEWKELGLPPPTETNEAIVLLYDEIFADIAKEKCDSISDKNQLEKLAKEILEIFVEQLEASPVYYDICGAQMSKVATV >KGN62932 pep chromosome:ASM407v2:2:19514065:19515492:-1 gene:Csa_2G380610 transcript:KGN62932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSEIEIMSENIDQNVRDNVVISIDKMLEGLPRVNPKCNTIYQVPKELREINDKAYVPQFISIGPFHYRTRKDLIANEHYKLQGFFNFLGRISIINSHIQLLEENQVNISSKVLVEKSHDWVKEAWNCYAEPIKMKDEEFIIMMLVDACFIVEFFLLYYGSFHEDGKLFNAELSLFYYGVFYEILLDLIKLENQVPFFLLQNLFDLMPKDKVDISSIIGGYKDSPISLIDLTYMVLKEFGFVREYKINNLYHKNPKHLLDFLSFYFLPVPPNNWNRKFDHVKISKQWRLSPPTTTELCEAGVTIKVAKRKNNLCFMNISFKNGVLEIPPIVIEGTFEVLIRNVLAFEIFPAGNQKKYAIQYVTFLDDLISTEKDLCLLVKAGVIINDTGGSDKEVSELFNSLTKLVTTPLPSYFDDTSKALRVHCDGSWNKAKASLKHSYFNTPWAIISFFAATFLIILTILQTIFSAISAFPN >KGN61212 pep chromosome:ASM407v2:2:5417870:5419861:-1 gene:Csa_2G070290 transcript:KGN61212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLIDPFTADSDISFVSSGRRSIDHCFPGAWNSNAATGSDSSLLSNASEFEVFSPGSTSLMLEMGERTNKYVDSSTNDHYNNTSSFHQDMSFCSSPSETFSWPSNSHAQDDVEAEMRRLKLELKQTMDMYSTACKEALTAKQKAMELHRWKVEEEQRFEAARMGEEAALAMAEKEKIKCKAAMEAAEAAQKNAALEAKRRAKVEKMVDMEAQEMRRTLSFSGYGHAEIGYRKYEIQEIETATKGFSESLKIGEGGYGPVFRGELDHTPVAIKVLRPDAAHGRSQFQQEVEVLSCIRHPNMVLLLGACPEKGCLVYEFMANGSLEDCLFRKANDPILSWQLRFRIAAEIATGLLFLHQTRPEPIVHRDLKPGNILLDSNYVSKISDVGLARLVPPSVADSVTQYRMTATAGTFFYIDPEYQQTGLLGIKSDVYSLGVMLLQIITARPPIGLAHAVERAIEKGKFAEMLDPEVKDWPVEDALKFAKLSIKCAEMRKRDRPDLGKVVLPELNRLRTMAEESMGLGSFSCSSTCSSPYASQTSQEMMNSVQRRVGAWIDTSSSQSDSSSSSYSREKPYWLD >KGN62388 pep chromosome:ASM407v2:2:16159838:16163297:1 gene:Csa_2G351690 transcript:KGN62388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFFEDLLQMLCIRGFQDKTRLLSESFIRTVSESMANSACGVSELELCRNDSAALHFKLIAIASILTAGVIGIALPLFGKQRRFLKTDGNLFVAAKAFAAGVILATAFVHMLPDGSKALSDPCLPEFPWSKFPFSGFFAMMASLLTLLVDFVGTQYYERKQGIIRSKEEANRVGSLMDTGLESGIVPAGDLNVKVFGEEEGGGMHIVGMHAHAAHHRHNHPQGKEACDGHMGVHDHGHGHAHGHGHSHGLDGDDDESGVRHVVVSQILELGIVSHSVIIGLSLGVSHSPCTIRPLIAALSFHQFFEGFALGGCISQAQFNTLSTSLMAVFFAITTPLGIAVGAAVASTYNPNSTGALVAEGILDSLSAGILVYMALVDLIAADFLSKRMSCNFRLQLVSYCTLFLGAGLMSSLALWA >KGN62462 pep chromosome:ASM407v2:2:16604747:16607849:1 gene:Csa_2G354880 transcript:KGN62462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSFDRWEKDSFFSAAEEVQESADRVESTYRTWVHAKKDDCSIWNCEELGRDLRTALGTTKWQLEEFERAVKASYVNNSNDDARDRHREFILAIEDQISKTQSSVQELSQSKGKPSMPWMRLDEGESEELAMFLSGPSAAENKTAVNNVVSNNENPHGKNEESAPACSINSHQPVEQGSHGDRDEKSHGHRRTASASPDIGSWKIAISGVDFQQCPLNGETQKLVRKIPSFSGFLNSVESASNFKWPKNGFRKLKVLDRHQETNSKLQSPQSARGINVGYERNKSCLDSCDDFYDKQLYGWYGSIKRQFQRSIYQMQYNRPVQVTLSTVLIVSLVLVLLRVL >KGN62997 pep chromosome:ASM407v2:2:19890246:19891057:1 gene:Csa_2G382700 transcript:KGN62997 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytocyanin-like arabinogalactan-protein MANFSIALSLFTFLLLFISFSEAREILVGGKSNAWKIPSSQSQSLNQWAETSRFRIGDTLVWDYEDGKDSVLKVTKEDYEACNTENPEQRFEDGKTKVELEKPGPFYFISGAKGHCEQGQKLIVVVVTPRRRFIGISPAPSPAESEGPAVAPSSGAGNLKVGLLAVVVGILGVGVGLV >KGN63022 pep chromosome:ASM407v2:2:20037582:20039118:-1 gene:Csa_2G383930 transcript:KGN63022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVPTKSSKNTSMWAFLKPLTWKLWVVIGCAFVFMPFIVWILEHRVNEKFNGSVVDQIFNSLCYSFSTMVFVHLNLENLDWEGFPRGSPLVSDISRAILKVTEGDRIREIENQWFKKYRLFEGLFLVTGIASISFVVGYLVVFLYKELPQSWKPNRSFQTIIVELFSTFLATDDIAIAIGRSCEHQD >KGN61169 pep chromosome:ASM407v2:2:4798694:4800709:-1 gene:Csa_2G060500 transcript:KGN61169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLEEESDTERASNLLRQAMTIIKDLRPGFDLIKLKYKAPPNFNIPKSHLQCFGESVYCSGEDMLGKCNAGGSALERLGAVVGWSISTTRPLIFGAFPYNPILGETHHVSRGTLNVLLEQVSHHPPVAALHATDETHNIEMIWCHYLSPKYRGTSVETEMCGKRELKLLNHGETYVMNCPSLVFKFIPTKAFEWSGKVKIQCIETALTAEISYKGLSFLGRKSNSRAVNGSIFAQSFSIKNLCHIDGQWDRSVTMKYHNGNAKVIYDANEVISNLKTPVVVDPKGVKATESAKVWGEVSQGILSKDWKKAKKAKMAVEERQRELAKERESRKETWVPKHFKLSYSKENGWDCSPIQSTVPPAPIVVPI >KGN60917 pep chromosome:ASM407v2:2:2762705:2763016:1 gene:Csa_2G023840 transcript:KGN60917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIGSIVGAISGLKHGGKKINGTVVLVRDNVLDFNDFGSTVLDNLHELLGGGVSLQLVSAQHGDPCEFFFCLNHRSLMFLCFDFSPTFKQNRI >KGN60627 pep chromosome:ASM407v2:2:814446:817377:1 gene:Csa_2G004760 transcript:KGN60627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSDTHFNIHLFLHFFFFFGTFLLCLSSSSSALEEFRQASSHGGRGLTDAEAHYIRQRQLLYYRDEFGDRGEEVVVDPKLVFENDRIRNAYIALQAWKQAILSDPLNLTKNWVGSDVCHYKGVFCAPAPDNSSIRTVAGIDLNHGDIAGYLPEELGLLVDLALFHINSNRFCGTVPHKFKNLKLLFELDLSNNRFAGKFPRVVLELPELKFLDLRFNEFEGTVPKELFDKDLDAIFINHNRFRFDLPENFGNSPVSVIVLANNKFHGCVPGSIGNMTRLNEIILMNNGFRSCLPSEIGFLKNLTVFDVSHNEFFGKLPETIGGMVSLEQLNVAHNFLSGKIPDSICKLPNLQNFTYSYNFFTGEAPSCLALPDFDDRRNCIPERPVQRSERQCKSFLSKPVDCSSFGCPSFVAPSPPVVVPSPPPVVVPSPPPVVVPTPSPPVYPPPPPKSESHPIYRPLPPPPPPPPSPSPPPPPSPPPPSPPPPVYSPPPPPPPPPPSPPPPSPPPPVYSPPPPPPPPPPVYSPPPPPPSPPPPSPPPPPPPVYSPPPPPPSPPPPSPPPPSPPPPAPVYCVRPSPPPPPPNSPPPPPPLFSPPPPVYYYNSPPPPNSPPPPPMYSPPPPPHSPPPPPHSPPPPIYPYLSPPPPPPVYSPPPPPPVYSPPPPPPCIEPPPPPPPPCIEYHEPPPPPSPSPPPPIQYLPPPSPSPPPPPVYHSPPPPVYYSPPPPPSASPPPPPVSPPPSPVYEGPLPPIYGVSYASPPPPPFY >KGN60712 pep chromosome:ASM407v2:2:1394134:1396070:-1 gene:Csa_2G008045 transcript:KGN60712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFSCRLYCLLLPASLLLLVFLQFFSVPPLLDLSQATEAFPLASSFFPINSMREGNKPMKAIFIKKKKKTSLKMIEASLAEARASIRKAVLWKNFTSEKKETYIPRGPIYRNPYAFHQSHIEMVKRFKVWSYREGEQPLFHDGPLNSIYAIEGQFIDELDCSKSPFRASHPDEAHVFLLPLSITNIIHFIYRPITSPADYNRDRMHRVTTDYIRVVANRYPYWNRSNGADHFVVSCHDWAPEISDANPQLFKNFIRVVCNANITEGFRPNIDIPLPEINIHPGTLGPPDLGQPPERRPILAFFAGGAHGYIRKILIKHWKEKDNEVQVHEYLPKTQNYTKLIGESKFCLCPSGYEVASPRVVEAIYGGCVPVIISDNYSLPFSDVLDWSRFSVQIPVQRIPEIKTILKAISEEKYLKLYKGVIKVKRHFKINRPAKPFDVIHMLLHSLWLRRLNFGLPH >KGN62049 pep chromosome:ASM407v2:2:13800555:13804315:1 gene:Csa_2G292790 transcript:KGN62049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADFDFSFVNPAVAEIIVVRHGETAWNADGRIQGHLDVELNEAGRQQAVAVANRLAKEPPLSAVYSSDLKRALETAQIIATTCGNLEVITDPDLRERNLGDLQGLVYREAVLTNPEASEALRSHRSDQTIPGGGESLDQLYQRCTSSLQKIGNKHRGQRVVVVSHGGTIRALCKRAHPHRHGGGGKVLNTSVNVFHLSDGDKWKIKTWGDVSHLDQTDYLQSGFGGDKNSG >KGN62082 pep chromosome:ASM407v2:2:14037011:14038810:1 gene:Csa_2G296070 transcript:KGN62082 gene_biotype:protein_coding transcript_biotype:protein_coding description:Geranyl diphosphate synthase large subunit MSAMSLGTSFQSCSIFSQASRSTGYPLKRGLKSFIIPKRRLPTSSISSLSICAVLTKEETLTEEEGKPTFNFKEYMVQKGASVNHALDAAVFLREPLRIHEAMRYSLMAGGKRVRPVLCIAACELVGGNESMAMPAACAVEMIHTMSLIHDDLPCMDNDDLRRGKPTNHKVFGEDVAVLAGDALLAFAFEHIAVSTIGVSPPRIVRAIGELAKSIGAEGLVAGQVVDINSEGAADAGLEQLEYIHLHKTAALLEAAGGEAAVVLGAILGGGSNEDVEKLRNFARYIGLLFQVVDDILDVTKSSNELGKTAGKDLVADKVTYPKLMGIEKSREFAQKLNRDAQEQLSGFDPDKAAPLIALANYIAYRQN >KGN62220 pep chromosome:ASM407v2:2:15137494:15141652:-1 gene:Csa_2G336670 transcript:KGN62220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRLMFENSCEIGVFSKLTNSYCLVAIGGSEDFYSTFEAELGAVIPVVKTSIHGTRIIGRLCAGNKNGLLLPHTTTDQELQHLRNSLPDQVVVQRIQERLSALGNTIACNDHVALAHTDLDRETEDLIADVLGVEVFRQTVAGNDLVGSYCSFTNRGGLVHPHTSIEDLDELSTLLQVPLVAGTVNRGSAVIAAGLTANDWTAFCGSDTSATELSVIESVFKLREAQPSSIVEEMRKSLIDSYV >KGN62970 pep chromosome:ASM407v2:2:19697194:19698899:1 gene:Csa_2G382440 transcript:KGN62970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKVASASEYLAITGVGISDIKLAKKAWVLPGQSCTIFDISPVNYTFEVQAMSAEKLPFILPAVFTIGPRSDDMDSLLKYAKLISPHDKLSNHVKELVQGVIEGETRVLAASMTMEEIFRGTKEFKQEVFGKVQLELDQFGLLIYNANVKQLVDVRGHEYFSYLGQKTQQEAANQAKIDVAEARMKGEIGAKSREGQTLQNAAKIDAETKIIATQRQGQGKKEEIKVKAEVKVFENEREAEVAEANAELAKKKAAWTRAAQVAEVEAAKAVALREAQLQKEVEMMNAMTMTEKLKAEFLSKASVEYETKVQEANWELYDKQKKAEAVLFEKEREAEAQKALADAGFYARQQVADGELYAKKKEAEGLVALAEAQALYLRSLLEALGGNYSALRDYLMINGGLFQEVAKINADAIKGLQPKISVWTNGSGGQGLEGGSGAGSIAMKEVAGVYKMLPPLFQTVHEQTGMLPPPWMGSLGDSSQN >KGN60844 pep chromosome:ASM407v2:2:2291366:2295096:-1 gene:Csa_2G013250 transcript:KGN60844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKAVAVLESNQGVSGSIFFSQNGNGPTIITGNISGLKAGLHGFHVHALGDTTNGCLSTGPHFNPEGKDHGAPDDENRHVGDLGNVVAGDDGTATFSIIDKQISLVGPNSVLGRSIVVHADPDDLGRGGTELSLTTGNAGERIGCGVIGLQE >KGN62190 pep chromosome:ASM407v2:2:14914605:14919394:1 gene:Csa_2G324450 transcript:KGN62190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTVNNSSRYSESSSSSSPSSIFLVQLILNHPTSEVLFILNFVTGIGFSEVKELVRMRALNSHFLLIDLHSSWHSANQIPISTLAYLQNSHSVSKFPSSFRRTRPVRKGVISSESSAPSFRSPEIRRPSSDRLFSGNGLLTNLSNSNSSLDLDSASTSSQSKATAELEMFIELLPSRMRKELRSHTEFRELIEVVLDLGRNPIARFPSGDWPISEEPVKHEDLSHAIAKVGDFSDDNRSGMDRSLHRISAIRNRKMQIIGLTCRVGRSISGSAEIIRDLVEGGGSILVIGPPGVGKTTLIREIARMLADDHKKRVVIVDTSNEIGGDGDVPHAGIGSARRMQVPNVNMQHTVMIEAVENHMPETIIIDEIGTELEALAASTIAQRGVQLVGTAHGITIDNIIKNPSLQILVGGIESVTLGDEEARKRKVQKTILERKGPSTFTCAVEMISKTECRVHHRLDTTVDAILAGKPPLFEVRHVDTYANHSMGSSPNHVKSLGLHERLPSKDSNIIAHSDSNLKVRQMETYANHSVESSPKHETILQGRVNLKDLNVIADNDSDIEDVGHFSHQPKSRSVSKKSSPVQVYSYKILESDLLQVAQVLELENEIDVTDDIETADAILATSYEMKQNPWIRSVAKFHHLPVFVIKSNTMAQMVKAIRMIIKIDSSSTSKKPRKTPDIVIEDDAPKRKPSLEEIDALEEVRLAIEYIVIPGGEPVELLPRCSEIVARQLELVESYQLAAEYSSSDPNPRLQILPVRLKNKTLKEPKSILKSIIDEGTGISRLPLLPE >KGN60992 pep chromosome:ASM407v2:2:3273614:3276224:-1 gene:Csa_2G033960 transcript:KGN60992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFISLHPNFSISPKTFTEFPFTKSFCFHRFKSVSNSMTDSSEPVTGNCPSTAENYPVPLSPPLPAISKNLELARAMVASSKSSLYALSANDVIYEDEWLIAVNKPQGIYCENVLAAVPRLLGDSANAGIKTSLPELHLANRLDRDTSGVMVITKSHKVASKLVKAFTDHTVSKSYIAFCVGTSPKWKKINVKSGHGRSKFGVWRVYAAADVGRSLPGGSVVRDMETYFEVLSVNGKNTMEELQKFRRGEEETIVVHTKSLVDIDSHKDEILIRARPRSGRTHQIRLHCQYLGIPIRGDVKYEGVTEWNEKIYDSHELHAESLYFVHPVTGIPLKLQAPLPSWASQALQPQQHEVNSPQSFKTMP >KGN61615 pep chromosome:ASM407v2:2:10203906:10205974:-1 gene:Csa_2G185730 transcript:KGN61615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFISFVGRVLFVSVFVLSAWQEFNDFGTDGGPAAKYLKPKFNVFTRNFESHTGLDFPKVEILHLVAGALVLKGLGSLLFIFNSSIGAFLLILHQAITTPILYDFYNYDVEKKEFNQLFVKFTQNLALLGALLFFIGMKNSIPKRPVGKKNPKSKTS >KGN61264 pep chromosome:ASM407v2:2:5929430:5936609:1 gene:Csa_2G074250 transcript:KGN61264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDAASSPTTRRWTYDVFLSFRGEDTRPKFTKHLYQALDAAGVNTFRDDVELRQGDAVRSELVVAIKKSRIAVVVFSSGYADSQWCLGEIAEIMDCRTADGQLVLPIFYEVDPSDVRKQMGRFAAAFEKHEKRYGVNSVEVLRWRAALTEAASLSGWDLRQLADGHEGKFIQKIVERVQSELRVTYLEVAIYPVGIDLRLKHLISLMAISTNHSTLVLGIYGMSGIGKTTLSKALFNHFFHFFNSRSFLPNINSLSTSSPDGLLRLQQTLLSDLLIATNLRSRSSTTTDSTVVRMQERLQNKKVLVVLDDLDRIEQANALAIRDRRWFGDGSRIIITTRNKQILDTLKVDEVYNMESNLLNDEESLELFSYHAFREQNPPEELLECSKSIVSYCGSLPLALEILGGSFFGGRPMEEWRSAMERLKRIPAWDLQEKLRIGFEGLRDEMEREIFLDVCCYFVGMKEELVVKIMDGCGMYGESGLRGLKWRCLVGVEFWSGRLKMHDLVRDMGREIVRQTCVKEPARRSRVWLYHEALKILLHQNGSENIEGLAIDMGKGNNKEKFRLEAFGKMRNLRLLKLNYVHLIGSNFEHIISKELRWICWHGFPLKSIPSSFYQGNLVAIDMRYSSLIHPWTWRDSQILENLKVLNLSHSEKLKKSPNFTKLPNLEQLKLKNCTALSSLHPSIGQLCKLHLINLQNCTNLSSLPTSIYNLHSLQTFIISGCSKIDCLHDDLGHLESLTTLLADRTAISHIPFSIVKLKKLTDLSLCGCNCRSGSGSSASLPWRLVSWALPRPNQTCTALTLPSSLQGLSSLTELSLQNCNLESLPIDIGSLSELKKLNLGGNKNLRVLGTELCGLLKLNELNVENCGRLEFIQEFPKNMRSFCATSCKSLVRTPDVSMFERAPNMILTNCCALLEVCGLDKLECSTNIRMAGCSNLSTDFRMSLLEKWSGDGLGSLCVAGNQLPKCLHFFTTHPPLTFQVPNINNNILLGLTIFAIFTHLITDINHSPSLRIINRTSSRTHIYRMLGLHYDSLNIHAHHIWAIHLPFSYGYCLNPGDDIELHIPNANAYGVRLVYHLDEPQPIVSFAPSMVVEEQGGASNDHDNDDSTYHVVDQQMQESGSNTTSPWLLRYSFIISILVLGLSLILMIQYGSLSEKTNPIGLGF >KGN61870 pep chromosome:ASM407v2:2:12552992:12553347:1 gene:Csa_2G258660 transcript:KGN61870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIRLPSSLIHHAKQILKMRNQSNVPRGHIAVYVGEIDIQRKRFVVPISFLNHPSFKQLLSHVEEEFGFHHPHGGLTIPCKEDAFVDLTSRFQHS >KGN61376 pep chromosome:ASM407v2:2:7551266:7555350:-1 gene:Csa_2G100580 transcript:KGN61376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDHLVLYVDNLIPPAPPLQPASDLLHPQPSEALPDSPAPAPAPGPSCSTATAHDRTVETDAPNEDDPLIQVAECRICQEEDSLNNLETPCACSGSLKYAHRKCVQHWCNEKGDITCEICHQPYQPGYTAPPRSEETAIDMEGRWTIAGNPLDLRDTRLLAIAEAERHFLEADYDDYAASNDSGAAFCRSAALILLALLLLRHALTITDPDGDDDASAFFSIFMLRAAGFLLPCYIMAWAISILQRRRQRQEAAALAATQVAFVLQHGQHRGLQFAIASTGPPPLTPHPHPHSHPHPHPHPPPPPHTAV >KGN61494 pep chromosome:ASM407v2:2:8802932:8803532:1 gene:Csa_2G147410 transcript:KGN61494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSEEVAIGEKLDLEHEVTKIGNKYEQKKHVVELQGVEGGQQIALLRCLGKNHVVVKLIALWWSLG >KGN62897 pep chromosome:ASM407v2:2:19283834:19288005:-1 gene:Csa_2G379280 transcript:KGN62897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLNVTTAFLSLRQPEFFSDNANRRCLIGNFPSRKPTRPLSVAANSSEPLLSTPAEKPEIELEFIGPKPGSDGSFPVDTVKAISGDKLLRNIMLDNKLELYAPYGKLMNCGGGGSCGTCIVEILDGKDLLNERTNTELRYLKKKPESWRLACQTIVGNKENSGKVVVQRLPQWKK >KGN63265 pep chromosome:ASM407v2:2:21860421:21864183:1 gene:Csa_2G420480 transcript:KGN63265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRLKASNGSGEAKRRILNCRRIKKRVHQILQKDSFVSNAKVESSREIDLEKGTRVLRSNSDMGMEAFNKQIQDVEVQVDKLAGLLIKLKEANEESKSVTKASEMKAIKKRMEKDIDEVGKIARNVKGKLEAVNKDNLTNRQRPGFEKGTAIDRARMNVTNALTKKFKDLMIEFQTLRQRIQAEYREVVERRVITVTGTRPDETTIDHLIETGNSEQIFKNAFEQMGRGQVISTVEEIQERHDAVKEIEKKLSELHQIYLDMAVLVEAQSEILDNIENQVTNAVDHVRTGTDALQTAKSLQKRSRKCMMIGIILLLVIAIIIILSVLKPWKK >KGN61046 pep chromosome:ASM407v2:2:3598875:3603651:-1 gene:Csa_2G035460 transcript:KGN61046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRIPPTLGLTNPGPSNAPKITFAAKDTDVLEWNGDLLAVGVTEKDVVKDDNNNFTNLLLKKLDAVLGGLLAEASSEEDFSGKSGQAIVLRVSGLCFKRVGLFGVGQSAYKAAAFVGLGEAIAAAAQASRAVSLAVALAFSADLSDESKPDIASSIAIGIVNGIFDDYILFCLSVIYFLGQLAAEVLNIARNYSDVLSARIFNEDEIIEMKMGSYLGVTAAATANPPKFIHLCYKPPSGSVSAKLGLVGKGITFDSGGYNLKAGSGSNIETMKNDMGGAGTIFGAAKAIAEIKPLGVEIHFVVAACENMISATGMRPSDIVTAANGKTIEVNNTDAEGRLCLADALLYTCKQGVAKIIDLATLTGACITALGPSVAGAFTPNEELASEVLSAAERSGEKLWRLPIEESYWDSMKSGVADMLNTGPSQGGAITAALFLKQFVDENVQWMHLDIAGPVWNTKKSVATGFGVSTLVEWVLKNAS >KGN61954 pep chromosome:ASM407v2:2:13143756:13145770:1 gene:Csa_2G271470 transcript:KGN61954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVVLELVNRRGKERRRLPFSKEREKMRGIGRMVSSFVGFVLVLTTLLAVVPTTEAQLRRDFYADVCPNVESIVRSAVTKKFKQTFVTVPATLRLFFHDCFVQGCDASVMIASDGSNKAEKDHPDNLSLAGDGFDTVIKAKAAVDAVPGCKNKVSCADILVMATRDVISLARGPSYAVELGRKDGLVSRASDVEGKLPQPSFNLNQLNAMFAANGLSQADMIALSAAHTVGFSHCSKFANRIYNFSRTNPVDPTINPTYAKKLQDMCPQDVDPRIAIDMDPNTPRRFDNMYFKNLQQGMGLFTSDQILFTDRRSKSTVNIWAHSGRTFYTAFIDAMTKLGRVGVKTGSDGNIRTDCGVFNS >KGN61290 pep chromosome:ASM407v2:2:6269312:6274242:-1 gene:Csa_2G075980 transcript:KGN61290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSATRSLGIPDDQIKPILRDLLKMYDGNWKLIEEDNYRTLLDAYFEHKENEGLEGNRSCPVEDKESLIPLKRPRDGEQQNRASFIIGSSGHKLVARKDKISEVHAGHKTTISSNDSEQGLVIRSSERMPSVKPVSVFYPDKIALTNANTVSNSYQKGSSSSQCVRPSSTALFQDQSTGYSRKRKISSEHVRLIACDQHNRQNTNNSLHHMHDLTKGAEKVKISWVNELGNDSIPKFNYIPNNIIFQNASVNVSLARISEDDCCSSCSGNCLLSSYPCACARETGGEFAYTREGLLKEEFLNHCMSMGCEPKKEHLFFCEDCPIERLKNDYKPDRCKGHLLRKFIKECWRKCGCDMQCGNRVVQRGISCKLQVRFFLYLRKMLLFLKFACLHLVQVTESLDII >KGN60582 pep chromosome:ASM407v2:2:487177:488248:-1 gene:Csa_2G000890 transcript:KGN60582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPETSREPCPDRILDDIGGAFGMGAVGGSAFHFIKGLYNSPRGTRIIGGTQAVRMNAPRVGGSFAVWGGLFSAFDCTMVYVRQKEDPWNSIIAGAATGGFLQMRQGPASAARSALFGGVLLALIEGAGIMLNKVLSQQQNMPVVIEEPAGMGGVPGYPTGQLPGRAPPMSLPQAELASISSSSSSSSSDSGNGSWFGGWFGGGKKKESEVSSGGSETTILESFDAPPVPNFEFK >KGN61430 pep chromosome:ASM407v2:2:8085540:8091061:1 gene:Csa_2G120960 transcript:KGN61430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTLRLYLTCIRNTLEAAMCLQNFPCQEVERHNKPEVELKTSSELLLNPILICRNESEKCLIETSINSLRISLKVKQTDELENILTKKFLRFLSMRAEAFQVLRRKPVQGYDISFLITNYHCEDMQKQKLIDFIVQFMEDIDKEISELKMSVNTRGRLVATEFLKQFI >KGN63220 pep chromosome:ASM407v2:2:21427024:21432896:1 gene:Csa_2G416110 transcript:KGN63220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIGDIGVGAAINILSAFAFFLVFALLRIQPVNDRVYFPKWYIKGLRGSPMSSGALVGRIVNLDFRSYLKFLNWMVAALRMPEPELIDHAGLDSAVYLRIYLLGLKIFVPIACLAFTIMVPVNWTNGTLERSSLNYSNIDKLSISNIPIGSSRFWTHLVMAYVFTFWTCYILRKEYEIVASMRLHFLASENRRPDQYTVIVRNVPPDPDESVSELVEHFFLVNHPDHYLTHQIVYDANKLSKLVEEKKKMRNWLDFYQLKYSRSQSKRATVKTGFLGLWGDQVDAINYYSSKIEILSKEISLEADKTVNDPKSVMPAAFVSFKSRWGAAVCAQTQQSRNPTIWLTEWAPEPRDVYWDNLAIPFVSLAIRRLIAGVAFFFLTFFFMIPIAFVQSLANIESIEKTAPFLRPIIELKFIKSVIQGFLPGIVLKIFLIFLPSILMIMSKFEGFISRSSLERRSASKYYIFLFVNVFLGSIITGTAFQQLNKFLHQSANDIPKTIGVSIPMKATFFITFIMVDGWAGIAAEILRLRPLIIYHLRNFFLVKTEKDREEAMDPGTLEFNTGEPRIQLYFLLGLVYAVVTPLLLPFIVTFFGLAYIVYRHQIINVYNQEYESAAAFWPDVHGRIIAALVVSQLLLMGLLSTKEAAQSTPLLIALPILTIWFHRFCKGRYEPAFVRYPLQEAMMKDTLERAREPNLNLKGFLQNAYVHPVFKHDEDDVEIEADSEDWQQEPALVPTKRQSRRNTPLQSKHSGPLSSSHSEVDGGVS >KGN63422 pep chromosome:ASM407v2:2:23106182:23110045:-1 gene:Csa_2G442260 transcript:KGN63422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTKSKSSTPETPNKTSPATPRVSKLNRGIAKSESDSHSPLQRSRLSIDRSPRPATSKPAVDRQLPKVATPPDKAQPRSTKGSEIQAQLNVAQEDLKKAKEQIVLVEKEREKLSNELKEAQKSAEEANEKLREALVAQKRAEESSEIEKFRAVEMEQAGLEEAHKKEEEWEKEIEAVRSQHALDVAALLSTSQELQRVKMELAMTTDAKNQALSHADDATKIAEIHVEKVEILSGELTRLKALLDSKLEMQSNENGQLIMKLKSEIDSLNLELEKAKSYAEMVKEKEVSIERLNSELKAAKMAETCYEETIMDKDASIEQLNIDLEAAKMAETYAHGLVEEWKNRAEEMETKLDSANKLERSASESLDSVMKQLEHNNDLLHNAELEIAALKEKVGLLEMTVKRQKEDLKESEHHLHRAKEEASEMEKLVASLRAQLETVNEEKTQALNNEKLAASSVQSLLEEKNQLLNELETSKDEEEKSKKAMESLASALHEISTEARETKEKLLSSQADQENYESQIENLKLVLKATNEKYENMLENSNHEIDILTSTIEKSKHEYENSKAEWEEKELHLVDAVKKSEEENSSLDKEIDRLVNLLKQTEEEACKMREEEAQLKDSLKEVEAEVIYLQEALGEAKSESMKLKESLLDKENEFQSIHQENEELLTREAASLKKVDELSKLLEEASAKKQTMENGEPTDSEKDYDLLPKVVEFSEENGKRQEEKTKVEPPIPIEHEEHKFEFPWVGNGASDEKTEKTDSAATLQNGNDKPKEAEKKEKEDDSVKVEYKMWESCKIEKKEFSQEGGEPEHESIDDETDSKPEGGESFDPINGVSSENLDDGGHSPSKQQEQQQQQKKKKPLLKKFGYLLKKKNSVNQKQ >KGN62853 pep chromosome:ASM407v2:2:19027928:19029461:1 gene:Csa_2G377890 transcript:KGN62853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHCRFYLFILGNNGLCGEPLGPCKSSTKKWYILIGVLSGAAALTLFLLLLYCFLRPSKSSAAVHDDAKTRTNLFLSPKILFKRPERPHRYSSTDSDENSNLSGPGGSALCFVRTDRLRFDFQELLGASAEVLGSGSFGKSYKAMLSNGSSVVVKRFREMNAAGRGEFYSHMRRLGRLSHPNLLPLVAFYYGKDDKLLVSDFVPNGSLASHLHGRKSEGNARLNWGKRLKIIKGVARGLSYLHKELPNLSLPHGNLKSSNVLLDHNFSPILSDYALFPLLQKSHAHAHMAAFKSPEFSPATADRTSKSTDVWSLGILILETLTGKFPTNYLRQGKGADSDLAAWVDAVVREEWTAEVFDGDLVVGGGNEEEGCCDWDCNEDMLKLLKIGMCCCEWEVGKRWGLKQAVEKIEELNLNDEGEEYYSSYGSDYNGSFVSNSSNVKGRKTRKMITNEDESSFLS >KGN61765 pep chromosome:ASM407v2:2:11694616:11695649:-1 gene:Csa_2G238810 transcript:KGN61765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPGVVVDSARSWFQKFQNRVKGTVCDNEVQDVPSIAMKQKVEVAQQYIENHYKSPMKSLQDRKERCWVLERRLADADVYEENQINVG >KGN61370 pep chromosome:ASM407v2:2:7459112:7459855:-1 gene:Csa_2G100030 transcript:KGN61370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHILRLLPILAFLLFFAFFTITSAQDSHLGSARVVFQTNYGDIEFGFFPKVAPRTVDHIFKLVRLGCYNTNHFFRVDKGFVAQVADVVGGRLAPMNEEQRTEAEKTVVGEFSDVKHVRGILSMGRLVLSTLHA >KGN61580 pep chromosome:ASM407v2:2:9800649:9809318:1 gene:Csa_2G172500 transcript:KGN61580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGASLVRVSSLKLLSSVSHGDDFSSSSKSSSFMGAPLNFLPSYRSRKQKPFHENLVIVSKKISGLEEAMRIRRERELGIVQKVRKRQPLRRGKVSPRLPVPDHIQKPPYVGSSILPEISSEYQMHDSEGIAQMRAACQLAARVLDYAGTLVRPSVTTNEIDKAVHQMIIDAGAYPSPLGYGGFPKSVCTSVNECMCHGIPDSRQLQSGDIINIDVTVYLNGYHGDTSKTYFCGDVSDGMRNLVKVTEECLDRGIAVCKDGASFKKIGKRISEHAEKYGYGVVDRFVGHGVGSVFHSEPLIYHHRNEEPGHMVEGQTFTIETDKKKPLSTAGGEGECVRIKLRVCTI >KGN60875 pep chromosome:ASM407v2:2:2513689:2516560:-1 gene:Csa_2G020970 transcript:KGN60875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISLTKFFFPIPLMMLTMCSTIFRSGSSAGFDYPAVFNFGDSNSDTGELLAGKGFSLRLPYGETYFQSPSSGRFCNGRLIIDFLMEATGMPYLRAYLDSVGRPSFKKGCNYAAGGSTVLPATAAFISPFSFGVQINQFLHFKSRVLQLRAQGDKKIGKFLPVEKYFKDGIYMFDIGQNDLTAAFYSKASMDQAIPTILTEFEIGLQKLYDQGARNFWIHNTGPLGCLAQNIATFGTDPSKLDEFGCLTSHNQAAKLFNSQLHDLSKKLQSQYVDATIIYVDIYTIKFNLIANYSQLGFEQPIMTCCGFGGPPLNYDSRISCGLTKTLNGTVVTANGCKDSSKYVNWDGVHYTEAANEYVSSQILTGKYCDPPFSSVHKKMPFFFKNFKF >KGN60763 pep chromosome:ASM407v2:2:1712747:1718361:-1 gene:Csa_2G009500 transcript:KGN60763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSRRRAAVAAKGNVVAGSVWESRIRFDEVRGGIKVFNGDDENVECGIPTTAASAGSGRRKTWKSDEGFNPILIAEEKPESSPSSGDEQSRKSPTPSRRLRSNSSPNKPVQVSGEKIERNSTRKKTDQSRKSAVGLTKPPTNGIGKTSSEKSFKESNECREKVISSSTSQDFFDAFEEEIEKESFDVKEINLPERKKIIAQTFPGNKQKLQTLMDLIMWRDFSRSGLVFGVGNLVIILSCFIKNINISLISLISHMGLLYLTTIFVHSSIFGRRKRIDSNDENLVVEEEDMIRFAKRLVPFVNELLQNLKALFRGDPSATMKVGVLLFVLAKWGSFITLWNVLKIGFIGVFTLPKLITHGEHWLKLCGSSWKLCSHKRGVFIAIFFLVWNFSSTLYRVWAAFIVLVSFRYYQESPERDVWVKNGEVASRNKTMSTSSKLKKQY >KGN62487 pep chromosome:ASM407v2:2:16722291:16723235:1 gene:Csa_2G356610 transcript:KGN62487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLNMKVHQFARGFWDEPSLSLGCKRLRPLAPKLQQPTSDNTTTTTTTLTTFDLKTFIKPDCGPRKPGSSHDHSKDSSSSSSSLQTGVETHPGGTRWNPTQEQIGILEMLYSRGMRTPNAQQIEHITAQLGNYGKIEGKNVFYWFQNHKARERQKQKRNSLGLPHSPRTPPPPPIIPAFHTGEEDSPYKRKCLYWGFECLVEDNGLMCKKEEDHDRTLELFPLHPER >KGN62474 pep chromosome:ASM407v2:2:16654415:16655367:1 gene:Csa_2G355000 transcript:KGN62474 gene_biotype:protein_coding transcript_biotype:protein_coding description:MFP1 attachment factor 1 MSDQENSPQSHLQFPDSDIKHKDPIKPPMQFATKFSIWPPTQRTRDAVISRLIETLSTPSILSKRFGTIPPDEAATVAQLIEEEAYAYANGSPPSVDDGIEILQVYSKEISKRMLEAVKGRPSPAAPAENGETEEVRSPVVETNHETPTLETGN >KGN63199 pep chromosome:ASM407v2:2:21231171:21231940:1 gene:Csa_2G408940 transcript:KGN63199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDCRPLGFLLGLPFALVALVLSLVGAVIWIIGSVLSCLCPCCVCFAGIANLAVGLVKLPVKVLRWFTHQIPC >KGN60706 pep chromosome:ASM407v2:2:1347969:1348997:-1 gene:Csa_2G007990 transcript:KGN60706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPIFNFLLLSVLFVAGFINFLLYFPSKRFSAWFQSIKPSSQITHFKSTPLQPPPPPSPSPSPSPPPPSAMEMKKVFGTFDKNDDGFITKKELMESLKSMRMMITEKDAEEMLKGVDENGDGLIDFEEFCVLGGKLMMGFEENKKTSVEDEEDELKDAFGVFDKDSDGLISVEELSLVLCSLGMNEGKIVENCKEMIRKVDLDGDGMVNFDEFKKMMRNGVTILTSS >KGN63156 pep chromosome:ASM407v2:2:20956860:20957493:1 gene:Csa_2G406060 transcript:KGN63156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEEDDELEEERSLKKVKSEESGGELKKKKKIRKRRFAFETRSQVDVLDDGYRWRKYGQKAVKNNKFPRSYYKCSNEGCKVKKQIQRLTNDEGVVLTTYEGVHSHPIEKPHDSFQNILTHMHIYPSSSSSF >KGN60846 pep chromosome:ASM407v2:2:2297735:2298132:-1 gene:Csa_2G013270 transcript:KGN60846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPEQELVDYDVTSGNQECSGGYIYKAFEFIKKTSLTTKTEYPYKRTESACNKQKAKYQSVSISGYEKPASVAIDAGGTIFSSILVEFSQAIEQLNREGTIVEYREASNKAY >KGN62447 pep chromosome:ASM407v2:2:16522651:16525945:-1 gene:Csa_2G354730 transcript:KGN62447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATLMRKIGTAINQLAAGNRISSGWYDAHMEAASRAVAERIPLVDFVLEVRDARIPTSSEYEMMKNHPPSSKRIIVLNKTDLADQSQTEVWTRHFEDHNCISYGVNSHNKENIREFLNFLQARVRELKKSGHSSHATTMMLVGIPNVGKSALANSLHQIGRISAAEKGKLKHAVVSSQPGETKNISSLKIASHPNIYVLDTPGIFPPKIDDIEVCSKLALTGAIRDILVGEHVIVQYLLTIVNSSVKYKKWANLSAISLECSTPSNLEKQRRRYPSDHTQDITVNEVRRALFETRSSFDGNLEDEKEMGSLIETQLHTLHKALHVPMDFCNNATIKVAAKLLNLYRTGRLGRYTLDSLPLVNTR >KGN61740 pep chromosome:ASM407v2:2:11493228:11495605:-1 gene:Csa_2G236120 transcript:KGN61740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLPSELTLDFRPSFVPKTITDFFKEVSMIGNVSDRVSKLNDFIKTLEDEVRKIDAFKRELPLCMVLLKDAILAVKDEKMQCAVPKTKPVLEEFIPLKKEQEEDDGDDSKKGNDYRDQKNWMSSVQLWNSDDNHHSNYKLETKRNEKGGPVVTQVSMQSCRTKNGERIQVPFKPSYPIFSSAMVARKEDKEEFPIHGLSLCTPGIKSPMEESASTGSRSSGTRAVSSSTLTASVNLRTGMQQQKQQCSRKQRRCWSKELHRRFVSALQQLGGSQVATPKQIRELMQVDGLTNDEVKSHLQKFRLHARRLPASAVPPANQSSVVVLGGLLVPQDPYADSSKACSSQSGSPQGPLQLAGTGGDSMEEEEDVKSESYCWKSRIQKPGNEDV >KGN62022 pep chromosome:ASM407v2:2:13642281:13644059:-1 gene:Csa_2G287040 transcript:KGN62022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIRMEENHREITSLLNSSDAQSSHVVVEISSMEEDVIRKLYEASKIGCVQTLKTFIKENPNLIHKVLIYTSSITTETPLLHISVSQGHLEFTRLLLDHIPQLATEVDAFQRTPLHIACSNNGDMEIIRALLEKNTSSCLVQDLNGFIPLHYAVISENIEMMKLLIKARPQSILMKALHNNNGKTVLHLCVEGNYLEGMKLLIPQTLLFDKDFLNTMDDEGNTILDLSLTLRRIEMVGYLLTIPEAKTRTNDTKEKILESQKITKARNRKTKRRELVSLCTKKKSIGLWKVWKKKLKYKGDWVQEVQGTMMLVATVIATVTFQGGVNPPGGVWQQDTPFVYSSFNDATKNCLNEFYMNFGLYDLFSYSNTTVLFAAGTGVMKSQQPEVYSIYIWVNTVSFLASMTVILMIVSRFPLKNRICSWLLAFAMCIAVLSLAIGSHSHLDGEDFGGRRTGFPTSHNSHSHLDGEELMPPFHL >KGN61557 pep chromosome:ASM407v2:2:9646864:9647205:-1 gene:Csa_2G170800 transcript:KGN61557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRNRGGDNRQNKNSYQYGGIRNRGGDNRQNKNSYQYGGIRGGDNRQKKNSYQYGGNHSSYQYGGNHSNPNGRGDGNNFNSRMNPNGRGDGNNFNSRGRGRGRGNKPTSPCLL >KGN61980 pep chromosome:ASM407v2:2:13323124:13323742:1 gene:Csa_2G279175 transcript:KGN61980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLISPLYIFDVLSQLISIISTSFLVTLHFWLCSKISRANSLQNLNIGGTYITDISLLAIADNCPNLKTIVLWCCRLVTESGLLILVRNCHQLESINVWAMRVPIDCFVGLVAISPSLQIKSRSVLDSTWV >KGN63184 pep chromosome:ASM407v2:2:21077833:21082032:-1 gene:Csa_2G406820 transcript:KGN63184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGTQSKRDIALELQAQIPILRPSIHARRANITVKFQDLYGFTVEGNVDDVNVLNEVREKVRQQGRVWWALEASKGANWYLQPSVSEGIALKSSLKLSTLANAITLKKLISKGIPPVLRPKVWFSLSGAAKKKSTVPDSYYNDLTKAVEGKVTPATRQIDHDLPRTFPGHPWLDTPEGHAALRRVLVGYSFRDSDVGYCQGLNYVAALLLLVMKTEEDAFWMLAVLLENVLVSDCYTTNLSGCHVEQRVFKDLLTKKCPRIAAHLEALDFDVSLVATEWFLCLFSKSLPSETTLRVWDVLFYEGAKVLFHVALAIFKMKEGELLITHHVGDVINILQKTTHYLFDPDDLLTVAYDKIGSMTTNTISKQRKKQEPAVMAELDQRLRRLNSLKADDK >KGN61542 pep chromosome:ASM407v2:2:9413990:9417086:1 gene:Csa_2G166690 transcript:KGN61542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLVDGDSESISLLATTTVSPIPNKVPKDSFHFAYIIYFTLGFGYLLPWNAFVTAIDYFSYLYPDANVDRIFAVVYMGVSFICLVFIVFYSHKSDAHFRINLGLVLFVLTLLAVPIMDVVYIHGRVGLYEGLYVTIGFVVLCGAADGVVQGGVIGSAGELPERYIQAVLAGTAGSGVLVSVLRIITKSIYPQDASGLRESARLYFVVSIVVMVICIIFYNIVEKLPVVKYYKDLKVQAMNMEEEEKGPLTGAVWRSTLWEIIESVKWYGFGIVLIYLVTLSIFPGFITEDVHSSILKDWYPILLITGYNVFDLVGKTLTAVYVIQNPKIAIVGCAVRLLFFPLFFICLHGPPVFRTEIPVTFLTCLMGLTNGYLTSVLMMLAPKVVQIQHAETAGVVMVLFLVTGLALGSVVTWFWII >KGN61481 pep chromosome:ASM407v2:2:8646793:8646997:-1 gene:Csa_2G139830 transcript:KGN61481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLANSVIGDNGGWRLTSGGWFGNVDEDGSGGQLVDVVENCGQRLTTIVEDDD >KGN61841 pep chromosome:ASM407v2:2:12306561:12316856:-1 gene:Csa_2G250930 transcript:KGN61841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSRARSSSPFSYRKSSSPYSSTSSSSSFTNGKLIPRSCSTSASSYFNSGGGLGSRSMTPNRGRSDSMYHSPHGSSSRTPVGFASEELISEPVDASRCGESISVTIRFRPLSEREFQRGDEIAWYADGDKIVRNEYNPATAYAFDRVFGSQTSTPEVYEVAAKPVIKAAMEGVNGTVFAYGVTSSGKTHTMHGDQSSPGIIPLAIRDVFSIIQDTPGREFLLRVSYIEIYNEVINDLLDPTGQNLRVREDAQGTYVEGIKEEVVLSPGHALSFIAAGEEHRHVGSNNFNLFSSRSHTIFTLMIESSAHGDEYDGVIFSQLNLIDLAGSESSKTETTGLRRKEGSYINKSLLTLGTVIGKLSEGKASHVPYRDSKLTRLLQSSLSGRGHVSLICTVTPASSNLEETHNTLKFANRAKRVEIYASRNKIIDEKSLIKKYQREISSLKQELDLLKKGMLVGVNHEEIMNLRQQLEEGQVKMQSRLEEEEEAKVALTSRIQRLTKLILVSSKNSIPLSDIPSQARNRSLGDNDNFHVLRDVSLPTESENLKGSPSSLSEAQSNPSYDFKQRSSSSKWNANEELSSASSTVTESNQGGMTMSDQMDLLVEQVKMLSGEIAFSTSTLKRLVEQSVTDPESSKTQIQSLEHEIQEKKKQMRILEQRITESREASIANASVAEMQQTVTRLMAQCNEKGFELEIKSADNRILQEQLQNKSAENKELQDKLRLLEQQLTSFTGDRSSLIFEQHAPGESVDELKKKIQSQEFENEKLKVEQVQLSEENSGLRVQNQKLAEEASYAKELASAAAVELKNLAGEVTKLSVLNAKLEKELSSAREMIHSRSMQNANGVNRKYNESLRPARKGRHSGRLNERAGAINDEFDTWSLDSDDLKFELHARKQREAALEAALAEKEFVEDQYRKKIEEGKKKEEALENDLANMWVLVAKLKKEGGGVVPELPSDTRHNGEVAVECFVDEKKIKTRTDSSITDRGMVDILKPAGVEVPKEEPLVLRLKAKMQEMKEKELKSMTNGDVNSSNTCKVCFESPTAAILLPCRHFCLCKSCSLACSECPICRTNIADRLFAFTS >KGN63107 pep chromosome:ASM407v2:2:20649283:20650310:1 gene:Csa_2G402640 transcript:KGN63107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSLKHLPGIPKKQSPARLRRGSASPLSSFLPLPPNSTTPSSSKRFGFQDWRKSNRQNAQRDPFFDAFLECSKEPTNAAAVDAELWSGGSNGKAITRSLSDRFGFLNLYSSCKRTCGVSESIVYLPRTERSSFDLLNQRTGG >KGN62314 pep chromosome:ASM407v2:2:15793940:15797475:1 gene:Csa_2G349000 transcript:KGN62314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRLWIDALQLTELFLSSFVHLVYAFYIFSSAVAGDISQSLNQWLFSPSSKLHLNNHHLSSLPSTPIHHTLPPIVLVHGIFGFGQGRLGGLSYFAGAEKKDDRVLVPDLGSLTSIYDRARELFYYLKGGRVDYGEEHSNTYGHSQFGRVYELGHYPQWDEDHPIHFVGHSAGAQVVRVLQQMLADKAFKGYENTTENWIISITSLSGVFNGTTRTYLDGMQPEDGRSMKTVSLLQLCRLGVIVYDWINIPWLKEYYSFGFDHFNMSWKKMGIWGLLDCLMGNSGPFATGDWILPDLTIQGSISLNTHLQTFPNTYYFNYVTKCTRKFFGVTVPSSIFRIHPLFFLRVLQMSQWRHPSDLTPPYKGYRDEDWQDNDGALNTISMTHPRFPVEHPNLHVVNDSDCKPLEPGIWYYKVIEGDHIMFIVNRERAGVQFDLIYDGIFERCRKHVFRKNPPTLPNQPHP >KGN63353 pep chromosome:ASM407v2:2:22536213:22539450:-1 gene:Csa_2G431220 transcript:KGN63353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNKSQAFSWSRLLLNQVVHQNLIKTPLHISRKISGLQRFPIEKISKISLTRCYSTAHSRVVHDLLAEVEKEKKREREQRIRAGLDTKDIDNEDEEDYMGVGPLIEKLDKKNSRVTGDLNAYEEPSDSDSDADDERFSRESVRKRFENFQKKFERHKELLKNFTDADNIDDAFKWMSKIDRFEQKHFQLRPEYRVIGELMNRLKVAEGKEKFMLQQKLNRAMRIVEWKEAYDPNNPANYGAIQRRQGGPSVNLEERSEFAKQKQTIQGIDDDDEEEFDDMKERDDILLEKLNAIDKKLEEKLAELDHTFGKKGKVLEEEIRDLAEERNSLTEKKRRPLYRKGFDVKLIDVNRTCKVTKGGKVVKYTAILACGNYHGIVGYATAKGPAVPIALQKACCLPYSVDSFSSSFSFIEI >KGN61887 pep chromosome:ASM407v2:2:12599455:12600044:1 gene:Csa_2G258830 transcript:KGN61887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIRLPSILLNAKQILKMQAMSARNQFDVPKGHIAVYVGEIQRKRFVVPISYLKNPSFVDLLNRSEEEFGFCHPMGGLTIPCREDAFINLTARLHTS >KGN62073 pep chromosome:ASM407v2:2:14001802:14002287:1 gene:Csa_2G295980 transcript:KGN62073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNIEFKERSNVFQTVEKLRLEARTKWVRQFKVQRVVSNVGKCPTEKNSAFLSCCCCCFTWSYTTEGGARRQKTAGAAMERERKNRLAFLRRTAAACDGSSLGADVKEAVDGGDVAWGWWERLRLQGRGERTKERVTLKFRPTS >KGN60644 pep chromosome:ASM407v2:2:953375:957845:1 gene:Csa_2G005900 transcript:KGN60644 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase MATAISLCSVFLLLLLVIQWVNSEPTQDRQALLDFFSKTPHANRVQWNLSNSVCNWVGVECDSSKSFVYSLRLPGVGLVGSIPANTVGKLTQLRVLSLRSNRLSGEIPSDFSNLVMLRNLYLQDNAFSGEFPSSLIRLTRLTRLDLSSNEFSGPIPASVDNLTHLSGIFLQNNGFSGSLPNISALNLTSFNVSNNKLNGSIPNSLAKFPASSFAGNLDLCGGPFPPCSPLTPSPSPSQIPPPSNKKSKKLSTAAIIGIVIGAVFAAFLLLLILILCIRRRSNKTQTKSPKPPTAVGTAARSIPVAEAGTSSSKDDITGGSVEATERNKLVFFEGGIYNFDLEDLLRASAEVLGKGSVGTSYKAVLEEGTTVVVKRLKDVVVTKKEFENQMEILGKIKHENVVPLRAFYFSKDEKLLVYDYISTGSLSASLHGSRGSGRTPLDWDSRMRIALSAGRGLAHLHLTGKVVHGNIKSSNILLRPDHDACISDFGLNPLFGTATPPNRVAGYRAPEVVETRKVTFKSDVYSYGVLLLELLTGKAPNQQSLGEDGIDLPRWVQSVVREEWTAEVFDAELMRFHNIEEEMVQLLQIAMSCVSTVPDQRPAMPEVVRMIEDMSSHRSETDDGLRQSSDEPSKGSDVNTPPAESRTPPGVTP >KGN62492 pep chromosome:ASM407v2:2:16752001:16758692:-1 gene:Csa_2G356660 transcript:KGN62492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQVQHPTIYQKVAGQLSLQSRVASGFRASDDGLRNPALYQRRAPITNYSNVAFQYPAVQSCVATTDLSRVSSTASPIFVAAPAEKGNFMLDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKSGRLSEPYKGIGDCFKRTMQEEGFGSLWRGNTANVIRYFPTQALNFAFKDYFKRLFNFKKDRDGYWKWFAGNLASGGAAGASSLLFVYSLDYARTRLANDAKAAKKGGERQFNGLVDVYRKTLQSDGVAGLYRGFNISCVGIIVYRGLYFGMYDSLKPVLLTGKMQDSFFASFALGWLITNGAGLASYPIDTVRRRMMMTSGEAVKYKSSMDAFSQILKNEGAKSLFKGAGANILRAVAGAGVLAGYDKLQVIVFGKKYGSGGA >KGN63190 pep chromosome:ASM407v2:2:21143807:21144070:-1 gene:Csa_2G408360 transcript:KGN63190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTQKRYRKLKSNLGGELETLLDVQGKSKIRKIETRNSFGQIQSDRNIEQDPNTWQNFTAFIFQLALFEPLRHPKTLHVRLSFVIMA >KGN60664 pep chromosome:ASM407v2:2:1080319:1082510:-1 gene:Csa_2G006100 transcript:KGN60664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSIGRDEWSDYDSSCSSSITVPDSTRSWMSNLSFGSRRRSSSSAADGGGLENITSGTMMVKPHKANEVGWEAMRWLRRAKGEVGLEDFRLIRRVGSGDIGNVYLCEIRNPMVGLPKWFYAMKVVDREAVCVRNKERRADMEKEILKLLDHPFLPTLYAHFEASHYSCLVMEFCPGGDLYAARLRLPNKRFSIPSAKFYAAEIILALEYLHMMGIIYRDLKPENVLVREDGHIMLSDFDLCLKCDVVPKLLRSRTTASDRHVRSSSYSFSTSSSSCAAAPIQPVLSCFSLSRRQKSTTVTTITEHASDSIYSGEEFDPEPELIAEPINARSKSFVGTHEYLAPEVISGQGHGSGVDWWTLGIFLYEMLYGKTPFKGENNEKTLMNILKQPLKFPRIGISSSKEYEEMVKVEDLIKKLLIRTTSTNPQQQYY >KGN60802 pep chromosome:ASM407v2:2:1961779:1962567:-1 gene:Csa_2G010370 transcript:KGN60802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRGSLIIIFALALWASLLGSGKGQSASNVLATYNFYNPQTIGWNYMTASVFCSTWDANKPLNWRKHYGWTAFCGPVGPSGRNSCGRCLRVRNTETGDEETVRIVDQCSNGGLDLDFGVFQKLDTNGNGFARGHLIVDYRFVNC >KGN62742 pep chromosome:ASM407v2:2:18208273:18234718:1 gene:Csa_2G370410 transcript:KGN62742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASPVNFLFGFLLISITLWLFFMFASRLVAWVLSRVVGASVAFRVGGWKCLRDVVIKFRKGAIESISVGEIKLSLRQSLVKLGVGFISRDPKLQILICDLEVCMRPSSKGRPKSSKPRRTRSSGRGKWMVVANIARYLSVSITDLVVKTPKATVEVKDFSIDISKNGGTRPNLFVKLQILPIFVHIGEPRVSCEQSSNLSSGGCISTVNSSFATMEKSSAPFSCEEFSLYGEFGHDREAGIIVKNVDVTFGEVNLNLNEELFSKSKKATDTSFPSEETVESTADSLPAVKLQKKPALASLSKYTSVFPEKVSFSLPKLNVMFMHREHEIAVENNIMGIQFRIMKSRCLEDLGETARLHLQMEFSEIHLLREAGTSFLEILKVGLTIFLYVPIQSASPIRAEVDLKLEGTQCNIIMSRLKPWLRLRSSKNKKMVLKKETPSEKPQSSESKPIMWECTVSAPDMTIVLYSISGSPLYHGCSQSSHVFANNISNIGTTVHLELGELNLHLADEYQECPKGIPFAVESNAGSLIHIAKISLDWGKKDIEPSEEEGLRSKLVLSVDVTGMGVYFTFKRIESLVSTAMILQSLLKQFSGSRKKTTQSRGDRSTKSSGKGTKLLKLNLERCSITLCGDVGLENTTVADPKRVYYGSQGGQVVISVNSDGTPRCANVLSTVSDECKRLNYTIALDIFHLSLCLNKEKQSTQVEVERARSMYQEHLEERRKDTKLTFFDMQNAKFVRRSGGLKEISVCSLFSATDISVRWDPDVHLSLVELGLQLKLLVHNKKVQGDNHTHTEDASNSKDVVQRTETISESGQPDKHKKKESIFAVDVEMLRVYAQAGDGVDAVVQVQSIFSENARIGVLLEGLLLSFNGSRVFKSSRMQISRIPSVSTGTCDTKVPATTWDWVIQGLDIHICMPYRLQLRAIDDSVEDMLRALKIITAARTSLIFPIKKESSKSKKPSSTKVGSLKFCIRKLTADIEEEPLQGWLDEHYKLLRNEASELAVRIKFLDDLISKASQAPKTAETIESTQERNTCYNGTEVDPQNPSDVLRMREEIYRQSFQSYYRACKNLLPSEGSGACREGFHSGFKPSTARNSLMSITATDLDVTLTKIDGGDAGMIGVLNKLDPVCLQENIPFSRLYGRNILLNAGSLAVLLRDYTFPLFSATSGKCEGCLVMAQQATSFQPQIQQDVFVGKWRKVQMLRSASGTTPAMKTYSNLPIHFKKAELSFGVGYEPVFADVSYAFTVALRRANLSVRKPGPLILPPKKEKSLPWWDDMRYYIHGNITLCFSETRWNVLASTDPYEKLDKLQLLTGQMEIQQSDGRVFVSAKDFKILTSSLESMANTRGLKLPQGISVPLLETPVFTLEVNMDWECDSGTPLNHYLHSLPIEGKAREIVFDPFRSTSLSLRWNFSLRPPLPLGEKQLSDNVEKTSECSTRLSFGAHDLAWIVKFWNLNYLPPHKLRTFSRWARFGVPRIVRSGNLAMDKVMTEFMFRIDTTTPEIRHVPLDDDDPAKGLTFSMAKLKYELGYSRGKQKYTFECKRDTLDLVYQGLDLHMPKAFINRENCSSVAKAIQMTRKNSNSASMDKVPVEKGNSTNSSTEKPRDDGFLLSSDYFTIRRQTPKADPARLLAWQEAGRRNHEMTYIRSEFENGSESDEHTRSDPSDDDGYNVIVADNCQRIFVYGLKLLWTIENRDAVWSFVGGLSKAFQPSKPSPSRQYAQRKLHEENEPQDKTQVSEDGGISKPPNNDGTVASSTSQPQTSESQPATSPCIKTENLPSADKTENVSSPAPGKNGKLDDEEDEGTRLFQVNVVGPQFNLHSEEANGRFLLAAATGRVLARSFHSVLQVGHDMIEQALGTGNVQISECEPQMTWKRMELSVMLEHVQAHVAPTDVDPGAGLQWLPKILRSSPKVKRTGALLERVFMPCDMYFRYTRHKGGTPELKVKPLKELTFTSANITATMTSRQFQVMVDVLTNLLFARLPKPRNSSLSFPSEDGEDVEEEADEVVPDGVEEVELAKINLERKEREKRLLVNDIRKLSLYCDGGSDLNPEKDGEMWMISGGKALLVQGLKKELVSAQKSRKMASASLRMALQKAAQIRLMEKEKNKSPSYAMRISLKIDKVVWSMLVDGKSFAEAELNDMFYDFDRDYKDVGIAQFTTKYFVVRNCLPNAKSDMLLSAWNPPTEWGKLVMLRVDARQGAPRDGNSLLEMFQVDIYPLKIHLTETMYRMMWEYLFPEEEQDSQRRQEAWKISTAAGSRRVKKGSSVQEVSASNTKESEMFSKLGFSLGGQGSANAESAQASKTQNLKSNSGSGSAPDLRRTSSFDRSWEETVAESVATELVLQSITKSGQLGSVEQPDESGVNKLKDPKNIKAGRSSHEEKKGIKAQDEKRSRPRKMMEFHNIKISQVELLVTYEGSRFVVNDLKLLMDTFHRVEFTGTWRRLFSRVKKHIIWGVLKSVTGMQGKKFKDKAHSQKEPNNTVVPDSDFNLSDNEGGMAGKSDQHPITWLKRPSDGAGDGFVTSIRGLFNNQRRKAKAFVLRTMRGEADNDFQGDWSDTDAEFSPFARQLTITKAKRLIRRHTKKFRARQKGSSSQQRESLPSSPRETTPYESDSSSGSSPFEDFNEQEQEQLEQMKEEFLEA >KGN63252 pep chromosome:ASM407v2:2:21707260:21709677:-1 gene:Csa_2G418875 transcript:KGN63252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLRIVGVILIGLSAWAFSAFRPPAPKVCGSVGGPPITAPRIKLRDGRHLAYKEHGVPITVAKYKIIYIHGFSNSRHDAAVGIFPSPGFLEELGVYVVSFDRPGYGESDPHRKRTVKSLALDVEELGDKLGLGPKFYVVGLSMGGQAVWGCLKYIPHRLAGASLLCPVINYWWPSFPANLSREGFSSQLPQDQWTQRVAHHLPWLTYWWNTQKLFPALSILSGRHEILSSQDLEIIRSSQRPVDREYVKQQGEYESFHLDLMIGFGKWEFDPMLLENIFPKNEGSVHLWHGNNDQLVPVKLQRYIAQKLPWIHYHELPGAGHLFAFTRKMSEEILRSMLVQ >KGN60735 pep chromosome:ASM407v2:2:1508127:1513406:-1 gene:Csa_2G008750 transcript:KGN60735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGALGHAPFIYFLSAFPPPSAVPNPNILRFSLMAISARIAVIGDVHGYWDLQEDSKALHLLQPDLVLFTGDFGEENVELVRSIADLKFAKAAILGNHDAWFTPCFSQEKKDGVQLQLECLGENHIGYRRMDFPQQKLSIVGGRPFSHGGKAMFRKQLLSARYGVKDMKTSAKRIYEAAIGAPEDHLVIILAHNGPTGLGSRADDICGKDWDYGDGDYGDEDLEQAISKLKENGKFHVPPLVVFGHMHKELAYGGHRKMIVVTADNTIYLNGAVVPRVNSYYGEEGKVRGNFTSSGTSCSQSVSRGTKRAFTVVDISDGKVDKITESWISVLGDETSLDEAHLMYQSGISKF >KGN62834 pep chromosome:ASM407v2:2:18873962:18879167:-1 gene:Csa_2G375250 transcript:KGN62834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTSLDQEMAKKVLRQVEFYFSDSNLPRDTFLRKTISESPDGLVDLSLICTFSRMKGHLELKQDVTPENFPEDTMKAVAETLRTSSSLKVSEDGKKVGRATELPKPEELIEQLDDRTVAASPFEYDIKLEDVEAFFNQVTKVNSVRLPRHVADKRVFCGTALIEFSTEEDAEKVLKESLVYAGAKLELKPKREFDEERAKEMEKFESSRSTSGANRSNNNSSPEASYPKGLIVAFTLKSTSSGSTAEGNESHGVADKTECKTDEGLDSSKNDSEKTVQIEETNLSKDEEIKESADDKNGEAVEKNDSGNEKSLEVEEQSMDDTVDEHEEAEEKPTAFQSRNNMNVVSREDLKAVFRKFGSVKFIDFKIGDESGYIRFEEPEAAQKARASAVLAEQGGLAVKNFIATLEPVSGEAEKEYWSLLRSNQEKHHRDFKGNRGRGGKFNRGGKHGRSRGHDNHRGRPNKAQKV >KGN60861 pep chromosome:ASM407v2:2:2447294:2451077:-1 gene:Csa_2G015850 transcript:KGN60861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENDTARKASIPWENDRGIGEVAKRPPLYMATFGKHKMRSNMISSASARELRCFDLESEQRDGLSPRGVLEASLQSSEFDADSLNDSTPEPENQPSQSGALFHWKNFFKLWKKRSFRRLASFPPLGVLKISRRGNRSGRENPGLSDLYKFKSSLGNFTFSELQTATNKFSHENLIGKGGYAEVYKGRLHDGQLIAVKRLTKGAPDDRTACFLSEIGIIAHIDHPNTAKLIGCGIDGGMHLVFKLSPNGSLGSFLHGPNANKLDWSKRYKIALGTADGLLYLHDHCQRRIIHRDIKADNILLTEDFVPQICDFGLAKWLPKQWTHYSVSKFEGTFGYFAPEYFMHGIVDEKTDVYSFGVLLLELVTGRRALDELCQSLVLWAKPLLDNNNHEEVIDPALKESYDLEEVERMILTASLCIEQSPILRPRMSQVVVLLRGDKYVAECEKSTRVPLQRTYSEELLDAQEYNKTRYLSDLKKHRQLAFGS >KGN61226 pep chromosome:ASM407v2:2:5562563:5563219:1 gene:Csa_2G070920 transcript:KGN61226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSNSPCAACKFLRRKCQPECVFAPYFPPDQPQKFANVHKVFGASNVTKLLNELHPHQREDAVNSLAYEADMRLRDPVYGCVGVISLLQHQLCKLQMDLTCAKSELSKYQNLGIGNHATLISPPSTLSTVPHGQRNHQPAFNFARDQPHSLYHDQFFPRDQQQPQMMLRRNFDGGSNYDGLLAVNVTASIGNLSHQFQQTRAAAGDDRRGTLDHS >KGN62306 pep chromosome:ASM407v2:2:15763610:15764890:1 gene:Csa_2G348920 transcript:KGN62306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDNMYGMPKSFLPPDNLILPLDYQSLLSSSNYRRDPIPMFGSHQLLSRPTSAISQAEPPSTTTPDFPIPREDLLSVTKAKIVSHPTYPRLLHAYIDCQKVGAPPEVACLLEEIRRENDSQEQNGISTCFGADPELDEFMEAYCDMLVKYKSDLSRPFHEAFSFLNNIQLQLCNLGAPASTSTPSSQSLSLSLSPPPFFQ >KGN62570 pep chromosome:ASM407v2:2:17156983:17159251:1 gene:Csa_2G361360 transcript:KGN62570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVSTPLQSDNTQKHKSLSPVRLMRGVICLAVFLSTAFMFLVYFAPVVSISLRLFSLHYSRKGTSILFGFWLALWPFLFEVINGTKVVFYGDDIPANERVLLIANHRTEVDWMYLWDLALRKGSLGCIKYILKSSLMKLPLFGWGFHILEFIPVERKWEIDEPVMCQRLSTFKNRRDPLWLAVFPEGTDFTEAKCKKSQAYAAEVGLPVLKNVLLPKARGFCACLQTLRGSLDAVYDLTIAYKDQCPTFMDNVFGIGPSEVHIHIQRIPVGEIIPASDEGASAWLMDKFKLKDHLLTYFTANGYFPESREEEKLSDFQCTMNFILVVSVTAIFACLTLYSYWSRVYVVLSCICLASVTYLRVQPEQDLGVLISTFISKKQSIE >KGN63399 pep chromosome:ASM407v2:2:22898304:22903946:1 gene:Csa_2G437090 transcript:KGN63399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLRHFHLLNSPLGPMSIKVELQKIGLLGLQLIWHFLHFIVSAFYFVVGIATTLESYLISWGFPCKYKHLNIDRVQYLAIVVESDEAYNTLKMIELLEWLVSLGIRSVCLYDAEGVLKQSKEIILKKVKNASEFQGIDEPLQLNKKGITLEFISASDGKEAIARAANFLLQNKWRKTNMSGDHKRCLSESQMTEALKAVGCGGLDPDLILVYGPTRCHFGFPAWRIRYTEILHMGPLKSMKYGSLLKAIYKFTRVRQNYGK >KGN61646 pep chromosome:ASM407v2:2:10539121:10554764:1 gene:Csa_2G200940 transcript:KGN61646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSKISNVADEFPSGIDPTTEEEYAVQSKLLQEFTKIPNIDKAWTFKSDSGDPMATFSISQASLLANKRRKYTLSAHISKGNDGNSVNFAWTPFPIEMIGVSTIVPSPSGSKFLTVRNPENDSPVQLEIWSAGQIEKEFHIPQSIHGSIYTDGWFEGISWNSNETYIAYVAEEPSPSKPTFNFSGYQKGCSTNKDCTNWKGQGDFKEDWGEAYAGKRQPALFVINIDSGEVRRVKIVEHSLSVGQVIWAPSIGEDQYLVFVGWSSEPRKLGMIYCYNRPCALYAVKAPDYGSEINEHKLKDVPKEDFPIYNLTQSISSAFFPRFSPDGKFLVFLSAHSSVNSGAHSATNSLHRINWPRDGKPDFSENIVDVVPVVHYTENDLFPGLYPHGFLPNPWLSDGHTVITTSIWRSKDAILSIDILSGEVSCISPANSNFSWRVLALDGDNIVAVSSSPVDIPQLKYGCLIEKETKNAAWSWLDVSSPVFKCCEKVKTLLSSLQFSIMKIPVKDVSNCLTKGASEPFEAIFVSSKSVKGNELNPLIVNLHGGPHSTSISSFSKSLAFLSSIGFNLLIVNYRGSLGFGEEALQSLPGKIGSQDVNDVLTALDHIIDKGLASSSKVAVLGGSHGGFLTTHLIGQAPDRFVAAAARNPVCNLALMVGTSDIPDWCYVECYGREGKNYYTEAPSADHLTHLYNKSPILHVSKVKTPTIFLLGAKDLRVPFSNGLQYARALKEKGVEVKIIMFPDDIHPIDRPQSDFESFLNIGVWFRKYCK >KGN61206 pep chromosome:ASM407v2:2:5377024:5378134:1 gene:Csa_2G070240 transcript:KGN61206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFAYIRKRKFYRLGEFLRDVGLGRKNVGNLLWNLLLLSYAANFKMKSLQREFLQMVDAGFNPDLTTFNIRALAFSRMDLLWDLHLSLEHMKHMNIEPDLVTYGCVVDAYVDRRLGRNLEFILSKMNPDQPPVSLTDSFVFEALGKGDFHMSSEAFMQFRKQKKWTYRELISLYLKKHHRRNQVFWNY >KGN62699 pep chromosome:ASM407v2:2:17921467:17923016:-1 gene:Csa_2G369000 transcript:KGN62699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFFQFPNSILVVIVFCMMNLFNLGFGARKLASLYEAPPMGIRYHNGALLQGNVPVSILWYGKFTAPQKAIVIDFFLSLDSHSDKSNSVTPSVSRWWNTVQVYMKRAGKKDAKLILAKQITDDEYSIGKFLKRNQISELSRRAGSKYGGMTLVLTAEDVAVEGFCMSTCGFHNWDHKSKSAFIWVGNSVNQCPGQCAWPFHQPIYGPQTPPLLPPNADVGIDGMIINIATLLAGTATNPFGNGYFLGLPAAPLEAATACPGVYGKGAYPGYAGKLLKDDTTGGSYNADGVGSRKYLLPALYDPVTSRCSTLV >KGN60964 pep chromosome:ASM407v2:2:3099982:3104381:-1 gene:Csa_2G031720 transcript:KGN60964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEEVELSDEQKKEIAKWFLLNAPAGEIQFVAKDVKKILNDDELYHEAASEAFPQYNKSHMICIEMPGRVGDVIITPFNELEENEFLDPRTAQVAIIDNIKQVCTEVRHALDEELPSAYVEEFRYAVDMEVSRYVGEAYPKGVCSVYCVNGKDAEGPESDFELAVVIAAARHSPQNFCNGSWRSTWNIEFKSDFQSLEIKGKMQVGAHYFEEGNVQLDAKHECNDSTVLQAPEDCAAAIANIIRHHEAEYLVSLETSYSNLPDTTFKDLRRKLPVTRTLFPWHNTSQFSLTRDIAKELGIGK >KGN61237 pep chromosome:ASM407v2:2:5658442:5666788:-1 gene:Csa_2G073000 transcript:KGN61237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPHSHSSAILLLLLFFYFSVSFAAPPSNEIAGGVRIWPLPVSVTHGGHHRLYVAKDFHLITQGSNFSDASRILEDGFSRLLDLVRVAHVVDANLSRFASSSLLHGIHIVVSSPSDELQYGVDESYRLSVPGPAPGKPAYAYLQARTVYGALHGLQTFSQLCSFNFESRVIEVRMVPWNIIDQPRFSYRGLLIDTSRHYQPLAVIKKVIDSMAYAKLNVLHWHIVDTQSFPLEMPSFPNLWFGAYSKQERYTIADATEIVRYAQRRGVSVLAEVDVPGHALSWGVGYPALWPSKDCQQPLDVSNEFTFQVIDGILSDFSKIFKYRFVHLGGDEVNTTCWTVTPHIKNWLRKKGMKESDAYKYFVLRAQKIALSHGYELVNWEETFNDFGSELSRKTVVHNWLGTGVAQKVVAAGLRCIVSNQDSWYLDHIDTSWEKFYANEPLQNIKNPRQQKLVIGGEVCMWGEVVDASNIEQTIWPRAAAAAERLWTQYDNLAKDPRQVFARLAHFRCLLNQRGIDAAPVSGLGRSDPWGPGSCFVQ >KGN63081 pep chromosome:ASM407v2:2:20497470:20509901:-1 gene:Csa_2G401410 transcript:KGN63081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSVEAIEELAQLSDSMRQAAALLADEDVDETSTSGASSRRPSTFLNVVALGNVGAGKSAVLNSLIGHPVLPTGENGATRAPISIDLQRDGSLSSKSIILQIDNKSQQVSASALRHSLQDRLSKSSSGKSRDEIYLKLRTSTAPPLKLIDLPGLDQRSVSESMISEYGEHNDAILLVIVPASQAAEISSTRALRMAKEYDGEGTRTIGIISKIDQAASDQKSLAAVQALLLNQGPPRASDIPWIALIGQSVSIATAQSGSVGSENSLETAWRAESESLKSILTGAPQSKLGRIALVDALAHQIQNRMKVRLPNLLSGLQGKSQLVQEELSKFGDQMGESSEGTRAVALQLCREFEDKFLQHIATGEGAGWKIVASFEGNFPNRIKQLPLDRHFDINNVKRIVLEADGYQPYLISPEKGLRSLIKGVLELAKEPSRLCVDEVHRVLVDIVSAAANSTPGLGRYPPFKREIVALASAALDGFKNEAKKMVVALVDMERAFVPPQHFIRLVQRRMERQRREEEVKYKSSKKGQEAEQAILNRATSPQTGGSSGSLKSMKEKPGKEDKEVQETSGLKTAGPEGEITAGFLLKKSAKTSGWSKRWFVLNEKTGKLGYTKKQEERHFRGVITLEECNVEEASDEEEASSKSSKDKKANGPDSGKGLVFKITSKVAYKTVLKAHNAVVLKAENMADKLEWMNKIRSIIQPSRGQMKGPESGLPMRHSLSDGSLDTMNRRPVDPEEELRWMSQEVRGYVEAVLNSLAANVPKAVVLCQVEKAKEDMLNQLYSSISAHSTTRIEELLLEDHNVKNKRERCQKQSSLLSKLLRQLSVHDNRAAAAANWSDSGAESSPKMSASSGEDWKSAFDAAANGRANYNRTSSNGHSRRYSDPDQNGDLNSRSSSNSRRTPNRMPPPPPPSSGSKYF >KGN62077 pep chromosome:ASM407v2:2:14021054:14021614:-1 gene:Csa_2G296020 transcript:KGN62077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSITMLGKLRGVSCIDRLTRPFRYPKSVPVTRLPDGPRNVSDRPDGRDGILHAGVMIGKDRNTDRKTRKTLVLCFLRLDGGTREEEDEEEQDCGGGGGGGGGAEKQSGDSGWREVVAVMVTIAVEVEVDFGSPMHEGKIGSSGLSYW >KGN62088 pep chromosome:ASM407v2:2:14080295:14085784:-1 gene:Csa_2G296130 transcript:KGN62088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVSMETTVTQQLQFSPWIHSKSISAKSKGSLHFPRRLAEQDVLQAPFSFDLRVSRHSNHRRTVALKISCSYNNSSVLESGNHCASVDETLAIQRKSREIESYLNGRCIYLVGMMGSGKTTVGKVLSNALGYSFSDSDSLVEQDMGISVAEVFKVYGEDFFRERETEALRKLSLMRQFVISTGGGAVTRSINWKYMHKGISVWLDVPLEALVKRISAVGTNSRPLLHHDSNDAYSKTLVRLSTLLEERGEAYANAEVKVSCEKIAAKLGTKDVSNVTPMAIAIEALEEIETFLKREDGYCAF >KGN60784 pep chromosome:ASM407v2:2:1874901:1878709:-1 gene:Csa_2G010200 transcript:KGN60784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPQLFIAATNGDLGHLRTLTPLTKLLLPSQLSPNQNTPLHVATEFRQLGFAEAIVRDCEALLRLQNGAGDTALHIAAREALSEFVEFFIQFRGLLRMVNHNGDTALHCAARIGSLICVEKIVEADPELCRVVNNSGESPLYLAVAAGFWEVPQSIIRKANLLASYTGAKGLTALHPTLFYPNYDFEIIKLFVEWRKEMIKEQDDLGLTPLHYASLYGRTEAINLFLQNESSSIYIVDNNGESALHIAAFKGHKDAVEAILNCCQDSCYLVDNKGRTPLHAAVLGDQRKVVKLILGRAKQGRVMNKADCDGNMALHHAAFHKFYDIIEILATSENVDKNVKNKTSLTALDIFNKHDLEGLRAAVIRNILIGSTGSMTMQHLATQAIKKMNQEIKIEELDDDDDDDDTNGINSIKQKESSYNTEKQKALEVNLLVATLVATVTFAAGFSMPGGYYENIGLSILSNKGGFKVFVIFNTIAFCCSVFAVLLHFHTSITDHYQRVRYMGIAVTFTSIAIVAMVIAFASGTYVVMANAKAFSLTPFLIFGGFTFLYLAIPFCDPGVEGYSFLHIPQRFIRRKIVQHVRYEE >KGN61605 pep chromosome:ASM407v2:2:10074138:10074577:1 gene:Csa_2G176190 transcript:KGN61605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFPVGKTQTPKATMFLKYFLLLLLAVVLLTTTQALKHPSNSNQEVIPTTDVTHGKLPTTITDPNEESKFYRRPSPYKKPYKRPPYKRYPPYKKRPPYKKYPPSSH >KGN61042 pep chromosome:ASM407v2:2:3573594:3581466:1 gene:Csa_2G035420 transcript:KGN61042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGVDHNRSDCPETSTDLVFSLFQKRVEYVPARRTFKGFDNGGGDFELTTLNPSSSFGQKSGSNVDHPAQKGKKLDGSELLENGLDPELSFEITFRRIGAGLQNLGNTCFLNSVLQCLTYTEPLAAYLQSGKHQNSCHVAGFCALCAIQKHVSRALQSSGRILAPKDLVSNLRCISRNFRNARQEDAHEYMVNLLESMHKCCLPLGLPSESPSAYEKSLVHKIFGGRLRSQVKCMQCSFCSNKFDPFLDLSLDIVKADSIYKAFKNFTTPELLDGGERQYQCQQCKQKVKALKQFTVHKAPYVLTIHLKRFQSYNLEEKIHKKIHFGPTLDLAPFVSGSYADGDLKYTLYGVLVHHGGSTRSGHYYCYVRTSSAMWYALDDNRVSHVGDRTVYEQQAYMLFYVRDRRKVVPKKPVDVVLKDNMKPSTNLNRTDSIVNRGLKVNHVQNCKIEKKLNGLFNDELIKESKDSSNVGPSKTIPNEASAQIDTKLASKECLVPETVSMPISSSKEVSQQKTFNKSVIPKSSPAVNLPTLPRRMNNNLHVNSSESSLAKADHIDINPVDRGLVVSVSTSLNLIDANTSANTQANDNAASVQEPGCKTLEISDPVTLPNHPMLESSKVPVSSQISVDNLTSGDDSNCKRMIPDESNKISSSTVVEGPILSKTHDSKHGRRFKRKHLKYHLGSLHLSSNILFKVSLSLCKKKKHRRKKCQSAVSRCPTGERLFSRDDMSSDFGPSTSEKSKSVYLVSTCKSRKKAKHGSRDSKDNSARKEDLKVESLTDIVDKESEKRSTEPSSALTTTNQLNSSTDSIIVANHNDSIEAICPKDRKISANQDGLHRVHSNGFHNTVVEKWDGIKMPSSENGFTGLENTSIGYVADEWDEEYDQGKRKKIRQFKHSFGGPNPFQEIATKKSQSKKLKLERSGSAIEPFRI >KGN61956 pep chromosome:ASM407v2:2:13149552:13149818:1 gene:Csa_2G271490 transcript:KGN61956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQGAPVQKTGKKPVAPPRPSPPQVYKVDPINFRDVVQKLTAAPQFQDRRLQAMPPPRPDLADQSSRPRDLSGEGEGGFFLGQSSHRY >KGN63010 pep chromosome:ASM407v2:2:19966399:19974646:1 gene:Csa_2G383320 transcript:KGN63010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNVVARLNRHRLLTPPYPNLCHVNDFGKCISLGYLQDIQALRRRDVYLLTNLFHQNSFSTGYSSVHGGRPSAEYAKLRKQLLENEFGHALGTYRSKRLSSVYHFGPFLAFYRAAIISFHVLKLTIWQFFVHDLKKRAIKFRETLIRLGPFYIKLGQALSTRPDILPTVYCQELARLQDKIPPFPTLQAIKSIENQLGRPVSQIFADISPEPIAAASLGQVYKAHLHSGELVAVKVQRPGMSLSLTLDALLFQMIGGQLKRFAKARKDLLVAVNEMVRHMFDEINYIQEGKNAERFCSLYGCQPLGDSGKSYAVDGSVNYKKSNCVKVPKIYWDFTRTAVLTMEWIDGIKLTDEVGLEKAHLNRRELIDQGLYCSLRQLLEVGFFHADPHPGNLVATENGSLAYFDFGMMGDIPRHYRVGLIQVLVHFVNRDSLGLANDFLSLGFIPEGVDIRLVSDALNASFGDGRKQSLDFQGVMNQLYNVMYEFDFSLPPDYALVIRALGSLEGTAKVLDPEFKVLESAYPFVIGRLLEDPNPDMRRILRELLIRNDGSIRWNRLERLVAAISEQASEPSEESLKENFSNPLGWKSFDMPAVVAATEDLFLFILSKKGSRVRVFLLRDIIATVDIVLQDEVFGCSSDEKRQTRSEDHAMLERVVHGFQCLCQAIKLAPQVWTAMLIRMALKPEVHSFSLDVISSVMMHFGKKIPDHLWICISRFLHDLEKDYSSNKV >KGN63051 pep chromosome:ASM407v2:2:20263381:20263588:-1 gene:Csa_2G393180 transcript:KGN63051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKQIHEIKDFLLTARRKDARSVKIKRRKDVVKFKKAEKLKQSLPPGLSVQDL >KGN63096 pep chromosome:ASM407v2:2:20595392:20598282:-1 gene:Csa_2G402040 transcript:KGN63096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEHIQLQGSQLASPPGSPDSRSDKPRDDFYVNLGLAVRTLREDLPLIFTRDLNYDIYRDDITFTDPLNTFTGIERYKLIFWALRFHGKILFREIGIEVYRIWQPSENVILIRWNLKGVPRVPWEARGEFQGTSRYKVDRNGKIYEHKVDNLAFNFPQQLKPAASVLDLVSACPASPNPTFLWGTEDLHCSSWVELYQSVRRSVGGEGYLITQDGFLTCS >KGN60689 pep chromosome:ASM407v2:2:1236506:1239509:-1 gene:Csa_2G006840 transcript:KGN60689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAFLSTANTFHSSTPSSPSSSSSSSSSYFTPPLIRSRFGCLYCHNNTKRLVICKSSSDPPSKIPALTKRTLSICFISSFIFPLVGQGFSDANAAILEADDDEELLEKVKKDRKKRLEKQGVISSANKEKGYLQELVYKLSKVGQAIDNNDFSTASSVLGGSSDADWVKKANSAFNKLSSSPEEKSEVDAFNSSLASLISSVTKNDVESSKTAFVASASAFEKWTALAGLVGQLKGL >KGN61909 pep chromosome:ASM407v2:2:12762526:12765021:1 gene:Csa_2G264040 transcript:KGN61909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQSFPIYISMLLGLLAILSSYGSCSFDTNFSIEEATLKDLQLAFYQNKLTSTQLVEFYLEQVRRFNPILNGIIEVNPDALNQASQADLERKRSSPRSLSPLHGIPVFVKDNIATKDQLNTTAGSFALLGSIVPRDAGVVTKLRKAGAIIFGKASLSEWSDFRSYEQPNGWSARGGQGKNPYTMGEPCGSSSGSAISVAANMVTVSLGTETDGSILCPSTLNSVVGIKPTVGLTSRAGVVPISLRQDTVGPICRTVADAAYVLDAIAGPDRYDNSTIEASKYVPKGGYGQFLKEDGLKGKRIGIVRKLYDFGHDDVFYIGAFEKVFKTLKQGGAILVDNLTINSFHVITGSSSGEWTAVLAEFKISINVYLKQLVASPIRSLSDAIEFNRKNSKLEKLKEYGQELFLEAEATKGIGGAEKAALARLAKLSKEGFERLMIKNKLDAIAAPGRLISHFLAIGGFPGVSVPAGYNPQGLPFGIGFGGLKGFEPRLIEIAYGFEHLTMGRKSPSLGRH >KGN62248 pep chromosome:ASM407v2:2:15403129:15403521:1 gene:Csa_2G343150 transcript:KGN62248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSPCCEKQHTNKGAWTKEEDQRLINYIQIHGDGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFTAEEDQIIINLHTLLGNK >KGN60700 pep chromosome:ASM407v2:2:1305459:1306647:1 gene:Csa_2G007440 transcript:KGN60700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESKAMKQQPKKNLWKPEEDLILRSYVETHGEGNWAIVSQESANNSNSCKKNDDNNSDDGNNKKQKKVINQIYYPQPPICSDNGTDLAHDIQRRNQEENREKQDPWNMDDITSSHYNINSPMIPANNTTFNFDDEPYFDHLDPFFLFEAFGCSSVDASLDNM >KGN62899 pep chromosome:ASM407v2:2:19301756:19303774:1 gene:Csa_2G379300 transcript:KGN62899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSNLCSFPQNQISYIIVIMTTLSQTYLDHPLIHHHHIVPLDFDSLRTIPDSHDWFNSSLETPSSSSHHHTNVNVSIPLIDLTDPNAISLIGNACETWGVFQLINHDVPVSLIERAEGETRRLFDLPMTRKLKALRAPGDVTGYGLPRITPFFSKYMWHEGFTIMGPSIGHASQLWPSNYQPFCDVMEEYQRKMKSLAEQITRSIFNYLKISDGANWLHSAGSTEAAACSTALQLNCYPRCPDPTRVMGLAPHTDTFLLTILHQTRTCGLQVFRDGFGWVPVAPVPGALVLNVGDLFHILSNGRFPNVLHRVVVDPTRRRLSMAYFYGPPPDFCVSPLYDPPESPCYRSVMVKDYVRLKAKNLENALSMIRL >KGN62200 pep chromosome:ASM407v2:2:15020328:15023790:-1 gene:Csa_2G334510 transcript:KGN62200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDKSKFYFGPPINPSCSSLLLLFIFLAILTFQIATRTPPFPFWLPPSDFQLPTCSAFFRPDATAGVVMSITDFGGVGDGKTSNTEAFRKAIQYMRRFGDKGGSKLTVPKGSWVTGSFNLTSNFTLFLQRGALILASQDPDEWPIIEPLPSYGRGRERLGGRHISLIHGNALSNVVITGENGTIDGQGKMWWELWWNRTLNHTRGHLVELINSHNILISNLTFKNSPFWTIHPVYCSNVVIKDMTILAPLNAPNTDGIDPDSSTNVCIEDCYIESGDDLVAVKSGWDQYGINLARPSSNIVIRRVSGTTPTCSGVGIGSEMSGGISNITIEDLNVWDSAAGIRIKSDQGRGGYIANVSITNFVMNRVKMAIRFSRGSNDHPDEQFDPKAVPKVKGIFITNLMSLNSTKAPVLYGIAGTSYDGVCMKNVTILGLTPSAKWHCAFVSGFSTSVFPTPCPQLQNTTFSSLCSSF >KGN61267 pep chromosome:ASM407v2:2:5954255:6004518:1 gene:Csa_2G074280 transcript:KGN61267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFAAYRMMHWPTGIENCDSAYITHSRADFVPAVTSHSDDLDSDWHPRRDIGPVPNLVVTAGNVLEVYVVRVLEEGGRESKSSGEVRRGGIMDGVSGASLELVCHYRLHGNVESMAILSSRGGDGSKKRDSIILVFQEAKISVLEFDDSTHSLRTSSMHCFDGPQWLHLKRGRESFARGPVVKVDPQGRCGGVLVYGLQMIILKASQAGSGLVVDDEAFGNTGAISARVESSYLINLRDLDVKHVKDFVFVHGYIEPVMVILHEQELTWAGRVSWKHHTCMVSALSISTTLKQHPLIWSASNLPHDAYKLLAVPSPIGGVLVISANSIHYNSQSASCMLALNNYAVSADSSQDMPRSNFNVELDAANATWLVNDVALLSTKTGELLLLALVYDGRVVQRLDLSKSKASVLTSGIASIGNSLFFLGSRLGDSLLVQFSCGVGSSGLASNLKDEGGDIEVDAHTAKRMRRSSSDALQDMVGGDELSLYGSAANNTESAQKIFSFAVRDSLINIGPLKDFSYGLRINADPNATGIAKQSNYELVCCSGHGKNGALCILRQSIRPEMITEVELPGCKGIWTVYHKNTRGSIADSSRMVPDDDEYHAYLIISLEARTMVLVTGELLTEVTESVDYFVHGRTIAAGNLFGRRRVIQVYESGARILDGSFMTQDLNLVVNGNESGNASEGCTVLSASISDPYVLLTMTDGSIRLLVGDSSSCSVSVSAPAAFGSSKKCVSSCTLYQDKGIEPWLRMTSTDAWLSTGVGETIDGTDGSLQDQGDIYCVACYDNGDLEIFDVPNFTSVFYVDKFVSGKSHLVDHQISDLQKSSEVDQNSQELISHGRNESSQNMKVIEVAMQRWSGQHSRPFLFGILTDGTILCYHAYLFESTDSASKIDDSVSIDNSVSSSNMSSSRLRNLRFLRVPLDIQGREDMPNGTLSCRLSIFKNISGYQGLFLCGSRPAWFMVFRERLRVHPQLCDGPIVAFAVLHNVNCNHGLIYVTSQGVLKICQLPSTSNYDNYWPVQKVPLKGTPHQVTYFHEKNLYPVIISAPVQKPLNQVLSSMVDQDVGHVENHNLSADELQQTYSVEEFEIRILEPEKSGGPWQTRATIAMHSSENALTIRVVTLLNTTTKENETLLAVGTAYVQGEDVAARGRVLLFSVGKDADNSQTLVSEVYSKELKGAISALASLQGHLLIASGPKIILHKWTGAELNGIAFYDVPPLYVVSLNIVKNFILLGDIHKSIYFLSWKEQGAQLSLLAKDFGSLDCYATEFLIDGSTLSLTVSDDQKNIQIFYYAPKSTESWKGQKLLSRAEFHVGAHVTKFLRLQMLSTSSDKACSTVSDKTNRFALLFGTLDGSIGCIAPLDELTFRRLQSLQKKLGDAVPHVGGLNPRSFRQFHSNGKVHRRGPDSIVDCELLCHYEMLPLEEQLDIAHQIGTTRSQILSNLNDLSLGTSFL >KGN61696 pep chromosome:ASM407v2:2:10977997:10978584:-1 gene:Csa_2G225350 transcript:KGN61696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRRNSYVDINPSQPHLSFLFLNVFQDFSFLFHRRLKKSRARTQNPEFVLTFSFSSGDRPNSPETLFLSRSYGVSYDRLRSRYGLSTLTVSGFLKRKRLYLAEFRSYSIFCLEN >KGN62282 pep chromosome:ASM407v2:2:15616974:15622022:-1 gene:Csa_2G348200 transcript:KGN62282 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multicopper oxidase MPSSLLFLFISFFFAIVRAEDPYRFFDWNVTYGTIYPLGLPQQGILINGQFPGPDIHSVTNDNIIINVFNSLDEPFLLSWNGIQQRRNSYEDGVYGTTCPIPPGKNFTYILQMKDQIGSFYYFPSLGFHKAAGGFGGIRILSRPRIPVPFPDPAGDYTVLIGDWYQANHTTLRAQLDNGSMLPLPDGILINGRGPNRTASINVEQGKTYRLRISNVGLQSSLNFRIQGHRMKVVEVEGTHTLQTEFSSLDIHVGQSYSVLVTADQPAQDFYIVVSSRFTTPILSTTAILRYANSAGSVQGPPPGGPTIQIDWSLNQARAIRTNLTASGPRPNPQGSYHYGMITTTRTIVVANSAGIVDGKQRYAVNSVSFIPADTPLKVADFFKIGGVFRVGSISDWPNGGGIYQDTSVMGADYRAFVEIVFQNNEDLIQSWHLDGYSFFVVGMDGGQWTSNSRNQYNLRDAIARCTVQVYPKSWSAIYVALDNVGMWNIRTEFWARQYLGQQFYLRVYTPSTSLRDEYPIPKNALLCGRATGRRTRPL >KGN63354 pep chromosome:ASM407v2:2:22547463:22549242:1 gene:Csa_2G432220 transcript:KGN63354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIKWLSILITTTIFIITIFKLIRHGRSLNNLPPGPSPLPFLGNILLLRKHSSSFFSLLHTLHLQYGPIFTLHFASRPVIFIAGHSLAHQALLHHGAAFADRPPPSITTKILYSNHITIFTAFHGPNWNLLRRNLISEILHPSRLKLHSDARRWSLLSLVSRLKLHSQGGTAAVCFVDHFWPTMMELSVFMCFGLKIEEDRIKALADVLHRVLLYSSSQNKLNQYPLKLGKFIYPNLWNQLSTLRNELETAIIPLIEARFNNRRADTEQRKNELESESETKSEQILPYLDTLINLHLPEENRKLEEGEIISLCAEFLNGIVHSTVVVMEWAMANIVKYPEVQEKLWREMNQVMNITSSKEHITEEDLKKLPYLKAVIMETLRRHPPGHLALPHLVTEEVVLDGKFVVPEKTTVHFAAAEMARNGEVWEEPMEFRAERFLRKEEEEEEEEILSGKKEIRYMPFGGGRRICPGIGISMLHLQYFVGNLIWLLKWDAIGEVDLEEKLEVSIVMKTPLEARISAR >KGN61394 pep chromosome:ASM407v2:2:7731741:7732193:-1 gene:Csa_2G109710 transcript:KGN61394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNRLHGIKPVWTITSKSKPIFRETSIPQKPTTEVNLREGRSERWSVAKRMEPCSEVSKNKQSNTNDNDLESRKMATDLERWLLCKSGGPGGVVGQCKSSHESNHKRSEWNLGLGIDFDGGTYLVATN >KGN62078 pep chromosome:ASM407v2:2:14021652:14022002:1 gene:Csa_2G296030 transcript:KGN62078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRIALECEKRADKSDKTKLMDEPLWTMFCNGKKTGYGVKREASDEDLKVMELLRPVSMGAGVLPGNSDMEGPDGELAYMRAHFERVVGSRDSETFYMLSPEENNGPELSIFFVRI >KGN61859 pep chromosome:ASM407v2:2:12478030:12478932:-1 gene:Csa_2G252080 transcript:KGN61859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHRFALTEPEVNVKMLQPPRSQNVLQRFSTKPFKILSSLKMVDRNGLFSLLIQLFVVT >KGN61357 pep chromosome:ASM407v2:2:7283334:7286136:1 gene:Csa_2G096940 transcript:KGN61357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVSLPNLEDLNIEETHNLKMIWCNVLIPNSFSKLTSVKIINCESLEKLFSSSMMSRLTCLQSLYIGSCKLLEEVFEGQESGVTNKDIDLLPNLRRLDLIGLPKLQFICGKNDCEFLNFKSIPNLTIGGCPKLEAKYLIQVLDNMKDLTIDLRRLEEILNKEKSVVELDLSLETSKDGGELFGKLEFLDLCGSLSPDYKTITHLPMEIVPILHNLKSLIVKRTFLEEIFPMTRLGNVEEWQNKRFKLSSLALRELPKLKHLCNEDLQKNSSMLQNLKYFSIKGCGKLNMFVPSSMSFRNLVDLKVMECHKLIYLINPSVARTMGQLRQLEIRRCKRMTSVIAKEENDEILFNKLIYLVVVDLPKLLNFHSGKCTIRFPVLRRISVQNCPEMKDFCTGIVSTPHLLTESIIHYDDATNKYIPILKDYSKEAIVKDMNVAIRQVWENHYDFNLHCLFEVENLKEENQCESSSHVEQLE >KGN61780 pep chromosome:ASM407v2:2:11831739:11832586:-1 gene:Csa_2G245440 transcript:KGN61780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLVDSLNNAYQEFVAGAANVLEANEASGAQKTAATDAALENFKQKWELFRVACDQAEEFVESVKQRIGSECLVDEATGSLAGKSGQSATTSLPPISAVRLEQMSKAVRWLVIELQHGSGAAASASAHSHQSAPFDARFTEDATQ >KGN61528 pep chromosome:ASM407v2:2:9242639:9244360:1 gene:Csa_2G160620 transcript:KGN61528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQISLQSPPPPLTLCRSSSTIETPPIRKLKVITPPRPPLSLPKKVSPPQRRLNPLQKFAASLLDAVESSLFVDKLPKTIDPAVQISGNFSPVPECSVRHDLEIIGNLPACLRGIYLRNGANPMHAPTGGHHLFDGDGMIHAVTFHSGNKASYSCRFTRTNRLQQEAALGRLVFPKPIGELHGHQGLARLAIFLARAGIGLIDGSKGTGVANAGLVYFNGRLLALSEDDLPYHVQIKDDGDLETIGRFNFNGQINCPMIAHPKVDPISGDLHGLSYNMIKKPYLKYLRFDRFGKKSRDVDITLREPTMIHDFAITENHVVIPDHQVVFKLLEMVRGGSPVVFDPKKTSRFGILPKSGVDEKGIVWIEVPNCFCFHLWNAWEETGGNDEKSIVVVGSCMNPPDSIFNDRDQPLRIELTEIRMDVKSRKVTRRVFGSGMNLEAGQMNRGLVGRKTRFVYMAIADPWPKCSGIAKVDLETGKVKKFLYGEGRYGGEPFYVPENGNWGGDNEKEDGGYIVGFVRDEKRERSEVVVVKAAEMEEVAAVRLPVRVPYGFHGTFVSEEELNGQARN >KGN62230 pep chromosome:ASM407v2:2:15215481:15241655:1 gene:Csa_2G337260 transcript:KGN62230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAPKRPHDDGGHSSSSKYPHDDSSPYRKISSSLPVQYRPSFEMGQDTPMSKIPRTESRDGDRRSPLHSIFRMPSSSNDPHVDHSVASESRPELRDSKDGADNRFENRESRVERELFGDERRDSQAVKLEKEMRYEGRLDDIKEMKYDRDSYSDYKGELKSEKEIYGSATSHMNWKESKDYHRGKRYPETSVGSLEPWHVSRTSSQSATEAVKDALTTDEKDYVETREAVGENKIDSKGEDKFKEKDRKRKDTKQRDWGDKDKERNDHRNSTQATNTNVEPKDLSKEERDAERWERDRKDTSKDKERPRERDKDHAAKRESWNGMDKETAHIEKESGEVSARMLEQDNPISDQKKQKEFDSWKNADREGRDRKKERDADIEGDRPEKRSRCHEKESDEGCADVEGTLDRDREVYNYGVQHRRRMQRSRGSPQVANREPRFRSRAQDNEGLQGKSEVSSVIYKVGECMQELIKLWKEHESSQIDKNGESSQNIPTLEIRIPAEHVIATNRQVRGGQLWGTDVYTYDSDLVAVLMHTGYCRLTASPPPPAIQELRATIRVLPPQDCYISTLRNNVRSRAWGAAIGCSYCVERCCIVKKGGGAIDLEPCLTHTSAVEPTLAPVAVERTMTTRAAASNALRQQRFVREVTIQYNLCNEPWIKYSISIVADKGLKKPLYTSARLKKGEVLYLETHSCRYELCFSGEKMVKTIASSQGHETEAEKSQNHFLNCPNGERTDNDNTLIDVFRWSRCKKPLPQKVMRSIGIPLPSEHVEVLEDNLDWEDVQWSQTGVWIAGKEYQLARVHFLSMN >KGN63092 pep chromosome:ASM407v2:2:20582935:20584337:-1 gene:Csa_2G402010 transcript:KGN63092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANFLRKPSLLRLYAQDLRNFAHNKTTIGLNFLSSLSQSPQSTNHRTLDYLIHTIGLSKDSALAAAKKIHLKPSSDPDSVLALFNAYGFTPSQTANIFCRQPRLLLADPDKTLKPKFEFLSKNGISGNFLVDLICREPHILRRSLDKKIVPCFDFLINFFGSTDCIVSLFCTTHRTRVLHTFSEFMAPNIEVLRANGVPDSSIAKLFWKRPVALSRDVKWFTDIVEKTKERGFNPSSLMFINGLCIVSSMSKDRWLSKLHIFRSYGWSDEQFQSMFLKQPCFMNRSEEGLKRALDFFMNKWDWTREEIYRYPIVLILSFEKRVMPRSSILQHLISKGLIKRKSLGMALKISEHEFLEKFVMQYLSEDPHLLEMYQEKKKIAI >KGN62260 pep chromosome:ASM407v2:2:15472092:15473672:1 gene:Csa_2G346010 transcript:KGN62260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNFLLKPSLLRLFAQDLRDFTHNNTTIGLKFLSSLSQTPQSTNDPTVDYLIHTIGLSKASALAAAKQIRLKPTAHPDSVLALFNAYGFTPSHIASIFSRRPSLLLANPDTTLKPKFEFLSRNGISGNFLADVIDRDPLILCRSLDKQIVPCIDFLINFFGSTDCIVSLFSTAHRTRVLHTFSEFVAPNIEVLRANGVLDSNIAKLLWMRPIALSRDVEWFTDIVEKTRERGFNPSSLMFIHGLCTLSSMSKDKWLSKLHLFRSFGWSDEQFQSMFLKKPFVMNSSEEHLKRALDFFVIKWDWTWEDISKYSLLLNFSLEKRLIPRSSILQHLISKGFIKRKSVGSALNSPEHKFLEKFVMKYLSEDPNLLEMYQEKKKMALCERSEAVGLCAKLDLRTVIC >KGN60530 pep chromosome:ASM407v2:2:216755:218439:-1 gene:Csa_2G000390 transcript:KGN60530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILPDDDGAETGSGESVDEKMSEVKEEEEKDEVSTAATTMNKDGVWVKPMEGLHDVGPPPFLKKTYEMVEDPETDPVVSWSETRKSFIVWDSHQLSKFLLPKYFKHSNFSSFIRQLNTYGFRKIDSDKWEFANEGFQGGKKHLLKNIKRKNKYNNNHKKQQRHLGLSINNTTLEDLTKPLLVETEPLQTLRTDNNILRVEMSKLREQQQDSHNQLTLVEERVRRAESKHQQMFYFLAKMSKNPAFCRQLLQKRMLRMKMELNNGDHEFGKKMKILGIQAHQNLGLDISEDVNFQNQVQEELLSLHSELTEIFPEVIEPGPIGPIETPFQASNRPESMVVDEGMSSNDSNFFLKLDDLLNKPQDCPSGYVQKQGFYGFVGSIP >KGN63151 pep chromosome:ASM407v2:2:20918449:20921410:-1 gene:Csa_2G405020 transcript:KGN63151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINSLCGSIGSRKTTNTTTDQTSSTCTTKQQHHLPHPTSPTDSVSAKTVPISSSDLEQTALTPPSLDFPAPKFDIDGDIEIQSPDNSVWDSLFADQLDCDFMISSPAPSLPSPQNLSFNYYNYNYGQAMMQCSPPRSCSQVGASSSVQKGKGLSPLHKVFNSPSNQYMQAIEGNNNSSNSIQTIGELLEDYQEEGFETYHQNMSKISGIGESLQYYDISTSSLPPIIFEDLALPNSSNIICGSNQEPSTVEREFYNQIGSSNITTASLPQQGDHQEQENPPQLPPPSLPLLPPPKQPQNQLNHSLMAPLPVGSEQEQDSGLQLVHLLLACAEAVAKEDYMLARRYLHHLNRVVTPIGDSMQRVASCFTEALTARLAATLTTSKPSSSIPPFPQNSLEILKIYQIVYQACPYVKFAHFTANQAIFEAFEAEERVHVIDLDILQGYQWPAFMQALAARPGGSPFLRITGVGPSIDAVRETGRCLTELAHSLNVPFEFHAIGEQLESLKPNMFNRRVGEALAVNAVNRLHRVPGKSLGNLLGMIRDQAPNIVTLVEQEASHNGPYFLGRFLEALHYYSAIFDSLDATFPPDSAQRAKVEQYIFAPEIRNIVACEGPERIERHERLEKWRKLMEAKGFKGVALSSNAVTQSKILLGLYSCDGYRLTEDKGCLLLGWQDRALIAASAWRC >KGN62789 pep chromosome:ASM407v2:2:18599669:18600263:-1 gene:Csa_2G372840 transcript:KGN62789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRKNPPLNQSPTETLEIAQQKHERRRNIPKRLELNTLFHVSLTHSLNPIGLARVEVNRGTLSHSSLNHNEPYSYSNTVKTTRYYQTTAFLKESVKSVVFLSERVSFKRLRDRDSFTEMGCDNMFMFRDVVLSPTNEEKTGRILVKVFD >KGN62934 pep chromosome:ASM407v2:2:19526462:19527675:-1 gene:Csa_2G380630 transcript:KGN62934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKTFVLMMLVDACFILEFFILLIDPLGHGRNLFGVDHFFQIQDIVDFSFYQGKFFQILVDLIKLENQVPFFLLQNLFDLIPKRAIPTGENEVGLISLIDITSMILKGFVFVRKYKINDLDHKKPKHLLDFLGSYFFPLASNDDPTQNKQYVITTISDKKDNNLLRFIRLLFTAHWQKKNNDLFNVSAMCYSSNKKTTTNEENSEHHFRLSPPSMTELCEAGVIVKAVKNEDTCFMNISFENGVLKIPCLEIDCTFEIVIRNVIAFDQYPAGNKNAYAIHYVLFLDDLINTEQDAHLLANVGVIINTLGGSDKDITEMFNNLSKYVTFPVCSHFDDISKDLRKHCNKRWNKAIASLKHNYFNTPWAIISFLAATILIFLTILQTIFSAISTFPN >KGN61493 pep chromosome:ASM407v2:2:8796472:8797099:-1 gene:Csa_2G147400 transcript:KGN61493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKDSKETFPSASADNPKHNSHISTKLKTPKSPSKSKMAKSLGAFIDDVFKESEEVAPSHVVSQSNEVLEEAVNDPFQREKVIASELDGETCIPSSPKTTIETPELKDTMTEDVRKDEEEVDENYVFKLVDHYVCKPMEKGLKM >KGN61332 pep chromosome:ASM407v2:2:6842633:6857506:1 gene:Csa_2G084270 transcript:KGN61332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKRVGNMNIFALTLCFCFFLPFLHARPQDNGGFSHSEPTNWTNRKIVDAKGAPQSLIWVVQLSDLHFSVHHPNRALQFRDFVGPALAMINPSLVLITGDLTDGKSKDLLTMIQIEEEWIEYQNVMEEVITRSGLDKSIFFDLRGNHDKFGVPTVGGSFDYFSNYSISGQLGRNANVYSVTLQDGQEKYLFVGFDSTMSVGLRGPSNVFGHPTDELLTDLDLELSQWDSSATDRVTKISFGHFPLSFSASSLSGKSLRDVFLKHSLSAYLCGHLHTRFGKNLKRHHHSSSNFLLQKFFQFNVHQISSGSITNCSLEAPPVQEFWEWEMGDWRKSRAMRILAIDGGHVSYVDIDFKTEIKKTILLPTFPLDSRFMSRSSSPNEYKCHFVASSAYENIRSLVFSVSPIVSMVARIYDSNPGILSLILEAPMSRIHVDNISRGDLYTAPWNYKAFEDPSPDRYYLQIEAIDIAGRSTLSDLRPFSINGLTAKVSWTWNEFRVMGVQWAALYYPVLWSTLFIMLAMLILPKAILIFSKKQYTFNNFKLNKSFLNGMAWVIQELSKIPMVWFCIVGYLIYLISFPWFIGKVFTDGKDWGYMTYMGWVVKTSNETEKHRYIGSPDILVVVLSHLLFVVYPAIFIMIVFAVERGVYADHFLSLLAKKEDDYDYNNKRSESFDLKSSGRFSFWFRWRWIRKVLLIICALVCWKHFLNCRVVMKAYEMNPFLHFPVYCFVTPLLLGYVAYYTRGIH >KGN62857 pep chromosome:ASM407v2:2:19052750:19053164:1 gene:Csa_2G377930 transcript:KGN62857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNEYAATFSDKLGLNPNLMAMALALAFFLSHFFNSTLPFLIQLFLPIGFIPIFLYSSNLTLFLLLLYCFHFQLPSCFIPLNSWTAVSQVIVSFDPFVGG >KGN61902 pep chromosome:ASM407v2:2:12707617:12734873:1 gene:Csa_2G263970 transcript:KGN61902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDTTFCSILDILCNIYRGEESLCIQFWDKESFTDGPIRCLLYDLEGEFPFRLVEFVRFLSSLCEGAWPAKCVFDFLDKSVGISSLFEINSSTLVEHIPEIVETQQPLPVPGMEGMLIPCKTRGRVLKVVGGNTVLVRWEYKLPGMLMLLMRLAQGMYLNNDEQVAILDLLSRMISFNTAVCFALMGGTHISNPEVADIARPTEKNLWLVEVICVLVRNLSPDSSNAAVMAIGLNILSKMLKCFPAIVTPVALKANIFNVAGHDALSESWLQFGKLAKMLLIDVEHNDSDSPLSISLLDFTMQLLETGLENDAIVALIAFCLQYILVNHEYWKYKVKHTRWIVTLKVLEMMRRCILVSSGPGKLGPLVQNMLLFDSSIHNTLFRVVCTTRQALEKLYISRLSEPREIEGLENAICSVLDIFFIMLSAFSKDSSAAPAVFHQALISLKTKPIPIAAAVISLLSYFRNVSVQVGAARVLSMLFFITDDVQPHLSSACFSLDDEQIAELRQSIECILLKKSAWDEDLFVAVVNMLTSAALYQPSFLVTLLASKENLTGQLNVSGGGTHQTKDSLQASSGLEKSSIIDALLQYLKEANKHAKSDLRIQLNVLNFIKALWQTAGPFIMVLNRMKTSEKVLEQLTDGVSHFVSGEACAPKTIAAMQALNSAYKYLSLSSMVEIMSYDIFLQKKMLHGESIVEQQTGPKDKAPDDVSSENSKLSRSLSDVKNMLSAACDGFLLGKLTKLLASCEFDNETYHRAKVASSLFIVHVMSKLATGDGGSLSVSMLGKIHELLQKLISLPAFAELSSQYLQRGYSGGDELNNLILSDLYYHLQGELEGRSIGSGAFRELSLYLIDSEIFHFHKQNYEDDIFVAIKDAHLFDLVHIQADLGLDLWDYSEWKESKSIAARMLSCMEDVNSMILVTRSKLTALRALITILTLMADDTLEKETTIRRKIIDHLVRYCIADMWQYLDVTIESLAFGLGASNCVLSFLTAQLELVTLLIRSADKVVPLSVCALILKTSGSGLKQLSCIQPVAGAHKTINLLLKLVLSSLECHDLNSFSDRQRNPEYVKDLAEFSNVLLGLLPIVCSFITNAEHCTLALTTLDLILRNFLSSETWLPVLQKHLQLQHLFLKLQDEKSLSSVPVLMKFFLTLARVRGGANMLISSGLLSYLQLLFTRFLDDSICSQSNYNNQNHQLIWKLGLAVITAVVQSLGDGSYLDVLDNVMNYFFSEKVYLISYHLNAPDFSPDEHDKKRSRTQRTKTSLCALRETEQTLMLMCVLARHRNSWAKATKEIDSQLREKCIHMLAFVSRVTHRHGESPAKVAPFTCPPNLKEEFDHCKKPSFIQSKSGWFALSPLACGSKSEFTAPSTSLIVKDQTTENSDPVCPTHFSDTLAMHIYTITFLLLKFLCLQAEGAAQKAEDVGYVDLTHFPELPMPEILHGLQDQAMAIICELCDTEAKHIDIDVQNFCRLLLQIMEMTLYLEHCVVQICGIRTVLGRVEDFSREVKLLLKGVEGHAFLKQSVYSLKQILSFVYPGLVQIEKL >KGN62634 pep chromosome:ASM407v2:2:17485255:17487732:-1 gene:Csa_2G362470 transcript:KGN62634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFDDSRKIKPIMAGPFGGPAGNNWDDGVYSTIRQLIICHGAGIDSIKIQYDVKGSSIWSDRHGGNGGTKTDTVKLDFPDEYLTMIRGHYGSFVSFDKVFVRSLTFMSNKKKYGPYGVEQGTIFSFPTTEGKIVGFHGRSGLYLDAIGVYLKPMAIQSPSKAMIQSRDHLASKTENEGYSIIQGSVGQNYDIVLAVRQKDEFKTPLPTTISKQVSSSSSSESSDDESTIKRPVKKGPSKVENVVPCGPWGGSGGTVFDDGCYSGIRQINVSRNVGIVYIRVLYACDEESIWGARAGGTGGFKYDKVIFDYPYEILTHVTGHYGPVMYMGPNVIKSLTFHTTKAKYGPFGEAQGTPFSTNVKEGKIVGFHGRKGLFLDALGVHIVEGKVTPLSRPPSRDIIPAAPPLLENSNAPWTMKLAPSKGALEEMARGVVKEPAPCGPGPWGGDGGKPWDDGVFSGIKQIYLTRSLEAFCSIQIEYDRNKQSVWSVRHGGNSGTTIHRVKLDYPHEVLTCISGYYGYIGKDERQQAVKSLTFHTSRGKFGPFGEEVGSFFTSTTTEGKVVGFHGRSSLYLDAIGVHMQHWLGSQRATRSSFFKLF >KGN62672 pep chromosome:ASM407v2:2:17753246:17753635:1 gene:Csa_2G368240 transcript:KGN62672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNPAVSTRPKSNGANSFVVHVKDDQDDYRGGSPAERISPARILSRIRRVFMRFIFYLPSRGSAAAAAAALKQRNLEKFEPPKTSCSSSYSSYSHYNEAIADCIEFLNKSSQDQIGVDEGRISDANAMV >KGN61474 pep chromosome:ASM407v2:2:8578188:8579440:-1 gene:Csa_2G138780 transcript:KGN61474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDHFQFIEQQKPIIQKDFSSSSLQQFVSGSYFYGDPTLWGSILRPPRSQNCGFNGNIDQSKSPSSSSSSSTPNSPSSTSSSLFSTEKSEISGGNLIDNIHGIESNLHPNGQAFVPLNFLETFPKQESESLSPSPPLFQSRIDSTNLTLFLQEPTIVDPSPQNPFQIHSQTGLQWLKNTQNQNRSAAIVAGAANNYSDFWLGATKTQPMKQIGRKQGNQKTESSAVGKLFRGVRQRHWGKWVAEIRLPRNRTRVWLGTFDTAVEAAVAYDTAAYMLRGEFAHLNFPDQKHRLKSNSLNRTTAALLEAKLQAITQGNSGRKKSVAGTVSTIDCSEKELLEGDSKVLDMRKKASENVCGGSETGEMKRNEDGNLEIEQHVQLSRMPSLDMDMIWDALLVSDS >KGN62535 pep chromosome:ASM407v2:2:16976993:16979050:1 gene:Csa_2G360030 transcript:KGN62535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSISGSIGETYYDVLSLREDASFDEIRASYRSALLNFHPDKLQAMCHKSHPDDIMGERYFKVQKAWEVLGSSKSRASYDRELQAAKGDAIGAESISLEDMVVEDKGEVVELLYQCRCGDYFFIDSGELDEMGYPLLRNGSKVSLRTLNALPASVVLPCGSCSLKVRLLIDSN >KGN62962 pep chromosome:ASM407v2:2:19654394:19656916:1 gene:Csa_2G381870 transcript:KGN62962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAAENGLKGDPRLQGISQAIRVVPHFPKPGIMFQDITTLLLDHKAFKDTVDIFVDRYRGMNISVVAGVEARGFMFGPSIALSIGAKFVPLRKPRKLPGEVISEAYDLEYGTDCLEMQIDAVKTGERALVIDDLVATGGTLSAAIRLLERMGAEVVECACVVGLREVKGQRRLDGKPLYILVEPRQLDDCF >KGN61089 pep chromosome:ASM407v2:2:4006422:4007127:1 gene:Csa_2G047820 transcript:KGN61089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPPHKPSEKIKTHTPTVSISILFFLELYIIQPYPQSPNFLQNSTHPSPPNNPQMPFQPSAAVSGHPLPHPPPPPKPETQLLSLLLKIAIMILLTTLFFVFLGLATALLLIHLCVASALHRRRRFHSRHSQYSDSSSGFSHRDLKKLLQFRFSNWVNPHSQIDCSICLDGFRKGQWCRRLGGCGHVYHRKCIDSWLVRVSACPLCRRCVRLDMEEHEIDCVSSRNFELFYAL >KGN61641 pep chromosome:ASM407v2:2:10463153:10468044:-1 gene:Csa_2G199910 transcript:KGN61641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARDGHVVPVDPQTALTVKKKKQSSRNWILLDCTGQGTVLDVDKHAIMHRVQIHARDLRILDPLLSYPSTILGRERAIVLNLEHIKAIITADEVLLRDPTDEHVIPVVEELQRRLPPSNTFQFQVQGDGKEYQSGPQDGEAEEDDSPFEFRALEVALEAICSFLAARTTELETAAYPALDELTAKISSRNLDRVRKLKSAMTRLTARVQKVRDELEQLLDDDDDMADLYLSRKMSSSSPVSGSGPANWFPASPTIGSKISRASRASVATVRGDEDDIEELEMLLEAYFMQIDGTLNKLTTLREYIDDTEDYINIQLDNHRNQLIQLELFLSSGTVCLSIYSLVTAIFGMNIPYTWNDGHGFIFKWVVIISGFACAVLFITIIYYARYKGLVGS >KGN60718 pep chromosome:ASM407v2:2:1424035:1424334:-1 gene:Csa_2G008095 transcript:KGN60718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEGRSRQQVQNHETIGQESWCRCLQPLYDWSFPLHIYIYISTGPIINFIVDEYFKGIPNTAQLTF >KGN63080 pep chromosome:ASM407v2:2:20495706:20496626:-1 gene:Csa_2G401400 transcript:KGN63080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSESTENQRTLQQDEFQRWRDDLTEIANSSGVVSTQTGQNHHHHRYYYFEKNERENSGKNKGHKLPDLQKKLLYLKLCRKRIFKDRQCGRKGLLIVLGDIDYIEQLENLVGERIQFGVGSRTIIRTRNRQLLTNKVAKEQLSTDVEALLHFSSQGFSVKHGAISVLSIIKCHIS >KGN62732 pep chromosome:ASM407v2:2:18118234:18119891:-1 gene:Csa_2G369830 transcript:KGN62732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFFFSPCTSDSAISICGASDSLSSHLKIKPRKPFQITQFSYSDLLSSTNSFSPDCFLGKGSHGAVYKALLHGGKLVAAVKRTKFTNPSPSFHYNSCQLPNHTPAENEIEILSQLRHPRIVNLIGFCVNSKDEKLLVVEFMPNGSLYDLLHSQSRPPGWTRRLRFALQVAKAVRTLHTSNPPVIHRDIKSSNVLIDGNFNARLGDFGLALRGHVEDVRVRCTPPAGTLGYLDPGYLAPADLSVKSDVFSFGILLLEILSGRNAIDVHHSPPSVVDWALPMIKHSNFDGLCDPRIGSPTDPAVIRCLAVLAANCVRVAVQKRPDMAEVVECLKAAKKKLHVPPIWNNLRPRKRHVENLQPLISNFDEPDGCDEPMKVCRMGSRRNRKVSSVSSTEYKIKTNGRVVRSRSMGSVEINSNVGGNYYSSLSGRRKHSGVAMKIPTMKLSKSRSVGVSENPKFVECCNRRAGAFLPEIEMSKLMIDCESKSEKPLLQN >KGN60882 pep chromosome:ASM407v2:2:2560028:2560402:-1 gene:Csa_2G021530 transcript:KGN60882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALCQKGINVFIDDDKLPRGEEICTSLLKAIEESKISIVIISENYASSHWCLDELMKIIMCNKSNNRQVVFPVFYKVNPSHVRRQRGVFGEEFAKLQVRFSNKMQAWSEALTFISTMSGWDLKN >KGN62878 pep chromosome:ASM407v2:2:19171419:19172502:-1 gene:Csa_2G379090 transcript:KGN62878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYKKPNPSSSVLSFTIFHLFFFFFFSTSSVSAFNITRLLNRFPDFGAFNELLTKTHLYEQINSRQTITVLALSNATVGAIAGNSLDVIKQILSAHVILDYYDVEKMRKLSTDKATVLTTMFQSTGDAENQQGFLKVVLNKRGEVEFGSAAKKAPLSAKLMKTVASQPYNISLLQVSAPIVIPGIGVYNLPPPAPEAPFVAPVEAPAPAPEADAPGPAEDDDADSPSDAPSPASEAPAPAADAPDAPVSSPPKESDLEDADAPGPSDDASDDSTSEGTRRKFGGAGAMVAGLVCLWLVKF >KGN61589 pep chromosome:ASM407v2:2:9895486:9897544:1 gene:Csa_2G173570 transcript:KGN61589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKDLRLKLKGLRLGRFLARNTRKKRRGTAAPAAVSKASWMAPVNHGYHVVVDQSYSNVWGKESDYDSVVVQREQMEGIELWFFGVFNPQIGDQVIKFMQTHFFDKNFHESQVKGKGREAMKKAHLNARTKVREAKEGKDQAWKMGSSSALVIDGDKLVIATMGDYRTIVCEDGLAHQISCDQDPTSQRWSRRLMLGMKPRKSSELVLATKRVNSETEFVILGSHGIWEVMKNQEAVNLIRHMEDPQEAAECLAKEAFTRMSKSSISCLVIRFD >KGN62201 pep chromosome:ASM407v2:2:15025996:15030414:1 gene:Csa_2G334520 transcript:KGN62201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTSNQTEFDYLFKLLMIGDSGVGKSSLLLSFTSDNFEDLSPTIGVDFKVKYVTAGGKKLKLAIWDTAGQERFRTLTSSYYRGAQGIIMVYDVTRRDTFTNLSEVWAKEIDLYSTNQDCIKMLVGNKVDKESYRTVTKKEGIDMAREYGCLFTECSAKTRVNVQQCFEELVLKILDTPSLLSEGSKGVRRNIFKEKPPQSDASTSSCC >KGN61047 pep chromosome:ASM407v2:2:3611922:3615806:1 gene:Csa_2G035470 transcript:KGN61047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRIPPTLGLTRPGPSNAPKITFAAKDTDVLEWNGDLLAVGVTEKDVVKDDNNNFTNSLLKKLDAVLGGLLAEASSEEDFSGKSGQAIVLRVSGLSFKRVGLFGLGQSAYSAAAFVGLGEAIAAAAQASRAVSLAVALAFSADLSDESKPDIASSIAIGIVNGIFDDNRYRSNPKATVLQSVDVLGLGSGAAMIKKLKYAQYVSSGIVFVRELVNSPANILTPGQLAAEVLNIARNYSDVLSARIFNEDEIIEMKMGSYLGVTAAATANPPKFIHLCYKPPSGCVSAKLGLVGKGITFDSGGYNLKTGANSNIETMKNDMGGAGAIFGAAKAIAEIKPLGVEIHFVVAACENMISATGMRPSDIVTAANGKTIEVNNTDAEGRLCLADALIYTCKLGVDKIIDLATLTGACIIALGPSVAGAFTPNEELASEVLSAAERSGEKLWRLPIEESYWDSMKSGVADMLNTGPSQGGAITAALFLKQFVDENVQWMHLDIAGPVWNTKKSIATGFGVSTLVEWVLKNAS >KGN60589 pep chromosome:ASM407v2:2:538008:538902:-1 gene:Csa_2G000960 transcript:KGN60589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEPIRPAVKKKLWNVLRAVVFMLRKGLSKSKITFDLHLMLKRSKIAGKAIANLVEFHHGSAFSCQTIDIANSYISTRDYEFSCSNSPANTAYPFRYFNKKLRKQHYFPKSYRYDDFSTVTAVQRVLDILHTDQKSEASPLVPLPGFGKSPLVVRQLRVTDSPFSLKDDGDSQFVDKAAEEFIKKFYTDLRLERSLAAFESPYRNTLCR >KGN61083 pep chromosome:ASM407v2:2:3921295:3928209:1 gene:Csa_2G047770 transcript:KGN61083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISAVSWVPKGVCKPLPDLADPPSQETIDQLLKSNQVVEDSSKHSDDEADEEDMDVEDANDEEIANALAVAQALGKSSETRNLETKYDDIAEELKELDMDNYDNEDDEIELFTSGAGDVYYPSNDMDPYLQDKDGDDSEDIEDETIKPTDAVIICACSEDNVSALQVWICEGYGVGDPNFYIHRDIIIPAFPLCTAWLDCPLKGGERGNFIAVGSMEPSIEIWDLDVIDEVQPCAVLGGIVEKKKKKKKGKKTSVTYKENSHTDSVLGLAWNKEFRNILASASADKQVKIWDVSTGQCNITMQHHIDKVQAVAWNHHSSQVLLSGSFDHSVVLKDGRNPSHSGYKWQVTADVENLAWDPHTEHMFVVSLEDGTVKGFDIRNATTESSSESKASFTLHAHEKAVCSVSYSPSAPNLLATGSTDKMVKLWDLSNNEPSCLASTNPKAGAVFSVSFSEDCPFLLAIGGSKGKLEVWDTLTDAAVSRKYGNYSQQRS >KGN61975 pep chromosome:ASM407v2:2:13271202:13272445:-1 gene:Csa_2G277660 transcript:KGN61975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPEPEKAQLSSSLNMSAKKELLSTAMKRTSEWIFSQEIPSDVTVHVGEASFSLHKFPLVSKCGHIRKLVSESTDADLATVELPNCPGGAEAFELAAMFCYGINFEIGTENIAMLRCAAEYLEMTEEYAVGNLVGRTEAYINEVALKSLAGAVSVLHMSQSLLPTAEKVKLVSRCIDAIAFVACKDSHFSMLGRASDIGHHNKGLPSKPIVDWWAEDLTVLRIDIFQRVLVAMMSRGYKHYSLGPVLMLYAQKSLRGLQEVFGKGRKKIEPQQEHEKRVVLETIVSLLPREKNAFDLS >KGN62652 pep chromosome:ASM407v2:2:17609620:17612858:1 gene:Csa_2G365100 transcript:KGN62652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSKILFNSKQCFLFSLNFMRNALDIRLLANRYAEKLQLCSPQDPASFSLARAVHAHMIASGFKPRGHFLNRLLEMYCKSSNVVYARQLFEEIPNPDAIARTTLITAYCALGNLELGREIFNGTPLYMRDSVFYNAMITGYAHNGDGHSALELFRAMRRDDFRPDDFTFTSVLSALVLFVGNEQQCGQMHCAVVKTGMGCVSSSVLNALLSVYVKRASELGIPCSAMVSARKLFDEMPKRDELTWTTMITGYVRNDDLNGAREVFEAMVENLGAAWNAMISGYVHCGCFQEALTLCRKMRFLGIQFDDITYTTIISACANVGSFQMGKQMHAYILKNELNPNHSFCLSVSNALITLYCKNNKVDEARKIFYAMPVRNIITWNAILSGYVNAGRMEEAKSFFEEMPVKNLLTLTVMISGLAQNGFGDEGLKLFKQMRLDGFEPCDFAFAGALTACSVLGALENGRQLHAQLVHLGYESSLSVGNAMISMYAKCGVVEAAESVFVTMPSVDLVSWNSMIAALGQHGHGVKAIELFDQMLKEGVFPDRITFLTVLTACSHAGLVEKGRHYFNSMLESYGITPCEDHYARMVDLFCRAGMFSYARIVIDSMPSKPGAPVWEALLAGCRIHGNMDLGIEAAEQLFKLMPQNDGTYVLLSNIYADVGRWNDVAKVRKLMRDQAVRKEPACSWIEVENKVHVFMVDDDVHPEVLSVYRYLEQLGLEMKKLGYIPDTKFVLHDMEYEQKEHALSTHSEKLAVGFGIMKLPPDATVRVFKNIRICGDCHNAFKFMSKVARREIIVRDRKRFHHFKNGDCSCRDYW >KGN63351 pep chromosome:ASM407v2:2:22521844:22525362:-1 gene:Csa_2G431200 transcript:KGN63351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSNSDNPIRKVLGLGYWVQGFRCFPWMAVNFFLKDGLNVDPSTLQLLQNSANLPMVAKPLYGILSDAVYISGQHRIPYIAIGAFLQAVSWLPIALMPPSRISVFTISIYLLLSNLGASIAEVANDAIVAEAGKQPTSSKNSRASSSGELQAFVWVASSAGGILGNLLGGVAIDRFSPQAMFLFFGLLLSLQFFLTIIVRERSLNLPQSSMNAGINKQLSELAAVLKRPEIAYSIIWLAVSYSITPALTGTMFFYQTEHLKIESSVLGISKVFGQAALLLWGVIYNRHLKSIPSRKLISSIQVLMAVFMISDMLFVKGVYREMGVPDFIYVVLFSGLSEVLLFFKILPFSVLIALLCPPGCEGSLMAFVMSAIALAFIVSGCLGVALASYVGVTANDFSGLARGLIIQAVCTLLPLCCSWCIPDDIKAKDRRKDSKKQL >KGN60576 pep chromosome:ASM407v2:2:473842:478651:1 gene:Csa_2G000830 transcript:KGN60576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVAVGAAVVCAAAVCAAAALVVRHRMRNSGKWARAMAILREFEDKCGTPIGKLRQVADAMTVEMHAGLASEGGSKLKMLISYVDNLPTGDEKGLFYALDLGGTNFRVLRVQLGGKENRVVKQEFEEVSIPPHLMVGSSEALFDFIAQALAKFVAEEGEGFHPAPGRQRELGFTFSFPVRQTSISSGTLIKWTKGFSIEDTVGEDVVGELTKAMEKLKLDMRVTALVNDTIGTLAGGRYHDNDVIAAVILGTGTNAAYVERAHAIPKWHGLLPKSGEMVINMEWGNFRSSHLSLTEYDHALDAESLNPGEQIFEKLISGMYLGEIVRKVLVKMAEEAAIFGDTVPPKLKIPFILRTPHTSAMHHDTSPDLKVVASKLKDVLEISNTSLKTRKIIVELCDVVATRGARLSAAGILGILKKLGRDTVRVGEKQKSVVALDGGLYEHYTKFRTTMENTLKELLGDEVAANVFVEHSNDGSGIGAALLAASHSQYLEVEEP >KGN62565 pep chromosome:ASM407v2:2:17135727:17140739:1 gene:Csa_2G360820 transcript:KGN62565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWLSKILKVGSGHRIAEKNYQANYEEDPNSHLPSTSEGLWSENENEDIDRAIAISLVEESQKANNVIDRDYQLEEDELLAKAVQESLNLDSPPQYTSGNMYQPYLPQYQFGSRICAGCYNEIGYGRYLNCLNAFWHPECFRCRACNLPISDYEFSTSGNFPYHKSCYKENYHPKCDVCKHFIPTNPAGLIEYRAHPFWIQKYCPSHEYDGTPRCCSCERMEPQEVKYIPLHDGRKLCLECLDSTIMDTKECQPLYRDIQEFYDGLNMKVEQDVPLLLVERQALNEAREGEKHGHYHIPETRGLCLSEEQTISTVLRRPRYERGNRVSSTMSEPYKLTRHCEVTAILILFGLPRLLTGSILAHEMMHAWLRLKGFRTLSQDVEEGICQVLAHMWLSTELMSSQDYNDVASTSYSAAPTTSSRRKTMSKFERKLGEFFKHQIESDMSPVYGDGFRAGQMAVHKYGLQTTLDHIQMTGTFPY >KGN62068 pep chromosome:ASM407v2:2:13961440:13969792:1 gene:Csa_2G295440 transcript:KGN62068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSDSELISRLRDFLRNSDLNTTTTAIVRRKLEEDFGIDLSDKKLFIREQVDLFLQTEHEKAVQEGYAHCEEVHQEDGDENLKMETEDGDSEDGDNDNEDDEKGKTSSEKVKKRGGGFTKLCSLSPQLQEFIGAPEMARTEVVKQLWNHIRENNLQDPSNRRNILCDEPLKALFGVNSINMFQMNKALSKHIWPLESNDVIPAKSSQKEKPQKEKQPKEKPQKKRQKQGKEEDSDDSAREDKRQKKGKSGFLAPLPLSNALVAFLGTGEDALPRSDVVKRMWDYIKQNNLQDPSDKRRIICDERLKELFDVDSFNGFTVSKLLATHFIKMKQ >KGN62378 pep chromosome:ASM407v2:2:16126256:16129296:-1 gene:Csa_2G351590 transcript:KGN62378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFSQDDFDRLLLFEHTRKTAEANYAANPLDADNLTKWGGALLELSQFQSVSDSKNMIKDAVEKLEEALTINPTKHDALWCIGNAHTSQAFLTPDRDEAQVYFDKAQECFQKALDEDPGNELYHKSLEVAAKAPGFHSEIHKHGTSQQSAGSSGGGATAASNPKSSKKKSSDLKYDIFGWVILAVGIVAWVGMTKSHVPPPPSR >KGN60523 pep chromosome:ASM407v2:2:178391:179786:1 gene:Csa_2G000320 transcript:KGN60523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLCVYAVSKVENICVLSLSGQYLCPVTSITLFTPLHYFTPRYPQRATSIFNIPSHSFFSISASTSTSASRHRILPSFYC >KGN61411 pep chromosome:ASM407v2:2:7943458:7943616:1 gene:Csa_2G118340 transcript:KGN61411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIRETYRGWVEKDDKESVRELKKKKWEANTWIKEEEDGGYAFEVLSRELFG >KGN61013 pep chromosome:ASM407v2:2:3423520:3424083:1 gene:Csa_2G034670 transcript:KGN61013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLITEDIKAKADELYYGDEMCQIKSRELLKEMDLPNGLLPMKDMEECGIVKETGFVWLKQKKSYTHKFKDIDRLVTYGTEVTAYVEKNKVKKLTGVKAKELMIWLPLCDIYVNQPPNGKITFKSTTGLSRTFPVSAFQVEEEVKVVEEKENKERENKEKEKKEKENKEKEVVNGAVAAAAIEVKEV >KGN62977 pep chromosome:ASM407v2:2:19727336:19730337:-1 gene:Csa_2G382500 transcript:KGN62977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLKDEWFCAAMADDTVVVELLVRLKQSQASSSIKSPVIPSRWGLRQRRSRILSPFRFDAVSHKNKDSTSTRCSPTTPLSWSGDTSPSATADGFEESSHPSEFSLSSRSKGCGINEFSSSTAMAKRLKRRKALADLRVEESLLLKERVHLKKELESLHATFKEQTTNNEKLKKMKLNMNFNTSSDHLRDMSKPVASNQQCQRADPTTESVPATLPMQTAPGNSSQSESNNRQEINSMESGGGFFLPDLNMIPAEDCL >KGN60556 pep chromosome:ASM407v2:2:362560:365964:1 gene:Csa_2G000640 transcript:KGN60556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTILIPQRQFASEAGTQAISLDSNELVLDGEFVNPKSSPNDAGFFAPEINSFGHSFRDYDNAESVRQKTVEELYRLNHINQTYDFVKKMREEYGKLDKTEMSIWECCEMLNEVVDDSDPDLDEPQIQHLLQSAEAIRKDYPDEDWLHLTALIHDLGKVLLLPAFGGLPQWAVVGDTYPLGCAFDKSIVHHKYFKDNIDNNNPAYNTKYGVYSPGCGLDNVVISWGHDDYMYLVAKENGTTLPDAGLFIVRYHSFYPMHKEGAYQHLMNEEDAENMKWLHIFNKYDLYSKSKVLVDVEKVKPYYQSLIQKYFPAKLKW >KGN61051 pep chromosome:ASM407v2:2:3645205:3650461:1 gene:Csa_2G035510 transcript:KGN61051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRNLPFSSSMSAQIQRSNRSPLLLFTLSLLALSVLFILVFLSPSNPHPNPTSFHSPISSLKPETSFVVSLEHFLTHKVPKSPPLRDDTAPVAGDVEDASRKLDEALSEAEMERVIRDPYFPLGSPIRVYVYEMPWKFTYDLLWTFRNTYRETSNLTSNGSPVHRLIEQHSIDYWLWADLIAPESERLLKGVVRVYRQEEADLFYIPFFTTISFFLLEKQQCKALYREALKWVTDQPAWKRSEGRDHILPVHHPWSFKTVRKFMKNAIWLLPDMDSTGNWYKPGQVFLEKDLILPYVPNVELCDSKCLSYQQSKRSILLFFRGRLKRNAGGKIRAKLGGELSGADDVLIEEGTAGEGGKAAAQTGMRKSIFCLSPAGDTPSSARLFDAIVSGCIPVIVSDELELPFEGILDYRKIALFVSSSDALKSGWLLTYLRSFSAADIRRLQQNLAKLSRHFIYSSPAQPMGPEDLAWKMIGGKLVNIKLHTRRSQRVVKESRSVCSCDCRRSNFTNSPPSSL >KGN62013 pep chromosome:ASM407v2:2:13574190:13576388:-1 gene:Csa_2G286470 transcript:KGN62013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILAPGVFLKGENDISYKRETFRSFFETQNKRVPLHMTEALSLSALVQKCISVTSLKAARQLHALILTSIATASSLSPYVCNNILSMYARCGAIWESQKVFEKMPQRNLVSFNALIAAYSRSHGHAPLAFNLLSQMELEFLKPNSFTITSLLQAASFTEDPFWSSLIHAQVVKCGFVHDVRVQTALIGTYSHCLDLESAGKVFRWTIDKDVVTWNTMIFGNLKHDKLNEALRLFNQMLGIGLIPTQFTYAMILNICCRNGDYLFGRLIHGRIITSNAIIDRTLQNVLLDLYCNCGDIHTAFCIFNRNENPDLVAWNTIISGCSENEEDEKAMKLFQQLKKSSLTKPDDYTYAAVISTIDNLLSGMSFIAQVIKDGFEGSVFISSVIVSMLFRNGESQAAARVFVTVAVKDVVLWTEMISGYSRIGEGEKAIKCFHQMHQNGHELDSFSLSLALSSCADLATLKQGEIFHSLAIKTGSEAEIYVLGSLINMYAKNGDLGSAQLIFSQVPCPDLKCWNSMLGGYSHHGNMEQALNLFFNLQNNGVKPDQVTFLSLLSACNHSNSVEIGQFLWNYMKECNIIPNSKHYSCMVSLLSGAGFMDEAEEMITKSPFANNDPELWRTLLSSCVVKKNLRVGVNAAKQVLRIDPEDSAAHILLSNLYAAAGKWDGVVEMRRRIREKMVGKDPGVSWIEAKSKIQSFSSGLQSHPEVDEALTTLLKLRGNMSEEMDESGGI >KGN60816 pep chromosome:ASM407v2:2:2059892:2062939:1 gene:Csa_2G011490 transcript:KGN60816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLRYRKNEMVEIIDHEDQCSVVNAKTHKRRWRMAFAAIYFTRLLISLSKKVGHRKFLRSLSYVTVDMHLDYHDVDNMDSDEQRSYVDRKVLSMMVREKNFECLDRFGGVEGLANLLETNPEKGIVATEDDLISRKNSFGTNTYLKLHGRSFVSFVIEALNDTTMIILLICAALSLGFGIKQHGWDDGWYDGGSIVVAIFLVVGVSTISNFKQSRQFEKLSNEREDIKIEVIRAGRRKPVSIFDIVVGDVVYLKIGDQIPADGVFLEGHALKVDESQMTGESDQVEVNLGSNPFLLSGTKVSDGFGVMMVTSVGMNTTWGEMMSSIRQEVNETTPLQARLNKMTAVIGKLGLTVALLVLLVLLVRYFTRSTGEFNGSKTRFNDIMNAILDMVTAAVTIIVVAIPEGLPLAVTLTLAYSMKKMMADNAMVRKLSACETMGSATTICTDKTGTLTLNEMKVTEFWIGEDEIMDKDLSNSRIVELLHQAVGLNTTGSVQRSTSSLPLEIFGSPTEKAILSWAVFDLDLNLDELKKQHKIIQVETFSSEKKRSGVSTRRYGEKFIHTHWKGAAEMILTMCSYYYNKQGTVRAIDDEARTRLIATITTMAGKSLRCIAFAQKQNEDNENPEVPTKLDESGLTLLGIVGLKDPCRPGVREAIESCKAAGVDIKMVTGDNLHTATAIAMECGILNPNDDTNNDEVVVEGIRFRNYTPEERLEKIGNIKVMARSSPFDKLLMVQCLKNLGHVVAVTGDGTNDAPALHEADIGLSMGIQGTEVAKESSDIVILDDNFTSVVTVLKWGRCVYNNIQKFIQFQLTVNVAALVVNFIAAVSSGKVSLTAVQLLWVNLIMDTMGALALATEQPTNDLMEKKPVGRTEPLVTKVMWRNLIAQAVYQVTVLLVLEFKGGAIFNVEGKVKGTLIFNTFVLCQIFNEFNARKMEKKNIFEGIHKSKVFLGIIVITLGFQVVMVELLGRFANTIRLNLGQWGICIAIAALSWPIGWLSKLIPVSALHFPRRN >KGN62076 pep chromosome:ASM407v2:2:14009771:14018775:-1 gene:Csa_2G296010 transcript:KGN62076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISTSLFSFPLAPKLRNPSLPLFSATTSLSTRRFHLFLSSSSKVSTIFDTVTVGEDFPTQYGEWFPESDPDIRRRAGVLLHPTSFRGPYGIGDLGDEAFRFVDWLHEAGFSVWQVLPLVPPGRKANEEGSPYSGQDANCGNTLLISLDELVKDGLLTKDELPEPVDHDHVVFSTVADIKDPLIAKAAERLIQSDGDLKRQLEEFCKDPEISSWLEDAAYFAAIDNRLNSFSWYEWPEPLKNRHLSALVEVFQTERDFINIFIAQQFLFQRQWQRVRSYANMKGITIMGDMPIYVGYQSADVWANKKQFLLNKKGFPLLVSGVPPDAFSETGQLWGSPLYDWKAMEKDGFSWWIRRIKRAQNLYDDFRIDHFRGFAGYWAVPSEAKIATVGRWKAGPGKSLFDAISRAVGKINIIAEDLGVITEDVVQLRKYIGAPGMAVLQFGFGSDSANPHLPHNHEPNQVVYTGTHDNDTMRGWWDNLNEGEKSNVLKYLSVSEKDDIPWVLIRAALSSVAQTAIIPLQDVLRLGNSARMNTPATQFGNWKWRIPNSLSFESLDTEASKLKDMISLYGRL >KGN61717 pep chromosome:ASM407v2:2:11268670:11272362:1 gene:Csa_2G232470 transcript:KGN61717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMWVFGYGSLIWKTGFPFDQKLPGCIKGYRRVFYQGSTDHRGTPEFPGRTVTLEAAEGEVCWGVAYKITKTEDKETALNHLEVREKQYDRKEYVDFFTDPMDTTTPVVSGVMVYIASPDKKLNKNYLGPASIEEIAKQVVHAEGPSGPNKDYIFQLEKALLQFGWDDKHVTDLANEVRSLLSDGKQTAA >KGN62053 pep chromosome:ASM407v2:2:13825046:13826493:1 gene:Csa_2G292830 transcript:KGN62053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTWINVSLIFLILWVFWTPRLVSMAMDYSLGSSCSSDIQDRYQKWMDKYGRQYKSREEWERRFTIYQANVQYIDNFNSMNHSHTLAENNFADLTNEEFKATYLGYKTVSIPDTCFRYGNMVNLPTNVDWRQEGAVTPIKNQGQCGSCWAFSAVAAVEGINKIKAGKLISLSEQELVDCDVTSGNQGCNGGYMYKAFEFIKRTGLTTEIEYPYQGAESACNEQKEKYQFVSISGYEKVPVNDEKSLKAAVANQPVSVAIDAEGNNFQFYSGGIFSGNCGNQLNHGVAIVGYGETSNQAYWLVKNSWGTDWGESGYIRMKRDSTDRQGTCGIAMMASYPTKD >KGN61087 pep chromosome:ASM407v2:2:3982965:3987719:-1 gene:Csa_2G047800 transcript:KGN61087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFLSMVGNSFGCSASGERLVSAARDGDLQEAKALLEYNPRLARYSTFGVRNSPLHYSAAHGHHEIVSLLLESGVEINLRNYRGQTALMQACQHGHWEVVQTLILFKANIHRADYLNGGTALHLAALNGHSRCIRLLLADYVPSTPKFWNMLHGSSNNEEQVSKFEFGALKEVVNRTSDGGITALHMAALNGHVDSVQLLLDLGASAFQVTVEDGTTIDLIGAGSTALHYAACGGNAQCCQMLIARGADLTAQNANGWTPLMVARSWHRDWLVEILSREAGAVATNLVPSPYLTLPLMSIVRIARECGWRSCDSLLTCQDPCVVCLERECTVAAQGCDHEFCTRCALYLCSTNCTSSVSHGPTGSIACPLCRNGIVSFIKLPRTKPIAKEITRTSLSLSFCTCSGEAPEPPTLTTPLCKPEFTCSRISPLGASFRSLSCQRFPSVKLNSNLCMGDPHSSSSSLVPCDVDRNMRNHVSRCSRSSGFRRTASEGRRSWLSALNQYVTTGSGC >KGN61854 pep chromosome:ASM407v2:2:12419587:12424014:1 gene:Csa_2G252030 transcript:KGN61854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLSTAGYLARRAAQKERVRILYRRALKDTLNWAVHRHLFYKDASDLRERFEAHRHVEDLDTIDRLIENGEASYDKWRHPDPYIVPWAPGGSKFTRNPIPPAGIEIIYDYGREDH >KGN63078 pep chromosome:ASM407v2:2:20482590:20484496:-1 gene:Csa_2G401380 transcript:KGN63078 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine-threonine protein kinase, plant-type MNVSSFFALPFLLLFFNLVSTCHVADQKALLAFKSAITADPSGILSSWKPGVDCCTWDGVTCSVPNRVTSLSLYGQLDRPNAFLSGTISNSLSNLPYLDGIYLVNLRNISGPFPLSLFKLPKLLFVYIENNKLSGQLPAAIGNMSQLEAFSVQGNRFTGPIPSSISKMTRLTQLILGSNLLTGSIPIGIKQLKSLTFLSLERNRFTGAVPDIWGSFPELRILRLSHNKLTGKIPRSISSLAPKLSYLELGHNLITGNIPDFLGNFRALDTLDLSSNYISGVVPKSFRNLTKIFNLDLSRNSLVDPFPELFVKGIESLDLSYNKFHLGKIPKWVTSSPIIYSLKLAKCGIKMKLDDWKPTETFFYDYIDLSENEIWGSPVGLLNRTDYLVGFWGAGNKLNFKLQDLRIVKSLKYLDLSRNVVFGKVPGGVVGLKNLNVSYNHLCGLLPATKFPATSFVGNDCLCGPPLPACK >KGN60966 pep chromosome:ASM407v2:2:3111139:3112232:-1 gene:Csa_2G032230 transcript:KGN60966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGISSIGVLPEDCISAILSLTTPSDAGKLALVSSMFRSAAESDVVWGRFLPENYEEIVAASEMSGEAPLRSKREAFFRLCSPILVDEGKKSFELEKLSGKVIYMLSARELSITWSSDPLCWTWKSHPQSTFPEVVELRTVSWLEINGKIRTKTLSPNTKYGAYLLFKISERAYGLELMPAQLSLQLFPINQPNTNNYNNNHNNSEAYVWLHHKHHDQNNQSNLESLLYGNRRERATKFIQNHVQNKEFRVLNQREDGWLEVELGEFFTTQNDQQLHMSFMETKGFQLKSGLLIQGIQIRPKH >KGN61832 pep chromosome:ASM407v2:2:12231658:12233038:-1 gene:Csa_2G249865 transcript:KGN61832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCCSKEPETFHARIKLLNQTHQEQEAEEEEEEEQGTIVFKIPNSIQLSLVLSLYSVFDSSGFSLTPRFDVVLVFGIWDFSFWNFWAPPIGCCFRAFLFPPTTTTVADFRVTTVLEFVTVESEKALN >KGN62677 pep chromosome:ASM407v2:2:17769846:17778365:-1 gene:Csa_2G368290 transcript:KGN62677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVETFFQAVSLFAAPNYPNAIAWSDENLIALASGPLVTILNPASPFGARGTITIPAADPLRIGVIERKDLFSDCLLTTCLSRDDQPRAQSVAWSPIGMAPNAGCLLAVCTSEGCVKLYRPPFCDFSAEWIEIVDISNKLYDYLESIKYGELDVLSSKCSDIPVKESGSADDVHEHLTKKKNSKRRKKDELKSDNESSLNQSLEKSKEKPLRRRSEDSSVPPFISAQQYASRSAMLLSLVIAWSPVIKPSHKAHLHQNSSASVLAVGTKSGKVSFWKVNVAECYSLTECMVPTRALLVGILQAHNSWINCISWMLFDSDSSSPKVLVATGSADGSVKIWQCYCEELLASSDSNFASFSLLKEVISGEGVPTVLSLNMPKLSEHKLFLAIGRGSGSLEIRIFNLSSSEFDNILLSDAHCHVVTGVAWAVDGRYLFTCSEDNTLRGWSLDESSLHEVPISSRIPELGGSIDLPDTFRSCFGIAMSPGNLVGAVVRNFDLDSLDKMYQARTQKAAVQFFWIGGEEIEVMPNSSYFYTENVSNMSKKEFVRWESSILWSLNQFKNLNKPMVVWEVVAALLAFRHSIPEYVDHILLKWLATSYLHWNNELSATKILSHVSKNVSTFSTRQLHLLNIICRRVVLSESIQDQVNDNLQNLDLLSLEGLDDSENEMHILCKKLLLSSERELRQRLIGLCFFACAKLRSLSITEYRPGFWYPIGLTEMQQWVTSNPEHLQDSIKDIASQARKKRWSKHSSTEQCTYCSAPVPFESPEFGVCQGGKRKPGVSQSHKLIRCSVSMQVCPATTPLWFCMCCCRSAFRLAPDILFQMSETPNFHSLKLSDSEIPSKPLCPFCGILLQRRQPDFLLSACPV >KGN61067 pep chromosome:ASM407v2:2:3782160:3785219:1 gene:Csa_2G036650 transcript:KGN61067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSELFDLIVPEHISFIWGYWGIELLVLANFVFQVILTFNGSRRRHTPGNRLSLIVWFSYLLAAKIATVVLGKLTTIDIGHEQRNTHTQVQALLAPLMFMQIGNPDTITAYSIEDNQLGVRQVFSMVIQVGIMFYILVRSWTDSKTSFLYLPMSLAGIIKYGETSWALKSALNGNFGFTIADFFKYHEVADLFNKLPQGENELPEANLILRAYYRFCCLKPHLENWLYYPPTDCDQGKLHIKECGYEDVFRITDVELGFMYDALYTKAPVVYTRKGLILRLISLLSVIATLVGFSVLFKDAFVYNISIGFIHFVLIAALIIEIYQILRLPFTDWAIVQMVRHHEAFPILRGFLRSLSPQSATWRRWSNTMGQFNLLEFCLQTKHRNYSRIKILRYMGMDMKLRKQLSLDRIDVSPEVKEFVVTELREIEAIKGEEEFDQRGQWTINRYKTLLNLNNENKLIKAIETTVSKRPFDKCIFIWHITTNIFYNIEGYRDTSVGNKTEAIMSLSDYMMYLVVTRSHVLSTTTADIIFDHSCVKLGKFTRTGRLKKEDICNDILKLKKESILHAREPHEPIESEAEKVVVGNWHLMKDVKELADCLLALSNENKWKLIGSMWFEMLGYAASKCEMEYHSEHIRQGGELITHVWLLIAHNVTKYSSYEYHAGGQDEETPATS >KGN62057 pep chromosome:ASM407v2:2:13856796:13857449:1 gene:Csa_2G293360 transcript:KGN62057 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patatin-like protein MSSKWGMLGWIYHRGATPIVDIFSDASADMVDYHISSIFQSEHNDRNYLRIQDDTLSGDVSSVDIATQQNLLKLIEVGESLLKKPLSRVNLESGKFEALDGEGTNEKALAEFAQMLSDERKLRLSP >KGN62920 pep chromosome:ASM407v2:2:19434168:19437269:1 gene:Csa_2G380000 transcript:KGN62920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPNQATVDYPSFKLVIVGDGGTGKTTFVKRHLTGEFEKKYEPTIGVEVHPLDFFTNYGKIRFYCWDTAGQEKFGGLRDGYYIHGQCAIIMFDVTARLTYKNVPTWHRDLCRVCENIPIVLCGNKVDVKNRQVKAKQVTFHRKKNLQYYEISAKSNYNFEKPFLYLARKLAGNPELHFVESPALAPPEVQIDLAVQEQHERELAQAAVQPLPDEDDDAFD >KGN62643 pep chromosome:ASM407v2:2:17539733:17540984:1 gene:Csa_2G363530 transcript:KGN62643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEKSIYWREFFGRIVVMVGIWSRIVCGADQIKQTSVVNVGVILSSSSWVGKMGLSCINLSLTDFYSSNPHYNTKILLHINDSNDDPLLAASQALELIEKSEVKAILGPESSFQAPYTIQLSEKFKVPLISFAPPPPPASTSSNLNSPYLLRVYNHFSQIYAIRDIIKTFEWKQVVTIYQDDEFGQSIVLDLIHALQVNSSN >KGN60759 pep chromosome:ASM407v2:2:1686076:1690146:1 gene:Csa_2G009470 transcript:KGN60759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNGVFADIFDGEVYKYYSEGEWKKSSSGKSVAIINPTTRKTQYRVQACNQEEVNKVMEIAKSAQKLWAKTPLWKRAELLHKAAAILKEHKAPIAECLVKEIAKPAKDAVTEVVRSGDLVSYCAEEGVRILGEGKFLVSDSFPGNERTKYCLTSKIPLGVILAIPPFNYPVNLAVSKIAPALIAGNSIVLKPPTQGAVAALHMVHCFHLAGFPKGLISCVTGKGSEIGDFLTMHPGVDCISFTGGDTGVAISKKAGMIPLQMELGGKDACIVLEDADLDLVAANIIKGGFSYSGQRCTAVKVVLVMESVADALVEKVKARVAKLTVGAPEDDSDITPVVTESSANFIEGLVMDAKEKDATFCQEYKREGNLIWPLLLDNVRPDMRIAWEEPFGPVLPVIRINSVEEGIHHCNASNFGLQGCVFTKDINKAILISDAMETGTVQINSAPARGPDHFPFQGIKDSGIGSQGVTNSINMMTKVKTTVINLPTPSYTMG >KGN61238 pep chromosome:ASM407v2:2:5677389:5681821:-1 gene:Csa_2G073010 transcript:KGN61238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVENKQVIFKGYIDGVPQLGDFELKIGKLKLEAPKGSGGFLVKNLFLSCDPYMRGRMRDYHDSYIHPFLPGQPIEGFGVAEVVDSDDSNFKPGDIISGITRWEDYSLINKGSIQLRKVEPDDLPLSFHVGLLGMPGFTAYAGFFEVCSPKKSDYVFVSAASGAVGQLVGQLAKLHGCYVVGSAGTKEKVDILKNKLGFDEAFNYKEESDLNATLKRYFPNGIDIYFDNVGGDMLDAALCNMRVHGRIAICGVISQNSISNPKGISNLWNLIPKRVNMKGFLQSDYLHLFPRFYEEVSNYYKQGKIVYVEDIKEGLENAPAAFVGLFSGDNLGKQVVCVARQ >KGN62465 pep chromosome:ASM407v2:2:16625133:16625763:1 gene:Csa_2G354910 transcript:KGN62465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFLHLLTWLLLLTSFLELSLGAPGFCGWKCRRRCSKAGVRDRCMKYCGICCEKCGCVPSGTYGNKHECPCYGNLKNSKGNSKCP >KGN62771 pep chromosome:ASM407v2:2:18448767:18451160:1 gene:Csa_2G372180 transcript:KGN62771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVPSVSLTAALKLETHPRKRHSTASFPLNDKDKSVGFQKNHSLIQLNVVDAEEPKLGTRIESSYYFPLLQECIDRNLATEARMIHGHIVKTGFHEDLFVMTFLVNVYSKCGVMESAHKVFDNLPRRNVNAWTTLLTGYVQNSHPLLALQLFIKMLEAGAYPSNYTLGIVLNACSSLQSIEFGKQVHAYLIKYHIDFDTSIGNSLSSFYSKFRRLEFAIKAFKIIKEKDVISWTSVISSCCDNGQAARSLSFFMDMLSDGMKPNEYTLTSVLSACCVMLTLDLGAQIHSLSIKLGYGSSILIKNSIMYLYLKCGWLIEAQKLFEGMETLNLVTWNAMIAGHAKMMDLAEDDVAAHKSGSTALAMFQKLYRSGMKPDLFTFSSVLSVCSNLVALEQGEQIHGQIIKSGVLADVVVGTALVSMYNKCGSIDKASKAFLEMPSRTMISWTSMITGFARHGLSQQALQLFEDMRLVGIKPNQVTFVGVLSACSHAGLADEALYYFELMQKQYNIKPVMDHFACLIDMYLRLGRVEEAFDVVHKMNFEPNETIWSMLIAGCRSHGKSDLGFYAAEQLLKLKPKDVETYVSLLNMHISAGRWKDVSKVRKLMKEEKVGKLKDWSWISIKEKVYSFKPNDKSHCQSLEMYKLLETVLNEVKALGYEPIEDVEVIEKEENEERVLSSTVLHSEKLAIAFGLLNLPTATPIRVVKSITMCRDCHNFIRFISLLKGREIVIRDSKQLHKFLNGYCSCGGYGDNL >KGN61776 pep chromosome:ASM407v2:2:11744683:11747134:-1 gene:Csa_2G239410 transcript:KGN61776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAVPGSVGTGASFSLRLGQTAFSSASLLFMSLGVEFYSYTAFCYLVTVMGLVIPWSFTLALVDGYSVLVKCPLRQPGILAIIVVGDWVLSLLTLAAACSTASVVDILLHLDSSYCPSKLCRRYQISAAMAFFSWFLSFASSLFNLWLLPSL >KGN61958 pep chromosome:ASM407v2:2:13161011:13162721:-1 gene:Csa_2G277000 transcript:KGN61958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVPPRPTSTVSEPYTPPPPPGKAELIPTALYRQGSWSPDASREEAWQRRRKGRSKKERNRSLTAEDLEELKACLELGFGFESPELDSRLSNTLPALGLYHAVNKSYSDSISKSANRTAFSSPDRDYINSPSPLGSPLAIFGSSGENPKAVKTKLRQWAQVVACSVKNSST >KGN62375 pep chromosome:ASM407v2:2:16112673:16113189:1 gene:Csa_2G351560 transcript:KGN62375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPFTRNCPTQRVPQVSRRTTKESRRSRQRAIEDQRDMELPAATLWVLDLQATTHSSIWMLKSARRLVAGTPIMISSSKS >KGN61560 pep chromosome:ASM407v2:2:9669058:9671031:-1 gene:Csa_2G171820 transcript:KGN61560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTILNPFQLLELNVISAQDLAPVSRSMRTYAVAWVHPDRKLSTRVDTHGHNNPTWNDKFVFRVDDEFLHSDTSAVMIEIYALHWFKDIHVGTVRILVGNLIPTPPRLHQFSQQPQVGMRFVALQVRRPSGRPQGILNIGVALLHSSMRSMPLYSQLSTSAIGYRNLMGEEDPFANHHSNTNNQSHGTPTVLTRPEMRRSKSDTSSMIGSELRGSEMMGKGMKSKASSMVNGSEVSKQKKKGRSKASSMISGSVVIKRKGKSRKASSMISGSGIEEGYAVKNGKNGKSNPGIVGKKDKVDQSSILSGSEVYPVEQLSNKKEKPVQKLNSSEESFNSQSWKKPPNEIPNSKVEVVDSPRGKPGQETPNSRFDKMPYATPLRTPQRLAGSGTARATPMHDKFATPRRSTPLNGKSSYTKHNEFTYTTPRKSFLGGAFLTESELGPSPSEVAAQMARQKTEDGNESSIVGGLSLNDSVEGLQSKLERWRTELPPVYDRGELSSFPSSETREHNRRHSDGGGLFSCFSNICGCECSIVCGGSPKATKKSASGRLVRSPSVDQVSFL >KGN62731 pep chromosome:ASM407v2:2:18109761:18110676:-1 gene:Csa_2G369820 transcript:KGN62731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFDEENDEEIMDEMPIATHHYDSLTNSASTRPKPGEGASTARKASSIRYRECLKNHAIGIGGHAVDGCGEFMPAGEEGSIDALKCAACNCHRNFHRKETDSDQGHYYYQQQQQQICPYRGPTPHPSAYVYMRGAPVQQRALALPAAAGGREEDDTSNPSSSGGGGGSGSGLLLKKRFRTKFSSEQKEKMLEFAEKVGWTIQKHDEADVERFCMETGVRRQVLKVWMHNNKHTLGKKP >KGN61301 pep chromosome:ASM407v2:2:6410134:6417115:-1 gene:Csa_2G078050 transcript:KGN61301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHADGWIVEKISLLANPNQVRPSRFWGVYTKLKIFNMTDYKKVVYLDADTIVVKNIEDLFKCSKFCANLKHSERLNSGVMVVEPSETIFNDMMSKVNTLPSYTGGDQGFLNSYYSNFPNAHVFEPNLPQEVLRSRPTPEMERLSTLYNADVGLYMLANKWMVDESELRVIHYTLGPLKPWDWWTSWLLKPVDIWQNVRERLQDSLPGTGGGRNPNDDLIVKILVLLPLVALIFCSYQSCLQTRWYSNTSWRSLICDQARHLYYKIKSVGTINYTGLSTLNAVNLNHQSKIPVFLGGISIFVCFLAAVISLALAFAIVPRQVMPWTGLLLMYEWIFTIFILLFGSYLHFIFKWGKSMATQAGSFSDSESFDYSSKDHQWQASSSDVTAWFYGLGMAFLAVIAPTLPCILGVSALFLRLGLMVVGGLILASFMTYAAEHLAIRSFLRGLENKDGARGSRSVCLFC >KGN62327 pep chromosome:ASM407v2:2:15843634:15845029:1 gene:Csa_2G349610 transcript:KGN62327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDSIGIPACFSFGERASNDPGSVIRSGQSVFMSVYQTKIVGQCRLITVTWCKNLLLHGLSICVQGPEGNEQYQCKVEMKPWYFWRKQGSKHFEVEGRAVDVVWDLKSAKFNGETEPQSDYYVAVVCEEEVVLLIGDLKKDAFRKTGCRPALIEPTLVSKKEHVFGKKMFSTRIQFHEKGKLHTISIEFINVNNTNPSLSDSFDPELEMRIDGQPAIKIKHLHWKFRGNESILISRTRLEVYWDVHDWLFGSGPRYGLFIFRPISSWSESPSSSLPSTSPTPPPTSSLTSTTGMSIREVISTSGEVEHAAGSSKFCLFLYAWKIE >KGN62873 pep chromosome:ASM407v2:2:19136563:19136766:-1 gene:Csa_2G378550 transcript:KGN62873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNSIGLQTPRGSGTNGYTQRGTRRKTSSSITTSVGLNSNFSYLRTSSRWPI >KGN61337 pep chromosome:ASM407v2:2:7032209:7036086:1 gene:Csa_2G092800 transcript:KGN61337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSINWLGFSLSPQHQPSDHAHAAAATAPFTSDQISPSDVSAVCFDNLPSSYAVYEHSQDWNNMKGLGSTQSSDFSALIESQHQPKLENFLGHHSFTDHDHDHATAAVYTNASANYIFQNSSLDLPSEAAGCGGGRPSAGNGNANNTTSIGLSMIKTWLRNQPAPPQVVAKGGGDHDGSAVGISNHLTTAHTLSLSMNTGPPPPSQSSSGSAALPLLTASGGESSSSDNKQGKSSGASIDAENGAVEAAPRKSVDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQTRKGRQVYLGGYDKEEKAARAYDLAALKYWGTTTTTNFPISDYEKELEDMKHMTRQEFVASLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFGTQEEAAEAYDIAAIKFRGLNAVTNFDMSRYDVKAILESNTLPIGGAAKRLKDIHHSDIPLDPQRAEDNITSHLSDGIINITSTTTPYSGGGWPTIAFHHHHPYAFHYPYATPQQRMWCKQEQDATAIAADNFFPHGGAMDSMDHSSGSYSSANGDGYNGNFLIPMGAEGSSSNNGGLGDIGEVNLFGGCSNVDDPFHATRTSNLYNYHNSSHQLQPPPPPSGLQGSNCNNWLPPARSVCQGGAPPPFTIWNDT >KGN61729 pep chromosome:ASM407v2:2:11425375:11429002:1 gene:Csa_2G234560 transcript:KGN61729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYYLFSSKSFTTVPEKNAEDAIQGLNGTVIGKQTVRLSWGRSTGNKQWRGDSNNQWNGGRYGGQSYGGYGGYYSVPQHQDPNMHPTAAAAAVNGSS >KGN61814 pep chromosome:ASM407v2:2:12075023:12075880:1 gene:Csa_2G248710 transcript:KGN61814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNTIVVLVTGCAKGGIGYEYCKAFAEHGCIVFATDVPHRAHQLFNESFSTIQTLELDVTSDESVEKAVQTIISKHGRIDILVNNAGIGSSGPLAELPLEAVRKTWEVNTLGQLRMVQQVVPHMASRGSGVIVNVGSVVGNVPTPWAGSYCASKATVHAMSHVLRLELRPFGIDVVLVMPGAIRSNFGSATVENVKSQEWKLYKKYKKSIEERANASQSGNSTDAGIFARDVVNKVLRKKPPKQIVSGHLSGLLSILSWLPLWVRDLYFSYRFNLNQKVRDFNLF >KGN62411 pep chromosome:ASM407v2:2:16292164:16293782:-1 gene:Csa_2G352410 transcript:KGN62411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPCCEKGKVKKGPWSPDEDAILKSYVETHGIAGTWIALPTKAGLKRCGKSCRLRWLNYLRPNIKHGEFTEEEDNVIFNLFNQYGSRWSTIASQLPGRTDNDVKNHWNTKLKKKKKKLFLAAKTQSHLHSQSPLLHTQETDFTEIINHTPISCIAALDNSVDQFQIPTVSEPGAGSDSRDQWSSMEPWGCDFPADMICSMLF >KGN62522 pep chromosome:ASM407v2:2:16921679:16922172:1 gene:Csa_2G359910 transcript:KGN62522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSRSQLNLSRDEMIKKIFEEYDVDGDGTLTKQEVMQAMDAMGFKILFQMTQFGISFADRDDDGKVDQDELEKLIDCVIRFQTRKRVCRRPVNSTEKTR >KGN60822 pep chromosome:ASM407v2:2:2093856:2095662:1 gene:Csa_2G011550 transcript:KGN60822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKAGKWILNFLLGKKDENQKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKNSSSSSSFPDHPENLKLKWSFRKTSTKSSNLLLTHHLSKSVNSIDTIQALNHVAIAEQRKPPSTVQNAAATTIQSAYRSHLARKALHALRALVKIQALVRGHLVRKQTAATLKSLQALMAIQVRARASRIQLLEEDEELPERRRCKHTINTNLEQLYKERLNVNLNEKLKPYKSKSGHISRSQIEQIENEQDAYCRRNFSTPRRQLQYKNQSSSMESDTSEYYILVSKPTADTTLYSMDQQRHSDFVPDDYLLYPNYMAKTESSRAKVRSQSEPKQRPNSNARMKSKQIGTADRINLNDQIHNSLQGPKHNGYENHNPWFMKLYQFKKTSKNQDGDSTSSKFSYSND >KGN60679 pep chromosome:ASM407v2:2:1173612:1175578:1 gene:Csa_2G006250 transcript:KGN60679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKGLHPQLQYVSYVTPSGRLMSAMMTKAHNVSKVYHLRAKRQMIESIGQLAKFRRRYEMGNDENADNAENVENAEKK >KGN62512 pep chromosome:ASM407v2:2:16877636:16878372:1 gene:Csa_2G357350 transcript:KGN62512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSNGLIFKCFPASLTEFEVAKVFKNHDKDGDGRLTKEELKSAFKYLGYKFTTFRVHQALHAADDNCDDVISFEEMSKLIMYTAGS >KGN62876 pep chromosome:ASM407v2:2:19149900:19155376:-1 gene:Csa_2G378580 transcript:KGN62876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFVLANCTHAVSFSAKQHSLKGRSKTVRGRKQRFSVIRISNSAAEFSGSKAASSGDKKDWQVPKSSTALELLDIERGVCIPFRKYSPETVRNKVLESRGAVLSLISRGVEIVWNLGLYWSILMYDCFVGRDEEVVPFRAQQLRKLLCDLGPSFIKAGQVLANRPDIIREDYMNELCILQDDVPPFPNEVAFSIIEEELGRPLEAVFSKISSQTIAAASLGQVYRATLRDSGEDVAIKVQRPKIEPIIYQDLFLFRTLASFLNGISLQKLGCNAELIVDEFGEKLLEELDYTLEARNLEDFIENFKNDPTVKIPRVYKQLSGSRVLVMEWIDGIRCTDPQAIKDAGIDVDGFLTVGVSAALRQLLEFGLFHGDPHPGNIFAMRDGRIAYVDFGNVAVLSQLNKQILIDAVVHAVNEDYAEMANDFTRLGFLAPGTDVAPIIPALEAIWQNSAGKGLSDFNFRSVTGKFNQLVYNYPIRIPERFSLVIRSLLTQEGICFTLKPNFKFLEVAYPYIAKRLLTDPNPTLRERLIQVLFKDGMFQWKRLENLIVLAKENVVKMSSNPALQKKDLQGSRQVVNKLDLKDTIKDGARLFLIDEGIRRQLLLALTEDSQLHVQELVDVYRLLEDQIDIPSMALEVARDFPSVVRDLMLAWTESVLSDR >KGN63357 pep chromosome:ASM407v2:2:22570567:22570812:-1 gene:Csa_2G432250 transcript:KGN63357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPLEKIQWEVTTSNTKVEELQEQLKSLQGDVSSFMLLLEGLTKNDCSDLSKIIIFHCISLRASFPSIDDLDDVEMKKME >KGN62041 pep chromosome:ASM407v2:2:13756726:13758141:-1 gene:Csa_2G292220 transcript:KGN62041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGGGGSFRGGQDFFGRNNSNSISRSNKLIKSPFDNSNIPPFPSSTATATADQPIFRPSMDTDPDPEHSFFEPASTSPFTKSPWSSHFNSDALPSPADNTSFLPNILMGSLVREEGHIYSLAASRDLLYTGSDSKNIRVWKNHKEFSGFKSNSGLVKSIVIGGGKIFTGHQDGKVRVWKISSRDPSVHKRVGTLPTLGDYIKCSMRPSNYVEGRRRRNLWIKHFDAISSLSLSEDQAFLYSASWDKTFKVWRVSDSKCLESIEAHEDAVNTLVSSIDGMVYTGSADGSVKVWRRELQGKGTKHFFSQTLVKQECAVTALAVNDDATFVYCGSSDGVVNFWEREKSLSHGGVLRGHKLAILCLATAGHLLLSGSADMGICVWQRIASDHFCLSVLTGHTGPVKCLAVEKDNEVSEGERRWIVYSGSLDKSVKMWRVSEQPPSTFQRSPSFIPECSPTAGLLVNAPSFVIREQ >KGN63417 pep chromosome:ASM407v2:2:23031956:23033317:-1 gene:Csa_2G439740 transcript:KGN63417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNSPSSNRLRVGYAFPPNKERNVIRPSLIDYAKLHSVDLVRIDLQTPLLHQGPFHCIIHKLYDDAWAENLQEFASKNPDVVVVDRPDLISKLYNRVSMLDVVSQVKVSDSDVKIEVPKQILVKQEDEAIDSIMKLELKFPVIAKPMESDGSAKSHEMSLVFNRRGLKDLNKPVLVQEFVNHGGVMFKIYVAGDQSMCVKRKSLPDVEETEEELEKKTEGAMKFSQISRAEEKSEKCNGEAKKEDEEEIEMPPEKIVREVSRGLKEAMGIRLFNFDMIRDRNNGRYYVIDINYLPGFAVLPEYEPFLTKFFKEVREKKVDVDEVASATENDKQNPRCCSCFH >KGN61685 pep chromosome:ASM407v2:2:10900451:10902268:1 gene:Csa_2G224250 transcript:KGN61685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTTSEMQEVKSATEVIKWLASGLNPLLPTNVEGDISKLSLVGHSRGGKTAFSLALGICRPSLPFSAVIGIDPVAGTKCFQPQPHILPPLSEPFKISAPITVIGTGLGPKSASPITCPCAPDGFNHVAFFSKNNGKGSRKLMRECCSGLVVASLKAYLDNDESFLNAIYVDPSIAPVDLNPQKVMYKTSSP >KGN62864 pep chromosome:ASM407v2:2:19084658:19087334:1 gene:Csa_2G377985 transcript:KGN62864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSVVSEIQSAEPAEVTVDDACPIKQVDITVPKTDDPNLPVLTFRMWILGIAACVILSFVNQFFWYRSNPLSVSSIAAQIAVVPLGHLLAKTLPTQQFFKGTRFEFTMNPGPFNIKEHVLITIFANSGAGSVYATHILTAVKLLYKRQLTFVPALLIMFTTQILGFGWAGIFRKYLVEPGEMWWPSNLVQVSLFRALHDKEKRPKRSTTRTQFFLLAMICSFAYYVFPGYLVMMLTSFSWLCWFNSNSLLLHQVGSGMNGLGLGAFGIDWSTISSYLGSPLASPWFATANVAVGFVIVMYVMTPLSYWLNVYGAKRFPIYSSSLFMANGHEYNISSIVNSNFHLDRGVYSTTGPVNLSTFFALTYGLGFATLSATVMHVFLFNGRELLNQSMSAFGGKRKIDIHTKLMQAYKQVPTWWFIIILILNIALSVFACQYYNASLQLPWWGVLLACFIALFFTLPIGIINATTNQAPGLNIITEYIIGYAYPERPVANMCFKVYGYISMTQALTFVSDFKLGHYMKIPPRTMFMAQVVGTIIAVFVYIGTAWWLMGSIQDLCDTNLLPENSPWTCPMDRVFFDASVIWGLVGPRRIFGDLGEYGAVNWFFLGGAIAPLLVWIAHKMFPNKTWIRLIHMPVLLGATAMMPPASAVNFTSWLTCGFLFGYFLFRYKTEWWKRYNYILSGGLDAGTAFITILLFLTLGSKGIDWWGNNIDGCPLASCPSARGVVVDGCPVF >KGN61358 pep chromosome:ASM407v2:2:7294268:7302042:-1 gene:Csa_2G097440 transcript:KGN61358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKEKPTLGGTRIKTRKRNITIPLDPASFSDAVVQIYLDNAGDLELIARNIESSELDFSRYGDTFFEVIFTGGRTQPGTTKPDEGERHPYSIIDCEPKREAILPSVIYIQKILRRRPFLIKYLENVMRRFLQSLEFFEENERKKLAIFTALAFSQKLSGLPPETVFQPLLKDNLVAKGLVLSFITDFFKEYLMDNSLDDLISILKRGKVEDNLLEFFPSAKRSAEGFSEHFTKEGLVPLVEYNAKKMFDVKLSEMKSALTTQIAEESDIAEVIETVKHRMRDANLPDIEVVRILWDVIMDAVQWSGKNQQQNANSALRQVKTWAQLLNTFCSTGKLELELIYKVQMQCYEDAKLMKLFPEIVRSLYDQDVLAEDTILHWFRKGTNPKGRQSFVKALEPFVNWLEEAEEEE >KGN62866 pep chromosome:ASM407v2:2:19092983:19095394:-1 gene:Csa_2G378000 transcript:KGN62866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTRSKESCGLGKERKITPPSSVTIPSNTSTKRTPKSSPRGIISSTDPKFPSFSPSSAPSSPSSAPSSLSILPEKHVPNYLKSTASSRNDHNFKPIARSKSGPAPIPEGRPSLNRRRSFDKPPPTVPRLGKPFRSPGPRNRTPHVPVRSSSFGAKPSTTTTTIGHSTKPGFLERSSSKSSKVGGKPQPPIQSLKTSSSYVKKSLRRESSNAAASASASASASASASASAEIVPKSKNAVEHVDQSPSFVLGVNEEDLKKIECELDPYLPDPMPELDKQIRLDQVEKKAVLDKDETDTEILKVVVTNKEVEEQKETPRTVETEISLANQEQNEREGEEPKEEDNPKRIEVEKEEVVALEESINNKNDQEKSETDSVLDGESCKEESDQNSTPKAAETTEKEAESTEKTAKPRQGGPGRKESPAMYNHVIEETASKLLEKRKNKVRALAGAFQTVIDYESSSK >KGN61315 pep chromosome:ASM407v2:2:6599179:6603868:1 gene:Csa_2G079910 transcript:KGN61315 gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S4, chloroplastic MKLEFQYSNFGFRMQQSNWISKSSYSKGSTGQVLLQLLEMRLDNILFRLGMASTIPQARQLVNHRHILVNGSIVDIPIWPG >KGN60544 pep chromosome:ASM407v2:2:298836:300327:-1 gene:Csa_2G000520 transcript:KGN60544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIPTIDFKQLNEDNRGNTLSILHEACQNWGFFVVENHGIEERVLEKVKLLVNKHYDEKMKEEFYNSDVAKNLGKITLSNVDWESAFFISHKPTSNISQVSNTSQPLCKAMEEEYIPEIIKIAEKLSELMCENLGLQKSHIKQLFNGTNGPSVGTKVAKYPECPQPDLVRGLREHTDAGGIILLLQDDQVPGLEFQIDGRWFKIPPSKNNAIFVNIGDQIEVLSNGKYKSILHRVLAEKHGSRLSIATFYNPATDALISPAPELLYPGGYRFGDYLKFYNTTKFGDKEKRFLDFQSINKM >KGN62241 pep chromosome:ASM407v2:2:15339865:15342020:1 gene:Csa_2G338850 transcript:KGN62241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALCISIPSFSDSATAPSLSQTYFSLSRRRPSNFIHFLNLSLPNRPPRLCRASNSQPGPFPKQSASASSKKRKKKDKGDSKVFNPTHIEVVDDFSFDDAGPSSSTSNSTYSSYHPSTLPKPPAGFVLDDHGKVLMASNKRIATMVDPLNNLPLECVIRRIFRSSKGDDCMLLCPVDTPVQILKSKNIDGWSALSKALEKEHHEKTFLRVKKMVDH >KGN60613 pep chromosome:ASM407v2:2:717242:720775:1 gene:Csa_2G004140 transcript:KGN60613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQDSLSSRIYKSFLTCNDPKGIVDKSTVRKKKAPSKMEKRNKNRRASKNLYEFSDCRLGRERTAIKEVRDEFSSSSSSQLMEVSRGAQKLNRTIDLWSNGMKYDTQSDQIARDLFEGALDLQQSLVILGKLQEASRYMTEMKKNERTERKTNGNMGMERTGFNRNEFHKPQLSADYSYGDGAEELKKMIRDRLARQLIFPNSTNVAERITFPESSMESSASDFASTSSSQSSMMYNTATNPAKKGNGKNLIAKLMGLEPQSSQMYENLHKRFLDEKISDRQRPEFSMKVAETKKPKSAIHKISQKTSESNLNTQQSKGILKHPANEVNDYFNYSSYSRSREEPTHAAQPIVLLKPVRVSQVEWEERQAQVFEEEEALNKKKFMKLKMKEKYDQQKDDNKVEALCSKKVFGSIGAEETAISRIYHRKVAQNPKEDDWKPKECINVIKPKKRISHILLDQNFQKKEATDKKGFDSQKDNVARKNLLVKAKIAPKFQDEVQGSLSKLQRKRNVIEEPIPHDSTPTSDTAHECSPFSMNQAIAEKVINEVLVEKSPAINFGGKNSLKKPDQTYSPASLLDMKKKGGSSRYQTCDHCSESQSSLIHSCRKPESSKYIDHEISVTKPVSTPRTPISINSPPDSHTNELNHLNANGSSRLWVSPEELPANACDGVESLRNYRKINEATNGIFGLSCRWPVRESMKEVEEVVEDLEERILVGLIHEVFA >KGN61986 pep chromosome:ASM407v2:2:13364963:13370706:-1 gene:Csa_2G279230 transcript:KGN61986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQKTWLWRKKSSEKITVSSDKVNLSVNKNEEETLLIDKARLEKDLEIANDKLSAALSECKTKDELVKKLTNMEQEAIARWEKSKSEAATLKQELNDAVQKRLAGEERVIHLDAALKECMQQLRFVREEQERRIHDAVSKTSNEFEKSQKILEEKLADTGKRLSKLGGENTQLSKALLVKEKMIEDVNRQLAGMEADLNALVSRLESMERENGTLKYEVRVLEKEVEIRNEEREFNRRTADASHKQHLDSVKKIAKLESECQRLRLLVRKRLPGPAALVKMKNEVEMLGRDSFEIRRRQKNSTGSLDSSLENSPETPSRRISVLTSAVSALEEENNNLKEALSKMNNELQVAKIMHARASPKPLQVESPHKLSNGHKIMESGKGSLALPEFHHASMSDAGSDDKVSSAESWASPLISELEHFKNGKQKGSSTTCKIVGSTDLDLMDDFVEMEKLAIVSVEKSPSNSRSLSNEVNGKPKSLETELNGFYPEAVSKEMVPKPCSNLGSCLTYPDWLQNILKTVFDQSNFSKRAPERILEDIQAAMKCQNPGNSINTKEDGNHCGDIACNNVRMSEKPLGIDSVCKANDTDITSMEKRDKQEVDLHGSILRLIELVEGISVTSSDDDNSSSRKDGSVYSETPTGYMVRVFQWKTSELNAILKQFIHNCYEMLSGKANIGNFVQELNSTLDWIVNHCFSLQDVSSMRDSIKKHFNWDESRSDCELETGTNVHVSEVDKSRVPREQILRLKKDISSNNHNAPTGELQSTLSEENGKLEEELTSVESAKKDPETKFQSTTGSSETLINQLEESEKKIVSLQKELESLKELKGTIEGQIANQRLVNQDLETELTAARNDLNENHRKFAALEVELDNKNSCFEELEATCLELQLQLESTRKQTSSTDSGQEEKQLRTEWEITTASEKLAECQETILNLGKQLKALATPKEAAILDKVIPTPNDETQTSSVSNTTTTPVTDTTSTPTTSNTKTTNNRFSLLDQMLAEDDAFPRDYKISKAVEVDAIHSSTSDIDKSIDPQKAILIWNGHKSVVNKDTVSNLAIVPSRKRGEGALWRKLLWRKKKVRSQKKTLLFAAA >KGN62113 pep chromosome:ASM407v2:2:14333154:14333405:-1 gene:Csa_2G299340 transcript:KGN62113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDTGASESNARKYIKHLIDETWKKINKIEVENSIIPQVFVDRAKNLARMAQCMYQYGDGHGTAHEETKDRVMSLLIQPISVL >KGN61039 pep chromosome:ASM407v2:2:3554885:3555354:-1 gene:Csa_2G035390 transcript:KGN61039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLEIAGIGGRRWECHYEKLNSGGSNRVVVMRRRRWVKKMLKRGMKGFRLYRFTRLKSSFGLKVSFLMSLSRRIREIVSRMKVVEDVCPNIIFSTQWGLPVLSYI >KGN62336 pep chromosome:ASM407v2:2:15888150:15888699:-1 gene:Csa_2G349700 transcript:KGN62336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARQFVVFALVLFAVIGFTCAATPAEAPKGSADTAGVGAQLAPGAENEIGNTEGADAPAGSEDVVEAPVGGPGATAGGFVPAPAPSAASALEFSAAAAVTAVAGFFFFF >KGN62582 pep chromosome:ASM407v2:2:17213067:17216200:-1 gene:Csa_2G361480 transcript:KGN62582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLKLGSKKWKSIAPRLKGKSPSPSFCLFSKSKSVNYGPGTTPVYLNVYDLTPVNGYVYWAGLGIFHSGVEVHGVEYAFGAHDYPTSGVFEVEPRQCPGFKFRRSILIGTTCLDPHEVREFMEQCSSSYYGDTYHLIVKNCNHFCRDVCHQLTGKSIPKWVNRLAKIGSVCNCILPESLRISAVRHDPTPFETEKTKLRNAFSCLSSISSRQKQLSSSSLYLQSPSKGWELKKPNTEPSSCLKSNDR >KGN61274 pep chromosome:ASM407v2:2:6074521:6078655:1 gene:Csa_2G075330 transcript:KGN61274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQASGSSSSSRFRWHFDVFLSFRGEDTRSNFTSHLNMALRQRGINVFIDNKLSRGEEISASLLEAIEGSKISIVIISENYASSRWCLNELVKIIMCNKLRGQVVLPIFYKVDPSEVRKQSGKFGEEFAKLEVRFSSEKMQAWREAMISVSHMSGWPVPKKDDEANLIQRIVQEVWKKLNRGTREMRVPKYPVGIDRQVNNILSQVMSDEIITMVGLYGIGGIGKTTLAKALYNKIADDFEGCCFLINVREASNQYRGLVELQKELLREILMDDSIKVSNLDIGISIIRDRLCSRKILLILDDVDTSEQLEALAGGHDWFGPGSVVIATTRNKHLLAINEFDILQSVQGLNDVEAFELFSWHAFKMSCPSSHYLYLISKRAVSYCKGLPLALEVVGSFLYSIEPSKLKLILDEYENQYLDKGIQDPLRISYDGLEDEVKEIFLYISCCFVGEDINKVKMKLEACGCLCLEKGTTKLMNLSLLTIDKSNRVEMHNLIQHMGRTIHLLKTSTSHKRKRLLIKDDAMDVLNGNKEAKGVKAIKLSFPKATELDIDSRAFEKVKNVVVLEVGNVTSSKGTDLEYLPSSLRWMNWPHFPFPSLPTTYTMENLMELKLPYSSIKHFGRGFMSGERLKEIDLSGSEFLVEIADLSTATNLEKLNLLGCVNLVKVHDSVGSLTKLVTFSLSSNVKGFEQFPPHLKLKSLKLLSMKNCRIDEWCPQFSEEMKSSLEELLIQYSTVINQLSPTIGYLTSLKRLFIIECMKLKTLPSTIYRLRNLTFLSVIKSDLSTFPSLNNPSSPSLFPYLTSLHLSNCKITNLDFLETMVHVAPTLERLDLSRNNICRLPSCIINFKFLKSLVTMECKLLEEIPKVPKGVVYMNAIGCISLTRFPDNIPDFICCDDNVEYDKKDQVIKQLILMNCDIPDWFSYKSMNNSVTFLFPLIDYLSWKRKAFITPCVKFQVSIDQPVEFKCRVFINDIEVKYDRRKCSIFSLELLLKYRKVSRGEYLWMAVYPMHVMDSSPNSSIGSLDKITVLFKVNSLRYRDGVSIKMCGVHLIVEV >KGN62356 pep chromosome:ASM407v2:2:15984138:15986315:1 gene:Csa_2G350390 transcript:KGN62356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNFSCRPLIPLHLSEDNLVSPMRIANGYIVEGIPENNAEGCRKPWHRGREVDDCFDHGKDSCSDSSQDPVSKDILHILPADPFGMDISTTFTAITGWLEDMEVDYDECFSNRAGTGAGAGAGAGAGGKDIEFFACMNFIWNNALKFQTFPETKSTVQKPYPIYSCDRCLDGKVTGDVACCCDFGSICSMDEAFFANDDAPSCCGQLDIECQEQNYTYTEIDGGAPHAALSFALCYLGVQDLLSVGRVCRSLHSVVQDDPLLWRNIHIGQPLNEKITDNILLQLTNRARGNLQCLSLVECPRITDEGLKRVLESNPRLTKLSVPGCTRLSIEGVVSSLRAFKLTSTQGVKHLRIGGLYGVTQEHFEELNFLLGIDSSLTQKNSYKQHFYFRGNFYVSCDDKRAMDIEKCPRCQNPRIVYDCPVDGCQGKEHATQACRACTLCIPRCIQCGRCINESSEYVETFSLELLCSDCWKPLLTCQEKSNGREQENGFVRHA >KGN61512 pep chromosome:ASM407v2:2:9036276:9041910:1 gene:Csa_2G151030 transcript:KGN61512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNERVLWNGLPTIVMMLVQFGFAGVNIFYKLAAADGMSFRIIIAYRFLFASAFILPIAFFLERGRRPKLTWSVIFYAFLCGLFGGSLSQNLYVESLALTSATYASAIGNLAPAITFILAVSFRLERMNIGTMRGKAKVMGTLIGIVGAMILTFYKGVELHPWSTRVDLLHKGHNSTEHVAPTEHTIHSHVLGSVMGVGSCFSYALWLIVQAKMSECYPCHYSSTALMCMMGSVQAVGFALCVETQWSRWKLGWNIRLLSVAYTGIVASGVMVTLITWCVRIRGPMFVSVFSPLILVLVAIAASLFLQEKLYLGCVVGGMLMVCGLYMVLWGKSKEIRKITQLAPMESIEEQLQQLGGEGIDLVISSPITPLSKTHVTNSQHNNNSNVPHSNN >KGN63181 pep chromosome:ASM407v2:2:21062708:21067356:-1 gene:Csa_2G406790 transcript:KGN63181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLRFFLIISLSWILFLPFTHQLQTSQTQILLQIRKHLEFPSSLEVMDAFDGDLCNVSPSRNMTIACQDNVVTELIIKGDKPFDFKGFNGLPILNQTLSERFSMDSFVTTLSRLSSLRVLGLISLGIWGQLPDKIHRLSSLEFLDLSSNYIYGQIPPKISTMVQLYSLVLDANFFNDTVPDWIDSLTNLTFLSLKSNRLKGQFPSSLCKIRTLADVYLSHNEISGELPDLSALANLHVLDIRENKLNSVLPVMPKGLVTLLLSKNALSGEIPKHFGQMDQLQHLDLSSNRLTGSPPPFLFNLPNITYLNLSSNLMSGTLQNPLSCSAKLGDVDISDNKLTGTLPSCLGSSSDKRMVKFSGNCFATNLQHQHEASLCAESLAGTGESRRKEKLLIVAFISGAIIVIVLLALGVFFLYRRLCKRTVQEQPVPPKVVQESSPATVPSELLANARLISQAMKLGAQTVPVCRSFSFQELREATKNFDKSMLLGEGSIGKLYRGKLENGTLVAIRCLVLSKKYSVQNLKVRLDVLSKLHHPHLVGLFGHCMEGDGHDNSNVNQVLLVYEYVSNRNYRTLLSETFPEKVLKWSDRLTILIGVAKAIHFLHTGVIPGSFNNGLKTNNILLDEHRIPKLSDYGMSIITEESEKHETKGESTKSSNRRNLVENDVYNFGYILLESLVGPIVTGKEETFLLNDMASFGSTDGRRRIVDPVVLITSSQESLSRVISITKKCISLDAASRPSFEDVLWNLQYAAQVQASADAEQKSDSAS >KGN60656 pep chromosome:ASM407v2:2:1015894:1016244:1 gene:Csa_2G006020 transcript:KGN60656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCKESMCKPINMKKHKLEDIKHLSLSIPYSTIPNAKLYSYDKKTSPTWRCCFSRMNRGSYCLTYQPNSNEVEVEMEVKVY >KGN60583 pep chromosome:ASM407v2:2:489352:491700:-1 gene:Csa_2G000900 transcript:KGN60583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGCGSTLYRRRHNLFNSGPKPRFKPKGKPGLSCNASPSRSRKSGPSQNRSRKSGPGILRVILVSISPANSFWHSVHRSVDNLSDSSLNRIGILTVSSQFRSNSAKSSASKTSMNHQVHKMVIVIAALLLSVLLGALFLIPRDRNAQKVQLNPINKSSKVYYSKDEVSVHNKRTDCWVIIKNRVYDVTSYVEEHPGGDAILTHAGDDSTEGFYGPQHATRVFDMIEDFYIGDLKL >KGN60955 pep chromosome:ASM407v2:2:3036060:3037113:1 gene:Csa_2G031140 transcript:KGN60955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAGFNSPTFFLICAAVFLIFSCSSTTVHAGLGIQHSLAWIPNQSTCKGSIAECFGGEEFEFDSEINRRILATSQYISYGALRRNNVPCSRRGASYYNCQPGAQANPYSRGCNAITRCRS >KGN61709 pep chromosome:ASM407v2:2:11172493:11173345:-1 gene:Csa_2G229940 transcript:KGN61709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIRKEKREEHRIVNTKSLHDLQRESQFVECISLNPKVNFEVADLRHSDERFINYFDDVGKSCRLRWFNQLDPRINRRPFTEEEEERLLEAHGIHGNKWALIARLFPGRTDNAVKNHWHVIMARKQRQQSNNNNLSTRKKITNSYQQFVLHSKLISHPHGDSHGRGGLFGRFWQPKLRNPNNRWDYNLNLFLRSCYQNSFRSESFRPNIRSKSTIKFEILNESVERKDAKFIDFLGVEQ >KGN60549 pep chromosome:ASM407v2:2:310339:314285:1 gene:Csa_2G000570 transcript:KGN60549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNRRLNPHFCPASTIYNISWGSRKRQTEPASSLLLHHLPPFVAPQMANVPGQLVWEIVKKNSSFLVKEFGRGNASVQFSKEPNNLYNLNSYKHSGLANRKTVTIQPGGKDLSVLLATSKTKKQNKPANSLHKSVMRKEFPRMAKAVINQVADNYYRPDLKNAALAKLSAVHRSLKVAKSGVKKRNRQSVTRGRK >KGN63306 pep chromosome:ASM407v2:2:22192562:22194029:1 gene:Csa_2G427310 transcript:KGN63306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKQTDRIKGPWSPEEDDALQRLVHKYGPRNWSLISKSIPGRSGKSCRLRWCNQLSPQVEHRAFTPDEDEAIINAQALYGNKWATIARLLSGRTDNAIKNHWNSTLKRKCSSMPDDTGGCHATSPPFKKSVSAGLYMPPNSPSGSDLSDSGFFPAVSSSHVFRPVPRTGAVLPPGETVSSSDDPPTSLSLSLPGADSSEVNFVANSVQGVGGVSERRSTGLACSATANGEERISGEKEESNSNGFGIFSSDLMAVMQEMIRKEVRNYMAGLMEQKVGGGGGVCYQQAAAGGFRNVVVQRID >KGN62315 pep chromosome:ASM407v2:2:15800450:15805084:-1 gene:Csa_2G349010 transcript:KGN62315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKGSVVSRCENWVVVTVSGMLQCNKLIPHRNAEFVSNPMQQSMTTKTDGTGEEAAFDMLQPERDLRLNWEVDLAQNLERYLLQICSGEFQCEDENTVNFAEAALLLQGSIQVYSRKVEYLYSLVLRALEFISERRQPDHLEGTSIEAEQNGSRKIDEHENNLYWVSGDVPVDPKNTLESTKEDVQLNQTVKAPTNLVILEGDCLDPSDNGELDSYLLATASNIFQDFIILDLCDVKTLESSTNRSPSTRRSGGNMRRSLFSKTEGDHATQSPIVNYSFVHDPPVCHMDVGNSEPEDSNGSEEDDDLWKPLNPHESGNLKIKPFKKVKAFKQNYKKSGKHESLTALFPMEKLHGPVSQEFAHIWEEQNHESKAHKEFKSLRNSLINEDHKSCDSLSDMEDDNIDNGFEDAMPDVNQPDIGDPNNHFMDEATCFENEKHDVAAHFDNGEAYEPEFPDSRSSLEDLCRSHLDALLASIAESEKQTEMATRVSTWKQNIEHNLEEQDKHHSFDIHEYGQAILEKLSSDPDIGGLMPFSAVVKGQETYTVARSFSALLQLANNGDVEMEKNGVDGESICYTNVNPFHIRLVRHEKQVDKTHQSSRKRFLSPGKTNTVESSVRDKKG >KGN62678 pep chromosome:ASM407v2:2:17781359:17784407:1 gene:Csa_2G368790 transcript:KGN62678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTIKRLKSSSQRQNWEKIFSALVRMLETQQKQLETLVLERKLLEDRIRMQHDRWTSDSRLYENFICQLKGELALRDMEHSLEASKLDLNMSMMQRGSLLRQITSEHANDELQDFKELFSLLYTKITVTPFQDVTSRTFLNSGKSNQRTQNSKALNIEVERLQKAYEKLESERSSEVTALLAEKTFVWNQYNLMENDYKSKLRHERTEVELGRTKIENLLANMEQLQSLNDEKDCTIAALESKLEKMEVNSRKLNDEISRISHEMEVLRKHNCASVTSVLNRCTSGNRTFGLGVKEKEKGSGSNIIVRKKASSETKKEYGSLKRKRDLTSESMTPRLFSSSFKPPKLRSSMCV >KGN63012 pep chromosome:ASM407v2:2:19984984:19985607:-1 gene:Csa_2G383340 transcript:KGN63012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNIVSAASPINTPEPPAASQRTPWHSPVPYLFGGLAAMLGLIAFALLILACSYWKLSIQSEERDGDNRDLESANIGELEEEKIKQHQTNKIFEEKILVIMAGDQNPTFLATPVTTPRFSSLSQNSHNLSSANFDHFVQKNDEMGCDNCEKTKEMGHHQNQEQQHQEQHHQEQQQQEAE >KGN61294 pep chromosome:ASM407v2:2:6301758:6304478:-1 gene:Csa_2G076015 transcript:KGN61294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSELGDKALVFSQSIPTLDLIEFYLSRLPRRGKRGKFWKKGKDWYRLDGRTESSERQKIVERFNEPLNKRVKCTLISTRAGSLGINLHSANRVIIVDGSWNPTYDLQAIYRAWRYGQTKPVFAYRFLAHGTMEEKIYKRQVTKEGLAARVVDRQQVYRTISREEMLHLFEFGDEENLEASTELDQGNGHTSHQIMTGHQGNVLKQKGPLSHGSCSSDKLMETLLGKHHPR >KGN62816 pep chromosome:ASM407v2:2:18727348:18731178:-1 gene:Csa_2G373590 transcript:KGN62816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMASMAMGSCIISPFNFKTKHPILPIQPSSKPTLSNFTIRSIASPVHPPSPVVVPLPEIDTSNNHVAWTSIRQDRWEGELSIQGHLPSWLSGTYLRNGPGLWNIGDYNFRHLFDGYATIVKLHFDNGRLIAGHRQIESNAYKAAMKNQKICYREFSEVPKADNFLAYVGELANLFSGASLTDNANTGVVKLGDGRVVCLTETQKGSIMIDPDTLETVGQFEYSDSLGGLIHSAHPIVTDSEFLTLLPDLLNPGYLVVRMEPSSNERKVIGRVNCKGGPAPGWVHSFPVTENYVVVPEMPLRYCAQNLLRAEPTPLYKFEWRPESKAFMHVMCKASGNIVASVEVPLFITFHFINAYEERDEKGRITAVIADCCEHNADPAILDRLRLHNLRDSLKYPLPDARVGRFRIPLDGSGYGKLEAALDPDEHGRGMDMCSFNPAYLGKKYRYAYACGAERPCNFPNTLTKIDLVKKVAKNWYEEGTIPSEPFFVARPGATEEDDGVVISMVSAQNGEGYALLLDGSTFEEIARAKFPYGLPYGLHGCWVPKN >KGN63007 pep chromosome:ASM407v2:2:19943589:19947897:1 gene:Csa_2G382800 transcript:KGN63007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIAVEGCMHGDLDNVYRTLQYMEQAQNIKIDLLLCCGDFQAVRNENDLKSLNVPPKYRSMNSFWKYYSGAEVAPYPTIFIGGNHEASNYLWELYYGGWAAPNIYFLGVAGVVKFGNIRIGGLSGIYNERHYHLGHHERPPYNENTIRSIYHVREYDVQKLMQVEEPIDIFLSHDWPLGITDYGNWKQLVRFKPFFEKEIQEKSLGSKAAAVLLEKLKPPYWFSAHLHCKFAALVQHGEGGPLTKFLALDKCLPRRQFLQVIEIESEPGPYEIHYDEEWLAITQRFNEIFPLTAKNANYGNIKLEMEDCRQWVKSRLKERGTKPFDFAQTVPCYDPARSISNSTLAGYPRNPQTESLLKFLELPYLLDDMTKSDGPSGSSIPSSVSGSFFDSEDIPIDDIDDVEGETAEPEDA >KGN61291 pep chromosome:ASM407v2:2:6282955:6284394:-1 gene:Csa_2G075990 transcript:KGN61291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAINKSPHIFYLLLVLIIPNCHSEPDHNALVYHHCTNNNQPPTSTSMSETLSSLFESLIKQSTNSTFHRQTTAQTGVPISAEYQCRGDMSLMGCKSCVTQLGILSNRFCTGAKTGRVQLRGCYVRYEADGEEEKDEEYGELVHKECGEREVGGGSGGYEAAALWEVEEGILRSGSGYYEGRKEGVRAVAQCEGALLGLGCDCAECVSRAVEVLRQDCRGSLDGSVYLGGCYVTYYTYHLLGGKKNGSSGKLVAIVLGGAATLGLAFILLIFFKTCGKKDDH >KGN60506 pep chromosome:ASM407v2:2:109144:113404:1 gene:Csa_2G000150 transcript:KGN60506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPQRHHNGNDGVSQRVNSPRFSGPITRRAHSFKRNNNNNNNNSDTHSNTNSNILNNNGLSSHHEIDLPANSPRSEAFRSTVQVDGFESALERKTAPHVSQRIHGGVAAKSSLNPGFVSLDFRLREKRKLGHLMFMVFCGLCLFLGILKICMNGWFGSVIETNESHHVDANDTPDSITSRNQVDHNSDNIKHREGETSFERTLMMESSVVGSQNGMEHSEIWMKPDSENFAPCIDEGSRHKKLDAKINGYILVNANGGLNQMRFGICDMVVIAKVMKAVLVLPSLDHKSYWADESGFKDLFNWQHFLETLENDVHIVEALPTAYAELVPFNKTPISWSKISYYKAEVLPLLKQHKVMYFTHTDSRLANNGLPSSIQKLRCRVNFQALKYSTPIEKLGNILVSRMRQSGGFYIALHLRYEKDMLAFTGCSHNLTTAENDELVRMRHEVAHWKEKEINGTERRLLGGCPLTPRETSLLLRGLGFPSRTRIYLVAGEAYGNGSMQYLKDDFPNIYSHSTLTTEEELNPFKNHQNMLAGIDYVVALQSDVFIYTYDGNMAKAIQGHRRFEGFKKTINPDKANFVKLVDQLDEGKISWKKFSSKVKELHKNRAGAPYLREAGEIPKLEESFYANPLPGCICDRNKET >KGN61819 pep chromosome:ASM407v2:2:12113159:12119390:-1 gene:Csa_2G248760 transcript:KGN61819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPLDRFARPCFEGFSGSDERKERKSDFENSEDERRTRIGSIKKKALNASSKFKHSLKKKSSRRKSDGRVSSVSIEDVRDVEELQAVDAFRQALIMDELLPEKHDDYHMMLRFLKARKFDIEKAKHMWADMLQWRKEFGVDTIGEEFEFKEKNEVLRYYPHGYHGVDKEGRPVYIERLGKVDPNKLMQVTTMDRYIKYHVQEFEKSFAIKFPACSIAAKRHIDSSTTILDVQGVGLKNFTKSARELVMRLQKVDGDNYPETLSQMYIINAGPGFRMLWNTVKSFLDPRTTSKIHVLGNKYQNKLLEIIDSSELPEFLGGSCTCADMGGCLRSDKGPWRNPEILKMILNGEARRARQVVKVLNSEGKVVAYAKPHYPMVKGSDTSTAESGSEAEDIASPKAMKSYSHLRLTPVREEARVGGKGGFAANFSGYDEYVPMVDKAVDSGWKKQVSLQRPSSSKGAPLPNTEKAPEALHSRMLVVFMGFFIAIFALLRSLAFQISGKKLSIGSQNTAELSSADKTATEENRPPTPIAKFTEAELLSNLMKRLGELEEKVDTLQSKPSEMPYEKEELLNAAVCRVDALEAELIATKKALHEALMRQEELLAYIDSQEEAKLRKKKFCW >KGN60988 pep chromosome:ASM407v2:2:3247727:3251079:-1 gene:Csa_2G033920 transcript:KGN60988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLVDWFYGVLASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQHPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAYDKERFTESKKELDALLSDESLADVPFLILGNKIDIPYAASEDELRYNLGLTNFTTGKGKVNLGDSNVRPLEVFMCSIVRKMGYGDGFKWLSQYIK >KGN61822 pep chromosome:ASM407v2:2:12162798:12163439:-1 gene:Csa_2G249280 transcript:KGN61822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALMTVVFFWLANETNFFTNTFGVKPLKDLAEINSALYLQVSIISQALIFVTRSRSWSFVECPGFLLVIAFIAAQLTPFSQSH >KGN61662 pep chromosome:ASM407v2:2:10708975:10710332:-1 gene:Csa_2G222050 transcript:KGN61662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIEAWFMDDCNEDQRLPHHPNPKEFVSMNQLAELGVLYWKLNPEIYENDEELKKIRDDRGYNYVDLLDISPEKLANYEGKLKDFYTEHIHADEEIRYCLDGSGYFDVRDKNDRWIRIWIKPGDLIILPAGIYHRFTLDTNNYTKLMRLFKGEPVWTPFNRPQEQHPARKEYLMSFTEKAGVALKAH >KGN61777 pep chromosome:ASM407v2:2:11794625:11796608:1 gene:Csa_2G245410 transcript:KGN61777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDPKTTAPSVVHQTHKILPDFDPPKKNKRNKFSLACATLASMTSVLLGYDIGVMSGAAMFIKEDFRLSDTKIEILVGILNLYSLIGSAAAGRTSDWIGRRYTMVVAAVIFFAGALLMGFATSYSFLMFGRFVAGVGVGYALMIAPVYTAEVSPASSRGFLTSFPEVFINAGILLGYVSNYGFSKVSDPVKMGWRYMLGIGAIPSVFLALIVLIMPESPRWLVLQGRLGEAKKVLDRTSDSKEEALIRLADIKQAAGIPEECNDDIVSVAKKSTHGEGVWKELLIHPTAAVRHILIAGVGIHFFQQASGIDAVVLYSPRIFEKAGITSANQKLLATVAVGFVKTIFILVATFLLDRIGRRPLLLTSVLGMIISLGTLGLALTVINQTDKKLMWAVVLCISMVLTYVASFSIGMGPITWVYSSEIFPLKLRAQGTSMGVAVNRVTSGVISMSFLSLSKAITTGGAFFLFAAIAIVAWFFFYTALPETQGKTLEEMETLFGHIRCKSAAASAGTEKDNGSGGVQLAPTSNGQTS >KGN62442 pep chromosome:ASM407v2:2:16507224:16510281:-1 gene:Csa_2G354680 transcript:KGN62442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFAGTTQKCMACEKTVYLVDKLTADNRIYHKACFRCHHCKGTLKLSNYNSFEGVLYCRPHFDQIFKRTGSLDKSFEGTPKIVKPEKPIDSERPTANKVASKFGGTRDKCLGCDNTVYPTEKVTVNGTPYHKSCFKCCHGGCTISPSNYIAHEGRLYCKHHHIQLIKEKGNLSQLEGDHEKITAKEKINGGEVVASEA >KGN60772 pep chromosome:ASM407v2:2:1768666:1775619:1 gene:Csa_2G009590 transcript:KGN60772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYYKYKKVDDKNSMTQRLTSNSSSYFHHHQHHHQTPTTTSPTNGLLPPTHHLSAAAASSDAGPHVVYPHSVPSAAVSSSPLEPARRKRGRPRKYGTPEEALAAKKAATASSHSSSSKAKKELASSSSLNAVSASSSFSTPSKKSQLAALGNAGQGFAPHVINVAAGEDVGQKIMQFMQQCKREICILSASGSISNASLRQPAASGGNIAYEGRFEIVSLCGSYVRTDLGGKTGGLSVCLSSAEGHIIGGGVGGPLKAAGPVQVIVGTFVIDPKKEFGGGKGDGSAVKLPSPIGGTSMSNLRYGSNIDSGGNQIRGNDEHQGLGESHFLLQPRGVNLTSPRSTDWRTGLDATNTAYDLSGRTGHHSPENGDYDQIPD >KGN61181 pep chromosome:ASM407v2:2:4984468:4987572:-1 gene:Csa_2G061580 transcript:KGN61181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPITPLHLIPTPSTSALTTIFALPPPVVLSPSKRAFDLLRYRMTESELHALFLLKQQRLGLLTMWNHSFTSHSNSTSEDLKLSLLRQISLNKEIQNVLLSSHQTGNSSNEVYDDGLDLVGSDFDRCRKVDQEVLNRRTIEWNPKSNKFLFAICASGQMSNHLICLEKHMFFAAILNRIVVIPSSKVDYQYSRVLDVDHVNNCLGRKVVISFEEFSEIQKNQMKIDKFFCYFSKPDHCYLDDEHVKQLNNIGVSTAKLESPWDEDIKNPSKRTVQDIESKFSSDADVIAIGDVFYADVENGWFVQPGGPIAHKCKTLIEPSHLIKVTAQRFIQTFLGSDFIAIHFRRHGFLKFCNAKRPSCFYPIPQAANCIASVVERSHSPVIYLSTDAADSETGLLQSLVMVNGKPIPLVKRPPRNSAEKWDALLYRHEIEDDSQVEAMLDKAICAMSRVFIGTSGSTFTEDILRLRKDWRSASLCDEYLCQGQDPNFIAENE >KGN61121 pep chromosome:ASM407v2:2:4256299:4260249:-1 gene:Csa_2G057070 transcript:KGN61121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLFLLILALFTFQLQISVLTSQTIPNQDDSYIKFIQNANTLPTTEKYDYIIIGGGTAGCPLAATLSSNFSVLVLERGSDPNAFPMVLSQEGMANTLTEDDDGHNPFQRFVSEDGVENIRGRVLGGGSMINVGFYSRAQPEFFKNSSVQWNMEMVEEAYRWIEETVVSRPELGPWQLAFKEALVEAGVGPDNGYDLDHVVGTRIGGSIFDSRGKRHGAVELLNKANPINLKVATQATVKRIIFSRSNGLSATGVLYSDSNGKLHKATISRNGEIILSAGAIGSPQLLLSSGVGPKSDLSSLKLPLVLHNRHVGQSMADNPRLGAAIVLPFLTPPTSVQVVGTLKPNIHIESLSTILPFSISPPFALLPPRSSAVNLSLAIFAGKFSTVSSTGSLRLDRRKNPIVRFNYLSHPDDLERCVEGVRKVGELVNTKVMERIKTRDLEGKMGFEFLGSSLPENMSDYGLVGEFCRKTVTTFWHYHGGCVVGKVVDGNYKVIGVNNLRVVDGSTFSLSPGTNPMATVMMLGRYVGLKMLHQRLGQRSKPFGN >KGN62195 pep chromosome:ASM407v2:2:14975400:14976804:1 gene:Csa_2G326480 transcript:KGN62195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAECSSLTWEFSTHQEDGMEELRHALLYTTLELETTIMSAKEEILRRECEIMNLRDLLNRAIKEKDEVEAKCGKLMFENLFLLDQHKIQEHELTPQSDSDSSKIFACSDSDDNNTIQSSQTDLIVGDPLLSNEHPPTVWKNACESEKPLLSQELPPTDWKNACDKPLPQKGKLLQAVIEAGPLLQNLLLAGPLPHWQHPPPLVDSGDIPPVIISPRPSQPLPQSSPIATRKRSHSFLSDISQHPLKHQKVV >KGN62989 pep chromosome:ASM407v2:2:19809376:19812556:1 gene:Csa_2G382620 transcript:KGN62989 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-protein ligase MAKCHSNTIGSVALHGISGANAPTRHFRFCTSFSGAAFRRRIYDAVSCGGSSRYRHRYKDEIMDEDPTMGDGSVSRDLKEPEEEKPRKQKLGNGKSEKLVDLLNLADSVELENEAETRRKEDELKELKRTVKDLQAEDLGKQKSAASSVRLMAKEDLVIRGTLALLGAIPPLVAMLDLEDEESQIAALYALLNLGIGNNANKAAIVKVGVIHKMLKLIKLEATSNSSVAEAIIANFLGLSALDSNKGVIGSSGAIPFLVKSLQNTHCKISNQARQDALRALFNLSIASSNIPIILETDLIPFLLNMLGDMEVSERILSILSNVVSTPEGRRAISIVPDAFPILVDVLNWTDSPGCQEKGSYVLMVMAHKLYGERQTMVEAGLVSASLELTLLGSALAQKRASRILECLRYDKGKQVSESFGGNLGGAAVSAPIIGTSSSSNCNKICVEESEEAMSMEKKAVKQLVQQSLQYNMRKIVKRANLPQDFVPSEHFKSLTTSSTSKSLPF >KGN60947 pep chromosome:ASM407v2:2:2989746:2992660:1 gene:Csa_2G030080 transcript:KGN60947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLKDQSKKDRYLLKRRDEPSHLKVFAANQEQETSTVPLSLVAAESTETGGAGDYVLLKRTPTILPKSEHAGFVGTDTETSSLSIPKNEAEIGQMAVGTDLVSQGQSMSIEASSDKEMIPLEEPKETIAPNEVISSRSHISPDMASERDSPSVLGEDSDPRFDRTDALGDPLCDQADAGTENISKSSETPQQPQLSNTVYLQGDHELDRNLDNRVDLEPTSAGTKFSDGDSSVGGVMKPKVLKRPAEDMNSSGSPFMGEKKKKKKKRVNGAEMGSDQTQKQLAKKKVRRLVGNAVEKSDQIGLSSREDFRLEHQKKSNASTNNSVSAGVVFGRGSDEFDVPQLLNDLQAFALDPFHGVERNCHVIVHKFFLRFRSLVYQKSLGSSPPREAESPELRALKSSDASFGTDNLSENIRDLSSSNSVKPLRRRDDPTKTGRKRVPSDRLEEIASKKLKKMGDLKLLASERKATQKLADGQKRESRDSVAVPTAVKMVKRDYMKKPEPPSARKVDPTMLVMKFPPETSLPSLNELKARFGRFGPIDQSGLRIFWKSSTCRVVFLYKPDAQAAYKYAMGNKSLFGNVNVKYQLREVGAPATEVPDSEKPSATADDNPIETPRMKDPLVLSGRASTPVVHQPPLAPLPAVQLKSCLKKATGDEPGVPSVGTGGTSSSKGTTRVKFMLGGEESNRNNINANFADGGTSSSVAMDFNSNFFQKVVSTTPLPIPPPQFTKPSHSITTTNIMQQHSEIPQPRNTLNHHHHYHHTPAVALPPVPQNPPPVASPTTDISQQLLSLLTRCSDVVTNVTGLLGYAPYHPL >KGN61492 pep chromosome:ASM407v2:2:8773681:8775654:1 gene:Csa_2G146400 transcript:KGN61492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPMASPPDTSKTLKLERYNSYLRKVNSTKLINASSKLLFRATLLVALVLVFIFTLNYPPLSSENGSSGNHLHTHRNFLSSAFYGGSDQGGAAWEKQVRHSSTPRRLNGMSVLVTGAAGFVGSHCSMALKKRGDGVLGLDNFNNYYDPSLKRARQSLLLKHQIFIVEGDLNDAALLSKLFDVVPFTHILHLAAQAGVRYAMQNPQSYINSNIAGFVNLLEVAKTADPQPAIVWASSSSVYGLNTENPFSELHRTDQPASLYAATKKAGEEIAHTYNHIYGLSLTGLRFFTVYGPWGRPDMAYFFFTKDILQGKQIDIYKTHDAKEVARDFTYIDDIVKGCLGALDTAEKSTGSGGKKKGPAQLRIYNLGNTSPVPVGKLVSVLENLLNTKAKKHIITMPRNGDVPFTHANVSLALKDFGYKPTTDLPTGLRKFVKWYVGYYGIQSRVRKESEKNNNHSPEESA >KGN62978 pep chromosome:ASM407v2:2:19739821:19743468:1 gene:Csa_2G382510 transcript:KGN62978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLLLLLLLLLFPSLRFQIPSILVGASSFELLQQSPSPISPFFDSMTASSPGIPIGMETLSNDTHKKMLIALIVCASFGAVLVVSLFLWIYYRRNSPNFHKRNGQSSDAEKGVVGLAPILRKFSSNKMMGSNKVSVPLIDYEVLEKATNIFEESNILGEGGFGRVYKARLEENLCVAVKKLECTDKDSEKEFENEVDLLSKIHHSNIIRLLGYTIHGESRLLVYELMENGSLETLLHGPSHGEALTWHMRMKIALDAARALEYLHEHCKPSVIHRDLKSSNILLDANFNAKLSDFGLSVIVGAQNKNDIKLSGTMGYVAPEYLLDGKLTDKSDVYAFGVVLLELLLGRRPVEKLAPSQCQSIVTWAMPQLTDRSKLPDIVDPVIRNTMDPKHLFQVAAVAVLCVQPEPSYRPLITDVLHSLIPLVPVELGGTHRSSTSQAPVAPA >KGN60831 pep chromosome:ASM407v2:2:2173394:2175644:-1 gene:Csa_2G012130 transcript:KGN60831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSYQEDAQNNEQNAAVSDETDAADLHQSKTNSFCPIEDHIPTAIAIPANATTNNIVTVAIPARRRTTIWTNEDEIKLLRGFLEYALKNRISSHRLQQQDAVAFYRKIKPKIQLCCDKNQLIDKLRRLKRKYQNTLRKINCGKKPAFKSQHEQLTFDISRKIWGRNSGRKQTSAKDSILDEVLADINPNFTETKVKTENLGDEYEERDDIQLTKRRRTAFLSGEASNSNEIPMRPSSVLDDIGKFSGLVEEVAKGCLTPFFKELISNGWPPVGLARRIPGSSGGEGVEERWRKQQAIEMEVYLKRLELVQEEIKISLKELRSKEEESKHNKLRNDN >KGN61684 pep chromosome:ASM407v2:2:10894380:10896518:-1 gene:Csa_2G223750 transcript:KGN61684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNHQRKYDVEGGATPLYPTMLESPQLRWAFIRKIYSIITIQLLATVAVAATVVYVRPISTFFSSTGAGLALYILLILTPFITMIPLSCYYQRHPVNLLLLGIFTISFAFAIGLTCAYTSGKVILEAAALTAVVVVSLTLYTFWAAKRGHDFSFLGPFLFGALIVLLIFGLIQAFFPMGRASVMVYGCLASIIFCGYIVYDTDNLIKRYSYDEYIWASIALYLDIINLFLSLLSIFRAADN >KGN62087 pep chromosome:ASM407v2:2:14074788:14078689:1 gene:Csa_2G296120 transcript:KGN62087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFSKFQTCLLVVVLFSSIVEENIFVFSEQNVGFFIFGDSILDAGNNNYINTTTNFQANFPPYGLTFFHNPTGRFSDGRLIPDFIAEYAKLPLIRPYLDPHNNLYIHGVNFASGGSGALLESHQGSAITLQTQLTNFIEVGKSLRKKLGDNRAQNLLSNSVYLISTGGNDYISLFEGDSTAFQIYTQTQYVNMVIGNLTTVIQEIYKNGGRKFGLVGVPSLGCMPRLKMLKGEGHGKCVEEASSIVNLHNKLLPIALQNLATQLNGFKYAFADANNLLLQIIQNPSKYGFKEVETACCGSGEYRGIYSCGGRRGTKEFKLCEDPTKYLFFDSYHPNQKAYEQLARLMWSGDEQVINPYNLKQLFQYGSPSLAYE >KGN61270 pep chromosome:ASM407v2:2:6043427:6052893:1 gene:Csa_2G075290 transcript:KGN61270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGPSSAPSSASSSSASQFTYSNGSYFPLPFHLQQSAPAPAPPQYSSPYVAPPGPPPVPLPVAPVYPAPAPPPAVYTLPQYQQAQQLFQRDAQTITPEALESVKAALASSEIEHKAETKKKAIPRKAAGQSWEDPTLAEWPENDYRLFCGDLGNEVNDDVLSKAFSRFPSFNMARVVRDKRTGKTKGYGFVSFSNPTDLAGALKEMNGKYVGNRPIKLRKSNWKERTDYEALGRHKNHTQKKSKLPKKSILHK >KGN61382 pep chromosome:ASM407v2:2:7629965:7631576:1 gene:Csa_2G108600 transcript:KGN61382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIFTSISSKTLPFDTHIDSNNSLGVYGDTSFSSYLSAKEDHDFIRKLAESTRYLKSPNMIPCSRGGEDGEIGIFGAEKYFNDGMEDESTQRSSNNHPSSQKLDKLIVAHMEEALKLPKPRLGTPSVGSESSSVNSQRPLLKIVKSTTTTSAIATTIASNSYSLQKRSSNNNKSFLSNTLGYCMCCTSNKKSTAVEDVGEISFSNAITTNPTRNNINNILDREAPSFRGFPTAASSLKMVHLQEPEEVVERKSLEVFGSPMTGRLRNNKPMSLEKRLTMLSWDKTNNHSTTLGSGMFYNEDEVNSDCSSDLFEIESLTKQTNPFHSPTASCYAPSEASVDWSVVTASALDFDERRPSTTSPARVVPPPPMRVNVHKEVVVVPKRRPSSILGCKSEKAVRVAEGNNKYERKMGGNKSNNSDYLLMEQRNSESLMAVKRFEDETKVGGFSFKSQGSSSMLPIPLPLPHHGLATRSLPRPYSPRLTNITFNMQ >KGN62489 pep chromosome:ASM407v2:2:16733438:16739609:1 gene:Csa_2G356630 transcript:KGN62489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGQRSSIGSLSETINFEHGSSSNNPGNQPVYWNNVWNPAENRIPDYLLPTSDVNSGYVSSVSHEQRSLSRWSLGEPSSCDMQTEMLPDEQKAEIGWSSMARDADGPVTENRLCEPSNNPSLGHVNLSPLIIQNSNSNSNAIPHNLNLNSSFVSHGGDNSRVNEGTNVYKSSGPEEGRILCSNASDPLLLPSGNSGLPVVGNDGRPGSSLDGRRAPCKRKSIEGNVAQSSLSGSSNYSQHIESGSEAHLPVFPGRYNTGSRLSIPPPPARMNQAPVRGAGELTSNSFSESIVAESSDSSQRNYRIRISSSNAPDSFASAGTAVRHPGPPSSQLPGRLLPAEHILDLRPAPAVDNVSAQGQPIMIHVPALPRSLQPYRWNGSSSSRSGSSSSSAGERQVVQREEVRPSSMGRNVSEHPMFVPANELRGLVRSPSSRALASSNLSIPGNVASTSRVGSSSGVHPSSGPWIPPESSPTQFPRRLTEYVRRQLFASATNESGGRTSNYSQRSGSTSAQEMVLSSGSGRRGHHLLQPRSALWMERRGDSGLGLPYSLRTLATSAEGSDNNRLVSEHLRNVLGLVRRGESLRVEDVMILDQSLFFGMADIYDRHRDMRLDVDNMSYEELLALEERIGNVNTGLNEETIVARLKQKKRVNAVDSQVEEEPCCVCQEEYVDGEDIGTLECGHDFHTACIKQWLMQKNLCPICKTTGLASRE >KGN60643 pep chromosome:ASM407v2:2:936780:945272:1 gene:Csa_2G005890 transcript:KGN60643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNTADNVFVRTASFREDGEDEEALRWAALERLPTYSRVRRGIFKNIVGDTKEIDVSELEVQEQKLLIDRLVSSVDDDPEMFFQRVRRRFDAVDLEFPKIEVRFQQLTVESFVHIGTRALPTIPNFMCNMMEALLRKLKIYSSQRSKLTILDNVNGIIRPSRLTLLLGPPSSGKTTLLLALAGRLGSDLQQSGRITYNGHGFNEFVPQRTAAYVSQQDRHIAEITVRETLDFAGRCQGVGFKYDMLMELARREKIAGIKPDEDLDIFMKSLALGGQETSLVVEYIMKILGLDVCADTLVGDEMLKGISGGQKKRLTTGELLIGSARVLFMDEISTGLDSSTTYQIIKYLRHSTCALDSTTVVSLLQPAPETYELFDDVILLCEGQIIYQGPRDSVLNFFTAMGFTCPERKNVADFLQEVISKKDQEQYWSVPDRPYQFIPAAKFAKAFRLYHVGKNLTEELEVPFDRRYNHPASLSSSQYGVKRLELLKTSFSLLRLLMKRNSFIYVFKFIQLLLVAMITMSVFFRTTMKHDTIDDGGLYLGALYFSTVIILFNGFTEVSMLVAKLPVIYKHRDLHFYPSWIYTLPSWILSIPISLLESGIWVVVTYYVIGYDPAITRFLRQLLLFFSLHQMSIALFRLMGSLGRNMIVANTFGSFTMLVVMALGGYIISRDRIPKWWIWGFWWSPLMYAQNAASVNEFLGHSWDKSVGKNTSMSLGESLLKARSLFSESYWYWIGVGALLGYTVIFNSLFTFFLAYLKPLGKSQAVVSKEELQEREKRRKGETTVIELRHYLQYSGSLNGKYFKQRGMVLPFQQLSMSFSNINYYVDVPMELKQQGVTEERLQLLVNVSGSFRPGVLTALLGVSGAGKTTLMDVLAGRKTGGVIEGSIHISGYPKRQDTFARVSGYCEQTDIHSPCLTIMESLLFSAWLRLPSDVDLETQRAFVDEVMELVELTPLSGALVGLPGVDGLSTEQRKRLTIAVELVANPSIVFMDEPTSGLDARSAAIVMRTVRNIVNTGRTIVCTIHQPSIDIFESFDELLLMKRGGELIYAGPLGPKSRELIKYFEAVEGVQKIKAGYNPAAWMLEVTSAVEESRLGVDFAEVYRRSTLFQRNLDLVETLSRPISNSKELSFPTKYSQSSFNQFLACLWKQNLSYWRNPQYTAVKFFYTVIISLMLGTICWKFGAKRETQQDLFNAMGSLYAAVLFIGITNATAVQPVVSIERFVSYRERAAGLYSALPFAFAQVAIEFPYVFAQTVIYCSIFYSMAAFDWTILKFIWYIFFMYFTLLYFTFYGMMTTAITPNHNVGAIIAAPFYMLWNLFSGFMIPHKRIPIWWRWYYWANPVAWSLYGLQVSQYGDDNKLVKLSDGINSVAIHDVLKHVFGFRHDFLGVAAIMVFGFCLFFATIFAFAIKSFNFQRR >KGN62811 pep chromosome:ASM407v2:2:18699032:18699907:1 gene:Csa_2G373540 transcript:KGN62811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVASTSSPHSVPRFKPSQPVADRIVRALHHHLRLLHRSGSNFFVLGATGNVYIVSLSSTPSCTCPDRITPCKHILFIYLQALGLSLDDVCLRRRTLRPCQLNRLLAAPIMLKSLAEIGLRKVFHQQFFQVNDRAASSSSSSSVTVEDMEDGSACPVCLDDMKKKDRVVACSTCRNLVHEDCFTRWKRSKGRRNVSCVVCRARWKDTKDEQQKYLNLSAYIINEHDTIDATLYNSNNNNNNNT >KGN63240 pep chromosome:ASM407v2:2:21600704:21603225:-1 gene:Csa_2G416790 transcript:KGN63240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQLLFTVIATQMALILTLLFRTPLRKLVIFTLDRLKRGKGPIVVQTIAGTVFVVLLSSVYSMLKIHNRMVEAGEVNPTDQVLMSNHLLEASLMGFLLFLALMIDRLHHYIRELRLLRKTMEVAKKQIRASEDASAEKLKALGEEATTMRNKITKLESEVEVKTKEANDAEAETDALRKQSEEYLLEYDRLLEDNQNLRNQLESIEHASS >KGN60590 pep chromosome:ASM407v2:2:553690:556250:1 gene:Csa_2G000970 transcript:KGN60590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVSEPSPVSESKEDDLNSTFLDLTSFQLHDLDSIELPSSLTELDLTANRLTNLDPRIGELSNLKKLSLRQNLINNAAVESLSHWNALSGLEELILRDNQMTKIPDASIFKRLLVFDVSFNEITSLHGLSKVSNTLKELYVSKNEVTKMEELDHFLQLQILELGSNRLRVMENMENLTNLQELWLGRNRIKAVNLCGLKCLKKISLQSNRLTSMTGFEDCVALEELYLSHNGISKIEGVSTLVNLRILDVSSNKLTSVSDVQNLTCLEDLWLNDNQIESLETIAEDVAGSREKLTTIYLENNPCAKTSNYCARLKEIFPNIQQIDSEIFTKL >KGN61257 pep chromosome:ASM407v2:2:5881476:5886686:1 gene:Csa_2G074190 transcript:KGN61257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIENGVDGKANNIDQPSSSRANETEKSSNKNANQEDLKSKNGDGKTNSVPFYKLFSFADSTDVLLMIFGTIGAIGNGLSLPLMTIVFGELTDSFGVNQSNTDIVKVVSKVCLKFVYLAIGCGAAAFIQVASWMVTGERQASRIRGLYLKTILRQDVSFFDMETNTGEVVERMSGDTVLIQDAMGEKVGKCIQLVSTFFGGFIIAFIKGWLLTLVMLSSLPLLVISGGITSVIITKMTSRGQSAYAKAADVVEQTISSIRTVASFTGEKQAVSNYKKFLVNAYRSGVQEGLAVGVGFGTIFAVLFFSYSLAIWYGAKLVLDKGYTGGEVLNVVIAVLTGSMSLGQASPCLSAFAAGRAAAFKMFETIKRIPLIDAYDMKGKTLDDITGDIELKDVHFSYPTRPNENIFNGFSLKIPSGTTAALVGQSGSGKSTVISLIERFYDPSMGEVLIDGINLKEFQLKWIRSKIGLVSQEPVLFASSIKDNIAYGKDGATMEEIKAAAELANASKFIDKLPQGLDTLVGAHGTQLSGGQKQRVAIARAILKDPRILLLDEATSALDAESEHVVQEALDRIMVNRTTVIVAHRLSTVRNAEMIAVIHKGKMVEKGSHTELLKDPEGPYSQLIKLQEVNQESQEAGIDKVKQESISGSFRRYSKGVLMARSISRGSSGVGNSSRHSFSVSFGLPAGVPITDVPMADESASVDTKERSPPVPLRRLALLNKPEIPILVLGSVAAIINGVILPLFGLIFANAIETFYKPPDKLKKDSRFWALIMMLLGIASLVAAPARTYFFSVAGCKLIQRIRLLCFQNIVNMEVGWFDRTENSSGSIGARLSANAATVRALVGDALSQLVENLAAVTAGLVIAFASSWQLAFIVLAMFPLLGLNGYVQMKFLKGFSADAKLMYEQASQVATDAVGSIRTVASFCAEEKVMLLYKKKCEGPMKAGIRQGLISGTGFGVSFFLLFSVYAATFFAGAHFVQDGKATFSDIFRVFFALTMAAFAISQSSSLAPDSTKAKEATASIFSMIDRKSEINPSVETGETLENFKGEIEFRHVSFKYPSRPDVQILRDLSLTIRSGKTVALVGESGCGKSTVISLLQRFYDPDSGSITLDGIEIHKFQVKWLRQQMGLVSQEPILFNDTIRANIAYGKGGDATETEIIAAAELSNAHKFISSLHQGYDSMVGERGAQLSGGQKQRVAIARAIIKSPKILLLDEATSALDAESERVVQDALDKVMVNRTTIVVAHRLSTVKNADIIAVVKNGVIVEKGKHDSLINIKDGFYASLVQLHTNASSSSAA >KGN61665 pep chromosome:ASM407v2:2:10729967:10732346:-1 gene:Csa_2G222080 transcript:KGN61665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKILEANAGPLTNFEVLDFLRSKGAAKDPTRVLAKVLPSEYKVFDYLNQTSACNQTREAIINFAEKSKEYDLAKAEILNIINLRPTTPVELFPVIENCDARMGEGATERMEEIGLMVEHVFPTPQNEPTFEVTPKEGNEAEAMEVQEGAGDADEEPMQTT >KGN60872 pep chromosome:ASM407v2:2:2505295:2505606:1 gene:Csa_2G020950 transcript:KGN60872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGMEGMGGTALANSLYSKFKWMKEHYYSEALDNPTSLLYIQGREIERVPWLWPHHLKREDGEFGTNITTTHTISFKRYLIVFYEEEMITFVFQWETIRRVIR >KGN60502 pep chromosome:ASM407v2:2:92983:95541:1 gene:Csa_2G000110 transcript:KGN60502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATPTRPPSSSSSSSSIPPPYPKSPPQYPDLYGKRRQAAKLQMLDREIGFLEEELKSTEGLQPASKCCKEVADYVIANSDPMIPTYKKHRRRCQFWKWLCGFPCCKLSWICCCCYAGCSIHLEIPPCCNCNNPCKCLSCSSCKLPKWLCCGECCGQCGHCCTCPTLPQCSCPCPTITCSQCCSHFSLPSCSCPEWPCCECKCKCKCTLGKCLKVQHCCCFGSRSCCGCSGNCCCNPCQLCC >KGN61718 pep chromosome:ASM407v2:2:11273929:11282928:-1 gene:Csa_2G232480 transcript:KGN61718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEELQGNDTPKEEPMDVAVGIETKIHNAMRSRISHFKEQADSLTFEGVRRLLEKDLCMETYTLDVHKRYVKQCLVKCLEADLEDNVSKDSELTGRKSVNKEEAPESPEGHQSKKGAKEPCLEDEEKMEDSPVMGLLTGRSTKNVESDGIKGIKGKDDKDVPSESTIMKAIRKRTSYLKANSEKVTMAGVRRLLEDDLKLTKNVLDSCKKFISQQVEEILTSCEAAEQVSNLKSPKKISKESSYSTEGSSSEEENDEVNPGKTNATKGRIPDSNETKKRKRSTKKTVSAQKQSKHVQDTSDEDSDEGGGNVSEDGRSGSSNEKPVKKEVSSSTPVYGKRVEHLKSVIKSCGMSVPPSIYKKVKQAPESKRESQLIKELEGILSREGLSANSTEKEIKEVKKKKERAKELEGIDLSNIVSSSRRRSTTSYVAPPPKPKIPVKTDGDDADEEEDDEEEDEEEEDGEEEDNGDVDESQGEEFNEDDNEDSD >KGN61545 pep chromosome:ASM407v2:2:9497156:9498783:1 gene:Csa_2G169690 transcript:KGN61545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNMQQSLNAGQTKGQTQERTEEWMEATKLGPTNEPGDMSSNSAQAQLCANSAQHSTHQHDQEPNSLLQQTGEKMVHMAQGAVDTVKETLGMGDKK >KGN61012 pep chromosome:ASM407v2:2:3412814:3420378:1 gene:Csa_2G034650 transcript:KGN61012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTLVQKLLPRTTFKQVVAFRGYCTDKILDIGQPTAASHPELMKEGEITPGITADEYITRRKRLLELLPENSLAVIAAAPVKMMTDVVPYTFRQDADYLYVTGCLQPGGLAVLSHDFGLCMFMPETKPYDVLWNGKIAGVDEALEFFKADEAYPLRKLREILPDIIRRSSKLFHNSSTASPAYVDLEAFRKAAENGQVKDISLFTHELRLIKSTAEMKLMKESASIACQALLQTMMHSKTYPHESLLSAKVEYECRMRGAQRMAYNPVVGGGCNGSVIHYSRNDRKVKEGDLVLMDIGCELHGYVSDLTRTWPPCGKFSAAQEELYELILLTNKECIKLCKPGASIQHIHECATKMLREGFKELGILKNLKSDVFHHLNPTSIGHYLGMDVHDCPRVGYDRPLKPGVVITIEPGVYIPSIFQGPQRFAGIGIRIEDEVLITESGYEVLTGSIPKEINQIESLLNNFCFGSTMHGPKFMKASTC >KGN61119 pep chromosome:ASM407v2:2:4230281:4235689:-1 gene:Csa_2G055560 transcript:KGN61119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHNLMASFLLFILMSMLHFQFGFPLSSNSNPNEDLKYMNFVQDASELLENEEYDYIVIGGGTAGCPLATTLSANFSVLLLERGNVPTKYPTVLSEQAFPNVFTTEDDGENPFQRFVSEDGVEIIRGRVLGGSSMLNAGFYSRGHPEFFDISGVDWDKELVEKAYEWVEESVIFEANINNGWQYAFRKALLEAGVGPYHGFDLNHRIGTKIGGSIFDKEGNRHGSVELLNKAQPNNLKVGIQAVVQKILFSDLSATGVSYSDSKGNLHTASIRKNGEIIVSAGTIGSPQLLLLSGIGPKSHLESLKIPVVLHQPYVGQSMSDNPRYTVHVILPYPMATSAVKAVGTLENNVHLQSITGFLPFSLPPSFSLVPPGLDSVNLSLASLVGKFSEVLSEGSLYLTSSTDVKENPSVSFNYYSHPDDLAKCVRGVRKMGDLLKTEAMENIKIQDFEGNKRFAFLEPSLPGNLSDVGLVEEFCKKTVTTYWHYHGGCLVGKVVDGNYKVIGIENLRVVDGSTFVDSPGTNPMATVMMLGRYVGLKIKQERSKLSFRDVGHNML >KGN61682 pep chromosome:ASM407v2:2:10878631:10883991:1 gene:Csa_2G223730 transcript:KGN61682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METPSASDSKRSYSWWWDSHISPKTSKWLQESLSDMDEKIKQMIKVIEEDADSFAKRAEMYYKKRPELMKLVEEFYRAYRALAERYDHATVVIHQAHRTMAEAFPNHISIGNPDDGSVGSASDVNFRTPDKLSHVCTSFDFDAMERDAFDSPAFHAGTGDKNQTSSKGSNLMAREKWLKHLNELFNSGATKKLSNSEDRTLRKGLNFHDLDLKEKKIESNGSHDLKHQVYVESERVDKAETEIISLKNSLSKLEAEKEVGLVQYNNSLQRLSKLESEVSRTQEDSRGLNERAGKAETEVLILKESLAKLETERETSLLRYQQCLDKLSTLQDSILCVQKNVENTERASKAETEVERLKWEISRVESQREAALVQYRESSDIIVNLEERVVHAEEDARRYKVQSDEAQIEVLTIREALAQLVEETKAAGLKHHLCTEKIAGLEHQISNAQDELERLQDEKDNGFAKLKGAEERCLHLQRSNQILQSEMESMVQKIGSQSVELIEKQKELGRLWTSIQDERMRYVENKTAFRALQEQHSKSQEEIRSMAEDRQIQIKTLKEMETRNQVLEDEVQKIEEERRSLKDLNLSSEVSINCLRDEMSNMTTNLEKLEANLEFQLNQKNALEKEILCLKEELSDLKRKNLIMLEQIQFGGFAPENFGSSVKELRDENSKMIETIEVEKREKSALLEKLKDMEEHSEKNTLLENAISNLHIELESEQTKVKVLEECCQLLSEEKSTLVTEKAFLSSQLQMATENLEGQSEKNTLLESSLSDANLERKQLAENVEKLHCLNNDLEEKVRLLEGNLEDVQLKNLHLRKSLERSEQELLEAEQILIMMQNEKSELHKRVKELSIVCEEAKAIVEEKESVIVKLSGDSKHLVREIASQRERNCTLEEELGKVQEDIKQHKHREKSLRCELVKKRMEVEICETQADELFGELQISNVHEIVFKEKLLELDEAYVNLETRSNYRDVKTDTTRERINNITDLNGELGVHLAKYTSAVTSLNDSVSYLENHTLLGRKTHKYEKQEDTHSVNHQYSEGYQQRYHDLISTLHNGTFELKDLHRRIQAVEMAVIEKVKLETLDNLNSAGKQEMVTRRIEEAACGNSLVRENDQTRPTTPRREIELGNELQRSMTKVFEVSGEVLTKDIILDQMAKCSNGVDKREENLDAYNQMLELWEATDEDGSIDLMVCKSQNMATSSTNYNRFEVVKEQNKRRSTDSLFEKEVGVDILETSSRLSVPLHRRKERKLLERLDSDMQKLTNLQITVQDLTRIVLTKQSRRNNTGEYDTMKEQLEEVEAAVMKLFNANCKLMKNVQDGTLSSDGASTIVSDEGGNVRKRIISAQARRGSKKIGQLQLEVQRLQFLLLKQDEEKETKTKTKTIERPKIRLQDYLYGSIRSKNKNKKAAFCGCMHATMSPSPTIGEWSYSTSLVGNQHRLRN >KGN60694 pep chromosome:ASM407v2:2:1260896:1262305:1 gene:Csa_2G006890 transcript:KGN60694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTMSFVSCLIIALALAAAMATTSTASTTYKVGDDQGWKVPKDDPAHYMAWPVNKTFTVGDKLEFTWTGTHNVAEVTKEEYTRCVEVKTVHEFSPVTISLDTPGPKYFICAVVPHCSFGQRLTIVVEPDSSTTPPAPEPTPSSAPSSLLANSLYAAMLTIVSMFFTRL >KGN62657 pep chromosome:ASM407v2:2:17635543:17637827:-1 gene:Csa_2G365640 transcript:KGN62657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELGMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQPKLFVWMILILIFAEALALYGLIVGIILSSRAGQSRAD >KGN63352 pep chromosome:ASM407v2:2:22529626:22530505:-1 gene:Csa_2G431210 transcript:KGN63352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSRHMRNVSKIFIMWNDMRSTQLHMQFRRHTRKQRYSTISNVLLSASKVPSTSNHGLRGPAPSSIWKTPEEKIYLWPASTTTGMKAGKTVQTVLNLAGFKNVKSKVIGSRNPLNTMRALFKALNAIETPKDIQEKFGRTVVEKYLL >KGN61477 pep chromosome:ASM407v2:2:8609596:8617693:-1 gene:Csa_2G139300 transcript:KGN61477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLFPFALLLLSSFFLLRFCSVYGRIPSTAEGPFKPVTIPLDKSFRGVAEDLPETDPRVQKNGAQFQPEQISVSLSVDYDSVWISWITGDFQIGDDIQPLDPEEVASIVMYGKFSMPMDNQAEGYSLIYNQLYPFEGLRNYTSGIIHHVRLTGLEPDTLYQYQCGDPSVAEEMSDVYFFRTMPVSGPKSYPNRIAVVGDLGLTYNTTSTVNHILSNHPDLVLLIGDVSYANLYLTNGTGSDCYSCSFPETPIHETYQPRWDFWGRYMQPLVSEVPLMVVEGNHEIEPQAENQTFAAYSSRFSFPSEESNSYSTFYYSFNAGGIHFIMLGAYISYDKSSDQYKWLEQDLAKVDRKVTPWLIATWHPPWYSSYTAHYREAECMKMAMEDLLYKYKVDIVFNGHVHAYERSNRVYDYTLDRCGPVYITVGDGGNREKMAIEHADEPGNCPDPFSTPDEYMGGFCAFNFTSGPAEGKFCWDQQPDYSAYRESSFGHGILEVKNETHALWTWHRNQDSYKSVGDIIYIVRQPDICLIEQKVHTYRHGKQEDM >KGN62912 pep chromosome:ASM407v2:2:19391398:19393342:-1 gene:Csa_2G379920 transcript:KGN62912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNPLFLSRRCLFSIFFIAHLFHLSHGDVGTATTYGPPYTPTACFGNDLSMFPTNNMFGAAGEGIWDNGAACGRQYRVSCFSSAVPDSCVSDQTIMITIVDRAVSTSSKALVADTTMTLSRMAYKVIVQKNTPLVTVEYTQN >KGN60711 pep chromosome:ASM407v2:2:1391794:1396197:1 gene:Csa_2G008040 transcript:KGN60711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSPFRLDIDELINEFAECGFTSFVDMKKVWIGRKFTYIFEAAPSTNLAFFMQSIFAQSISHMLSTASLPHRLGGLYCLYCLYETQPFRPPYKIYLSIGELKKLKELVVDAKENNVKVVSFVVKRMLEKNMFLFGSVDMNESAALETVNQLTELQNARVQVAYKKLFNDTPIGNYIHMDLGMEVGSNILTKMSTDYSEAKKLALYEASKIVDVQDIKHIAEDEKLIGDTVEKIAEDWNVQRGVFYEQTGLDQQSVPVEADQQLLEDHADVNFDKELERMLTDV >KGN62360 pep chromosome:ASM407v2:2:15998099:16001061:1 gene:Csa_2G350430 transcript:KGN62360 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MPPLFFPLRWESTGEQWWYATPIDWAAANGFYDVVRELLHLDTNLLIKLTSLRRIRRLEIVWDDEAQFDDVAKCRSYVAKKLLLEGELKGGRNSLIRAGYGGWLLYTAASAGDLDFVMELLEKDPLLVFGEGEYGVTDVLYAAARSKNCEVFRLLLDFALSLRCWPSSEEGTMEEALDESEMEMPLTFRWEMINRAIHCAARGGNLVMMRELIGDCPDVLIYRDSQGSTILHTAAGRGQIEVVKNLVHSFDIITNTDGQGNTSLHVAAYRGHLDVVEFLINESPSLTSMSNYYGDTFLHLAVAGFKTPGFRRLDRQIELMKRLLHGKLLNVQEIINLRNNDGKTALHLAVTENVQCDLVELLMTVPSINLNITDEDGFTPLELLKQQPKSPSLDILIKQFVSAGGISNHSDHMATNALFCHMKTQGIGSSPGTSFRVPDAEIFLYTGIENVSDVTRSQVDEDFDLRSVDNGECDSVDSSDNKSISTVKRLKFFLQWAKSKEKRPTRTDWIDDYSSGMFDISRTSQPKSVSLRHQYSTNSCLPHNRRTIAAPVMNIPPSPSTKKRFAAGLMHGVIQATPKLANPARSPLSPFSGSPMSSPMSMQDYQETTGIGGVSCSNKKVPITKFRQDSFNRKMLMNQYFCFGAQGLAVEDPIGCKRASQKNKSFGSLVT >KGN62644 pep chromosome:ASM407v2:2:17541177:17543339:1 gene:Csa_2G363540 transcript:KGN62644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEIREELEMLKNKEQATIFIVHMDHSLAFHVFTTANEIGMTGKGYAWILTDAITSSLNSTHYSTLRSMQGFLGVKTFVPKTIKLDNFTIRWRKKFLEENPNLIQYYPNPDVFGLWAYDSTWALAMAAESNFISGKTIMESLLIVSFQGLSGKFSFGQSKSQPPYYQSQDLQIVNVIGDGDISTVGYWTPKMNLTGEFNRNVTLRPIIWPGYSIQQPTGWIPFNPTNRLKIGVPMLTRDKSYMANSLMSNHSIVAYCLKIFEVAANKLPYNITYDFLYFEGAYDDLILSVYRRKYDAAVGDITILANRSSFVDFSLPFTEAGIAMIVPVRDDSVDHGWFFIKPLSLNLWITSFSFFVFLGFVVWILEHQNSSEDFRRGPILHQIATSLWFSFSIMVFAQGFIFLKILF >KGN62212 pep chromosome:ASM407v2:2:15090388:15091833:1 gene:Csa_2G336100 transcript:KGN62212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRPARCYRQIKNKPYPKSRFCRGVPDPKIRIYDVGMKKKGVDEFPFCVHLVSWEKENVSSEALEAARIACNKYMAKFAGKDAFHLRVRVHPFHVLRINKMLSCAGADRLQTGMRGAFGKPQGTCARVAIGQVLLSVRCKDSNSQHAQEALRRAKFKFPGRQKIIVSRKWGFTKFSRADYLKFKSENKIMPDGVNAKLLGCHGPLANRQPGRAFLSQTV >KGN63149 pep chromosome:ASM407v2:2:20905073:20905353:-1 gene:Csa_2G405000 transcript:KGN63149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVIDSARHTPPQETDEFRALTTPAKSCNRLSPPPETKPKSLAMELPTSFFFNFFPIPSSLLFD >KGN63255 pep chromosome:ASM407v2:2:21746858:21747121:-1 gene:Csa_2G418900 transcript:KGN63255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKKIYSERILSQNRTLFPINACIRFDALKLFNNVVMVAPMIPSIIVDRCLQLRAVLRQCPPNHYCYRTDLTAESSQQTNTKVISPY >KGN62291 pep chromosome:ASM407v2:2:15679300:15689911:-1 gene:Csa_2G348290 transcript:KGN62291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGNEFRFFLSCDINLPVTFRVERLEGNLPPAKSSDSDIDSASDERRAELFVECALYIDGAPFGLPTRTRLESSGPSYTWSELITLSTKYRDLTAHSQLALTVWDVSRGKEEELIGGATILLFNRKKQLKTGKQKLRLWLGREADGSFPTTTPGKVPRLERGELERLEKVVNKYERGQILHVDWLDRITFKALEEIREQESSKNGNSKLYLIVDFSGFEHRVVFQESGANFLLPSPIASTNEIVNVWDPEVGKFNPSEHKQLKLARSLTRGIIDKDLKPSSNERKSIQMILKYPPTRSLSGDERQLLWKFRFSLMSEKRALTKFLRCVEWSDIQEAKQALELMHKWETIDVCDALELLSPVFESEEVRAYAVSVLERADDEELQCYLLQLVQALRFERSDKSRLSHFLVQRALRNIELASFLRWYVAVELHDPAYAKRFYCTYEILEENMMKLTAGVNGDEDGFKLWHGLVRQTELTAQLCSIMKDVRNVRGNTQKKTEKLRQLLSGLLSELTYFDEPIRSPLAPGVLIVGIVPAESSIFKSALHPLRLTFRTENGESCKIIFKKGDDIRQDQLVVQMVWLMDRLLKLENLDLYLTPYKVLATGQDEGMLEFIPSRSLAQILSEHRSIISYLQKFHPDEHGPFGITATCLETFIKSCAGYSVITYILGIGDRHLDNLLLRDDGRLFHVDFGFILGKDPKPFPPPMKLCKEMVEAMGGAESQYYTRFKSYCCEAYNILRKSSNLILNLFHLMAGSNIPDIASDPEKGILKLQEKFRLDLDDEACVHFFQGLINESVSALFPQMVETIHRWAQYWR >KGN62466 pep chromosome:ASM407v2:2:16626517:16628291:-1 gene:Csa_2G354920 transcript:KGN62466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQFVGLFLHFLDQLLLLFPLIIIIIFLLLRFLRSNNPGQRRLPPSPPGGSFPILGHLNLLKNPLHRTLAKLSAKHGPILLLHFGSRPVLLISSPSAAQECLTKNDIAFASRPRLSVGKHLGCNYTNILWAPYGPHWRNQRRIATLQILSPARIHMYGSVRTEEVKFLIRRLCGGTGENGFRMVEMKKVFFETVLNVMMRMIAGKRYCGERESEEAERFREIVKEGFKVSGATNMGDFLPNFLKWVGLWSGIEKRMERLQGLRDGFMQNLIEERRRMRRDKGDEMKESRVMADVLLDLQQTEPHYYTDAFIRGMMQGMLSAGTDTSSATMEWAFSLLLNHPNSLLKVQEEIDTHVGPNRLLQESDLSNLPFLNSVLKETLRIYPVAPLLVPHESSQDCVVGGFHVPRGTMLLVNNWAIQNDSDSWPDPAEFKPERFQDAGEVEEGLRWLPFGAGRRGCPGEGLAMRMVGLTLGCLIQCFEWRRVGEEMVDMSEGGGLTMPRARPLWANYRPRPILLRLLPTF >KGN62055 pep chromosome:ASM407v2:2:13842357:13844376:1 gene:Csa_2G292850 transcript:KGN62055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKLATFEKGKKITILSIDGGGIRGIIPGTILAFLESKLQYHSSILKLFTNFYFLSSVVNMFGKVMGPKYDGKYLRSLINRLLGDITLKETLTQVIIPAFDIKLLQPVIFSTLDAKWDALKNPKLADVCISTSAAPTFLPGHEFQTKDSKGNTRNFDMVDGGVAANNPTLAALTHVTKEMSILRKRSELLKIKPMESKRMLILSLGTGVAKNDEKGATPIVDIFSDASADMVDYHISSVFQSEHNDRNYLRIQDDTLSGDVSSVDIATQQNLLKLIEVGESLLKKPLSRVNLESGKFEALDGEGTNEKALAEFAQMLSDERKLRLSP >KGN62060 pep chromosome:ASM407v2:2:13888025:13889211:-1 gene:Csa_2G294870 transcript:KGN62060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENVLKKKKLEDITLKETITQVIIPTYDINGLFPRIFTTAEAKMDELKNPTLLEVCMSTSAAPTYLPCHELKNYGDSRNLHLIDGGVAANNPTLTAILNEKREMIIRRQFKTEKNKEEESKMLILSLGTGSFKNVGKYDAADVSKWGLFDWIHKNKTSPIIDIFSDASADMVDIHVGTMFQYDHNLHKNRPDKKNYRRKKNYLRIQAQNLTGELRSVDIATEKNLTDLETVGEELLDKRVSRINLKTGEFEEVEKETKGKALSVKFEGLLVKKGTNRHALIKFAKLLSKERKLRQSS >KGN62716 pep chromosome:ASM407v2:2:18010247:18010495:-1 gene:Csa_2G369180 transcript:KGN62716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSILSISSSSTYFGLPTIRSHHPHRHGSNLNLTHLHNHNSLPLIPTTKTNPKSSTCTRNMAIYSADSIIGLPSLPPFHDTPS >KGN63389 pep chromosome:ASM407v2:2:22835524:22837369:1 gene:Csa_2G435520 transcript:KGN63389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENWFIVLVSFSICSLLTSIFTLLRTSSKLPPGPPSIPILTNFQWLRKSTLQIESLLRSFVAKYGPIITLPIGTRPVIFIADPSIAHKALVLNGALFADRPPALPVAKIITSNQHNINSASYGPLWRLLRRNLTSQILHPSRLKSYSEARKWVLDVLINRFVSQSESGNPVCVIEHFQHAMFCLLVLMCFGDKLEESLIKEIEDVHRVLLLNFQRFSNLDLLPKLSKIFFRKRWEAFLEIRRKQDKVVIPLIESRRTAIQNRASSAKNEDKEGFVFSYVDTLLDLKLPEEDNRKLTDEEMVTLCSEFLSGGTDTTATALQWIMANLVKNPEIQNKLLSEMKGVMGDGSREEVKEEDLEKLPYLKAMILEGLRRHPPGHFLLPHAVKEDTILENYVIPKNGIVNFMVAEIGWDPKVWEDPMAFKPERFMKGNEGEGVEFDITGSKEIKMMPFGAGRRMCPGLGLAILHLEYFIANLVWRFEWKEVKGEEVSLLEKVEFTVVMEKPLKANIIPR >KGN60987 pep chromosome:ASM407v2:2:3244599:3246196:1 gene:Csa_2G033910 transcript:KGN60987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKNCMEIERRILRLLHGHKSRTHLTQIHAHFLRHGLHQSNQILAHFISVCASFNRIAYADRLFSQSHNPNIFLFNSIIKAHSLSVPFHQSLLLFSSMKNHRIVPDQYTFAPLLKSCANLCEYSLGQCVISEVFRRGFYCFGSIRIGVVELYVCCEKMEDAWKMFDEMSHRDVVVWNLMIRGFCKTGNVDFGLCLFRQMSERSLVSWNTIISCLAQNRRDVEALELFQQMEEHGFKPDEVTVVTMLPVCSRLGALEVGQRIHSYASSKGNLVGITTVGNSLIDFYCKCGNIEKAYNIFQKMTCKSVVSWNTIILGFALNGKGEFAIDLFMEMRKEYLKPNDATFVAVLTACVHSGLLEKGRELFSSMAEDYEIQPKLEHFGCMVDLLGRGGCVEEAHKLIKSMPMQPNATLWGAVLGACRTHGNLKLAEMAVKELISLEPWNSGNYVLLSNMLAEEGRWEEVENVRQWMREKSVKKAPGQSASG >KGN61794 pep chromosome:ASM407v2:2:11951365:11953700:1 gene:Csa_2G247060 transcript:KGN61794 gene_biotype:protein_coding transcript_biotype:protein_coding description:MYB transcription factor MYB142 MASISSSSSSWTPNQNKAFERALAVFDKDTPDRWLNVAKAVGGGKTPDEVKRHFDRLVEDVKHIESGRVPFPKYTSSSSSPTTSNANIKDQEQRMRNMKLH >KGN61723 pep chromosome:ASM407v2:2:11355827:11369478:-1 gene:Csa_2G233760 transcript:KGN61723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTEELYSGSDGAMGWGWSIFEGVVAVGSLSLLGWAGLWFLNRRLYKEYEEKQVLVQIIFSVVFAFSCNLLQLVLFEIIPVLSKEARWMNWKVDLFCLILLLVFMLPYYHCYLMLCNSGVRKERAALGAMLFLLAFLYAFWRMGVHFPMPSPDKGFFTMPQLVSRIGVIGVTVMAVLSGFGAVNLPYSYLSLFIREIEEAEIKALERQLMQSIETCIAKKKKIILSQMEIERVLGSEENSKSRSFFKRLVGTVVRSVQEDQKEQDIKNMEAEVQALEELSKQLFLEIYELRQAKEAATYSRTWRGHMQNLLGYACSIYCVYKMIKSLQSVVFKEAGSVDPVTMTISIFFQFFDIGINAALLSQYISLLFIGMLIVISVRGFLTNLMKFFFAVSRVGSGSSSNVVLFLSEIMGMYFVSSILLIRKSLATEYRLIITDVLGDIQFDFYHRWFDAIFVASAFLSLLLLSAHYTSRQADKHPID >KGN63321 pep chromosome:ASM407v2:2:22321413:22321880:1 gene:Csa_2G428930 transcript:KGN63321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHEEKSQEDHHLGSSSTDGPAFPFHKLLVYADALDWVLMGLGTFGSVIHGMAQPIGYLLLGKALDAFGNNIDDIDAMVDALYENGYVSLVSS >KGN60811 pep chromosome:ASM407v2:2:2023683:2025844:-1 gene:Csa_2G011440 transcript:KGN60811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRCGRGVRLLSSTSTAKRINWDPTVDLKLNHPSLILLEKCNSRTQFKQILGHMMRNNLVGQTFPMSRLLFFSAVSHPENLELAILLFNHFTPYPNLYIFNTMILGFPFSNEKAFTIYRSMLQNGTYPDRQTFLYLLQTTKFVAEVKQIHCHALVFGLLSKEEYLRNSLIKRYVDNGCFECARQLFDEMSDRNVVSYNTMILGFAKVGNILGILELFHDMRSHGLEPDDFTMLGLLLLCGQLGETKLGKSVHAQIEKSIGSSNLILYNALLDMYVKCNELKLARKVFDGPMEKDTVSWNTIIAGYAKVGELELACDLFNQIPTRDIVSWNSLISGYAQNGDYVTVKCLFTRMFAENVKPDKVTIVNLISAVAEMGALDQGRWIHGLAVKMLTKIEAFSGSALIDMYCKCGSIERAFVIFNQIPEKDVTTWTTMITGFAFHGFGNKALELFSVMQAETKPNDVTFVSVLAACSHSGLVDEGLKIFSSMKKRYSIEPGVEHYGCLVDLLCRSGRLLDAIGVIEKMPMEPSRSIWGAVLSACRMHRNMELAERALMELLKLEPEKEGGYILLSNVYATCGRWSYSDSIREVMNSRGVKKIAGCSSVAVDGMVHDFTASNKQHPRWMDICSILSFLTNEMRLEADVPSKSHLATS >KGN63161 pep chromosome:ASM407v2:2:20967230:20967445:1 gene:Csa_2G406100 transcript:KGN63161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESENEDSIEDEEDESVYSNSFSIGLHAPKLKLAIITRKLKQKARRKQYEEYNSDDHRCPIRHSFRDTVNQ >KGN61111 pep chromosome:ASM407v2:2:4157006:4161684:-1 gene:Csa_2G050000 transcript:KGN61111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAFLLHILIFCCQSHLGVFSTSYTKLGNSDQDVSYMKFVHNANKFPEKEEYDYIIIGGGTAGCPLAATLSSKFSVLLLERGNIPNKFPSVLNKQGLMNAFTDKDDGENPFQRFVSEDGVENLRGRILGGSSMINAGFYSRAHKEFFETQEIIEWDMEMVREAYEWVEETLVSEPNLSSWQFAFRKALLEVGVDHDNGFELRHLVGTKIGGSIFDNQGNRHGAVELLNKGESENLKVAVQATVKRILFSGLSANGVLYSDSKGKSHTAFIHEKGEIILSAGAIGSPQLLLLSGVGSTSHLSSLNLPLFLHQPHVGQFMSDNPRFGVNIVLPFPLPTTTVEVVGILEKNTYFESLSSFIPFSIPPSFSLLPPQSTSLNMSLVLISGKFSKVDSLGSLWLNSSTDVRKSPMVRFNYFSHPRDLAQCIGGLRKIQDLLNTQTIENIKTKDLEGKKTLQFLGIPLPENMADDTLVGEFCKRTVTTFWHFHGGCVVGKVVDGTYRVMGIENLRVVDGSTFSESPGTNPMATIMMLGRYVGMKMLQERLSLA >KGN63363 pep chromosome:ASM407v2:2:22596976:22601158:1 gene:Csa_2G432800 transcript:KGN63363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLFKVLLESSSNIPSSTRNLSLVANQIGQHTAKWMQDTSKKSPMELINEVPPIKVAGRIVACEGDSNPALGHPIEFICLDREEPAVCKYCGLRYTQGHHH >KGN61020 pep chromosome:ASM407v2:2:3445850:3447135:-1 gene:Csa_2G034730 transcript:KGN61020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWDDRATGNQIFFFVGLKYTNPTISSAMANVLPAATFILAVLFRQESVRIKTKSGFAKVIGTIVCVSGAMLLSFYHGHTIDLGESRIHWSYVERLIKETTPTNGQGKHVLGSILLLLSSFSWALWFVIQARLSVKFKAPYTSTALLCFMAFFQCGLIAVISEHNIAAWSLKSSIRLVAALYAVCTVLSCHYDKLLRNYWIYDIITSWTIQRKGPLYVSIFSPLLLIIVAIISWALLHQQLHAGTCVFSCIFLQF >KGN61061 pep chromosome:ASM407v2:2:3710756:3719802:-1 gene:Csa_2G036590 transcript:KGN61061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVIFTITSQNKCKEIELFQLSHRFVGHPNSEFCSFTAVYFFRCPSAMALLPSKLPFTYPNSTLFSSPPRLSSLHLPPFRVSCAKRTGKKRYPSEKKKLKLKHKEVLTTVENKFEGIWRLFKLVVPVEKDPGKDFHGLSDALMQEIAKVLEFPVASLLPREAFSVIRKSFDARKMLKEPKFVYTVDMDVHSLLILEPRARDFISDLEPKVGLMEHFAKEKVSNDVISIVHDLKSNQEVVGANGLTGHSGPYLRMSNGKPKIAVVGSGPSGLFASLVLAEFGADVTLIERGQPVEQRGRDIGALVSRRILELDSNFCFGEGGAGTWSDGKLVTRIGRNSGSVQAVMKSLVYFGAPKNILLNGKPHLGTDKLIPLLRNIRQHLETLGVTIKFGTRVDDLIEEGGHVAGVKVSDSRDKLKLSKQTLEYDAIVLAVGHSARDVYQMLLSHNIPVIPKEFSVGLRIEHPQELINSIQYSGLANEVEKGRGKVPVADYKVAKYVNIDTKNPSSNFLAASRSCYSFCMCPGGQVVLTSTNPGELCINGMSFSRRSSKWANAALVVTVSTKDFNDLGFRGPLAGVEFQRELEQRAAVMGGGNFVLPVQTATDFMDRRLRVTSVPPSSYRLGVKASNLHELFPDHITEALQQSILAFDQELPGFLSSDALLHGVETRTSSPIQIPRNPETYESTSVRGLYPVGEGAGYAGGIVSAAVDGMYAGFAVAKSFNLYHGDLETVLGKAQNSGSVMY >KGN61175 pep chromosome:ASM407v2:2:4877979:4881819:-1 gene:Csa_2G061540 transcript:KGN61175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPCISGGGRAYNFDLEILKSPSSSWTRTSQTSSPSSTLSESSNNTTQLAISTRKLRTPRKRPNQTYNEATVLLSTAYPNVFSTKHLTNPRKFTKSHDDSSSLFCESAELLLPFRVIDSSGFLLHQPLLEEKPNSQIHSKLTNLWENRPCSSPGEIDFQPNSMEIEEIEDFDAESILDEEIEEGIDSIMGNLSVDNLEKGNSTQDSCVNANNHPRNWNWNPIGLGFNQKFESGFGFRKGIERTAIRGVDNGNWWRFPTVDVIEISPKLNPKPPAPAPTPTPTPTPAAVSTKKKKKKVEKLTVIESKKAAIPLQKEKSEKPIPKLKPTGLLLKLNYEAVADAWSSRGSPFSDEIPSSDTAGSDVNARVANIDLFTEGGGLLREASVLRYKEKRRTRLFSKKIRYQVRKVNADGRPRMKGRFVRRPNSSGYRKETHTSL >KGN61931 pep chromosome:ASM407v2:2:12932506:12943284:1 gene:Csa_2G270730 transcript:KGN61931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METRSRKRAEASSAAPSSSSSGPNTRSTKRSRLSATSSSNLAAASTLSISTRSRSTRTQEPSATTTPMDSTNESSGSRRRGKNSDKENSDKGKEKEHEVRIGDRERNADQSFGLNIEGSGGGEDDDNDSEGGIGVLQQNLSTASSALQGLLRKLGAGLDDLLPSSAIASASSSQQRGRLKKILSGLRADGEEGKQVEALTQLCEMLSIGTEESLSTFSVDSFVPVLVGLLNHESNPDIMLLAARALTHLCDVLPSSCAAVVHYGAVPCFCARLLTIEYMDLAEQSLQALKKISQEHPTACLRAGALMAVLSYLDFFSTGVQRVALSTAANMCKKLPSDAADFVMEAVPLLTNLLQYHDAKVLEHASVCLTRIAEAFASSPDKLDELCNHGLVTQAASLISTSSTGGGQSTLGSATYTGLIRLLSTCASGSALGAKTLLLLGISGILKDILSGSGVSTNASVSPALNRPQEQIFEIVNLANELLPPLPQGTISFPPNFNMLVKGPVIKKPSTSGSVKEEDPTDSAPEVSAREKLLKDQPELLLQFGMDLLPILIQIYGSSVNGPVRHKCLSGIGKLMYFSTPEMIQSLLNVTNIASFLAGVLAWKDPHILIPALQIAEILMEKLHETFSKMFLREGVVYAVDQLILANNQNTSSQSASVEKDSTSASGTSSRTRRYRRRSGNMNSDGSSLDENKNSVSGSGVPQGSVEVPSINSNLRSSVSSCANAFKTKYFPLDPGDVEVGVTDDLLRLKNLCFKLNAGIDDQKSKSKGKLKASGSRLDDIITNKEEYLTGVISEMLVELGKDDGVSTFEFIGSGVVGVLLNYFSCGYFSKGRISDVELPKLRQQVLKRFKSFISVALPGSINEGTVAPMTVLVQKLQSALSSLERFPVVLSHSSRSSSGSARLSSGLSVLSQPFKLRLCRAQGEKSLRDYSSNIVLIDPLASLAAVEEFLWPRVQKSESGQKPSASGANSDSGTTPSGNVAPSGLNSTPSSTARRYSTRSRSSMTIGERAGKESSQEKNTSKGKGKAILKPAWEEKRGLQTRSSTRRRAAVDKDAQMKPVNGETTSEVLQDDSLPLCMPEKVHDVKLGDTVEDGDAGPATSDGQIHSTFGSSSRAATVRGSSSPDHRSGNSFSSRGGMSFAAAAMAGLGPANGRGFRGGRDPQGRPLFGGSNDNPKLLFSSGEKQLDRHLTIYQAVQRQLVLNEDDDERFAGTGSDFLSNDGSSLWGDIYTITYQRADNQSERAVLAGESSSSKSKSTKCVSTSNSNSESQFHQMSLLDSILQGKLPCDFDKSNPTYDILSLLRVLEGLNQLAPRLRAQIVSDQFAEGKITALDELGGVGGKVPHEEFINNKLTPKLARQIQDALALCSGSLPSWCYQLTKACPFLFPFETRRQYFYSTAFGLSRALYRLHQQQGADGLGTVNEREGRVGRLQRQKVRVSRNRILDSAAKVMEMYSSQKAVLEVEYFGEVGTGLGPTLEFYTLLSHELQRAGLGMWRSNSLQESTDSGEDGQARKPKGGSRLTSDAANIDIIQSPLGLFPRPWPANADSSDGSQFSKVIEYFRLVGRVMAKALQDGRLLDLPLSTAFYKLVLGQDLDLHDILSFDAELGKTLQELQALVCRKQYLGSLNGDNQNTISNLTFRGIPVEDLCLDFTVPGYPDYVLRPGDETVNIHNLEEYISLVLDATVKTGIMRQMEAFTAGFNQVFDITALHIFIPHELDHLLCGRRELWKADTLVDHIKFDHGYTAKSPAIVNFLEIMGEFTPEQQRAFCQFVTGAPRLPPGGLAVLNPRLTIVRKHSSTATNAANSATGASESADDDLPSVMTCANYLKLPPYSTKEIMYKKLIYAINEGQGSFDLS >KGN63128 pep chromosome:ASM407v2:2:20790089:20791074:1 gene:Csa_2G404800 transcript:KGN63128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIETAVSSDIPVPAMSPRISFSHDFSHTEPIPVEQRPNSRSKSSGFGSSFDFDFCIPECSDHESSSADEIFSQGKILPLEIKKKPEDQRLEHSSLNHHSPPLTRTKSLDLNPEKCLKKNPSLKEIKGTGSDSEEKQNTNSNSKSFWRFKRSSSCGSGYTRSLCPLPLLSRSNSTGSASNNMKRSPLSKDGVNQKQSSHRNGLKNSQQCSSSSSTGFQKPPLNKVHGSYGNGIKVNPILNVHSANLFGLGSIFSSAIDRSKKK >KGN63140 pep chromosome:ASM407v2:2:20863113:20865600:1 gene:Csa_2G404910 transcript:KGN63140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLEIWLVFIVSNYFSLASSLNEEGLALLSFKSSTFDSQGFLQNWNLSDATPCSWNGITCAEQRVVSLSIVDKKLSGTLHPALGKLGSLHHLSLQNNNLFGSFPTELYNLVELQSLDLSQNLFNVSIPDGFGSHLTSLQNLNLSFNVIHGPIPADFGNLTNLQGTLDLSHNVFTGPIPVSLRSLPTTLYIDLSYNNLSGSIPPQEAFQNLGPTAYVGNSFLCGLPLNVSCSFVMPLPNHDSWFHCPSHGKGGKACSIITGSASIIVGFCLVILVVFWCKRAYPAKGSENLNGSCNFRQVLMLKTEFSCFAKHEAEPLQENMDNYNFVLLDRQVDFDLEQLLKSSAYLLGKNGNGIVYKVVLEKGLKLAVRRLEDGAYERFKEFQTEVEAIGKVRHPNIVALLAYCWSDEEKLLIHEYIPQGDLATAIHGKAEISYFKPLSWTDRVKIMKGIAKGLTYLHEFSPRKYVHGDLKPTNILLGNNMEPYIADFGLGRLANAAGDFTCPPSEQTTTATPRRSPFRSNSMCSSLSIGSYYQAPEALKAGKPSQKWDVYSLGVILLEIITGKFPVIQWGSSEMELVEWVELGMDEGKRVLCVMDPSMCGEVEKEEAAAAIEIAVACTRKNPEKRPCMRIVSECLEKLGTSS >KGN63069 pep chromosome:ASM407v2:2:20422233:20428881:1 gene:Csa_2G401290 transcript:KGN63069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVNSSKDMGGDDMLISAAIGSIEVPEASPDSLKNTPSNIAKLEDVIEHCVGRQKYLAQTRSPSDGGDVRWYFCKVPLLGNELAASVPKTEIVGKGDYFRFGMRDSLAIEASFLQREEELLSIWWKEYAECSEGPKERAGSSLRPDKQRNESTSDVAKLVNLYDVEEERVGVPVKGGLYEVDLVKRHCFPVYWNAENRRVMRGHWFARKGGLDWLPLREDVAEQLEIAYRSRVWRRRTFQPSGLFASRVDLQGFTPGLHALFTGEDDTWEAWLNVDASGFSSVISLGGNGIKLRRGYSPSNSPKPTQDDLRQQREEEMDDYCSQVPVRHLVFMVHGIGQRLEKSNLVDDVGNFRLITSSLGERHLTLHQRSTQRVLFIPCQWRKGLKLSGEAAVEKITLDGVKGLRVMLGATAHDVLYYMSPIYCQDIINSVVHGLFRLLTFILSILVLPY >KGN62123 pep chromosome:ASM407v2:2:14377395:14379956:1 gene:Csa_2G299930 transcript:KGN62123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQRAEGESIRVASEELSQEFKTLMNTDDLNSLNHLQHLILGRLQDSNAVLSHFNEFSEHCFAEVSGDLSRNTRLLNSMKSDLDYIFQKIRSMKSKILATYPDAFPDESTSEALDRRPDLEIPR >KGN60681 pep chromosome:ASM407v2:2:1182121:1183576:-1 gene:Csa_2G006270 transcript:KGN60681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPSKKFRGVRQRHWGSWVSEIRHPLLKRRVWLGTFETAEEAARAYDQAAVLMSGRNAKTNFPMSQTAVSEFGKPDDNMINNIISPSSPKGLSEILHAKLRKCSKVPSPSMTCLRLDTENSHIGVWQKRAGQRSDSSWVMTVHLGKTNSSSSSSSTTTAGGGLEDGDGGRVKRNKKSGCFSGLMSSSPEGGGSCQMRKLEMDDEEERIALQMIEELLSRNYGNPSEIIQLQDHHQGEEPTFLPSLI >KGN61639 pep chromosome:ASM407v2:2:10429215:10435144:-1 gene:Csa_2G196900 transcript:KGN61639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSLKAPIIPPSALIQSKQPPALKEELTMKYYSDDLVTGYIYAKHRDDDSTRIDLPHYITVIENILTLSDRITDAVLRGTDGRLGHLDESQASSVVIEPPVCTLHHILGELSCKETGIERAHEVTLKIFEILTNYPWEAKAALTLIAFATDYGDLWHLYQYSQIDSLAKSLAIIKRVATLKKHLDSLRYRQVVVSPNSLINSCLKAIKYMNQIREFSKYDVKELPELPSALRQIPLITYWVIHTIVASGIELSTYLSETENQPQKYLNELSEKIAIVLSVLEKHLDAIREQFEDVDLYRWLVDHIDHYHTDITLVIPKLLTGKIEAKPLIDGSTLREVSIQESLAGKNVILVISELSISEEDIKALHHVYNELKRDNKYEIVWIPIIPERYLEEDRRRYEYLRSTMKWYSIQFTTRIAGMRYIEEKWQFREDPLVVVLNPQSKVEFTNAIHLIRVWGTEAIPFTHNRTDYLLRKHWPESTLVKFTHQPRLLSWFNQEKSILFYGGKDPKWIQQFEERTEILKSDPLIIEGRSFEIVRIGKNARGEEDPALMARFWTTQWAYFIIKSQLKGSSASETTEDILRLISYENENGWVVLTVGPAPLLVGRGFLILRLLEDFPKWKQTLRLKGFPDAFREYFNELAAKNHQCDRVILPGFSGWIPMIVNCPECPRFMETGISFKCCHGGSLI >KGN63334 pep chromosome:ASM407v2:2:22382954:22383332:1 gene:Csa_2G429060 transcript:KGN63334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPIKFVIKEAIGKALVFYYPLAGRLREGPGRKLFVECTGEGILFIEADADVSLEQFRDTLPYSLSSMEINILHNALNSDGVLNSPLLLIQVYHICLPVMLCMLFSFH >KGN62180 pep chromosome:ASM407v2:2:14787257:14790720:1 gene:Csa_2G315390 transcript:KGN62180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDPNLWRAFSGNSAHIHTVGSEVYYFVQGHLEQATYVPTLSRSVLSNPITKCIVSAADYTADPLSDEVCLKLNLNPIPPGQSVSQVVHPFSSCDDGNGQRNRIEKFAKVLTSSDANNGGGFSVPRFCADSIFPPLNYQVEPPVQTLAITDVHGVVWNFRHIYRGTPRRHLLTTGWSKFVNNKKLIAGDAVIFARDSSRDIFVGIRRSSKSSGGGDCSKWNSQVGGGGRCNVEEKRSGDRSTDVFTRTNIGKVPAETVATAAELAAEFKPFEVVYYPRIGTSEFVIPAEKVNNSLNYQWYPGIRVKMPVETEDSLKTQWYQGTVTSASVPIQGPWKGSPWRMLEVTWEETDALQSAKFVSPWEVELASPSPPIPPPLHSAKKYRIPQKSGMVNAEADLFSPMMRFGDSTMGQFNRSLMNFNSFPAGMQGARQIFFRESGSSNPHNEISPPTSDENSMLKLNTAPNTQTVSTDLHIGSVQSDTLSPDSQASVLSFATGTAENQSCNSTKAGVNSFQLFGQIIYTSPPAENELDNGADSDDGDKKRKRSIDL >KGN62619 pep chromosome:ASM407v2:2:17392818:17393776:1 gene:Csa_2G361830 transcript:KGN62619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLNGFDSVKALKVISIGNYIRRWKLKWLFEFFVFLFLVSNRSSFFSLAGPILHRFFQRILVVLNSHIFVFMVFHAMLFIVYSLSHLTDNKSGSNLTEPDSSESLVAPPVDVSVEEKSTEEMPVIQDSIAEPPAEETTEMVITAADDTAVLSPSPPVQHLNAVMEAAEIIAEAKRYRRTESERFEENQEKRKFRRWKTEIRWRESREELTGFVELVDEMDIDDFNRTVESFIAEQKMHIGGRVSG >KGN61298 pep chromosome:ASM407v2:2:6364141:6371175:1 gene:Csa_2G076540 transcript:KGN61298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRRQPTEVKVPHKPTRVHNRTYFLPLPHFKPVSFSFPFFPSRLFTHLSTLVSSSTPSLFVSVAPPPPFICSCGCKLPPSVTKKNAVNFDFRTAMFYLLPFAFFYVFVNYVRVPGAPIMDVDSDASHKPTDDAECRPMESEDSNDKGKAKEELADSIKNLNIEESSRHAGSLATNFRRKPVIIIVIGMAGSGKTTFLHRLVCHTHASNIRGYVMNLDPAVMTLPFGANIDIRDTVRYKEVMKQFNLGPNGGILTSLNLFATKFDEVISVIEKRADQLDYVLVDTPGQIEIFTWSASGAIITEAFASTFPTVIAYVVDTPRSSNPVTFMSNMLYACSILYKTRLPVVLVFNKTDVAKHEFALEWMEDFEAFQAAVSSDSSYTSTLSQSLSLVLDEFYKNLKSVGVSAVSGAGMDSFFKAIESSAEEYMENYKAELDKRVAEKQRLEEERRRENMEKLRRDMESSKGQTVVLSTGLKDDKSKTKSKTKMVDNDVEEIDEEDEDDDDYDRFTEEDDAIDEDEDEEVARFSF >KGN63162 pep chromosome:ASM407v2:2:20970394:20970909:1 gene:Csa_2G406110 transcript:KGN63162 gene_biotype:protein_coding transcript_biotype:protein_coding description:2OG-Fe(II) oxygenase MQNRELNEPKWRLHSSENSQIQRTDSSQASEPTSPELAERTTSPLQKPDPNPPLIFLDSTSPSQQQTNRETIRNISKAMEAKVLIAVKKRTKLWWRDDLES >KGN60542 pep chromosome:ASM407v2:2:292553:295770:1 gene:Csa_2G000500 transcript:KGN60542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRIGLNLHRGIPISLVAPSAVWSANSAATSLKRWSAGGKHRRRLVLGLGISFWAPFMNMSGSLVGAKSFVASARPKNSVEEILKNVEWPEKFPFREEDFQRFDETPDSYFYESPRFVTHIDDPAIAALTKFYSEVFPPSNTPGISMLDMCSSWVSHFPAGYKQERVVGMGMNEEELKRNTVLTEYIVQDLNVNPKLPFEDNSFDVITNVVSVDYLTKPLTVFKEMSRVLKPGGLAIMSFSNRCFFTKAISIWTSTGDADHIMIVGSYFHYAGGFEPPQAVDISPNPGRSDPMYIVYSRKLSTA >KGN61858 pep chromosome:ASM407v2:2:12464933:12467132:-1 gene:Csa_2G252070 transcript:KGN61858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVATTLNLPSLSSSSSLSHPTPTLQTHISVSSKTLQKSHFPSSFSLPNPHKPLFLSSASKSPISDFFSGHDENDSSDEKLREECGVVGIYGDPEASRLCYLALHALQHRGQEGAGIVAVNENVLQSVTGVGLVSEVFNQTKLDQLPGDSAIGHVRYSTAGSSMLKNVQPFVAGYRFGSVGVAHNGNLVNYRSLRAKLEDTGSIFNTSSDTEVVLHLIAISKHRPFLLRIVDACEQLEGAYSMVFITEDKLVAVRDPFGFRPLVMGRRSNGAVVFASETCALDLIEATYEREVFPGEVLVVDKNGIQSLCLMAHPQPKSCIFEHIYFALPNSVVFGRSVYESRRIFGEILATEAPVDCDVVIAVPDSGVVAALGYAAKAGVAFQQGLIRSHYVGRTFIEPSQKIRDFGVKLKLSPVRAVLEGKRVVVVDDSIVRGTTSSKIVRLLKEAGAKEVHMRIASPPIIASCYYGVDTPSSEELISNRMSVEEIREFIGSDSLAFLPFGSLEKLLGNDSTKFCYACFSGNYPVEPRELKVKRVGDFVDDGLNGSLESIDGGWVQANINQNLDNNLTVEKVGGL >KGN62966 pep chromosome:ASM407v2:2:19672937:19677806:1 gene:Csa_2G382400 transcript:KGN62966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLPTTSKPERRPRSKPIHASKPSILLAFLSCLAWLYVAGRLWQDAENRKLLTTLLQKNASQRPVILSVEDKLQVLGCKDLERRIVEVEMDLTLAKSQGYLKNQLRQSGSSSDPGRKLLAVIGVYTGFGSRLRRNVFRGSWMPKGDALKKLEERGVIIRFVIGRSANRGDSLDRNIDKENLSTKDFLILEGHEEADEELPKKAKFFFSTAVQNWDAQFYVKVDDNIDLDLEGLIGLLEHRRGQDSTYVGCMKSGDVIADEGKQWYEPEWWKFGDEKSYFRHASGALIILSKNLAQYININSASLKTYAHDDISVGSWMIGLQATHIDDNRLCCSSIRQDKVCSVV >KGN63344 pep chromosome:ASM407v2:2:22454406:22460021:1 gene:Csa_2G431130 transcript:KGN63344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMAVKLPLASNGATPPLRRNPLGFYSAASIPLKPISLSLPLNNKNPHNCFCLKQVLPYSSRLNLPNRRFTPVAAVDSDAPHSHHQGSETLRDSKRFEEWNSLTAKFSAAANIPFMLLQLPQIILNARNLLAGNTTALLAVPWLGMLTGLLGNLALLSYFAKKREKEAMVIQTLGAVTTYIVFAQLSIAGAMPLPYFAATSAVVASGLLINFMNFFNILPIQILKFWEDFITVGGFSILPQVMWSTFVPFIPNSILPGATALVTALLAVALARAGKLPEKGVKFVGALSGWTATLLFMWMPVSQMWTNYLNPENIKGLSALTMLLALIGNGLVLPRALFIRDFMWFLGSGWAILFYGYANILCLYCCNGVSREFFIAATAGLFSWIGFFFWRDSVVYGFNSPLTSLKELLFGS >KGN60703 pep chromosome:ASM407v2:2:1332896:1337293:-1 gene:Csa_2G007960 transcript:KGN60703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENENCGCWAVLKRTVSDVSKSSPSSKHSPNSIPRLTLLDDSATETRYLNASDRDFCAPAEPRLSFDNAPLPTRLEDKYKPQLLKFSFQELRSATGNFRPDSILGEGGFGFVFKGWIEENGTAPAKPGSGITVAVKSLKPDGLQGHREWEAEVSFLGQLHHPNLVKLIGYCIEDDQRLLVYEFMTRGSLENHLFRRTIPLPWSNRIKIALAAAKGLAFLHNGPEPVIYRDFKTSNILLDTEYNAKLSDFGLAKAGPQGDKTHVSTRVVGTYGYAAPEYLMTGHLTSKSDVYSFGVVLLEILTGRRSMDKKRPSGEQNLVSWARPYLDDKRKLYHIVDPRLELNYSIQGVQKISRLASHCISRDPKSRPTMDEVVKVLVPLQDLNDLAILAYHSRLSQQGRRKKKSDGLHQLTYTQSRNIRTSPLNVGVHRRR >KGN60886 pep chromosome:ASM407v2:2:2604974:2608221:1 gene:Csa_2G021570 transcript:KGN60886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSFGFRANALLTFAATILAVICAFASFSDTLNSPSPTAQVQVLSINWFQKQPNGNDESRKLGHVPKNVDVGCSLFESFLARSQSKRADFSLVCMKIMVGGNYLRFRLLRIARIPLDQITMTLLLKKETIFSVSLIQSNAVSSYLMESRVFVFLAAEYETPLNSLNQISLWDGIVPSKEIAKFQIHTSNKYRFVDQGSNLRGKEFNLTLHWHVMPKTGKMFANKLVMSGYRLPQEYR >KGN62765 pep chromosome:ASM407v2:2:18412632:18416796:1 gene:Csa_2G372120 transcript:KGN62765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQVSKPTSDFVDNSKRKNLEGPTGDRVRVKKKTLQAVLEQCQRALESLNESNADDENEGNDVDEGQDEDVRGGEGSGSVPRDREADELCDLLKSKVERHDFLEKLEDAQASVPQNTFEECSSWDLVSDVDLWESDDALDQEGYVVVKQEDIVDGIACFMAAYLLSLKETKELSPNQLQNALCKTFSVKKRKGKLRKAWDGSKVIYNVASWGATAVGIYQNPVILNAASKAFWTSCQVISKLL >KGN60537 pep chromosome:ASM407v2:2:264058:264586:1 gene:Csa_2G000450 transcript:KGN60537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESRKTHDFVRTEASTEKTEDMDDDILAWISLEDETVGELMMLLDDEEKSRPVEEKVKFIEDPYSSAVVFQSSSSYVTINGNEESCGSSFSESDSSMMASVDMNGARIKVMEIDCETVDKWKMWSEERLMKEEEEVSGVSEGIMSWDEDDLARFIGDEE >KGN61569 pep chromosome:ASM407v2:2:9717699:9719383:-1 gene:Csa_2G171900 transcript:KGN61569 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proton gradient regulation 5 MAATSISATGLCCSSSFFGGWGSTVAGEDYPALLAKQGPVQVGMGKPVRSRPMMKNVNEGKGVFAPLVVVTRNIIGKKRFNQLRGKAIALHSQVITEFCKSIGADGKQRQGLIRLAKKNGERLGFLA >KGN63055 pep chromosome:ASM407v2:2:20324584:20326939:-1 gene:Csa_2G395815 transcript:KGN63055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNNNGASAQTPTIPDLLTPYKMGKFNLSHRIVLAPLTRQRSYNNVPQQHAILYYSQRSTKGGFLITEATGVSDTAQGYPDTPGIWTKEQVEAWKPIVDAVHRKGGTFFCQIWHVGRVSNSGFQPNGQAPISSSDKPLFPQVRSNGIDVAQFTPPRRLRTDEIPQIVNDFRLAARNAIEAGFDGVEIHGAHGYLIEQFMKDQVNDRTDQYGGSLENRCRFALEVVEAVVNEIGGDRVGIRLSPFADFMEAGDSNPKALGVYMAESLNKYGILYCHMVEPRMRNVLEKVQCPHSLLPMRKAFNGTFIAAGGYDKEDGNKTIAENRADLIAYGRWFLANPDLPKRFEINAPLNQYHRDTFYLSDPVVGYTDYPFLEE >KGN61655 pep chromosome:ASM407v2:2:10655857:10657917:-1 gene:Csa_2G215490 transcript:KGN61655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQSASPITQRVQSSSSSSSIDTRGKHRIQAEVKRLEQEARFLEEELEQLDKLDKASTKCKEMLSNVETRPDPLLPLTHGPINPLWDRWFEGPQDSKGCRCWIL >KGN63111 pep chromosome:ASM407v2:2:20685791:20688541:1 gene:Csa_2G403660 transcript:KGN63111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIETASVHQRLLLTFAGGISATLFGFVALAELLASSQFLDSNKMDVIDASDEKRKSKSRKCQTTRPIEGEENENENNDSDNELGEDQDVHENGEEGKNAPPTKDGANGGGEDGSNDNGDGGSENSGEGGDEEEEENDDGGSDENNEDNNEDDDDVDEEDAEEDNFEGEEDDEETLPPPKKRKK >KGN62733 pep chromosome:ASM407v2:2:18125215:18125813:-1 gene:Csa_2G369840 transcript:KGN62733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGSKSQSECCMCGDFGFSFELFHCKICQFRSQHRYCSNLYPKADCYRICNWCLTLNQESNPKSPNSSSSIINNNDQFPNFRSQLNKPIKKQSSSSLVILPPPPPPPPPRRRLISVDEKLRRTRSEEISHRTGIKRPVIFRNKVRRYKLLDEVSS >KGN63416 pep chromosome:ASM407v2:2:23019087:23020761:-1 gene:Csa_2G439240 transcript:KGN63416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPRFRIGYALSLKKERSFILPSLVDYAKLHGIDFVRIDPLLPLTDQTPFHCIIHKLYDPSWVQQLQDFTSQFPDVVVVDPPELISRLLNRDSMLEVVKEVKVPQGDERIETPKQVVVNDLDVVLKNGLNTFSDLGLKFPIIAKPLESNGSAKSHQLCLVSNDSGLKGLNAPIVLQEFVNHGGVVFKVYVVGECVVCVTRKSLPDIGPEDVKKLDAVSSFSQISNSGAQGDDEGNVEMPSLEFVMHVAAGLREATGLRLFNFDLIRDSNDHNRYLVIDINYLPGYAKMPNYEPFLTKFFLDVVQNRTVGGAFSSSYVNENEVVFC >KGN61056 pep chromosome:ASM407v2:2:3677317:3679491:1 gene:Csa_2G036050 transcript:KGN61056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPSPWAELTHECLTNILSRLTMEQRWRGPMLVCKHWFRAATDPSLFSHFDLEKRFESAPTELPAWWLPEFETKIDSMLRSAVRWSLGSLSVIRIRHCSDSSLDLVAQGCPNLEVLSIKSCANVTDRSMAKIAFGCQKLREVDISYCHEISNVSLALIGRHCPNIKTLKRNFFNNLDPSQHKGIVPDNYLDARPQDVDSEAAAIAKFMHNLEYLELGFSKLSAKALTLICEGCPNLKYLDLFGCVNLTSRDIMNATSSLEHLEIRKPNFYIPRSVFHTERYDHWRLYDERFQTDIFRI >KGN61582 pep chromosome:ASM407v2:2:9839236:9849211:1 gene:Csa_2G172520 transcript:KGN61582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTHGCSKQYSRRSKKQTRSNSDDESAARTRPFSFEDIMLRRKTKGSAATVEVDATSTDNRASERIFRHSKGSSLDVQNLSLEEESAKDSSRRKKEETVLKNNMVVRSDRNNYESGLSLMSKLKHDRNENDERQKYGQESLGWGKNDQSCRIDIETETAKRHSRDTACKDRRQDHSRGESEKESKRKPQNGEDDRNRDSKRKSQNGDDDRNRDSKRKSQNVDDEINKDGKRKSQNGDDDKNRVSKRKSQNGDDDRNRDSKRKSQNGDDERNRDSKRKSQNGDDERNRAEAVRENLKMVMMKGTETVKENLKMVMMKGTETVKENLKMVMMKGTETVKENLKMVMMTGIETNSRLKRRQKRSPDRESKHRRSVSLSPRSHKHSTKLARQKELPLESHVKKSGRWRSDSERTGDFTNTSNSQYRRHSGSTSGLGGYSPRKRRTESAVKTPSPLRTPEEKNEVLDLPPTEKVGLFSGSVASNFPPSNPTVSLGISNDQSGGAFFSSAMGKSLSVVSSNNIAMKTKVSLDLVQLTQATRPMRRLYIENLPHSASEKAIIDCLNGFLMSSGVNHIEGTQPCISCIIHKDRGQALVEFLTPEDASAALLFDGSDFSGSTLKIRRPKDYIETVTGDLDKSMPVVNKISDVVEDSPNKIIVAGISNRLSSEMLRDVVTAFGRLKAYHFEINDDLNGPCAFLEYVDESVVSKACAGLNGMKIGGQVLKVFPAVPFPLTERTGCQPCYGIPEHVKPLLQRPSVVLKINNVFNADVLPVLSESDIDEVLEDIRFECARFGTVKSVNFVKPCISAEEEYKQISDITDVDIKHEIQENSTTVISRNSNDLEDNNANLDNCPSDSNQKQANCPDNGRHQDEAVEDKLCQMGNTDATCFEVADCENASERTGHVLSEQRSSPENDFQDAKVTEIIETGLDKKLVCVEASSSMMADNEKKSLNGLDPVVRIASNAVEKSEKKDPDNNQESLFVLGSVFVEFGRIEASCMAAHSLHGRIYDGQEISIEYIPHGLYRKRFPK >KGN63201 pep chromosome:ASM407v2:2:21235610:21236269:-1 gene:Csa_2G408960 transcript:KGN63201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEIESQIQQAVPTEGLLGKIQQPLLENALTMASKPTKTSAQKAIRKAFKGTAHLANLLPTGTVLGFQILSPIFTHQGHCNTHVSQTTTLGLVLLCALSCFFLLFTDSFRDSRGKVRYGVVTFQGLWVIDGSITLPKEEAAKYRLRFIDFFHAFTSLLVFIAVALFDENVVKCFYPTPSDELRELLVVLPVGIGVLCSGLFIVFPTKRHGVGFPLSRQ >KGN60958 pep chromosome:ASM407v2:2:3057087:3060036:1 gene:Csa_2G031170 transcript:KGN60958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTMEGIEKGVLDDIIRRLLEGRGGKQVQLSEAEIRHLCVNAKHIFLSQPNLLRLSAPIRICGDIHGQYKDLLRLFEYGGYPPSANYLFLGDYVDRGKQSLETICLLLAYKIRHPNKVFLLRGNHEDAKINRIYGFYDECKRRFNVRLWKIFTDCFNCMPVAGLIDDKILCMHGGISPDLKSLDQITQLPRPTEIPDNGLLCDLLWSDPDPSIQGWADSDRGVSCTFGPDRVADFLDKNDLDLICRGHQVVEDGYEFFAKRRLVTIFSAPNYGGEFDNAGALLSVDESLVCSFEILKPEQIASGSSSGVILKKPPKIGRS >KGN62233 pep chromosome:ASM407v2:2:15265819:15274320:-1 gene:Csa_2G337780 transcript:KGN62233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANFWTSSHYKQLLDQEEVDVVQSLDKDRGITLEDFKLIKMHMANYILKLAQNVKVRQRVVATAITYMRRVYTRKSMTEYDPRLVTPTCLYLASKAEESTVQARLLVFYIKKIQSDEKYKYEIKHILEMEMKILEALDYYLVVFHPYRALSQLLQDAGLNDINMTQLTWGLVNDTYKMDLILIHPPYLIALACIYIASVLREKDTTAWFEELHVDMNVVSLLKAKLCERGESIQFLLLGSCIEFVGCCNF >KGN61341 pep chromosome:ASM407v2:2:7110722:7111123:-1 gene:Csa_2G093830 transcript:KGN61341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPTLVQREREIRETSPYSDPLHHNNMDFEEATTPLPSTHVNIMIHPQSKRPQNRPLDSPAARTRSAVCKLPIEEVQPELEENENVDCEEVHTKEVGPKKTRGKTNMKTIAMELEMKVNVRNNKYGQPIGETS >KGN61926 pep chromosome:ASM407v2:2:12892454:12893356:-1 gene:Csa_2G270190 transcript:KGN61926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGRNQTRSTREKPLIRQVWASNFDSEIARFDECLRFHTILTIDTEFPGFIAQSPRGSIDDELYKDFCFNVNQTKLIQLGITASDDLGQIGGSWEFNFSDFDFEADAHSPYAIPFLEHNGLDLKKMKKDGIPIASFTKKFLPILRKRDIFRWVTFHGLYDIGYLIKAMGLITVLPKSMEEFATVVVNEVGIVRDLKHMAKFCEGLDDHGRLGLERLGKLLNLKRFGMKHNAGSDSLLTASAHLEMVERFGMNSKVCNGFLYGFSETLESMKMKMKMKICHHNILRFSLYIPCYFVFYMST >KGN60878 pep chromosome:ASM407v2:2:2539333:2540254:-1 gene:Csa_2G021000 transcript:KGN60878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRFHKSLIALFSWLCFFMAIPSTAQFDGPPVLDTEGRPLQRDVEYFIKPAITDVAGNLTLITRKGDQCPFYVGQVPLLSQEIGFGVTLTPYLEGDDTIREGRDLKFVFQAFTICITGTQWKVGEEDPKSGRRFVKLGNDNTATGYFRIDKSNLGVYNIGWCPSDVPFKGRPRCGSAGILIEKGVRFLALDGPAFPFEFVRVDTGEELGSILKEKK >KGN61131 pep chromosome:ASM407v2:2:4372743:4376489:1 gene:Csa_2G058640 transcript:KGN61131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAGLSTIQQTLTPEAASVLNHSIAEAGRRNHGQTTPLHVAATLLSSPTGFLRQACIKSHPNSSHPLQCRALELCFSVALERLPTAQNASPGAEPPISNALMAALKRAQAHQRRGCPEQQQQPLLAVKVELEQLIISILDDPSVSRVMREASFSSPAVKATIEQSMNSPAPASSSPIGGLGFRPSPVGPPRNLYLNPRLQQQGSVAPPVQQRGEEVRKVFDILLRSKKRNPVLVGESEPEAVVKELLRRIENRELGDGTLGNVQVIHFDKEICSSDRLQIGGRLKELGDLVESRMEKLNGSGGIILDMGDLKWLVHQPPATGGGSGSGTVQQQVVSEGGRAAVMEMGKLLAKYGNGGGSRLWLIGTATCETYLRCQVYHASMENDWDLQAVPIAARAPLPGLFPRLGTTGILNSPVESLSSIKGFPTISTIPMRPLMHENLDSSRKSSCCSQCMQNYERELEKFVANELDKPSSVTKPEGAKASALPPWLQNAKAQDEDAKKHETTDNLDKELMRKQKAQELQKKWQDTCLRLHPNFHNLNKFGLERTAPVSLPLTGLYSPNLLGHQPSQPKLQLNKGFGETLQLKTNPLLASKPSEKVASILRPGSPVRTELALGRKNDSEILAEETHKERVKDLLGCISSGPENKVCELRSSKFIETSDIDSYKRLLKGILEKVWWQQEAASALATSVTQFKLGNGKRRGTVPKGDMWLLFLGPDRVGKKKMATALAELVSGSNPITICLGSKRKSDGESEISIRGRTVLDRISEAIRRNRFSVIVLDDFDESDLLVRGSIRRAMERGRFTDSHGREISLGNIIFILTATWIPDDMKHLSNGNMLEEEKFAGLARRTWQLKLSVSEQTVKRRAEWAQGEERCLKPRLESGSAIAFDLNECADAEDEKTDGSLNSSDVTTDHETEHGLNTRQLSFTTASASREMLNTVDDAIVFKPVDFSPIKHSITSSIKKKFSSIVGEKMSLELQENAVEKITSGVWLGNTNVEEWTENFLVPSLKELKARLPTANAFESMVVKLESDADLGCRSSEGQLPCSIKVIVGEKL >KGN61546 pep chromosome:ASM407v2:2:9507140:9524509:-1 gene:Csa_2G169700 transcript:KGN61546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAQVLPNSMASTRKLEHLEAGKRRLEEFRKKKAAERVKKAAPPSQNHVSDAGSEEKKPLESEHAQRITDSDGATTTNGAGRSAIESSSALVKDDRHADDFSQNINQNALNEKHASYPFSRNTDGVFSTDPVKQPSNGQEINTFNGSRLFGPTDVNSRNEILEINKDSELINGPQARISFQSAFGINPQASEGTDSIISQSAHHGVDGLLFRRDSQENSMLKSSGSLHKFSANISLQNTVANLQDTDSSSNNNLASGNSFQSSYDGLFNNSTRKGYNSHEVGESMHRNFEQGKPIDVTDFTRIKPESVQSSEPTGLDADIRLPSNYEPPYTASSENSFRRSRPSFLDSLSVPKASSGSFLGHGERDKEPGLSDGFKFNKDGPASFSFQNSIKSDGFRTDERDGSESLTLQKPLMDVKTLGTPSHFTSQNTPVSYSNSFPPSVFPVKDQPIIGIEDNTMERKHELYSSKQNEDFAALEQHIEDLTQEKFSLQRALDASRTLAESLAAENSSLTDSYNKQRSVVNQLKSDMEMLQEEMKTQMVELESIKLEYANAQLECNAADERAKLIASEVIGLEEKALRLRSNELKLERQLENKEAEISSYKKKMSSMEKERHDFQSTIEALQEEKKLLQSKLRKASASGKSIDISNPSNKKDMATSTEDLVVVDASPSTFNHDESLTEDDASGAPMLLQNATTEVSSVIIPSDHMRMIQNINALIAELAVEKEELTKALASELASSSKLKELNKELSRKLEAQTQRLELLTAQSMAGEIVPARLPDYHTTRDEDIVLADEGDEVVERVLGWIMKLFPGGPSRRRTSKLL >KGN62072 pep chromosome:ASM407v2:2:13995819:14000105:-1 gene:Csa_2G295970 transcript:KGN62072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCEARELHNKMLLVGVTGDCFTLHVMLRACLKEGNILEAEQHFLQAKARGVELDQEAYSIFVHLLCLKPNSGYALSLLREMRAAGWIPPEGTFTSVITACVKEGNVAEALRLKDDMVNCGKSMNLAVATSLMKGYCMQGNLRSALVLVNEISESGLVPNKVTYSVLIDGCCKNGNIEKAFEFYSEMKTKGIRSSVYSLNSILEGYLKCQSWQNAFTMFNDALESGLANVFTFNTLLSWLCKEGKMNEACNLWDEVIAKGISPNVVSYNNIILGHCRKDNINAACKVYKEMLDNGFTPNAVTFTILMDGYFKKGDIENAFSIFHRMKDANILPTDTTLGIIIKGLCKAGRSFEGRDLFNKFVSQGFVPTCMPYNTIIDGFIKEGNINLASNVYREMCEVGITPSTVTYTSLIDGFCKGNNIDLALKLLNDMKRKGLKMDIKAYGTLIDGFCKRRDMKSAHELLNELRGAGLSPNRFIYNSMITGFKNMNNVEEAIDLYKKMVNEGIPCDLKTYTSLIDGLLKSGRLLYASDIHTEMLSKGILPDDRAHTVLINGLCNKGQFENARKILEDMNGKNMIPSVLIYNTLIAGHFKEGNLQEAFRLHDEMLDRGLVPDNITYDILVNGKFKGDGNFSRDLTF >KGN60863 pep chromosome:ASM407v2:2:2461703:2462131:-1 gene:Csa_2G020860 transcript:KGN60863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSGIPEWLSYETASNSIRASFQHNRNRKITLATSVTFRVDIGDSYQGMALVSCNVFIGCRLESCHMRKFPTSTLEYTWLVEAFSTRQSESLEENDWNDVIVLFEAVKWLLLPTIIFTLFINFIAYYNFPKFIYIKVQTFNI >KGN63223 pep chromosome:ASM407v2:2:21453941:21458559:1 gene:Csa_2G416140 transcript:KGN63223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETLSPNPSIPQKQRRPVPSQLQSPTSPFFLGSNDDKLERAQARAARAAANRRKSIATNLLPRQDPNIFLDKRQILELFQNCIKLASENKINQKNTWELNLIDHLAEIIKVEEEDTETNFQKASCTLEAGVKIYSLRVDATHSEAYKVLGGMNRAGQENEQETITQEGNPENDQEEVRSRKEQDKKLSPLSTLEPSFEALNVKKFDVAFAVDPLYHQTSAQFDEGGAKGLLMNNLGVYGACRVLFDSDEVPGKCMSFENRQGSSDMIDISFAKDCIEEMVLNMRVKDEISPTLRNIVNLFDEDNIRPSDYCSSGPKAAEQVHMDYDVDDRFHGDDFENFGTENYDNDDQTSMVDDGPGGGDADFSTYNEESVSTTYHDPDVEERLGNVDEYLISCLGFTVRQNAWAGPEHWKYRKTKGSRDSPTENGLETTTKRARSKKQAENDIDFTKNLEKEVTDLFVPPRNPKSLLLPKNRAPCNTKLPEDCHYQPEDLVKLFLLPNVKCLRRKGRQHSDEPMHHNDDYGTMPSWEDDNDFGGQFDEGDGQSDVEDPDALVTQPRQVNKVEVQYDKKSKQVDVQALKETLWSHLQESQTDTEGEDEMASFKQILATFPDDCRAAQTINDISPHLCFICLLHLANEHGLSIKGSDHLNDLTIHFGCQN >KGN62020 pep chromosome:ASM407v2:2:13618900:13619229:1 gene:Csa_2G286530 transcript:KGN62020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDLLGRGGMLQEAIKLIGGMPMKPDVVVWGALLSACRAYGNVDIANIILKQVLELETDSSSGLYVLLSNIYFEAERWKKAKNVRKLMSGHGFIKCNAVSFVEIDECIL >KGN62725 pep chromosome:ASM407v2:2:18082996:18084772:1 gene:Csa_2G369760 transcript:KGN62725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQWLRALEVAARTEPVMKMPLRSGIGRIYFLLQAWRSLSSPTSRVDSLATTSVVSSKILQNGGDDGKFKQEFMKLKLPRSSVNTVLQRTSITKLRRVVKKFCKSKRFERALEALILMETRDNFRMYPAEHALRLELTIKAHGLLKAEEYFNQLPTIASQKASSLPLLHGYVKERNTEKAEAFMVKLRDSGLVVNHHLYNEMMKLYVATYQNEKVPLVIKDMKQNQIPRNVLSYNLWMNACSELYGVGSIELVFEEMLTDKNVQVGWSTMCTLANVYIQEGLVEKAFAALKEAEKKLSPCKRLGYFFLITLYASLKDKEGVFRVWRASKAVSGNPTCANYICILLCLVKLGEIDKAEKVFKEWELNCRNYDIRVSNVLLGAYVRNGLLEKAESLHRHTLGRGGNPNYKTWEILMEGWVRSQQNVDRAINFLTGNNESQT >KGN61114 pep chromosome:ASM407v2:2:4189118:4190006:-1 gene:Csa_2G055020 transcript:KGN61114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENYSKATILIVSLIISIFQLQVHFSHAIPNQDVSYMKFVHNATDLPTKEEYDYIIIGGGTAGCPLATTLSSKFSVLLLERGSDPNKYPSVLNEKGLSKAFDTEDDGKTPFQRFVSEDGVENIRGRILGGGSMVNAGFYSRGHKEFFESAGVDWDMELVEKAYEWIEESVVSQPILKDWQSAFRSALLEGGIVPDNGFDLRHLVGTKTGGSIIDDKGNRHGAVELLNKANPKNLKVAIEATVQRILFSG >KGN62783 pep chromosome:ASM407v2:2:18551247:18552647:1 gene:Csa_2G372780 transcript:KGN62783 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor HBP-1b MSNPSRPMPHSVSVIENFADFYESWLTTQRGFLEQLLHVSQIADYKEERQLGLIKQVLAHYQLYHEEISKAAGEDVFRVFSAPWLTSYERTLLWISGFKPSIVFRLVDGAVKDLTPVQAASVEELKTDVKRKERDLAEAMASLQETVAAPPIVGLARRAGRLVDGEICEMENAIEELKIGMLGVFDGADSLRGTTMKRVMEILRTDQTLRLLTAATEFQQRIRQWGIQRDSQRPRTI >KGN62707 pep chromosome:ASM407v2:2:17972789:17973921:-1 gene:Csa_2G369080 transcript:KGN62707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSRTKGLCRVPTNRTTAARRKMHRPPSPPLLELSSVSPVADLPIICVMDINCQASVESTSTSSSGTGRDNNSLNLRKLSHKISKAASQSQLRKPCFEFDAPPNQNHPQNRLQSATPSVQPQQQQNIQAQPQQQHQPPVYNINKNDFRDVVQKLTGSPAHERFSNPPPVQPPKSQSSRLQRIRPPPLAHVTNRPPQQLPNSFHPLNSGLTGRPMAPLSPLPPLPAVHAPAESPVSAYMRYIQNSIPTADSNILPPNQGFSPLGPLASSCWNNFTAQQQQGLMPLPPSSAMLQAHPQFQVTPSSPLGFGCLNSPMSSSHGVLLSSPNLVFPATTGQLGFPQLPVSPTVPASSPRWIGD >KGN62935 pep chromosome:ASM407v2:2:19530387:19531952:-1 gene:Csa_2G381130 transcript:KGN62935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIFFPIFTFFFFLTHAQNAPQDYISLHNKARAAVGVGPMTWNNTVAAYAQSYANKRKNDCALIHSTGPYGENIAVGYYPEFTGADGVKMWVGEKHLYDYASNSCKGGDCGHYTQVVWRTSVHLGCARVACKGKSQFVFSPLPPNDDHTQNKQDETKYSDQNDNNLLLRFFRLLFPAHWQKKNDDFFGVSALRCFSNKKATTHERNSKHYFRLSPPSITELCESGVTIKAKENEDFCFMNIGFKDGVLEIPYINIDSTFEVVIRNVIAFDQYPAENKEKYAIHYVLFLDDLINTEQDAHLLAKAGVIINTLGGNGKDITKMFNCSLNL >KGN62860 pep chromosome:ASM407v2:2:19064964:19068253:1 gene:Csa_2G377960 transcript:KGN62860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAPVSVDGKGKKNIENLVSATRSLKASLEKSRTLGFSLQKAGPRLEEIRQRLPTLEAAVRPIRADKEALVAVGGHINRAVGPAAAVLKVFDAVHGLEKSLLSDPRNDLHGYLSVLKRMEEALRFLGDNCGLAIQWLEDIVEYLEDNTVADEKYLASLKNSLKNLRDLQSDEGRTRLDGGLLNAALDKLENEFRRLLTEHSVPLPMSSSASPGEQACIAPSPLPVTIIPKLQAILGRLIANKRLESCISIYVEVRSSNVRASLQALDLDYLEISVSEFNDVLSIEGYIAKWGKHLEFAVKHLFEAEFKLCNDVFERIGLDVWMGCFAKIATQAGILAFLQFGKTVTESKNDPIKLLKLLDIFASLNKLRLDFNRLFGGAACLEIQNLTRDLIKRVIDGAAEIFWELLVQVELQRQNSPPLDGGVPRSVSFIIDYSNKLLSDDYRPILTQALVIHRSWKKEKFQEGLLVSEVTNLVKAIEHNLETWIKAYEDSTLSNFFAMNNHWHLYKHLKGTKVGELMGDKLKEHEQYKDYYAAVFLRESWTKLPSHLSREGLIMFSGGRATARDLVKKRLKTFNEAFEDMYKKQSNWVMTDKELREKTCQLIVQTIVPVYRSYMQNYGPLVEQDPSSSKYVKYTVQNLEKMLLSLFQPKPLRYSSLKVRQTSGKFSNGAADHRRSNSMVM >KGN61500 pep chromosome:ASM407v2:2:8897020:8897349:1 gene:Csa_2G149440 transcript:KGN61500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTALSLPRSWTIDCVVRPVHLPHRRLLFCPLTASRPLSHPVVRFPIAASPTPKDLHF >KGN61867 pep chromosome:ASM407v2:2:12537573:12538492:-1 gene:Csa_2G258630 transcript:KGN61867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRVAKIVNAVHNIGLSSLATNQEPSIVRKGYCAVYVGESQRKRFVIPISYLNRPFFKDLLCQAEEEFGYNHPTGGLTIPCSDDTFIGLISHLH >KGN61875 pep chromosome:ASM407v2:2:12568033:12568597:1 gene:Csa_2G258710 transcript:KGN61875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRLPSILFSAKQILKAQSISGRCQSSVPKGHIAVYVGEIQKKRFLVPISYLNHPSFLDLLRRAEEEFGFNHPTGGLTIPCKEEAFIDVTSRLHTS >KGN61405 pep chromosome:ASM407v2:2:7891471:7891919:1 gene:Csa_2G118280 transcript:KGN61405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTGMRYHAGGEDHRRESHFLEACFLCRRPLGFNRDIFMYKGNTPFCSKECRQEQIEIDEAQEKSWRISSSSSSSSADKTTTTNKKNVRTDTVAVA >KGN61985 pep chromosome:ASM407v2:2:13358439:13364000:1 gene:Csa_2G279220 transcript:KGN61985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIGGGVVCGSPRAAALPSLLLRRRGVTVRCSTSSSTSDHVSFIKDVAATEPPQHLFHLLKMLKTRGASIISPGAKQGIIPLVVPLAKNSSGTITALLRWPTAPAGMEMPVVDVNRNGVWLLAKNVDQFIHRLLVEEDARGSGEQNDELFLAAADAGQKLYGRGDFSESQITNLDGYLLKKVGLFPDIIERKILRHFEEGDLVSALVTGEFYTKKEHFPGFARPYVFNAEVLLKVGRKTEAKDAARGALKSPWWTLGCKYEEVANIAQWEDEQIEYFKEKVTEEGKQEDLKKGKAPAQVALDQAAFLLDLASVDGTWDNYVERIAQCYEEAGLLEIATFVLYRD >KGN61656 pep chromosome:ASM407v2:2:10663752:10667350:1 gene:Csa_2G215500 transcript:KGN61656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPLRLPLSPRPTLHHHFPRLYHHNFLLLPLQPHIQIRHATPARTLRIRASDEGESYLGMWKNAVERQRKAVEFQKVVENTEGNDDRNAGDPSSDQLEKKSEEFSKILQVPPEERDRIQRMQVIHRAAAAIAAARALVGETGTLAVGDSDTCVNLNSTNDEGLLDREEALSEFQSENALLPEFETSQSWTPGPDFWSWTPPPDDDGNDNAFGELQPLGKSQAYPKLSNFVEEKERPIDFLSIPFQSEISESVNPLLPPFQSLVGMEKLESSETSTETHSLEEDENVGIEFSVHAAEASQALSSVDKESTKGIDPDGSRWWKETGIEQRPDGVICKWTLTRGVSADLATEWQNKYWEAADEFGYKELGSEKSGRDAYGNVWREYWRESMRQEQGLVHLEKTADKWGINGSGTEWQEKWWEYYNTSGQAEKNAHKWCKIDPNTYVDPGHAHIWNERWGEKYDGQGGSIKYTDKWAERCEGDGWTKWGDKWDENFDPNGHGIKQGETWWEGRHGERWNRTWGEGHNGSGWVHKYGKSSSGEHWDTHAQQETWYERFPHFGFYHCFNNSVQLREVQKPSERAS >KGN60731 pep chromosome:ASM407v2:2:1488026:1489387:-1 gene:Csa_2G008710 transcript:KGN60731 gene_biotype:protein_coding transcript_biotype:protein_coding description:Apolipoprotein d MVKTEMDVMKDVDLKRYMGRWYEIASFPSRFQPKNGANTRATYTLRDERTVNVLNETWVDGKRGFIEGTAVKANPDSDEAKLKVKFYVPPFMPIIPVVGDYWVLYLDHDYHHALIGQPSRNYLWILCRQNHLDEEIYNQLVEKAKEQGYDVSKLRRTTHTDPPPEGDEGPKDTKGIWWIKSIFGK >KGN62481 pep chromosome:ASM407v2:2:16674813:16675315:1 gene:Csa_2G356060 transcript:KGN62481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPHHADRVIRIPYHPHKAVSSKKINEEEGAIETKVVKQQMEPPNEGTTVVHLTRKQPTHGGSGVLGSAATAVGNALRSAKDAVFGKGKGTNHG >KGN61318 pep chromosome:ASM407v2:2:6637501:6639509:1 gene:Csa_2G080180 transcript:KGN61318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMDLLKKDLKQVLYRIIQEQDFDSSAADEAIQILTALKFSLMAASSSQESTRCSGSNTLIFPDKFRCPISGDLMKDPVLLITGQTYDRFFIEKWFHEGHNTCPQTNEVLTDMTLTPNRLLRSMISQWCLDNRLELPRLSYEEEVDNVTESHLDALLEKLLSSSLIVKKEAAKELRETTRWSHEFRALFAKLPGSVERLLHPLVSIGKVDLHPDLLEDLITTILNISVFDDNKKHVAENPLVLPLLIESLQHGSIELTANAVAAIYSLSFNEANKITMGKVGVFKHLISLLDYAHPGVIRDAGSAIYNLCTTVENREKAVGSGVVAAIFRNIGRSLLLVDKLILILALLCTDTKAINEMCKFDAVPCMLRIIRETESQRIKENCASILFAICTTDQSQLRKIQEDENKYETILELSKIGNSRARRKATGILDRMHSAAPRTHTS >KGN63237 pep chromosome:ASM407v2:2:21575099:21576157:1 gene:Csa_2G416760 transcript:KGN63237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKHNFHAIALLFMVAMSSIVARGNNIFVGAYYGSEGNNLPPPWKVVQLCEKYNIRRIRFNEPNLDILEQFRGTNIEVSFSVPGELIINQATNQTAVEEWFVKYVVPFIGEFTINYIVVGDKVIPGLDDNILPVMRSLQDLLNSRYLGQVKITTMVGLTALGVQSPPSSGAFDPNVLENMKGILKFLWGQGSPLMLSLYPYDAYAYTGYTNNISLGYATFTSQTEQNPPIRIDGNLYYKNVFDDMVDAFHAAIDKACAGDVAIAIGETGWPTEGNYGAGPSLALTYNLNFKNHITSGKGTPMKPNIYIEGFIRSLFNENEKPEGESQFYGMFHVDSTPIYPSVFD >KGN60695 pep chromosome:ASM407v2:2:1264943:1266988:-1 gene:Csa_2G007390 transcript:KGN60695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRPGPRPYECVRRAWHSDRHQPMRGSIIQQIFRVVNENHTPATKKNKEWQEKLPIVVFRAEEIMYSKANSEVEYMNLETLWDRLNDAVNTIIRRDESSESGELLPPCVEAALNLGCVPVRASRSQRHSNPRTYLTPRGQEPTSTLATTLNKATDERRLPVSPLHPGNQLNFARAKSMNSSFFASERSSQIKQHNNPTIPSTPAFLIENVPVVHNNYSMTETNTPLNLGSVYPLYYGIRCQTEEPNLSSQISADANQQTIFLGRPIISSAEPAEHSLRSYKTGNAMSRFPSEFITAREEKLPDTECDLSLRLGVPSLPCVSSRKTWALETGDVAPSSSRERHQFHDQTTYANEEFSFFPTRTEFERFGSCSNMWSSDGGGQISESSTKKRKEPFCSNQENEPFCLPPEVPSYWFDSQTKGSGL >KGN63085 pep chromosome:ASM407v2:2:20537097:20542442:-1 gene:Csa_2G401450 transcript:KGN63085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFRFGWHCRIRVWRALYRFPSWLPLCDFNRFKFSRHPTETRTQSQPLDLPTVDNGRVFAVHEDIALPNERYKYRLIHLHTTKFKFKLTEIYFLSYCGFSNTNLDSFCIYLVLDVEDQAMKISIQEHMHFATIQTMENDLNSGQVSTSTKSELKQLNEDAERMMQAKGEICSQILEKQRKIASLESDISILSQTLELIQQEKVSLGAKIIEKSTYYTKVAEDISLKFQDQQDWVNANMIRGEVDEQDLVKLESAKQASDTEGFADTVGGISSTRIYSNPNNLVEREDLLGKLESAEAKLSEVSKKKCAVVLEKSKIANLDEHLFLFQIEQSIEELKNELNDFKPELRAMDDVTLEEEYKALLSDQAGETEYSQSLQDKIAKLKGISSVIKCTCGKEYKAGVGLCT >KGN62730 pep chromosome:ASM407v2:2:18103984:18106712:1 gene:Csa_2G369810 transcript:KGN62730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQSLPHPHPDPSNPPPPKPSHSDHTDPDTNHRSGDYSPYPKLDPSDVAPPPLPENWTTVPMGSQPQNPPPPPPQTQPNSEARAPISEGNATTLPTEANPYVSAAPAPGNPSSSKHTMDSVKVMLGRWGKRAVEATKKAEDLAGNMWQHLKTGPSFADAAVGRIAQGTKVLAEGGYEKIFRQTFENTPEEKLQKAYACYLSTSAGPVMGTLYISTAKLAFCSDNPLSYKVGEETQWSLYKVVIPLHHLKSVNPSTSKAKPAEKFIQVISIDNHEFWFMGFVSYDSAVKTLQEALHPNNPLSA >KGN62197 pep chromosome:ASM407v2:2:14983716:14987114:-1 gene:Csa_2G326500 transcript:KGN62197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGSSSKGRCISYDYSFKILLIGDSGVGKSSILLSYISNFVHDLSPTIGVDFKIKMVTVGGKKLKLTIWDTAGQERFGTLTSSYYRGAHGIILVYDVTRRETFTNLINVWAKEVELYLTNRECIKILVGNKVDRGSDRAVTTEEGMEVAKKHKSLFLECSARTRENVDRCFKELSSKMMEVPSLLENGSVVVKQKILDKTQARKEVEVNDRGCCH >KGN60535 pep chromosome:ASM407v2:2:241989:242411:-1 gene:Csa_2G000430 transcript:KGN60535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGEVTESFVEVVAKMVEEQKIKIIGFHAAFTFAIAALSVQAKAKLPDSFEFVSITSLLCLLCFVSAMIIDFSFKTFAKVLYFLGAFFCMTSYLIAAAIPSQMYFHVLLILLFLISCITLVILMSNTCSPPNSTTNDLPL >KGN45490 pep chromosome:ASM407v2:7:18547925:18552203:1 gene:Csa_7G450490 transcript:KGN45490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSEQALVTLLSRFALSFDGAVLGAALAYATVRTVLKLTASCSALNELRKAPYVTVSDLRHIVSDGVSEQSESDRKLVVVRGTVETRSSVEGNRKSLQPNVLISQESGDRAVIIQRTQVCIFNEWKGFFGWTSDLRAIFGRTFRKQESTSFRTVPFVLLEGHSTYSDFVVVNMEGSRHPLPLTTVYHQLQPVCATPYTFLQAVFGHEYPVGVLDEEKILPLGKNISAVGICSFENGVPVIKSCSDFPHFLCEMTKDQMILDLVFKTKFLFWSSIVLGSLTVGILGYSAARNWNRWKQWRQHRQLQNSRNDSPTSQLDSSNDIPIYGDFASDDEAATVPDDELSSHVPDGQLCVICLMRRKRSAFIPCGHLVCCERCAVSVERESSPKCPICRQQIRSSVRIYDS >KGN45159 pep chromosome:ASM407v2:7:16595117:16597709:1 gene:Csa_7G428960 transcript:KGN45159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARADSTRLAQSLLLLLVDWINLWPRLRVLSKKPTDIPQTLSSQFLRPISFHPPHILLRISPVAAKPPTRLFAVAEVATQGASSVAERKLYIGNIPRNVNNEELTRIVQEHGAVEKAEVMYDKYSGRSRRFAFVTMKTVEDANAAIEKLNETEVGGRKIKVNITEKPVVNTVDMSFLQAEESQFIDSPYKVYVGNISSTVSTETLKNFFSEKGKVLSAKVSRVPGTSKSSGYGFVTFSSEEEVDAAISSFNNALLEGQPIRVNKA >KGN44246 pep chromosome:ASM407v2:7:8322096:8323433:-1 gene:Csa_7G234670 transcript:KGN44246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGSNTNKSSQKPSSSSAAASHRKSRWESSSNNPPSLPKSDSKSSKPHHPSSKSGISPNSTHPKHPTDKPLNPTPASAPLPSPGLPLPFPDLSALGPPPPPSYGFHMLERRTIVLADGSVRSYFALPLDYHEFTPPARSMDLAARFLPMGAAASGHEYGGFDHRFPPGGPMSPDEFRGAREEQFGRGRPQDHWNSRGTDERGGPADSSMKRKFNDDSEKDRKDEKDDLSRRQQQLLHNGNPNGFLTGSGERRGDFLAGTSDPYGRTEDTRFSKYMRAGGSYENEGLRLGNGNSVAPKYLEVDQSALRKAFLHFVKTINENANQKKNYLEDGKHGRLQCLACARFDAVGFFVPFLFVLPYFYLLLRNNASFLCIWDNLRRMLLANTLHCLFALVCCCDSEGSKCVRYMLLDSLSFPIKIFFSAAAGSLSTAGLNHLRRVWVVTYS >KGN44377 pep chromosome:ASM407v2:7:9711360:9723448:-1 gene:Csa_7G274170 transcript:KGN44377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKPIKASQSSSTKVASSVLPYQTPRLRDHYLLGKKLGQGQFGTTYLCTHRATGDLYACKSIPKRKLLCKEDYEDVWREIQIMHHLSEHPNVVQIKGTYEDSVFVHLVMELCAGGELFDRILLKGHYSEREAAKLIKTIVGVVETCHSLGVMHRDLKPENFLFDNPGEDAKLKATDFGLSVFYQPGNSFCDVVGSPYYVAPEVLRKHYGHEVDVWSAGVILYILLSGVPPFWAETDSGIFRQILYGKLDFNSEPWPSISDSAKDLIRKMLTRDPKERISAHEVLCHPWIVDDTVAPDKPIDSAVLSRLKQFSAMNKLKKMALRVIAERLSEEEIGGLKELFKMIDTDNSGSITFEELKAGLKKVGSELMESDIKSLMDAADIDNSGTIDYGEFLAATLHLNKIEREDNLVAAFSYFDKDGSGYITIDELQQACKDFGLGDVHLDEIIKEIDQDNDGRIDYEEFAAMMRKGDGGVGRSRTMRSNLNFNLADAFAIKDKPLEN >KGN43864 pep chromosome:ASM407v2:7:4621157:4623848:-1 gene:Csa_7G071530 transcript:KGN43864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKVSLPLLLPNPPPSKSHFPVFHHQPLSPSSPPPPPLTFPPTPHLSSASSPLAPLLQDLLPHQHPSSSTQPHLPKPTFRTRTRIGRSHDPNRGKPWSHHRLSTQGQRILDSLLNPEFDSSSLDEILLQLFETSSDGLNFTSDSVSFDILGIIKGLVFYKKNELALCVFYFVRNREDFASILSNSVVAVIISVLGKEGRASFAASLLHDLRNDGVHIDIYAYTSLITAYASNGRYREAVMVFKKLEEEGCRPTLITYNVILNVYGKMGMPWSKIAGLVDSMKSSGVAPDLYTYNTLISSCRRGSLYEEAAEVFEEMKAAGFSPDKVTYNALLDVYGKSRRPREAMEVLKEMEASGFAPSIVTYNSLISAYARDGLLDEAMELKSQMVKKGIKPDVFTYTTLLSGFEKTGKDDYAMKVFEEMRVAGCQPNICTFNALIKMHGNRGNFVEMMKVFEEIKICECVPDIVTWNTLLAVFGQNGMDSEVSGVFKEMKRAGFVPERDTFNTLISAYSRCGFFDQAMAIYRRMLDAGVTPDLSTYNAVLAALARGGLWEQSEKVLAEMKDGRCKPNELTYCSLLHAYANGKEVERMSALAEEIYSGIIEPQAVLLKTLVLVYSKSDLLTETERAFLELREQGFSPDITTLNAMVSIYGRRRMVSKTNEILNFIKDSGFTPSLTTYNSLMYMYSRTEHFEKSEDILREIIAKGMKPDIISFNTVIFAYCRNGRMKEASRIFAEMKDFGLAPDVITYNTFIASYASDSMFIEAIDVVKYMIKNGCKPNQNTYNSLIDWFCKLNRRDEASSFISNLRNLDPSVTKDEERRLLERLNKKWSTLRNGTQSFTPLWLCRRPEAVSDWELGAHLGGLA >KGN45336 pep chromosome:ASM407v2:7:17559180:17565552:-1 gene:Csa_7G440577 transcript:KGN45336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASLPPKEANLFKLIVKSYETKQYKKGLKAADQILKKFPEHGETLSMKGLTLNCMDRKAEAYDLVRLGLKNDLKSHVCWHVYGLLYRSDREYREAIKCYRNALKIDPDNIEILRDLSLLQAQMRDLKGFVETRQQLLTLKPNHRMNWIGFSVAHHLNSNPSKAVEILEAYEGTLEDDYPPESERCEHGEMLLYKISLLDECGSLERALGELHKKEPKIVDKLSYKEQEVSLLVKLGRLEEAENLYKKLLAINPDNYRDCIHTSMQTEYEGLQVCVGLFSKNSEYSPKEIERLDELYKSLGQQNGWSSAVKRIPLDFLQGDKFKDAADNYIRPLLTKGVPSLFSDLSPLYDQPGKVSLPNLFVFLFLFSFFL >KGN44007 pep chromosome:ASM407v2:7:5573891:5575474:1 gene:Csa_7G098750 transcript:KGN44007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSFAGNHNLLISSIQFNQKTNLEQHNFQTRIAKSKAKYLQASRPQTFSKSNANPILVQPHSL >KGN44690 pep chromosome:ASM407v2:7:13313262:13314066:-1 gene:Csa_7G372360 transcript:KGN44690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMAQKVTVMVVLILVGLAGISIAMEVCGVDEDGLTACKPWVTKPCPAEMPPAACCNKLSNADFDCFCKYKNSMLLSSFGIDSDLALALPVKCNIPNTPTCST >KGN45090 pep chromosome:ASM407v2:7:16209360:16214259:1 gene:Csa_7G420830 transcript:KGN45090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMRNSECGSLTILLSFFLIVLFFVQIEAKNQKEALDALYKAKFFKNSNAGGFSTELLVNRDSATHNQNAENVEIYDQTGMKKQDKIERLPGQPPNVRFSQYGGYVTVNKSAGRAFYYYFVEAPHNKKSLPLLLWLNGGPGCSSLAYGAMAELGPFRVRSDGKTLFQNKFSWNHAANVLFLESPTGVGFSYSNTTSDYNTNGDKSTAKNNYAFLVNWLERFPEYKTRDFYIAGESYAGHYVPQLAHTILSHNKKAGKKIVNLKGIIIGNAVINDETDQIGMYDFFASHALIADRTANDIRKYCNFTSEEAVQNRQCLDASNMVELNIGVIDIYNIYYPLCQNSTLTNVPKKASVLNYDPCTDYYTYAYLNRADVQKAMHANVTKLSYDWEPCSDVMQGWSDSASTVVPLLREFMASGLRVWVFSGDFDGRVPITSTKYSIDSMKLPVKKSWYPWFNANEVGGYAEVYEGELTLATVRGAGHEVPSYQPRRALSLIKHFLHGTPLPSSHRRST >KGN44129 pep chromosome:ASM407v2:7:7184024:7187651:-1 gene:Csa_7G200820 transcript:KGN44129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYALAFVLEYRVSKGVRELPGNFQSATSNVPSGKALMYFGLFLATGVFFIFIAFTMFLPVMVLMPQKFAICFTLGCCFIIGSFFALKGPKNQLAHMFSKERLPFTVIFIGSMLGTLYVSMGLHSYILSVFFSVLQVLALAYYAVSYFPGGSTGMKFLSSALTSSVTKCFGR >KGN43205 pep chromosome:ASM407v2:7:503814:504228:-1 gene:Csa_7G009010 transcript:KGN43205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRLPRIVTAKPSLQRSSSTGNGASPKSIDVPKGYFTVYVGEVEKKRFVIPLSYLNQSSFQDLLSQSEEEFGYNHPMGGITIPCSEDFFLYFTKSLNDP >KGN44439 pep chromosome:ASM407v2:7:10225025:10225247:1 gene:Csa_7G290610 transcript:KGN44439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELGESDVDQSNRLQISQNSRSVACWSSSDESCLWLEERRKSVDCGSFAWESEKEMVAGGGGQH >KGN43188 pep chromosome:ASM407v2:7:388479:391899:1 gene:Csa_7G007860 transcript:KGN43188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDGRQHENGRHKLDYFRGSSSPWNMVPPNHVKEPNALVMNKKIMSIIAERDAAIRERNLALSEKKEALAARDEALRQRDEALVQRDSALMERDNALAALEIRDNASNFPLGGGVQRKTKRLHHLSNHMPNISETSYGTKDVHITDAFPITVIASEAVKSQQGKRAKDNKLVSSKTSRPPRKKVGEDLNRHAATDGTKYRTDWDGQDVGLNLVSFDDSSMPAPICSCTGFARQCYKWGNGGWQSSCCTTHMSMYPLPHLENKRHARMGGRKMSGSVFTKLLSRLAAAGHDLSVPVDLKDHWARHGTNRYITIR >KGN43836 pep chromosome:ASM407v2:7:4373608:4410770:1 gene:Csa_7G070760 transcript:KGN43836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSGQSLRSSSAATSGGNFDSLNRILSDLCTRGHPKEGAPSALKKHIEEAARDLNGEAFSRFMDQLYDRISTLLESNDVAENLGALRAIDELIDVALGENASKVSKFSNYIRSVFELKRDPEILVLASRVLGHLARAGGAMTADEVEHQVKIALDWLRGERIEYRRFAAVLILKEMAENASTVFNVHVPEFVDAIWVALRDPQLAVRERAVEALRACLRVIEKRETRWRVQWYYRMFEATQDGLGKNAPVHSIHGSLLAVGELLRNTGEFMMSRYREVADIVLRYLEHRDRLVRLSITSLLPRIAHFLRDRFVTNYLTICMNHILTVLRTPAERASGFIALGEMAGALDGELKYYLPTITNHLRDAIAPRRGRPSLEALACVGSIAKAMGPAMESHVRGLLDVMFSAGLSHTLVESLEQITTSIPILLSSIQERLLDSISMVLSKSHSPQGRAAAVVGRANVMTVPQPVSDLCGSSLVQLALQTLARFNFKGHDLLEFARESVVVYLDDEDGATRKDAALCCCRLVSNSFSVMACTQFGTSRSSRAGGRRRRLVEELVEKLLIAAVADADVAVRNSIFVSLHGNRGFDDFIAQADSLSAVFAALNDEDFDVREYAISVAGRLSEKNPAYVLPALRRHLIQLLTYLDQSADNKCREESAKLLGCLIRNCERLILPYIAPVHKALVARLSEGTGVNANNGIITGVLVTVGDLARVGGFAMRQYLPELMPLIVEALLDGAAVAKREVAVSTLGQVVQSTGYVITPYNEYPLLLGLLLKLLNGELAWSTRREVLKVLGIMGALDPHVHKRNQLSLPGSHGEVTRAASDSGQHIQSVDELPMELWPSFATSEDYYSTVAISSLLRILRDPSLASYHLKVVGSLMFIFKSMGLGSVPYLPKVLPDLFHTVSTCDDTLKDFITWKLGTLVSIVRQHIRKYLPELLSLISELWSSFNFPSTSRPPLGYPVLHLVEQLCLALNDEFRMILHIILPCCIQVLSDAERCNDYTYVLDILHTLEVFGGTLDEHMHLLLPALIRLFKVDAPADIRRAAIRTLTRLIPRVQVTGHISSLVHHLKLVLDGRNDELQKDAVDALCCLAQALGEDFTVFIPSIHKLLLKHRLRHKEFEEIEGRLRRREPLILGSTTAQRLSRRVPVEVISDPLNDVDIDPYEDKSDVHKQFRGHQVNDGRLRTAGEASQRSTKEDWAEWMRHFSIELLKESPSPALRTCARLAQLQPFVGRELFAAGFVSCWAQLNETSQKQLVRSLEMAFSSPNIPPEILATLLNLAEFMEHDEKPLPIDIRLLGALAEKCRAFAKALHYKEMEFEGARSKKMDANPVSVVEALIHINNQLHQHEAAVGILTYAQLHLGVQLKESWYEKLQRWEDALKAYTAKASQASNPHLVLDAMLGRMRCLAALARWEELNNLCKEYWTPAEPAARLEMAPMAASAAWNMGEWDQMAEYVSRLDDGDETKLRSLGNTAASGDGSSSGTFYRAVLLVRKGKYDEAREFVDRARKCLATELAALVLESYERAYSNMVRVQQLSELEEVIDYCTLPVGNPVAEGRRALIRNMWTERIQGAKRNVEVWQAVLAVRALVLPPTEDIETWLKFASLCRKSGRVSQARSTLVKLLQYDPETSENGWYSGPPQVMLAYLKYQWSLGEDIKRKEAFARLQVLSRELSSSPIIQPAKHISLSSGRSSTVPLLARVCLELGTWQWALSPGLDDDSIQEILTAFRNATQCANTWAKAWHMWALFNTAVMSHYTMRGFPDVAAQFVVAAVTGYFHSIACAANSKGVDDSLQDILRLLTLWFNHGATADVQMALQKGFAHVNINTWLVVLPQIIARIHSNNHAVRELIQSLLVRIGQSHPQALMYPLLVACKSISNLRRAAAQEVVDKVRQHSGVLVDQAQLVSKELIRVAILWHETWHEALEEASRLYFGEHNIEGMLKVLEPLHEMLEDGAMKNNTTIKERAFIEAYRRELLEAYECCMKYKKTGKDAELTQAWDLYYHVFRRIDKQLQSLTTLDLQSVSPELLECRNLELAVPGTYRAESPVVTIASFATQLVVITSKQRPRKLTIHGSDGEDYAFLLKGHEDLRQDERVMQLFGLVNTLLDNSRKTAEKDLSIQRYDVIPLSPNSGLIGWVPHCDTLHHLIREYRDARKITLNQEHKYMLSFAPDYDHLPLIAKVEVFEYALFNTEGNDLARVLWLKSRTSEVWLERRTNYTRSLAVMSMVGYLLGLGDRHPSNLMLHRYTGKILHIDFGDCFEASMNREKFPEKVPFRLTRMLVKAMEVSGIEGNFRSTCENVMQVLRSNKDSVMAMMEAFVHDPLINWRLFNFNEVPQMSMFSSTHAPAVVNAEDSAQSRELLQPQRGARERELLQAVNQLGDANEVLNERAVVVMARMSNKLTGRDFPTCSSMSTASAQHAVDHSTLISGDSREVDHGLSVKLQVEKLIGQAMSHENLCQNYVGWCPFW >KGN45084 pep chromosome:ASM407v2:7:16171329:16173657:-1 gene:Csa_7G420770 transcript:KGN45084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGNLSSSSSSSSFSPPISIGHFGLRSLLDVQILEITFALFVFLVIHVVRQKRRHGLPIWPIVGMLPALITATRGNLYEWITDLLCRHKGTFQFRGPWLTSLNCVVTADPRNLEHLLKTKFSSYPKGSFFRDTVRDLLGDGIFGADDETWQRQRKVASIEFHSARFRQMTVESLVELVHSRLEAVLEDFEGQATTVDLQDVLLRLTFDNVCMIAFGVDPGCLRSGLPEIPFAKAFEDATEVTVIRFITPTFIWKFMRYLNIGIEKKLKQSIKAVDEFAEDVIQTRKRELSTPAESQAENQRSDLLTVFMKLRDEQGRPFSDKFLRDICVNFILAGRDTSSVALSWFFLLLDQNPDVEDKILEEICRIVGEREEAKTRELRFDSLVFKPEEIKRMEYLHAAISEALRLYPSVPFDHKEVVEDDVFPDGTVLKKGTKIIYAIYAMGRMEAIWGKDCREFKPERWMREGRFMSESAYRFTAFNGGPRLCLGKDFAYYQMKYVAASIISRYRVAVVRGHPVEPKLALTFYMKKGLKVNLIKREEGQLRKYIKLN >KGN44501 pep chromosome:ASM407v2:7:11074988:11077972:-1 gene:Csa_7G320000 transcript:KGN44501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLNAFPCSSGLLSSFFNPPNVATISTNSIPNCVSNQQLVKNLNPHSEFLQICLQHCWRIQAHNLFDEKPKPVLQALSTAKVIHSKSLKIGVGLKGLLGNVIVDLYVKCGNVDFAQKAFSRLEKKDVFAWNSVLSMYLDHGLFATVVQSFVCMWNHEVRPNEFTFAMVLSACSGLQDVNFGRQVHCGVFKTGFGFRSFCQGGLIDMYAKCRYLRDARLVFDGALNLDTVSWTALIAGYVRDGFPMEAVKVFDRMQRVGHAPDQITLVTVVNAYVALGRLADARKLFTQIPNPNVVAWNVMISGHAKRGFAEEAISFFLELKKTGLKATRSSLGSVLSAIASLSMLNYGSMVHAQATKEGLDDNVYVGSALVNMYAKCSKMDAAKQVFNSLGERNIVLWNAMLGGFAQNGLAQEVMEFFSCMKRHGPQPDEFTFTSIFSACASLHYLNFGGQLHTVMIKNKFASNLFVANALVDMYAKSGALKEARKQFELMKIHDNVSWNAIIVGYVQEEYNDEAFFMFRRMVSNGVLPDEVSLASIVSACANVQELKRGQQCHCLLVKVGLDTSTCAGSSLIDMYVKCGVVLAARDVFYSMPSRNVVSVNALIAGYTMGHLEEAIHLFQEIQMVGLKPTEVTFAGLLDGCDGAFMLNLGRQIHGQVMKWGFLSSSEMVCVSLLCLYMNSQRFVDSETLFSELQYPKGLVVWTALISGYAQQNHHEKALQFYQHMRSDNILPDQAAFASVLRACAGMSSLQNGQEIHSLIFHTGFNMDEVTCSSLIDMYAKCGDVKGSLQVFREMPRRNNVISWNSMIVGLAKNGYAEEALEIFKQMEQQSIIPDEVTFLGVLSACSHAGRVSEGRKVFDLMVNNYKLLPRVDHLGCMVDILGRWGFLNEAEEFINKLGCKADPMLWSTLLGACRKHGDEVRGKRAADKLMELKPQSSSSYVLLSSIYAESENWSGAVSLRREMKLKGVKKLPGYSWIEPGRDSSCTIQEPANA >KGN43260 pep chromosome:ASM407v2:7:808652:812409:-1 gene:Csa_7G014460 transcript:KGN43260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERRKVRPDCIYASNPFHECTDYCIKKTAESKAGKDKKSKGSFRLDISKSFGRKKGSRPKPPKDVDGGRYSSTVPSEPLSLNSRISPKKKVESINGGHISPAKRFYSEEIHPEDPSLNVEQHDTPRIPSYDSLMMPEYSVDSPKKGSIRMLEQMSNNKNGGGNHETFFDNRTPNGNNGKERFRKQSSESSFSLSGFEQTLVDSDEEEIESVNSEQCVPVGKYHVKSSFSSILTSIFEKYGDIAATCKLESVSMRSYYLECVCYVIQELQSTEFHQLTKSKVRELLAIFKDVESSEINITWLKSRINEIAQAVELRSQHRAIDAAKTDCEQNLESIKKELDSQMADLALKEKELSDAKTKVAETEARLSELELKSSQLKEMISSIDSKVENFRCKSFSDDLL >KGN45207 pep chromosome:ASM407v2:7:16935486:16951116:-1 gene:Csa_7G431390 transcript:KGN45207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPHTQEEYFDVLTKTGEKTGVSKPRGDVHRDGDYHRAVHVCIFAESTQELLLQQRADCKDSWPGLWDISSAGHISAGDSSLATARKELREELGIILPKDAFELIFVFLQETITNGGQFIDNEFADVYLVTTLNPIPFEAFTLQESEVSAVKYVHYLEFKSLLASKDPEYFPYDVNAQYGQLFDIIAKRYKVDNVARSLALQKQLQRYASISLNVELAGLTDADKGALDLIINAAATIDEIFYLQIWYSNPCLRDWLEKHATTSHLDKLKRAYYLINKTPWSSLDENEAYLTTADSAIKLLPEATRPVSGWKGLEYKAAFPLQKPPGANFYPPDMDKMEFKQWKDSLTEDQQNIVSGFFSVIRRYSDSSSDLSICSSLDSTNRLEGPTNDLYNVPFSQEYSSFLSKAAELLHKAGDITSSPSLKRFLHSRADAFLSNDYYESDIAWMELDSKLDVTIGPYETYEDTLFGYKATFEAFIGIRDDKGTAQVKLFGDNLQVLEQNLPMDNAYKSKDVSAAPIRVVQLLYNAGVKGTQSVAFNLPNDERIVKERGTSMVMLKNVSEAKFNLILKPIADVCIANEQREFVDFDSFFTFAICHECCHGIGPHTITLPNGKKSTVRLELQELHSALEEAKADIVGLWALRFLALQGLLPGASLKSVYATFLAGCFRSVRFGLSKAHGKGQALQFNWLYEKEAFILNPDETFSVNFDKVEDAVESLSREVLTIQARGDKDSAKLFLKKYGVISEPLKLALHNLERIQVPVDLAAEFPIAKEILGKKEVGI >KGN44052 pep chromosome:ASM407v2:7:6147054:6149352:1 gene:Csa_7G145950 transcript:KGN44052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMALHTIASFLFFLTLTVLMLFNNLAAFATPLTFNVLDFGTKPNNAETDSSKALQLAWMHACSSSKPATIYVPKAKFYVRSATFNGPCKNNDITIRIDGTLVAPSDFRLTSNSENWILFHNVNGVTVIGGIIDGQGTQLWACKNSANTCPSGTTSLEFTNSQNILVNGLTSLNSQIFHIVVNQCRNVKMQGLKVFAASNSPNTDGIHVGDSSHVTILNSNIRTGDDCISIGPGSSNLWIENVVCGPGHGISIGSLGKELKEKGVQNVTVKSCKFMDTQNGVRIKSWGRQSSGFAKDIRFQHLTMTNVQNPIIIDQNYCPHNQGCPGQSSGVTVSDVKYKNIHGTSATPVAIKFDCSPKFPCKGIKLEDVKLSYKNQVAKASCSNAQGFDVGLVQPMGCF >KGN43179 pep chromosome:ASM407v2:7:335214:341699:1 gene:Csa_7G006290 transcript:KGN43179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLPPAISFSWSRTVSHSLRIPQHLAICGNRFRVFCAIDATKMKNQLTVSITGATGFIGRRLVQRLHADKHNIRVLTRSKSKAELIFPAREFPGIMIAEEPGWKNCIQGSDGVVNLAGMPISTRWSSEIKKEIKQSRIRVTSKVVSLINDAPDAARPTVLVSATAVGYYGTSETATFDERSPSGNDYLAQVCREWEATALGVNKNVRVALIRIGVVLGKEGGALAKMIPLFMMFAGGPLGSGKQWFSWIHLDDIVNLIYEALINPSYQGVINGTAPNPVTLGELCKGLGAEMGRPSWLPVPDFALKAVLGEGASVVLEGQKVVPTRAKELGFSYKYPSVKDALKSILS >KGN43479 pep chromosome:ASM407v2:7:2134281:2135725:1 gene:Csa_7G039270 transcript:KGN43479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTNKYTSINFNHIYDKNLSSNSKTGNNPSSNKNPSSSSSSSFATATYSSISSPNKSHGRMLVLTRPTPRPITSPQPLSPQPQSRPSSADHRPVPDHPRPQSDSDSISLRPLGRTGTGAIAPSPIPVLEKDREITPPVVTLHKPEKFVPPHLRAGFVGKEERPVNVGIRSREGNQRQYGNYGSSGRYGEDGRPKSGGGYERMKEVGEADLGAMVNRPRSSGNRPSSSGWYVSVKYLLFLNSMSWLINWDCGA >KGN44258 pep chromosome:ASM407v2:7:8391030:8391378:-1 gene:Csa_7G236260 transcript:KGN44258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIFFSNLNDDHKAKINPFNSNHSRLSPDLDLNKQDSTAAMISSHAQLWINQSCDDDRFHWLDRHGSTVNQTVDVSG >KGN43952 pep chromosome:ASM407v2:7:5121509:5122035:1 gene:Csa_7G074850 transcript:KGN43952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPIDQLQPPPPLHSSHASVGPLIAVLAVISILGVIAGMIGRLCSGRPVFGYGAHYDLEDWVEKKCASCLDGSLDPPPPPPHLRHPPPLDSVPVAEPLGGPPPEIKQSAHADADAKGENLQSAAPGTGGES >KGN44588 pep chromosome:ASM407v2:7:12182243:12186114:1 gene:Csa_7G338620 transcript:KGN44588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFPCFSSHGKATKRAKSGRREQSVSLHSRSGKHQQQHQPPPQQHHHPAPAPAPPPRPTPPENPKANPVQKEAVEKEKEKEKERENEIGEKNNIAAQTFTFRELATATKNFRQECLIGEGGFGRVYKGRLDKTNQVVAVKQLDRNGLQGNREFLVEVLMLSLLHHQNLVNLIGYCADGDQRLLVYEYMPLGSLEDHLLDLPFERKALDWGTRMKVGLGAAQGLEYLHDKANPPVIYRDLKASNILLDNDFNAKLSDFGLAKLGPVGDKSHVSSRVMGTYGYCAPEYQRTGQLTPKSDVYSFGVVLLELITGKRVIDNTRPAKQQNLVAWAYPIFKEPARFKELADPLLGGDFPVRGLNQAVAVAAMCLHEEATARPLISDVVTALSFLGAETTHSSPSSSSGDVPSPVDDQDLINLSNKPVDYFHDDETDEARQRAVAEAIEWGATSKQNAAASRPGSYSSL >KGN45501 pep chromosome:ASM407v2:7:18601074:18602566:-1 gene:Csa_7G450590 transcript:KGN45501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMAVVRCCSNSSHQWRRSNTKRPLIPQIWKLGENVIPFVERMSWDSISIAGHYLWQNGEFRRVGFRKNCTNLGYL >KGN45581 pep chromosome:ASM407v2:7:19058912:19064847:-1 gene:Csa_7G452360 transcript:KGN45581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYRPYPPQSSFGSAPAQNSIPPPSAQSASVSSQQRGGATTQYNQNWGTYAGDASAPPAPSSSYPQNYNNQLHQTSNYHHQQYGPPRTQHPPPPPPPPHQSYPYAPQPPPPPPPDSSYPPPPPPPATSQPPNLYYPSSQYSQGNQNQQSMQPPPPPSSPPPSSSIPPPPPPNSPPPPSASQQKAEGTNMGAHERDKGVPKDPSYGRRDRENSNHDKHQKHSGPPMPPKKANGPSGRMETDDEKRLRKKREFEKQRQDERHRHHLKESQNTILQKTQMLSTGKVHGSIVGSRMGERKATPFLSGERIENRLKKPTTFLCKLKFRNELPDTSAQPKLMSLRKEKDHYTRYTITSLEKTYKPQLYVEPDLGIPLDLLDLSVYNPSSVRMPLAPEDEELLRDDVLKTPVKKDGGIKRKERPTDKGVAWLVKTQYISPLSIESAKQSLTEKQAKELREMKGGRNILENLNNRERQIKEIEASFEACKSRPIHATNKNLYPVEVLPLLPDFDRYDDPFVVVAFDSAPTADSETFNKLDQSIRDAHESQAIMKSYMATSSDPSKPEKFLAYMVPSPDELSKDIYDEQEDVSYSWVREYHWDVRGDNVDDPTTYLVSFDDAEARYVPLPTKLVLRKKRAKEGRSSDEVEHFPAPARVTVRRRPTVATLEVKDPGIYSNSKRGSDIEDGIGRSHKHDRHQDMDQFSGAEDEMSD >KGN43275 pep chromosome:ASM407v2:7:927177:936119:1 gene:Csa_7G016600 transcript:KGN43275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKLFTSGVRHLFVTVFLSTAAAIMVLPAITDVTMAALCPGRDECSLAIYLTGSQQALSGFGAVVITPLLGNLSDKYGRKALLTLPTAISIIPLAILAYSRERRFFYAYYATRTLTAMVSEGTAASLALAYLADNTSLANRASAFGLFTGVCSAAFVCGTLASRFLATDYIFPIAAVFSMVATVYMRIFLKDRLPGRSDLVQPMLKEEVPELTDREDDGGELPRPTQPFRKMPTLHDVITLFKSSTLLSKAAVVVFFTGLGEGGIQASILYYFKARFHFDKDQFADLMLLNGVAGTVSQLVLMPLLVPVLSEDKLLSLGLLVGSIGTVINSIAWAIWVPYAVTIFFIFSVFVSPCLRSIVSKQVSQYEQGKIQGCLSGLSSLAQIAAPIIFSPLTALFLSDHPPFYFPGFSLLCIAITSVIALILSLMMMASPSSLSQQPSISGSIEA >KGN44507 pep chromosome:ASM407v2:7:11108837:11109288:-1 gene:Csa_7G321550 transcript:KGN44507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSSTKGQVIKCKAAVAWEAGKPLVIEEVEVAPPQANEVRVKILFTALCHTDVYFWEAKGQTPMFPRIFGHEAGG >KGN45042 pep chromosome:ASM407v2:7:15929389:15929750:-1 gene:Csa_7G414400 transcript:KGN45042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLLMLLKALMGGYGDDEDLEGNGGEFERKVDGLSEFEFLSLGGGGDEDGEELKKIWKLLGENCLWP >KGN44088 pep chromosome:ASM407v2:7:6608192:6609123:-1 gene:Csa_7G181630 transcript:KGN44088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAEHFMATDIEWDPTGRYVATAVTSVHEMENGFNIWSFNGKLLYRILKDHFFQFAWRPRPPSFLSPEQEEEIAKNLKKYTKKYEAEDQDVSMLLSEQDREKRKMLKDDWDKWLNEWRRLHEEEKLLREKLRDGEASDEEEEYEAKEVEVEEILDVSEEVLSFDFEQ >KGN43439 pep chromosome:ASM407v2:7:1924657:1924990:-1 gene:Csa_7G036440 transcript:KGN43439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQKFLLMEIPATEEVAHVVILTPLMVFIIVQLFTMTPSKDFKIEIPIDRPICIPSVLGLSSVQLC >KGN45164 pep chromosome:ASM407v2:7:16627022:16633111:1 gene:Csa_7G429500 transcript:KGN45164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYEPYDSSGTDDDLPPSHQNRIARGGGRVSGNGRSVMGSVPYPRMYAETDMEAQIHQLEKEAYSSVLRAFKAQADAITWEKESLITELRKELRLSNEEHRELLGRVNADDMIRSIREWRQAGGHQPGKLSTSQAIHDPIPSPTVSASRKKQKLTHLVPPQSFTGPSPSFHQQNVPPPHQPSSSVAKRGAIPPTKGKKQKSILPGASSAKQYQTSVPSGRNQVGNRVSSGALEPAEGATLNPLIGRKVRTRWPDDNNFYEAVITDYNAAEGRHALVYDIGSANETWEWVNLSEISPEDIQWVDEDPGIPHRGGYGGSGHGMNRSVGRDGSGAGRGRGVPKSQSRKDFLPSQNGIGKKTSDDIRILHTETLIREVERVFGSNHPDPVEIERAKKVLNDHEQSLIDAINKLGDISEVGSDEGGHRFSHGQSMDRE >KGN44060 pep chromosome:ASM407v2:7:6231468:6234461:1 gene:Csa_7G155000 transcript:KGN44060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCPHKFRNSISGPGGVNKPNIRTETRDRSTMETIEDEKLQRCPRHNWAAVHESPVVTPSDGEERRLRTLNAKRSNSAEYPGYSRIAVYVPLFTACSDGGLSASEAFLSFIKAIDPQDMLGIGTNETTQHLHLSKLKGVKYSPQGAVVEIRFEKSNLSGRIDADSICKLSSLRVLNLAKNNIQGNIPNSIVCCTRLIHLNLSNNNLSGELPFVLTKLKHLRRIDIYNNHFTTTSPQFKELMHRKSLRSWVARRDIINPSVKAVTPVPSSSQSSKSDSGGGAHWLGSKKLMLLIIIIVGSATFLILSLLVCKRTSKLALKKEIFDKALQKSPIAALSAMSSEVDKPDESLQGQQELMFFNEEDEQFKVEDLLEATADLQSLDICTSLFKVRLKSQYYAVKTLRKMQINFDEFRKTMMLIGNLRHPNILPLVGYYSAKDEKLLIYKYQRRGSLHEMLESCIEGKQKFPWRIRLSIACGIAKGVGFIYQRSNTHGSIPHGNLKLSNILLNENNEPKISEYGITKFLDAKRVHLLSSKGYTAPEKKLSEKADVYSFGIILLELLTGKMVAKDGINLPKWVRAKVREEWTCEVFDEEVARNAEKWAFSILLIALDCVSHYPEGRPTMVEALEKIEEVVKVVEDHEQRISPLSSDFGSPESYR >KGN43564 pep chromosome:ASM407v2:7:2687218:2687491:1 gene:Csa_7G045530 transcript:KGN43564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVCFLNLLGSLLHVCIGLVSLVVKKTPFTLAAATSSKSSRTFKWVWDNGVISLGVLRKSRSSHIWRLCRVLEGLSLDYG >KGN43847 pep chromosome:ASM407v2:7:4495849:4501322:-1 gene:Csa_7G071360 transcript:KGN43847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMAMITNVALILCAVLLASGFGTNALTYDYSANIECLVNPESAQYMGGIIENPEMKDGLKGWFPFGSAKIEHREESNGNVFIVAHSRNHSYDTFSQTLHLHSNIIYTFSAWVQVNEGKADVAAVIKTRRGYEHVAVTVAQSNCWSFFKGGLTVTEPGPVELYFESNNTKVEIWVDSVSLQPFTQEQWRAHQDQAIEKYRKRRVKIQTLNKEGNPLPNATISLGQWRPGFPVGCAINRNILNNSPYQNWFLSRFTTTTFENEMKWYSNEQTPGRVDYSVSDAMIYFAKQHNIAVRGHNVIWDDPEFLQGWVKSLSNTALYRAARRRLNSVMSKYRGQVIAWDVENENLHFNFFESKLGWPASGLFYNWAMKADQSIPLFLNEFNTIESSGDAASSPARYLQKLDTIRKFPGNRGGRFAIGLESHFGPSPNIAYMRSAIDTLGSAGVPIWLTEVDVSNSANQAYNLEQVLREGFSHPKVNGIVIWSAWAPWGCYRMCLTDNNFRNLPTGDVVDKLLKEWGIKGSITATTDSNGFFEASLFHGEYEMKISHPSVAKSSIDAQKFSVLPASEGESEQQSPLLIQVEF >KGN43848 pep chromosome:ASM407v2:7:4505840:4512574:1 gene:Csa_7G071370 transcript:KGN43848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKETAGDADRPWQSYHTVYTNAKAGMEGVDKERVQRIVYEMSKGSKYFENEERKEATIREKIENMRARCAQLTATDLSQYQKVADKRILELESTRDLSRIWLHVDMDAFYAAVETLSNPLLKGKPMAVGSMSMLSTANYEARKYGVRAAMPGFIARRLCPELILVPTNFDKYNYYSDLTRKVFHRYDPNFLAASLDEAYLDITEVCKERGLTSEEIAKDLRTSIFEETGLTCSAGVAANRLLAKVCSDINKPNGQFILPNDRRAIVTFISSLPIRKIGGIGKVTEHILKDALGINTCEEMLQKGGHLCALFSQSSADFFLSVGLGLGSTDTPQVRFRKSISNERTFAATTDERLIFQKLADLAEMLSMDMEKEGLTGRTLTLKLKTASFEVRTRAVTLQKYICSSSDILQQASRLLKAELPVSLRLIGLRISQFNEDGATAGLDPTQISITRFITSGDATRKSTGDCCSLNTDSNNHGFMDDTEIDTCIDGYGTDSETHHQVSNQRIDNKFSNMDDSNCTSTNDAEKSEEPENLLRNASADQANISTAIGSTSDSSDALIRRVSSTACSSSRNLEGNPNNNVDGENQVEVRSPDNNGQIWWIDNYKCTICGAEVPASFVDERQEHIDFHIAEKLQEEESGIRSRTHMPRQRTADKNLLSSPRKPKRQKSTPEKEVKHIPIDLFFMKTNQKF >KGN44778 pep chromosome:ASM407v2:7:14153692:14156653:-1 gene:Csa_7G383640 transcript:KGN44778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDENSKKSKLSWPKTLVKKWFNLKNKAEDFVSDDIAYRGGGDEEWRNNCSKRETCTVKKSKTDRSNKRHQDRTRRGKIDLDATLVTDVQNYRIFVSTWNVAGKSPPNCLNLEDWLHASPPADIYVLGFQEIVPLNAGNVLGTEDNGPAKKWLALIRKTLNSLPGTSGSCHTPSPIPDPVVELEADFEGSTRQKASSFFHRRSFQSLSRSMRMENDMSVPQPRLDRRFSVCDRVMFGHQPGDHDPSYRWGSSDDENGDSPVASHYSPFSYNGFLPMEDRDHRHSGHSRYCLVASKQMVGIFLTVWARNDLRDDIRNMKVSCVGRGLMGYLGNKGSISISMSLHQTSFCFICTHLTSGQKEGDELRRNSDVMEILRKTRFPRVHGMGDENSPQTILEHDRIIWLGDLNYRIALSYRSAKALVEMQNWKALLENDQLRLEQRRGNVFEGWNEGKIYFPPTYKYSNNSDRYAGDVRHPKEKRRTPAWCDRILWYGRGLHQMSYVRGESRFSDHRPVYCIFLAEVEAINHSRIKKSMSYSSSRIEVEELLPCMYRHPEMNFF >KGN43634 pep chromosome:ASM407v2:7:3089887:3090726:-1 gene:Csa_7G049160 transcript:KGN43634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEEILPLFDLFWFQRAIFSRKQHLKTCFQSPVKQVLKMRSQSEYLLNSKDFPPPETALNSNQKLETILSGKVTEFGGNEEGQATKKKKKKLEGNEDKIRRKKKGKGLSKSLSDLEFEELKGFMDLGFVFSEEDKNDSNLGSIIPGLHRLGPKKTEEKRSENGVLRRPYLSEAWKAIEEENEKMILMKWRVPSLGATEMDIKHHLKFWAHTVASTVR >KGN44277 pep chromosome:ASM407v2:7:8618125:8627827:-1 gene:Csa_7G238410 transcript:KGN44277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKKRNEKHKVILPPDLPPELTEEEIEVSDEDLEFVKKNQDYAASVFRIDTKSITKHVKRVANVDEDALEVLYEKRLRKKPVEKQEEGNELQVDPVDALPVKTLDGKLYYRRSKLSDAPENGGNEETMEEDQVDNGVLKLTKAERRAKQKKIKKIAKKQEDVTQAEEVQPTSQAAILAEVVEDLTAEKTFESKKQKLAELGIGLLADPNSNIKSLKEMLQIAKDNDQAIVKLGLLSLLAVFKDIIPGYRIRLPTEKELEIKVSKDVKKMRYYESTLLTVYKGYLQKLMSLEKLPSFQHVVIRCICTLLDAVPHFNFRETLLVVVVKNISSPDDIVRKLCCGAIQSLFINEGKHGGEATVEAVRLIADHVKYHDCQLHPDSIQPFVHLVFDEDLRKAEKQDEHSKVKNKKHRKIKNREEPSQQGNDGRQSTRTKFTEEVVADYRAASLAPDVMKQREMQSDTLSAVFETYFRILRHTMQSLTSGPEASSAPSTTSASGSHPLLVPCLNGLGKFSHLIDMDFMGDLMNYLKRLASGGDHSSEKQSRCLTVSERLQCCIVAFKVMRKNLDALNVDLQDFFVQLYNIVLDYRPGRDQGGLLAEALKIMLCDDRQHDMQKAAAFIKRLATFSLCFGSAESLAALVTVRHLLLKNVKCRNLLENDAGGGSVSGSIAKYQPYATDPNLSGALASVLWELDLLWKHYHPAVSTMAAGISNMNSAQNQVYISIVSPQQAFKDLSLEQESFNPQFNARKINKRKRGSESSQSTLDTCGTIDENEVKEKLSTRFFLLRDIKDNERLRSELDRTTLSLQLYEEYKRQKRKTKKSRNV >KGN43801 pep chromosome:ASM407v2:7:4199434:4200237:-1 gene:Csa_7G067560 transcript:KGN43801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQIFGPCRYGSGSYIGMSLRITDPDLRHQPQSQIRTPPNSPTLVKQIDLNFKVEVKCQHYFQAGEGGNSTFATALLSEHISSHHPLPTLQLPVSIFKHGDKTLKRLLFREFQIYRAIINIELVVDEIIKHWVKKVDEENSTSQVFEEIYPLEITIELLVYQMIHAIDQPHEIMMDQPQVMMVPTSESAMESMLKKVENEEIVKFGDNKSINCVICLEEISKEKKGSEAVVLQMPCLHMFHEECIRKWLKRSHYCPTCRFSMPTNN >KGN44127 pep chromosome:ASM407v2:7:7161569:7161791:1 gene:Csa_7G199560 transcript:KGN44127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKALLLHGLRAFGLMGRKEQSSARSIINKEHEPMLNRSFLDSSLLLVCEIARLNAR >KGN43153 pep chromosome:ASM407v2:7:142600:142970:1 gene:Csa_7G004060 transcript:KGN43153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGTSVATIRLTDEHNPVVLTDEHGNPMWLSDVETKVVQQVVQEVAYGDGEQPLQPHEKDEDCGSSSHVRLCFGESNFLNYYLSFHFGYVRDQKSLL >KGN43969 pep chromosome:ASM407v2:7:5203999:5204992:1 gene:Csa_7G075020 transcript:KGN43969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHPNSSYLIHSFSIQTLFSPPPLPPSISHLITFNFLFHSGSIPSLFLPQNPLFPSQFSLNIDSSFSLPLLSGTIPMATASATLSTAMSSAAPISAGSRRQRQTNAHFISGLNSFSGLKAHNNVVSLGLPVCADQSFAKIVSSLKYPSKGKGKNGGGALSSTCNAAGEIFRIAAIINGLVLIGVAVGFVLLRIEASVEEAE >KGN44742 pep chromosome:ASM407v2:7:13740937:13744193:1 gene:Csa_7G375840 transcript:KGN44742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSRLFDFNSTMRRNASISLLPYFNRLNLRSLSSLISPNSISNLPSNSSPVSTPNLKPCTINNGLFFKFTRFQANPSRNLDTLVEKRPEISSRQRKLKDKSVLEESFESAETVDEMFKAFKEMESAFEEKDLGLASLKIALKLDREGEDPEQVLLYADRALKALDKDDNRNPSLPVAMTLQLIGSVNYSLKRFSDSLGYLNRANRILGQLEEKGYSAEDIRPVLHAVLLELANVKTAMGRREEALSNLRKCLEIKELMLEKDSRELGTANRDLAEAYVAILNFKDALPFCMKGLEIHKKELGNNSVEVAHDRRLLGVIYSGLEEYQKALEQNELSRKVLKNWGLNSDLLRAETDAANMQIALGRYDEAINTLKDVAQHTDKDSELRGVVFFSMGKVLCNQEKFTDAKRCLEIASGIFDKREGTSPVEAAEAYSEISMQYETMNEFDTAISLLKKSLALLEKLPQEQHSEGSVSARLGWLLLLTGKVQEAIPYLEGAAETLKESFGSNHFGVGYIYNNLGAAYLELERPQSAAQMFAVAKDIMDVSLGPHHADSIEACHNLSKAYGAMGSYALAIEFQEQVVDAWDSHGSSAEDELREARRTLEQLKRKARGTSKFEHSTKALPLPQSSTSSKTLQPDLPTRQQHQ >KGN44955 pep chromosome:ASM407v2:7:15403180:15404137:1 gene:Csa_7G398170 transcript:KGN44955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLHAVFFSLLVISIIVGSANGDIYDGGSYDSMTPKLAKDQERLLSTMIGIEGIILYKFGSSISPLQGGLARITCKTVDEYGYEAASYTFLSESSDENGYFLATLSPSEVEDKRELKECKAFLEVSPLENCQSPSDLNNGVSGALLHSYKFLVHNNMKLFSVGPFLFTCQT >KGN43408 pep chromosome:ASM407v2:7:1774407:1776728:1 gene:Csa_7G031690 transcript:KGN43408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNAEGRKNEEGPSGVKFQENHDQRMDCADDAAHNSCNTYVFSESRIQISPQSLASRQALISNPQIAAFRENVIHGTRTQVTISWNHGGNQVAIVGSWDNWQTRELLHNTGEKFVVIKTLPVGIYHYHFIVDGWLAYAPDLPWFHDDSGNAYNILDLQGHVPELPESMSDFETPPSPPSSYDNQYLNEDDFSRPPPELPPHLQGTVLNDPSSSVDGQPLPVTPQRTELNHLYLQSNVQDQFVALGSTLRIQEKHVTMFLFKPLSRSR >KGN45541 pep chromosome:ASM407v2:7:18865531:18869878:1 gene:Csa_7G451960 transcript:KGN45541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSEAKGIDSSVGGLVWVRRRNGSWWPGKILGLDELSESCLVSPRSGTPVKLLGREDASIDWYNLEKSKRVKAFRCGEYDECIEKAKASAANSCKKAVKYARREDAILHALELESALLGKDQLDFSYRTQNNVSDGDHGILVSESSPVSDSCEEGEEEEEEEKEDEEKEEEEEEEEEEVEEEEEAIMSDDVSNSEHACPKKSNSEDTCPKKSNSEVSSDSAPEISHSDIPLEETNHASSSKVLSEHRRRTPNDSEDDGTEGVKRMRGLEDLGMGSLANGKSHAGVQLEKVQQEDASHCDANTGNCVTNGNGNPPKIIHMYSSSLRRKRSPVATVQEFLKRKNRRRPLTKVLESTAMVSVPVFCDQLPNTCSSNLWGSSDGKISELDTESKRTNSLAVINSSDGNGTAVSCDDEAFLSASEVSRINSKAKENEVSSISEISENKTSDKLFDVTLVKEEKHPAGFSPTNPSSSSGRSTVGALGKQSSRSTPAASLENEGTKEPGSSISAATRNDNTKQKIERGTSRWQLKGKRKSRHLSNYRKQDSKNSLDVDDASDACLVGKVEYNNVGRSPSANDCNLLAKSKKFAESQVDGLSEWSKQVSYRKPNASELKTEMKQLLDDPLVPQKLLPYRQSRFAVHRYQMPEFYVRNHGANPLLYDVELEVKASYRPQHVPLVSLMSKLNGKAIVGHPLTVEIVEDGHCDSLLTRADSEPEGNEQCYVTGKHTAPSRTQAKQSKQSPSQPCFSPSRSPRMKKSGHLCKKIRKLSSLTGNRHQNQPKRMVQKSSDHVITCIPLKVVFSRINEAVSGLARPSHHALT >KGN44343 pep chromosome:ASM407v2:7:9431040:9431419:1 gene:Csa_7G267910 transcript:KGN44343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFVLSWLWVLLIYIYIILSCQLISIDQPCLLHLLGHMNLPSHHLGLESWAKLSRVELLILLADTYILEPRLTLSVCSYQSWSHHVGPVGRAYLSRLIFLLLFSL >KGN44433 pep chromosome:ASM407v2:7:10190138:10194424:-1 gene:Csa_7G290560 transcript:KGN44433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLKNAVPTRPYKERAQLHSRKNFGLFEKQKDYVEWAKAYHKEEATLDKLKQKAVFRHPDEFYFKMIRMRTVDGIHRPGRLVNKYTAQQLLLMKTQGAGYILHKMQIEERKIERLTATLHSLDNEPSNDFAEDRDEADEIQSPSPEGRLVALSEAVPNSIKRKTTASYKELEARRSRVWELEKLYRDIRLQQELRKMGRKRKLWEDELSNPTSNPVYKWRAERKR >KGN44645 pep chromosome:ASM407v2:7:12746556:12747130:-1 gene:Csa_7G356030 transcript:KGN44645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPYSHLHARLPHNIGELPLLRTDRRLPHNTEQRTANGFHTTNASTRQTASTRRTASTRQTTSTVNDFHDKRLPRRTSSARRTTSAFKGMSNEKLRVTLVCSRQ >KGN44934 pep chromosome:ASM407v2:7:15291067:15291748:-1 gene:Csa_7G396490 transcript:KGN44934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRKFELTSQAKDADKERQQQNREHSKTSSDADAVDSAYESTTIASFDAAASSPLPEGFSEHRLYVWGLENRFIAGEKENADTFFKFKESLSSGRSC >KGN44907 pep chromosome:ASM407v2:7:15134980:15135499:1 gene:Csa_7G395250 transcript:KGN44907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDENTGMPERSIEFKYDFTGGGSSGVGGSTGGSSEEAGNGVGGVVKEQDRLLPIANVGRIMKQILPPNAKISKEAKETMQECVSEFISFVTGEASDKCHKEKRKTVNGDDICCALATLGFDDYAEPLRRYLVRYRDMEGERAQQNKGCCNNSNNNNNNDG >KGN45585 pep chromosome:ASM407v2:7:19087973:19093232:-1 gene:Csa_7G452890 transcript:KGN45585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METPLKDSVLDFYIDDQGVTPWTLSVASGYNLLRDPHHNKGLAFTEKERDAHYLCGLLPPTVISQDLQVKRLLNNLREYQVPLQKYMAMMDLQESNEKLFYKLLIEHVEELLPVVYTPTVGEACQKYGSIFKRPQGLYISLKEKGKILEVLRNWPERNIQVIVVTDGERILGLGDLGCQGMGIPVGKLSLYSALGGVRPSACLPVTIDVGTNNERLLNDEFYIGLRQKRATGQEYTELVHEFMGAVKQNYGEKILIQFEDFANHNAFDLLEKYSNTHLVFNDDIQGTASVVLAGVVAALKLVGGTLADHTFLFLGAGEAGTGIAELIALEISKRTSTALEDARKKIWLVDSKGLIVSSRKESLQHFKKPWAHEHEPIKDLIDAVKDIKPTVLIGTSGVGRTFTKDVVEAMAAINEKPIILALSNPTSQSECTAEEAYTWTKGRAIFASGSPFNPVEYEGNVFVPGQANNAYIFPGFGLGLIMSGTIRVRNDMLLAASEALAAQVTQENFDKGLIYPPFTNIRKISANIAAKVAAKAYELGLATRLPQPEDLVKHAESCMYSPSYQNYR >KGN44238 pep chromosome:ASM407v2:7:8268176:8270157:1 gene:Csa_7G234110 transcript:KGN44238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVERARGESLSMSGSLQSLCWGSSAFGGIVSSYFSGSLVDSHGVRFVFGVTALLPLLISAVAVLVEEKRVCVPLNEPSLPSAGLGFLETSKQHILSLWTAVRQPNVYLPTLFIFLWQATPQSDSAMFFFTTNKLGFTPEFLGRVKLVTSIASLVGVALYNGFLKKVALRKIFLIMSIIGSALGMTQVFLITGLNREFGISDEWFSIGDSLIITVLGQASFMPVLVLAARICPEGMEATLFATLMSIFNGGSLVGGLVGAALTHLFGITKDRFDNLTTLVILCNLSSLLPLPLLGLLPEESSDLSSKESSDVEMKTI >KGN43254 pep chromosome:ASM407v2:7:773012:774259:1 gene:Csa_7G012920 transcript:KGN43254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVHAQFYPENLVFPFAANSPTDSHSQFSFQKPPLISQPEFFSVSSGGGDGADVASVLNFTKNPHRTAAATAGFSQCVSAHVEKQRQEIDHYIRLQNESLRIALREQGKQQIVALMKKIELKTAILLRQKEEEIAKAAKKTMELEIFLRKLETENQLWQRIAQENEAMAMSLNNTLDQMREKVTNSFDDAESCCDMNSADEQIPARNRGTECCSVSEQGQMKNKKMICRSCNFRNSSMIFLPCRHLCCCKDCETVLDSCPVCQTGKKASIEALIF >KGN43233 pep chromosome:ASM407v2:7:636124:639757:1 gene:Csa_7G009770 transcript:KGN43233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIVFFLSAVLLFTGVANSSHFSIDEATIAEIQNAFSQNKLTSTQLLDYYLKKIHLLNPVLKSVLELNPDARAQAEAADRERLLAGGKARGELHGVPILLKDAIATKDLLNTTAGSFALLGSVVPRDATVVSRLRNAGAVILGKTSLTEWYKSRSFEIPNGWCARGGQAVNPYGRGGDPCGSSSGSAISVAANMVAVSLGTETDGSILCPADYNSVVGIKPTVGLTSRAGVIPVTPRQDTIGPICRTVSDAVYVLEAIVGFDPMDYEVTKEASQFIPSGGYKQFLRKNGLKGKRLGIVRHPFSDLYPNNSIAIPTFEQHLNLLRKMGATIVDNLQISNVDVILNSYESGEFIAIIAEFKVAINDYLKKLIRSPVRSLADIISFNNNHAELEKMKEYGQDAFLLSEQTNGIGVMEKEAISKMANLSRNGFEELMKGNNLDAMVTIGIGVESVLAIGGYPGISVPAGYEENGEPFGILFGGLKGSEPKLIEIAYAYEQATMVREPPPLLSQILSL >KGN43255 pep chromosome:ASM407v2:7:777064:779905:-1 gene:Csa_7G012930 transcript:KGN43255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLLFSSSSPSSLNINPNSSLLLPRFPRIFDSLKPNFPLPTRHGLRPLPEISSKYHNPSFSSPNSSTHSIFHSDSPPASPKPHSLKPFAPKDKVKSSLFVLSALALILIQPVFAPAAFASFQNAAKTGGPAAVAVGRRLIQSELLNSAWTGFFAGCLHTLSGPDHLAALAPLSIGCNRMESAAVGALWGCGHDAGQVIFGLLFLLLKDKLHIEILRTWGTIIVGVTLFIIGIMGIREASEIRTPFVVALDNGECDVGIYETLEKPMVVGNKKSKKLGFATFATGVVHGLQPDALMIVLPALALPSRVAGAAFLLMFLVGTVISMGSYTAFIGSFSEALKDRVPRITERLTWVASFVAIALGLAIIISQFLGYSLY >KGN44175 pep chromosome:ASM407v2:7:7613477:7614398:-1 gene:Csa_7G212655 transcript:KGN44175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYYSGAGSPHHFSDVFNKTNASAALAAGIFHRNEVPIQSVKEHLLKEGIEVRI >KGN44538 pep chromosome:ASM407v2:7:11490062:11492327:-1 gene:Csa_7G328260 transcript:KGN44538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEGEEVLKAQAHIWNHIFSFINSMALKCALLSAIEIHPNKSEHIHRLMRILAHSGFFLIHKKTTQQEENDDADQEVAYSLTNPSLLLLQHNPLTTSPFLLSVLNQSLLHPWHSLSTWFRSSGRDRTPFETTYGKKFWEYMESETRDREAFGEGMGSDARLVISVLLGKYKSMFEGVESFVDVGGGTGTLAKAMAEAFPQMKCVVFDLPQVVAGLEGNHNLTFRQGDMFQAIPSAEVLLLKWILHNWSDDECVQILKKCKEAIRSNNKLKEKVIIIDMVLPSSSSNNKADYISTQTQLLWDMLMMASVGGKERDEKEWAQLFHKAGFGSYKIFPILGLRSLIKLYP >KGN44102 pep chromosome:ASM407v2:7:6798689:6799467:1 gene:Csa_7G187690 transcript:KGN44102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTVGKLLTFAAPSDDTQPLAATSEEDVFEYIGCTVCKPMHTAFKEISKLNENPIMPIKITHEKLNESRNEVADVWSLLSSININVVSVCHEHETLEHKMDTLLIKQDTMAFTLLIKRGGM >KGN43670 pep chromosome:ASM407v2:7:3329430:3330818:-1 gene:Csa_7G056490 transcript:KGN43670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSELQNPSSELAVLSQSTEDKPPPRSRPPPKRFVKNQIPDSILNDPALNAAISLLPSNYNFEVHKCVWRILSSSASRVALQLPEGLLMYSLILSDILTTFGKASHCFVLGDVTFGACCVDDLSASALHADLLVHYGHSCLVPIDSTTIPCLYVFVDIAIDVPRLVNTVRLNVDCLDSTNLVLAGTIQFASAIRASKMELERHGIRVLIPQSRPLSAGEVLGCTAPKVSTAAFGNNGETVAIFVADGRFHLEAFMIANPGIRVFRYDPYIGKLLLEEYDHEGMKASRKGAILKAKEATNWGIVLGTLGRQGNPKILERLEEKMKSKGFDYTVVLMSEISPYRVALFEDSVDAWIQIACPRLSIDWGDAFAKPLLTPFEAEVALGLIPGWWERTSSAKLSCNDCRECGCNGDEINKAEAEGEYPMDYYAQDGGEWNSSYVKKKVSRPVRRDVSCISDKAISLH >KGN44953 pep chromosome:ASM407v2:7:15395534:15397067:-1 gene:Csa_7G398150 transcript:KGN44953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLLTIGQNIRKLVKDGFIIRKPTKIHSRSRARRMKEAKRKGRHSGYGKRRGTREARLPTKILWMRRMRVLRRLLRKYRESKKIDKHMYHDMYMKVKGNVFKNKRVLMESIHKSKAEKAREKTLSDQFEAKRAKNKASRERKFARREERLAQGPGEKQPPVTSAAQPAAASQSTQASKKSKK >KGN44263 pep chromosome:ASM407v2:7:8442763:8463783:-1 gene:Csa_7G236800 transcript:KGN44263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSGSKNEVGPPRSLSRRMTRTPTRMVELPEDNSGIDSELVPSSLASIAPILRVANEIEPENPRVAYLCRFHAFERAHKMDPTSSGRGVRQFKTYLLHRLEKEEYETEPILERHDVQEIQAFYQRFYKHNIEGGEYTKRPEEMAKIYQIATVLYEVLKTVVPPSKIDEKTEQYAKEVQRKKEQHEHYNILPLFALAVKPAIMELPEIEAAIEALQKVNNLPMPKIHSTSNPDENPSRPTERVKPVNDILDWLSSIFGFQKGNVANQREHLILLLANIDIRNKNPQVPPQLKSGTVQQLSDKIFKNYISWCNYLRCKPNLGFPHECDRQQLQLIYIGLHFLIWGEASNIRFMPECLCYIFHNMADVVYGILYSNVHPVSGESFQEAEARDEESFLREVVTPIYQVLLMEAKRNKGGKASHSTWRNYDDLNEYFWSDRCFNLGWPMNPKSDFFRHSDSIQPNPNQVAAGKRKPKTNFVEVRTFLHLYRSFDRMWIFFILAYQAMVIIAWSPGGSLLAVFDPDVFKSVLSIFITAAILNFLRATLDIILSWIAWRSLKFTQILRYLLKFIVAAAWVVVLPIAYLNTLQNPTGLVKFFSSWAADWQNQSFYNYAIAVYLIPNILSCLLFLLPPLRKKMERSNWRIITLLTWWAQPKLYIGRGMHEDMFSLLKYSLFWILLLISKLAFSYYVEIYPLVGPTKLIMSMHIDNYQWHEFFPHVSYNVGVIIAIWAPIVLVYFMDAQIWYAIFSTIFGGIHGAFSHLGEIRTLGMLRSRFEAIPSAFSERLVPSSDRDSKGKNLDESLVRKNITNFSHVWNEFILTMRQEDLISNRDRDLLLVPYSSNDVSVVQWPPFLLASKIPIALDMAKDFKGKEDADLFRKIKSDDYMYSAVIECYETLRDIVTALLKDEEDKRIVREICHEVELSIHQQKFLSNFRMSGLPSLSEKLEKFLKLLVRDGENEVGGSQIINVLQDIFEIITQDVMANGSQILGADEDANDNSDIKKGQRFENINIELTQTKTWIEKVVRLSLLLTVKESAINVPQNLDARRRITFFANSLFMTMPKAPKVRDMLSFSVLTPYYKEDVLYSDEELKKENEDGISILFYLQKIYPDEWNNFYERVLDQKLGYSDKDKMELIRHWVSYRGQTLSRTVRGMMYYRDALQLQFFLECAGENIGSYRNMDLNEKDKKAFFDRAQALVDLKFTYVVSCQVYGAQKKSDDERDRKCYINILNLMLKYPSLRVAYIDEREETVNGRPQKFYYSVLVKGGDKLDEEIYRIKLPGPPTVIGEGKPENQNHAIIFTRGQALQTIDMNQDNYFEEAFKMRNVLEELQKNRHADRKPTILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRILANPLRVRFHYGHPDIFDRIFHITRGGISKASRVINLSEDIFAGYNSTLRGGFVTHHEYIQVGKGRDVGMNQISLFEAKVANGNGEQTLCRDVYRLGRRFDFYRMLSFYFTTVGFYFSSMVTVLTVYLFLYGRLYMVMSGVEREILDSPSVRQTKALEEALATQSVFQLGLLLVLPMVMEIGLEKGFRTALGDFVIMQLQLASVFFTFQLGTKAHFYGRTILHGGSKYRSTGRGFVVFHAKFADNYRQYSRSHFVKGLELFILLLVYQIYGSSYRSSKLYLFITFSMWFLVASWLFAPFVFNPSGFDWQKTVDDWTDWKRWMGNRGGIGISHDKSWESWWDGEQEHLKSTTIRGRVLEIIFSLRFLLYQYGIVYHLDISHNIKSFWVYGLSWVVMLIALVVLKLVSMGRRKFGTDFQLMFRILKALLFLGFMSVMTVLFVVWGLTVSDLFAAILAFLPTGWAILLIGQACRPMMKGIGFWESIKELARGYEYIMGLVIFMPIAILSWFPFVSEFQTRLLFNQAFSRGLQISMILSGRKETPSTTMPSTTPST >KGN44359 pep chromosome:ASM407v2:7:9542607:9543053:-1 gene:Csa_7G269050 transcript:KGN44359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVSKKNMAVFIGILLFSINFVEIASAARPIKRRSYGDNTKVVCGPPRRSIDFELDDDAQKYASWYNTVYPCKSLRKAKHSINGDKVGNTFDVNTSQNVKGDKDPHIVDNTSQEIKGDKDHHIVDTISQEIKGDKDHHLIILPQPSMV >KGN43676 pep chromosome:ASM407v2:7:3362510:3370189:-1 gene:Csa_7G057530 transcript:KGN43676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPPVINQGPSISVPQSSNQPQPRQQILQQNIPNNIVPQSSSSLSSAVPPVAGLASSSMSNMVGQNPNIQNVSGVPQNSGGNAMGQGVPSNQSVMQPSMLQSSLSNLQQNQQSPIQQSTQSMLQQPQQPILRQQPQSQQHAVIHQQPTMSQQTNLPSQQQQQLINQQTNSSNMQQSPLIGQQTSVGDMQQQLPQQSRSHGQQSNLSNMQSPPSQQHMAQQNNLSSLQQQQLGPQSNVSGLQQMHGTQSGNSNMQSNQHSMHLLQQNKVQMQQQPPQNTSNLLSTQGQQGQLQSSQQMMSQISLQSTQVQQQVPLQQPQQQQANAMSHELQQRIPVGGQAPGSLLQSQNVMDQQKQLYHSQRALPETSSTSLDSTAQTGQANGGDWQEEIYQKIKGMRELYFYELKEMYQKILPKVHQYDSLPQQPKLEQLNKLKTFKGILERLLTFLQLPKNNIAIELKDKIGQYEKQIVSFLNSNKPSRTRAPPTLQPGQHPTIQPIQQSQSQMTPLQSPENQINPQLHSANMQGSVAPVQQNNMSSMQHNSLQTFSGSAAQQNMTIPMQPGSSLESGQGNSLSSLQQVAAGSLQQNPANSSQRSNNGSLPSQNVVNTLQPNMNSLQSNPNMLQHQHLKQQDPQQLLQSQQLKQIQQRQLKQQLQHQQQQQQQPATATATATTVTSATVTATSTRKATVTHTNTGTRNVTP >KGN44420 pep chromosome:ASM407v2:7:10046342:10048061:1 gene:Csa_7G290440 transcript:KGN44420 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-binding cassette transporter MERGGQIIYSGPLGQQSCKLIEYLEAIPGIPKIEDGQNPATWMLEVTAPPMEAQLDINFAEIFAKFPPYRRNQELIMQLSTPTQGSEDLHFSNEYSRSYLSQCKSCFWKQCHSYRRNTQYNAIRFLVTIFVSFLFGLVFWNTGQNFAKEQDVLNIMGVIYATALFLGIFNSATVICVVDTERVVFYRERVAGMYTTLSYAFAKCGKVAIETIYISVQALTYCLPLYSMLGFEWKVGKFLLFYYFYLMCFIYFTLYGMMAVALTPNHHIAFIFVFFFFALWNLFTGLFIPQPIIPIWWRWCYWASPVAWTMYGLVASLVGDRDVDIEIPGFGNIGLQMLLKERFGYHHDFIPVVVAAHGFWVLIFFVVFVCGIKFLNFKKK >KGN44360 pep chromosome:ASM407v2:7:9548993:9549439:-1 gene:Csa_7G270050 transcript:KGN44360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVSKKNMAVFIGILLFSINFVEIASAARPIKRRSYGDNTKVVCGPPRRSIDFELDDDAQKYASWYNTVYPCKSLRKAKHSINRDKVGNTFDVNTSQNVKGDKDPHIVDNTSQEIKGDKDHHIVDTISQEIKGDKDHHLIILPQPSIV >KGN45268 pep chromosome:ASM407v2:7:17258163:17259544:-1 gene:Csa_7G432500 transcript:KGN45268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRVGFVLGFIAVVFVHHAAAQKVHVVGETTGWTIPSTETFYSEWADKNTFAVGDSLSFKFLTGAHDVLQVPKESFEACNSDKAIGSALTTGPATVKLDTAGVHYFICTVGKHCLGGQKLAVTVSSSSTTPGGAVSPSPSTSEEPSTTANSPSSSVPKSGETPAAPAPSSSTAVMATIYVTLSAFVMNLLF >KGN44043 pep chromosome:ASM407v2:7:6039397:6039782:-1 gene:Csa_7G132910 transcript:KGN44043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSQSALDPPISNLDPDHIESNVLVITNITEEEVLCQTTIEDKILNEEGSAEKQEKSDKDEAQREHVELEIPDLIDSGFRDVLHNVIVVPMNVAF >KGN44323 pep chromosome:ASM407v2:7:9186112:9189503:1 gene:Csa_7G253780 transcript:KGN44323 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sugar transporter MTYNRDEESPSPTPKKPKRNKYAFMCAILASMASILLGYDVGVMSGAAIYIKKDFQINDVQEEVMIGVINLYALIGAAAAGRTSDWIGRRYTMVLAGVIFFLGAILMGFATNYEFLMFGRFVAGIGVGYALMISPVYTAEVSPASSRGFLTSFPEVFINFGVLLGYISNFFFSKLPLHLGWRFMLGIGVFPSIFLAVVVLVMPESPRWLVMQGQVGKAKKVLDKTSDSIQESEQRLADIKSAAKIPLDSTADVVTIPKQATHGKDVWKDLFLHPTPSVRHVLIAAVGLHFFQQASGIDSVVLYSPRIFENAGIKSDSEKLLATVAVGFSKTVFILVATFLLDRVGRRPLLLTSVAGKMVSLVTLGLGLTVIEQHEDVKLTWAVGLCIAMVLCDVAFFSIGMGPIAWVYSSEIFPLKLRAQGASVGVIVNRVTSGVITMTFLSLTKAITIGGAFFLYAGIAAISLVFFYVVFPETQGKTLEDMEGLFGNLLWKFSKHRHFHKNDVGDVAQLQLHTNA >KGN43994 pep chromosome:ASM407v2:7:5392678:5399848:-1 gene:Csa_7G083210 transcript:KGN43994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLDRQIEQLKNCEPLKESEVKALCLKAMEILVEESNVQRVDAPVTICGDIHGQFYDMKELFKVGGDCPKTNYLFLGDFVDRGFYSVETFLLLLALKVRYPDRITLIRGNHESRQITQVYGFYDECLRKYGSVNVWRYCTDIFDYLSLSALIENKIFSVHGGLSPAITTLDQIRTIDRKQEVPHDGAMCDLLWSDPEDIVDGWGLSPRGAGFLFGGSVVTSFNHTNNIDYICRAHQLVMEGYKWMFNNQIVTVWSAPNYCYRCGNVAAILQLDENLNKQFCVFDAAPQESRGNPAKKPAPDYFL >KGN45305 pep chromosome:ASM407v2:7:17402253:17402750:1 gene:Csa_7G433340 transcript:KGN45305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLTGYAWELWVNGRGEELIDSTLCNSDQKPKALRCIHVSLLCVQQMAEYRPTMLDVYSMIQNDSTQLPLPKQPPFFITHNSKLEVVTDKSESATQIYSSNDMSVSMMVTR >KGN45329 pep chromosome:ASM407v2:7:17520032:17526183:-1 gene:Csa_7G435540 transcript:KGN45329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKEENHTRANIGEFHGRITRARAAAFTASAQLPPKVPAYQHERRVARANLKRAASEENSCNSIAKSSRPCKRRAVLQDVSNIGCEPSYSNCFNAAKIEPNSRKTTIRNYLKKSKGASSVGVVNSKVSLGPKNKGASSVGAAYSKPLDLRTEGVQANVKSKAKLKVELSSNSEDHKTHHRVEGVKEEVTSDFRDDNWRSQSHSESQNFQNKEKGLLLGTRSNLDITDIDCNDRDAQLCTVYAQEIYNNLRVAELTRRPRPSFMETVQTDITQSMRGILVDWLVEVSEEYKLVPDTLYLTVFFIDWFLSQNYIERQKLQLLGISCMLIASKYEEICAPRVEDFCFITDSTYTKEEVLNMEGQILKHMGFQLSAPTAKSFLRRYVRAAQTTYKTPSLELECLANYLAELTLVDYGFLNFLPSVIAASAVFLSKWTLDQSSHPWNSTLEYYTSYKASDLKQTVVALQDLQLNTNGCPLSSIRVKYRQEKFKAVATLSSPKLLDTLF >KGN43549 pep chromosome:ASM407v2:7:2616772:2618416:-1 gene:Csa_7G044890 transcript:KGN43549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAIAKATLRPFILHKTNKHSHMWESSKQYLSQLQACCILHCLRGYASEGTNAYKALAMYRKMLAFGFNADNYTSSFVLKACGNLGLCGMGMEIHCRVEVCGWDSDIYVNNSLVAVYLKFGNVDVARKVFDKMPVRDLTSWNTMISVARQLFEELKIKDTVSWNSIISCYQRSGDAFESLRLFCQMLIEDTATSDEITIVAVLGACEKITALQFGKSVHSYLTKIGLFLYSFLGTALIDMYGKCGELSCSRHVFCEMTQKNVVAWSAMIASYGIHGRGKEAVSIFNEMAANGISPDEGVLTSVLYRHVAIRIWLHRNVELAEISAQNIFRTNPSRASSYICLSNIYAATKQWTAVEKVRATMRKRGLKKPEGYSLIELDSQVYMFFVGDKSHQQTKDIYDKLKDISW >KGN43851 pep chromosome:ASM407v2:7:4524781:4532382:1 gene:Csa_7G071400 transcript:KGN43851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQKSGFTLFLGFLLLLSLSLVPLSVARFVVEKNSLRVTSPDGLKGTYDSAIGNFGIPQYGGSMSGTVVFPKENQKGCREFSDAGISFQSKPGALPTFVLVDRGDCFFALKVWNAQKAGASAVLVADNLEERLITMDSPEEDGSTAKYIENITIPSALIEKSFGEKLKKEINSGEMVSVSLDWREAVPHPDDRVEYELWTNSNDECGVKCDMLMEFLKDFKGAAQLLEKGGYSQFTPHYITWYCPQAFILSKQCKSQCINQGRYCAPDPEQDFSSGYEGKDVVIENLRQLCVFKVANETQKPWMWWDYVTDFQIRCPMKDKKYNKECADDVIKSLGLDGKKIEKCMGDPNADTENPVLKEEQDAQVGKGSRGDVTILPTLVVNNRQYRGKLEKGAVLKAICSGFEETTEPAICLSSDVETNECLDNNGGCWQDKAANLTACKDTFRGRVCECPLVDGVQFKGDGYTTCAASGAARCKINNGGCWHETRNGHTFSACTDDGNVKCSCPPGFKGDGVKSCEDIDECQEKKACQCPECSCKNTWGSYDCSCSGDLLYIRDHDTCISKASSGRSAWTAVWVILIGLTMAAGGAYLVYKYRLRSYMDSEIRAIMAQYMPLDSQAEVPNYVNENRA >KGN45123 pep chromosome:ASM407v2:7:16406319:16411760:-1 gene:Csa_7G427620 transcript:KGN45123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRPLLGRPCCLSLLTFLFVVVVIFDILSTCSGSYPDVDNPLPSISSGDKEVDGQHYKYSSEFSSMLRTRLEKMASSPLASPEIFNVDDYGAMGDGEDDSEAFKETWKDACSSTNAIFLVPCDRVYHLKPITFTGPCNSPLLFKIEGTIKASPHISDYEKDRRHWIIFQNLLGLRVEGKGIINGNGRKWWLNSCKVNKTLPCKEAPTAVTFFQCTNLRVEGLRFRNAQQMHLSFQKCNNVKALNLWIHSPGNSPNTDGIHVTGTQFIVIKNCLIMTGDDCLSIVSGSKNVRAKGITCGPGHGISIGSLGAGKTEAEVSNVVVDTAKFSGTTNGVRIKTWQGGKGYAQNIIFQNIVMDNVTNPIIIDQNYCDQKEPCTQQADAVERHKRFRSGCEIQLQQKHPMSGDSSTRYQSGSQRKK >KGN44107 pep chromosome:ASM407v2:7:6938852:6952744:1 gene:Csa_7G192190 transcript:KGN44107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRITVMTADEQILSLDVDPNESVENVKALLEVETQVPLQRQQLLYNGKEMKNFEKLSGLGVKDEDLIMMVSAGASSAPTNNLSFNPDGSAVNPEAFQQHIRRDSNTMAQLFQSDPELAQAIVGNDLNNLQQILRERHRQRSVLQRQQEEEMALLYADPFDVEAQKKIEAAIRQKGIDENWAAALEHNPEAFARVVMLYVDMEVNGVPLKAFVDSGAQSTIISKSCAEKCGLLRLLDQRYKGIARGVGQSEILGRIHVAPIKIGSIFYPCSFLVLDSPNMDFLFGLDMLRKHQCIIDLKENVLRVGGGEVFVPFLQEKDIPPSLFDEERLSKEASSSGAPVASSPTENSRNARAAQATGNVGGAAQGPEFEAKVAKLVELGFQREAVIQALKLFDGNEEQAAGFLFG >KGN45561 pep chromosome:ASM407v2:7:18943243:18943476:-1 gene:Csa_7G452160 transcript:KGN45561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLRLKNVAHFSQVTILMRVHHRNLTNLVGYMNDEGHLGLIYEYMAKGNLAEHLSGNLLALAATYKLIEHTSLPNS >KGN43870 pep chromosome:ASM407v2:7:4646066:4651592:-1 gene:Csa_7G071590 transcript:KGN43870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFTSSLSLPLPFHPLSRSSTRHFPSPLLPPSTNFTSRFSALTISSSSLHSASDNFDHAKRSHSPFSSKKSVLSSLIQEIEPLDVSLIQKDVPPTTVDAMKRTISGMLGLLPSDQFQVLVEALWEPVSKLLVSSIMTGYTLRNAEYRLCLERNLDFDDGNNYNQMNDNCRIDLHEILLDGANSGNILDENESSSKCEELLDNSSDNINIQGIGEISPEVQQHIRHLQFQLSSIKKELHEVKRKSAALQMQQFVGEEKNDLLDYLRSLQPEKVAELSEPTSPDLKEAIHSVVHGLLATLSPKIHSKVPSQSENIGTSTTNIGNEDCAELVENTSLQFQPLLTLTRDYLARLLFWCMLLGHYLRGLEYRMELMNLLSLSSNVENAAGGGDQ >KGN44185 pep chromosome:ASM407v2:7:7801443:7802470:-1 gene:Csa_7G219210 transcript:KGN44185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPYKYRPDIVHGALVHIMYSRIRMAGLVQAVFIRTDEGILIKVDPRTRIPESLDELFCDMLSTKAKGNCGKLLQVVKNPVIQYLPVNCLKIGLSSSSKKVVEPRDYLKTFSNDVNLVFVIGAMAHGKIDNENIDELISG >KGN43388 pep chromosome:ASM407v2:7:1628484:1632924:-1 gene:Csa_7G030510 transcript:KGN43388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSMAAVGVLRLPTSSSSSNGSNRARRTSFRSLSFGASHISGDKVDLRGSGLGSRRVSGCRVAPSIVSPKAVSDSKNSQTCLDPDASRSVLGIILGGGAGTRLYPLTKKRAKPAVPLGANYRLIDIPVSNCLNSNISKIYVLTQFNSASLNRHLSRAYASNMGGYKNEGFVEVLAAQQSPENPNWFQGTADAVRQYLWLFEEQNVLEFLVLAGDHLYRMDYERFIQAHRETDADITVAALPMDEKRATAFGLMKIDEEGRIIEFAEKPKGEQLKAMKVDTTILGLDDERAKEMPYIASMGIYVISKDVMLNLLRDRFPGANDFGSEVIPGATSIGMRVQAYLYDGYWEDIGTIEAFYNANLGITKKPVPDFSFYDRSSPIYTQPRYLPPSKMLDADITDSVIGEGCVIKNCKIHHSVVGIRTCISEGAIIEDTLLMGADYYETDADRRLLAAKGSVPIGIGRNSHIKRAIIDKNARIGENVKIVNGDNVQEAARETDGYFIKSGIVTVIKDALIPSGTII >KGN43957 pep chromosome:ASM407v2:7:5148998:5152617:-1 gene:Csa_7G074900 transcript:KGN43957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATHLLSPPTHFQTSMKLALHAPFLLAPSRRSPECSHVVSLKPHKRFQNAGSVVSCATNMTAGQSDDSGRVNWDSLKNRVKQLWESSPEPVKSFPWNEALDNFIQLIADLILTVIKYLFVPLLLVTSLSEMSYCAHEKKLLIVPFLFIIGFSAAEVMRQTALSLSPILKDLEVPWHLITIAIFFTLIKLPGPSYPYWGRIFIPHLANGGLVRTTWSMFLWFRRPQKTSMLLKHNENHLDTKKN >KGN44073 pep chromosome:ASM407v2:7:6419110:6420840:1 gene:Csa_7G168060 transcript:KGN44073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMSAHGSSAWTAIENKAFEKALAIYDKDTPERWLNVAKAIGGKTEEEVKRHYQLLLEDVNHIESGQIPFPYRKSTRSSR >KGN44030 pep chromosome:ASM407v2:7:5863426:5863605:-1 gene:Csa_7G113340 transcript:KGN44030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLGYAEKLSYIEDVGKVGMTEHFDPPHVLEEKVDISFLLCNFVSGVLFWTSDLVFCLS >KGN43394 pep chromosome:ASM407v2:7:1675962:1678504:-1 gene:Csa_7G031550 transcript:KGN43394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDVGNAVELVRGAKRRKKMGSRNNKRPRMMGGSGNKVKIDKKMKKLFQKRAREYNSDDDDDDGEKAPRVKKESKILVRSHEEEVGDEEFSEGEEERKDVNADVELSEDDENGEIQPGITKFTEGCRAFRAAFMSILKKNISDETLGPILSANKKLVAEKLAEEEAERKVKGEARKAKQLVGEKGHVKPATYLDSHEKFLIGVATKGVVKLFNAVNKAQHAQKGLNPSRTKDAKAINKRRKEAFFSELGKPTLSATNSNAKLNTSGGAADTEGPAWAPLRDNYMLTNSKLKDWDKMPDNMMTAAEDNGRVLEDSSSDEDD >KGN45010 pep chromosome:ASM407v2:7:15710171:15715829:-1 gene:Csa_7G407630 transcript:KGN45010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLVDWFYGVLASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQYPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAYDKERFAESKKELDALLSDESLANVPFLVLGNKIDIPYAASEDELRYHLGLTNFTTGKGKVNLADTNVRPLEVFMCSIVRKMGYGDGFKWVSQYIK >KGN43900 pep chromosome:ASM407v2:7:4802416:4805056:1 gene:Csa_7G072870 transcript:KGN43900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYFSTFGVHGWWVVVMVMLVVMVEATPPGIANNPSHATCKIKKYKHCYNLVHVCPKFCPNQCYVECASCKPICGSGGDDANPPPEDTPTPPSQTYYSPPPPVAVTPSPPALNPSPPHSPPLPSPTPTPVTPSPSPPPPVTPSPSPPPPVTPSPSPPPPVTPSPSPPPPVTPSPSPPPPVTPSPSPPPPVTPSPSPPPPVTPSPSPPPPVTPSPSPPPPVTPSPSPPPPVTPSPSPPPPVTPSPSPPPPVTPSPSPPPPVTPSPSPPPPVTPSPSPPPPVTPSPSPPPPVTPSPSPPPPVTPSPSPPPPVTPSPSPPPPVTPSPSPPVTPSPSPPPPVTPSPSPPPPVTPSPSPPPPVTPSPSPPPPVTPSPSPPPPVTPSPSPPPPVTPSPSPPPPVTPSPSPPPYSETPSTPPTTSTPPPVTSTPPPANPNPPKSPPTNQPSSPPPPTHTNPPSNPTPPTVSPPPPVTSTPPSENPNPPASPPTNHPPSTPPENPTPPENSNPPSTPPTNPNTPSTPPSETPNSPPINTPSPAPQTPSPPASTPPSSSAGATKRVRCKNAKYPQCYNMIHNCPSACPNGCQVDCVTCKPVCHCDRPGAVCQDPRFVGGDGITFYFHGKKDKDFCLVSDPNLHINAHFIGKRNPSLKRDFTWIESLAILFNNHRLLIAAQKTDVWDDSIDRLNIVLDDHPMALPISEGSQVQHPTENPTVIIVRLAATNHVMVEAKGLFRITAKVVPITKEDSRIHNYGIEEGDSFAHLDVGFKFFELSEDVNGVLGQTYGAGYVSSINVKAAMAVMGRGKEFETSSLFAADCAVSRFGGDGIVGGRDETI >KGN44511 pep chromosome:ASM407v2:7:11149361:11150737:-1 gene:Csa_7G322080 transcript:KGN44511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLPSAFCRGGEILKTQKGDFILPSGPSRLRFFTSFRVDFEVIFVGLEWFQVLCGRALFQIFQHRLEILWS >KGN44059 pep chromosome:ASM407v2:7:6224294:6225685:-1 gene:Csa_7G154990 transcript:KGN44059 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division protein ftsH MDFEPIQTVYPGEDEYDLRGPTGTQIVVYKERKDEPDVDNIPIAKRRLFKDKSSKEKKKESEMVNKPSVIFIDEIDALATRRQGIFKESTDNLYNASTQERETTLNQLLTELDGFDTGKGVIFLAATNRRDLLDPALLLTL >KGN44990 pep chromosome:ASM407v2:7:15583138:15583813:-1 gene:Csa_7G405965 transcript:KGN44990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLISIFLTFFLLSLTKFSLLSSATAVAKDQVGCSMCSTCDNPCQLPPPPPPPPVVECPPPPPSPPPPSPPPPLPTSQCPPPPSPPSCDACVYPSPPPPSSVQPYPPSGGGQFPGIAPPPPNPILPYFPYYYYSPPSASPKSVPFSWKFLALPFLIILWF >KGN45037 pep chromosome:ASM407v2:7:15874472:15882498:-1 gene:Csa_7G412880 transcript:KGN45037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKDILGLPKNTLPLPQEKKPRAQKDAQRKRDGISREVYALTGGLAPIMPAIDVSELKKRPPSDEKITWQWLPFSNSARKDNLQLYHWVRVVNGIPPTGDYSFAKYNKSVEVVKYTDEEYEKYLKDASWTKEETDQLFDLCERFDLRFIVIADRFPSARTVEELKERYYRVSRAIVAARGSISRESSGNTPAKDPYNVSQEIERKRALSMVLSQTKQQERKDAEVLAEAKKITEARKAERVAEESELPVTSNAVPEVTERVVVPGDNVPSISNVQPPPPAAVPSTVVADNASTLASLRMLPVYLRTYALEQMVQAASSSAGLRTIKRVEQTLQDLSVNLKPRVPTKAVCAEHLELRKEILTLLNLQKQLQNKEAEGSSFRDSPYTEAPGTPKDRTFIADSVSFGGERFGKRDQKRKATGRLSEAPSSPAQSKRPRKQKGSDL >KGN45593 pep chromosome:ASM407v2:7:19136933:19137222:-1 gene:Csa_7G452970 transcript:KGN45593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRPKWTVEGKEKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKV >KGN44094 pep chromosome:ASM407v2:7:6665744:6674084:-1 gene:Csa_7G184650 transcript:KGN44094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSGSGLTFKLHPLVIVNISDHYTRVKSQMNPPSLSCSAASPCALSNGADAPDSTDPSTSPPAPRVFGCVVGVQRGRTVEIFNSFELLYDPKTHSLDRTFLEKKQELYKKVFPHFYILGWYSTGSDALESDMHIHKALMDINESPVYVLLNPSINHAQKDLPVTIYESELHVIEGIPQLIFVRSSYTIETVEAERISVDHVAHLKPSDGGSAATQLAAHLAGIHSAIKMLNSRIRILHSYLLAMQNGDIPLENSLLRQVSSLIRRLPVTESGKFQDDFLMEYNDTMLITYLAMSTNCSR >KGN43192 pep chromosome:ASM407v2:7:430277:435721:1 gene:Csa_7G007900 transcript:KGN43192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDSVTADLLDDTAVSEHPLSHSSDNGTHLTPQQLVAKAIAPVKRDFLRPPPLRSSSNNLKDATSTAKNDGDDKAAPSTLVKEKKSKRQLKRERRQEQKSTRHLCPEIAKTGDVSSCRYSDKCRFSHDIEAFKSQKPADLEGECPFSGAEQLCPYGLACRFAGTHKEGVPAGSSVTLKRCSEMNGLNKDIQKLLWKNKMKFPKADSKLKELGLLGHGKSKLRVKEDEENAVSNDSVVADRPCCSEMNNDLDADLEISVEVPEENGEKEGTFSSDESRPLKKSKSLSSENFHSDQIDNGACMIDETIGEGHAPIESEAMNDSVLLDSDASLKPHPREKKLIDFRDKLYLAPLTTVGNLPFRRVCKVLGADVTCGEMAMCTNLLQGQASEWALLRRHSSEDLFGVQICGAYPDTVSRAVELIDKECVLDFIDINMGCPIDIVVNKGAGSALLTKPMRMKTVVEAASGIVDTPITIKVRTGYYEGKNRIDSLIADIGNWGASAVTIHGRTRQQRYSKLADWEYINRCSSLAPDSLQVIGNGDVFSYTDWNEHKNECPKLATCMIARGALIKPWLFTEIKEQRNWDITSGERLNILKDFAHFGLEHWGSDSKGVETTRHFLLEWLSYTCRYIPVGLLEVVPQRLNWRPPSYFGRDDLETLMSSDSAADWIKISEMLLGKVPDGFSFAPKHKSNAYDRAENG >KGN45456 pep chromosome:ASM407v2:7:18303507:18310226:1 gene:Csa_7G448670 transcript:KGN45456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASKATNKGSNSMDDIEALKGEIASFASSLGLASSTPSSGFNDVDFRKQGPIKPIKHTKKSKRTSEQEPSKIQNPKAATPKSKEQPKPKPKPPVLTLDDDKDKPRSFDKFKNLPKLSLVKASVLGSWYVDAAELEAKVMGNEKKTEMNKNMEEWKKLVQKKRELGERLMAQYALDYEASRGKSGDIRMLVTTQRSGTAADKVSAFSVMVGDNPVANLRSLDALLGMVTSKVGKRHALTGFEALMELFISSLLPDRKLKNLLQRPLNQLPESKDGNSLLLFWFWEECLKQRYERFVIALEEASRDDLPALKNKALKTIYVLLKSKSEQERRLLSALVNKLGDPENKTASSADYHLSNLLSEHPNMKAVVIDEVDSFLFRPHLGLRAKYHAVNFLSQMRLSQKGDGPQVAKRLIDVYFALFKVLVASEDQKKQNSGEEDKKKASRFSKDIKAKDLSESHVEMDSRILSALLAGVNRAFPYVLSKEADDIIEVQSPMLFQLVHSKNFNVAVQGFMLLDKVSSKNQVVSDRFFRALYSKLLLPVAMNSSKAEMFIGLLLRAMKSDVNLKRVAAYAKRILQVALQQPPQYACGCLFLLSEVLKARPSLWNMVLQSESIDDELEHFEDVVEEENMNKTSTELREHKDDVELGSPSDGASSGDDDSPDEDDDSPVSHSEDESSDDDGELLMKYDSKDTVKPAIKKSGENEQQSLTPSKGLSLPGGYNPRHREPSYCNADRASWWELVVLASHVHPSVATMAQTLLSGANIIYNGNPLNDLSLTAFLDKFMEKKPKASTWHGGSQIEPAKKLDMNNHLIGPEILSLAEEDVPPEDLVFHKFYTFKMNSSKKPKKKKKKGANEEAEDLFGGAVEADDNDDPAEDLSDVDMVGGDESDNEEIENLLDSANPSGEADGDYDYDDLDQVANEDDEDLVGNLSDEEMDIHSDIADGEDLGSSSDEMLSGSDNDNLGQDSDDEPKKKRKAKVSPFASLEDYEHIINKDGDHKKKSTKGETKPKSKSKSKSNSKARKRKRDSRK >KGN43274 pep chromosome:ASM407v2:7:915651:916031:-1 gene:Csa_7G014600 transcript:KGN43274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEGKQVASPNGTFRRLFRARCRKSAKANGLLEAIAKASREGAGFVSHSEENGVVRIKMVVKKTDLVQVLEAMGGGDGGGGVVAPASVSMEHRLTVLRRKQFLRAKLAAATWSPALQSIPEEIDSF >KGN44581 pep chromosome:ASM407v2:7:12079936:12081096:-1 gene:Csa_7G337080 transcript:KGN44581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVKRRIHNDSDILALHKELDEVSCPICMDHPHNAVLLLCSSHHKGCKPYICDTSHRHSNCFDQFKKLREETRKSPRLSSPLPINPYSFSNPSTNNLGLSIDLNEVDDNQNINERNTVASAGLPGLALGDNGTENSNRTVDTNEAGDMDTAGSGSITERVDQEGLDAGNSSEYSNLKCPMCRGAVLGLEVIEEAREYLNLKKRSCSRETCSFSGNYQELRRHARRVHPTSRPAVIDPSRERAWRRLERQREVGDVVSAIRSAMPGALVVGDYVIENGDGMVAGERDNGTGDVNGPLLTSFFLFHMFGSVEGAREPRPRSRSWVRHRRSGGGTPVSERRFLWGENLLGLQEDTDEDFRIYIGMGDDGSPPTRRRRVTRPGSDADQP >KGN43640 pep chromosome:ASM407v2:7:3123441:3125126:-1 gene:Csa_7G049220 transcript:KGN43640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRSTNFPPGIWQARREHDRQRYNRNESNRTNSTPSHTQSFQNSYRQIDPVPWRPAGETVITRILDIGSRPEEPPAIVRIITHSRPRQLEASPIPAELYSPTPRSEGNSTLTSEEQNMALNKLKKKVYDPPSNTSRRISFYYRENNRVNSNERHNSNGDEEGKRCAVCLEDFQPKEEVMTTPCNHMFHEQCIVPWVKSHGQCPVCRFTLFERTERRGSASSNFNNSVSIAPPSSLVDEELVYLIRAMEEALMLRNVAEFWGA >KGN44062 pep chromosome:ASM407v2:7:6283934:6284581:1 gene:Csa_7G157510 transcript:KGN44062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGYNAHNSGYTSSPSSPSQGTYLEELISGIAYSLDSFETDFEKNLAKLSEYTVSLTGSMRNDLAKLNEQTLSPTRAVNNDLETMKASISEIENKLDQLATNIHETEENDELFAHAYENDVTLESRKIFNDKDDVNPSSDHVSLSAFDTLESLETLEKHGNFNEIVDQATLEHVEIEAAKNDPSDDDLSIFLGFVTSINDEHALVIMLKHMNNML >KGN43149 pep chromosome:ASM407v2:7:105468:106183:1 gene:Csa_7G004030 transcript:KGN43149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKSRVLVVGSTGYIGKFIVEASAKAGHQTFALVRDSSLSHPAKSQIIQSFKSIGVTILTGDLFEHESLVKAMKEVDVVISAVGLYQLSDQDKLISAIKEAGNIKVLLTFTSKQNVLNAN >KGN44329 pep chromosome:ASM407v2:7:9242720:9243139:1 gene:Csa_7G257330 transcript:KGN44329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHTYEVCFLFLRSGQVSEFMTRIIKFGTWVLANHACPLLPKDTAFSLAVGEIMVKMKFRANMFGYKLLNCNAQLFLITCYLSPDTIVRNFEEDNGGGVFCG >KGN43171 pep chromosome:ASM407v2:7:276480:276788:1 gene:Csa_7G005470 transcript:KGN43171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPIYDKEGFILRSREKRFSVTKLEEKRRGSMSRGRYKNGFMSEGSSEMGFSGARTRRKTRSKGELVKKRETLIYRGMHRRAESNEQMLVWVTWARWRKNVR >KGN43222 pep chromosome:ASM407v2:7:581294:581704:-1 gene:Csa_7G009180 transcript:KGN43222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVESLSIQTAFIGNEQLILRFLWKSNEIDGNKIGAQGASKEKANLGRATILALGKALSFKTTWSTIISRTQAAMISTSSRNSLEEVRRVAMEGQATNLGSTSRWANDIEQVGEQT >KGN44338 pep chromosome:ASM407v2:7:9364524:9364964:1 gene:Csa_7G259380 transcript:KGN44338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSLNEGCEIRTTRTETRLEKWIRLREPHANNLDSSETSRGLVRSEKNVADEEGAPARTGWRTLYNVWLAQISDISSCSGEDMVDGDGEATLDESTRRVGGERKNGRGLGFDEGEDEKVRLKMMNSKTHAS >KGN44083 pep chromosome:ASM407v2:7:6515369:6515689:-1 gene:Csa_7G176620 transcript:KGN44083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHPHHLVSPSPFSRNLPSSNLPSSLLLSIFRSILQPTTFRSHVDCLAHHVLNCRVPVLFVWFFIYWSGIVCSVFIRQPPTPSGLHPLPVKQPSSGAYCEPESNPS >KGN43516 pep chromosome:ASM407v2:7:2401528:2403473:1 gene:Csa_7G043580 transcript:KGN43516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVENKGEKGKSEGDHQNHGGGGGEKGGRALKKGPWTAAEDGILIDYVKKHGEGNWNAVQKHTGLARCGKSCRLRWANHLRPNLKKGSFSQEEERIIIELHAKLGNKWARMAAQLPGRTDNEIKNYWNTRMKRRQRAGLPLYPLEIQQEATAFHLRNHHHHHHHNSSSPAAVATNFSVIRHKPDFNNQNSLSIFNFSSTMNNYQKNFNDGSSFLATPTSQFKFFPDNNNGGGFALPLSPVSPFPQIGQQMNQSFSSPPQAALQFSYGNYVCNSNSGLNSMILGAPYHNLIPGLETELPSIQTPPHSTTPASSGTSGGEGIMAAANSGLLDVVLLEAEARSRNEKQSKEESSSAGEMKQRMDQGSTEEEDANLYVESVLGSSGGDAAAAEHHSDEFSSSHSSSRKRPRMEPLEEMDSMDDDDLMSLLNNFQSGIPVPEWYPGSSDDDLAMNMQNGPSLCESNGNPGGDEQQQNVASPTVVASSPVLEWSLGSSCWNNMPNIH >KGN43512 pep chromosome:ASM407v2:7:2356271:2357332:-1 gene:Csa_7G043050 transcript:KGN43512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHQDEVKKPSFFLQLLAKVHAGYFRISMSLCGQALLWKILKQPIQNENSLRRILRLLPNTAFLLLWSLTLFILASLSLIYILRCFFHFKLVKSEFLHRVGVNYLFAPWLSWLLLLQSSPFKSLLPNQILMWVFIIPIVVLDVKIYGQWFTKGKRFLSTVANPSSQLSVIGNLAGARAAAVIGWRESALCLFSLGMAHYLVLFVTLYQRLSGSNTLPAILRPVFFLFFAAPSMASLAWSSINGGFDTFSKMLFFLSIFLFVSLVSRPGLFRKSMRKFSVAWWAYSFPLSVLALACNEYAKEVGAEAAHVFALLLALLSVLVSLFLMIVTVLRSHLFIPEIPTEITSDSSSTEP >KGN44642 pep chromosome:ASM407v2:7:12707999:12708853:-1 gene:Csa_7G354020 transcript:KGN44642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRNLSLIQILLLVFAWQCCAVVFDDITGTQNRLKGIVPTTNEQFLRPAEAPRRLGFSTLPNIGGTVNDIKANVYLNQNGGSVFDVTKHGAKANGKTDDAQAFMTTWIAACRNTVGPAKFLIPQGTFLVGPNGSPLKILLVSSSPAPASLTAKASPFGPTTTARKTTYANFFQS >KGN45238 pep chromosome:ASM407v2:7:17122620:17123685:1 gene:Csa_7G432190 transcript:KGN45238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNCTCILLIFPSVPAVSLSLFSTSPTCFSAGNHFIFYQYNQQPEFDFKFNSSESPAVREALDSYCNDRRRSYEFFNWVESECKFDHATETQTRMLDILVSEAIAAYEGLREFKLRDETSFCNLIDALYEGLRFEEFWEVMGKKGVRKDLQSYLLYMDIQCKTGKSWEAVKLYMEMKNKGMKLDVVAYNTAIHAVGISEDVDFTNRVFHEMKGMGCKPNVVTCNTIIKLFCENGRFKDAHVILDQMLKYCPPNVITYHCFFRSLEKLKEILMLSTG >KGN45300 pep chromosome:ASM407v2:7:17383724:17383945:-1 gene:Csa_7G433295 transcript:KGN45300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTTTSDFANEVEKHEGNFCTCFRVAYVVQGCVMYPQMIFGVYQMKSDFANAIAGIGTYSPPPFDVDSVHAAE >KGN44541 pep chromosome:ASM407v2:7:11515824:11524480:1 gene:Csa_7G328290 transcript:KGN44541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPNKRTRDRLRNPQPFITTDEDTVPNKQHSKARKRNHQEEETLLSSGMSSKIFREARIQQRENEFEARNQQHPNPFFDLPDEELPKDEEDIDDFTGFSETQTEIGTYKEEDIAEEDERLVEAFLSKDGGPQHTLADLIVRKIKENDAIVSSDAKPLPKLDTSVIDLYKGVGKSLNKYTAGKVPKAFKRIPSMPLWEEVLYLTEPENWSPNAMFQATRIFASNLGVKKVEKFYKLVLLPAVRRDIQKNKRLHFALYQALKKALYKPTAFFKGILLPLCESGTCSLREAVIIGSIIEKVTIPVLHSSVALFKLAEMGYCGTTSYFIKLILEKKYALPYRVVDAVVAHFMRFLEETRVMPVIWHQSLLAFLQRYKNELRNEDKANIRILLESHRHKDVTPEILRELNNSRSRGEKDTTITPAPLTKPVEEDRFNIPYVPMEEDY >KGN43600 pep chromosome:ASM407v2:7:2876400:2877218:1 gene:Csa_7G047350 transcript:KGN43600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPTLITPCTSKSQSPFIPLKPKSTISAAVTTPTVRRREFLSLTASVLAPSFVLFPQSPALAASDDEYVKDTNEVIGKVRTTITMDRNDPNVAAAVADLRESSNFWVAKYRKEKALLGRASFRDMYSALNAVSGHYISFGPTAPIPAKRKARILEEMDTAEKALLRGR >KGN45424 pep chromosome:ASM407v2:7:18080028:18083159:-1 gene:Csa_7G447860 transcript:KGN45424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVDLRQVLAGILTLTMFVMLGHMIKRDHFDSVQEKFPGPTKDAVKVTTMRSIHKKSDVQLKNDVLELKQCWSKPESDEGQESKGYVTFSLTDGPEYHVSQITDAVVVARYLGATLVVPDIRGKEVGDKWNFEDIYDVEKFIGSLEGVVKVVKQMPSDISPKKISAVKVPNRVTEDYISEHVEKVFKRSGNIRLATYFPSVNMKKSSTSSDGDSVPCLAMFGTLELQPEISEVIESMMERLKTLSRKSNGQFIAVDLRIEMLGENGCQEASGSKSCYTAQDIALFLKKIGFDKDATIYLTQPRWENSLDDLKDLFPKTYTKESIMPADQKAKFLNSKSSEYEKVIDFYLCSQSDVFVPAISGLFYSNVAGKRIALGKNQILVPATIREPTASASNFISSYITKKNHLAYSCFC >KGN43832 pep chromosome:ASM407v2:7:4362109:4362603:1 gene:Csa_7G070253 transcript:KGN43832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVLKLSLSLSLFCVLTFFMLPSSLAQDSPQDFLDAHNTARAQDGVEPVQWDETVASFALQYANQRINDCSLVHSGGPYGENIAWGMPDLSGTAAVEMWVNEKEFYDYGSNTCAASRVCGHYTQVVWRNSVRIGCAKVICTNNGGTFITCNYDPPGNFVGQRPY >KGN44144 pep chromosome:ASM407v2:7:7324211:7328575:-1 gene:Csa_7G206950 transcript:KGN44144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRRRTLLKVIVLGDSGVGKTSLMNQYVHKKFSQQYKATIGADFVTKELQIDDRLVTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNVMKSFDTLDNWHDEFLRQANPPDPRTFPFILLGNKIDIDGGNSRVVSEKKAREWCASKENIPYFETSAKEDYNVDAAFLCIAKTALANEHEQDIYFQGIPETVVETEQRGGCAC >KGN43470 pep chromosome:ASM407v2:7:2090985:2092001:-1 gene:Csa_7G038700 transcript:KGN43470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASASATFKFNHLSFSFFFFFLLLISSVQIEARVNKFFSKFIHTDHEVVPNTLSPAPLSVPPETSPSLAPTPAPAPFFDESQNAYGLYGSDPDADENTRTITDVEEEILGGEGDQDEGNDKSGFPMNNFVETRNEEEQYQNKNYENNNGFRNSEYDNRNEYRNSEYENNNNEGRNYEDQRNFEEGGYRRSRFEPTEQEGMSDTRFMENGRYFHDINSRNDEENGSYGSKKKYPKYEFDSMEEYERSEGLLP >KGN43496 pep chromosome:ASM407v2:7:2262693:2264394:-1 gene:Csa_7G041900 transcript:KGN43496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMLAAPTMASFHVSIGSKNYRKLKSHSVRAMRIEKPLEELYNVKVERKVSEERLSQLRVSSWSIWKTGKCKLPWDWQADQLVYIEEGEVRVVPEGSKQYMSFVAGDLVRYPKWFEADLFFNGPYQERYSFRAYGDDH >KGN44923 pep chromosome:ASM407v2:7:15211826:15212206:1 gene:Csa_7G396385 transcript:KGN44923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYTPQNESPMANFPLPSPRICQPKSPNTHEDYRNSLNRFCFPISPFVLPEDKPWQIDHLLQRLACLSARSLGFSPLHLGFSLSAPSEPTRRECRATIGSFSSRNSLYLILSSFFDHTPSLSNHAL >KGN44743 pep chromosome:ASM407v2:7:13747470:13750314:-1 gene:Csa_7G375850 transcript:KGN44743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSRTKFVSNWGGVVSVPETYVYPPEKRFGISVKKSIPVIDWSDDRALLLKRVHDVTQEFGFVQVINHGVPKTLVEEMMKIMKEFHGMSAEDKERECSKDPDKSCKVYTSSQNYENEQIHLWKDTFKLRCHPNLDKNLEFWPQNPPKLREVVKAYIVMIEKFASEILEVLSEGLGLEKGYFRGEMSKNPEFLAHHYPPCPNPSLTVGLSQHADPTLITILFQDVNGLQFLKDGQWIDVDPLPDAFLVNLGYVFEVISNGKLKAAEHRVVTNSTTSRQTLVYVVYPENDVTIEPSKCFINEANPPHYRSLEFKDFYNKLMSKPPDRKTAMEFISFDQL >KGN45479 pep chromosome:ASM407v2:7:18477520:18484047:-1 gene:Csa_7G448900 transcript:KGN45479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSKEYGFLSEIGLGSRNLGCYVNGAWKGNGPVVSSSNPANNQVIAEVVEASTQDYEEGMQACSEAAKIWMQVPAPKRGDIVRQIGDALRAKLHQLGRLVSLEMGKILPEGIGEVQEIIDMCDFSVGLSRQLNGSIIPSERPNHMMMEMWNPLGIVGVITAFNFPCAVLGWNACIALVCGNCVVWKGAPTTPLITIAMTKLVAGVLEKNNLPGAIFTSFCGGAEIGQAIAKDRRIPLVSFTGSSKVGLMVQQTVNERYGKCLLELSGNNAIVVMDDADIQLAVRSVLFAAVGTAGQRCTTCRRLLLHESVYQKVLDQLVDVYKQVKIGDPLEKGTLLGPLHTSDSRKNFEKGIEIIKSQGGKIVIGGSVIESEGNFVEPTIVEISPNANVVKEELFAPVLYVMKFKTLKEAIEINNSVPQGLSSSIFTRRPEIIFKWTGPHGSDCGIVNVNIPTNGAEIGGAFGGEKATGGGREAGSDSWKQYMRRSTCTINYGNELPLAQGINFG >KGN44901 pep chromosome:ASM407v2:7:15085896:15095628:1 gene:Csa_7G394700 transcript:KGN44901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDTELEEGEAWSYQNNEDFDSNIDPDIALSYIDVKLQHVLGHFQKDFEGGVSAENLGAKFGGYGSFLPSYQRSPVWSHSRTPPKGHNCSTSRSPNNFHLEVGHNNSVVSSTTPQSIRPGPPSTSSTSLPTIKVPNLNDSSKQEVCTSFQHVDELAAGYGCTNNKSTTSSDQISLKVRIKMGSDNLSTRKNDEIYCGLGLDVSPSSSLDDSPSESEGISRELQDGPFESPTSILQMMTSFPVHGGFLLSPLPDDLIHLTQTGKPAREKKSTRVQHYNQDRPVAGGSSLKSGQMLAEKRTSKDMNDFFSESKNTNRKDFLNGSVTSKKTSEIDTAACEELVSKALKLPLLSNSYAIAGETTKSLNGPSEVLMEADKVVTRDRHFFDQLEEGPAAEPPLIIEDEKQNNGTSGKVKEPKKASKFDDISVPAKKSGESKREKTIDLIDAASKGKNASNGDQIDPLKLNTNHKISLHAHNNMKYASGKDHLLPEGKKKSKFSHTESISNGEVSKRSMKSGSSGSKTKSISKADNISTRTEIEDHKPQDFKKTKDRYRDFFGELEEDDNLIDTSENPFDDQLNHSDVFGKSTPVIPVSKERLSVEKVGKSSASKAFPEAVMNHASGTVADAAPAAVDNVNGQDNWVCCDRCQQWRLLPLGTNPASLPEKWICSMLDWLPGMNQCIFSEEETTKALIARFQAPAAPEGTIYSNLSGVMPGVANARQAEHNHHHYDFSVRPGGGKKKHGANERPSIKGDALQLSNSKKHEGAMKSRSLDDVNQLPVGDEANFHHLNKYSDVPVDKHKHKYKEKQESVDILSDEGATKILKTKNRKEKEQDYSRPAKKVRTDGLDLIDEDQISVHSGPVVKVDPTLSIGFPSASGGTNKSKSMDHSSKDSKYNMNVIPRVPNDKRENKQLGVVDDDSLGGGSGSTKSNSKKRKVKASPDIQINPGSFNGSDHLPQKSGRVTSDDDHRKEKKAKLSKPPGKESSGRKEKKGSHSKNLPFGQDVGSTLSHRSLDGVDSLKRDLGAIQPSLVATSSSSKISGSHKTKSSFQEMKGSPVESVSSSPMRIPNRDKILRSSRDGKDFLDTGRTRCSDGEEEDGGSDRSGTGSKKKSVVAHRRPSKSPLVDTLNNDASYLSGKKTKTKEKSSNVQNCDLPNGILGNSGIDHQHPCKPWAEQVQNEDRSNEMRYRGNETYPFKSGKDLSSQLKDRNGSYCLDVGMDKDKVPYSHDDLRGRSPPHSDLKVKNGKHKLQDNSRIKSGDARKESSGKLSIERGKRESELNFVKHEGPDSTLDSTSKENVVLSVRKNQQHDSNGSASKRSLFQKNDQLEKVSGKGTLVQFPTSGELQNQMLHGPPSAGGGKGSAIDVSQADALESNDVSKGKKHAKSRQKEVQTNGSRHSTPNARMPIDAPSPARRDSSNQAATKAMKEAKDLKHLADRFKNSGSNHESIGLYFQAALKFLYGASLLESSNNETAKQSIPIYSSTAKLCEFCAHEYEKIKDMAAAALAYKCMEVAFMRVVYSSQNTAIRDRNELQKALKMLPSGESPSSASDVDNLNNPGTAEKVALGKGVSSSHANGSNVIAANNRPNFLRLLNYAQDVNFAMEASRKSRIAFAAANASSGGPTNKEGISCIKTALDFNFQDVEGLLALVRIAMEAINR >KGN43411 pep chromosome:ASM407v2:7:1788632:1794057:1 gene:Csa_7G031720 transcript:KGN43411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTSTNKTVCSKTSFDRSKHGAHVVAQTPIDAKLHVDFEGSERLFDYSASVDFNAACSTSNVHASTVQSYLLNIQRGSLVQPFGCMIAVDGENLSVLAYSENAPEMLDLAPHAVPNIEQQEALTFGTDVRTLFRSPGAAALQKAADFKEVNLLNPILVHCRTSGKPFYAILHRVDVGLIIDLEPVNPADVPVTAAGALKSYKLAAKAISKLQNLQSGNISLLCEVLVKEVSDLTGYDRVMVYKFHDDEHGEVVAECCRSDLEPYFGLHYPATDIPQASRFLFLKNKVRMICDCLAPPVKVLQDRRLAQPLSLCGSALRAPHGCHARYMMNMGSIASLVMSITINENDSESENDQEKDRKLWGLVVCHHTSPRFVPFPLRYACEFLIQVFGIQINKEVELQAQLKEKHILRIQTVLCDMLLRDAPVGIVTQSPNIMDLVKCDGAALYFRKKFWSLGVTPTEAQIRNIADWLLKDHSGSTGLSTDSLTEAGFYGASALGDEICGMAAVRITSKDFLFWFRSHMAKEIRWGGAKHDPSDEDDGRKMHPRSSFKAFLEVVKRRSQPWEDVEMDAIHSLQLILRGSLQDEIEEECKVITTVPPVDEKTQQLDELRVITNEMVRLIETAAVPILAVDVFGKINGWNSKATELTGLAIQEAIGMPLVDCVVNDSVKVVKKMLSLAIQGIEEKNVEIKLKTFGTAVQNGPVILEVNSCCSRDLNNNVVGISFIGQDVTKQKLVMNQYTQIQGDYTGIMRNPSALIPPIFMADGEGRCLEWNDAMEKLSGFRRVEMTNRMLLGEVFTLENFGCRVKDHTLTKLRIILHRVISGQDTEKFLFRFCDREGNYVESLLTASKRTDTEGTVTGVFFFLHVASPELQYALEMQRISEQATAENLHKLAYLRQEIRKPLDGIALMQNLISSSDLSIEQKQLIKLNTLSREQLHKIVHDTDIQSIEECYMETNCSEFNLGDVLDVVTNQTMTLSQEREVKIICESLADVSSLHLYGDNLRLQQVLSEFLTNTLLFTCKESSVIFKATPRKERIGKGIHIVHLELRITHPTPGIPAHLIQEMFDDNNDSSKEGLGLYISQKLVKIMNGTVQYLREAETSSFIILIEFPLVEHVAR >KGN44739 pep chromosome:ASM407v2:7:13710236:13712928:1 gene:Csa_7G375810 transcript:KGN44739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLVFVPKPCGLLISRCYSTLSRPDLISPSPSLLHFLQHAINHRSQKRIHQSHARVITHGLGQNTFLITKLISAYSICGDLKELNLIFDLASIKTVYIWNSLISGYVKKGMFHGAFGRFNEMQKCNIVPDDFTLSILAKASNELGNVVVAKSIHGKSLRLGFISDIIVANSLMSMYFKYGECKESLKLFNEMPERNSGSWNVLLAGYASFSDCFYVKEAWEAVRNMQLDGIKPDAFTISSLLQFCGHPNGKLSYGKELHCYIVKNELDLDSGSKFHIGCGLIEMYSRENNTIASRYVFDQIKSRNIYVWTAMVSGYAQNEDPDKALILFHEMQMKDGIVPNKVSLVSLLPACSLHAGLMNGKQIHGYAIRKEYHNDVTLCNALIDTYSKCGSLEYAKKVFESDSFCKDTISWTTLISAYGLYGLAEKSIRLYNDMVERSIKPDQITVLAVLSACGRSGLVNEGLQLYNTAVQEHKIEPTAEICAAVVYMLGNSGELEQAFSFISTMMVEPGPGVWGALVSASIKYRNNEMLELAYRYLVELEPDNPSNFISLSNLYASASRWDAVAELRNTMKERENVKVVGRKSEDMPLLGEISVISNQQGIDALGLAKLSL >KGN43915 pep chromosome:ASM407v2:7:4877849:4879340:-1 gene:Csa_7G073510 transcript:KGN43915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTHDSVLHIFLFPFLAQGHMIPIVDMAKLLSSRGIKITIVTTPLNSISISNSIKSSKSLYASNIHLLILKFPSAEVGLPDGCENLDFVISPAMIPKFISALNLLQTPFEEAVMEHRPHCIIADMFFPWANDVAAKVGIPRLNFHGSCFFSFCASEFVRIHQPYNHVSSETEPFLIPCLPRDITFTKMKLPEFVRENVKNYLSEFMEKALEAESTCYGVVMNSFYELEAEYADCYRNVFGRKAWHIGPLSLCNKETEEKAWRGNESSINEHECLKWLDSKKSNSVVYVCFGSIANFSFDQLKEIASGLEACGKNFIWVVRKVKGEEEKGEDEEWLPKGFEKRVEGKGMIIRGWAPQVLILEHPAVGGFVTHCGWNSTLEGVVAGVPMVTWPVSGEQFYNEKLVTEVLRIGVGVGVQKWVRIVGDFMKREAVEKAINRVMEGEEAEEMRNRAKEFAQMARNAIAENGSSYSDLDALIKELKWLSF >KGN44023 pep chromosome:ASM407v2:7:5797657:5797926:-1 gene:Csa_7G109800 transcript:KGN44023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEGYNGGSGGHQRRSRTKDTTELRSKTGINKSQANHKSESRTSSTNESTIRTRINNELELIFQRHNDEDMKHLVTTEIFSLENSATGT >KGN44898 pep chromosome:ASM407v2:7:15062529:15062868:-1 gene:Csa_7G394670 transcript:KGN44898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVEKRNEKGEERKGKRGNGSEGHNEAKKRCAALILLGEMKRIVGFLCSFGKWSDHHFIFILPLPAQEGKE >KGN43961 pep chromosome:ASM407v2:7:5167256:5167792:-1 gene:Csa_7G074940 transcript:KGN43961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHLHEFDQAFIQAPEHRPKLALSHADRIPTIDLSPIFNHSLPGSDVPHDLVHQIASACTEWGFFLVVNHGVPPEKRHRIEAAAREFFGQSLEEKRKVRRSQGLVTGYFDTELTKNVRDWKEVFDFMVEDPTIVPASPESDDDELTQWTNKWPEYPPEFRSSHYPIILFNKLLYGELD >KGN45189 pep chromosome:ASM407v2:7:16795775:16797623:-1 gene:Csa_7G430240 transcript:KGN45189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFESNETNEFIFRSKLPDIHIPNHLPLHDYVFQNLSKFASRPCLINGATGDVYTYHDVQLTARRVAAGLHNLGIKKGDVVMNLLPNSPEFVFTFLGASYRGAIMTAANPFYTAVEIAKQAKAANAKLIVTMACFYDRVKDLAENGVQIVCVDFAVEGCLHFSVLSGADESLAPLVDFSSNDVVALPYSSGTTGLPKGVMLTHKGLITSVAQQMDGQNPNLYYHRNDVILCVLPFFHIYSLNSILLCGLRVGAAIMIMQKFDIVALLQLIEKHRISIMPIVPPIFLAIAKSPEFEKYDVSSVRVLKSGGAPLGKELEDAVREKFPTAILGQGYGMTEAGPVLSMSLAFAKEPFQVKAGACGTVVRNAEMKIVDTETGASLPANSSGEICIRGDQIMKGYLNDLESTKRTIDKEGWLHTGDIGFVDDDNELFIVDRLKELIKFKAFQVAPAELEALLITHPKLSDAAVIGMPDVEAGEVPVAFVMKANGGAISEEEVKQFIAKQVVFYKRLKRVFFVNAIPKAPSGKILRKELRAKLASGAYN >KGN44624 pep chromosome:ASM407v2:7:12542571:12547110:-1 gene:Csa_7G351880 transcript:KGN44624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGDALPLYTSSSILHDGCSSLEHHQSQISTQLPTLLRGDNKFSMETEDDQMNVENGCIIGEFEEIESEYSSAALDVDICRMRRNRVHREIIESYDQLRIRSENLNQAKQKILSYSPGAWIEQVGGMKLSDYDIPQTTSLILIGPKGSGKSSLINRISKVFEEDHFAPERAQVSCNSSGEDGTFFLHEYMILRKSKSFCLYDTRGLSNDPSDNIEMLKQWMSKGVHHGKLVTRKSDASSLINRMRCKARQSFPRSRVVRIINFVIFVVDGLSVLKSIDGDDKQKDYDRVITTAFNCPYLSYGDDKPVVVLTHGDLLSFAENVRVRGHLGNLLGIPSTKQIFDIPDRYDPVTELTIIDMLHYCLEHADKNLPPKRWTVIKDMFSVSAADIYFVAILMIVFISASLYQVYVHCCLEQQKPKNAVEIVWPEIRHLWLDE >KGN44572 pep chromosome:ASM407v2:7:12003401:12006501:1 gene:Csa_7G336510 transcript:KGN44572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDLVEFHSQDLHAGFVKEQQQEIMDHTQIWLHDHQQQHQNHQTHQNFLSDNDDDALMFYSDFPPLPDFPCMSSSSSSSSTPAPVKATASSSSSSSSSSATSWALLKSDGDEITEDVKPNYDNNKLSSPVAENGFDCTDEMMMESFGYMDLLEDSNEFFDPSCIFQNEEQQEQQEEKVVVVEEEDQEGNLEDLQLERFEEVEMEDLMMMHGGDESKDNSNNNINVEKLENDVVEEEDMAKVFLEWLRSNRETVSADDLRNVRIKKATIESAARRLGGGKEGMKQLLKLVLQWVQTNHLHKRKFRDLTVETDAHFLGFQNNPNSSSNLPWIQTPSPLPPPPLYSPSMIGYVPATGGDAYGAGRGFSDHYGQLLDSSLSWSNSSSGNNNNNFSGQFGLPPSHFNSYVDNFATGPPLPPPQPQGFAAGYGGGRQFPYMGQYFQGQGGGGGGGGGGGGGGSGGGGENGLVRLDSSATKEARKKRMARQRRFSVHHRHHGGHHGNGHQNQHPTQMNPNASDHCNMATTAQPNAGNWVYWPSPTGAGASSTTSSGFPVDSSPLHAADRSNSSLQNQNYPPRIPSDRRQGWKPEKNLKFLLQKVLKQSDVGNLGRIVLPKKEAETHLPELEARDGISIAMEDIGTSRVWNMRYRYWPNNKSRMYLLENTGDFVRANGLQEGDFIVIYSDIKCGKYMIRGVKVRQPGSKPESKRPGKSQRSHTTAAGNGSSPSATTIATPKRH >KGN44694 pep chromosome:ASM407v2:7:13347266:13351050:-1 gene:Csa_7G372880 transcript:KGN44694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLEINPILMKSLIFLNKKKSWKGVVSSRSAAMKVLRLPCYSHLKPPAAHRHFATKYTAKITSSSPTGRSVAVVVTPPATLPVDSRGYALPRRDLICRVIDMLLHRNPHSSLITIDDRFSDLSSYFQSLSVSLTPAEASEILKSLNSPDLALQFFHRCSSLCPKFRHDAFTYSRILLMLSHSSSSKRIDQVREILSQMDRDQIRGTISTVNILIKIFSSNEDLELCTGLIKKWDLRLNAYTYRCLLQAHIRSRDSDRAFNVYMEMWSKGYQLDIFAYNMLLDALAKDEQLDRSYKVFKDMKLKHCNPDEYTYTIMIRMTGKMGRAEESLALFEEMLTKGCTPNLIAYNTMIQALSKSGMVDKAILLFCNMIKNNCRPNEFTYSIILNVLVAEGQLGRLDEVLEVSNKFINKSIYAYLVRTLSKLGHSSEAHRLFCNMWSFHDGGDRDAYISMLESLCRGGKTVEAIELLSKVHEKGISTDTMMYNTVLSTLGKLKQVSHLHDLYEKMKQDGPFPDIFTYNILISSLGRVGKVKEAVEVFEELESSDCKPDIISYNSLINCLGKNGDVDEAHMRFLEMQDKGLNPDVVTYSTLIECFGKTDKVEMARSLFDRMITQGCCPNIVTYNILLDCLERAGRTAETVDLYAKLREQGLTPDSITYAILDRLQSGSNRKFRVRRQNPITGWVVSPLR >KGN44020 pep chromosome:ASM407v2:7:5770084:5771832:1 gene:Csa_7G108300 transcript:KGN44020 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fasciclin-like arabinogalactan protein 11 MLQQLCRGTAAGTLVLAVLFLVIATATAHNITKLLEKHPEFSTFNHYLTTTHLAPEINRRTTITVCVVDNSAMSDLLAKQLSIYSIKNTLSLHVLLDYFGAKKLHQITNGTALAATMFQATGSAPGSSGFVNITDLKGGKVGFGLQDSDDIDAMYVKSVEEVPYNISIIQISKILPSQVAEAPTPSPSEMNLTGIMSAHGCKVFAETLQASDAVKTFQENAIGGLTVFCPLDDVFKAFLPKYKNLTAAGKISLLEYHGLPVYNSMSMLKSNNGITNTLATDGANKYDFVIQNDGEVVTLQTKVVTAKITGTLLDEQPVAIYTIDKVLKPRELFKKEIPAPAPAPAPETEKPADAPKAHSHKHKKGAATPAADSPADSPSDEDPADQTTDGNGAVRLNGWRFVLAGISGLAALLLL >KGN45265 pep chromosome:ASM407v2:7:17249183:17251713:-1 gene:Csa_7G432470 transcript:KGN45265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGSVAFVLGLIAVVFLHPATAQSTVHIVGDNTGWSVPSSPNFYSQWAAGKTFRVGDSLQFNFPANAHNVHEMETKQSFDACNFVNSDNDVERTSPVIERLDELGMHYFVCTVGTHCSNGQKLSINVVAANATVSMPPPSSSPPSSSPPSSVMPPPSPSSSNALMATLYLTFSALLVMAFF >KGN43391 pep chromosome:ASM407v2:7:1655010:1665250:1 gene:Csa_7G031030 transcript:KGN43391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKDPEETANTATEEESLAFKKKRARRVSFADREITSVHIFKRDEDYETPPEPQTTPEAALPDNEVLGFFRDLADSDDSRESSPNLDDDVLGQRKSFLRPLGSPSPGSISAGSATSNDEENFFGPVSASFIRPMRLSDSAASDDNHDVTMDSTAFSMHFRSLAESDSGRDLKTPTAIRSGFEDRTLTQSTVRTNPDSFMTLTMADKMISPSSQSGDVVRSKDSNAMSIVGENSEKYEYGRLSPSLDALLTEGSRDLYAVSVDEKLSEQIETREVDQTGQGNYDEEISEKTEMGSKKYFKHGGEESNSRTPHKAFQSNGLLQRNFSDGWDKEDVLMDKRHETPRSIDYNLKDISPLKRLLSAEQKVSLSTFNSPSFSALVTPISKLSNYKLSTGSMKFGKILLSKQISISKFRLPESSPHVSSNGEGKDRLKSRPSSYSSLVNLSGQADRSKDPAHNKYIDIPVVRLEEQLTRSHGNNSEFKSSFSTSGSGFKTTKDFPRLSQSEEPKGLIEAGETPDHMDVANFSDNQPSEQVTEAKSPVQAAWTENKDLMPHILMSEDPLLRSSTSTEIDDLTNIRADGREQNNSTSMHDTIVSSPSKSLDVRLSGATECSTRCLGELNQRNQQVKHVSDCLTQGGAAPAPTSNTRPSPLNLIADNLRSLQSKIGTVSTSPLLKGLSLVDGDDNGVNLSNLHNNSETFSNLQRSSRNGNIVNSHLESPAKTSKLGAFSPQFQKAWTSGLSIMQSPFTGISNYSPRRIISTQTSSGKKESMVAISCESSLSPIKNEQSQSSARKRPFQSPFRNDPFNETNDDGMFMRKVMASPTSNLSGNINQDNDQESCVLVSSSSKGNHSHSGSKRRNIDPMPLDRDHDDNEIIVRIRQNLKLNHNGSCDVDSLVEEFNQMSDGNKRIEDNRNQAFMHWTDMSRKILAEIKDLLPPSINKLNSKAIEKLEDTLVHLLKVKKYELLCSEIQSQKVTENLGGMHKRVEEARPLLYKVAYQKAKLQLEFVKRDWYLNRAKSLSSYIENLQMLKLNYDRLTDCGSKSSHVDDGNGLSCPIDSEAYCERANTIKHESVTLDAKIKALSKYFSTYCKLKGMTSSVDILGSVIDHLRKRKLCRSIYQDLQMWKVDDFEKKNDHYTILLNYLGYAYQRITIKANPFPSVTILNTLNDTHIAKNFPEMNAGSAFSFVLNVERTRRCIASRHFSKETQMMSSLLHNLLDVIEEMQIAQIEISNLVLIKFYSPSDEQLDLQLSFINFQSGWKVNLVLDISDLSRGIYPSEVLPHKVESPASTQYALSESMLNGIRTAVENLDPGYSRILRVCRCVSEAVQGSSSRQ >KGN43746 pep chromosome:ASM407v2:7:3839121:3839776:1 gene:Csa_7G064070 transcript:KGN43746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLPITLMALTALFLITSTFAQSPAPSPKKSHHPHSHSPSPHNSPILSHKSVSSPSPSPSPSPVASSSLPPANALAPALLFNDAITNTFAVSASLFTAVSAAAFLF >KGN44735 pep chromosome:ASM407v2:7:13676295:13679129:1 gene:Csa_7G375770 transcript:KGN44735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHVGKLICSNLGARMDSEPKRWRVIADVLYDLGAGLEVISPLCPHLFLEMAGLGNFAKGMAVVAARATRLPIYSSFAKEGNLSDLFAKGEAISTLFNVVGIGAGLQLASTICSSIQGKLVAAPLLSIVHVYCVVEQMRATPINTLNPQRTAMIVADFVKAGRIPSPADIRYQEDLVFPGRLIEEAGNVKVGRALHEVIKPSKLVEMKQIFPGEKFVLNQSKKWVDMVLEHDASGEDALRGWLVAAYTTNIKEPSHEPTASVLLEAYEKMNDVFTPFLSELQAKGWYTDRFLDGAGSRFAW >KGN45191 pep chromosome:ASM407v2:7:16814908:16818409:-1 gene:Csa_7G430750 transcript:KGN45191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDDRMATSLIGGLIHQPLVPNFSINSNFLNFLILTLLLVLQFIPPFSVSASCNPSDRASLWYFVNSSSVSSSFNWSSTIDCCSWEGVICEAIANSDDNRVTQLLLPSRGLRGEFPSTLTNLTFLSHLDLSHNRFYGSLPSDFFKSLSHLKELNLSYNLLTGQLPPLPSPSSSSGLLIETLDLSSNRFYGEIPASFIQQVAISGSLTSFNVRNNSFTGLIPTSFCVNTTSISSVRLLDFSNNGFGGGIPQGLEKCHNLEVFRAGFNSLTGPIPSDLYNVLTLKELSLHVNHFSGNIGDGIVNLTNLRILELFSNSLIGPIPTDIGKLSNLEQLSLHINNLTGSLPPSLMNCTNLTLLNLRVNKLQGDLSNVNFSRLVGLTTLDLGNNMFTGNIPSTLYSCKSLKAVRLASNQLSGEITHEIAALQSLSFISVSKNNLTNLSGALRNLMGCKNLGTLVMSGSYVGEALPDEDMIVDANTFQNIQALAIGASQLTGKVPSWIQKLRSLEVLDLSFNRLVGSIPEWLGDFPSLFYIDLSNNRISGKFPTQLCRLQALMSQQILDPAKQSFLALPVFVAPSNATNQQYNQLSSLPPAIYLGNNTISGPIPLEIGQLKFIHILDLSNNSFSGSIPDTISNLSNLERLDLSHNHLTGEIPHSLKGLHFLSWFSVAFNELQGPIPSGGQFDTFPSSSYEGNSGLCGPPIVQRSCSSQTRITHSTAQNKSSSKKLAIGLVVGTCLSIGLIITLLALWILSKRRIDPRGDTDIIDLDIISISSNYNADNNTSIVILFPNNANNIKELTISDILKATDDFNQENIIGCGGFGLVYKATLANGTRLAVKKLSGDLGLMEREFKAEVEALSAAKHKNLVTLQGYCVHEGSRLLMYSYMENGSLDYWLHEKVDGASQLDWPTRLKIIRGSSCGLAYMHQICEPHIVHRDIKSSNILLDEKFEAHVADFGLSRLINPYQTHVTTELVGTLGYIPPEYGQAWVATLRGDMYSFGVVVLELLTGKRPVEISKPKASRELVGWVQQLRNEGKQDEVFDPILKGKGFEEEMIQVLDIACMCVSQNPFKRPTIKEVVDWLKDVGETKVPQSKDV >KGN44204 pep chromosome:ASM407v2:7:7933269:7934889:-1 gene:Csa_7G221360 transcript:KGN44204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAASSYIMFSMVGWKNGIQNTKHTLRFPISAQINEAAEQLKAGDQEEDQEQAKPKPSPLRPVEVQQNVKSKNMGREYGGQWLSSVTRHVRIYAAYIDPITCEFDQTQMDKLTLLLDPSNEFLWNPETCNKVYAYFQELVDHYEGAPLTEYTLRLIGSDIEHYIRKMLYDGEIKYNMNARVLNFSMGKPRIMFNANDLPQDDLQSK >KGN44606 pep chromosome:ASM407v2:7:12352117:12355448:-1 gene:Csa_7G342000 transcript:KGN44606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTHIFKGPLLRRLLHAQPTTRRGTCCVLGQFSFHFNSTNFFSLAQNPRAHQAEGTNSLSSPIIAFPASNFFTLLEIQVMMQECMDAAEALLS >KGN43379 pep chromosome:ASM407v2:7:1577321:1580540:1 gene:Csa_7G029930 transcript:KGN43379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLMRKMFMCKRELRTFVVVVTSLVLLFGPVSSQPILEHRFSYVGFNERENNQAFTFTPSSSIDGGALQLTPDSQNDVVKLQNTSGRIMYHEPFKLWLNDSDKKEKSDTVASFSTYFYINIFRREEWTAGEGLTFLIAPTSVVPEQSWGQWMGLTNETIDGDEKNQIVAIEFDTQKQDFDPDNNHIGLNINSVKSRKTVPLKEAGIVLSPEVGTNHSIWVEYDGKAKLLQVYMSINKDPKPNKPLLNETLNLKEFVKQESFIGFSASTGSPEIQLNCVLEWTLEMERLPEKKNLTWLKILAGVGIPVLTIAILVGVWLFVGYRKKRREHVDEESNVQGTLKRLPGMPREFKYKELKRATHNFHESMVLGNGGFGIVYKGVLQDKDRDITASSNSGSRLEIAVKQFSRDSIKSKSDFLDELTIIHRLRHRNLVRLEGWCYEKGKLLLVYDFMPNGSLENHLYDVDEQNVLNWGHRYKILCGVASALHYLHNEYDQKVLHRDIKSSNILLDSEFNARLGDFGLARALDPERNSYADLHCGGVAGTMGYVAPECFHEGRATPESDVYGYGAVVLEIVCGRRPGAVVEDEQDHYSLIDWVWKLHREGHIEKAVDNQLGNDIVVDEARRLLLLGLACSHPVASERPQTQAILQILNGAVPPPHVPPFKPVFMWPPMSSSSTSSILTSLSNTNNSLS >KGN43492 pep chromosome:ASM407v2:7:2231073:2235700:1 gene:Csa_7G041370 transcript:KGN43492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGGSSGSSCSFMATCNSTTNNNNSNNTSMMMMMINKTHNNPQTFDNKMFLPLSWSSSTSNNNNNNNVQTQTPLSAFLPQPHTNNSNDHVVPPTSTSKAKIMAHPLFPRLLTAYVNCQKVGAPPEVVARLEQACAVATGSCRAAGHGNDPALDQFMEAYCEMLTKYEQELTKPFKEAMLFFSRIESQLKAEAVSSDGFELVGQNECSKEIEVDMNENYIDPQAEVKELKGQLLRKYSGYLGSLKQEFLKKKKNGKLPKEARQQLLDWWSRHYKWPYPSESQKVALAESTGLDLKQINNWFINQRKRHWKPTEDMQFVVMDAGHPHYYLDNVICNPFSMDCSSSHSDSHM >KGN44077 pep chromosome:ASM407v2:7:6441157:6442137:-1 gene:Csa_7G169580 transcript:KGN44077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKLFIHLLVVVFVLTMLLCPSSLSLFPKSIPLPFEKSVFSGHRRSLSTPIRSPSTSVCSISPSSSTSSNPSRPSFQSPPSAAFHLSKIPEYLTLLRPSFQLHQRVPHVRRFKIHLSKTYNVRRFKVLPSTTDDDPQIHHCKNRSPPTIFPFFGLML >KGN44902 pep chromosome:ASM407v2:7:15099310:15110616:1 gene:Csa_7G394710 transcript:KGN44902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLKDDFSNLEIGSSFDSFQKFLDSQKDLFRSQVDQLQTIVVTQCKLTGVNPLSQEMAAGALSITIGKRPRDLLNPKAVKYMQTVFSIKDALSKKESREISALFGVKVTQVREFFNSQRSRVRKLVRVSRERSIQSNSCKQLEVGGIATNNDPSIPIDAVPLNSDAVVPLNSDAPMPLNSEAPVPLYFDTPVPLNTIEPSNVDNGPSCSTQDSELSGIDGVDKHFVQTIFSMMQKEETFSGQVKLMEWILQIQNSSVLCWFLTKGGAIILATWLSQAAAEEQTSLLYVILEVFCHLPLHKALPVHISAILQSVNYLRFYRTSDISNRARILLSRWSKLLARSQALKKPNGVKLLTNVQTDMILKQSIGDIMSDESWRSNMDMPENFVTSNVNADNMRKPESHQTLKLLPASSDDLNRKNVLGLSSSRFRERRKVQMVEQPDQKIAGRNSQAQRSSPASQGRPMSTDDIQKAKMRAQFMQNKYGKTGASNGRTVVKSVNVNKPLHIVSGASSPASKVSLLPKFEDQKKAVALFPKFNNKVETPLHSKIEMDFKDSLGEKCKRVQIQWRMPPEMKLNDLWRVGDGENSKEAGFQKNRNSREKETFYQTILDIPSNPKEPWDLEMDYDDSLTPEILTEQLPDNESSEAEVRNHVVDAAVPSEVISSQDLKPNAAEPDLELLAVLLKNPELVYALTSSQAGSLPTEETVQLLDMIKAAGGASNLGGVTRMEKTVEKVEVSLPSPTPSSNAGTSGWKPAALRNPFSQRDSIAESRVALSSPPVDTSSIAVSRIVTPNQQHTAMPPVSHQLPASVSQFSHSQTMINGCQSHHVIHSHQHQQSIVNSPNVQNPETALPLRGFPINNQPLVNHLTPAASSARIEGRSIVKPSFTSNIPERIPISFQSPPSPTPTQMPPIQQQRQQPQLQPFRSEHPHLHPHHQTRVNISLPPAEKSAPSLGSWRPRQQDISSQYNNSGASANQNNDSKFVGGSMGVGRGGPSWGRSDFESWSPENSPVRNQEYNRPDKGFSEPRINSGRSYGPIDNNQQQQQRQRSPYGYGEQNRYGNNNRRWRDREY >KGN44625 pep chromosome:ASM407v2:7:12563544:12564077:1 gene:Csa_7G351900 transcript:KGN44625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQYWKDLENGNSIEAWASKWEMHGTCSAAGFDQFKYFCLGLDTYGRHAIFSFLDREGLAPSSSKYVAKASFITAIANSTLKKGGVICAVDQYRRIQLQKAVLCYVKDGHTLIDCPDNVSSSCPDNFVWLALGD >KGN43225 pep chromosome:ASM407v2:7:591539:591871:1 gene:Csa_7G009205 transcript:KGN43225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVILTGGCSLPSSSVLPTEISNEEKDLEGSTAQAERTPLRQQPSDLFSGDASGMRSRMTGLSSQECGMSNLNNEQFPCSVAKAPFIDQMTSPLVHVHPNFQPGFSTCRN >KGN45026 pep chromosome:ASM407v2:7:15802568:15809858:1 gene:Csa_7G407790 transcript:KGN45026 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MASTPPHCSITAAKPYQTHQYPQNNLKNHRQNARQNGPWTTTHKFPLVKPLPSTPGHSATKSTSTPLSQSPNFPSLCSLPTSKSELASNFSGRRSTRFVSKFHFGRPKSSMTTRHSAIAEEVLHQVLQFGKDDASLDNILLNFESKLCGSEDYTFLLRELGNRGECWKAIRCFDFALVREGRKNERGKLASAMISTLGRLGKVELAKGVFETALSEGYGNTVFAFSALISAYGKSGYFDEAIKVFESMKVSGLKPNLVTYNAVIDACGKGGVEFKRVVEIFEEMLRNGVQPDRITYNSLLAVCSRGGLWEAARNLFNEMIDRGIDQDVFTYNTLLDAVCKGGQMDLAYEIMLEMPGKKILPNVVTYSTMADGYAKAGRLEDALNLYNEMKFLGIGLDRVSYNTLLSIYAKLGRFEDALKVCKEMGSSGVKKDVVTYNALLDGYGKQGKFNEVTRVFKEMKKDRVFPNLLTYSTLIDVYSKGSLYEEAMEVFREFKQAGLKADVVLYSELINALCKNGLVDSAVLLLDEMTKEGIRPNVVTYNSIIDAFGRSTTAEFLVDGVGASNERQSESPSFMLIEGVDESEINWDDGHVFKFYQQLVSEKEGPAKKERLGKEEIRSILSVFKKMHELEIKPNVVTFSAILNACSRCKSIEDASMLLEELRLFDNQVYGVAHGLLMGFSENVWIQAQYLFDEVKQMDSSTASAFYNALTDMLWHFGQKRGAQLVVLEGKRRKVWETLWSDSCLDLHLMSSGAARAMVHAWLLGIHSVVFEGHQLPKLLSILTGWGKHSKVVGDGALRRAIEALLTSMGAPFRVAKCNIGRYVSTGSVVAAWLKESGTLKLLVLHDDRTHPDSENMDLISKLQTISL >KGN45255 pep chromosome:ASM407v2:7:17203124:17207503:-1 gene:Csa_7G432370 transcript:KGN45255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVPVRFRTLLHHRHVKKPKQMTTIAATSSALKSFSPPTHPLISLLETCESMDQLQQVHCQAIKKGLNANPVLQNRVMTFCCTHEYGDFQYARRLFDEIPEPNLFIWNTMIRGYSRLDFPQLGVSLYLEMLRRGVKPDRYTFPFLFKGFTRDIALEYGRQLHGHVLKHGLQYNVFVHTALVQMYLLCGQLDTARGVFDVCPKADVITWNMIISAYNKVGKFEESRRLFLVMEDKQVLPTTVTLVLVLSACSKLKDLRTGKKVHSYVKNCKVESNLVLENAMIDMYADCGEMDSALGIFRSMNNRDIISWTTIVSGFTNLGEIDVARNYFDKMPEKDYVSWTAMIDGYIRSNRFKEALELFRNMQATNVKPDEFTMVSVLTACAHLGALELGEWIRTYIDRNKIKNDLFVRNALIDMYFKCGDVDKAESIFREMSQRDKFTWTAMIVGLAVNGHGEKALDMFSNMLKASILPDEITYIGVLSACTHTGLVDKGRKYFLRMTSQHGIEPNIAHYGCLVDLLARAGRLKEAYEVIENMPIKANSIVWGALLAGCRVYRESDMAEMVVKQILELEPDNGAVYVLLCNIYAACKRWNDLRELRQMMMDKGIKKTPGCSLIEMNGRVHEFVAGDRSHPQTKNIDAKLDKMTQDLKLAGYSPDISEVFLDIAEEDKENSVFRHSEKLAIAFGLINSPPGVTIRITKNLRMCMDCHNMAKLVSKPNSTTLRILMGNSDGLLERLLWSSHVQGLAKLFLKQQEKLISMVFLVKITAGFASGRWDGTNQALIFGAFSDKYLPQSIGKEFQKNHNSTTIQLKLLEGAGHMAQEDWYKLYLICIIACTRRSSSRLCGRFPETLHGNLHCHLKIPGNWRI >KGN44954 pep chromosome:ASM407v2:7:15400532:15401461:1 gene:Csa_7G398160 transcript:KGN44954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALARQLIISAAPVLLLWLLASADVSSATDYYGFPDIGDAGVPIYDKSLPSYGSDGTVPLSIAVEGVVSCKNGNKYHPLKGIVARFTCMALNEKGKEMAPFSFSSFPSDNNGYFLATLSASRLKGKAKVTQCKAFLPPYSPYGACKYLTNVNDGVVGALFRSFRILPHKKMKLYSLGSFFYSSQPNI >KGN45343 pep chromosome:ASM407v2:7:17602027:17602772:1 gene:Csa_7G441625 transcript:KGN45343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENVLAKKWALMAWLLLTSLSTANSTSFQECYATCFVICAITPGVAFSDCPLRCLQACIVPSFPIDDADVVHRQQQQNHFFCKLGCAASSCTKFSTKQNPGERKVGRCVDSCSQTCSMN >KGN43685 pep chromosome:ASM407v2:7:3437320:3440720:-1 gene:Csa_7G058590 transcript:KGN43685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHIGEEMKNKQVIFRDYVRGFPKESDFIITSATIRLKLPQGSNGVLLKTLYLSCDPYMRMLMQSQSATNSYSPGSLIYGFGVAKVLESAHSGFNEGDLVWGILKWEEYSVVEEPGKLIKIQHTDVPLSYYTGILGMPGITAYFGFHDICSPKKGEYVYVSAASGAVGQLVGQLAKLMGCYVVGSAGSKEKIELLKNKFGFDDVFNYKEEPDLNAALKRCFPEGIDIYFENVGGKTLDAVLLNMRPHGRIAVCGMISQYNIDQSEGVHNLMLLVVQRIRMEGFSAPDYFHLNAKFLEAMLPYIREGKISYVEDTVHGLESGPTALIGLFSGRNIGKQVVAISTE >KGN44401 pep chromosome:ASM407v2:7:9898092:9899261:1 gene:Csa_7G279830 transcript:KGN44401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLLKMDDDGFDRESRDSHIGFDTEGTRRILANPIHSSPKRLGTPTFYERFLCGFGQESRVPHAIYNIEGTQSILAQSGLALLLSTSDFYVASAKSREFPMQFLIPKKFKPVAAWISYFLRAIMASGESQKFPTQRLRSPLFLGQLYCSFRRESRVLHTSFNIEGTRHILAWIGFALLLSTSDFYVASAKSPELHTHFLIPKEPDAFLPEAACLSFIQTIMASIESREFPI >KGN44328 pep chromosome:ASM407v2:7:9232062:9233789:1 gene:Csa_7G257320 transcript:KGN44328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRKDEISPTSGLQIPLINPHNHKTNHFPFLCSLIASMASILVGYDIGVMSGAAIFIKEDFKISDVQVEVLVGIINLYSILAAAAAGRTSDRIGRRYTIVLAAGFFFVGAILMGFAPNYAFLMFGRFFAGAGIGYAPLAASVYTAEISSASSRGSLFTLQEVLINLGILLGYVSNYAFSKLPVHLGWRFMLGIGLVPSVFLAALVILVMPESPRWLIMQGRVGEAKQVLIKTSDSIEESLHRLVDIKNAVGIPPTCENDIGHVPKLSIHGSGIWKELFLHPTPAVRHIIITVVGLHFFLEATGTDAVLLYSPRIFEKAGITSPDEKLLATVGVGLTKTVFVLIATVLFDRIGRRPLVLASIGGQAISLMVLGTGLTIMEKSEERMRWAVGMCVATVLSDVAFYSIGMGPMGFVSSEYFPLKLRAQGMSVGVMVNRLVGAIVTMTFLSLYSAITIGGAFFLYAAVALLALVFFYVVLPETYGMNLESVEGVFGNLNWKSS >KGN44949 pep chromosome:ASM407v2:7:15380996:15381970:-1 gene:Csa_7G398110 transcript:KGN44949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGDWVSNALKVVVFREALTAYDILQQYGFPVGILPIGATGYQLNRATGEFSLYLSQKCKFKIDSYELEYKSTLQGVISKGRIRKLKGVSVKIFLLWLSIVEVVNDGDDLQFSVGIASANFPLDSFYESPRCGCGFDCDNGAGSLVSAS >KGN43929 pep chromosome:ASM407v2:7:4946926:4948630:-1 gene:Csa_7G073650 transcript:KGN43929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAHHHPSWTLLNYSGSDIDDDDNNNNHENNNNNNTGYLPPPPPMQAGKKRELETEGKSAGATESSDHDIHIWTERERRKKMRNMFSNLHALLPHLPPKADKSSIVDEAVNYIKTLQETFQRLHKQKVEKLNNNNNNNNNPSMGCSKIVHHPLPTTREAFLADQASSNDDMSSSATLLSHLSTTITPENVLSNLPLPSPPSAFQTWTSSNLVLSVCGRHAHFCVCSAKKPGLFAALCYVLDKYRIDVVSAHVSSDVHQSFFMIQAHVSRGYNEFGAATVAEDTFKEAAGEIIFWLSS >KGN44138 pep chromosome:ASM407v2:7:7251238:7254552:1 gene:Csa_7G201900 transcript:KGN44138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSMKNENPVIMKTEMAQKTNSCLCSIPILFLLTLLLTLLFSIFLLFTSSSNPISSSSSSLFNPNIPPSHQSIKVYIADLPRSLNYGLLDQYWAIQSDSRLGSDADRAIRSTQMKKPLQFPPYPENPLIKQYSAEYWILGDLMTPQEQRDGSFAKRVFKAEEADVIFVPFFATMSAEMQLGMAKGAFRKKVGNEDYERQRNVMDFLKSTDAWKKSGGRDHVFVLTDPVAMWHVKTEIAPAVLLVVDFGGWFRLDTKSSNGSSPDMIQHTQVSVLKDVIVPYTHLLPRLHLSANKKRQTLLYFKGAKRRHRGGLVREKLWDLLVNEPDVIMEEGFPNATGKEQSIKGMRSSEFCLHPAGDTPTSCRLFDAIQSLCIPVVVSDNIELPFEDMVDYSEFSVFVAVNDALKPNWLVKHLRTIPEEQRNGFRLYMARVQSVFEYENGHPGGIGPVPPDGAVNHIWRKVHQKLPMIKEAIARERRKPKGVTVPLRCHCT >KGN44715 pep chromosome:ASM407v2:7:13534306:13540845:-1 gene:Csa_7G374570 transcript:KGN44715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPWLRFFNLLLPLSVFLFLCLKRYALASDPYVFFDWTVSYISASPLGLKQKVIGINGQFPGPVLNVTTNWNVVVNVKNDLDEPLLLTWNGIQHRKNSWQDGVSGTNCPIPAGWNWTYQFQVKDQIGSFFYFPSLNFQRAAGGYGGITINNRDVIPLPFSVPDGDITIFISDWYTKGHKELRKDVENGIHLGVPDGILINGEGPFRFDSALVSDGIPFHTINVEPGKTYRLRVHNVGISTSLNFRIQSHNLLLVETEGSYTVQQAYENMDIHVGQSYSFLVMMDQNASSDYYIVASSRLLNSSSLAGTTGVAILHYSNSQGPASGPLPDPPNELDTFFSMNQARSIRWNVSAGAARPNPQGSFNYGQITVTDVYVILNRPSELINGKWRRTLNGLSYFTPSTPLKHAQQFSIPGVYKLDFPNQMMNRPPKLDTSLINGTFKGFMEIIFQNSDKNVQTYHLDGYAFFVVGMDFGVWTENSRGTYNKWDGVARCSTQVFPGAWTAVLVSLDNAGIWNLRSENLDSWYLGQELYVSVVNPEIDKSEIPMPDNTIYCGLLSSLQKDQSQRFKFSGAASSADAFHSIVAVLIMTLMATFIR >KGN45104 pep chromosome:ASM407v2:7:16285639:16289963:-1 gene:Csa_7G426450 transcript:KGN45104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVQDRQNPKPHQIPLANPFPESKPFDFSNWVSLNLFKLATLFFLTLTIASFFFLRGAPDSAAFLCFNSRPKPSQLTHLPIINFDSIHPLVDKSSSYASFSSDRWIVVSVSSYPSDSLRKLAKTRGWQVLAVGNSRTPSDWSLKGVIYLSLEEQSSLGFRVVDFLSYDSYARKTVGYLFAIQHGAKMIFDADDRGEVIDGDLGKHFDLKLSNVDTLQERILEFDFENPNKTVVNPYIHFGQRSVWPRGLPLENVGDVLYEEHYSQVFGGMQFIQQGISNGLPDVDSVFYFTRKTSSQAFDIRFDDHAPKVAIPHGVMVPLNSFNTLFHNSALWALMLPVSVSTMACDILRGYWAQRLLWELGGFVAVYPPTMFRYDDIEGYPFSEEKDLHVNVGRLVKFLSSWTSNKATFFEKVMELSNSMEEEGFWKENDVKLIGAWLQDLISVGYIQPRMKGFEMKKQRKRRIGDGRSFVPKKLPGFHLGVEESETVNFEIGKLIRWRKKFGNVVMVLFVENGDVERTAMKWKLLYGRIFKTVVVVAEHGREDLGVEEASLEFIYKYLPMVFERFPNAEGFLFLQDNTILNYWNLLQADKDKLWITYKVPQSWTRVSDDSVLFAKQADWVKKVVSTMPVHFQVNYKESNPTEQGLTICNSEVFYVPRMFVGDFTDLVALVGNYKIDYRVAVAMFFMAMDSPLNFDDIFSRMVYKKIPAEELLSNVTNLYAAEVPAVHPWRVSNEVEFAELMRLMAAGDPLLKELV >KGN45359 pep chromosome:ASM407v2:7:17717747:17720230:-1 gene:Csa_7G446760 transcript:KGN45359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDMFLKPKFYTKCKSCVKMTKTRLDTTRKKKNAVLKYLKNDIVELLKSRLDYNAYNRAEGFLVERNVLRCYELIDEFCGTISNQIPVLNKESECPDECKESVATLIYAAARFADLPELRELRNLFTEKYGSSFGSFTNKEFIEKSRTTTQTKEMKIQLLQEIAQETAIDWNSKALEQQLYTPPPENELDGERSGATKRNKTKVVSVPVYEKKANSPRNKNNSDNESIFDSRSEGNTTETSTGDSTDQDVHKGVSGDEVDQKPFNRRFVQPPYLKTKPIKTEANAEELPRKVTIENDESNPKSPTEEKPKPRSVRRRIVKPQPARDINIDDVGSSTVDVTKKISSIRNKGKETMIGEEKGARDDEERVLDGLLMQYSKKKTNQESKSRGKSNLKPQRQQEKDNIEHQRPTSRAVSFPPDQNEPMKKHTRTNSFVHPKLPEYDQLAARIAALKEK >KGN44068 pep chromosome:ASM407v2:7:6370049:6373201:-1 gene:Csa_7G161030 transcript:KGN44068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVGDLNKVWEIKALKKAGEKEAKDMLERIAKQVQPIMRKHKWRVKVLSEFCPKNPALLGLNVGRGIHVKLRLRRPNRDGDFFPFNQVLDTMLHELCHNLHGPHNANFYKLWDELRKECEELIAKGVSGTAQGFDLPGRRLGGNVRQPSLSSLRKSSLAAAEGRRRLGSLLPSGPNRLGGDSNIMVALSPVQAAAMAAERRLQDDIWCASFQGMPVDEDCCPHFPSEAAHSSQAGKSGPFHNLSKSVDALHQKRCRESERSFNKSSNGRLEPDFVDLSKDNAIPGSSADYSAESNKRHKLPDRISFPQSSAETSSIDLSCSSSNLMARNDGTIHPGELSMWECGNCTLLNPPLAPICELCFSQKPTDSDTRYKFWSCKFCTLENSVKLEKCTACDQWRYSHGQPVSTRGPNLGT >KGN43386 pep chromosome:ASM407v2:7:1616805:1620926:-1 gene:Csa_7G030000 transcript:KGN43386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSKELLSGSSDNKNAKSETSSSDNPPPQAASQRTNSPPQAAPQRTNSIFDDLPQDSPFDFSSMSDILNDPSIKSLAEQIAKDPSFNQMAEQLQQFQSPPAQEGIQFDPQQYFSTMQQVMQNPHFMSMAERLGSTLMQDPSMSRMFESFASPPDGDQLEERMAQIKEDPSLKPILEEIETGGLPSMMKYWNDKEVLQKLGKAMGLPVSAEAATSTDNAGVDDSEEPENEDESAVHHTASTGDVEGLKKALASGADKDEVDSEGRTALHFASGYGEVECAQVLLEAGAKVDALDTNKNTALHYAAGYGRKDCVALLLENGAAVTLTNMDGKTPIDVAKLNNQNEVLKLLEKDAFL >KGN44930 pep chromosome:ASM407v2:7:15266448:15274065:1 gene:Csa_7G396450 transcript:KGN44930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVSWFTSLSCTAGAYPSTTSQWLQFTFLSPCPQRAFLSSVDLLFLLLIMLFGAQKLYSKFTIKGQPDCNLSERLIEKNRARLETTIQFKLSLILSILFALICIVFCIIAFTTSKQSQWKLTNGLFWLVQAVTHTVIAILVIHERKFEATRHPLTLRLYWAANFIIVCLFTASGIVRLVSAKETGEPNLRFDDIVFIVFLPLSMVLFYIAIKGSTGNMMTRTVQEINKDGEEFEPPNESNVTAYASASSLSKLLWLWMNPLLKKGYAAPLVIDQVPSLSPEHSAATRLAIFESKWPKPQERSEHPVQTTLFWCFWKDILFTGVLAVIRLGVMFLGPALIQSFVDYTDGKRSSPYEGYYLILTLMFAKFFEVLTTHHFNFSSQKLGMLIRCTLITSIYKKGLKLSSSARQAHGIGQIVNYMAVDAQQLSDMMRQLHAIWLTPFQVAIAFALLYVYIGAAVVAAAVGLLAVFLFILFTTKNNNRFMRQVMMGRDSRMKATNEMLNNMRVIKFQAWEEHFQRRVETFRETEFKWLSKFMYSVSTTMVVLGSAPALISTVTFGCAILLGIQLDAGTVFTVMSLFRLVQEPIRNFPQSLISLSQAVISLGRLDSFMLSQELAEDSVEREVGCDNGVAVEVLDGSFSWDNEDGEVLKNINFNVRKGELTAVVGIVGSGKSSLLASILGEMHKISGRVRVCGKTAYVAQTSWIQNGTIEENILFGLPMDRKRYSEVIRVCCLERDLEMMEFGDQTEIGERGINLSGGQKQRIQLARAVYQDCDIYLLDDVFSAVDAHTGSEIFKECVRGILRDKTIILVTHQVDFLHNVDLILVMRDGMVVQSGKYNDLLSTGTDFEALVAAHETSMGSVENGTAEAVENLPLLQKIPSKNRKVNGENNVIDTPNINKGSSKLIQDEEKETGRVGWELYKVYCTEAFGWWGVAVVLGLTLAGQLSSMSRDYWLAYETSDENAKSFDSSLFITVYAILAFISLVLVAFRSFGTTFLGLKTAKVFFSQILNCILHAPMSFFDTTPSGRILSRASNDQTNVDVFIPFFLGNTLVMYFAVLGIIIITCQYSWPTAFFLIPLGWLNVWYRGYFLSSSRELTRLDGITKAPVIHHFSESITGVMTIRSFRKQELFCKENIKRVNANLRMDFHNNGSNEWLGFRLELLGSIFLCISTLFMILLPSSIINPATVGLSLSYGLSLNTVLFWAIYMSCFIENKMVSVERIKQFTIIPSEAKWRMKEELPPPNWPTHGDVHLQDLLVRYRPSTPLVLKGITLSIHGGEKIGVVGRTGSGKSTLVQVFFRLVEPSGGKIIVDDVDIGTLGLHDLRSRFGIIPQEPVLFEGTVRSNIDPIGQHTDEEIWKSLERCQLKDIVSAKPDKLDSSVVANGDNWSVGQRQLLCLGRVMLKHSQLLFMDEATASVDSQTDAVIQKIIREDFATCTIISIAHRIPTVMDCDRVLVIDAGLAKEFDKPSRLLEKPSLFGGLVQEYANRSTDL >KGN44226 pep chromosome:ASM407v2:7:8169903:8174071:1 gene:Csa_7G232500 transcript:KGN44226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSSSLSLCLFFPFPSSSSSSLRFINISNPFFSPSPNFPLFVSSRRRSSLNTSLWIRGHIRGDTDGDSSVPQKNNTMRMFGFGSNDETGTQIPTQAQSIVEGSGSVMVSEFKPVPDVDYLQKRKTLKFYPDWLIVIKLKEGLEEPKGYRHGSGTRHTI >KGN44512 pep chromosome:ASM407v2:7:11154379:11154597:-1 gene:Csa_7G322090 transcript:KGN44512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFASSRVRFESKTPPSKPHHYFSVRQATSSLVCRGSLLVTPFELPYTSRPFVSRRSAQRWFCELWQFLVSF >KGN44800 pep chromosome:ASM407v2:7:14367607:14368756:1 gene:Csa_7G388330 transcript:KGN44800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQGQPQRPAGDNYKDPVKYGDVFDVSGELASKPIAPRDAASLQAAENIILGQTPRGGPAAVMQSAANLNERAGLVGHSDVTDVALNEGVNVTETVVDGQRFVVESVGGQIVGRFVQPNVPMRSPGATLDNDSISIGEALEASAVSAGDKPINQSDAAAIQAAEVRATGENNIIPGGIGAEAQSAATLNTRVMLNENKTTLGDILTDATRKLPGDKTVTKEDAERVISAEIRNDLKMATTPGGVAASVAAAARLNQQQG >KGN44794 pep chromosome:ASM407v2:7:14333907:14336286:1 gene:Csa_7G387780 transcript:KGN44794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLIEGLPDAISLRCLAYIPYYLHPKLELVSRSWKAAIRSVELFRARQEVGFSEDFLCVCSYHPNNTWQLYDPLPNRWMTLPELPSKRMHLGNFCAVSTSQKLFVLGGRSDAVDPVTGDRDDNFSTNEVWSFDPITRTWSMRAPMLVPRAMFACCVVDGKIIVAGGFTSKSKSTSKAEMYDSEKDVWTPLPDLLQTHDSTCIGLVVRGKMHIVYNKVSTVQVLDSSEMKWRVEDYGWVLGLKAVVGDSLYVMNPLEGVVFKQYGRTWKVIALATQFAQRIGMAVVGFRGDLYAIGGGIHPNRTGGDLTKLSDVHVLNLRDEEPTWRCAAQMSRCQGTVLGCTELRI >KGN43697 pep chromosome:ASM407v2:7:3522988:3524919:-1 gene:Csa_7G060170 transcript:KGN43697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAKLSLNIFGARADGPDEFVPVEACKKQKLMSNYWEENQRLISSLPDEISIQILARVPRIHYLRLKMVSRAWKHAITSNQLFHLRQELGTAEEWLYILTKVKDGKLVWYAMDPQARRWQKLPPMPTISLEDETKKGLTGQRIWNMAGSSMRIADAIMAWLGRKDALDQMPFCGCAVGAIDGCLYVLGGFSSASAMRCVWRYDPVANTWNEAHSMSIGRAYCKTTVLNNKLYVVGGVTRGNGGLSPLQSAEVYDPNTGMWSEMPSMPFAKAQVLPTAFLADLLKPIATGLTSYQGKLFVPQSLYCWPFFVDVGGEVYDPDVNTWVEMPMGMGEGWPARQAGTKLSVTVNGELYALDPSSSLDNAKVKVYDSHSDAWKVVAEDIPIHDFSDSESPYLLAGLTQKLHVITKDANNNITVMQAGVRNHHLASFQSASSSSSSQDICFRELQELDEESENFTKVIATRTVGSAELVSCQTLVI >KGN44542 pep chromosome:ASM407v2:7:11532793:11533058:1 gene:Csa_7G328300 transcript:KGN44542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAKSFENAVIIMRLQGCAQCGGTLNSLKELSVVVCVMESVGVSFTIARYG >KGN44482 pep chromosome:ASM407v2:7:10653723:10654730:1 gene:Csa_7G306900 transcript:KGN44482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLIAQQPNLLTTHLHNKHLSSDQVNNQPPNSSLAPDLNELPNPATFRTTPTNSGEPTSEDEEGCKDTEVLGEESHCEAIYANEENKTIEIPAMWDAFQEMLRENKGLKEQIANLNTQMREMVATINQLFSTISNRSCLLCVPQLIITPELVTHIRPLNVPNSPLGDATTCPPHPETYQ >KGN43635 pep chromosome:ASM407v2:7:3100035:3102425:1 gene:Csa_7G049170 transcript:KGN43635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRVRTNPFLSEQEDPEATSDDGLPESPSDCNDSKPTAAPPPKKSRRGVQKRVVSVPITDVEGSKSKGEAYPPSDSWAWRKYGQKPIKGSPYPRGYYRCSSSKGCPARKQVERSRVDPTKLVITYAFDHNHQLPVTKSHHHHHHNSSPSSAVIAAVSAATDFPSPGSTTTSSSTSSGDNTNAAPSSPAAKFEEAAAVFASQPELELGGDSLMIKPCIGDFGWLGEVAYDRILEGPICGGGDIFDDADVMVLSTRGDDEEESLFADLGELPEGSVVFGRRRTVQPNGPNRTCGTVLNC >KGN45394 pep chromosome:ASM407v2:7:17865717:17871135:1 gene:Csa_7G447090 transcript:KGN45394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLNLKLFVSITLLFLEAIIKLQNSRASHVGKVTCELLSRPPCLNALCSSGRQSQSLRMIVCRSLFRVDRFLKYTAPFVLHQTGLYAYNGWRLNANMTGKTEFRSAADQKKKRKTISQAWRPVCTHACPSEDLSVEDDRVESEDGSQVQEMDVRMHTSTSAQPVEVAEEINVVTELSVNMGGDTNLEGQSVTSGEKFSVKLDVGSSLIRFVRGKGGSTQERIEKEMGVKIMIPSSKREEFVVIEGNSVDSVTKASEKIQSIIDEAIKSPSLDYSHFVSLPLAIHPELVEKLINFQNSILRSSESCLDDAEDSDTNEDHTDNEVEVQHTVNAPDVAVELQVDNKREQIKVNINIPIVSYLPKTSKVSTPSDLGIDKSIFIKPKTFHLTVLMLKLWNKERVDAASEVLRGISSKIMDTLDNRPVLIRLKGLDCMRGSLAKARVLYAPVEEIGDEGRLLRACQLIINAFTEAGLVLEKDAKQKLKLHATVMNARHRKSKKKKKFDSFDAREIFKEYGSEEWGEYHIREAHLSQRFAFDENGYYHCCASIPFPHEQHMQVD >KGN43229 pep chromosome:ASM407v2:7:616481:618045:1 gene:Csa_7G009730 transcript:KGN43229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNPPSFLIFFLLFASTFCHGSDLTTVEVVGVGECADCYKNNIKTNHAFSGLSVSIDCKQKDGTTERKGVAKLDEEGNFKVLLPTEVLNKDGNLKGKCFAQLHSASSTPCPSHDGSEMASMIAIKSKDKGKQTFGLPNGIKFKSETCVSAFFWHHYFHHPPLPPFSIPVFPPHPPVYTHPLFPPKVYVPPTTPVYEKPPPVEEKPPPVEEKPPPVYVEPLPPPVPVYKPKPPVYKPKPRRNGCQSHRFTSQEV >KGN43198 pep chromosome:ASM407v2:7:486894:488303:1 gene:Csa_7G008450 transcript:KGN43198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGVVKITKFLGNTEWVMRCIVWLETGGRDIPMDYSRFDDNVKAFIEEEAEVSSDAAITGDEDDKITSLFDNETSRLDMMPIYSFNNKNGKCLEKLIIWKEDNFGMRVIKNYDGCAYYEENATLNGLPLQPRLRSILQDWYLSCASEVMVAVCQLG >KGN44332 pep chromosome:ASM407v2:7:9293487:9295399:-1 gene:Csa_7G258340 transcript:KGN44332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVVCFICSLFKVGDDCRQDVLALQVISLLRDIFQAVGLNLYLFPYGVLPTGPGRGIIEVVPNTRSRSQMGETTDGGLYEIFQQDYGPVGSPSFEAARENFIVSSAGYAVASLLLQPKDRHNGNLLFDNVGRLVHIDFGFILETSPGGNMRFESAHFKLSHEMTQLLDPSGVMKSETWNLFVSLCVKGYLTARRHMDGIINTVLLMLDSGLPCFSRGDPIGNLRKRFHPEMNDREAANFMIRVCTDAYNKWTTAGYDLIQYLQQGIEK >KGN43916 pep chromosome:ASM407v2:7:4881077:4882692:-1 gene:Csa_7G073520 transcript:KGN43916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASISTPYVPSVFPNSRLPTTQLRHAGYRSPVVALAFSGHRVSSSIPFRNGSYAVGDFMTKKGNLQVLKPSTSVEEALEVLVEKSLSGFPVVDDDWKLVGVVSDYDLLALDSISGVGGGDIINIFPDVNCSWESFKLIQKLLSKKNGEVVGDLMTPAPLVVSETMNFENAARLLLETKFHRLPVVDCEGKLVGIITREDIVRVGLEMKRTQEDTF >KGN44835 pep chromosome:ASM407v2:7:14619895:14622893:-1 gene:Csa_7G390140 transcript:KGN44835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRYYCDYCDTYLTHDSPSVRKQHNAGYKHKANVRSYYQQFEEQQTQSLIDQRIKEHLGQAAAFQQVGAAFNQHLLGQRPRLPVLPTPVMPGAAPGLMPGIRPPVLPRPIPGAPGYLPTPTMPPMMAPPGAPIPGQVNIPSRPPPPAPLPGSAPQPSSTNGAPLAAPSTYQANPAAPGSGGYDSFTSMAQPSSESNH >KGN44385 pep chromosome:ASM407v2:7:9798101:9798656:-1 gene:Csa_7G278200 transcript:KGN44385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNKADHRAPRPNDRNNHNNNHNHNHHHHHKMAFTDLNLEDLKQNPRILVSPSPPSSIAARTPSSAKANCLCSPTTHIGSFRCRHHRHSGMIRGGSVGSNLSDLTRKPTEIVGSFSP >KGN44484 pep chromosome:ASM407v2:7:10682129:10682623:-1 gene:Csa_7G307410 transcript:KGN44484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFKQRDKENMHDTWSRFKRLVKACPCHGIPECVSMEVFYFGLSKDTHQLVNTLFVGGMLRSSYNQIKATLDSMSNNSQEWDDIGFGSRHRGRTKEGLDKSVVVVLQGQMIAMNNLLQSMTLSQVNAANNYIHAVKQVNKFIVWDVAILTSLAHAHSIVKLSRT >KGN44423 pep chromosome:ASM407v2:7:10058507:10058713:-1 gene:Csa_7G290465 transcript:KGN44423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREEEGQKGKTKCMEKTTVGDLVEFCGIVVVQDDMETEEIFDNEERMFVEDGRESDNSNDKDGDSLRL >KGN44638 pep chromosome:ASM407v2:7:12678471:12679317:-1 gene:Csa_7G353000 transcript:KGN44638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRNLSLIQILLLVFAWQCCAVVFYDITGTQNRLKGIVPTTNEQFLRPTEAPRRLGFNTLSNIGGTVNDIKANVDLNENGVSIFDVTKHGAKANGKTDDAQAFMTTWIAACRNTVGPAKFLIPQGTFLVGPVTFAGPCKSFPITLENEGTVKATTDISAYSSPEWFSVEDITGFILTGSGVFDGQGLSVWSYNDCKKNNLCQLLPIVTIYFCL >KGN43518 pep chromosome:ASM407v2:7:2424486:2432615:-1 gene:Csa_7G043600 transcript:KGN43518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQHKLKIALVVASFAALTILFTARRRRKRRSVSTSCYLRDDQKPQYAFKRVLADNSYSAFKHLKLNMNKDNDVNSHPYESEILSLLENSSPEFNFISERTDLTLSDTYAWVETVSQLEELVDVLNKEMVFAVDTEQHSLRSFLGFTALIQISTHKEDYLVDTIALHDSMNLLRPVFANSRICKVFHGADNDILWLQRDFHIYVVNLFDTAKACEVLSKPQKSLAYLLETYCGVATNKMLQREDWRQRPLPADMVQYARTDAHYLLYIANCLLVELKQVNENSSTDDKFNFLLEASRRSNMTCLQLYSKETEGSPGESAASSIWSRHLNSQGGSALISCKTQFQDRVRRLCAWRDLMARVHDESLRYVLSDQAIVAIAIQVPKNTGELYATIAQVDLNVDLSSSLFLPSPSSVVCSHLDDIHCLLHDNFGDLDNIFLVILQNCIGSNGSCPLSIFNYALLVKYNLKMMTISKHNDRKNAKQISKKASRELFVQKFSCKSPVYHNCRIYANDGRLLCYCDRRKLEWYLRRELAELVADDPPAIKLLFEPKGRPEDEGNDFYIQSKKNICVGCGEGNHYLRYRIIPSCYRVHFPEHLKSHRSHDIVLLCVDCHETAHAAAEKYKRELAAEFGIPLFVRRVVDTKEAFDMAAEPSDSETNVQEEGVSPLELRTAAMALLRHGPRMPLKRQEELMFVVMKYYGRREISEEDLERALLVGMSPHERRRLQKKKGLAFKHSPQSVFPDAERQNGACNIDTSTVDSSSVDVNNHMNSDSGSVSETCVPGGEVSFSDNGYAKTAQPKFNSKLSLLGHGPHGKLVVDYLLKEYGEDGIRQFCQRWRQVFVAAIQPRFLPAGWDVNHSGRRDFGEFSLYNPKKKAFPDNERTI >KGN45495 pep chromosome:ASM407v2:7:18564064:18567408:-1 gene:Csa_7G450540 transcript:KGN45495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSNLLLHSIVPTPSSELNPFLKCRNSSPLFLVSTRHERVRCLAKKKIGFMDQILDYIEGGPKLRKWYGAPDLVSKDESALKDEDGFSEEGTIRDAVLVTDGDTEIGQMVILSLIVKKARVKALVKDKKAALEAFGLYVEPVAGDIRDGPSLKKALREVRTIICPKEGFLSSAASLKGVQHIVLLSQLSNYRSASGVQALLKGNAKKMAEQDEAVLVASGIPYTIIQAGSLLDTPGGNQGFSFEEGCATAGTLSKEDAAFICVEATDVIPKGGFAFEVANGNEQVSDWKVWLTRLLEKTEQLQ >KGN44165 pep chromosome:ASM407v2:7:7503422:7504480:1 gene:Csa_7G211080 transcript:KGN44165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGRTALTTALWRSFRNGDFEEEDVWNVLNEKSKTTSYHSSFPTDSSIMESPFLPSASRMIPRPNGGVGAQIGSIPQWSKTERRKNPRNRKVCWDEEDDEDGVVEGGGSEEEEEEEEEEEEEGIGKRVPPHELIAKRLARAQISSFSVFEGAGRTLKGRDLSKVRNAVLTKTGFLESL >KGN44994 pep chromosome:ASM407v2:7:15589515:15592329:1 gene:Csa_7G405990 transcript:KGN44994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDKKLSFVAKRFLPSPIQQLSLLAQQCNAINLAEGFPDFPAPLTLKNAAISAINSDFNQYRHVQGVCDELAKVVKKTHGLNVNPLTDFAICCGQTEAFAAAVFSVINPGDEVIIFDPSFDSYANVVSIAGGIPVYVSLDPPKWTFDPNKLLKSFTDRTKAIVLNSPHNPTGKVFSKDELDAIAEACCANDCLAITDEVYEYITFGDAKHVSLASLPGMHERTIITSSLSKTFSVTGWRVGWAIAPAFIASAIRNIHSRITDTAPAPFQEAALIALRSPPEYFESLRRDYESKRDFIAKLLVDIGFEVQFEPQGSFFLFVELPKSCTLTDVDCVEELIKQAGVVAVPGCGFFQTDSSREKGVNKDCSYQNRYIRFAFCKSNATLTSAAQKLSEAKFEFLKMH >KGN43164 pep chromosome:ASM407v2:7:224241:224543:-1 gene:Csa_7G004170 transcript:KGN43164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNELPADCVGWILAFTSLKDVCRLAGVSSVFRSAADSDFLLKLNFVPVNYKEIIISTSSASSFCSFLNSLLKKPLYTGTENNSVIFFLLLLLLIISSVIG >KGN45155 pep chromosome:ASM407v2:7:16574116:16574571:-1 gene:Csa_7G428920 transcript:KGN45155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQAIFKPLEFLVFMLAITSFLGQAKAKFCSNSLIYSLVQLIPCRPSLSPFHPIPPSLVCCDAIKTLGQSCICALLDAPPVSGVDYNLAMSLPQKCAANFEPCF >KGN43323 pep chromosome:ASM407v2:7:1228500:1229375:-1 gene:Csa_7G023970 transcript:KGN43323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASTLNQRNSQHVRSKSVPSNPHPIISQVDEQLSRLRDSEAISSSSSSLCHKLSALQDLHDSVDKLLILPLSQQALVQVSDKEELDNLLEGSLRLLDLCDTAKKALMQTRECTHEFESVLRRRRSDIGTSSSLKKCLSSRKLIKKAIHKVLKGMESKQTNKDSESFTFVNQIKEVEAVTYQSIVSLLFFIAGPKLPAKWNCWSSVSKLVQSKKVACISEETSISIVERLDLALSSITNHQSDKDFQIHVGDMQNLLRDCGASIKKVEEELEGLYRFIIKIRVSLLNIFNY >KGN44089 pep chromosome:ASM407v2:7:6609160:6613585:-1 gene:Csa_7G181640 transcript:KGN44089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVMLMKEIEDSAGRLGIDLSQVDFDAIRLPPGENFGIISDDEEVLQEESLEFDSGFGNIIVVDNLPVVPPEKFEKLEGVVRKIFGQIGVIKDDGLWMPVDPTTTKTLGYCFIEYGTPQEAELAKEKTDGYKLDRAHIFTVNMFEEFNRLVKVPDEWAPPEINPYTPGENLQQWLTDEKARDQFVIRSGSDTEVFWNDARHLKPEPVYKRPYWTESFVQWSSLGTYLATIHRQGAAVWGGAGTFNRLMRFAHQQVKLIDFSPGEKYLVTYSSHEPNNPRDANRIVINIFDVRTGKVMRDFKGSPDDFVTGGTGGVAGVSWPLFRWDGGKDDKYFARIGKNVISVYETETFSLVDKKSLKVENVMDFCWSPTDPIIALFVPELGGGNQPARVSLVQIPNKEELRQKNLFSVSDCKMYWQSNGDYLAVKVDRYTKTKKSTYSGFELFRIKERDIPIEVLELENKNDKIIAFAWEPKGHRFAVIHGDNPKPDISFYSMRSTHSSGRVSKLTTLKGKQANALFWSPGGRFIILAGLKGFN >KGN45576 pep chromosome:ASM407v2:7:19008252:19013829:1 gene:Csa_7G452310 transcript:KGN45576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNNGHARVDADVQERVYPEGFGLMEQGKKGSYTFNIFFFPFLPLNSLPSSSSSSSSSRDFRHLPSPFHSAVHRLADRRPKLPPLASFSPPPSKERDSTANQPSSSFCLLILQHHFSLTFIGLLPHLGFQLGYRAIELCQESVFYIHNLSKSSKRFGNFRGMAFRGRGRGGGGGSFQYAKQEPFELFPENVTLPSIGEMPEELALAMGHINFMKYWKASPFYLEENVMKKMQRTEIEKFSDRTKLNNTLKRDSLAQIIQLTSRNFPEELVEGFKGKLRTKRKVQWNPDSGLKKMDLLEKREESLKGQDKEDKEKKEGEEGEDEDEEEEDAQSEELTDDDYYQNEYFDDDEDDYNMEEEGGDEPEY >KGN43802 pep chromosome:ASM407v2:7:4201527:4202096:-1 gene:Csa_7G068060 transcript:KGN43802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEIIDTCRYMVTTWFMDVSTSKHVKKAIETQQLYFELCTEMYIINIELVVDEIINHWVRKVEEEEEEDDETTREIDHQPQVMTAPTSDSDDVLESM >KGN43813 pep chromosome:ASM407v2:7:4251616:4260574:-1 gene:Csa_7G069150 transcript:KGN43813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLKDKLRLIVVGPISLQYRPEVFLLTGSVDFLLSLIRSREVFYTMGSKKKDSNPTDEVEIQKDTSMDGVGAVDSKSLKRKMKDKEKDAELEKGDVGIPSSTFPNSEKPMERKKKRKTFDKERKRATSEQEKQIIANFKAEDTKPSSVSVSSTGLPEFHISVFKDLASADILVRESAAEALATELLKVQEAYDKLENKDLVEGGLKLEAEKDDGLDNCAPSVRYAVRRLIRGVSSSRECARQGFALGLTALISTQPNIKVDSLLKLIVNILEVSSSMKGQEARDCLLGRLFAYGALVHSGRLTEERASDKSTSHVKEITDVLISLAAKKRYLQEPAVSIILELIEKLTPEVLNQVLEASGIREWFEAATEVGNPDALLLALKLREKISADCSIFAKLLPNPFTPSRFFSVDHLSSLANCLKETTFCQPRVHSLWPVLVNILLPDTVLQAQDSLSVTASLKKHKKNRKSGSSEEEILINFQNFFEVIIEGALLLSSHDRKHLVFDVLLLLLPRLPTIFVPTMLSYKVVQCLMDILSTKDSWLYKVGQNFVKELSEWARHDDGRKVAVIIALQKHSSVKFDNITRTKAVQNLISEFKTEAGCFLFIQNLMSMFVDESQTSEEPSDQSQTTDDNSEVGSVEDKDSTGTIGNSDFLRTWIIESLPCMLKHLKLEPEAKFRVQKEILKFLAVQGLFTASLGTEVTSFELQEKFKWPKAPTSSALCMLCIEKLQLLLANAQKGEGSHGFVNGLEPNDLGSYFMRFLGTLRNIPSVSLFRRLSDEDEDAFKKLQEMETRLWREERNYGLSADANKLHALRYLLIQLLLQVLLRPEEFTEAATELIICCKKAFSSADLLGSSGDDELDGDGTMQLMDVLVDTLLSLLPQSSAPMRSAIEQVFKYFCSDITDDGLMRMLRVVKKNLKPSRHQNAEDDDDDEDEDFLDVEEEEEINQDETVDTGDSDEHTDESEAIDRVGEVGPKLSDGSDDSESDGGMDDDAMFRMDSYLAQIFKERKNQAGSDTAQSQLMLFKLRVLSLLEIYLHENPGKPHVLLVFSNLAQVLVNPHTEGSEQLEQRIWGILQKKIFKAKDYPKGEAVQMSTLENLLEKNLKLASKPKKKKSAANVSKKKQLASKNHYKMIDSLGQNSAYWIMKIIDAKKLSNRDLQKVFDIFDRVLVDYFHKRSQIKIEFLKEMIRRKPWIGQHLYSSVLERCVSTNSEFRRIEGLDLITETIKSSMSSENGHHVAKELMEKFLHELCNLIKELLTHMPEKQARRSDIRKFCYKIFHLVSSLKINKSFLSSLAPEAVALCESQLGDQFGRLKLRE >KGN44760 pep chromosome:ASM407v2:7:13929055:13933150:1 gene:Csa_7G378490 transcript:KGN44760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISTSSSILFPFSTKSQLPLNHTLFSISPSASDSHSSWKWRTQLLLHQPALPISKRTRKNVVSAISTDSEVSTRSGETENETELAKKWREIHGSGDWANLLDPMNPILRSELIRYGEMTQACYDSFVYDPYSKYCGTSRYPLESFFQSLGLESEGYQVTRFLYATGNTQMPNLFIKPRFPKLWSTRANWIGYVAVSDEETSKRLGRRDILIAWRGTVTRLEWVADMTNILNPISSRKIQCPDPSVKVEFGFLDLYTDKDEECEFCKYSAREQILAEMKRLLEKYKEEEVSITITGHSLGSALATLSAYDIAETGLNKTSAGRDVHISVFSFGGPRVGNMRFSERMNDLGVKVLRVVNIHDIVPKSPGLFLNEKLPPWLLKMTTWLPWSYVHVGVELELDHLESPYLRRSTDAGCSHNLEAHLHLLDGYQGKGMKFELAIGRDPALVNKSCDFLEDKYMVPPMWRQDENKGMIYVDGRWVFADRSDIDGHPEDTHYHLKEIGLFSEKE >KGN44855 pep chromosome:ASM407v2:7:14774233:14775563:-1 gene:Csa_7G391790 transcript:KGN44855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSHLRVASCLLLPAIQIIVGISRPSWVSLPFFIGSCVGLVDWSLTSNFLGLFRWWRPLQLYAGFSIFLVYVYQLPVEYPSMLKWVAEFIGLFKISSNSEWPEICSNVSLILFYIMLSCVKCDLEEMDFIMSMRESNLVEQLLPSKHSFFIRELRSGVKHTNVLLRREVFRTFTINFFTYGFPSHGVLI >KGN44966 pep chromosome:ASM407v2:7:15436058:15436885:-1 gene:Csa_7G398760 transcript:KGN44966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLKSATFSDATGVVNAGLNHQFSFRAFGWPHIGTPNVDGSITSTVEKQIRAEAPAGIAPVGLETMINKEDKVQSYDLDIIKQIKGGGAVVSHDHESNLNSGENIAFSHGGKVSVKSKGSVAGSSGGEINGLKRKIHNYKGLSFGVEIKKDHGANLGLKAHKGKVNVGVSHGGNISMNKRGSINIVI >KGN44430 pep chromosome:ASM407v2:7:10118802:10122355:-1 gene:Csa_7G290530 transcript:KGN44430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRTITKLTFSSSILHSSSALQIRPLGNLTSTRSRSRHRRPPMAAAAANPSSTSSSSSNPFIFSPDSPPTLLLTPHQIKLCSQALEAFTDKLQKPDVINQEFARLQAKRITASGMGRRCSVALDKVNVYKNRYMDVLPFDETRVVLDSCKDYRPSARGYINASFISTSSSKSLSKFIATQGPLPHTYEDFWEMVFQYKCPAILMLTRLVDNYKIDKCGDYFQAEDGPRDFGNLIVVSKWIKSSNSSLILRHLEVIHKERALSHWKSSRFTETVHLHLFLYTGGMGASANNYGKDQLDLWYTNRKKTISN >KGN43277 pep chromosome:ASM407v2:7:947172:954937:-1 gene:Csa_7G016620 transcript:KGN43277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKRARIDDSNKAVVNVWKREVGELSTRNFAHRLGALEDLVLRLDLYKKLERHKGCVNTVSFNAAGDILVSGSDDRRVILWNWETGRVKLSFQSGHNNNVFQAKIMPYTDDQSIVTCAADGQVRHAQILNSGHVETSLLGNHLGRAHKLAIEPGSPYMFYTCGEDGLVQRFDLRTGDAVELFTCQSVDNRAGYMSSIQLNAIVIDPRNPNLFVVAGSDEYARLYDIRKSGEDGSTDFGQLADCYCPPHLIGDEQVGITGLAFSELSELLVSYNDESIYLFNRDMGLGPNPNPVPPLSLSSDASEMGAENVDNGIPQVYKGHRNCETVKGVNFFGPKCEYVVSGSDCGRIFIWRKKGGDLIRVMEADEDVVNCIEPHPHMTMLASSGIESDVKIWTPKAHERATLPEKIEQKPKPRGWMHRLALPEELMMQLFSLRRQTSSPESGREPPTVSRELLELIRTFNNGNSDDSSDYNDDSGEDD >KGN44317 pep chromosome:ASM407v2:7:9124143:9125162:-1 gene:Csa_7G253720 transcript:KGN44317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDNGVEYLSNKKHKIVFVMGSTATGKSKLSVDLATFFPSEIINSDKIQFYKGLDIITNKINQSDRRGVPHHLLGVIHDPDADLTAGEFCSLVEDAIADVISRGCLPIVVGGSNNYIEALVENPITDFRSRFDCCFLWTDVALPVLYKYIAKRVDQMVELGLVEEVREMFVPGADYSRGIRRAIGAPELDSYFKAEKNNEEETYKNDLLKSGIHEIKENTCRLALRQFGKIHRLRDEIGWGLHRIDATAVFEKSGEEAADEWMNGVLKPSLGIVGEFLNEKQKINQMETMTKNRSMGVMDEYEELKAKWNFGSNNAIGFGLVIVFTSLGLMTNWIWSWK >KGN45235 pep chromosome:ASM407v2:7:17111601:17115217:-1 gene:Csa_7G432160 transcript:KGN45235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTTSTTALDVPATSDEGFRAPKSSLVSSDPSVSFSTSSSSISGSTQAFHFSSGNPRIEEIRGVVHLFRDDSSSSSSTSSSALPVERKPLVCVLGVPNHMTYADFCQFCGSFIHEILEMRVVRGDGMEDRYSILIRFRSQDSADNFYKHLNEKRYSSLEAEVCHLLFMVDVQYTASIEHAQASPASSTEQPTCPVCLDRLDQETSGILTTICNHSFHCSCISKWSDSSCPVCRYCQQQPEKSVCFICQTSENLWICVICGFVGCGRYKEGHAIVHWKDTQHCYSLELETQRVWDYAGDNYVHRLIQSKTDGKLVELNSYCAHANDGCMSCGGLDAATSEALLNSRVELIVNEYNELLTGQLENQKLYFESLLLEVKEETEREISRATEKTINQKLQKMQAKLDKCIKEKKFLDDLNENLLKNQEIWKTKIREMEEREKKSVEAKDYKIQDLEAQLGELMALLETGQQMEQLSVTGEAREASILPISPEPSSKNNGKGSSKSNNKKKS >KGN44187 pep chromosome:ASM407v2:7:7813497:7819274:1 gene:Csa_7G219230 transcript:KGN44187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIEDGKSNGDGFRTYKRRKQTRLTSGSECDEDIKTHVEAAGQLVTVEETLHTLRGIDSCEHAHSPMVNLDESPEDLWRSVWLQQICQSSGVIGGNVLMCVQDGLASHSGTNDRSRFKKFDAQDANSNNDHAHTVSVSSIVQMASHRENGDISNGSLENSNRCTVNESCRRAFRSIIDSQNLVNSRIKEGAYENSSTLFLSDIQQIWRKFQAIGTELVSLAESLSDFSRTTYREKVGVSGRNVFEDGKHEVKLDNLYIAEFSLVSFILNSHNCHLPLFSIVPVPLCDTSFGYWDLGL >KGN45296 pep chromosome:ASM407v2:7:17371790:17376108:-1 gene:Csa_7G433260 transcript:KGN45296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMEILKSLPIAAFTPDGDYLAIVSSNGTLKIWSTRDGSLLAEWKDLDGKNDFGYSCMACCFLGKKRKSSYCVVAIGTNSGDVLAVNASNGEKKWVSAGCHPGGVIGLSFANKGCRLRTVGSNGMASEMDTETGNIIKEFKASKKSISSSAFSLDERYLVVAGKKLKILSTDDGDELIVHPDKLGPVKLVSVSDDAKTIITSELGAKHLQVWWCNISAGKFSRGPILSMKHPPFVSECRNVSNQEDSVVVLSVSVSGAAYLWKLKVLSEDEVTPTKVSVKANDNQSAEENHGSAKKNRASVLASRIHGIGDNEVSVLVTHGSVDLPQHTLLDIGYTVKEDANTAHENKTLQQNDCVSEQGPHEIEQVITPKSKKSKKKRAASELDSLTAGDVSDVGNGDTSDVLFNDDLNEPSMGEKLASLNLADQNKDGGREQEDPSVPVIPPSADSVQVLLKQALHADDRALLLECLYTKDVKVISKSIAQLNSSDVLTLLHALISFIQSRGAILVCALPWLRCLILQHASKIMSQESSLLALNSLYQLIESRTSTFQSALLLSSSLDFLYTEVLDKEENDNDTIVPIIYEEEDSDENETGDEMETNEDDERDEVEAFDDLSAGEVDDDMSE >KGN43717 pep chromosome:ASM407v2:7:3645214:3648210:-1 gene:Csa_7G062830 transcript:KGN43717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSAISLMASNSFLSTLSSTSVSTISTLSFPRRAALPPIHRRQKFISIFASGNGNGGVRGESGGGGGGGDDGGPKGSDVDSKLLAGEADDDATLSADVIVLGVEGMTCKGCCASVKRILESQPQVSHASVDLASETAIVWPVAEAKITPNWREELGVALAKHLTTCGFSSKVQGQGAIGGDVSL >KGN45208 pep chromosome:ASM407v2:7:16953240:16954400:-1 gene:Csa_7G431400 transcript:KGN45208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFDSVANCLICGVYFRLTEEAGSCTMVLDSVLSSPHRRSPSFRKSFPNELGSWSTLVQRHRFLLTALVLLTFLCTIYLYFAVTLGSSSSCFGLTGTQKAQCHLELAKTSMAKGKLKIL >KGN44497 pep chromosome:ASM407v2:7:11036788:11039495:1 gene:Csa_7G318970 transcript:KGN44497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSPIKSAIQQLSQLLNLRPESSGEDRQRSSTHNDEDSVTTNIAIPILPFISLCNSLIRLLDKIGPTMGVLRQEIHQNIQRFEMGEELRDLVEILKKEGSEGTARSGSSCSRAFLWLIRSLDFTAKLLEKMLEEPEMNMEQAVEESYNLTLKPWHGWISLAAYKIALKLVPDRATFINIIMENDDSYSTFLQDIHTLVPLLMAFLQQAHSILRLYNLDRIKSK >KGN45001 pep chromosome:ASM407v2:7:15642760:15645074:-1 gene:Csa_7G407540 transcript:KGN45001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNSSAGPSSKQRTGSSQPSETSFKRKRGVFQKELQHMMYGFGDDPNPLPESVALMEDIVVEYITELVYKAQEIGSKRGKLSVEDFLYLMRKDPRKLNRSTELLSMNEELKQARRAFEIDEDKLKKAFEEEDKMD >KGN45279 pep chromosome:ASM407v2:7:17302323:17304001:-1 gene:Csa_7G432610 transcript:KGN45279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRPPSVHVESMSYDVHKPISMPPPPSYYPPPPKPWFPWLVPLIFLVNVGMFIFMLYENNCPAISGRNRCLLYPELGRFSFQPFHENPLLGPSITILKRYGALDKEAVLERGEGWRLVSCMWLHAGVIHLLANMLSLLFIGIRLEQEFGFLRIGCLYVLSGFGGSLLSSISLNPAENPTISVGASGALFGLLGAMLSELITNWTIYANKCAALLSLILIIALNLAVGFIPHVDNSAHIGGFISGFLLGFILLIRPQFGYVNQKYIPAGSDVKRKSKHKCYQYLLLIIALLLLVFG >KGN43263 pep chromosome:ASM407v2:7:828572:832226:-1 gene:Csa_7G014490 transcript:KGN43263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTQDAEMKELPAPISSSPSTLQNLKEIVSLLETGAYAREVRRIVRAIRLTMALRRKLKASVLSSFLNFALPPGSDVHTRLSSFIPKEEDCEMDVDLATQTPSKHLLPEIEIFCYLILLIFLIDQKKYGEAKACASASIARLKNLNRRTVDVLASRLYFYYSLSYELTGDLAEIRGNLLALHRIATLRHDELGQETLLNLLLRNYLHYNLYDQAEKLRSKAPRFEAHSNQQFCRYLFYLGKIRTIQLEYTDAKESLLQAARKAPIAALRFRVQCNKWAIIVRLLLGEIPERTVFMQKGMETALRPYFELTNAVRIGDLELFRTVAEKFSSTFSSDRTNNLIVRLRHNVIRTGLRNISISYSRISLADVAKKLRLDSENLIADAESIVSKATRDGAIDATVDHGNRWMVSKETGDIYSTNEPQFAFNSRIAFCLNMHNEAVRALRFPPNSHKEKESAETRRERQQQEQELAKHIAEEDDDDF >KGN45439 pep chromosome:ASM407v2:7:18193225:18194499:1 gene:Csa_7G448010 transcript:KGN45439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTSSSSSSSLNFSLLKTGLSVSFKPITRIWMVKPTRLPLKNSFSLRIRSSMKNKVFEDQSEGVICYADENGEIICEGYDEGPRFHQNVSEKGNNQREAEIIDLLLKQTWIQLGKGVGGELSHAEKEVAVRKDLNINGFNSFC >KGN43898 pep chromosome:ASM407v2:7:4793089:4796780:1 gene:Csa_7G072850 transcript:KGN43898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHSLPLHLLLLYSLLFTISSASIPSVSQEIEAPLSSSNENLFPIRREVYGDGRIFDITHRYSPETPCWDFQENGLGQFVWLTRTMKNGSEANFSQVKLPNHAGTHVDAPGHMFDHYFDAGFDVDTLDLGVLNGPALLVDVPRDANITAEVMKSLNIPKGIIRVLFRTLNTDRKLMYKKKYDTSYTGFMKDGAKWLVENTDIKLVGLDYLSVAADDDLIPAHLELLESREIILVEGLKLDDVETGLYTVHCLPLRLLGAEGSPIRCILIK >KGN43675 pep chromosome:ASM407v2:7:3357859:3362484:-1 gene:Csa_7G057520 transcript:KGN43675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQGLAAKPGMFQHLPATQRAAYTHQQMKPGTSFPISPPIFQAASPQVAQNSSPQVDQQSLLSSITKTGTPLQSASSPFVVPSPSTPMAPSPMPGESEKPTSGVSAHTNAGNAGQQTSVSGTQAQSLAIGTPGISASPLLAECGTDGPYANVLPTVSGKSSFTEQPLERLIKAVKSMSPKALSASVNGIGSVVSMIDRIAGSAPGNGSRAAVGEDLVAMTKCRLQARNFSHDGPNGTKKMRRHTSAMPLNVVSSAGSVNDVFKPLTGSETSDLESTATSSAKRPRVEANHVLLEEIREINQRLIDTVVVISDEVVDPSALAAASDGSEGTVVKCSFSAVALSPSLKSQYTSAQMSPIQPLRLLVPTNYPTCSPILLDKFSVEVSKEYEDLSIKAKSRFSISLRNLSQPMSLADIARTWDVCARAVVSEYAQQSGGGSFCSKYGAWENCLSAA >KGN44321 pep chromosome:ASM407v2:7:9158322:9160494:-1 gene:Csa_7G253760 transcript:KGN44321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHLKHGLLCHLQGIKSGFTPTIFMSNQLITFYAKHGLLNDAQKLFDEMPERNVFSWNAIIAAYVKSHNLRQARALFDSAVCKDLVTYNSMLSGYARSDGYQGQALGFFMEMQTAPDMIRIDEFTLITMLNLTAKLCVISYGKQLHSFMLKTANDLTVFAASSLIDMYSKCGFFKEACRVYYGCGEVVDSVSRNAMVAACCREGEIDVALDLFWKELEQNDVVAWNTMISGFVQNGYEEESLKLFVRMADEKVGWNEHTFASVLSACSNLRSLKLGKEVHAYVLKNRLIANPFICSGLVDVYCKCNNMRYAKSVNSELRMQNVYSITSMIVGYSSQGNMAEARKLFDSLDEKNSAVWTALFFGYVKLQQCEAVFELLSEYRKEAKVPDVLILISIIGACAIQAALVPGKQIHSYMLRAGIKLDTKLTSSLVDMYSKCGSIIYAERIFREVTDKDSIIYNIMIAGYAHHGWENEAVQLFKEMVKHGFKPDAITFVALLSACRHGGLVELGEHFFDSMSNDYNICPEIDHYACMIDLYGRANQLDKALEFMRKIPIQLDAVIWGAFLNACRINGNAELARKAEDELLVIEGENGSRYVQLANVYAAEGNWEEMGRIRKKMKGKEVKKNAGCSWVFVESKFHVFISGDRFHSKNEAIYSTLASLTDELLYREEAFC >KGN44086 pep chromosome:ASM407v2:7:6558069:6573077:-1 gene:Csa_7G179630 transcript:KGN44086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSRMVSRPEDEDSNQSKSKRKRPSSTEATNPATGQELGDGKAALYHCNYCNKDLSGRIRIKCVACPDFDLCVECFSVGAELRPHKSNHPYRVMDNLSFPLLCPDWHADEESLLLEGIAVYGFGNWDGVAEHVGTKSKLQCLNHYNAIYMNSPCFPLPDLSHVMGKSREELLAMATVPGEVKNEFPMAGEHNLNEGSSLSARVKCEESKKEDSAHQTSSSGTAGSISGSTFSGAVKKSNKPQIKKETKQGESEADRSFSEKKPRVLGDSGPSVVELSGYNFKRKEFDIEYDNDAEHLLADMEFKDTDSEADHELKLRILRIYSKRLDERKRRKDFILDRDLLYSDPFEKHLSPEERAICQPYKVFMRFHSKEDHEELLKNLIEEHRIVKRIQELQEARAAGCRTIVESNKFLDQKRKETRESSKRIKESSQGVPCEVSNHLKGEYDDIPRGNVKESPRSQGSGKDPSSTTPWMSTTVHNWDISEFAGADLLSEMERRLCCEIRILPAHYLKMVDIISVEMLKGSVTKKSDVHGLFKVDPSKIDRVYDMVVKKGISQA >KGN43227 pep chromosome:ASM407v2:7:604361:605155:1 gene:Csa_7G009710 transcript:KGN43227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSTHAPIPLPLHPFKQTNPQNSNSMTSYRNRTTADSILDSLSLNPPPYPVLLLLAVVSIFLGASWWLSYESAVEAAEDHINWILFATPVLLILLVRFLSSLDPTFFSSSPWDRRRRTHHIPAEGTSPWGVAAFILLLLVLLQFQSSFRESWSI >KGN45546 pep chromosome:ASM407v2:7:18883797:18886673:-1 gene:Csa_7G452010 transcript:KGN45546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTRVVGIEEGKASATATAIATSTRTNNPIKTLPFRFLQLFFLFLLFVLGISLASLHTVKYFGGPNVVPVAQSIIRPCLEEPASIERWIEPPSSLMHTMNDAELLWRASFIPRVKNYPFKRVRKIAFMFLTKGPLPLAPLWERFLKGHEKFYSIYIHPMPHYVADFPPSSVFYGRQIPSKIAEWGKMSMCDAERRLLANALLDIANEWFILLSESCIPLHNFSIIYHYISRSRYSFMSSFDEPGPIGRGRYNESMAPMVNLTNWRKGSQWFELNRELAVKVVEDTVYYPIFKKFCKPPCYVDEHYFQTMLSIKTPHLLANRSFTFVDWSRGGAHPATFGEADIEDDFFKKLLESRTCLYNNQPSTLCFLFARKFAPKALGRLLNVSSGVLGF >KGN44755 pep chromosome:ASM407v2:7:13901051:13902580:1 gene:Csa_7G378440 transcript:KGN44755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVTSAAAATVRTSLVQNRLLPSASPAPFLLGLPALGKKGGVRCSMEGKGNGEVENKSSNLGMGASLIAAACAATMSSPAMALVDERLSTEGTGLPFGLSNNLLGWILLGVFALIWAFYIVYTSTLEEDEESGLSL >KGN43708 pep chromosome:ASM407v2:7:3591205:3591920:1 gene:Csa_7G062740 transcript:KGN43708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFLIHVRLGSCHQLPSEIVYFRAVEQQFSSINGDGQADDAPGSLYRSVNGEDLKNHRKVETHMGEVICSRHGEPYPNGRSSYIYRKLFGQWLQRS >KGN45410 pep chromosome:ASM407v2:7:17978930:17982583:-1 gene:Csa_7G447720 transcript:KGN45410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGISGPSSVLNLIKKKLQDTGTPVASSPISAPTTAQLDLNLPRDVNVALKALQKENGKDKPKYANADGNVSDSSLDSEDVESGPTDEQLIIQFKEEDGTDPKSIAEVEAEEDEDDFIMEEVKRRLKELRRNSFMVLIPEEEEEEIEGGEEEEVGEGETEWRDVEAEGRQWWGGFGAVYDDYCERMRFFDRKSIESGPASTSQRSASKKSASPLRCLSLKRIEEPEDEMEDVDPSLTLIDSNHHIEIAYVAHICLSWEALHCQYTQLNHLISCQPQNSTTHYNLTAQLFQQFQVLLQRFIENEPFQQALRPTIYARTRRTYPKMLHVPNIQASDPNGVQEQESDSLILAPDLLFIIEASIFTFHRFLKMEKKTSTSASLSFRNHTQDAALLARVRSSLDKKKTKLKEVRKKSKGWKQKTCPQTYEDMQLLFGVVDIKIITRLLKMSRITKEQLLWCEEKMNKLDVSNGKLRRDPSPLLFPC >KGN44139 pep chromosome:ASM407v2:7:7273240:7273621:-1 gene:Csa_7G201910 transcript:KGN44139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEWNQFGEFEKEAMKSCCRGGSTHLQGGKKNHFQEIPCKYNQGKRVREEEEALELKRGQPRKAKDSNDISLQGPMKKKKKTRSPNLCFQS >KGN45340 pep chromosome:ASM407v2:7:17585615:17590780:-1 gene:Csa_7G440610 transcript:KGN45340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIHSDDSDGQGGAAEYDDEDELGEDVDVDEDEVGEGVEDVDEGEEVEEDDNEERKLGDHVELDAASGNVAKELDDDGRPLPEEQTDLHEENLEGEFDEEKKVNEPFAVPTAGAFYMHDDRFRDNAGGRHRRTHGGRRLWESKDDMKWGHDKFEEMTLQERHRDERRTSKGHPRGRGKSRGMDHGYARGNRSRAYNKNNIQSNAAPKVVRGRGPRRYEPTMNNNARSSPSQEKQSVKPIEKASYNNTGRSLAPSPNIEGEQISVRKHAFASSLNYASPPFYPSGTSSKNIPKVEKSEVQAGLPEKNMYDDTCSLPQSTVMVDGKHVVDTVAMERVYINDSTNPSLGNPLSKPSSGSSMVNSGQIPQSRPHGRGAAVGPTGYPPASLHSQVNKVSLPAQSHGVARTPGQTRVQSAIQVPVQQLGQRPGSGSQSSSPPKTSTSVNSLESGEGDSSSESSKLKTALVGKGKGVAQGIGAGSFIYGGAQMMGTSGNMNITHGDQNFPHTPAFLPVMQFGGQHPGGIGVPAVGMAFPGYVAQSQLGMGNSEMTWLPVLAGAAGALGATYCSPYIAMDGAYHARPSGQTSSAGMLSKENNSNKSSNESKPPQNELESDDVGQRQNKPRRYSEMNFGQ >KGN44944 pep chromosome:ASM407v2:7:15332927:15337032:-1 gene:Csa_7G397570 transcript:KGN44944 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA photolyase MDPNSLILENPEPNSSDDQTPAIPLHSTPLAVASLTLSLSTAIPSNFLVQPKFSGLFSRQPNKDEVPTQASSLSRLPISCSSLCPPKISLKSNISANPLQIPLSLGPRRPSEPSNGAGIRRATIVWFRNDLRLQDNECLNSAHDDSMSVLPVYCFDPRDYGKSSSGFDKTGPFRAAFVIESVSDLRKNLQARGSNLVVRIGKPETVLAELAKEIGADAVYAHYEVSHDEMETEERIESAMKEENVEVKYFWGSTLYHIDDLPFKMEDMPSSHGAFREKVQGLSVRKTIEALDKMKGLPSRGDVEPGDIPSLSDLGLNQPVAMSKEGWLAPNTSQVGGETEALHRLQKYAAECRAQPPKATNNGVQSSIYGATFSNKISPWLTMGCISPRSVFDELNKTVSRKNDGGNGTGTNWLMFELLWRDFFRFITKKYNSTKKQPNPSPATACTGALA >KGN45009 pep chromosome:ASM407v2:7:15707771:15708487:-1 gene:Csa_7G407620 transcript:KGN45009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFSACFSSKSTNTAKLLDIDGGLIGKFKLPVKAAELMLESPGHVISPVDVLRRTRRISALKADDELLGGKIYVVVAVGRIGSKVSMAELENFDLTCKNRVKKNGSKVQPAVATAAMVAEEEEEIGGGVCGSDQLNCVRGNYKRWNPNLESICEDFSLSFREKH >KGN44396 pep chromosome:ASM407v2:7:9891478:9891795:1 gene:Csa_7G279780 transcript:KGN44396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICYRCNSMHSSPQRLGSPPFLGRLYRSFSRESRVLHTSFNTEETRHILARIIFALLSTSICNVASARSREFRTHFLILKEPDAFSSEAACLSYFLRMIMASIES >KGN45292 pep chromosome:ASM407v2:7:17356825:17360510:-1 gene:Csa_7G433220 transcript:KGN45292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFCSLRAADIKFSKLDIRRGRVSTLQPCSLRNWIGRTPHQYSSLVISHSSQKALHACSGASPKAQTVIKSETGSEEIKPSSLGSQLIPNFHEVETLLTNVCDTSSIAEFELKLSGFNLRMVRSLKSKNLPLPPVPAPAPDIQNTSSIPSDSNGLVKTTSLALVKPEPVSSSPRGISRYVEKARDGGVTILSSPNVGVFRRSRTIKGKRAPPSCAEDQVVREGQVLCYIEQLGGQIPVKSDTPGEILKILRKDGEPVGYGDALIAVLPSFPGIKKLR >KGN43609 pep chromosome:ASM407v2:7:2924336:2926917:1 gene:Csa_7G047440 transcript:KGN43609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKLHCSQSWLFCSNFKLLRALFYSTKSLPSPSTEDTLFRRVYRAGDPRTSIVRVLDQWVEEGRQVNQSDLQKLIKQLRTFGRFNHALQLCEWERNERNKCPSPGHIAIQLHLISKARGLEQAEEYFSSIGESSRDHKVYGALLHCYVENKNLKKAEAIMQKMREVGFMKTPLSYNAMLNLYAQLGKHEKLDELVKEMEEMGIGHNRFTYNVRMNAYAAAYDITNMEKLLSKMEADPLVATDWHIYFTVGNGYFKAGLSENSISMLKKAEQLIGDKQKWLAYQYLMTLYAAIGNKDEVYRVWNLYTNLQKRFNSGYLCIISSLMKLDDIDGAERILKEWESGDTSFDFKIPNMMINSYCTKGFVDKAEAYISRLIENGKEPRAYAWDRLASGYHSNGLTNKAAETLKKAISVSPPRWKPNYDILAACLEYLKTNGNVELAEEIIGLLCKRDIFPLNICKRLEDYIHSENQNSIKCLDLLGLKDQNE >KGN44586 pep chromosome:ASM407v2:7:12123382:12123582:-1 gene:Csa_7G338110 transcript:KGN44586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAFAAATSSQGPSTLRRYLLYVGCRRQHQQYPIVDALTRRRTKLFSDIFFLSAKHASSKIFCRHR >KGN45027 pep chromosome:ASM407v2:7:15812978:15817060:1 gene:Csa_7G407800 transcript:KGN45027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPNDPAALNASVLKSDGLENLIDFSDGKISVKGVPVLSEVPTNVFFSPFSSISQSSDAPLPLLQRVHSLSYKGGFLGFDQTQPSDRLTNSLGKFKGREFVSVFRFKTWWSTMWVGNSGSDLQMETQWVMLNIPEIKSYVVIIPIIEGSFRSAMHPGTDGQVLICAESGSTHVKTSSFDAIAYVHVSDNPYRLMKEAYAAVRVHLNTFRLLEEKPVTHLVDKFGWCTWDAFYLTVDPVGIWNGVSDFVEGGISPRFLIIDDGWQSINLDGEDPTRDAKNLVLGGTQMTARLYRFDECEKFRKYKGGSLTGPNAPSFDPKKPKLLIAKAIEIEHAEKERDKAIGSGVTNVSKFETKIQKLKEELHGIFGKEEEEESSAINKGCTSCSCKADNSGMKAFTRDLRTKFKGLDDIFVWHALAGAWGGVRPGATHLNSKIVPCKLSPGLDGTMTDLAVVKIIEGSIGLVHPDQADDFFDSMHSYLSKVGITGVKVDVMHTLEYVSEEYGGRVDLAKAYYKGLTNSLLKNFKGTGLFSSMQQCNDFFYLGTKQNSIGRVGDDFWFQDPNGDPMGVYWLQGVHMIHCAYNSMWMGQIIQPDWDMFQSDHLCAKFHAGSRAICGGPVYVSDSVGGHNFDLIKQLVYPDGTIPRCQHFALPTRDCLFKNPLFDNKTVLKIWNLNKYGGVIGTFNCQGAGWDPKEQRIKGHPECYKPMSTTVHVNDIEWDQKPEAAPMGNFVEYIVYLNQAEQILHTTPKSEPLKATIQPSTFELFNFIPLRKLGSNIKFAPIGLTNMFNCSGTIQHLKYNENGVELKVKGGGNFLAYSSGSPKKCVSNGIEVEFEWKSDGKLSFDLHWIEEAGGVSNLDIFF >KGN44595 pep chromosome:ASM407v2:7:12263496:12270464:-1 gene:Csa_7G339670 transcript:KGN44595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGEFEDDERPRVFEPESSEDDRRRTRSKSLRKRAITASAKFSNTLRKQSSRVADCRFATISVHEVRDAGEEDSVNKFRQVLIARDLLPPRHDDYHTMLRFLKARKFDLDKTLNMWTEMLSWRKDNHIDTIMQDFMYDEYEEVQQYYPHGYHGVDKGGRPVYIERLGKIEPGKLMNVTTIDRFLKYHVQGFEKLFAEKFTACSIAAKRHIYCTTTILDVQGLNLMSFRKLATDLVLRMQKIDGENYPEVLGCKFQNKLLEVIDSRQLPDFLGGDCSCSNEGGCLRSDKGPWNDPEIMKLVFSEEATHSRKANNFYGRSSFEINFFNSKMEGNEISSPESGSESTATASASSIGNFVSVTAREKCSTSRPISSVIEPTDAAGLVEEYSSNNLNADVQPARQPKKLITQVMSTFIHFVFKFFACIYLLVPGFRRIFMIRHTENQQREASSENHLEDSGTREESKESAVDPLWKRLLNLEVMVTELTNKPSKIPLEKEDMLHESLNRIKSIEYDLQKTKRALLVTASKQVELAESMESIKENNLVGANSCWPRNRKTFLNGS >KGN45034 pep chromosome:ASM407v2:7:15857971:15863303:1 gene:Csa_7G412850 transcript:KGN45034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAERKRKVSLFDVVDETSVSAKLNKVNGGVAPLNNGGGNAGNSLINRWTGRQFSQRYYEILEKRKTLPVWHQKEEFFQVLKSSQTLILVGETGSGKTTQIPQFVLEAVDLDSPDKRKKMMVACTQPRRVAAMSVSRRVAEEMDVTIGEEVGYSIRFEDCSSARTVLKYLTDGMLLREAMTDPLLERYKVIILDEAHERTLATDVLFGLLKEVLKNRPDLKLVVMSATLEAEKFQGYFYGAPLMKVPGRLHPVEIFYTQEPERDYLEAAIRTVVQIHLCEPPGDILVFLTGEEEIEDACRKINKEIGNLGDQVGPVKVVPLYSTLPPAMQQKIFEPAPPPVKEDGPAGRKIVVSTNIAETSLTIDGIVYVIDPGFSKQKVYNPRVRVESLLVSPISKASAHQRSGRAGRTQPGKCFRLYTEKSFQNDLQPQTYPEILRSNLANTVLTLKKLGIDDLVHFDFMDPPAPETLMRALEVLNYLGALDDDGNLTKLGEIMSEFPLDPQMSKMLVVSPEFKCSNEILSVSAMLSVPNCFVRPREAQKAADEAKARFGHIDGDHLTLLNVYHAYKQNNEDQSWCYENFINHRAMKAADNVREQLVRIMSRFNLKLCSTDFNNREYYVNIRKAMLSGYFMQVAHLERTGHYLTVKDNQVVHLHPSNCLDHKPEWVIYNEYVLTSRNFIRTVTDIRGEWLVDIASHYYDLENFPQCEAKRVLERLYKKREKDREESRNRK >KGN45052 pep chromosome:ASM407v2:7:15981172:15982660:1 gene:Csa_7G414490 transcript:KGN45052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNPTRRLHGKVALITGAASGIGEEIARLFAANGAFVVVADIDDKLGQQVVASIGIDQASFFHCDVRDEKQVEEMVSYTVEKHGHLDILVSNAGISGSSSTILDLDMSNFDNVMSTNVRGVVATIKHAGRAMVKQNIRGSIICIASTGAQIAVNLSYMSYISSKHAVLGVVRTSCGELGAYGIRVNCVSPHGVATAMSIQGLKLKATEFEEVVCSKASLKGVTLKASHIAEATLFLASEESVYISGQDLVVDGGYTVVKPLF >KGN45509 pep chromosome:ASM407v2:7:18666162:18668329:-1 gene:Csa_7G450660 transcript:KGN45509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDGNPRPPPFWLQSSNSSLNQLHHSRRRRLSRASSFLLNSSAFLIVLLVIVLCFILIVIPKFVQFTSQLIRPQSVKKSWDSLNLLLVLFAIVCGFLGRNAGGDDSRGSFEDRSVSSRRSMKSNPTAPRRWDDGYTDHRPNHFTLNRMRSSSSYPDLRLQESSFDAGDHRWRFYDDTHVTNHRYLSSDQLHRRRETQPELEQRDSEAKSIVFDRSEIREDVYSQPLIPSPPRSPPQQVSPPRPPSPPPTPPPPANTIPKMVKRRPKRTHKVHSHTPDEENNQQHENGDSDVANFQRIQLPPLSPPSFYRESEQKSSKNEKKRTGASKEIWSALRRRKKKQRQKSVESFEAIIASQRASTSSLPPPSPPPPPPPLPSPSVLQNLFSSRKGKHKKVQSTSLPEPPPPSIPSSEPKPEIAAQNQILKPHDPPMELDRLSSLNDEEYNTSIGGESPYHPIPPPPPPPPPPFRMHGDFDSAGSNSSTPRAISPEMDESEANGPPATSERKLVKDPTIPMFCSSPDVNSKADTFIARFRADLKLQKMNSIKEKTARKRSNLGRTSGPGPSKTR >KGN43873 pep chromosome:ASM407v2:7:4667989:4668653:-1 gene:Csa_7G071620 transcript:KGN43873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEDREETTKLRDIQKADESNSTKINRNDTIANENLAKGWLNLSLGGNFQTLNDIDCSDSQPLMNKANNSSPTKMFSCHFCMRKFYSSQALGGHQNAHKRERGAARRYQFQRLMTMMGLPPLLVNNAANSTMLKSLGVKPHSFRLHSHGGSAATVARFENGTASIGRGTASSCSLEDESLMHITWPGSFRLNTQQKTESSIKLDLNLKL >KGN44171 pep chromosome:ASM407v2:7:7598928:7602255:1 gene:Csa_7G212620 transcript:KGN44171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRPPDFRRPARRRLSNAFWWTLCFVAVLLFVFILTKGTQIESTRPVLARRAYRHEGIMEGLNITEEMLNPSSIARQLSDQISLAKAFVVIAKESSNLQFAWELSAQIRNSQILLSSAATRRVPLQITEAETAIRDMALLLYQAQQLHYDSATMIMRLKAKIQTLDEQMSSVSDKSSKYGQIAAEEVPKSLYCLGVRLTTEWFRNLNLQKKFSEEKQIDMKLKDNDLYHFCVFSDNILATSVVVNSTALNSKNPERIVFHLVTDEVNYAAMKAWFTMNDFRRVTVDVQMFEDFSWLNASYVPVLKQLQDSDTQNYYFSGNGGDSRTPIKFRNPKYLSMLNHLRFYIPEVFPALKKVVFLDDDIVVQRDVSGLFSIDLNGNVNGAVETCMETFHRYHKYLNYSHPLIREHFDPDACGWAFGMNVFDLVEWRRRNVTGIYHYWQEKNVDRTLWKLGTLPPGLLTFYGLTEPLDPSWHVLGLGYTNVDPQLIEKGAVLHFNGNSKPWLKIGIEKYKPLWEKYVDYTHPLLQSCNFH >KGN44673 pep chromosome:ASM407v2:7:13114877:13119714:1 gene:Csa_7G368740 transcript:KGN44673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVTHSVETLIDSTTSKIQQLQKAFAELESHKALTLNLKWKELEEHFHGLEKSLKRRFDELEDQEKEYETKTTEARQMLEKQEAAILAKEHVMLESLQKKRDAAAIAVASAREKHKKVASEIPSPSDDYQSAEPNVVDKPPDSLTSENNSEDLKDTPEEDRHYGVKSYPQLVQLCEEMDSAGLHKFISDNRKNLAAVREEIPFALKAAANPACMVLDSLEDFYNGEVANLDGKKNSDLLGSRRTCIMLMECLSILLKTMDVKSVSEVMSAEVKVQAKKISGEWKPKLDALDVDASNGNSLEAHAFLQLLDTFGIASDFNDVELSRLVPMVSRRRQAADLCRSLGLSDKMPGVIEVLVNSGRQIDAVNLAFAFEITQQFSPVPLLKSYLKEAKKVSSPVRSGNTSQTAQNDVSDRELTALKAVIKCIEEHKLEEQYPVDPLQKRVIQLEKAKADKKRVTEATKPQPKRPRANGVGYAPLVNNNNNVADKNFYGRVTDRYPQYMYDRQYMYPTPNDNHCPSLLGSAMYNMSPAAHGNYFGNAYQYQAAAYLH >KGN43478 pep chromosome:ASM407v2:7:2129821:2131642:-1 gene:Csa_7G039260 transcript:KGN43478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAALLLLLLPFALFCQTLAQTPAAPGPSPPGPINLTGILSEGGQFSTFIRLLNESRLITQLDNQLNNSQGGGLTILAPTDNGFNSLRPGALNALDDQQKSQLLLYHVLPKFYTLIELQTVSNPVRTQAGDWGLNFTGQANSNQVNVSTGIITAPINNKLREQSPLSIFVVDQVLLPDALFGNHTAAPPKAPAPGTDKAPVDGETPPKSDAAKPPANDKSAVTKNGVGLGLILSFGLIVISVVS >KGN45494 pep chromosome:ASM407v2:7:18562613:18562937:1 gene:Csa_7G450530 transcript:KGN45494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLIHGKNCIGICKETAESEGEEGKRNGEARAEEEDERSVERTIKRTIDYCEYSRIGSRNELISSTHLS >KGN44019 pep chromosome:ASM407v2:7:5746351:5747083:1 gene:Csa_7G106800 transcript:KGN44019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLVFFISLVLLPHISLATSSCDGPCQTLDDCEGQLICINGVCNDDPNIGTNQCSDGGSSPSPNSDCQQIGSLNCDGESFPQYQCSPPVTSSTQAILTNNDFSEGGDGGAESKCDESFHDNSELIVALSTGWYNGGSRCGKMIRITATNGNSVLAKVVDECDSVNGCDAEHANQPPCRNNIVDGSDAVWSMLELNKDEGEEAITWSDA >KGN44549 pep chromosome:ASM407v2:7:11662670:11662957:-1 gene:Csa_7G329345 transcript:KGN44549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFIVALLIAFLFVDKANLKLSFIPRHNLYPCASYSPGVRSQKYNHPHPLKSIPRASYPFSFDHCEGASQNRKTHIGFRDKSVARAKPIAHQLSK >KGN45552 pep chromosome:ASM407v2:7:18918824:18919606:-1 gene:Csa_7G452070 transcript:KGN45552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLRLVCKGEEEKFRCTCCGVSWWIVWRPHSGCSYLLDHKVTDDVQVAVKMLSPLSAHSYQQFQTEVILLMRVYHGNLTSHVGYLNEKNHFGLIYEYMTKGNLAQVLSCSANYYRRLFSRVNSTRTFNQFMYAHRKSSFLNLGRWTSDYNSYSTR >KGN45519 pep chromosome:ASM407v2:7:18710467:18713002:1 gene:Csa_7G450760 transcript:KGN45519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGERHYNPDFNACNGENLAQMYDQQKQFDMGNELEQANVDSTRSEIKNSTTQYDSSSSGKLFVGGVAWETTEDTFRDYFSKYGEIADSVIMIDKHTGRPRGFGFVTFCDPAIADMVLKIDHIIDGRAVEVKRTVPRADMNDKMVSRTKKIFVGGIPPGLTEEEFKDYFSSFGRIIEHQIMIDYKTKRSRGFGFITFENEDSVENIFSGDRIHELGGKQVEIKKAVPKRVAYDFNSNSGQTSGYDMYRCGGLYDDIIGTDFGPYDLYAPYGGFGVNYASFYGAYNYGFGYGASMYMNGRYGMNGYGTPSVGYGINGYGKGYEGNGGSMPERFHPYWY >KGN43431 pep chromosome:ASM407v2:7:1877257:1878923:-1 gene:Csa_7G033390 transcript:KGN43431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFHHFHPSMLLNCSNSKYIITFTNIIILIISTSSSTTLLHYPLHFTYHSLIPSPPATMLRPHLLLLLLFSSISVALAAHCTTVTAIKTFQQCMNLPTQQASIAWTFHLHNATLDLVFFGNFISPSGWVGWGINPTSPGMTGARVLIAFSDPNSGQIVVLPYVLDPTVKLQRTPLLSRPLDIRLLSSSAALYGGKMATVHNGAAIQIYATLKLIPNKTKIHLIWNRGLYVQGYSPTIHPTTSDDLSSIATLDVASGTAASQTNDIETLKVIHGILNAISWGLLLPIGAVTARYLRHVQTLGPAWFYAHAGVQLAGFALGTIGFVIGIRLGELSPGVEYSLHRKLGIGVFALGGIQTLALLFRPKTTNKFRKYWKSYHHFVGYACVVMGAVNVFQGFEAMGASGSYAKLAYCLGLSTLVGICVSLEVNSWVVFCRKSQEEKMRREGLIGVAEKDSGSHN >KGN44028 pep chromosome:ASM407v2:7:5858416:5858721:1 gene:Csa_7G113325 transcript:KGN44028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKKILKIYDYTLRQSTLHSDSDQLGLRTSHLLGSSYADKFKFSGKEIHSVFSTRKQSLDFVSKNMNQPSSGRPKQSIGTFPTSTGHDQEQKYWVRKSEDI >KGN43799 pep chromosome:ASM407v2:7:4193469:4194266:-1 gene:Csa_7G067540 transcript:KGN43799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEHNFDDCMSTGCFMGMSLRITDPDLSLQPQSQNQTPHNSPTFVKQINLKLKVEVKCQHFQADEEGDTFASTLMIEHIVSHHPFPTFQLPVSVFRHGNRTLKRLLFQQFQIFRGIINIQLVADEIIEHWVKKEEDRENNSGVLLEEIYPLEITIELLLLRMIHAIDQPEETIDQPQVTMVPASESAMESMLKRVEKEEIVKVGEDKSINCVICLEEISKKMKGSEGVVLQMPCLHMFHEECIRTWLKTSHYCPTCRFSMPINNN >KGN43803 pep chromosome:ASM407v2:7:4206001:4206751:-1 gene:Csa_7G068560 transcript:KGN43803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHAESKEKQRTLSIFKRTYSPEYCTKEKNNLLSRTDSCFYRKKKKHEQNDPEEKIWIPPSITELFEAGVTVKKAKKSPFITNITYKNGHLAAKSYQEMNVISYILFMDCLISTKKDVRLLEKAGIIVNDIDGSDKEVSELFNNLSKFVRRSSDISAYFEKYFNYISKALCENNRNRQWKKLKGRSLKHNYFNTPWAGIAIFVGTFIIILTLLQTIFSVISTFLS >KGN43854 pep chromosome:ASM407v2:7:4559676:4564170:-1 gene:Csa_7G071430 transcript:KGN43854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSLFHLSAPPSLSIGSSKSSFLFGTQLPLPPSCSRISRRRYVLSPHAQQSMDHIPKQFREENLKDGLIENYKNVPQYLYGLTPSQMDMFMTEDNPVQRQSELVNEEKISSAYNYLNHGGMWSLTGMDGKGPDKYSMSVNMYRGGGGGRPRSSPPDLPTLLLDARIIYLGMPIVPAVTELIVAQFMWLDYDNPTKPIYLYINSSGTQDENRELVGSETEAYAIADMMSYCKSDVYTINLAMAYGQAAMLLSLGTKGYRALQPNASTKLYLPKVNNSSGSAIDMWIKAKELDANTEYYLELLAKGIGKPKEEINKDIQLSKYFKPQEAIDYGIADKIIKPEDPAYEKKNYDEMLSQSKAANARRGRGVNPQAAPTGSSWR >KGN44403 pep chromosome:ASM407v2:7:9899792:9902594:1 gene:Csa_7G279850 transcript:KGN44403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWAKSREFPRRSDIERTQRILVHSGLGPRCILPRSDMTLLLKMDDDGIGKSRKCKKQIHIAKKLYAFWLEASWLSYFLRAIVLWLLSKVKIFPRSSDIEGPDAFWHEAIWLSYFLRVIPKAAWHSYFLRAIFMWLRPRAQSSTRIFLYRKNPMHSCPKQHVSPFYGRLWLRLRVESFLYSQEFPTKVLIPKEPDALWPNYFFLRAIVMWLRPRVESSPRKSRVPHAISNTKEIQARSGLDLLLSKGDYGFRRESEVPDAGSSIDATRCILAHSGFALLLSTGDCNVASTKSREFPTKVLIPKEPDALWPKAAWLSYFLRAIFMWLRPRGDYGFRRESEVPDAGSSIDATRCILAHSGFALLLSTSDFYVASAKSREFPMQFLIPKKFKPVAAWISYFLRAIMASGESQKFPTQRLRSPLFLGQLYCSFRRESRVLHTSFNIEGTRHILAWIGFALLLSTSDCNVASTKSREFPTKVLIPKEPDALWPKAAWLSYFLRAIVIGLAPLFSTGDCIGASIESREFPTKVLIPKEPDALWPKAAWLSYFLRAIVMWLRPRVESSPQKNPIHSSPKRLGSTIFYGGLYWGFYRKSRVPHAVFVPKELNEFWPKADWLPYFLRGIVLGLLSKVESSPCSFCTEGT >KGN45551 pep chromosome:ASM407v2:7:18918053:18918559:-1 gene:Csa_7G452060 transcript:KGN45551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTIEAGTPGYFDPEYYISNRLTEKSDVYSFGVVLLKIITCRPVISRAQQNVHIIQWATTMISQGDIRNVIDSRLKGEFDSNSVWKSVEIATACVSSNSSSRPKINHKGLSGHGNESEDRKSLT >KGN43792 pep chromosome:ASM407v2:7:4146337:4155382:-1 gene:Csa_7G067470 transcript:KGN43792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSEIEVVASPNPRSQTNTEVAIVDVYSASAYGDFQKVRSFVEDHGASLLVPDSNGYYALQWAALNNFPDIVQYIIEHGADVNSCDKLQQTALHWAAVRGSIMAADVLLLNGARVEAADINGYRAVHVAAQYGQTAFLNHIVAKFHADFDVPDNEGRSPLHWAAYKGFADTIRLLLFRDASQERQDKEGCTPLHWAAIGGSVEACTVLVHAGTKKELLIKDNAGYTPFQLATDKGHRQIAHILSKAQRTHRSHLGAKLCTRKIENFGYAPILLCVIIILVILFINSVLAGSNLAKVTAVIGLWAWTALCLAFVSLLMFYRCSSKDPGYIKRPGELGNPTNTEDPLLSIDLNNSSVWVGNWSQLCPTCKIIRPVRSKHCPTCNRCVEQFDHHCPWISNCVGKRNKRDFFVFICLGTLTSFLSGYIAIQRIFTVPSAVPTGETWFHHAVVHYPGIITFLFLDAIIFIAATTLTVAQASQIARNITTNELSNAIRYGYLRGPDGKFRNPYNHGCRKNCSDFLIQGHTDDEIAWPPLQRAVS >KGN44666 pep chromosome:ASM407v2:7:13042703:13044147:-1 gene:Csa_7G368180 transcript:KGN44666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHNVTREFIESLASWCGGLSKKEPSFKSNWLKEVSFKANDNEDGRGRIGEVTLNSTNSDGPAVVKGHNGHKKGKDKPLGSLKKSLLSKGNIQSGLSRFRLEAYDEVQRNSMAKEGDEHPRVLLEKKFRFGPLDLVFDNGDFDSYLSIVSYYDDYEFLLTPVRKNSSKVEMEDLFENGDGSREFSSSLVLIKKFTERVIPFKGASEGDPVKSIPKEDLSKLDVCIVKTLKSPLVRTRHFFFCRLGKWVFSQLQSSGELVWWDRSGDRWPEEVSFAVGGLLVFGSMGRWSGKFLRLKVGGILMLWNSRSCVAIKVIPSRHSVTIAFLDGEEFWVT >KGN44718 pep chromosome:ASM407v2:7:13575257:13575668:-1 gene:Csa_7G374610 transcript:KGN44718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLISQWKYPNRKSKFSFLTGIGSSIQPKFYSKPCNIKILQHHSSEILAFGFSGPFIAANALCPRTDINQPNVLWQFLRLRNEIDSSD >KGN44168 pep chromosome:ASM407v2:7:7572253:7578748:-1 gene:Csa_7G212100 transcript:KGN44168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHEKAKAASKIMYQSKRERNDREIRENLARRSGGGLTSSESEPRRSLRRRNVRYNIDYDDFLEEDDEDEEEDERRREKKLKLVVKLNQGRDGTHLSPVDGVSRLEARDLHAPEYGSSASEGEEDEPERKPLKKRRIGGGEEEDEDDEYDDQIRGDENEDDDIDEERGGRKVGSKGSDSVPGTPSDRSSGLPLPDKKTLELILDKLQKKDTYGVYAEPVDPEELPDYHDVIDHPMDFATVRNKLANGSYSTLEQFESDVFLICSNAMQYNSPETIYHKQARSIQELAKKKFERVRNEVERSEKELKLEQSAKSNSYIKKQPPKKPFFRTLQEPIGSDFSSGATLAATGDVQNSSNPIQAVNYEVPSNIDGQVEGSSSLFDTTVQDKAEELFSGRGLLGKLGRKSSVLDDNRRATYNLSISPAPRSESIFSTFEDEIRQFVAVGLHAEYSYARSLARFAATLGPIAWKVASQRIEQAVPVGCKFGRGWVGEYEPLPTPVLIFENQNQKEPGLNNNLHSTSALRKDAKPSDTPLPKQEHSLSAPSTEVSGIARGSTLDGKSSFLKSSTPNPGPLQNLQTKHFTEVEKVKKQVELNSLPSPKQNKIDLGVEKQANSNATTSRSRDMSSVNLNLVQSLPYKLPGVNGVVTGGLPNGKFPSSCLSSPRAVLSSSSLPSQTAPVATSHGQDLGPSKPVQLMRMMSERAPKQENSSNQSSSDSPSALSSVPSAMRDDSNNAAALASRAWMSIGAGGFKQVRENSTPKSQISADSLYNPAREFHPQMTRAWGEFRAAGNQPQLERSNFPMQAFVSQGTLVPNEQQLQNRSMIYPQLVQADMSKFQLQSTWRALSPHNQPRKKQEMLPPDLNIGFQSPGSPVKQSSSVLVDSQQPDLALQL >KGN44067 pep chromosome:ASM407v2:7:6360004:6363700:1 gene:Csa_7G160530 transcript:KGN44067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNFLCFLCNNMNNGGFFPCIIVLGFLLLCVAGGSSGRGRGGVISWDDLRVDVWRRQRMSSSEGLGNGTRIIVVDKNCSGDSTTVQGAVDMVPHNNKQRVKIYILPGIYREKVYIPITKPYISLIGNKNRVTDTVITWNDKASDKSIDGVELGTYRTATVAIDSDYFCATGITFENTVVAKPGDKGRQGVALRITGDKAMFYRVKFLGGQDTLLDDLGTHYYYQCHIQGSVDFIFGTARSLYEQCVITSTAESYGAIAAHHRASPDDDTGFSFVRCVINGSGKVYLGRAWGNYSRTIYSNCYIEDVINPLGWSDWNDPSRQRTVAFGQYNCRGSGASTKDWVKWAKTFNYEEVRPFVDRKYIKGEQWLNL >KGN43668 pep chromosome:ASM407v2:7:3322230:3325262:1 gene:Csa_7G056470 transcript:KGN43668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFDVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGFSLPHAILRLDLAGRDLTDALMKILTERGYMFTTTAEREIVRDVKEKLAYVALDYEQELETARTSSAIEKSYELPDGQVITIGAERFRCPEVLFQPSLIGMESSGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWITKAEYDESGPAIVHRKCF >KGN44405 pep chromosome:ASM407v2:7:9907023:9911007:-1 gene:Csa_7G280850 transcript:KGN44405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIQEGADVVIAVRGDGTFHEIIPLGTGSDFARAFGWNNNPFEAVDHIAKVHVRYSAGLRNRIDVEVVNEDNGENHYFTNVADIHLSAKAGSYAARYKKFGNLCYVIGALQGFMGHQIRDFKTKVDDGEWELYPQVTALCIGNAKYFGGGMKIVPNADPSNRSLEVVILQDFKWYDFILNLHKIYNGTYLTVKNVTSRSVRSIEVEEVSCSGSIYVQSDGEHLGFLPRKFHILPAAIEMIC >KGN43601 pep chromosome:ASM407v2:7:2882930:2885018:1 gene:Csa_7G047360 transcript:KGN43601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNLDCFLHCTTPVVQSQFLPKTEIRNLNRLWHPWEREKVEYFTLSDLWKCFDEWSAYGAKVPITLNNGETLTQYYVPYLSAIQIFTGFREETESGDGDTRDSYSDCCSEESDSDKLWRWEGSGSTSSEDGGSEQEAFLHLNDRLGYLYFQFFEKSTPYGRVPLLDKINGLARRFPGLMTLRSVDLSPASWMAVSWYPIYHIPMGRTIKDLSTCFLTYHTLSSSFQDMDMEENGGGTKRRQRREVREGIPVTAFGVATYKMQGNLWVAGNGGRDQERLVSLSSVAESWLKQLRVQHHDFNYFTGLRRG >KGN43288 pep chromosome:ASM407v2:7:1048437:1049862:-1 gene:Csa_7G017710 transcript:KGN43288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQTITKESIWKKEEEEAKIQIYKYVFGFAEAAVIKCAIELKIANVIESHGRPTMTLSQLSTVLICSPPLLFRIMRFLTHRGIFKEEITPENLIAYSQTPLSHMLINVAPLLLLENSPEIVASWQNLSARLQNSYNNNDDSDLLVPFEVAHGKDIWSYGARNPGFNRMFNEGLACNARVITLPAILENCGDIFNGVESLVDVGGGNGTTLSVLVKAFPWMKGINFDLPNVVLTSEKYDGVEHVGGNMLDFVPKADAAFFMWILHAWDDEDCIKILKNCKEAIGENKAGGKVIIIDSVIDENEENKMATDIRLTLDIMMMTRSRKGRERTADEWTQLLINKAGFSRCTITPIPAAVPSIIQAFIS >KGN43520 pep chromosome:ASM407v2:7:2444036:2444278:-1 gene:Csa_7G043620 transcript:KGN43520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLEVGEEMEMVEVVVLREGKKGACGDWEGNERLRAACHFVLGTDLAGKVKSHQEGRGKCSSTLRCTLFLLQFQNDPSF >KGN44215 pep chromosome:ASM407v2:7:8066176:8066406:1 gene:Csa_7G230900 transcript:KGN44215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRCSKGKARKALLKERGNYVLKWEFRLVSRRVVEESDVEVLNAVRICSREVAAWREEWKRDRCRVAKILDMRWRD >KGN44532 pep chromosome:ASM407v2:7:11438726:11439298:-1 gene:Csa_7G325730 transcript:KGN44532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHNLCLDKESKIEKKELCNPSLLFYFSSSDEHSGVPQPPTNVWGLHRAIQAITAFSDAKHLTPAVPAEASQQGLQTTHQSHNSTLVVSVFESNTECCVYEL >KGN45375 pep chromosome:ASM407v2:7:17772493:17780006:-1 gene:Csa_7G446900 transcript:KGN45375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQNQVTPRDLLEEAKKRVLFLVICIVGLSYMMSLTSSSVWVNLPAAAFLIILIRYFSLDLEMRRKAASYIRRPLPEHGISQEKPLEFPKVVKKSEWRRKVNSRVAEDAIDHFSRHLISEWVTDLWYSRLTPDKEGPEELINIVNGVLGEIAGRFRNINLIDLLMRDLINLICTHLENFRSTKLKIEKRQLGTITLEKLDTELRQHLAMENRLHPALFSSEAQHKVLQHVMDGLILYTFKHENLQCLYFRYTARELLASAVMRPVLNLASPRFINERIESLVINMKKPKTVESMHENLGSKTDGSPSIPSDDLSKFLDPSMAGVELVQMKNAQSTTPTNLPTKFNCNASFSKDPLLSIDTRSSRSWNSEPPTSQNVHENTVQKHNSGEWGEKLDQFSRRKDKALAPEHFENMWAKGRNYKMKENENQSNKNTQHGLPQGKPLSISVKREKRISKTIDIENEGELNCSKNKTVHLGCTDPLTVNGSSCRTDSDILNNSTVMHYQDNDRDVMHLNDLDSDGNTSEDEETSNVTGLDSPVTKVWNARNNRNAGISHIHHPLESSDGCRVKKAVKGKDHNNRLSRNQSGRKRSRHNSEKLPVWQEVERTSFISGDGQDILNSPLGPANDDDSSDDSDMESSGRIHSGAAASSSVLSISHILPTDYSQSSQMVDSFFRLKCEVMGANIVKSGSRTFAVYSISVTDVNNNHSWSIKRRFSHFEELHRRLKEFSEYNLHLPPKHFLSTGLDFPVIQERCNLLDKYLKRLIQLPRISGSIEVWDFLSVDSQTYIFLDSFSIIETLSVDPADKSHEEYNRCVSNPNSPLSGLLPLRRDHATAEFFEPKLQSKAKLQPNGLRLNSKDATTEKSGLPDRNSGRTENQKENGTLSDKNSGNTENQKENEKSGEASDLLLDAATDPMLPTEWVPPNLTVPIFNLVDVIFQLQDGGWIRRKAFWVAKQVLQLGMGDALEDWLIQKIQRFRKGSSMASAINRLEQILWPGGVFITKRPKQPPSPEGSTSGNNSNEILSPRSLEELQQQEADRRAKLVYDLMITNAPPAIVGLVGRKEYEQCAKDLYYFLQSAVCTKLLALDLIELLLLTLFPELDSVFKQLHERKEKFGKLDAHV >KGN44614 pep chromosome:ASM407v2:7:12434919:12437279:1 gene:Csa_7G343310 transcript:KGN44614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVREQRVESFYTKLRDSVVASSLSPLLIFPSTSDVDSLCALKIIFKVLESDSVRYACYPVSSFQEIHKYAGPSLTSLSADPISILLINWGCHRDLRKVLSLGPAARVFVVDSHRPIHLHNLSSENEQVVVLYTKDDELQADLAYDFDVSALANASDLNSDDEIDDVSDSDDDNDSESDEEGRRGSRKRRRVDKENEEDPVQLYRKLKRGYYQMGTFHGRPSGCLMYDLSHSLRKNTNELLWLACVSLTDQFVHERLTDERYQAGVMELEQHINSSGNLNAVNSVTLKDGTKIRAPDASRITYDDEPRLMLLQEWNLFDSMLYSSYIATKLKTWSDNGMKKLKLLLARMGFALVDCQQKFQYMNIEVKRKMKDEFERYLPEYGLTDFYYRSFLRLHGYSSKVSAADVVYGVTALLESFVTSDGTSASKQFGVAYDALSLNNLDKLKAGMQQAIKVQRSILRQGSSAITKSGCIRSGRKFRWVKLEDSVDTKLLGYPQALTKFCYFIMDALKERGARMKPLLCACLSQEPNKVLIVGVCGKPRLGASQGNAFGRAFRDAAEEISSEFFHEMFESSWILLEKTSVNSFMVRLTQKL >KGN44950 pep chromosome:ASM407v2:7:15383463:15386012:1 gene:Csa_7G398120 transcript:KGN44950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIAIGSKLFHTVLLMLAWQYCAKVDATFKDVTGFFDFNLGSFGSGGAGIMGGTKNGGSPANAPEMAPGIAPVGFDTPTNNEDSGASSFDFDFSKNINGGVDLSNGAHKLNLKGSGTLDLSHKVDVSNDGHKIKFKKSGTIDVSNDDHKFNLNSGGTIDVSGEVDVSNDDHKVNSKKSDTVDVSNEDHKTDSKSGGTTDVSHEVDVSNDDHNVNFNKGGSVDVSYEDHKTNSKSGGTVDVSHKVDVSNDDHNVNFNKGGSVDVSYEDHKTNSKSGGTVDVSHKVDVSNDDHKVNSKKGASIDISNKDHKTNSNSGGIIDVSHKVDVSNDDHKVNLKKSDSIDVSNEGHKTNSKSGGTVDVSHKVDVSNDDHKINFKKGGSIDVSNEDHKTNSKSGGTTDVSHKVDVSDEDHKTDLKSGGTVDVSHEVDVSNGDHKINLKSGGDSFGVSGGGGGGGGGGSGGSSGSFGVEVEVEVEVEVEVEVEVEVEVEVEVEVEVEVEVEVEVEVEVEVEVEVEVEVEVEVEVEVEVEVEVEVEVEVEVEVEVEVEVEVEVEVEVEVEVEVEVEVEVEVEVEVEVVVAHLVFLVMGGGGGGGGGGGGGGGGGGGGSGSGSGGGLFGVFGDGIMSGKIRGGGGGGGTVDVSSEVDVSKDDHKVNLMKGGTIDVSNGDHKINLKGGGGSFGVSRDGIMSGGGGGGGGGSSIGVSGDGIMSGKIGAGGGGDDTIDVSKDDHKVNSKSGDGLFGISGGGKMRSGGTLDVSGNGMMSGKLRGSGSIGLGSTGKIG >KGN43899 pep chromosome:ASM407v2:7:4797287:4800092:1 gene:Csa_7G072860 transcript:KGN43899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSRSSFLLFLLFQALLLAAASTTAYPSVVDTGPTDCSLSDGDLTPIRREVYDNGRIIDISHRFTTDMPAWESDQGLGQFLWLPKSMKNGSLANNSEMKLPAHTGTHVDAPGHVFDHYFDAGFDVDTLDLEVLNGPGLLVDVPRDKNITAEVMKSLNIPKGVRRVLFRTLNTDRGLMWKKEFDTSYVGFMKDGAKWLVENTDIKLVGIDYLSVAAFKDLIPSHLEFLRGRETILVEGLKLDGVQPGLYSIHCLPLRLLGAEGSPIRCILIK >KGN43968 pep chromosome:ASM407v2:7:5193664:5203371:1 gene:Csa_7G075010 transcript:KGN43968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAMATLQDLIQEAKLRTVWWALCIFAISYFLTHTSKSMWMNVPLAILLVSALRILFNEVEFHRKIRPIHQQTYLSHLEKKQLSVNDSRLSSALPPPRWKRKIDSPAVEAAMKDFIDKILKDFVVDLWYSEITPDKEFPEQIHALIMDALGEIAVRVKEINLVDLLTRDVVDLVGDHLDLFRRNQAAIGVDVMGTLSSEERDERLKHHLMASKELHPALVSPESEYKVLQRLMSGLLTSVLRPRETQCPVVRSIARELLTCLVVQPLMNFASPGCINELIECIVLATRAENDSVIGGQQQTYSSDHDKDRSSTAGFVHDEDMNQRNSSLNPGSGSELTKFNNKKEISSDYMFQDEPLQMRHGDWGRALNAATQRRTEVLMPENLENMWTKGRNYKKKENKIIKVGASELMASTKNYGTSIMQPATKTTVRDEMSTGKHHSSAGPEEKAIVRRTPVRQSDLLLTSKPGDENKIAFQSSLELQKDSSVDGKFIANELKDVDNLTPTPASANKIQLKRSNSTSALKTEVSVEKTSAEGGRSIISDFYGPNFGKHVEDPLSKGSSDMVIQKEGLLVPKLRSRVMGAYFEKLGSKSFAVYSIAVTDANNRTWFVKRRYRNFERLHRHLKDIPNYTLHLPPKRIFSSSTEDAFVHQRCIQLDKYLQELLSIANVAEQHEVWDFLSVSSKNYSFGKSSSVMRTLAVNVDDAMDDIVRQFKGVSDGLMRKVVGSTSPDEACASSNYDRKFSFNSADLSRHVSAQYNIEIANNMSDEEGDQIESKKCEKVSGWHSDNELNSKSFPPRVIKRGKESDRLVVDKKNSLELRSGTSHGGLSQISNHMEDPEGMPPEWTPPNVSVPLLNLVDKIFQLNRRGWIRRQVLWISKQILQLIMEDAIDDWIVRQIHWLRREDIIAQGIRWVQDVLWPNGIFFIQLRNGQSEDDDSQSTTSRTDGGKSPKPGSFELQLEAARRASDVKKMLFGGAPTPLVSLIGHNQYKRCAKDIYYFTQSTICVKQLGYGLLELLLVSLFPELRNLILEIHGKSHVSQPV >KGN43772 pep chromosome:ASM407v2:7:4021635:4025181:-1 gene:Csa_7G066290 transcript:KGN43772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRALFLAFSRKFRNRGFLNQSFKSTPVFNEANVSNYCSHGALRSESFDESVNYEEFNDLKSRIFQLRLPKRSAIRVLERWTSEGNQVTIAELRNISKELRKIQRYKHALEILEWMVSHNQSELSDSDFAIRIDLMTKVFGVDAAERYFEGLPIDAKTCETYTALLHSFAGSKLTDKAETLYEKMKESDIPLTALPFNEMMTLYTSIGQVEKVSSIVDDLKQRMVHPDIFTYNLWISSLAAALNIDGVKQILNEMNHNPNSNENWVRYIELVNIYVKSANLLHSESNSVVESVSGISQREWITYDLLIILYAGLGNKDKIDQIWRSLRMTKQKMTSRNYICIVSCYLMLDDLKEIGEVIDQWKQSTTTDFDISSCNRLVNAFIEAGLHEKANSFVNVLIQKNCEPTEA >KGN45418 pep chromosome:ASM407v2:7:18027186:18032948:-1 gene:Csa_7G447800 transcript:KGN45418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSIHPSDGRMHIGHAQHSMHTQSVQEQEHHDLHYMSNGNGLADEHENEGHGIMVVEREVQSDHGDLAENRGVMVDRGGENCDQLTLSYQGQVYVFDSVSPEKVQAVLLLLGGREVPLRVPSIPITNQPNDRHLTDEAFNQALANIPPRLSVPQRLASLIRFREKRKERNFDKKIRYTVRKEVALRMQRNKGQFTSSKPIHEDSSLAMASWEQNESWSSDGNGSQQQEILCRHCGISEKSTPMMRRGPDGPRTLCNACGLMWANKGTLRDLSKTPNQGGQTATFNRNENAVQNGDSESNPKGV >KGN43401 pep chromosome:ASM407v2:7:1727461:1731799:1 gene:Csa_7G031620 transcript:KGN43401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLASLRTIPNVPFQPISSSTRRSKFLSRRLNLGPSMDSSLRNSITLTSSSSSSSPMFTAIRAQQIESEAGSAAASPGTESEENALKVKEWEVGMFQDEVAATQGIRIRRRPPTGPPLHYVGPFQFRLQNEGNTPRNILEEIVWYKDKEVSQMKERRPLFSLKKDLERAPPARDFLGALKAAYLRTNLPGLIAEVKKASPSRGVLREDFDPVEIAQAYEKGGAACLSVLTDEKFFQGSFENLEKIRNAGVKCPLLCKEFVVDAWQIYYARSKGADAILLIAAVLPDLDIKYMVKICKMVGLTPLVEVHDEKEMDRMLAIEGIELIGINNRNLETFEVDISNTKKLLEGERGQKIREKNVIIVGESGLFTPDDIAYVQEAGVKAVLVGESIVKQSDPTKGITGLFGKDISV >KGN44081 pep chromosome:ASM407v2:7:6486152:6486471:1 gene:Csa_7G170610 transcript:KGN44081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFMNFDKMISSSTAKISNETMEKLMASRISLGGFFLNCEIENGEKCLKRKRVSSWFTQRRGRDKVLSCVLEF >KGN43825 pep chromosome:ASM407v2:7:4338763:4339587:1 gene:Csa_7G070230 transcript:KGN43825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTTKFVFAFCVVGLFLILAPISPTLANSTPQDFVDAHNAIRAKYGVGPVTWNKTIASYAEKYAKTKTATCEMEHSMGPYGENLAEAFEKTTAELTVNYWASEDKFYDHKSNKCVEEECGHFLQIVWKDTTSIGCAEVKCNNNYIFTICNYYPPGGYPDQLPY >KGN43361 pep chromosome:ASM407v2:7:1464757:1468133:-1 gene:Csa_7G027280 transcript:KGN43361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFCQTDSQALLVQIRRHEALLKSKRRWLLGLPTSESRRKYPDHSDFLNKQNLPESLLREDDIFYETVKTRVEEAFGALGVETRHLGIRADQIFDSCKIGKLILSCLNDLSTRGLYLLAVVLTENSAQLEKTRCKLKRTIREFIPKVLRSKSQDCRQLEIVKQLAQLLNDSKNFRRRRSTTLTSSLFSNHDAVSHVLYGLGDLPTQVLLAMHRKLVGVRFMPQMKRNRHGRGRDCLINLLTKISKKMLSSIGEGDELQESLAKAMAVADLSLKLVPGRHNSSIIEFYHFSPQMKSLHNEIVKAIWSISKRVNFQKLKQVKSLLDPNAKVSHRSLRSCIKRMLIDYLFECSDMDTVPKSLLKALALINADSQIATHSVFSQDEIEEEVECVFSLSAQMKQVVWDLLPNCDFEHDFADAYMEELEESDNDLDDHDEDSCDGLPREDNESRSVYVEGMGESMPANLDHSSVGNILSPSYNADVESFQYSTPMHFKREGSLDSSFSYHPSFMESKGQHDAYNLSSNQQVENKDTPNILLGNSTTGDTAKTPCSSAFNMCSMHQMEQSEPSTFKNQYLVVQEACDETSMIAYNFIGRLLGEFAKNEGIELDWCANLYLNSNCSIEEDLPEVEQTHIRAKGSDSVIIQVCQELIPSLSKSGTKRLQQLLGL >KGN43420 pep chromosome:ASM407v2:7:1840988:1841557:1 gene:Csa_7G032790 transcript:KGN43420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEGGTDDNGGGAQPLLLASLSAPTTTTSNKPAGFKLIQQIVLSIRFLLICLNRTRSPLPLLHTPIDDIIPLEEERAVIDDIIPSEEEKEVIDIARLEKEREMKKDRLKEIVKEKNLAALETDFCGVGEAVSFLHSQWDTQFGSHRYKSS >KGN44084 pep chromosome:ASM407v2:7:6537077:6538397:1 gene:Csa_7G179120 transcript:KGN44084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVMDGELKSFTKVSLTIMVSLLYSYFISSKLPKGKFRLISLFPTFSLFALLPLSLSSVFLSGTVAFFITWLTTFKLLLFSFNLGPLVSDPPLTFPLFVTVACLPIKIKPREIDPDYKYLEKYANPKLGLNLPAKILLFAILIAAGDHIDQFRPNVKICVYCVTLYLFVEFLLGVSSAIVRSSFDGVVLEPSSNEPYLATSLQDFWGRRWNLLVSNTLRYGIYRPVRAAVGGVVGKRWAAGTGVMAVFVVSGVMHEQLVYYITREVPTWEVTWFFVLQGVCVVLEFELKTVVGRRWQPPAAVAWLLTLSFLVVTASWLFFPPLMRAGIFAGLLKELKMVERLVWKLILSLFQKYYIKSA >KGN43965 pep chromosome:ASM407v2:7:5178348:5180395:-1 gene:Csa_7G074980 transcript:KGN43965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQDTLVVDSSFIQPIQHRPKLYPFQPATDQVPTIDLSISPSRTTQHLVSEIASACQNWGFFQVINHGVSLETLARFEKAAKLFFDQTMEEKRKVKRDAGNAVGFYDGENTKNVRDWKEVFDFLVKDGTFFPASHDPNDTKLKVLFNHWPEYPPGFREMCEEYARELELLAHKLLRLILLSLGLSGADGLKGYFNDEQMSLMRLNRYPPCPSPDLVLGVGRHKDSGALTILAQDAVGGLQVRRKSDGQWIPVKPIPNAYIVNIGDVVQVWSNDKYESVEHRVVVNSEKERYSFPFFFFPAHHMMVKPLEEVVDEQNPPKYREYNFGKFIASRSHGNENQEVENIQIHHFRARLHQ >KGN43551 pep chromosome:ASM407v2:7:2622522:2623935:-1 gene:Csa_7G044910 transcript:KGN43551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSPGDDASSSVWDDDACFNAMFSDVSFQSFLAAGNHSQFGGGYIADALTFPNPTTSFYPQPTTNFAAECTDSSDGPFFKLPKSEPMDVYEDTETKEPAFVLHDSTSFPHLPDLRSLEQCSSSLNKRARVDSMSNPLQMMEPMVRGCEGFQSFFVPYQYKISSDLITASATASPTVQIPRSTFARQRRQKLSDKTRCLQKLLPWDKKMDIATMLEEACKYVKFLQAQLLALQSMPCESAISSYNSSNNYITGVFGGLERLNRNQLLQVLVNSPVAQTMLCSQSCCVFSAEQLGLIQKIAEKRVLPTFHPKP >KGN43902 pep chromosome:ASM407v2:7:4829244:4832220:-1 gene:Csa_7G073380 transcript:KGN43902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTFAIQGQPLEVTVIGCTKLKDTEWISRQDPYVFLEYGSTKFRTTTCTDGGRNPTFQEKFVFSLIEGLREINVTVWNSNTVTYDDFIGNGKIQLAKVLAEGYDDSSWSLQTKTGRHAGEVRLILHFANANRPTSSFAPSAPPFHVPTPPQVPAYITMPPPSPAHPTAYPPPTPTPYASFQSPYPSYPPSSGTYQTSMYSSPYPSGYPPTSYPPPPSAYPPNPYPPPPQASPFYPPGPYPGVYPPPQY >KGN45448 pep chromosome:ASM407v2:7:18242857:18243433:-1 gene:Csa_7G448100 transcript:KGN45448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVAYVFTLFSSSLTAEVQPYTPIIDNGPLPGKGKPKGEKAATLSLRIDNKQWGYWDRPFNFTRNPTCVYVLMTVSTVVASIVNAFSIRVPMFF >KGN44685 pep chromosome:ASM407v2:7:13271263:13272735:1 gene:Csa_7G372310 transcript:KGN44685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDPSTFVCFQALAYHRHSPFTTSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSLLPPHPSPLFPSPHF >KGN44969 pep chromosome:ASM407v2:7:15441702:15442325:1 gene:Csa_7G399280 transcript:KGN44969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMARGFKLFQTLCLVFAWKYCCAQVGATFSDATGVVNAGLNHQFSFRAFGWPHIGTPDVDGSITSTVKKQIHAEAPAGIAPVGLETMINKEDKVQSYDLDIIKQIKGGGAVVSHDHESNLNSGENIAFSHGGKVSVKSKGSVAGSSGGEINGLKRKIHNYKGLSFGVEIKKDHGATLGLKAHKGKVNVGVSHGGNISINKRGSIVI >KGN45229 pep chromosome:ASM407v2:7:17088716:17092221:-1 gene:Csa_7G432100 transcript:KGN45229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLEWVDVALSDDSLVVDLLLRLNRPPSPPLPLDWSVRQPRSKQILPRHTSDSAARASPTTPLTWSSSGGGGGGGGFVDASDAARSKIAGKSEVVATMKRPRKKKTLGELKEEEVLLLKERRSLKDALATLRLSVEKQRAMNGSLKKMKLDLESQQATKMVVTSAVLGEANSNQSQQLQTPPRSMCSTTPIGADASYQLTMPNVSCKLQEIATLGTVRLLPDLNLPFQEDSSTEALYRMS >KGN43822 pep chromosome:ASM407v2:7:4315549:4320801:-1 gene:Csa_7G069720 transcript:KGN43822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEYECCTPNFFIHILIIVLLVLFAGLMSGLTLGLMSMSLVDLEVLAKSGTPKDRIHAAKILPVVKNQHLLLCTLLICNAAAMEALPIFLDSLVTAWGAILISVTLILLFGEIIPQSVCSRYGLAIGATVAPFVRVLVWICFPVAYPISKLLDFLLGHGRVALFRRAELKTLVNLHGNEAGKGGELTHDETTIIAGALELSEKTAGDAMTPISETFAIDINAKLDRNLMNLVLEKGHSRVPVYYEEPTNIIGLILVKNLLTIHPDDEVPVKSVTIRRIPRVPETMPLYDILNEFQKGHSHMAIVVKQCNKMNGKSDDKTSDDSQKDVRIDVDGEKPPQEKTLKNKRPLQKWKSFPTSNNSFRSGSRSKKWTKDMYSDILQIDGSPLPKLAEEEEAVGVITMEDVIEELLQEEIFDETDHHFEDS >KGN45016 pep chromosome:ASM407v2:7:15748316:15749878:-1 gene:Csa_7G407690 transcript:KGN45016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGRVAHVTLQGPSVVKEILTGMGLALFAGSFWKMHQWNEQRKVRAFYDLLEKGEISVVVDEE >KGN44598 pep chromosome:ASM407v2:7:12283370:12283859:1 gene:Csa_7G339700 transcript:KGN44598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVEKQIPSRKLSKNCIKRAEAEKTETEGEIESGVHLKGQSRWRKRKKKKKKKKKTRIKAMSSSGSWLDHGQDLMEELKKLKGQKKEEDENTCGNDVVDTVLPLKKQNVI >KGN44202 pep chromosome:ASM407v2:7:7923879:7925948:1 gene:Csa_7G221340 transcript:KGN44202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDPVYLLLTFTGLVDDQASGSTTFQPRTSAKKFYIPARLVIGNDEYVKIGKGNQLVRNPKRRARILASEKIRWSLHTARQRLAKKRMYCQFFTRFGKCNKDGGKCPYIHDTSKIAVCTKFLNGLCSNASCKLTHKVIPERMPDCSYFLQGLCSSKNCAYRHVNVNSKVPTCEAFLRGYCALGNECRKKHSYVCPLLEATGTCPDRSTCKLHHPKRQTKGRKRKRLEGRNNDQGRYFGSTNQDVSRSRLVVSEKQLPVKSSDPFLEDLTDYISLDVGSDEDIEESRDSTSQTTSFSQGYLSELLLEDPDELIKPIRVMNENLTVQ >KGN44004 pep chromosome:ASM407v2:7:5518313:5519852:-1 gene:Csa_7G091730 transcript:KGN44004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMDGEVIRLMKVLLICMASLMYCYYIASKLPKGKPRLLSLLPIFSLFAVLPLDLSYVVLTSGITIFVTWLTTFKLIQFSFDLGPLASDPPLSFPLFASIAFLPTRIKDIKTTPYVQNHKKDSNAPQELDQETPPKLPLNLPAKAFIFALLVICKNHVHLVHPILKLILNCGILYFYLDMIMSISNEFVRLSFGIEVRRSFDEPYLATSLQNFWGRRWNRLVSETLHNMIYKPIRYDVGSPRWMAVFVVFVVSGLMHELLFYYIIRVVPTWEVTWFFVIHGVFVALEIELKSALGKKWQFHWAVTGPLTMAFMAVTAHWLFFPQLLRMKYSPLWQA >KGN45425 pep chromosome:ASM407v2:7:18088868:18090913:1 gene:Csa_7G447870 transcript:KGN45425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEMEATGKLVAARQLLKTGLERSRDIESKLANAGPRLRDKAQRLASLEAKLLKIQKCNSFGVGDQIDHAIGLATALLNVFNTVSELQKSLTADSCSQLSSYLSVMKRFEEALEFISDNCSITTQWLDGIVRFVEDNRIVDDQRLLNVKNSLAILCELQTVENDARIDGGPLDFALNKLEFEFRRLLRDHSVPLRLAPPLLAIENQGFIGFSLLPEFVVQELQSILTRLKANGRIENCISIYIEIRSSNAELSLRTLGLDYLETSVDDLSNMRSIEDHINKWSKHLELSVKQVYEPECKLCNDMFEKIESEIRTRCFAKIASQSGFISLLRFGRKVTQTKKDPIKLLNLLDVFLVLDNLRTDINKLFGGKDCTEIQAATRDLVKRVVNGICEVFSELPIQVELQRQSCPPADGGIPSLVSFVTDYCNKLLGNHYKPILNQILIIHQSWGQETCEENLLENQIYLIIKELALNLDAWAKAYQDMSKSYYFMMNNHCHFSNLKGSKLGEMMGDEWLNAHNQYKEYYAALYLKESWGELLSLLNQKGELQFEGEKWEDTYLLKKRVKKFSQAFEQTCRRQSKWVISDEGLRERICLLLVQTIVPVYLKNFEALIEHDRGAAKYLKYTAESMGSVISSMFRPPTLSVQIRSNGHTHSRFITKIKSLVTNQFRASITAS >KGN44589 pep chromosome:ASM407v2:7:12193893:12197599:1 gene:Csa_7G339120 transcript:KGN44589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGHKVTLNVYDLSQGLARQLSTTFLGRPIEAIWHTGIDVYGNEYYFGGGIQHDSAGKTPYGKPMRSIDLGTTHVPKDVFEMYLEEISPRYTAETYSLLSHNCNNFSNEVAQFLVGATIPDYILDLPNIVMSSPMGAFILPMIQKLEATLKAGGVPMAPQFNPASTNVPRSSSSVSNSSRTQDHVKPKPEVDHAKPVEKTTPAELQTSNTNGIADPLGDARSKVQEEIGREFAAIMATGTLRASEAAALATKRVMQKYGHATLSQG >KGN43197 pep chromosome:ASM407v2:7:482434:482748:-1 gene:Csa_7G008440 transcript:KGN43197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRLINSPRKSSSTVPKGFLAVYVGETQKRRHVIPISYLKHPSFQDLLSKAEEEFGFDHPMGGLTIPCNEDVFFEVTSRLANC >KGN43279 pep chromosome:ASM407v2:7:963597:977768:1 gene:Csa_7G017130 transcript:KGN43279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLSALVHELRERIAASSSTPNRADDFGLEVRFRAFLPNLLHDHVVPSSSVNEREVVAVLKLISITARNFPGVFYHGKASAILPVIARILPFFAETTIQAQHGVIFETVGSLLSLLRTGARDAYRQFFLDAMSVVEDILYTASLGTDTSSFVESTSINLSCFCESLKGIFEDPDHLGDLPEVNRPTNGSGILINLTGKKRWRPFATWIIKLLGRCLAEGTVYVEGLISMSDVSAACSLLCYGDADLQMACFDFARIVGLVIDDIVPYQPLIQSISTILSEDKQGLPVFRNIPYDNSIGGCLNVLYSNCCDDVVKLTAADMVGIFSQSLWRTKSMELKVSLCNAYMRIAKICPPHIWRPEILVDMLSFPEPCFALIDCFKAVLSILGPDYVGGSLPGMGSSELSNKSTEISRIGEKRPFEELDIVKKKRPKMDGEIVSSEADIMVECKKPHIKICETEETYANNLHNLLVSFVGCLKASSFRADALRPEVSLTALSMLCIAFCRYPETRLSMVIFQEMVSWIPWIYEQAKKGSSISIDISIFLEGIHNILLLPNHISSCLFSLLSGSNGECAETMSVILKVPWTHSITSTESHKPWKTRCISVQVSSKITSITKDETDLEILDLSLVDENDEVRTEAAISIPVIALWTGFDRLTPLFRRLEILKEEMHEKVKKIIPVSLGFLSCLYGSCHSVSRCKLFLNTNSDRCCQTVNYVLQGFWCSKCDRTVLHDHKLYANIIEQSDFYPKMNLDSDFVHLVSMFFKLLFDESSEEVQLSCVGTLRRILVHGSRDVLHQTKTDWLKCVEFLLLNRKKSIREAFCLQISSFLEDHITSCFFSEEDISNKRKELMFLDFIKTAMVSTADPQILDTILESVAELMNAVDIHSDFFSLSLLLLVDHLDNPYIAVRLSASRAIHRACCFHFKGNFNMIFSKVIHLRNELFDHVSSRLVNHPKIVQEFAEAVLGVETEVFVKKMIPVVLPKLIVSHQNNDQAVESLYELAKCVDTDMVTLIVNWLPKVLAFVLYQANGKELCSALEFYHAQTGSTQEEIFAAALPALLDELVCFVDGGNSDEVSKRLARVPEMILQVARVLTGGDDLPGFLRNHFVGLLNSLDRKMLHAEDVCLQKQALQRIEMLIKLMGSHLSTYVPKLMVLLMHAIGKEELQSEGLIVLNSFIQQLAMVSPSSIKYVISQVFAALVPFLERDETSTHLDMVVKILEELVLKNKSILKVHIREFPPLPCISALTEVNRAINETRGSMTLKDQLRNVVDGLNHENLKVRYMVACELRKLLNMRSKEVTTLISAEADLDMDVLSLLISSLLRGCAEESRTAVGQRLKLICADCIGALGAVDPAKVKSFSCERFKIECSDDDLIFELIHKHLARAFGAAPDTIIQDSAALAIQELLKIAGCKASLDDNTAPSASPSLKDKETSKTVASDSSDDDHAMSIRGQRLWGRFSDYVKEIIAPCLTSRFQLPNVVDSAFASSIYRPGMSFRRWIYFWIRKLTAHATGSRAGIFHACRGIVRHDMQTAVYLLPYLVLSAVCHGTEEARHGITEEILSVLNAAAAENGVALIHGNTGGQSDVCIQAVFTLLDNLGQWVDDVERGLSLSQSGQSSSSKHLVAKSKESSSNVHVDQEQLLVQCRYVSQLLDAIPKTTLARASLSCQAYARSLMYFESYVRGKSGSFNPAAERSGIFEDEDISYLMEIYSFLDEPDGLSGLACLRKSLRLQDQLLINKKAGNWAEVLTFCEQALHMEPNSVQRHSDVLNCLLNMCHLQAMVTHVDGLITRIPQYKKTWCMQGVQAAWRLGRWDLMDEYLKGADEEGLLCSSSESNASFDMDVAKILQAMMKKNQFSVSEKIALSKQSLIAPLAAAGMDSYARAYPFVVKLHLLKELEDFHNLLFNDSFLEKSFHVDDQEFSEMIQNWENRLKFTQSSLWAREPLLSFRRLVFGASSLGAQVGNCWLQYAKLCRSAGHYETANRAILEAQASRAPNVHMEKAKLLWSTRRSDGAISELQQSLLNMPVEVIGSAAMSSITSLSLVPMNPAPLICDTQTLNENRDIAKTLLLYSRWIHCTGQKQKEDVINLYSRVKELQPKWEKGYFFMARYCDELLEDARKRQEDSFEQGSRKVSSSSTAIGPPNLNNEKPWWSYVPDVLLFYAKGLHRGHKNLFQALPRLLTLWFDFGSIYQRVGSPSNKELKSVHGKVLSIMRGCLKDLPAYQWLAVLPQLVSRICHQNEETVRLVKYIIASVVRQYPQQALWIMAAVSKSTVPSRREAAMEIIYSAKKDFSQGKGGNNLFLQFASLIDHLIKLCFHPGQQRAKNINISTEFSTLKRMMPLEIIMPIQQSLVVNLPTYDVNLTDSPSSDIFSGTELPTISGIADEAEILSSLQRPKKIILLGSDGIERPFLCKPKDDLRKDARMMEFTAMINRLLSKYPESRRRKLYIRTFAVIPLTEDCGMVEWVPHTRGLRHILQDIYITCGKFDRQKTNPQVKRIYDQCQGKIPEGEMLKTKILPLFPPVFHRWFLNTFSEPAAWFRARIAYAHTTAVWSMVGHIVGLGDRHGENILFDSTTGDCVHVDFSCLFDKGLQLEKPELVPFRLTQNMIDGLGITGYEGIFLRVCEITLSVLRSHRDTLMSILETFIHDPLVEWTKSHKSSGVEVQNPHAQLAISNIEARLRGVVVGVGAAPSLPLAVEGQARRLIAEAVAHKNLGKMYIWWMPWF >KGN44293 pep chromosome:ASM407v2:7:8793453:8793748:1 gene:Csa_7G239060 transcript:KGN44293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGWKKLTFVAFIFLLAIASGWMMVETAGKICFKQSRSFKGKCISNDECVKACDTDMWYDGFCSNGLCICTVIC >KGN44440 pep chromosome:ASM407v2:7:10228797:10231858:1 gene:Csa_7G291110 transcript:KGN44440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENNLIPTFEALSISKNDHKVNKEQAPPKTTNPKGLIDVLVSWSLEDIFNQHLFKTKIEKIPQCFNSVEQYFGCFIHPLLEETRSQLHSSMNPISKSPCVQVISLKEIKPYGKGLFQIHLKDCKQYCPTILIPGNIFILSNVKPKVVSDLQGNGKTWTFATTFVSQKGKKKGTNKPTCFTIKTWKENFMKDLNHHEHPTFLVVLVNVLTNVRIWNALHMKKNNAIFNHVLGVTSFNSNLNFGCDVCETKIEELSSKSSLFCTLNESQARAVGTCLNRISCVHKYGVELIWGPPGTGKTKTVGVLLFELRKKNRRTLACAPTNTAIMQVASRFLLLVKEMHDKKDNGSKGLFCNLGDILLFGNKERLKVGESDKYIYLDYRIGRLKKCFNQLNGWRFCFSSMIDFLEGHCVSQYRTFLKDHKQRSKMVEYSFIEFVRMHYKTISRSLKECISIFCTHIPIAILKHNFERLSCVMSLINSFESLLLSNGVQSKELEKLFLKKVEEEVVEDQNVEYEKLLKGRNDCVLVLRSLEYSLSELRLPQTSSKGGLRKFCFRNASLFFCTVSSSFRLYSMRNVAPLETLVMDEAAQLKECESAIPLQFPAIKHAILIGDECQLPAMVESKVRRHSFSKLNFLAIYRPYFECMLISIV >KGN44467 pep chromosome:ASM407v2:7:10468959:10469694:-1 gene:Csa_7G298830 transcript:KGN44467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHKIQPHEIEKLKGSEMDQDINVESNYGYCERNQTVPKIRSTEGIEVAEVTSKGTANHKKETQLNAEARNGDKATMINKKPYVKTQAQASIDREAQLETDKEPEDIGSPYFHRPVNQHEQKEADKKGRSFQTKDEIKDAREEWKLTHEDSSKEAKQEKKQDSKQFKMRTLIIIAGSALLASVVIVAFNFGEK >KGN43525 pep chromosome:ASM407v2:7:2475329:2479036:1 gene:Csa_7G043670 transcript:KGN43525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKSPDDVLQPLIQPPTTQPPVLSNKHEYSDELESILSDTHLPLLQRYTKATWIEMKLLFYLAAPAVFVYVINYLMSMSTQIFSGHLGNLELAASSLGNNGIQIFAYGLMLGMGSAVETLCGQAYGAEKYDMLGIYLQRSSILLTLTGFVLTLIYIFCKPILIFLGESKEIASAAEVFVYGLIPQIFAYAINFPIQKFLQAQSIVFPSAYISAGTLVVHVVLSWVAAYKMGLGLLGVSLVLSLSWWIIVMGQFVYIVKSDKCKETWRGFSAKAFSGLPGFFKLSLASAVMLCLETWYFQILVLLAGLLENPELALDSLAICTSICGWVFMISVGFNAAASVRVSNELGSRHPKSAAFSVVVVTVVAFIISFFCAVIVLALRNVISYTFTEGPVVAAAVSDLCPLLALTLLLNGIQPVLSGVAVGCGWQAFVAYVNVGCYYVVGVPLGALLGFYFKLGAKGIWLGMIGGTCMQTIILIWVTYRTDWNKEVEEATKRLNKWEDKQQITLKD >KGN43773 pep chromosome:ASM407v2:7:4029329:4030665:-1 gene:Csa_7G066300 transcript:KGN43773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFFSSRKLLSEDDDDHKEFCKDCRDYFSDSCSSDCLEKCRDYCYNINIPIRPPDADHINPTSSIKSPHKLSNLVTSTIALLSFTFLLVLCYAIYARFYAGRRRRIRLPDPPPPETHHQHDFLDEEQGPVLDHPIWYIRTIGLPPAVIDAIAVCKFKSGEGLIDGTECSVCLSEFEEDETLRLLPKCSHAFHLPCIDTWLRSHTNCPMCRAPVVAQSAAAAASPPQLRPPAETQIGELQREEGDGANLGESSARTEEEQEEQEEQETHFADEDLEERSSDIQPMRRSVSLDSLSALRISSDFANVNEKMDSEAETIQRNGKKSSQGRGHSSTSSMNRSVSCSAKFLMRSSTQINTESNYS >KGN44754 pep chromosome:ASM407v2:7:13891450:13897789:1 gene:Csa_7G378430 transcript:KGN44754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARRLAILCSHLCPVNLGSSPAPLLNLSSSSCASGSKSEHLIYDSQKGSLQDDCVFCKIIRGEAPAFKLYDDDSCLCILDTKPLSNGHALIIPKSHYSSLEATPPSVIAAMCSKVPIISNAIMKSTGSDSFNLLVNNGVAAGQVIFHTHIHIIPRKARDCLWASESLERRTLKFDEEASRLAKSIQEILHCTKENDSKVQESNLTEN >KGN43655 pep chromosome:ASM407v2:7:3216139:3217005:1 gene:Csa_7G051350 transcript:KGN43655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNTNPLEFVVAAHRSINNKRNSFTIHVVAHLLNLLRKLRGHQEVAKFLHNLLKNTTTVISNVIDPLQQMALDNHPISGLYFTIVGTPQSVTVTMLSYMGKLRVAFRTEKDFIDAHELNSCMEDAFKKIFEAANDIPSP >KGN43931 pep chromosome:ASM407v2:7:4970375:4970551:1 gene:Csa_7G073670 transcript:KGN43931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKERKEMEWGPKRCFNPTVTLCWVCGVLSHRLTSPFFNHPYNDTWSSPATSDGDTRNS >KGN43769 pep chromosome:ASM407v2:7:4009482:4009750:1 gene:Csa_7G066260 transcript:KGN43769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCQAASQTRFRALKHENGIAGKPTIIVKVIACFQPLQNCQAEYFRHLLKPVT >KGN43325 pep chromosome:ASM407v2:7:1236684:1241377:-1 gene:Csa_7G023990 transcript:KGN43325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCSSSKVDDLPAVALCRERCAFLDEAIHLRYSLAEAHLAYIHSLKGIGHSLHNFIEESAAVVGVSSGSPLSPKLNLPPHRKGDPVGKTGDSAIEDSVPHHHLSHSNSGSHLHSHSDSDDESGSLHHSDHSPPFDLHNGGHMGYMLPDQGGLGSYPGIGGGGGGGGFMHMNYMRKSVTPSVVYEQRPMSPDKVYQVGESSSSSGRYFYPNSNMTYNNSYPSYGYPQDSGYYGGSVFPPTAYGSMSSTGASGTSSKPPPPPPSPPRASTWDFLNPFDTYDKYYNSYAPSWDSKEVREEEGIPDLEDEVYQHEVVKEVHGNQKFVEEGGGSGGGKGLKMPAEDERGGGDDTKTSLYQTRPSAAVEEDAVEYEVRMVDKKVDKAEKSEDRGNGGAFKGRPGSRDVYEVAKEIEVQFERASESGNEIAKMLEAGKLPYQRKHVSSKMLHVVAPSLSMVPSASKSGDPSSSGAELYMEEFGMASGNLSSTLRKLYLWEKKLYNEVKAEEKMRVMHERKCRKLKRLDEKGAEAHKVDSTQALVRSLSTKIRIAIQVVDKISMTISKIRDEELWPQLNELIHGLTRMWRCMLDCHRAQYQAISESRSLGPIGSGKNSSESHLGATKELEHELLNWTISFSSWISAQKGYVKALNNWLLKCLLYEPEETPDGIAPFSPGRMGAPPVFVICNQWSQALDRLSEKEVLDSMRVFSMSVLQIWEHDKLEMRQRMMENKESERKVRNLDRDDQKIQKQIQALDKKMVMVSRDEKHLSASGNAVYQSEMSSSSLQSSLQRIFEAMERFTADSMKLYEELLQRSEEERLNSEQEKVL >KGN45035 pep chromosome:ASM407v2:7:15862843:15870825:-1 gene:Csa_7G412860 transcript:KGN45035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEFGSPSTNTHIHHPNNHRLHQFPFTVGSEIEVSIDEEGFKGALFKATILKLPTIFSPSKKKKALVEYKTLVTEDGSTPLKEHVDALSLRPLPPDTAAKDFEECDIVDATDKDGWWTGVVCKVLEDGGYSVFFKNPMHVMDFQGNHLRLHQDWVDGKWIVPQKMDASLLRGQLSIISEDANVPENVEHRSLKNNETNNEKENSYTVNSRNDLMERPSIYDDSSASFALTSSKRRRSFSSKSRVSNPLKKLREGVILGKPAADRSRMIDKTSRGKAFSKSATPNKDRRRRRSYLKFNGDDDSASPIRSGSPKGGKKPRTKEDVDGSDKLKVQVLSFINGKKGNTYKQSQQTQVTDKERKEGYDVIDLETIYKEVTTNNESERNEHLASDEQQAPVKNSLGEVGDGEENSKNQTKEKGMEPQQQEATENSDRRKRGRPRKIMQEIEQQQASKNSYKRKRGRPRKLMLVPTTAEDSNKDGSVWKPEKATLKSSVTDLNRRNGSEISEYKTNGSGTNSVDDDDRPLLMWLGGIQGSASNNALKLGQASGSSAKRRTKGSEQVDAVNGVRRVDGTPEHEVDKNQDWPFVKNSPVWSAIDSLEVFKHIPQKPHFQPLSTHKEECREGLAIGCMVTFASLVEKITKLQFSNPRHIFESTLASLYELEQHGFNISMLCNRVNELLFIKDSEMRYGEETKVTENKIMEYIENKTKLAEESNAIEEKITELQKRQASIKKEMETTDNEIDALQSHVVTIRECTMNTKLHFENQIALPLWPV >KGN43808 pep chromosome:ASM407v2:7:4218681:4223170:-1 gene:Csa_7G068610 transcript:KGN43808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRKLIRPLFPWLILLPLLILLLYSLTVSLYAPISPSPKIKKISASPSCNLFKGHWNRDPNHRPIYDETCPFHRNAWNCLRNQRDNMGTINSWKWVPQTCDLPQIDPFRFLDLMRNRNIGFVGDSLNENFLTSFLCTLRVADLGAKKWKRKGAWKGAYFPVFNVTVGYHRAVLLAKYKWQPKYSASGDQSQSEGVYRVDVDIPADDWANITNFYDVLIFNTGHWWGYDKFPKEKPLVFYRAGQPILPALEMMDGLELVLKLMVSHIQKEIPGKTLKFWRLQSPRHFYGGDWNQNGSCLFNEPLEESQLDIWFDPSNNGVNRESRTINRLINEAIRDTDIEVLDLTHLSEFRADAHPAIWLGRKDAVAVWGQDCMHWCLPGVPDTWVDILSKLITHHLKMG >KGN44737 pep chromosome:ASM407v2:7:13691780:13696273:-1 gene:Csa_7G375790 transcript:KGN44737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEGQKTATNPSAMLAGLLSRRAKLQDELRNIEKQVYDMETNYLQDPSQCGNVLKGFEGFLSASKSTALLKRSRKFQLEDRLFSLSSVTSPAAEELAAGRDDGRSDLGPGRSKGGAIYSNGQGKPKKGRPAPRDAKRMRHSSEQDFDYDDDPDLTL >KGN43182 pep chromosome:ASM407v2:7:357487:358038:-1 gene:Csa_7G007310 transcript:KGN43182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTDPSIYIHCLDLIRLQLSNYRSASGVQALLKGNAKKMAEQDEAVLVASGIPYTIIQAGSLLDTPGGNQGFSFEEGCATAGTLSKEDAAFICVEATDVIPKGGFAFEVGKVNLYVQRL >KGN43363 pep chromosome:ASM407v2:7:1478317:1479934:1 gene:Csa_7G027790 transcript:KGN43363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSTFSKATLILSATLFITYATAHDFSIVGYSPEHLASMDKTIELFESWMSKHSKAYRSIEEKLHRFEIFLDNLKHIDETNKKVSSYWLGLNEFADLSHEEFKSKYLGLRVEFPRKRSSRGFSYGDVEDLPESVDWRTKGAVTPVKNQGSCGSCWAFSTVAAVEGINQIVTGNLTSLSEQELIDCDRSFNNGCYGGLMDYAFQYIMSNSGLRKEEDYPYLMEEGRCIREKEQFEVVTISGYEDVPANDEQSLLKALSHQPVSVAIEASSRNFQFYKGGIFTGRCGTQMDHGVTAVGYGSSEGTDYIIVKNSWGPKWGENGYIRMKRNTGKPEGLCGINQMASYPTKEK >KGN45038 pep chromosome:ASM407v2:7:15897442:15900490:1 gene:Csa_7G413380 transcript:KGN45038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCPTSIRTKKTRAVGIPTIDLSQSKAITSKSIIEACQDFGFFKLINHGVSHHAIAQLESQGFDFFQKPSSEKHRAGPANPFGYGCKSIGSNGDMGDLEYLLLPSHDPATSILQSSTSISNDPLTFSHVVNEYVKGVRDLGCEILDLIGEGLWLPNKSVFSNLIRDVHSDSVLRINYYPGVQHTENRELSTKSHPSNNRIGFGEHSDPQVLTILRANDVDGLQISLRDGIWVPVCPDPSAFYVLIGDVFQAMTNGRFVSVRHRAMANNSKNPRMSIMYFGAPPLNTWIAPLSEMMLPNDKSLYKPFTWAQYKKAAYSLRLADSRLDLFKAF >KGN43615 pep chromosome:ASM407v2:7:2953342:2957108:1 gene:Csa_7G047990 transcript:KGN43615 gene_biotype:protein_coding transcript_biotype:protein_coding description:Structural constituent of cell wall MASGSTGRPNSGSKGFDFGTDDVLCSYEDYGNQESSNGSHSDLSVANSTKDFHKSRMSTVYPAAAYGQSEDSIKQDVISTVENSMKKYSDNILRFLEGISSRLSQLELNCYNLDKSVGEMRSDVLRDREEEDLKLKSLEKHLQEVHRSVQIIRDKQELAETQKDLAKLHLLQKESSSSNHSHSNDERASPVASDSKKNENLSENLNNQQLALALPHQIVPHQNPITPPPPAALPQNVPQQQSYYMASNQLPSQPPTHIQHAQTQYQQLQDVSRLPSQMTNSQLSQTPPPQQFNQYQQQWTPLQQQQPPQQVQPPQQQPSMQQPQIRPPPTSVYPSYSMNQPTSMPETLSNSMPMQLSFSPIPQPGSSRIDTVPYGYVGSGVTVSQQPPQVKNAYVSQAGEGYLPSGPQSALSLGASYMMYDRESGRPQHHPPQPQQQPPHHPPQPQQSHFNQSGYSPANGSLQISQHPSGPHVVARNPNHPHYMRNQNPNQNHPYGEIVEKLVGMGFRSDHVASIIHRMEESGQPVDFNAVLDGLSNSGGPQRVW >KGN44646 pep chromosome:ASM407v2:7:12760486:12791656:-1 gene:Csa_7G357030 transcript:KGN44646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGETYGDSPDERDEDDDDYEDAGGGNRFLGFMFGNVDNSGDLDADYLDEDAKEHLDALADKLGPTLTDIDLSTKSSRIQSDAVEPDYDAKAEDAVDYEDIDEEYDGPEIEAAGEEDHLLPRREYFSAEVSLSTLEPTASVFDDEDYDEDFENVPDVVNNSVEPQIIHASDEQGECLEIVSEGEKSLAVESAPLNNEVITGRAESLHEGTPEVQKRLQDDKSHTPLPVLCMENGMAILQFSEIFGVHDSLKKKEKRASRYYTRKDKYRSADVSDIVEEDEEAFLHGFSRGVSYVKPAYDVKDDTTMFDVDDLEYNKFGVVQGVDVMTSRVDWQQKDHCCGAEPMKQVVAENVPIGSNFLLFNTFYPLDQQNWEERILWDDSPVSSKNAVGSYKASGSDIEASPNRDVEPQVSIQIVRSEHHIGLNGDGQSLYHCDFPLLEPFGSRKISRTEESISPEVIYHPQMLRLESWKDVDDSCQSDGLKENIPDERQSNAVRSFSKFSPKNRRMLEGSWLDKVLWETDEPIEKPKFIFDLEDEHMLFEISDENDSKYIQFHSGAMILTRSSMSVNGNSFELSGSGGQGGWRFVSNDKHYSNRKASQQLKSNSKKRSVHGIKVFHSKPAMMLQTMKLKLSNKELANFHRPKALWYPHDNEMTVRELQKLPTQGPMKIILKSLGGKGSKHIVDPEETVSSIMAKASKKLDMKPSEMIKLFYSGKELEREKSLAAQNVQPNSLLHLVRSQIYIMPRAQNLRGENRSVRSPGAFKKKSDLSVKDGRVFLMEYCEERPLLLGNIGMGARLCTYYQKSSPDDQTGALLRNGGDSLGHVIILEPSDKSPYLGELKGGSVQASLETNMYRAPVFSHKVPMTDYILVRSAKGKLSLRRVDRNFAVGQQEPLMEVFSPGTKSLQIFMMNRLTLYMFREFLAAEKRRRIPDIRVDELPSQFPYLSETVIRKKLKEYALQQRNSSGQIILIKKRNASLSLKKDAVTPEDVCKYESMQAGLYRLKHLGLSEVHPSAISSAMSRLPDEAITLAAASHIERELQITPWNLSSNFVACTTQGKENIERLEITGVGDPSGRGLGFSYVRSVPKAPISNASLKKKAASSRGSSAVTGTDADLRRLSMDAAKEVLLKFDVSEEQIAKLTRWHRIAMIRRLSSEQAASGVQVDPTTISKYARGQRMSFLQLQRQTREKCQEIWERQIQSLSASDGAENESDSEGNSDLDSFAGDLENLLDAEEFEDEVDTFEIRHEKTDGVKGLKMRRRPSIVQTEEEIEDEVAEAAELCRLLMDDEAERRRKKKKNKVMGEAVLSTGFQASFFHEKPEQTRHLISIAQPDVTYISKENIREQKEVESISNRKEKSGKLKPMKKNYSSEMSLINKKLKISGDKVKNFKEKKSARESFVCGKCGQFGHMRTNKNCPKYGEDLETPETTDQDKVSIKLNAMDPSNQSHQKAVVKKVTPKAIAKSFTTEAFEGEKSTAKVLPVKFKCSSADRLSDNLSPALPQTSDLPVNSDNETGKSIVKVNKITFSKKRTEDIQFESHKPSIVIRPPDAKKVSLEAHKPSIVIRPPTNMDRERTEFPRRSATIIRSAVETEKEQLHKKLIIKRPKEVDLDRSAYDGSVDMEYRKTKRIVELSSLEKHTRHGSMSSSDSGKKKVREKHRWWEKQEKQRNEERLREEKVRRVYNEQMGMREEQEKLAEIRRFEASIRSDKEEEERLKAKKKKKKRIPEILDDYVEDPRSRRFDKRALEKERSMKRKPIELGRHIPEQASSTKRRRGGEVGLSNILERIVETLKDRFDISYLFIKPVSKKEAPDYLDIIERPMDLSTIREKVRRLEYKTRDEFRNDVWQIMYNAHLYNDGRNPGIPPLADQLLMLCDNLLKQCDEDLTEAEIGIEYRDS >KGN45433 pep chromosome:ASM407v2:7:18140174:18145769:1 gene:Csa_7G447950 transcript:KGN45433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWRWRELISLIILCILWSKPICIQGNTDPTDASALRVLYTSLNSPSQLTQWNANGDDPCGQSWKGITCSGSRVTEINLSGLGLSGSLGYQLGSMTSVTNLDVSNNNFGGEIVYNLPPNLKRLNLGRNNFNKGIPYSISMMTSLQYLNISHNQLQDPLMDVYGQLTSLSILDLSFNAMSGNLPQSFSSLSGISSMYLQNNRFTGTIDVLATLPLDNLNVENNRFTGWIPEPLKNINLQKNGNSWNTGPAPPPPPGTPPATRRNRSHNPGGSPSNGSSSEGQKSGISGGAIAGIIISVLVVGAVVAFFLVRRRSKRPLTDIEKLDNQPLQPLKMTAAQETKSEDSSSTFYPTSFESSAAINLKPPPIDRHKSFDEDDFAKRAPVKKASAAAPINVKSYSIADLQMATGSFNVDNLLGEGSFGRVYRAEFDDGKVLAVKKINSSALPRELSEDFTDIVSKVSQLHHPNVTELVGYCSEHGQHLLVYEFHRNGSLYDVLHLSLSDEYNKPLIWNLRVKIALGTARALEYLHEVCSPSIVHRNIKSANILLDAELSPHLSDSGLESFIPNADQAMDGSGSSGYTAPEVTMSGQYTLKSDVYSFGVVMLELLTGRKPFDSSRPRSEQSLVRWATPQLHDIDALTKMVDPELKGLYPVKSISRFADVIALCVQTEPEFRPPMSEVVEALVRLVQRANMSKRTYGNDNATSPRGEMGGEDTP >KGN45286 pep chromosome:ASM407v2:7:17340790:17345094:-1 gene:Csa_7G433170 transcript:KGN45286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGQRLWPSSLPIFLFTVLTSLTFECSADFDSLLQLPLSRLNITRPRSKGVFYLGDFGAKGDGISNDTQALMEAWKMACSFPTRVRIVFPASNTFLVHPLELHGPCRSRVTLRIHGIINAPKDPNAWAGLNPRKWLYFRGVNHLTLEGGGEINGMGYEWWMSSCKINSTNPCRHAPTAITFHKCKNLKIHHLLVIDSQQMHVALTSCLRVVASNLKVIAPAFSPNTDGIHISASKGVMVKNSIISTGDDCVSIVGNSSRILIKDITCGPGHGISIGSLGKRNTSAQVRNVRVDGAVLSNTKNGARIKTWQGGSGSATDIIFKNMLMKNVSNPIIIDQYYCDSNRPCANQTSAVKVEDISFIHIKGTTATNKAIKFACSDTSPCVGLYLEDILLLSEDAKISTSSCWQAYGLSSGPVYPPSCFLGNENFIQQKVQPAPTSHSF >KGN44456 pep chromosome:ASM407v2:7:10386671:10395514:1 gene:Csa_7G293740 transcript:KGN44456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLPRNWMHLHFLRAIGTAMSMRAGISADAAAALLFRILSQPALLFPPLRQVEETDVQCESLGGYDSSYREQIEVSAAEATIDATAQGIASMLCAHGPEVEWRICTIWEAAYGLIPLSSDLVDLPDIIVATPLQPPILSWNLYIPLLKVLEYLPRGSPSEACLVKIFVATVESILQRTYPSESSREQSRKTRYFSSLGSASKNLAVTELRSMVHALFLESCASVELASRLLFVVLTVCVSHEAQLNGSKKLRRSQSNLLDERIEDLQAVPENHTGLRRKTKKQGPVSAFDSYVLAAVCALACEVQLFPFTARGSDHLNTRDLQDVIKLVKVNGTSTELQNSVGSAVCHTHRILAILEALFSLKPSSVGTSWSYSSNEIVAAAMVAAHVSELFRRSRACMHALSILMRCKWDDEIYNRASSLYNLIDIHSKAVASIVNEAEPLEAHLIQVPKWKESLIGLNGKRQIQYEDGTCFYPGQSSIQNCDNSSYSETKLVFERASNSDEELGSTSVKGLASFSIDASDLANLLTMNRHIGFSCCAQVPLRSVLAEKQALCFSVISLLWHKLISAPETQPSVESTSAQQGWRQVVDALCNVVSASPAKAATAIVLQAERELQPWIAKDDDQGQKMWRINQRIIKLIVELMRNHDTPESLVILASASDLLLRATDGMLVDGEACTLPQLELLEVTARAVKPVLEWGESGLSIADGLSNLLKCRLSATVRCLSHPSAHVRALSTSVLRDILHTSLIKSNSKSVNVNGIYPSYQYFSSGIIDWKADIEKCLGWEVRSQLATGQSTQFLQAAAKELSCSISL >KGN44911 pep chromosome:ASM407v2:7:15164504:15168172:1 gene:Csa_7G395780 transcript:KGN44911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGSDNSIPANLPEKKLRRFDSLDVESSTVSGGGTHGHKTATTTASWGVILHLAFQSLGIVYGDIGTSPLYVYSSTFPLGIIKHKDDILGVLSLIFYTLTLIPLLKYVFFVLQANDNGEGGTFALYSLLCRYAKIGLIPTQQAEDQEVSNFQLQLPSNRLKMASSLKSKLENSRSAKMFLLFATMLGTSMVMGDGVLTPSISVLSAVGGIKNATSSMTQDRIVWISAVILVLLFTVQRFGTHKVGYSFAPIICIWFTLNCGIGVYNFFKFDPSVIKAINPKYIFDYFKRNKKDAWISLGGVVMCVTGTEALFADVGHFSVTSIRLSMCCIAYPALVSAYFGQASFLRKHTDQVANTFYSSIPGPLYWPMFIVAVLASIVASQAMISGTFSIIQQSLSYGCFPRVKVVHTSSKHEGQVYIPEVNYFLMFACLGVTLGFRDTTGIGNAYGIAVVFVMVLTSSFLVLIMILIWKTHLPIIIFYVLIIGLFELLYLSSVLYKFNQGGYLPLAFAGFLMSIMYTWNDVHRRKYYYELEHKISPQKLKDDIDSLTKTLNRVPGLGLFYSKLVHGIPPIFKHYLSNIPTLQRVLIFVSFKSLPISKVLMEERFLFRRVEPDELNVFRCVVRYGYRDIIHEQEESFEKVLVERLKVFIEVESWKKQQKEEERKKRVEEEIEVVDRAWKDGIVHLIGQDEIVASKGSGLAKRVLINYVYDAIRRNLRQNEEVFDIPRQRMLKVGMTCEL >KGN45023 pep chromosome:ASM407v2:7:15769237:15771468:-1 gene:Csa_7G407760 transcript:KGN45023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKMKPQALLQQSKKKKGPSRISLTTILTCSLIVALFVFFLHTSYRHWSHRSKLQLENGFSGSETEASLMDTKKSDLPGYAVFSTSKGTIVVELYKESAPEVVDEFIDLCQKNRFSGMLFHHVIKHYSIQVGNSQDLGVAEDWILGGKHHSQPDASLKHDAFLVGTPRGKPKNKGFEIFITTAPIPDLSEKLIIFGQVIKGEHVVQEIEEVDTDEHYRPKSTIKINNITLRMKI >KGN44687 pep chromosome:ASM407v2:7:13275864:13279642:1 gene:Csa_7G372330 transcript:KGN44687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCKRYLTARDIVEGSPNLNLAFVAHIFQHRNGLSTQTKQISFLETMPDDAQISREERAFRLWINSMGLSTYINNVFEDLRNGWILLETLDKVSPGIVNWKIANKPPIKMPFRKVENCNQVVKIGKQLKFSLVNIAGNDIVQGNKKLILAYLWQLMRYNILQLLKNLRFHSFGKEIIDADILQWANGKVRSSGSQCRMDSFKDKSLSNGTFFLELLSSVQPRVVNWSLVTKGITEEEKKMNATYIISIARKLGCSIFLLPEDITEVNQKMILTLTASIMYWFLKQGGDDKASVSSDSENSSQSEAISNSTTDDSASESSADENGNM >KGN44431 pep chromosome:ASM407v2:7:10134664:10141272:-1 gene:Csa_7G290540 transcript:KGN44431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDGNPDASSNKAPSVSQVVEELKELWGITFPVTAMNFLVFFRQVVSVLFLGRIGSLELAGGALAIGFTNITGYSVMVGLAAGLEPICSQAYGSKNWDLLCLSLQRMILILLFATVPIGFLWLNLDNIMVFLGQDHLITSMAAIYCIYSMPDLLTNTLLQPLKIFLRSQKDTKPMMYCTLVAVGLHVPLNYMMVVVLGMGMRGVAMASVLTNLNIVGLMSGYVWVWGRKGEMRWTLKLGEVCGGMGPVMKLAVPSCLGICLEWWWYEIVTVLSGYLSNPTSAVAATGILIQTTSMMYTVPLALSGCVSTRVGNELGSGKPKKARVAAMVALGCAFVIGGINVTWTVILRQTWATLFTHDVLVKSLVSSALPIIGLCELFNCPQTTAYGILRGTARPAVGARINLASFYLVGTPVALALAFGLQLGFVGLWFGLLSAQLACALSMLYVVVANTDWEAEALKAKRLAGFEMTPTTLAHEETKELLDENGHQHYIF >KGN45319 pep chromosome:ASM407v2:7:17459278:17460769:1 gene:Csa_7G434960 transcript:KGN45319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCEAKSKVVIPIIDLSKLEEGTKEWGLACREMRFGLEQFGCFSVVYDKVSLELHNSIFEATKELFHLPIETRMKNTSEKPYHGYFGGYSFLPLYESMAIDNPIQLQPTQSFANLMWPTTENNHFCVAVERFSTLVAKLEHMVTKMVLESYGVSNKVVSEPIMESTNYLLRLFKYRVAEKDENDVGLHSHTDLTFLSIIHQHLISGLQIQLLDDQWLEVHPSSHCSFTVMAGDALMVTTHQSFLFVFFSHKI >KGN44505 pep chromosome:ASM407v2:7:11095920:11096519:1 gene:Csa_7G320040 transcript:KGN44505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVENSSNLPRIPPPPPFKPSPLSPPPSPDGHTAIIVIVFISLGSCLFFVAFVAAAVCCFIKKRKKKSCPQEVVEAIHIDGHRKVHEDVAEVGHGQQAVVVTIEDDLHIDEIVKKKEHGHGHGLHGKQHEGGSHNNNSTTMEVAASSSSTS >KGN44009 pep chromosome:ASM407v2:7:5577631:5578253:1 gene:Csa_7G098770 transcript:KGN44009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRFELHVEIEFINSKYQDVSRGYRSTEIIQDFCKEYKVDIAYENAWRVWEVALCIYELETESNDHFKYMYMTFEVVIKCFLKYIRLVIVVVEHCKRKYKGVMLVAVLVDANKKIYSLALRSRDKKNGDLWTWSMRRL >KGN44533 pep chromosome:ASM407v2:7:11442390:11442647:1 gene:Csa_7G326230 transcript:KGN44533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNDTHLENMVKVKKEIATSVPPISLTLKKNVVKEEEVEEEELIATKPQISKNELQGR >KGN45503 pep chromosome:ASM407v2:7:18615959:18620555:1 gene:Csa_7G450610 transcript:KGN45503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLIRRRKGAEKDPKIQKDEDEDKKEKISGKVKETKAKKKWSCVDSCCWFVGCVCTTWWLLLFLYNAMPASLPQYVTEAITGPLPDPPGVKLKKEGLKAKHPVVFVPGIVTGGLELWEGHECAEGLFRKRLWGGTFGEVYKRPSCWVEHMSLDNESGLDPPGIRVRPVSGLVAADYFAPGYFVWAVLIANLARIGYEEKTMYMAAYDWRISYQNTEVRDQTLSRIKSNIELMVATNGGKKAVIIPHSMGVLYFMHFMKWVEAPAPMGGGGGPDWCAKHIKAVINIGGPFLGVPKAVAGLFSAEAKDIAFARAIAPVFLDNDLFRIQTLQHVMKMTRTWDSTMSMIPKGGDIIWGGLDWSPEDGHVPSKRKNKNDETRNGDGDTSSKKVHYGRIISFGKDIAEADSSEIERTEFLDAIKGHNVANATCQDVWTEYHDMGIEGIRAISDYKVYTAGSVVDLLHYVAPHTMERGSAHFSYGIADNLDDPKYNHYKYWSNPLEMRLPNAPDMEIFSLYGVGIPTERAYVYKLSPAAECYIPFQIDTAANDGDENGCLKDGVYTVDGDETVPVLSAGYMCAKGWRGKTRFNPSGIRTYVREYNHSPPSNLLEGRGTQSGAHVDIMGNFALIEDIIRIASGAQGEDLGGDQVYSDIFKWSEKIKLPL >KGN44411 pep chromosome:ASM407v2:7:9952588:9957701:1 gene:Csa_7G281400 transcript:KGN44411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQIKVQRQESKHRQNSYINPSLSNRFSNPYTFLLPKKSSMASKRIAKELKDLQKDPPVSCSAGPVGQDVFHWQATIMGPADSPYAGGLFSVNIHFPPDYPFKPPKVSFKTKVYHPNINNNGSICLDILKEQWSPALTVSKVLLSICSLLTDPNPDDPLVPDIAHTYKNDRAKYENTARSWTQKYAMG >KGN45563 pep chromosome:ASM407v2:7:18947313:18951325:-1 gene:Csa_7G452180 transcript:KGN45563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKEEKEASSQASGAQSFPMEIAMLRWLLFSFCFLIVQAQDETSFLSLDCGLPANSSGYNDLDTNIKYISDSEYIKTGESKSVAPEFLTYERSQWTLRSFPQEIRNCYNISAIKDTKYLIRASFLYGNYDGLNKTPKFDLYLGNTRWTRVDDSYYTEMIHTPSTNKLQICLINIGQGTPFISSLEFRELPYLSYFTLYSLYLYSRYDMGSITNEQYRYPDDIYDRAWEAYNDDNYATLSTSDSVDAYGSNSFQPAPIVMKTAATPKKGSKYLNFTWYSANDNDNFYAYMHFAELEKLQSNQFRGFNITHNGEHWDGPIIPRYLSTTTSYDIFSTIQTASTHQFSLFPIENSTLPPIMNALEIYVEMQISELESYNGDVDAISNVRSTYGVIKNWEGDPCVPRAYPWSGLSCSTDLVPRIISLNLSSSGLKGEISLYIFSLPMLQTLDLSNNSLTGEVPKFLSQLLYLKNLKLENNNLSGSLPPDLIKKKMNGSLTLSVDGNPNLCTLEPCTKMTPEQKKSNNNFIIPVVAAVGGLLAFLIIAAFIYWITKSNKKRQGKDNTFPVDPVRSLEKKRQQFTNAEVVLMTNNFERILGKGGFGMVYYGVLDDTQVAVKMISPSAVQGYHQFQAEVTILMRVHHRNLTNLVGYMNDEGHLGLIYEYMAKGNLAEHLSEKSSNILSWEVRLRIAIDAAQGLEYLHHGCKPPIVHRDVKTTNILLTENFNAKLSDFGLSKTYPTDDKSYMSTVIVGTPGYLDPEYYTSNRLTEKSDVYGFGVSLMEIISCRPVILDTPDRETNYIVKWVHAMVSQGDIKNIVDPRIRGAYESNSVWKAVELALACVSVDSNQRPTMNQVVIELKDCLTMELSQRSESRPMESKDSIEMMSISMVMNASHSSPMPR >KGN43187 pep chromosome:ASM407v2:7:388445:388780:-1 gene:Csa_7G007850 transcript:KGN43187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKEYRRGRSEKRIGFRRRRRRRRRKKFEKGEGEKWRMMRRKRGSWNGGKENLQQQMQWRRRNADLKRIWKRFGEDLIESEIEERTERERMVVSSKPTSFLPSFLPSSSF >KGN43330 pep chromosome:ASM407v2:7:1286285:1291571:-1 gene:Csa_7G024040 transcript:KGN43330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYTPPTWATLAAAALVILTLVLSLYLLFEHLSAYKNPEEQKFLIGVILMVPTYGVESFVSLVYPSISVYLEILRDCYESFAMYCFGRYLVACLGGEEGTIAFLEREGRSNTKTPLLEHSSEKGTIKHVFPMNLFLKPWKIGGWVYHVIKIGIVQYMMIKSLTSILAVVLENFGVYCEGDFNFKCGYPYMAVVLNFSQTWALYCLIQFYTVTKDELVHIKPLAKFLMFKSIVFLTWWQGVGIALLSAFDLFRSPVAQGLQFKSSVQDFIICIEMAIASVIHLYVFTAKPYELMGDRYPGSVSVLGDYASVDCPLDPDEVRDSERPTKLRLPQPDLEDQDPKMGMTIKESVRDVFVGGGGYIVSDLKFTVNQAVEPMEKGITKFNEKLQKLSQNIKKRDKDKRRTKDDSCITSPTRKLIRGIDDPLLNGSYSDSGVIREKKNRRKSGYISAESGGESSSDHGYGKYQVGGRRWITKD >KGN43923 pep chromosome:ASM407v2:7:4915775:4919685:-1 gene:Csa_7G073590 transcript:KGN43923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANHFVPNAHPKFSPKAVPAAAITVSTPLLTLIPFQQGITVPRKLSAKATKALSPTSPPMLSTSVSMATSTIPPSMPRKKVLVPIGFGTEEMEAVIIIDVLRRAGAAVTVASVESELEIEASAGVKLVADTLISSCSNEVFDLVALPGGMPGSVRLRDCEILRKITSRQAEEKRLYGAICAAPAVTLLPWGLLRRKQTTCHPAFTDKLPTFWAVQSSIQVSGELTTSRGPGTTFGFALALVEQLYGESVAKDVGELLLMDSESDCPRKEEFNKVDWSVDHTPRVLIPIANGSQGIELVTIADILRRAKVDVVIASVEKSLQILTSTGTKVVADKLIKEAVESTYDLIILPGGAAADERLNKSRILKKMLKEQDNAQRIYGAVCSSPAVLFKQGLLKDKRAVAHPSLETESTKVDTAKVIIDGKLITSKGFYNVIDFALAVVSKLFGHARARSVAEGLVFEYPRVG >KGN45368 pep chromosome:ASM407v2:7:17748315:17749602:1 gene:Csa_7G446830 transcript:KGN45368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFWPEFLASSWGREFVAGGFGGIAGVISGYPLDTLRVMQQQSISGSALKIFRNIIVNDGPAGLFRGMTAPLASVTFQNAAVFQIYAVLSRAFNSSSQSKIGDPPSYKAVAFAGVGTGALQSLILSPVELVKIRLQLQSSSHAISSSSSSSSSSSSHRGPLSVAKSIYKTEGLRGIYKGLTITILRDAPAHGIYFWTYECMREQFHPGCRKTSQESVGTMLVAGGLAGVASWVFCYPLDVLKTRIQGQTKSSSRKYNGIVDCLSKSVREEGYRVLWRGLGTAVARAFVVNGAIFAAYEITLRCLFSNGSNHTQQYDCPNLSQSQSPKKK >KGN43988 pep chromosome:ASM407v2:7:5314782:5319212:-1 gene:Csa_7G075680 transcript:KGN43988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSMQLYRLPTRTDAAMPENFTAVRSLRYRKPMSVRCDGDSSSSSSSSSSSAAVDSNFDAKVFRRNLTKSDNYNRKGFGYKDETLALMNREYTSDIIKTLKENGFEYTWGDVTVKLAEAYGFCWGVERAVQIAYEARKQFPDEKIWLTNEIIHNPTVNKRLEEMEVQNIPLEDGRKQFEVVDKGDVVILPAFGAAVDEMLTLSEKKVQIVDTTCPWVSKVWNVVDKHKKGDYTSIIHGKYAHEETVATASFAGTFIIVKNMAEAIYVCDYILGGELDGSSSTKEEFMKKFKNAVSKGFDPDVDLLKVGIANQTTMLKGETEEIGKLVERTMMRKHGVENVNEHFLSFNTICDATQERQDAMYKLVEGKLDLMLVVGGWNSSNTSHLQEIAEERGIPSYWIDSEQRIGPGNRIAYKLNHGELVEKENWLPKGHITIGVTSGASTPDKVVEDALIKVFDIKREEALQFA >KGN43316 pep chromosome:ASM407v2:7:1207840:1208730:-1 gene:Csa_7G022920 transcript:KGN43316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGFPSTKSTKYHTRSNSLPSRPHPLFSQCDEHLTRLRDYESTPASSASSMSQQLSGLEDLHECVEKLLLVPSIQEAFVRHCGEKWVDELLDGSLRLLDMCSSAKDALIHTKECVRELRSTIRRRSEMTNEIKKYLASKKVVKRAIQKALDTNKSIERKSNTTVDGNDYDTTAMVSLLKEVEAISLRMFESLLFLISGKKTKTKSSWSILSVMNSKREVCPEVDAELNEFSNMDNALNSVVCQKTNKCKDTTQVSENVQKHLEKLDLGTQDLEQTTERLFRRLIKTRVSILNILSN >KGN44515 pep chromosome:ASM407v2:7:11222472:11224642:-1 gene:Csa_7G323100 transcript:KGN44515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTKKSKKRNKDSKKLKKRKNLSVVPMEPRASDPDWWEIFWHKNCSLSGSPGRNDEAAGFKYFFRTSKKTFDYICSLVREDLISRPPSGLINIEGRLLSVEKQVAIAMRRLASGESQVSVGAAFGVGQSTVSQVTWRFVEALEQRAKHHLQWPSSSRLEEIKSQFEAFFGLPNCCGAIDATHIIMTLPAVQTSDDWCDTNNNYSMFLQGIVDHQMRFIDIVTGWPGAMTTSRLLKCSRIFKLCDAGERLNGNVKKFSGGSEIREYLVGGVGYPLLPWLITPYENDNLSPLNFNFNAVQGAAKLLAVRAFSQLKGSWRILNKVMWRPDKRKLPSIILVCCLLQNIIIDNGDELQPDVALSGHHDLGYQEHCCKQLDPLGNNLRENLAKHLHQNKERVCSS >KGN44682 pep chromosome:ASM407v2:7:13244470:13245843:1 gene:Csa_7G372280 transcript:KGN44682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLGRPEVVNGCLLQPPHSHEPWDYELPTSSTSTTPILHNQPFNLQCNDYAYSVDHVSDLQESSTDDTVSGDEVYVGNGRSKDVDDHGLTLISLLFECGVAISVDNLVEAHRMLLELTQMASPYGQSSAERVVTYFAAAMASRVINSILGICSPLLNYKSINNSFQLFYNVSPFIKFAHLASNQTILESLSQCDIVHIIDLDIMQGLQWPPLLQALSMRMDNGCSRHVRITAVGTTMELLLDTGKQLSNVARHLGLSFEYNPIAGKVGKIDVSMLKLRRNETVVVNWVRHCLYDAIGADWKTIGLIQQVGPKVFAFVEQDMCYGGAFLDRFVSSLHYYSAIFDSLGACLRSDDSNRNQVEHSILYREINNILAIGGSSRSGEEKFREWRSELRKCLIEVPMSANSMAQAWLMLNMHSNNQGFSLVQGEGGTLKLRWKDTSLYTASSWTCSNGTVALN >KGN44449 pep chromosome:ASM407v2:7:10277748:10280971:-1 gene:Csa_7G291690 transcript:KGN44449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLNISLLFLFSISLNFHLSSTAMSSQSASIPLVSSFDSPAVHIVYTERPHNEEPEAYHIRTLVSVLGSEEAAREALVYSYKNAASGFSARLTPDQVTEITKQPGVLHVVQSGNNKLHSGGGGVARLH >KGN43504 pep chromosome:ASM407v2:7:2311150:2315119:-1 gene:Csa_7G041980 transcript:KGN43504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLPVFLFAEPTTALEPNPPLTDLTNVSVDELILALNHARTRERALHLLSQNRSMFDNLAVLIWHSFGTMFTLLKEIMDVYHMLSKPDLTEKDSTRVCNALALLQCVASHPETRVPFMKAKIPLYLYPFLNTTIKEKPHEYLRLTSLGVIGALVKVDDKEVIYFLLKTEIVPYCLRCMDVGKGLSKTVATFIVQKILMNEEGLRYCCIIADRFFAITHALETMMERLSEEPSQRLLKHIFRCYLMLSESPRACLGLGRLLPRMLNDSAFTDLLHDDPTVMSSFKQLLRKVHRDDGNKQGNPKTG >KGN44251 pep chromosome:ASM407v2:7:8337885:8346921:-1 gene:Csa_7G234710 transcript:KGN44251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDGVRSGGSSGVLVKTRNSSGCLIVRKKEDGLGGAGSSASRLLNAKKEKKRPRLVLSDSGSSDEVLLPNRRRVGPETIRVCNGLNSFGKDVLDGSGSIRKKDRLQYVKRNDDGLINRMDLDGLRRNMDTLDVFEFDEYDEIDGDARRMKHFNDSGERRFVGAMKLPQSGIEREFGTTSSRHGLVDKRKNLYAEQTNSFDRDRPSRKITYDSDDDGPHLPTPLLRDKFRGHSDEAIRVQGKNGVLKVMVNKKKNVSGASDIYEHRKLEESRKGLRTEDTLKRKVLVSPSLHPETKPNVKQDLFSKPEKDHTDFQTSASTKNVKGSSWDSGDGSVSLKARKKVVEAQKSTKKAACEVEKVPCEDTPPSTAKEGKVKRGSGTEKQKLRERIRGMLLGAGWKIDYRPRRNRDYLDAVYVNPTGTAYWSIIKAYDALQKQLNEGAEAKPIADGSFTPISDDILSQLTRKTRKKIEKEWKNKRRDDSDSENAKDASALRSAGTKNDMDSMDSDSNEEKLSSFIKQGGKSLKNKLNDNGLPSVNSKGQTSSKYSRDAIVKSSSGSNSRVLHGRKGRKLGLLVRGSSRGLDSENDGYVPYTGKRTLLSWLIDSGTVQLSQKVRYMNRRQTRVMLEGWITRDGIHCGCCSKILTVSKFEIHAGSKLRQPFQNIFLESGLSLLQCQRDAWNRQEESKLLSFHTVEIDGDDPNDDTCGICGDGGDLICCDGCPSTFHQSCLDILIPPPGDWHCPNCTCKYCGVASIDICQGDNTSVSEISTCILCEKKFHESCNLEMDTPVHSSGLVTSFCGKSCRELFESLQKNLGVKHELDAGFSWSLIRRTSEDSDVSVRGLSQRIESNSKLAVALTVMDECFLPIVDRRSGINLIHNVLYNCGSNFYRLNYSGFYTAILERGDEIISAATIRFHGTKLAEMPFIGTRHIYRRQGMCRRLFCAIESALRVFKVEKLIIPAIAELMHTWNVIFGFSPLEPSLKQEMRLMNMLVFPGTDMLQKLLIQETIVEENTSNGSGAKQTDCRSTEFSSPKMETETSSGHEPQSCDDTEQHHSKEKTKEAAVLNANPESVSVSLNDTSAANSPLDTFCEVKTSCSPMQTVNSESDSGDKVKSSSPSDSTNSLQQENQPEIQHGIEDHVQSTSQRVEVDISSDNFHEPKVKVSDEGIFCSNSHAGHELADSFSEKKSISPAIGNGIDEFRNDTPAVDCPEDDKPFNKINGHEFHEEDAHVNALEPAHSVENFANDIISENPLVSSTSLCDTNGRPFETTSDGKNPRPYGKETISDGIYDSENSPRSSCGAKAKGDSHEES >KGN45266 pep chromosome:ASM407v2:7:17252849:17253797:-1 gene:Csa_7G432480 transcript:KGN45266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGEVGIVLGLIAVVFVHHATAQTIHVVGDSDGWTVPQGGAAFYSDWASRNNFSVGDSLTFNFRTNMHDVLKVTKESFDACNSNNAIGNVITTGPATVKLDAAGMHYFICTVGTHCLGGQKLSVTVSASGGTMPPSSNTPHPHNDACAPTPASSPPIRGGLSPSALTPSSSTALMTTLYVTLSAIIMSLLF >KGN44964 pep chromosome:ASM407v2:7:15425502:15426017:1 gene:Csa_7G398745 transcript:KGN44964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMRNNSTGGALHSSFLTAGHDDTPAPPVGTDFAFMRNDNTAATLCTYFVTGRYSNSTTASIHTGLGTTGNNDAAATLLRDFLTLRNCNQTTTAVVHTGFATMRNNNTTSTTLLANFMTTRNRNDTSAVGVRTSFSTMRNDNTTGTFCRANFMTLRNNKNFSTDTAHRCDR >KGN43765 pep chromosome:ASM407v2:7:3989796:3990017:1 gene:Csa_7G066225 transcript:KGN43765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFFLRFITDPDRINDDALLITAETPWIRPERRKKIRRFVKALFSFRFDCFGWEKGRGEIGRFNTHNHLRDWY >KGN43577 pep chromosome:ASM407v2:7:2767410:2767946:1 gene:Csa_7G046650 transcript:KGN43577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSTRALFVAATVGVVEALKDQGICRWNHILRSAHHYARNHVGSLSQAKKFSSAVSSANRLQQSEESLRTVMYLSCWGPNN >KGN43246 pep chromosome:ASM407v2:7:726668:731458:-1 gene:Csa_7G011860 transcript:KGN43246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVHREILLLFILSIVLPFHTTSHAHDGNTTGVSYDSRSLIINGKRELLFSGSIHYTRSTPEMWSDILDKARRGGLNVIQTYVFWNIHEPVEGQFNFEGNYDLVKFIKLIGEKQMYVTLRVGPFIQAEWNHGGLPYWLREKPNIIFRSYNSQFKHYMKKYVAMIVDMMKENKLFASQGGPIVLAQIENEYNHVQLAYDELGVQYVQWAANMAVGLGVGVPWIMCKQKDAPDPVINTCNGRHCGDTFTGPNKPYKPALWTENWTAQYRVFGDPPSQRAAEDIAFSVARFFSKNGSLVNYYMYHGGTNFGRTSAVFTTTRYYDEAPLDEFGLQREPKWGHLRDVHKALNLCKKPLLWGTPGIQVIGKGLEARFYEKPGTNICAAFLANNDTKSAQTINFRGREFLLPPRSISILPDCKTVVFNTETIVSQHNARNFIPSKNANKLKWKMSPESIPTVEQVPVNNKIPLELYSLLKDTTDYGWYTTSIELDKEDVSKRPDILPVLRIASLGHAMLVFVNGEYIGTAHGSHEEKNFVFQGSVPFKAGVNNIALLGILVGLPDSGAYMEHRFAGPRSITILGLNTGTLDISKNGWGHQVALQGEKVKVFTQGGSHRVDWSEIKEEKSALTWYKTYFDAPEGNDPVAIRMNGMGKGQIWVNGKSIGRYWMSYLSPLKLSTQSEYHIPRSFIKPSENLLVILEEENVTPEKVEILLVNRDTICSFITQYHPPNVKSWERKDKQFRAVVDDVKTGAHLRCPHDKKITNIEFASFGDPSGVCGNFEHGKCHSSSDTKKLVEQHCLGKENCSVPMDAFDNFKNECDSKTLAIQAKCSE >KGN43484 pep chromosome:ASM407v2:7:2170698:2172080:-1 gene:Csa_7G041300 transcript:KGN43484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATSSLHIAMFPWFAFGHLAPYLQIANKLAKKGHKISFLIPSKTQVKLQPFNHFPNLITFVPIIVPHVDGLPEGAEITADVSNLHEFNLIMTAMDLTQPQIKTLLQLIKPHVIFFDFTFWIPKLASQLGIKSIYYSVISATTFSYVFTPTRQLCGPDFTVDEFMQPPLGLAISAIKLHSHEAKNVTFMSNMIFGSDVRFFHRHFTGLCEADAIAFKACGEIEGPFVDFLISEFKKPVLLSGPDGDIQEPKTTLEHRWQEWLSKFKSGSVIYCAFGSECTLTKDQFQELVLGFELTNLPFLAVLKPPVGVDTVTAALPDGFEERVEGRGVVYGGWVQQQHILEHPSIGCFVTHCGAGSLSEALVKKCQLVLLPHVGDHFFRARTLSSCLKVGVEVEKREDDGFFTKESVCEAVKTLMDEGNERGKEIRATRAKLRELLLDKDLEESYIINFIHNLQSLVG >KGN43986 pep chromosome:ASM407v2:7:5306140:5307140:-1 gene:Csa_7G075660 transcript:KGN43986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGPPVLSHSFLALYSFSHFTTPTPTTRSRHPHLHPPSINFRTSHHQNIRYLKSLNVIDPQTRFHSPDAVHQILTTVHFLKSKGFSDSDFPRLAFLCPNLFTSNFDTTDIAPVFQFLATDISASLQESRGLILRCPKILFSDVELCLKPTHRFLKQLGIENLKSPSNLNSHLLNTRVEKLRSKIRFFQEIGFSHEEASKVCGRMPAMFGYSVKENLKPKYEYFVKEMERDLEELKGFPQYFGFSLEGRIMPRHLHLKQRGLHIPLNSMLLWSHNRFYSKWK >KGN43486 pep chromosome:ASM407v2:7:2174413:2174934:1 gene:Csa_7G041315 transcript:KGN43486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPTSTQHGTPQSLIRLDLHLHYQLLCFFHLPRSPKQIHHASIMLQSRPQMKLHSHHSKILLPFLNQPSMATRGEKRCKSEFIRLLPFFHHPLKQPKHLPKHPMNTITRHQSRPRHLIPLRHSIKHLPCNTQIPAFAIHGNQSIQTRQICREAINQKSPMNLAAKAQGGEAGT >KGN44279 pep chromosome:ASM407v2:7:8634258:8637205:-1 gene:Csa_7G238430 transcript:KGN44279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALIGESSSSVSKLVDEKPVLVRVKRKASQSRLDALWLEINERPLKRPLLDFENLSISETLHKEELKTKKIFVQHVETLRSSDATVDIVQSFVAPDAASTIENNLKNEERRRNFKREISRQDQLLVKARQEQELAAKNARFEQIWRSRKGVKDEKDDQLRDVYHIYDIVRLDTNEISSESPKQEQMSLEDQSMLSSYLPLLREFIPSAAAEIESDIDANMMKQNLPVDDYVYDYYTVKNDVEIAEDDASHPFPLIQVDDLDHDGPSDSDYETDDSNAENNPCFDYPDEEELESTSSNDELEDSDDEKQSSESNDVEEDELSEEEKVELYEDEIYDDCDEEDGADSFDYDSNVGHDEGEDWRWSYR >KGN43804 pep chromosome:ASM407v2:7:4207033:4207692:-1 gene:Csa_7G068570 transcript:KGN43804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNGANNDQVSNEVVISEIKPNHWGDTVISIKSKLEQLPVVNKECKIYRVPKLLCQMKKTTYVPQVISIGPFHHGSKDLNATEPYKLQGLRNFLRRLDNHKEKSLEELVKIARSWIEEARSCYVDPIDMDDYEFVKMMLVDGCFIVEFFILVYSQYTTSNDHKFPQIDPNAGLLFYQGIIPDMYFDLLKLENQLPFFILQRLFELMPKENNPKSLIPRE >KGN44948 pep chromosome:ASM407v2:7:15377069:15377875:-1 gene:Csa_7G398100 transcript:KGN44948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPTVGASPSMNNGKAPSVYDILREFNFPIGLLPEGIVGCKLDRTTGKLEAYLKRSCHFSPDEPYELKYKSTISGNISRNRLTNLKGVSVKFMFFWVNIVEVVRNGDDLEFSIGMATASFPVDNFSECPPGGCGVDCSDGKVRKIKAKSLVSSA >KGN43262 pep chromosome:ASM407v2:7:825523:827897:1 gene:Csa_7G014480 transcript:KGN43262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVCVAVVGHQNNPLYIQSFTEADDALKLHHIVHCSLDVVDERVNNPRKSGPTLNETFLGLLYPTENYKVYGYLTNTKVKFIMVTTDLDVRDADVRSFFRRFHAAYVDAVSNPFHVPGKKITSKTFAESVSTIVKSFGFSSAI >KGN44957 pep chromosome:ASM407v2:7:15408182:15410224:-1 gene:Csa_7G398190 transcript:KGN44957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATACSSLSSIRVIGIGSSPLTPNHGNSNFCRGFKYHPQRNPNCKFQAIKCSSSLLGSFTSSKSLLSLAYVIPPLKPAAAYEAARTIPFTLQDASMAASDFVNSMTLADLDPGTAKLAISFLGPSLSVFSFLFIARIVMSWYPKLPVGKFPYVIAYAPTEPLLVATRKVIPPLGGVDVTPVVWFGLISFLNEILLGPQGLLVLLSQQVS >KGN45245 pep chromosome:ASM407v2:7:17147409:17150354:1 gene:Csa_7G432260 transcript:KGN45245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVFNDGAAAVAREGRTGPIKKRQLLAGDDEEGKKKNYKPELPTFHSHLPSAVVVALSREGDKRYRKEKGNFGTSERIPKLRCLFPPTRAAPGLLDGDPSSDLTRSGSPSPFGVQREGSTMDVQMKDEKSLEEEDEYVEYVPVAKRRAMEAQKILMRKGKASALEEELEKSRLAEAKPSLLVKASQMKRDQPEVSPTEQIVQQEKEMIEHLSDRKTLMSVRELAKGITYTEPLLTGWKPPLPIRRMPKKACDLIRKQWHIIVDGDEIPPPIKNFKDMRLPEPVLKKLKEKGIVQPTPIQVQGLPVILSGRDMIGIAFTGSGKTLVFVLPLIMIALQEEVMMPIVSGEGPFGLIICPSRELARQTYEVVEQFLIPMKEAGYPELRPLLCIGGVDMRSQIEVVKKGVHIVVATPGRLKDMLAKKKMNLDNCRYLTLDEADRLVDLGFEDDIREVFDHFKAQRQTLLFSATMPTKIQNFARSALVKPVTVNVGRAGAANLDVIQEVEYVKQEAKIVYLLECLQKTPPPVLIFCENKADVDDIHEYLLLKGVEAVAIHGGKDQEEREYAISSFKASKKDVLVATDVASKGLDFPDIQHVINYDMPAEIENYVHRIGRTGRCGKTGIATTFINKNQSETTLLDLKHLLQEAKQRIPPVLAELNDPMEDVEAITNASGVKGCAYCGGLGHRIRDCPKLEHQKSMAIASSRRDYFGSGGYRGEI >KGN44299 pep chromosome:ASM407v2:7:8825300:8828180:1 gene:Csa_7G239610 transcript:KGN44299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKFFFLFLTLFLPALVSGVGASCSCDKSDGGGAVATKEAMKYKVGSIGSVLVAGAAGVSLPLVGKKIRCLRPENDIFFMIKAFAAGVILSTGFIHILPDAFQDLTSPCLGQNPWGDFPFAGFIAMAASIATLMVDTFATSFYQRRHFSKTKQVIADQETGNDHAGHVHVHTHATHGHAHGSAPTPTGELSLADLIRYRIISQVLELGIVVHSVIIGISLGASVSPATIKPLLVALSFHQFFEGMGLGGCISQAQFRWRSAAAMATFFSLTAPVGIAVGIGISGAYRDNSRTALIVEGSMNSASAGILIYMALVDLLAADFMNPRMQNNLGLQLGANISLLLGAACMSVLAKWA >KGN45459 pep chromosome:ASM407v2:7:18330927:18332415:1 gene:Csa_7G448700 transcript:KGN45459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFLHKLWDETLAGPTPDNGLGKLRKYDPVSASESSSVKISGDVPVTRSITILRRRNYDFRNLADDRDGRMIDSPRTPLTPGTPDLDGDLKRIPWKRLSAESFEHDD >KGN43266 pep chromosome:ASM407v2:7:842667:845466:-1 gene:Csa_7G014520 transcript:KGN43266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENVVDVLIVGAGISGLTTALGLHRLGIRSLVLESSDDLRVTGYALSLWTNGWKALDAVGIGDSLRQNHDQLDGIITTSMISGDKTSELLFPAPEEGGVRCVRRKFLLECLAKALPSGTIKFSSKVVAIEESGLLKLVHLVDGTSIKTKVLIGCDGVKSVVAKWLGFKAPAFTGRCAVRGCLQLDSNHYFERKMSQYAGEGVRAGIIPCDDKTLYWFFTWTPSAEVKEMKRNPVKLKQLVLSMLGEIPEAARVVIEETDVSCFQPAALQYRSPWELMLGNIVKGNVCVAGDALHPMTPDLGQGGCAALEDGVILARCVAEALLKKPSSQEGEKAEREQQKQVEMGLKKYAAERKWRSIELISTAYMVGRMQQSSGVFAKFIRDKILSKFLVGLLLRNAKFDCGKLTPSL >KGN45486 pep chromosome:ASM407v2:7:18535887:18540423:1 gene:Csa_7G449460 transcript:KGN45486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVPTIALYAGPPSSICSTHPCQINAHSSLDFEIGSRPSSASSTASASQKSVAGGLSCLFSASPVRHVSSTTSFSGCGEELGSLWHDRGEELSSSFRYSSSKYLGSSLARDSSPVSVFQGPVSCCSSGVGSTAKSPPISISREKSGESNFQSSIGVGSNGFFNGFLRNASGSYLDVHRNALDVSSSAVLMDELTFNLEDGFGECTSEPYAKDMLLGAQIRHKIFLDEFVIKAFYEAEKAHRGQMRASGDPYLQHCVETAMLLATIGANSTVVAAGLLHDALDDSFMCYDYILGSVGAGVADLVEEVSQLSHLSKLARENNTANKTVEADRLHTMFLAMADTRAVLVKLADRLHNMMTLDALPLTKRLRFAKETMEIFVPLANRLGILSWKEQLENLCFKHLHPEEHKELSSKLVDSFDSERITSAIEKLDQALKNEGISYHLLSGRNKSLYSIYLKMLRKKLTMDEIHDIHGIRLIVKNEEDCQKALRIVHQLWSEVPGRCKDYISRPKFNGYRSLHTVVVGEDMAHLEVQIRTKEMHLQAEFGIAAHWRYKEGDSEYSPFVVQMVEWARWVVTWQCLSMSKDGSSVDSADSIRPPCKFPSHSEGCPYSYKTQCDGQDGPVFVITIENDKMSVQEFPANSTITNLMERCGRGSARWTSHGFPMKEDLRPRVNHKRVNDPTCKLKMGDVVELTPTIPDKSLTEYREEIQRMYDRGITVSNSGPSPVAPNIVGFWS >KGN44176 pep chromosome:ASM407v2:7:7615342:7616619:1 gene:Csa_7G212665 transcript:KGN44176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQALVGSKKSEKSQSSEHDENARTVTNKFWNRRKHSVDIDADKLQHDQQIDTEEQAAIHIQTMFRGFLARRALRARKGLVRLQALVRGHAVRKQAAITLWCMQALVRVQASVRARHWQAGLRQQAALSQFEPDKSSWGWNWLERWMAVHPWEIPFLDINLRDGVIIRENGSSEGKSNTKSHLKTTGKKTNLSAGTVEMSSAQVQKLKHKLAPERPFEDVKPKVDTGLKSHSHPKERSTPFVKSAKKRLALSNNDPGSQNQTVRNSTGKATMTKSINDKPKSISRSSDTKSEKPKSHN >KGN44350 pep chromosome:ASM407v2:7:9494687:9498951:-1 gene:Csa_7G267980 transcript:KGN44350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSGSNSTQIHPSDQSPSTSSETSLSNLKIYAAVGVIAACMIAASGLIFLCVRRSRESRKHKMRVKHSSGLIPLVSKEIAEIKESDRTVDCEKGEVIRVENKKEIEFENGVSKKSQESDVSGGGRSDVSVEDPNLGWGRWYSLKELEMATDGFVEENVIGEGGYGIVYRGVSPDGSVVAVKNLLNNKGQAEKEFKVEVEAIGKVRHKNLVGLIGYCAEGAQRMLVYEFVDNGNLEQWLHGDVGPVSPLTWEIRMKIALGTAKGLAYLHEGLEPKVVHRDVKSSNILLDRKWNAKVSDFGLAKLLQSEASYVTTRVMGTFGYVSPEYASTGMLNEGSDVYSFGVLLMEIITGRSPIDYSRPPGEMNLVDWFKGMVANRRGEEVVDPLIEIPPSPRTLKRVLLVCLRCIDLDANKRPKMGQIVHMLEADDFPYRSELRSVREKDSQPSARSDVPSKLPLKHAGIDHMQ >KGN44700 pep chromosome:ASM407v2:7:13372169:13381839:1 gene:Csa_7G372940 transcript:KGN44700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKPVNIVVGSQIWVGDIDSVWIDGLVLNINGEDAEIQTSDGRQVVVKMSNLYPRDAEAPATGIDDMTRMSYLNEPGLLHNLAIRYAINEIYTYTGNILIAINPFQSISSLYDAHVMEKYKGAPIGELKPHVFAIADVAYRAMINYGKSNSILVSGESGAGKTETTKMLMCYLAFLGGHAASEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKKGRISGAAIRTYLLERSRVCQISDLERNYHCFYLLCAAPPQERERYKLGNPKSFHYLNQSNCYELAGVNDAHDYLATKRAMDIVGIGEQEQDAIFRVVAAILHLGNIEFAKGEESDSSFVKDEESKFHLHMTAELLMCDPNALEDALCKRMMVTPEEVIKRSLDPHGATVSRDGLAKTIYSRLFDWLVDKINVSIGQDPCSKYLIGVLDIYGFESFQTNSFEQFCINYTNEKLQQHFNQHVFKMEQEEYVKEEIDWSYIEFVDNQDVLDLIEKVQLFFLTLLLKSKDAFTTLRILLLSSYLIA >KGN43734 pep chromosome:ASM407v2:7:3764029:3765802:1 gene:Csa_7G063980 transcript:KGN43734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSISKINKPHAVCLPHPPQGHLNPMLLLAKLLHHKGFYITLVNTEYNHRRLLNSRGPSSLDGLPDFKFRTIPDGLPYSDANCTQDVPSLCQSVSRNCLAPFCELISELNSIAASDPSSNMPPVTCVVSDSSMSFAMLAANEFNIPCAFLWTSSPCGYLGYTKYEDFVNQGLIPLKDASQITNGYLEKEIEWTKAMEHIRLRDLPSFIRTTDPDDIMVNFFIQEVNRALDVDAVLLNTFDALDQDVIGPLSSNLKSLHTIGPLHMLAKQIDDENLKAIGSNLWAEESECIEWLNSKQPNSVVYVNFGSITVVTKEQMIEFAWGLADSGKPFLWIARPDLVVGDSTILPPEFVTETKDRSLIASWCNQEQVFNHPAIGGFLTHCGWNSTIESISAGIPMVCWPFFADQQTSCCYCCNVWGIGMEIDNNVKRNEVEELVRELMDGEKGKKMKENVMNLKSKAEEAYKPGGLSWKQLDKLINEVLLSKYKKNPI >KGN43605 pep chromosome:ASM407v2:7:2902331:2903524:1 gene:Csa_7G047400 transcript:KGN43605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDKKTGKLKMVIDANTPDKKIEKGKHVAAVSLERQQWKPVLDDAFLSRRPLKKICSSDFHNPFLHSPLSLSPPSSKIQFPFDFEASQHSSITTTTTTTQFNSQHPISSSSSSSSPFTAFGSPEQQMISFSSNQQQGFGFPPYFLNGDPVASQQRLFKYWSDAFHLSPRGRAMMMSRFGPDGGNLFRPPLQPISATKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTFDTAEDAAMAYDREAFKLRGENARLNFPDRFFKKDIPKTEAETEHTIPITEEAHPENFILLPPPEEEKPNNDLTESGSCASEPTEMVWGEMEEAWFNAIPAGWGPGSAVWDNLDPTNNLVLQSQIPFGSSNEQQMNESNDNQNKLETSESASSSSTSAPTKLFLWKDQD >KGN44046 pep chromosome:ASM407v2:7:6076277:6079353:1 gene:Csa_7G133920 transcript:KGN44046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHSKSHRDQNAPARPRSPGRTLLHFASPSISRSRSRIVLPFQSHAVQFPSVVRAACFTRAEVDRVHFRQLRASVASPRSRVPSSSRVSRLRWSESHEPSSLNRAIPCKLYASHAQLGRSCVSRTRAVSLKTRAESLLAEPPSVSAVCNIFKPLVKVWLSI >KGN43629 pep chromosome:ASM407v2:7:3049727:3053809:-1 gene:Csa_7G048620 transcript:KGN43629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSTVPLREDSWTFDHTSTLIHAWGQRHLDLNRGSLRHKHWQEIADAVNSSHGHDRKFFRTAIQCKNRIDTLKRKYKIEKVRIQESGGKYVCAWPFFSCLDDLIGNSHKASTSVSVSNSQSSPVTSPKLSLFSKVPVAPRSVTKKRRSTHVYRSFCDSYLRRDENLNEDEGKDGLDSDNSLSGSSFKDREVGYRKLAEAIRTITDIYERVEAEKQRQVLELEMQRMQFMKDLEYQRMQLLLDIHLKFQKIKRARRASEADCYT >KGN44148 pep chromosome:ASM407v2:7:7350217:7351815:1 gene:Csa_7G206990 transcript:KGN44148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFKFLRLRNISAEDFHGNFKFRFQSNLVKRFLPHIPTSHRPFSVVSDPIHHILSCHNLTPSPRNCHDRTLVSDSIAVSDEPITVHPDDPSAVYVQNVLYFRRHKPVEDIERALSLCDLVLTDDFVLKVLRRHRSDWNPAFIFFNWVLKRGTNEEKFTPGSVIYNEILVILGKFRRFEEVDKVLVEMSKRKELVNEETYSVLLNRYAAAHKVEEAISIFYRRQEFGLEMNLIAFQSLLMWLCRYKHVEAAETLFHSKKHEFVTDIKTSNIILNGWCVLGNVHEAKRFWREIIESKCEPDIYTYGTLINSLTKKGKLGTALKLFRAMWERGLTTDVVICNCIIDALCFKKRIPEALEIFKEMNERGCAANVATYNTLIKHLCKIRRMEKVNELLNEMEERKGSCWPNSVTFIYLLGSVRGPEEVPVLFQRMERSGCKMTSDIYNLILRLYMDWDIQERVKSTWNEMKEMGLGPDRRSYTIMIHGLYEKGRTKDGLRYFNEMTLKGIMPEPKTEKLVNATNVKEPKCQKAEPSR >KGN45068 pep chromosome:ASM407v2:7:16057303:16059213:-1 gene:Csa_7G419640 transcript:KGN45068 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy 8h MGRTKSFKDEFTFEQRFGESFEVLAKYPDRIPVIVERYGKCELPEMEKKKYLIPRDMSVGQFIHVLSTRLHLAPGKALFVFVNNTLPQTASLMSTVYDSYKDGDGFLYMCYSSEKTFG >KGN45384 pep chromosome:ASM407v2:7:17808777:17809576:1 gene:Csa_7G446990 transcript:KGN45384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSITLTSSSLLQIPPVQSLKKLSISSSFLHGSTPLSFLSKPSSSSSPFPSQTPNFLPSIRAMRSLQGRVVCATNDKTVAVEVVRLAPHPKYKRRIRIKKKYQAHDPDNQFQVGDFVELEKCRPISKMKTFLAIPVPARNSKKKAVEGGEGGELGIPLESQQEQV >KGN44504 pep chromosome:ASM407v2:7:11088084:11089846:1 gene:Csa_7G320030 transcript:KGN44504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVHNNFNNNQTSYSNLQSLKNGKQKTILHSCLNQVWIIPSLFTLLIAIACVYTLIFPNIHKILQIYNFTVTSEILEASPAVESTDCDVFDGRWVEDDSRYPIYNSTDCPFAERGFSCFENGRKDKEYLRWQWKPKKCNIPRFDVEKALEMLRGKRVVFVGDSLSRTQWESWVCMLMEGVKNKESVYEINGSKITKTIRHLGVRFKDHNITVDFYRSVFLMNKSYDVPAGAPKNVRMTVRLDLVDDISDQWIDSDLLVFNTGGWWTENRLFNEMRCFFQIGNSLEFGISVIDGYKMALNTWADWAEANINPNRTTLFFRTIESTHWRGPNQCDVSEKPLNETKGKEKSEKSDIIMETVEKKMKRVAVRIMHLTPMGSYRSDAHVGNWGDNPSVQDCGHWCLPGFPDIWNEIIISHFLPKIPSFSS >KGN43980 pep chromosome:ASM407v2:7:5254621:5256391:1 gene:Csa_7G075600 transcript:KGN43980 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid 9-hydroperoxide lyase MTSSSSEHPQIPLPLKPIPGSYGFPIFGPIIDRYHYFYIQGRETFFRSRMAKYNSTVFRTNMPPGPFISSNSKVIVLLDALTFPILFDTTKVEKRNVLDGTYMPSLAFTGGIRTCAFLDPSETEHSVLKRHFLKFLASRHHQFIPLFRTSISEMFDKLEKELQNNNVANFNPISDYASFDFIFRLLSDRSPDKNFSSEGPGLVDRWLTMQLAPLATLGLPKIFSCFEDLIIHTFRLPFALVKSAYRKLYESFYESSGSFLDEAEKQGINREKACHNLVFLAGFNAYAGMKVLLPILLNWVGSAGEELHRKLVGEIRAAVKIDGGITFGALEKMSLLKSVVYEVLRIDPPVPYQYAKAKQDIVIESHDSAFEIKKGEMIFGYQPIATKDPKVFENAEEFVGERFVGEKGEKLLKYVYWSNGRETEEPTAENKQCPARDLVVLMCRVVLVELFLRYDTFTVEGTRSSLGWSVKVKSLTKA >KGN45166 pep chromosome:ASM407v2:7:16647541:16648982:-1 gene:Csa_7G429520 transcript:KGN45166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGLSLGDAPKPFRFVEKQSQVPSPQRRLSRKDLGFCVDLSIGRSVAGDKEDDEDKPNQGEDESDGNEDPPTQLDLLPHNPVPRNLTNPYQGFPWPSSENDEGEDRTASPNSAASSFQMEFGLYGSGGNISSRRDQMENGVMNEVGESERASSRASDEDENGCTRKKLRLSKEQSAFLEESFKEHNTLNPKQKQALAKQLNLRPRQVEVWFQNRRARTKLKQTEVDCEYLKRCCETLTEENRRLQKELQELRALKTTNSLYMQLPATTLTMCPSCERVTSSSAASTVAATEGVTKRSGLAIGGGRPGSSSFPFSAKTQSHQSTAS >KGN45524 pep chromosome:ASM407v2:7:18745881:18747677:1 gene:Csa_7G451300 transcript:KGN45524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPITHHHHHLSKSSTAPVTTTTSSSTTQACAACKYQRRKCAPDCILAPYFPHDRQRQFLNAHKLFGVSNITKIIKNLDPFEKEEAMRTIIFQSDVRSVDPVGGCYRIIRDLQRQIEYNKAELEIVLHQLAICKQQAAAAAAAAAAAAILEGDPMTGYGGIEHQFVEGYEGEGFVVGENENGESLEDQEVEVWGIQDSCSSLQLKQAGSVHDFHDIKIHDNNHHQHHHQQQLLKFQIDHHQDLVHQSEEPMLKMDNMILKEDSDPIQQVQDQELKGAVDTLFTFPSCDT >KGN44216 pep chromosome:ASM407v2:7:8068898:8070897:1 gene:Csa_7G230910 transcript:KGN44216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSVYSSIQDWTMNTPSAILTSNRPSPPHDMKQEKCVKAEKCKARPLDKTLSNNEECDVFVNCKDGTPIAKIKRDVWMSYQPNYLASSPFRRIVINAVQNFIAKCIFRRTQCSKVNVTGFHNQEHEVIDILLPKSRWLCCEVRPNIEITLADYELWYVIV >KGN45244 pep chromosome:ASM407v2:7:17136755:17144422:-1 gene:Csa_7G432250 transcript:KGN45244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGACSRKRDQLDNEDSLPRGVSGKYCKSGSSKWLTTSFSRPFVDIDPRRGQCPSLMDLCIQRICKDLDQYDSFGMLPRDLSQLILNELVYSQLLTDISIQAFRDCALQDLHFGECPGVNDAWIDVISSQGSSVLSVDLSGSEVTDSGLMNLRNCSNLQSLNLNFCEHISDRGLAHIGGFSRLTSLSFRKNSEITAQGMSVFAHLVNLIRLDLEKCPGIHGGLVHLQGLRKLESLNIKWCNCITDSDIKPLSGLTNLKGLQISCSKVTDAGIAYLKGLHKLSLLNLEGCPVTAACLYTLSALGALQYLNLSRCHITDDGSEQFSGLGALKILNLGFNDITDECLVHLKGLTNLESLNLDSCRIEDDGLVNLKALHRLKCLELSDTDVGSNGLRHLSGLFNLEKLNLSFTVVTDIGLKKLSGLSSLKSLNLDTRQITDIGLASLTGLVGLTHLDLFGARITDSGTNYLRNFKNLQSLEICGGGLTDAGVKNIKDLSSLMVLNLSQNGNLTDKSLELISGLTGLVSLNISNSRITSAGLRHLKTLKNLKQLTLEACRVSASDIKKLQSTDLPNLVSFRPE >KGN43482 pep chromosome:ASM407v2:7:2157962:2159425:-1 gene:Csa_7G039790 transcript:KGN43482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMEGGKMLAMGGDELLEAQSHVWNHIFNFINSMSLKCAIQLGIPDAIHSHGPNPVPLSILVSSLQLHPNKTQFIYRLMRLLTHSGFFVQQEEGYILTNSSRLLLKDNPCAVSPFLLSMLQPALTDPWQFLSIWFQTDDQTPFETAHGVPFWKYMRNKPKEGEVFNAGMASDARLVINVLLEKHRSVFEGVESLVDVGGGTGTVAKAISQAFPQMECTVLDLPQVVAHLKGDQPNFKYVEGDMFTLIPPADTILLKWILHDWSDEECVEILKKCKEAITGSGSKKGKVMVIDLVLFNTKNDADSIETQLLYDMLMMIVPGGKEREEKEWAKLIKEAGFRAYKIFPILDLRSLIEIYP >KGN43488 pep chromosome:ASM407v2:7:2184280:2186095:-1 gene:Csa_7G041330 transcript:KGN43488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAPSSLHIAMYPWFALGHLIAFLQIGNKLASKGHRISFFIPSKTQPKLQPFNHFPNLITFVPITVPHVDGLPLGAETTADVSHPSQIPLIMTSMDRTEPEIASRLQEIKPEAIFYDLACWVPKLAHPLGIKSVYFSAVSPVTMGYIQCKLWKFPGHYNLTQDDLLHPPPDFPCPSIKLLAHEAQYLASFGQMKFGSDITFFERNSRALSQCSAMALKSCREIEGPFIEYLESIVKRPIFLPGFVNLEPLTTSLEERWAKWLSKFNSGSVIYCAFGSECILNKNQFQELLLGLELSNLPFFVALKPPDGIDTVEAALPEGFEQRVEGRGIVYGGWVQQQQILDHPSIGCFITHCGAGSLSEAVVKKCQLVLFSRTTDQLFRARLMSKFSKVGVEIEKGEEDGVFSKESVCKAVKTVMDEENESGKEIRANKERLRESLVDKDLEESYINNFIHSLRSLIA >KGN44660 pep chromosome:ASM407v2:7:12965168:12983237:1 gene:Csa_7G368130 transcript:KGN44660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRMQSIQSRVESWIKDQRDKVLKVSWGPLQWKMRWPFWNSDYRDQRKKIHQQYELRRQQLHELCLALKADSVVDLQEILCCMVLSECVYKRPASELVRAVNKFKADFGGQVVSLERVQPSSDHVPHRYLLAEAGDTLFASFIGTKQYKDVMADVNILQGAIFHEDVVDGVDRSEILSSDEEENRKGKFENSWNPLESKSKQQKNKSKPAAHRGFLARANGIPALELYRLAQKKKQKLVLCGHSLGGAVAVLATLAILRGIAASSSLKESEKFQVKCITFSQPPVGNAALRDYVNKKGWQHHFKSYCIPEDLVPRLLSPAYFHHYNAQPLNASPETRGTNLLTNKREEGAEKAKEKDGEQLVLGLGPVQTSFWRISKLVPLESVRRHVNKYREKKKATVGTFSASDSVSTALLEDDVVEPQSLEIEEGVDGISLKPISDSDSCPPANVKAAKKNGVGRNWRQVPSLPSYVPFGQLYLLGNSTVESLSGSEYSKLTSVSSVIAELRERFQSHSMKSYRSRFQRIYESCMKDDASSIMGVEQMQQFPHLQQWLGLAVAGTVKLAQIVESPVIRTATSVVPLGWSGLPGQKNCDPLKVDITGFGLHLCTLVHAQVNGNWCSTRVESFPPVPTISSSQGAPELQTMRVVIGTPLKRPPNHQAVADSASPLFPVTNSSVDDSSTEHRLPFNIEKFIRPEGLGDLFIFCTSDFATIMKEVHVRTRRVRLLGLEGSGKTSLFKAIVSQDRMTPIPRIEDLLPAMGAEEAISGGICYCDSPGVNLQELKKEASNFRDELWMGIRDLSRKTDLLVLVHNLSHKVPLCMQSDGSQPKPALCLLLDEAKSLGIPWVLAITNKFSVSAHQQKAVIEAVLQAYQASPSTTGIINSSPYVFIPGAATASLSTSAIIENSDVKMAAQKLFLAPINLVRRPFQRKETVLPVEGVNSLCQLIHRVLRSHEETSFQELARERLFMELEYERGMSMDATRDAKAKENSLTSAAVGASLGAGLGIVLAVVMGAASALRKP >KGN44064 pep chromosome:ASM407v2:7:6324695:6324967:-1 gene:Csa_7G160010 transcript:KGN44064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVLVVGTTTVQSFSFPSPTSTISIHTRGAFSSQVVELGLVLYGHYHQDCVSGGVDIHLAPLDSSIIPNHTRSLPSFVSVASSIRYSSTK >KGN45165 pep chromosome:ASM407v2:7:16633331:16637334:-1 gene:Csa_7G429510 transcript:KGN45165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSEQITATWGTLEELLLACAVKRHGFKDWNSVSMELQNRSSLPPLLTTARNCELKFQDLKRRFTSFQNDAVLNHNASGIADKLDTALPWVDELRKLRVAELRREVQRYDVSINSLQLKVKKLEEEREQGVNDREASTGKPDLKTESRERRSENDKKHFGEPDHRSGPNGTVTKPPAVPGEDSDRENFSVNQSNSTGSKSGNRKSTAEIAKSETKPDFAGSYRPEQNRGTSEPAGPQSDDGSTDTVVKNPTCDISETKKKETQRVDDSSELADSEAQSHGGGTTTRESSEVQSSASLTGRMKSKRLLRKEISGGSSGNEPRRSVGIKSRRFDEVLQLIRAHKHGSLFESRLQSQETEEYKGMVRQHLDLEIVQSKITSGSYSSSNLAFYRDLLLLFNNVVTFFPKSSKEAVAACELRLLISNEMKKSLRIAQTDPLPEVVDSSPTIPSRSKGPDLEGSQSLLAKQKSSVPIVVCRKRSKISNPSTTGVGEKGERSNDDEKPAADLKSSIKTASNLVEDEDTTKDSKVKEKPTTGARSMRRSNDSATNSSGPSSSKKQNITSRWKPSSANETEIPTPDKKKSETVALEKKRSAADFLKRIKQNSPAETTKRNGRGGSSGGVSNATPEQKKGSSKNEKGKERVSTTMKQSNDRKRPKEDASPSKRSVGRPPKKAAEAEPPTPIKRAREGGGKEPLKRPRKKSKR >KGN43839 pep chromosome:ASM407v2:7:4420662:4423713:1 gene:Csa_7G070790 transcript:KGN43839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHSCCSSQMRTIGAFRPQLTQLLNPSCFRSFKVRALSSSSLPSSNQSRGGLPRFFSQVLPSYKGDVIRLEGDEVWHMTKVLRLKTDDRVELFNGNGGLVEGSIKNIRVNGLEILAMEEPKSVLPQSTQWHVFAACGTLKGGRADWLVEKCTELGASSVTPLLTERCPTISDNRVQRFERIALAATKQCQRLHQMNLNSPLKIDGLLPFQLQKRRRL >KGN44387 pep chromosome:ASM407v2:7:9807434:9809662:-1 gene:Csa_7G278220 transcript:KGN44387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINSVYFVSGSSCNCGGGTSLFIPNSLLEEDEPVVDVRDDNSVALPASFWVRLAMRVSRARWFIFLRRVFHYQNGSRSNLGSDPFNSSSWMAVELVAMVFQLSITVFVLAISEAEKPIWPMRLWIVGYDLGCILSLLLLYGRYWHLYLMHGERVGLSDTEQRRTSQASRSLHLMNKCRTSLELFFAIWFVMGNVWIVDSRFSSFQRAPKLHLLCVFLLVWNAICYSFPFILFLLLCCCVPLVSSLLGYNISMASTDKAALDDQISQLPCWRYKVSSMQPDSNEGLPKEDPECCICLVKYRDEEEVRQLPCSHFFHLRCVDKWLSITSSCPLCKQQL >KGN44519 pep chromosome:ASM407v2:7:11280018:11283713:1 gene:Csa_7G324130 transcript:KGN44519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFQSRCVGPGKVDWCLCRQDDDGRPFEEGFGGGGSLVNKCGKKENQPCFWSSDVMNSLVHWPGFWNRELKDVVSQANALQDKWRSENLLVNVDGMANPAVAMVGGQLWKEFRQLLEDQSGLIINQRDSIVDWVQEGFQDFFRALVDRFMLLSGKNNSYTQSQALTEATQAEKVIAGLVLVLAQISVFIEQTAIPRITEEIAASFSGGGIRGYEYGPAFVPAEICRMFRAAGEKFLHLYINMRSQRISVLLTKRFRTPNWVKYKEPREVHMFVDLFLQELEAVGSEVKQILPEGTRKHRRTDSNGSTTSSRSNPLREEKLNRSNTQRARSQLLETHLAKLFKQKIEIFTRVEFTQGSVVTTIVKLSLKTLQEFVRLQTFNRSGFQQIQLDMQFLRTPLKEIADDEAAIDFLLDEVIVAASERCLDSIPLEPPILDKLIQAKLAKAKDQNPMTP >KGN43805 pep chromosome:ASM407v2:7:4209176:4209967:-1 gene:Csa_7G068580 transcript:KGN43805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERIFDRCRCGSYMGMKLRICDDPVQRRRPQTQIRTRRNSPTFFKQIDLNFEVQLKCQHFQIREEETSNTTTLIDEHIVSQHPFATFRLPISILEHGDKTLKLLLFREFHMYRDIINIEHLVDEIIKYWVTKVEEEEEDQENSTSSRVFKKIYRLEITLELLIFQIVRVMDYQPQVLMMVPTSDSAMESMLKRVENEEIMKLGDDDCIHCVICLEEIGKEEKGSEGVVLQMPCLHVFHGECINKWLNTSHYCPTCRFPMPIN >KGN45031 pep chromosome:ASM407v2:7:15842710:15843100:-1 gene:Csa_7G410340 transcript:KGN45031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIQAEISAKQGPMMSMPIPIPFTEWLRCKASTSRATSMALQPCTPSTKKCDLRSRYKSTHCRQSFAATMNLQLEMKRSTWDAFVHVSVKILLQLKIKC >KGN43608 pep chromosome:ASM407v2:7:2921689:2923091:1 gene:Csa_7G047430 transcript:KGN43608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDRRYLSLSPSDAAVRLDLIHSVHGLEHAENYFNSISIRLKTSNVYGALLGCYVREKSLEKAEAIMQEMRKMGIATTSFAYNVLINLYAQIGQHDKIDLLIEEMKTKGIPQDIYSIRNLCAAYVAKADISGMEKILKRIEEDSELKADWTIYSIAANGYLTAGLETEALSMLKKTEEKVRPNTNKFAFKFLLSLYERTGHKNEVYRVWNTFKPLTKETCVPYALMITSLAKLDDIEGAERIFQEWESKCTVYDFRVLNRLLVAYCRKGLLDKAESVVNQAVVERTPFRSTWSILATGYAEYGHMSKAVEMLKKAILVGRQNWKPKQGDILEACLDYLEKQGDAETMDEIVRLCKSSGTVMKEMYYRLLRTSIAGGKPVISILEQMKMDGFAADEEVDKILGSKTNL >KGN45412 pep chromosome:ASM407v2:7:17984272:17990301:1 gene:Csa_7G447740 transcript:KGN45412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALASSVNIPKFPDPYSSSLFRKPANRTGFLRINDCNNFAGLRALSGEAEEAKPSDNRFGLFSEEDVYFEDVPFSEDEVNFTKNDEKNANELPKVDVSLTVPSASGSGTRAGLFRTPISGGVQSATSAHGLPRPALAVRNLMEQARFAHLCTVMSRMHHRRQGYPFGSLVDFAADSMGHPIFSFSPLAIHTRNLLADPRCTVVVQIPGWSGLSNARVTIFGDIYPLPEDQQEWAHKQYIAKHQQGPSQQWGNFYYFRMQDISDIYFIGGFGTVAWVDVKEYENLQPDKIAVDGGEQNLKELNVMFSKPLKELLSAESEVDDAALISIDSKGIDIRVRQGAQFNVQRLSFEGGHAVETLEEAKVALWKLINKGGVCNFKK >KGN45492 pep chromosome:ASM407v2:7:18555157:18556979:-1 gene:Csa_7G450510 transcript:KGN45492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHSLLIISLLFSLSNAAVQDFCVADLKGPQGPAGYSCKIASVVTADDFVFSGLRAAGNISSLIKAAVTPAFVEQYPGVNGLGLSAARLDLAPGGVIPLHTHPGASELLLVTQGIIEAGFISSANAVYVKTLKKGDLMVFPQGLLHFQINAGGVTAVGFPVFSSPSPGLQILDFALFANDLSTDLVSKTTFLDPALIKKLKSVLGGSG >KGN43457 pep chromosome:ASM407v2:7:2037564:2038288:-1 gene:Csa_7G037610 transcript:KGN43457 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pathogen-induced protein CuPi1 MSSGKGRGYSLLAFFFFFVLFISSEVTAARKLKMHQNNEKGIVYLTPRTAIRNKPICDGSGPYSRCIPRSKPPKEKCNPYVRGCSLP >KGN45435 pep chromosome:ASM407v2:7:18153727:18154673:1 gene:Csa_7G447970 transcript:KGN45435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQASTISHPFGDSIAMELTGKIMLAAILILCLVIAFVLLLQLYSRWFLSRLHQSSSDSATNQESPVSTTLRKGLDSAVLHSIPVVVFSPADFKEGLECAVCLSELSEGEKARLLPRCNHGFHVDCIDMWFKSNSTCPLCRNPVAITEPNSEQNPETGSSMESPIFPTNVLFWGNQMQVSSRGVCLEEPQISSSSSSTSSSINTMVVIDIPNEPSTSGAGTCFADEEMGSVVTNRLRTLKRLLSRERRIGTNPIPSDIEQEGIVQS >KGN43249 pep chromosome:ASM407v2:7:739950:742543:1 gene:Csa_7G012380 transcript:KGN43249 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding protein MDDGELDFSNQDVFSSPNMEIPSSCSMDSFFDELLKDTHTCTHTHTCNPPGPDYSHTHTCFHVHTKIVPAPSEEDKVVTDDTAESTEKKSKKRPLGNREAVRKYREKKKARAASLEDEVVRLRALNQHLMKRLQGQAALEAEIARLKCLLVDIRGRIEGEIGSFPYQKALNPNLSNPSMSGAYVMNPCNMQCEDQVYCLHPGVDGSRSSEGAVINGQSFGACEFENLQCLANHDSGSKELPGCGVGNAVSTDVSSGATKKKGGSRKETWT >KGN45396 pep chromosome:ASM407v2:7:17874485:17876131:-1 gene:Csa_7G447110 transcript:KGN45396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALHLGSYHLLSSSSFRSFNYITNSSHTLKRFRPLTFRRTQVRAVGTVPQSQSEATDPEEPPIVDLAFVNSVLLPDGTPDVHLRRACGGQKLRNIMLDSNIDLYGPYSRFLLNCAGGGTCGTCMVEIVEGKELLNPRTDIEKDKLKRKPKNWRLACQTTVGKPDSRGMLVVQQLPEWKAHEWGYEEVELSDSSEST >KGN43579 pep chromosome:ASM407v2:7:2771890:2772236:1 gene:Csa_7G046670 transcript:KGN43579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCCRKGWVVAASVGVVEALKDQGICRWNHTIRSLQQYAKNHVRSISQAKKLSSPSAAAVSDHKWNQSEESLRTVMYLSCWGPNN >KGN45134 pep chromosome:ASM407v2:7:16483049:16485228:-1 gene:Csa_7G428220 transcript:KGN45134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELHYMDTGFPYTNTESFMDFFQGLHQHQVPAYGNAVPLLDQGNAYWSMNMQCYKFGVSDHGNTYYGHSEESHHLPPSMDVGEREWEYPTSAMNVEIPEPSYAPSVEEDVVDAHSIPEECDLSHREETSTSQVTWQDEIDPDHMTYEELLDLGESVGTESRGLSEEQISLLPTARCKLTSFFSRKKLDERCVICQMRYKRGDKQIKLPCKHFYHNKCITKWLTINKICPICNIEVFGDGSTNASASDN >KGN43389 pep chromosome:ASM407v2:7:1635720:1643949:-1 gene:Csa_7G030520 transcript:KGN43389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAHSHGGQKPADVVANSHHEFLQPFLKSGEEFTKDVIFYSYTRHINGFAAMLEDEVAVQLAKHPKVVSVFLNRGRKLHTTRSWEFMGLENKNGVINSESIWKKARFGEDTIIGNLDTGVWAESKSFSDDEYGPIPHRWKGICQNQKDPSFHCNRKLIGARYFNKGYASVVGPLNSSFHSPRDKEGHGSHTLSTAGGNFVAGASVFGLGKGTAKGGSPRARVAAYKVCWPPKAGNECFDADILAAFDFAIHDGVDVLSVSLGGDPNPLFNDSVAIGSFHAIKHGIVVICSAGNSGPAAGTVTNVAPWQITVGASTMDRKFPSLVVLGNRKQIEGESLSQDALPSKKLYPLMNAADVRLANASVHEAQLCKAGTLNPMKAKGKILVCLRGDNARVDKGEQALLAGAAGMILANNELSGNEILADPHVLPASHINFTDGSAVFAYINSTKYPEAYITPATTQLGIRPAPFMAAFSSVGPNTVTPEILKPDITAPGLSVIAAYTEAEGPTNQEFDNRRIPFNSVSGTSMSCPHVSGIAGLLKTLYPHWSPAAIKSAIMTTASILDNNFEPLLNASYSVASPFNYGAGHVHPNGAADPGLVYDIEVNEYLSFLCALGYNKAQISQFSNGPFNCSDPISPTNLNYPSITVPKLSRSITITRRLKNVGSPGTYKAEIRKPAGISVWVKPKKLSFTRLGEELSFKVLMKVKERKVAKKNYVYGDLIWSDGKHHVRSPIVVKVV >KGN44486 pep chromosome:ASM407v2:7:10845959:10846201:-1 gene:Csa_7G312410 transcript:KGN44486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIFAFLKSIYVASNKFILAGEKETVSSAIQKAKRFRSTELLRRGVLLVPVIWGEGREPQIEKKGFGASTTIATTMPSGK >KGN45277 pep chromosome:ASM407v2:7:17293775:17294492:-1 gene:Csa_7G432590 transcript:KGN45277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLLNSSNLVFATVMVVSSTALILALLRQNKPDLSSPPPSQLSGETTSPKSQLQILRPCLSSGGKKGKKKKKVQFAENNNNNTNSDVKKKGIKEIQREKIMLMMMKKNERLKLVKKVQCRNNNNAKSSSSSSSSSSSSNHRIPQNRLTLYNAILRDRCAHRIQFSY >KGN43723 pep chromosome:ASM407v2:7:3686277:3686633:-1 gene:Csa_7G062890 transcript:KGN43723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSWSLCRIEEEEEEVEGFKEGFGDDHKCRNVYFNMGFVALGILKVGLENVNDRMPNCSQKNVVVSTDVKMLNCINLSIQLLILLQSPVDLYCSTVINFDILPDLLIDVLDTKHNLFA >KGN43449 pep chromosome:ASM407v2:7:1980489:1980832:-1 gene:Csa_7G037530 transcript:KGN43449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSMMSSTTIWEEKMLHLPNATTIATKVAASVPMVIASSAATNLLMIRPWLMYNLKPKV >KGN43453 pep chromosome:ASM407v2:7:2007896:2008791:-1 gene:Csa_7G037570 transcript:KGN43453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKDITSLDSKNFHFNLLGCKNVTFQNVTIIAPENSPNTDGIHVSSSEVINIFNTRISTGDDCISVGDSNKQITITNVTCGPGHGISIGSLGKYTKEKEVAGVTVKSCKLINTSNGVRIKTWPDCAVAYTASDLHFEDIEMVNVSNPVIIDQEYCPSNQCNKKQNPRACVEAAPVNSPSTD >KGN44552 pep chromosome:ASM407v2:7:11685427:11686318:1 gene:Csa_7G329370 transcript:KGN44552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLNVTAAVENYRSFISSLFKPQYGLYDQDEHFHLRKQKLKPLLLGWDTDYIKDESSSQLTELNTIAKSPISFADDQPTMHESFGAAPLCSSPFPSSNRTNLNSLPYSSLASSQIHGLSWQNVAGEDIGTTFNNLHLNFSSVPKFLHQWNSSVDDGGCHDLCAQNTDWVMNNVLDDGSQHPSIESLCASGLVFDFGCKYLSDSKEQRQTAYHILKYPLDEIQPTALTNEEWSNDSSDDVLVDYRPPFFIQPESFFQGKVYSILTDKLSWDVARSEINVDDITEMNYI >KGN45067 pep chromosome:ASM407v2:7:16055157:16056272:1 gene:Csa_7G419630 transcript:KGN45067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSALSKPKSLTLTLNLLRPHLFRRPFSSPSDHDLTDLPESPSSSDPLLRNLEDAIQRILVRRSAPDWLPFVPGASYWVPLPSNSHLPPIANVLRNLANPLSPEQSLSTTTVRGWPSSHYFIQGTHLPSLDPEVDTTSTECDASLDHEEG >KGN43901 pep chromosome:ASM407v2:7:4818004:4820616:-1 gene:Csa_7G072880 transcript:KGN43901 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin D3.2 protein MALHSNKHTTTQRLHNSLFFFDLLHCTEQQHHQTELPIFLNNGATTTTNFPLSHFLISEDEELAYLLSKEKDQNLQHHAVLETLIQTDNALSLARTEVIDWLLKVNAFYGFSSLTALLAINYLDRILSGPHFQRDKPWMLQLLAVTCISLAAKIEEIRVPLLLDLQVEDSKYIFEPKTIQRMELLVLTALQWKMHPVTPVSFLGIITKEFVMKNQYIQKEFLRRCERVLLSIVSDSRSVGILPSVMAVSAMVSVVEEMGNCNPLEEFQDHLLNALKINKGRVKECCKVIMEAKAKGSMKRKHVEEKAETGESSEVETEEEEEAEVGSPNGVIEANFSCESSNDSWDMGTIVSASTLYSHFSSSSSSNSSKRIRPTR >KGN44584 pep chromosome:ASM407v2:7:12098317:12101970:-1 gene:Csa_7G337600 transcript:KGN44584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGVTSSVSQSPFSLVTTKPKITHYSPPSLLLFRSSSTPSNLKFRGISHFQPNGPSRFLARCSSGDGDSRTVLDAFFLGKALAEALTERIESTIGEVLSGIGRLQAEQQKQITDFQDEVIERAKKAKEKAARDSKEVQGPVSSSVISPKIEVFSSPTSSSPDADSESVVNQDPSLGE >KGN43284 pep chromosome:ASM407v2:7:1021057:1024787:-1 gene:Csa_7G017670 transcript:KGN43284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGDGSVESLDLLPSAVLATIMTNLDLPSICSLASTCRTFHSCASQILNFLPTFHLLEISPTVEFLRPLLPPNPFLRSLKVDCGQLDDSAIRLLLKPSLHELCLHNCADFSGKLLSEIGGCCKDLRSLYLSSVAEKRGRAIHIADLEELLSGCTQLEALTLMFDVSFFLRQNFARVWAMASEKLTSLEIGCIYSVTVTELLSQNLGVGNSMNRIVPSMWPNIEKLCLSVDYITDAMVGAISKGLISLTHLELQDAPIIEPRFSFDLTNVGLQQINQLSKLRHLSLVRSQEFLVSYFRRVNDLGILLMVDGCADLESICLGGFCRVTDTGFRTILHTFSNLNKLRVFHGIQLTHLVFHDISATSLSLKHVSLRWCSLLTNDAVKNLSLNKDLSYLDLRDCRSLRDEALRAIGTIPKLKTLLLDGSDISDAGLSHLRPLIMSSLVSLSVRCCKKLTDKSITVLFDGLSKIELHVLDLSNLPYLSDAAILQLTRSKFAISELRLRQCPLIGDVSVMALASMQVNEDQRHGSSLRLLDLYNCGGLTQLSFKWLKNPYFPRMRWLGVTGSLHRDLVDALARSRPFLHVACHGEELGADHWDSSDSFYMHHYDEVDEFEQWLFDGEDDDDIADDENDAELII >KGN44775 pep chromosome:ASM407v2:7:14110607:14113616:-1 gene:Csa_7G380120 transcript:KGN44775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSRPPNSSGHLPHQITHSLKRQLPLSSMKPPFASPGDYHRFAPDSRLADQEPDAIVLKSPQLKRKSEVADYEAESTDRAIGPRFVR >KGN43261 pep chromosome:ASM407v2:7:823506:824269:1 gene:Csa_7G014470 transcript:KGN43261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLACSNAVSNYPLLLSINTGDFNHSIVIMQNLMETKTSSFQIHLISLTHSEALFSVSDVLNGWVVVVLGLRIIKKVEKNLCFLFLKSFHVGIPYVHTQELVLVINCPFSASKFWTLLSNEPKWLLFSNDDVGSICRENYHTTTGCNNSYVFFSSSLLETMGTRVLVPFPFGIVVLFIAQHV >KGN44826 pep chromosome:ASM407v2:7:14568092:14568418:1 gene:Csa_7G390055 transcript:KGN44826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISEGWVFWIGVREDGVVSTDRRGSLDAGAANSLVEGIGERGIAKDEFVRGRVVILVAVDPGEGAAEVEVEGGWAEGSFEVEGDGVEERGMVFVERSNESCLKRIQRK >KGN45420 pep chromosome:ASM407v2:7:18046855:18052312:1 gene:Csa_7G447820 transcript:KGN45420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAEVVHSVADFANQALLAYGLSSSRRAPDAIHPYGYSKERFVWSLISAVGIFCLGSGATIVNGIQNLWTSQPPANIHYAALVIGGSLIIEGASLVVAIQAVKKGAAAEGMKLRDYVWRGHDPTSVAVMTEDGAAVTGLIIAAASLVAVNTTGNAIYDPIGSIIVGNLLGMVAIFLIQRNRHALIGRAMDENDMQKVLQFLKNDPVVDALYDCKSEVIGPGFYRFKAEIDFNGVMVVQNYLTRTGREEWAREFRQAAKSKDDSTLLKIMSNYGEEVVTALGSEVDRLEKEIQELVPGIRHVDIEAHNPTGPTP >KGN45248 pep chromosome:ASM407v2:7:17159373:17162971:-1 gene:Csa_7G432300 transcript:KGN45248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKLMVSNGCIEEERLSLLHMKSIFLSYDIPHVFHKSPFPSWVGSNCCNWERVKCDTSGIHVVELSLYELFSDEHYRGLDENYHLLNLSLFQNFKELKTLDLTYNAFNEITGNQVTNTYYYCFSPSTGFNKFPNFNKLEILNLSGNYFRNKILSSLSGFTSLKKLLLNNNELNESITLLGFENLRELDLSMNRLNGTLQMQGLDGLEILNLEYNGFKNTNIFSSLRGLVSLRILKLNNNVDLGSTFPTQDVAKLKSLEVLDLSYDSFYDGVIPLQDLKNLKVLNLSYNQFNGSLPIQGFCKSKSLIELNIRNNEIRGEFPECIGNFTGLKLLDISSNQFSGKIPNATISKLTSIEYLSLYENDFEGSFSFSSLANHSNLWYFKLSRRNNTGNIQVETGVHEWHPTFQLQILSLRSCNLNSQTASKIPSFLLTQHKLKYLDLAHNNLVGPFPIWLLQNNSELNSLDLKNNSLSGTFQLSTSNLNLRFLEISSNLFNGQLPTHLGLLLPKVEYFNISRNSFEGNLPSSIKQIHSLRWLDVSNNKFSGNFQISTFYNMPLLQSLVLANNNFSGSIEGEWNLSFLTALDLSNNMFTGKIPRKNIGSSNLESIQLSRNRFVGELPKEICSPWLLTILDVSENQLVGEVPSTCLTSSTLVFLYLQKNGFTGLAAHVLLSKPTNLKIIDLSYNNFSGHIPKWFNKFTSLRVLLLKGNELEGPIPTQLCQNSEISIMDLSSNKLNGTIPSCFNNITFGNKNFGSTEVWAIVVFVKTTILGCVATL >KGN44080 pep chromosome:ASM407v2:7:6474763:6476273:1 gene:Csa_7G170600 transcript:KGN44080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMSSHGSGTWTVTQNKAFEKALAVYDQDTPDRWLNVAKAVGGKTAEEVKRHYALLVEDVKFIESGQVPFPYRTSGGGNQG >KGN45390 pep chromosome:ASM407v2:7:17851512:17852514:-1 gene:Csa_7G447050 transcript:KGN45390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLHSTFLKSQISIPIPASTATSPVAVSFRVRCGPRDNRGPLVKGRTLSIEAIQAIQSLKRAERSDPTKLQQVLSTTLSRLLKADLVATLKELLRQERCALALEVFAVIKSEYRAELGLYAEVAAALSRNGAAEEIDRLVSDLDGGDGVIEWGDDDKGLIKLIKAVISGNRRESTVRIYRMMRRKGWGSMIKADDYMIKVLSKGLRRLGEIELADEINREFEDLVGSF >KGN44436 pep chromosome:ASM407v2:7:10198525:10202645:1 gene:Csa_7G290590 transcript:KGN44436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRILCNYFLQIHRLRCSPSLTLFIPRKFFLSVQSPGVLRCRNKCTTINLSSIDCSGLAQSVISRCSLFLENEGNGSALPNPSLIDFLLEISDVVPEYARRIRRIPELKPEDVLKLFIEFQSEVGKNGIQVKKVECLWRIFKFANESSGNFKHLPRSCEIMASLLVRVGKFKEVEHFLSEMESQGILLDNPEVFSCLIQGLVCEGNLERAVLIYEKVRRRCNSPSLSCYHALLDSLVQKKKTQVALAVCTDMVEMGFGLGDEEKASFDNVIRLLCWQGNVLEARNLVKKFVALDFRPSDEVLYQITRGYCDKKDFEDLLSFFFEIKTPPNVSSGNKIIYSLCKDFGSESAYLFLRELEHTGFKPDEITFGILICWSCHEGNLRQAFIYMSELLSSGLKPDLHSYNALISGMFKKGLWENAQGILAEMVDQGIEPNLSTFRILLAGYCKARQFEEAKKIVIEMEICGFIKLSSVDDQLCKIFSFLGFSESSVRLKRDNNTGVSKTEFFDTLGNGLYLDTDLDEYEKRLTKVLEESILPDFNLFIIEDCKNRDCKAVLGLVAEMDRWGQELTSVGLMSLLKRNCKLNSKIKPIIDVWERRPYMIAQLGADTLSLLVQAYGKSRSTSSGIGILNEMIQMRTEIKNETYKALINSLCKKGNLNDLLHCWDRARKDGWVPELHDCKSLISCLCKKGKLKEVFSLLETMLVSHTHSRLDILNIFLERLSEVGFATIGQVLAEELMSLGFSVDQKAYELLIIGLCKVNNISIAFSILDDIMGRSMVPSIDVCLRLIPILCKVGRYETAVALKEMGASKLSSSSHRVFGALMKGFFMMGKVRETLPLIQDMLSKGISLDAEIYNNLVQGHCKVKNFDKVRELLGIIVRKDFSLSMPSYKKLVCFMCMEGRSLQALHIKDLMLRNSKSHDCVIYNILIFYILRSGNGSLVPKILDELLHGRKLIPDGVTYDFLVYGFSKCKDFSSSKLYLFTMIQLGFRPSNRSLNAVISHLCDIGQLEKALELSQEMESKGWVHSSAVQDAIAECLISNGKLQEAECFLNRMVEMSLIPEHVDYNNIIRKFCQNGRWLKAIDLINIMLKKGNIPNATSYDFVIQSCCAYKKLEEAVDFHTEMLDRRLKPSIRTWDKLVYLLCREGQTKEAERVLMSMTAMGEKPSKDAYCSMLDRYRYENNLEKASETMKAMQESGYELDFETQWSLISKLNDTNLKDSNNSNSNKGFLAGLLSKSGFSRALIP >KGN44296 pep chromosome:ASM407v2:7:8814648:8815552:1 gene:Csa_7G239580 transcript:KGN44296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIHLRHALIASMGFSFFFFFYFSFVDISDPSRLQRCTLPTRIWGRDSGTFLNLLRLVHSDWCLEYMIFRMFFLLLVHSQPRNSGWQNLEDSFATACSD >KGN45196 pep chromosome:ASM407v2:7:16852534:16853773:1 gene:Csa_7G430800 transcript:KGN45196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKSMLEKEAAQVEDRSSEFNNGIQWKQGRLIGKGSFGSVFLASLKPHFTKYSIFPPVMAVKSAEISVSETLQKEKQNYDNLKGCNSLIQCFGEEITTDHNGHMIYNLLLEVATGGTLAHHIKNTGGKGLEENVVRNYTKSIIKGLIHIHRSQYVHCDLKPANILLLPKNNTTKDRQFIAKIADLGLARRTSKTKASYCLGGTFSYMAPETLIDGVQESASDIWALGCVVLEMLTGNRAWAATDKVGIVKEMTENFIGMPKIPEGLSPEATGFLKNCLVRKPEFRFTAEMLMNVPFVAAGEDHEQDSTTVKAPTFVTKWPRQFKRQRTIPIKAV >KGN45063 pep chromosome:ASM407v2:7:16034560:16036689:1 gene:Csa_7G419590 transcript:KGN45063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNPTGAVLFTTVGLNQYGFDIFSVPLNSLTVERQLTDGISVNFNAQFLNNQLSVVFISERSGSSRIYLSDSPNSSPKLLASAPGSCFHDRPIVTNGRLLFISAHENPHKPFTSWAALYSTPLDGHDSVTRLTPLGSVDFSPAVSESGKFVAVASYGSRSWGGEFHELNLEIVVFKSSDPGQRVVVAGRGGWPSWSGDSTVFFHRKADDGWWSIFKVEIPENLDSSRSSVSPVAIRVTPAGLHCFTPAAMNDGRRVVVATRRADSKYRHIEIFDSELEEFIPITQKLNPEFHHYNPFVSPDSNFIGYHRFRGESTQSELIPYLYPVISPIKELQIIRVNGSFPTPSPDGDLIAFNPGFIGLQIVKFDGSKCRTVLKDRTAFCNSWSPTEKNVIYTSLGPIFGAVTATVQIARITINSGDSDEVSNEVKILTKDNTGNNAFPACSPDGKFLVFRSGRTGHKNLYIVDAMKGEFEGELRQLTDGPWIDTMPNWSPRGDLIVFSSNMHNPKNTEAFSIYVIRPDGSGLRRVYVAGPEGSSEVDRERINHVCFSRDGNWLLFTANLSGVTAEPVSLPNQFQPYGDLFVVRLDGTGLRRLTCNAYENGTPTWYYGSELALSGLSLKDEVVGEKLKGDFDEPLWIKFD >KGN44866 pep chromosome:ASM407v2:7:14860224:14868316:-1 gene:Csa_7G392390 transcript:KGN44866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLQDIGVSATINLLSALAFLVAFALLRLQPINDRVYFPKWYLKGIRGSPRRSGHVHNVVNLDFNMYIRFLNWMPAALKMPQPELIEHAGLDSAVFVRIYLLGLKIFVPITFLAFAVLVPVNWTGETLEHVKGLAYSDIDKLSLSNIPPASKRFWAHIVMFYVFSFWTYYVLYKEYKLIASMRLRFLAAQKRRPDQFSVLLRNVPLDPDESISEHIEHFFCVNHPDRYLTHQLVYNANHLAKLVENKKGLQNWLVYYENKYERNPSQRPTTKTGFWGLWGSTVDAIDYYTAAMEKISTEEDVEREKVLSDPNSIIPAAFVSFKTRWAAAVCAQTQQSSNPTIWLTEWAPEPRDIYWDNLAIPYVKLAIRKLIMAVALFFLTFCFMVPIAFVQSLANIESIEKVFPFLKPIIEKKVIKSVIQGFLPGIALKIFLILLPRILMTMSQIEGFTSLSALDRRSAEKYHMFILVNVFFGSVITGTAFQQLQKFLHEPSTEFTKTVGDSIPMKATFFITYIMVDGWAGIAAEILRLVPLIVFHLKNTFLVKTDQDRDQAMDPGCLDFPVSEPRIQLYILLGFVYSVVTPILLPFIVVFFAFSYLVYRHQIINVYNQKYESGAAFWPHVHRRVIIGLILAQLLLMGLFSMREAEKSSLFLVALPILTIWVHKFCKGRFESAFVRFPLQDAMVKDTLEKATEPNFDLKIYLKDAYVHPVFKSSSIEQQSLIDDEENNPLVPTKRNSHRSSKLPSEDNSETDNA >KGN43494 pep chromosome:ASM407v2:7:2250786:2254559:1 gene:Csa_7G041880 transcript:KGN43494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTKPGKKDLDSYTIKGTNKIVRHGDCVLMRPSDSDKPPYVARVEKIEADHRNNVKVRVRWYYRPEESIGGRRQFHGAKELFLSDHFDVQSAHTIEGKCTVHTFKNYTKLENVGAEDYFCRFEYKAATGGFTPDRVAVYCKCEMPYNPDDLMVQCEGCKDWFHPSCMGMTIEEAKKLDHFLCSDCSSENEAKRSLNAFPVSPSAEAKVEPKRRKR >KGN43168 pep chromosome:ASM407v2:7:241340:251574:-1 gene:Csa_7G004700 transcript:KGN43168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSRTHSSSPYSNRKSTSSSYCSSPSPSSFTNGKMIPRSCSTSASSHYGNSGGCGSRSMVPGRGGSDSMQYGGGGYGGDCSPVGFISDDLIAEPVDELRNGDSISVTIRFRPLSEREFLKGDEIAWYADGDKIVRNEYNPATAYGFDRVFGPDTISPEVYEVAAKPVVKSAMEGVNGTVFAYGVTSSGKTHTMHGDQNSPGIIPLAIKDVFSIIQDSPGREFLLRVSYLEIYNEVINDLLDPTGQNLRVREDAQGTYVEGIKEEVVLSPGHALSFIAAGEEHRHVGSNNFNLFSSRSHTIFTLMIESSARGDEYDGVIFSQLNLIDLAGSESSKTETTGLRRKEGAYINKSLLTLGTVIGKLSEGKASHVPYRDSKLTRLLQSSLSGHGHVSLICTVTPASSNMEETHNTLKFASRAKRVEIYASRNKIIDEKSLIKKYQREISTLKQELDQLKRGMLAGVNHEEIMNLRQQLEEGQVKMQSRLEEEEEAKVALMSRIQRLTKLILVSSKNSIPGCLSDVPSHQRNKSSFDDKAEVSQGLHSESENHNDPSSIVHSDVSSQLNGEPLPADSAVIGSTNDEMTLSDQMDLLGEQVKMLAGEIAFKTSTLKRLVEQSVDDPDGSKVQIQNLEQEIQEKKMQMMALEKRISEGGESSISSASMAEIQQTVTRLMTQCSEKDFELEIKTADNRVLQEQLQNKCAENRELQDKVELLEHQLASVTSNKLTCSPENCCQEKYIEEFKKKIQSQEIENEKLKLESVHFSEEISGLHVQNQKLAEEASYAKELASAAAVELKNLAAEVTKLSLQNAKLEKELISTRELAHSKTTQNNHNGNRKYNDFSRPGRKGRLSGWSNDVSAATSGDFESWNLDPDDLKMELHARKQREEALEAVLAEKEILEDDYRKKMEEAKKREAALENDLANMWVLVAKLKKEGGGGAISDVKTDARQNSETENVIDTKTDDNETVTIFKEDADPVDDPKKPEETREEEPLVIRLKARMQEMKEKDLKCLGNVDTNSHMCKVCFELPTAAILLPCRHFCLCKSCSLACSECPICRTKIVDRLFAFTS >KGN45021 pep chromosome:ASM407v2:7:15761595:15762615:-1 gene:Csa_7G407740 transcript:KGN45021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNEREIISPLLYHHHHHSLSFLSSFSLIPIIFPNSSSLLTLVPFSNNDPLFSFPLLVPILLSILPLFSFPSLLFFSAFLHLGLSLFPVGFLGSTISWWWCCSFFARGVEIITYYCYS >KGN44448 pep chromosome:ASM407v2:7:10272360:10274035:-1 gene:Csa_7G291190 transcript:KGN44448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSTRCNSDSPAVQIVYVEKPRDEQPEAYHIRILASVLGSEEAAREALLYSYKNAASAFSARLTPYQVAQLAKQEGVLQVVPSRTLQLHSEPSHLH >KGN43498 pep chromosome:ASM407v2:7:2269828:2272068:-1 gene:Csa_7G041920 transcript:KGN43498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLAGRLAGKEAAYFIQESKHAVGRLAQKNTAHKFPAQSPPSSHSLPHGEPQADILPEVLRHSLPSTIFREESANSSGSFSTSKWVLPSDPNYRSVSSNSLNPLRDFLSLPQVTFGPKRWELPQSENSILASTANDLRTDKHTPINPEKLKAAAEGLAHVGKAFAAATALVFGGATLIFGFTLSKLDVNNASEIQTKGKGMIEPKMEMIRQQLVPFKVWADDMSKKWHVERDNDIKEKPLIKELSKILGAKTPN >KGN43878 pep chromosome:ASM407v2:7:4702142:4703688:-1 gene:Csa_7G071670 transcript:KGN43878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTTDTDPIHTDPPPNKQIFILSGQSNMAGRGGVLKKLRRWDGVVPPEAHPHPSIFRLSAKKHWEAACEPLHADIDTKKTCGVGPGMVFANGVRERVGTVALVPCAVGGTAIREWARGEKLYEEMVKRARDSVKGGGEIKAILWFQGESDTSTEHDADAYQGNMEALVANVRRDLALPSLPIIQVALASGLKYTDKVREAQLGMKMENLVCVDAMGLELQEDNLHLTTHSQVILGQMLVDAYFTHFAPPLSNECHSSSH >KGN43234 pep chromosome:ASM407v2:7:640859:642810:1 gene:Csa_7G009780 transcript:KGN43234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSSPFSSVAFSLLLILVAFCSTPTHSVTVRGLSIREATVHDLQLAFKQNQLTSRELVNFYIGEIRRLNPVVHGVIEINPDALLQAYKADREREANKPGSLCGLHGIPVLLKDNIGTKDKLNTTAGSFALLGSIVPRDAGVVKRLRKAGAIILGKASLSEWADFRSLAAPAGLSARGGQGKNPYVLSASPCGSSSGPSISVAANIAAVSIGTETDGSILCPASFNSVVGIKPTVGLTSRAGVIPVSPRQDTIGPIGRTVTDAVIVLDTIVGFDYNDAATRTASKYIPYGGYKQFLNPNGLKGKRLGIVRNPFFSFFNDSTITQAFEDHFNTLKQGGAILIDNLEIADIDIILNVTASGEAAALLAEFKQSLNGYLKELVVSPVRSLADIIAFNNANADQELLNVFGQEIFLAAEATNGIGDVQKAAVLNLGKLTEDGFEKLVKENQLDAVVTPGTGIATVLAIGGFPGINVPAGYDGGGVPFGINFGGLKGSETKLIEVAYGFEQATLIRKPPSFKP >KGN44939 pep chromosome:ASM407v2:7:15304142:15306524:1 gene:Csa_7G397030 transcript:KGN44939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCRRLLNLVSEQELALQRFVAASTNSSNLPFVWYSTNCSSAVLLQKLKKSERKPLVKSINELKREARLRNKERQTVEEISLKPPANGLLVKSLVPVAHEVYAAISELLSCVSTVIKRSVLYYCKVCGDVHIGDPPHKIRTCNVAGSYPNKEHTWEIGGMQHAFPTVESFHLYDRIGRAVSHNEQLEVDRITALVELCVQAGVDIPEYPTRRRTCPIYNVAGRTIDFEKRFPKNFTMKKDINASGFWTERKKSRKDTISIRSDDLKVTAVRGMRLWDKMISGTEKLMEKYAVQTCGYCPEVQVGPKGHRVRNCYAYKHQMRDGQHAWQEATVDDLIPPVYVWHVQDVHSTKPLSDNLKRYYGVLPAVVELFAQAGADTPMRYTSLMKKDVVVPELYEMKLVV >KGN44652 pep chromosome:ASM407v2:7:12910477:12915138:-1 gene:Csa_7G363060 transcript:KGN44652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEDFDIPAAPDMPDDLDLPDQTVPLKVGEEKEIGNQGLKKKLLKEGEGWVTPESGDEVEVHYTGTLLDGTQFDSSRDRGTPFKFTLGQGQVIKGWDLGIKTMKKNEKALFTIPPDLAYGESGSPPTIPPSATLQFDVELLSWTSVKDICKDGGIFKKILTEGDKWENPKDLDEVLVNFEAKLEDGTLIAKADGVEFTVADGYFCPALAKAVKTMKLGEKALLTVKPQYGFGEKGKSARGNEGAVPPNASLDITLELVSWKTVSEVTPDKKVIKKILKEGEGYEKPNDGAIVKVKLIGKLGDGKIFLRKGHEDGEEPYEFKTDEEQVIDGLDKAVVTMKKGEIALLTIAPEYAFGSSESQQDLAVVPPNSTVYYEVELVAFDKEKESWDMNNQEKIEAAGKKKEEGNVLFKSGKFARASKRYEKAVKFIEYDSSFSEEEKKQAKALKVACNLNNAACKLKLKLYNEAEKLCTKVLELESSNVKALYRRAQAYIQLADLDLAEFDIKKALDIDPNNRDVKLEYKTLKEKVKEYNKKDAKFYGNMFAKMKKVESA >KGN44166 pep chromosome:ASM407v2:7:7543623:7544712:1 gene:Csa_7G211090 transcript:KGN44166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISLNLLQLQPNLARPKFFQTNSLPPMPYVRLPPRSAAVMSQITPSYWAAINEDIEDHLRRVIPVKDPVAVYEPLGHFIFSAPRNSAPALCIAACELVGGHRDQAMAAATAIQLIYAATCTHEYPPLTARGKRASVVDRVYGSNVQLMTGDGITPMGFEILAESCDWSERGSERVRRVMVEVARAMGTEGWIGGQFRGLEEEATVEEVIEKTEGGLHACGGACGAIMGGGNEEEIEKMRKYGKLVGIVKGLTQIVFGGKENERKREKIEELKVLAFKELEGFNGEMVPQIYGFLDFVRL >KGN44695 pep chromosome:ASM407v2:7:13353762:13358102:-1 gene:Csa_7G372890 transcript:KGN44695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLTHRFRTLSSSLCSNSHPFRSFRTDARPSRRHSKPAPFTVNKPEHKSEWWAVDGEMHEIGDNVPPRERFIIPRENLPNRRRKQLREQFMRRTRLVLKESEHDPWCKKYMELYQELRENWERLYWDEGYSKKLARDHANYESAEEEDFSPYRNRQSTDRNKEQDFRRNVQGGHWENVSQIRDKFEYDRERRMKERAFAPMHGDRTFPSQIPRWQRTDVQPQRYMSESDSD >KGN44063 pep chromosome:ASM407v2:7:6313133:6313526:1 gene:Csa_7G159510 transcript:KGN44063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERASDKGKFACEGVVRAAIQVGHGKGSEASIIATEVMKDEAKNDEERVLSGSRDKFRREI >KGN44952 pep chromosome:ASM407v2:7:15390536:15393590:-1 gene:Csa_7G398140 transcript:KGN44952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTKNRRKVAPSAADSGDTADKLDQLLLSSAICNGEDLAPFVRKAFASGKPETLLHHLRAFSKSKESEIEEVCKAHYQDFILAVDDLRSLLSDVDSLKSALYDSNSKLQSVGLPLLSSLDAFVEARTVSRNLNLALDSVRACVNTIELCSRANNHLEEGNFYMALKCLDSIENEYLEKTPSSTLKRMLEKNIPDIRSYIERKVSKEFGDWLVDIRAVSRTLGQLAISQASSARQREEDLRIKQRQAEEQSRLSLRDCVYVLEEEDEDGLGAVGDDAKDLYSNGGGGLLGFDLTPLYRAYHIHQTLGLEDRFKQYYFENRKLQLTSDFQVSSMTPFLESHQTFFAQIAGFFIVEDRIFRTSGGLISKMEVENLWETAMSKMCSVLEDQFSRMQTANHLLLIKDYVSLLGVTLRRYWYPVEPLLDVLSKHRDKYHELLISDCRKQITEALSADKFEQMLMKKEYEYSMNVLSFQLQVSDIVPAFPFVAPFSSTVPDCCRIVRSFIEDSVSFMSYGGQLDFYDVVKKYLDRLLSEVLDGALLKLISTSVHGVSQAMQVAANMAVFERACDFFFRHAAQLSGIPLRMAERGRRQFPLSKARDAAEETLSGLLKTKVDGFMMLIENVNWIPDEPLQNGNEYVNEVIIYLETLVSTAQQILPVQVLKRVLQDVLSHISVMIVGALQSDSVKRFNVNAVMGIDVDIKLLEGFVDSQASIFAEEDLNQLKAALSEARQMINLLLSSHPENFLNAVIRERSYYSLDHKKVVTISEKLKDSSDRLFGTFGSRTMKQNPKKKSLDTLIKRLRDVS >KGN45446 pep chromosome:ASM407v2:7:18225902:18231347:1 gene:Csa_7G448080 transcript:KGN45446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLESRILNSLEVLDFNSPVRSWREYIGKKVHCSYITAMVFHSQVPARYTCRLLAIPYGSVPEDKCKKDNPEDQQRYPFPQLNSSGRLEVQVLSNPSKDQFCRTLESYKPNIVYLQGEQLENDEVGSLVWRGVDLSNVEAISGLFNYPLPTTVYLDIAKGDEVADALHSKGIPYVIYWRSAFTCYAACHFRNAFLSVLQSSSAHTWDAFQLAHASFRMYCLGNNFVLPSSSHKEVSEDLGPHLLGERLKINVEPLEKEVADDEESSSEGISVNILDNDVEMRFLVCGEPGSLDAYVLEALEDGLNALLDIEIRGSKLHGKFSAPPPPLQAGTLSNGVVTMRCDLSTCSFAHISLLVSGSAQACFDDQLFENYIKTEIIDRGELVQTLLDSEGSKHLSEPRKSTSIACGATVFEVSLKVPSWASQIFRQLAPDVSYRSLVGLGIASIQGLSVASFEKDDAERLLFFCSRKENDLFLSNLTDSTLPSWLKPPAPRKRPKYIKDTSLGSHEIIEHLKVSPGSRIHGANMEIGSRNGFSTPMFPLPRRRGMKIAAMRPIPHVNRHKMISFHGISETGGHNGSLLKASVPSSNPTKHVTVGSASVFQQKVFPSASHYKQIIPMNPLPLKKHGCGRSHIQACFEEEFLKDLMQFLALRGHSRLIPPGGLAEFPDAILNGKRLDLYNLYKEVVSRGGFRVGNGINWKGQIFSKMRNYTMTNRMTGVGNTLKRHYETYLLEYELAHEDVDGECCLLCHSSAAGDWVNCGICGEWAHFGCDRRQGLGAFKDYAKTDGLEYICPHCSVANYKKKKVANGLSPGFSSRPI >KGN44044 pep chromosome:ASM407v2:7:6056037:6061135:-1 gene:Csa_7G132920 transcript:KGN44044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATKFNKKKVVKRDDPSSKRKKKDELFTPKRSKEEEDDSDLSDALAEEEVDNGLIDSDEEGSGSGSEDSSDGDDPLAHDFLQGSDEDEENDSGSVSSSDSDETDIEDKSRIIDEKRAREEKDAADEMQLNIKDESDEFRLPTKEELEEESLRPPDLSSLQRRIREIVRVLSNFKALRQEGATRKDYVEQLKKDIGSYYGYNEFLIGVLVEMFPVVELMELIEAFEKPRPICLRTNTLKTRRRDLADVLINRGVNLDPLSKWSKVGLVVYDSQVPIGATPEYMAGFYMLQGASSFLPVMALAPQEKERIVDMAAAPGGKTTYIAALMKNSGMIFANEIKAQRLKSLTANLHRMGVTNTVVCNYDGKELPKVLGMNTADRVLLDAPCSGSGVVSKDESVKTSKSLEEIQKCAELQKNEAIVDYALRKRDVKLVPCGLDFGRPGFIRFREKRFHPSLEKTRRFYPHVHNMDGFFVAKLKKMSNKIPSAKSSESLNEAVEEDDDDTKKVGNEKNDGECLHPQLNDGGKGKKGSQNHVVGNGKESKPTVERSKKRKFPSREEISRAREEKRQALRVKKHGSKKG >KGN43415 pep chromosome:ASM407v2:7:1813279:1813697:1 gene:Csa_7G032250 transcript:KGN43415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYLLFNTLLLSISAATCQSSDSSALLQFKNSFVADPDCSGSLPMVATWGMKVEQMTAARGTVSNAATRPEMLLELTLPAAVSMVSLTLKTASLDLFIFKHLLYHTTISISLKSLQVLGNFLI >KGN43437 pep chromosome:ASM407v2:7:1908675:1910485:1 gene:Csa_7G033940 transcript:KGN43437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKITSLDSKNSHVNILGCNNLTFQGVNIIAPEDSPNTDEIHIGRSIRISILKSRIAIGDDCISLGNGSKRVKVTDVTCGPGHGISIGGLGKYTNEEPVEGVVVKNCTIMNTTNGVRIKTWSASPVAGIAIIMHFSDITMINVSNPILIDQEYCPWNQCNRQIRLECLREAW >KGN43637 pep chromosome:ASM407v2:7:3108495:3114643:1 gene:Csa_7G049190 transcript:KGN43637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQLVRPGFKPRPSRISGRAPFGVKPYNSKCKMSCVSKFGWPIGPANVSNAQLWPIGVEVKVNNGEREWKREGLGFGVWGLMDFGFILLVVTVRDLVVLQTYRIRVFIWRTKKRNMISRSYTNLLDLASGNFPAMEVKKKRFPRVMTVPGNVAELDDDQAYSVTSENPSSISSDRMIIVANQLPLRAKRREDDNSWSFSWNEDSLLLQLKDGLPEDMEILYVGSLKVDIQPEEQDEVSQILLEKFRCIPAFLPPHILENFYDGFCKRHLWPLFHYMLPYSADQKGRFDRSMWEAYVSANKLFFQKVVEVINPDDDYIWIHDYHLMVLPTFLRRRFNRVKMGFFLHSPFPSSEIYRTLPVREEILKALLNSDIIGFHTFDYARHFLSCCSRMLGLEYQSKRGYLGLEYYGRTIGIKIMPVGIHMSRIESVMKLADEDAKTRELTQQFAGKTVLLGVDDLDIFKGINLKLLAMEQVLKQHPKWQGKAVLIQIVNPARGKGRDLQEIEDEIKESCRRINKEYGHPGYEPIVLLDRHVPITERVAYYSIAECVAVTAVRDGMNLTPYEYVVCRQGISTSQKCLNFSGPKKSMLVISEFIGCSPSLSGAFRVNPWNVEKTAEALNEAISMADSEKQLRHEKHYRYVATHDVAYWSRSFLQDMERTCSDHFRRRCWGIGFSFGFRVVALDPNFRKLSVDAIVSSYSRAESRAILLDYDGTVMPQNSINKSPSAQVISILNTLCADAKNVVFIVSGRGRDSLSKWFRPCKKLGIAAEHGYFLRQSQNEEWKICGQSSDFGWMHIAEPVMKLYTEATDGSSIERKESALVWQYRDADPGFGSSQAKEMLDHLESVLANEPVAVKSGQFIVEVKPQGVTKGLVAEKIFSSMAEDGKLADFVLCIGDDRSDEDMFEIIGNALSSNILSPTTSVFACTVGQKPSKAKYYLDDTAEVISMLEYLAEASSPLPSSDDEEGENAS >KGN44045 pep chromosome:ASM407v2:7:6065318:6065630:1 gene:Csa_7G133420 transcript:KGN44045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAVTVKKPERSYVFYTKTKKSKESNGKEEEEEESEKTTKPNPRKCCRRRARTFENGLLAMMRDGLVGGDEVCRSP >KGN45444 pep chromosome:ASM407v2:7:18222410:18223767:1 gene:Csa_7G448060 transcript:KGN45444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPKLSSPFKRKERKDNYSSSYLKGIGLGILVHRSPEPNLVVKQSRKLSPSLVSSSNNNPSFLKTCSLCNKNLDPQEDIYMYRGDQGYCSIKCRNQQIDIDDKRELEASTRKMVAAYRKCLKNEPRTETRLLLEDLRQHNRLPHSRIRPVVS >KGN43165 pep chromosome:ASM407v2:7:224890:225387:1 gene:Csa_7G004180 transcript:KGN43165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSVSPLAPLSLQEIIHVQQQPVVSPTDFNHGIIMDQGNTIGALSSTSTTTHPPTKQQQDQEEEKYWEADVITKRKMNELAKYLVETNIEFVMHVVKDSEVKERLAVEINNFLVLRFHGAWLKYQVLRFSTLSIVLLTAARWFSSVLTLKEQSHYFELYIFIYS >KGN45311 pep chromosome:ASM407v2:7:17416259:17419849:-1 gene:Csa_7G433400 transcript:KGN45311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGGFFVDKIRRCLRTLFFMVAMLASLLVSSLPVLVAIGDMLVPCILISSFTCVRCYGFKEHLRRYAFKSSLTDIPFVSMIRSLIIICVYSMCDGPALSNGPYLGTVTLCSFISILVLSIKVCVFTVNSQLEAEASSSPSRQRLHLKKSWGMPVLFLSSVAFALGHTVVAYRTSCRARRKLLLHRVDPEAALSCKSVFSGYQKVPRSPTPSGSKTPKSDSEIRWKVSGNARDESELPVRLLADIDSLFVICQGLTIHYKMSLPGSPPRSLSSAAFLEPGFSCSSPKKAMGRPVVDRHPFTVLSKNHHNFHRSYSNQFHSSSLYDPLLDGSATNSPVLCEEIPVISLDDVEEEELSKCSLDGNIENNGQLGIVLVHGFGGGVFSWRHVMGVLARQTGCRVAAYDRPGWGLTSRLRAEDWEEKELSNPYKLEIQVELLLAFCSEMGFSSVVLVGHDDGGLLALKAAQRLQESPINSFNVSIKGVVLLSVSLSREVVPGFARILLRTSLGKKHLVRPLLRTEITQVVNRRAWYDATKLTTEVLNLYKKALCVEGWDEALHEIARLSYETVLSPQNAEALLKSLEEMPVLVVGGVEDALVSLKSSQVMASKLPNSRLITISGCGHLPHEECPSALLAALSPFITKILLQKPHSHTPQ >KGN45587 pep chromosome:ASM407v2:7:19098325:19103946:-1 gene:Csa_7G452910 transcript:KGN45587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVLRSGVRRGRAKQRKDVLSPIETEGEAIATRTRRRRKAATAVELVPNTNEKQLQEGNAQQLPPVDDKVAAVLAIPEEHRVSKEDFRVRGGDQLGIGEVGGAAGGAKKEELGDKKMNDRDSGAGSNDKAHAVEEEGSTTPLPEKIQVGGSPLYRIDRKLGKGGFGQVYVGRRASTVNTNERTGPGAVEVALKFEHRSSKGCNYGPPYEWQVYNTLGGSHGVPRVHYKGRQGDYYIMVMDMLGPSLWDVWNNNAHTMSVEMVACIAIEAISILEKMHSRGYIHGDVKPENFLLGPSGTPDEKKLFLVDLGLATRWRDGSGQHVEYDQRPDVFRGTVRYASVHAHLGRIGSRRDDLESLAYTLVFLLRGRLPWQGYQGENKGFLVSKKKMATSPETLCCFCPQPFKQFVEYVVNLKFDEEPNYAKYISLFDGIIGPNPEIRPINTDGAQKLICQVGHKRGRLSLEEEEDEQPKKKVRMGMPATQWISVYNARRPMKQRYHYNVADARLAQHIEKGNEDGLFISSVASCQNLWALIMDAGTGFSTQVYELSPNFLHKEWIMEHWEKNYYITAVAGVVNGSSLVVMSKGTQYLQQSYKVSDSFPFKWINKKWREGFYVTAMATSGSRWGVVMSRGSGFSDQVVELDFLYPSEGIHRRWDCGYRITATAATSDQAAFVLSVPRRKPADETQETLRTSAFPSTHVKEKWAKNLYIASVCYGRTVS >KGN43756 pep chromosome:ASM407v2:7:3898954:3900018:1 gene:Csa_7G065150 transcript:KGN43756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENWVLVKILGQGSDGLVCLAKQTTRKKIYNNLHYYFAVKLSPLGHNSSLWEELVLKQFKNCPEIVQYLGSEITRSPGGRDLPGDKDFYTLKLEYAAGGTLDDLIKQKEKLPEDEVKEYLRMILKGLSCIHSKGFVHVDLKPKNILAFPRSNGKMKLKIADFGHAERCKYRNDADAHSDDEYRKSRRRSSLLKFKGTPRYMSPESIVFDEVNDAHDVWSLGCVLVRMISGKSAWDEVATSKQLMMKILDSKSMPRIPEELSIQGKDFIKKCFVWNFKQRWTADMLLQHPYLNEKHEATTMKDDDGMPKLAKSLLLSHQPLKISQTRSSSDHDRKSNLREKNYLSPILRRIKSRK >KGN45178 pep chromosome:ASM407v2:7:16729903:16731654:1 gene:Csa_7G430130 transcript:KGN45178 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific lipid-transfer protein MEGCRRFSFKWLWLIMLLLRSPSWVRFVGGDDKKDREECTPQLAGMATCLPYVSGDAKAPTPDCCSGLKEVLQNDKKCLCVIVRDRNDPDLGLQINVTLALSLPDICHATANVSNCPALLNMPSNSSDAQVFYQLGKGKSSSALAPAPMLSPSSSPTINSTVGGGSKSGAEGEGYKRGKKRVALEILVVVAPLLLLL >KGN45388 pep chromosome:ASM407v2:7:17840965:17842848:-1 gene:Csa_7G447030 transcript:KGN45388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDQWKRTALLVIDMQTDFFDEHSAFAVPGASTIIPSVSNAIEIARNRGLFIIWVVREHDGEGRDVERFRRHYYGNGKPNPSVKGSKGAELVEGLEIKEGEYKLVKTRFSAFFNTNLHSLLQGAGITDLVVCGVQTPNCIRQTVFDAVALDYHSITLLYDATAAATPKIHHDNTTDMENVGVVVKRVDQWGEV >KGN43365 pep chromosome:ASM407v2:7:1486731:1490006:-1 gene:Csa_7G027810 transcript:KGN43365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLNPHDLSPFFLTTNALSTSALLRSGGPSRFCSTGSGSSSVISRGILESDVSGSLLRYRTKSSSHVFSVRSFGARANGLTDDSNAFLAAWRMACDSAGVVTFLIPKGTYLVGPVIFSGPCKNVSSLTVKMEGYLKASTNLSKYGSGAGWIEFRWMEGLTLNGGGTFDGRGAEAWPFNNCRDDYNCKLLPTNLKFVALNNTVVQHITSLNSKFFHLALVQCKRFVGSELKISAPENSPNTDGIHIDRSSNIHFSLSHIQTGDDCISIGQGNSQVTISSVNCGPGHGISVGSLGRYRNEGDVSGLVVKNCSLTGTANGIRIKTWPNSPGSSSATNMTFENITMNNVSNPIIIDQSYCPFGFCSFKAPSLVKLSDIYFKNIRGTSSSKVAVALECSKGFPCQNVNLENVHLDLSSGENHPSSTCKYVRARYIGTQIPPPCA >KGN44843 pep chromosome:ASM407v2:7:14663518:14665802:-1 gene:Csa_7G390220 transcript:KGN44843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPNSSSLTRTFPVKPKLKSKPRTPKQTPESKYWSSFKRHEIPNLLSSVSSIAFSPTNPSIFCATHSASLTLFSAQTMAPTSTISSFRDVVSCASFRCDGLLIAASDLSGLVQVFDVKTRTPLRKLRSHSRPVQFVQYPVLDKLHLVSGGDDAVVKYWDVASQTPISDFLGHKDYVRCGACSPASMDMFITGSYDHTVKLWDARTNSKSVLEVNHGKPVEDVIFLPSGGLVATAGGNSVKIWDVIGGGKMVCSMESHNKTVTSLCVGKKLGQDSGEESDQFRILSVALDGYMKVFDYSKMKVTHSMRFPTPLMSVGFSPDCSTRVVGTSNGILYAGKRKTKESETTNLSNPWSLGTVGEPQRRVLRPSHFRYFHRGQGEKPSEGDYLVMKPKKVKLTEHDNLLKKFRHKDALVSVLASRNPENVVAVMEELVARKKLLKCVTNLDREELGSLLGFLQKYSTLPRYSNLLMGLTRKVVELRSEDVRAYGALKDHVRNLKRSVEEEIRIQQSLLEIQGIISPLLRIAGR >KGN44918 pep chromosome:ASM407v2:7:15195152:15195589:1 gene:Csa_7G396340 transcript:KGN44918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTVSFLLPIFLLVAILTVSSTAKTPSWVLDGAHARCHGSMAECMMEDIEFQMDSEINRRILADLNYISYDALKANSVPCSRKGASYYNCQPGAEANPYDRGCNAISRCRS >KGN43147 pep chromosome:ASM407v2:7:93427:96649:1 gene:Csa_7G004020 transcript:KGN43147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKSKILFVGGTGYIGKFIVEASAKAGNPTYLLVRESTLSDPSKSDLLNKFKSLGVYFATGDLYDHESLVKAIKEVDVVISTVGAGQLADQVKLIDAIKEAGNVKRFLPSEFGNDVDRSNAVEPAKSVFGIKVGIRRATEAAGIPYTYVSANFFDGYFLPSLSQPGATSPPRDKIVILGDGTAQSIFNKEDDIGAYTIKAVDDPRTLNKTLYIRPAGNIYSFNDLVALWEKKIGKSVEKIYVPEEQVLKNIEEAPLPVNVILAISHSAFVKGDHTNFEIEPSFGVEATKLYPEVKYTSVDEYLDQFV >KGN43982 pep chromosome:ASM407v2:7:5269185:5272359:1 gene:Csa_7G075620 transcript:KGN43982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQQSTAIILARATELRLKIRSSVNTTTTTTSAVTSRDDRFSVDENNGVVGSRRSEADASGEAEEDEEAVRLLNICDALESLENQLSSLQDLQQRQRYEKEVALSEIEHSRKILLDKLKKYKGGDLEVIHETSAFVGETVQHNQDLMLPPYPTHLGNGYLYPIPSGHKSVSNGLIDATANKATNELNESERKQPKSDSWKSKNGMGSFIRVAAKSVVTIVGIVSILHLTGFRPKFAKKVAALKVFDIFRRSASENNGLHNECPPGKFLVMEDGEARCVVKERIEVPFSSVVAKPDVNYGSG >KGN44111 pep chromosome:ASM407v2:7:6979653:6980552:1 gene:Csa_7G193710 transcript:KGN44111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYFLLEKAISHSQLHWPLPLDQLPTWLRLLSTPKYSSTIETTLEELEEMDCEVMHGVNVMTMDQHPLLPQNFFDRIIFNFPHAGFQYSKEHEPNQIKLHQNLVRRFMRNAKKLLAENGEIHITHKTSHPYSEWKIEEIGEEEGLYLKEEVEFDKCDYPGYVNKKGSGPNSNKTFPVGASSTFKFVKTLSKKEMNRRLALSTSLASEFTQLQV >KGN44729 pep chromosome:ASM407v2:7:13633501:13634366:1 gene:Csa_7G374720 transcript:KGN44729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLYNEMLLVGKRPNVITYDSLLKGIFLAGKVDDAKKLFSVMKAHGIAENSYTYGIFLDGLCKNDCLFEAMKLFTELKSSNFKLEIENLNCLIDGLCKAGKLETAWELFEKLSNEGHEPNVVTYTIMIHGFCREGQVDKANVLIQKMEANGCTPDIITYNTLMRGFYESNKLEEVVQLLHRMAQKDVSPDAITCSIVVDMLSKDEKYQECLHLLPRFPIQKGVDKHLLMTH >KGN43172 pep chromosome:ASM407v2:7:281769:295730:-1 gene:Csa_7G006220 transcript:KGN43172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEEDDSSYQDQKSSSLYVDDGKLDVKCDTNREELLSNEQQHCVSKSSIIETGFSPNTVVESLPPRDAILGDEILAVGTCSEMEKKDLVEERERVEENDFRNIIQDMGEDSVKLEIEPGIAKAGLLEQRAFDDVKKNTGVTEEEKALSEFAEGELLPGMVFVGVAENQVEGNVLMANFSEHTVVDGSAGCVETTETTCLSYVLAEERLAETTPFVQGVDVTVATNLVQKTEVEEHADDTNDSKDTEVPKQENFAVEKMELGVQVQLEEDSELKVSLVDGVVEGRTENLADRTGETLKMENASSTSNEVGLTHFAVEIKETVNIGNDEDKTMETDGMCVEEKATDVGMMENLADETPEIKGVDVADYSIEELKIEDMEDREAGVQGLGLADESPVVEKLENVADENAEPEGVQVTDYTAEEVKSENVEDDKTAQGEEVAMGEEIAEPDDMVYLVDEGIGSEETDVNMTYLVEETEAAEEVEEMDATEEVDEPNISSSGSKRKRGKNSKAPARVASRKKVEEDVCFICFDGGDLVLCDRRGCPKAYHPACINRDEAFFRAKGRWNCGWHLCSNCEKTAHYMCYTCTFSLCKGCIKNAVILCVRGNKGFCETCMRFVTSIEKNEQGNKEKGQIDFNDKNSWEYLFKEYWTDLKGSLSLTFDELVHAKNPWKGSETLTSRPDSPGELCDGNVDGGSDLDVSENEESGSSKKRKAKKRSRSQAKEMSSPSMPATASQGLSTDDNVEWGSKELLEFVMHMKNGDRTVLSQFDVQALLLEYIKRNKLRDPRRKSQIICDSRLESLFGKPRVGHFEMLKLLESHFLIKEDAQINDLHVSVAETESSQLEADGTDGSGKIKKEKKRRTRKKDERGLQSNLDDYAAIDIHNINLIYLKRNLVEYLIEDEESFHDKVVGSFVRIRISGSAQKQDLYRLVQVVGTSKASEPYKVGKRMTDILLEILNLNKTEVVSIDIISNQEFTEDECKRLRQSMKCGIINRLTVGDLQERAMSLQDARVKDWMETEIVRLSHLHSLLLRECVEKLQLLKTPEERQRRIEEIPEIHADPNMDPSHESEDEDEADDKRRETYTLSRSTSFGRRTREPVSPGKGGSHLNDSWSGTRNFSNTNRDMSRNLSGKGFANQGDDAIGSGEIINETSWGHGRERDVKKTSKWDKQVSPSSEITARNALSGAASESSAAHSVNPAASSSVGTTQNAATVNESEKIWHYQDPSGKVQGPFSMVQLRKWSNTGYFPTDLRIWRISDQQEDSLLLTDVLAGKISKDTPLTSNSLQVHPNSSPFVGRPQGGTLQSGVDGQNASSSNSHTNPTSYDQSSGGRWKSQNEVSPTGRPVSGSIKVPRYSGDRWSSDHGNKNFTNLPSPTPSSGGSKEQPFQVAASFMEAKSLSGTAGGGLHGSSVMQGSENDSLRSHLGRNSSEKGLGSGPINALQNHQSQPVRQSPIIDDASLNPAADIRSISANLQSLVQSINSRNPPIEAHGHGSGSILKRETDTSEAWQNAHSLKVESNVSSSMPPAQTLHSRWGEMSPAQNAAVTSFSAGSSTSSFSSAGMSSFPSSDPWRSTAPISSNPQHIQCSTPPNLPWGMGAPEGQSTVPRQGSESQNQTWGPMPSGNPNMGWGPTGPPPNATAMMWGATAQSSGPAATNPGWIAPGQGPAAGNNLQGWPAHSPMPPPVNATPGWVGPNVAPMPPMNMNPSWLVPSVNQNMWGNEHGKNGNRFSNQKDGGSHGGDPGNGDKSWGMQPSFGGGGGGGGNSRSPYNRVQKLCKYHESGHCKKGGTCDYRHK >KGN43862 pep chromosome:ASM407v2:7:4610080:4612599:1 gene:Csa_7G071510 transcript:KGN43862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSASELFYCRRYRFGRTDSDLGLDSEPPDRHLQYQRRHHGHNGNHRRDLNSCGDFRRSSLQERRQSPRFFNPLSVDRSPAQSDSATSVASRNNINGNTQNSLRSGLSGDSRLPGSVLLARERLLERLRGASSPTTRNALVEASNGNPETTTSRSQRISLVDADRMRLVAVPSKRPPGLSQDAINRLQLEVFINTDHIINDGVMTITSTDCSICLESFTDGDKLIHLPCEHKFHHPCLDRWIRTCGECPYCRQHIAIN >KGN44421 pep chromosome:ASM407v2:7:10051038:10053698:1 gene:Csa_7G290450 transcript:KGN44421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPEDSLCSSEVWTKSSSFPNGNVQQPPQKWPDTSILDYAMDQPFQEFQEQTESKASLTCNPNQQIEILDKDSNQMNAALLASKIQDWDPRAMLNNLSFLEQKIHQLQELVHLIVGRRGQVFGRPDELVVQQQQLITADLTSIIVQLISTAGSLLPSVKHNLSAAVPPVGQLEPFDKVIFASGPGTNGGVQSQHGDGTKLPELPTQVDGSSKCGKEQNMTVEEHESKDEEDADEHENLPPGSYEILQLEKEEILAPHTHFCAICGKGFKRDANLRMHMRGHGDEYKTAAALAKPNKELGSETMLIKRYSCPFTGCKRNKDHKKFQPLKTILCVKNHYKRTHCDKSFTCSKCNSKKFSVIADLKTHEKHCGKDKWLCSCGTTFSRKDKLFGHIALFQGHTPAIPLDESKGATVSCDRGERYETTNKLGSINFSFGSIASGGSSVETIADAKGGVDDPLSYFSPLNFDSCNFGGFHEFPRPPFENTDNAFSFLIPGSGNYTQKSGAESSSNNLE >KGN45107 pep chromosome:ASM407v2:7:16311270:16314772:-1 gene:Csa_7G426480 transcript:KGN45107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELCLHSPDATVFRCFSTSFTSKVISMASVSSTPYFISSSHSRSLKRTSTQSSDALQRDPKKGLSRILRRDAAIKAIERKANSKKYNNLWPKAVLEALDEAIQENLWETALKIFGLLRQQQWYEPRCQTYTKLLMLLGKCKQPEQASLLFEIMFSEGLKPSIDVYTALVSAYGQSGLLHKAISTVDEMKSISDCKPDVHTYSILIDCCTRLRRFDLLKKILADMSCLGITCNTVTYNTIINGFGKAKMFEQMESLLLEMIESDSCPPDLITFNTFIRAYGNSEQIEKMEKWYKEFQLMGIEPDIWTYNSMISSYGKAGMYDKMKSVLNFMEKRFFSPTIVTMNTIIDSFGRAGNIEEMEEYFKNMKFQGMKPNSVTYCSLVNAYGKSGDLEKVDSILRQIENSDVVPDTPLFNCLINVYGQAGNVRKMGELFLEMKENKCVPDGITFATMIRALKAQGMTEDAQRLENKLIATNDGE >KGN43540 pep chromosome:ASM407v2:7:2570701:2576593:-1 gene:Csa_7G044800 transcript:KGN43540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEFSSKEELVRLIKRLGSNLSVKLSTLFSILFQTRNFRSLSAIAGLALAVIFSWKLLRPPSGHRRRQPKRQTSSAGNSDISTSSNSQLITSAVFSPSDDAGAQKPTLEQIVRQKLGGGRKVTCRLLGIILEEKSPEELQAVNQATVRSSVVDVLLEITNYCDLYLMERVLDEESERKVIVALEDAGVFASGGLIKEKVLFCSTENGRTSFVRQLEPDWHIDSDPEIISQLARFIKCQLHVSEVKPERMASNVFTSASLEHFFQCT >KGN45183 pep chromosome:ASM407v2:7:16748904:16750964:-1 gene:Csa_7G430180 transcript:KGN45183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACRKYKTGYVWQDLVDDDLITPISDNEYVLQGSQIIFPSTLFDTKTSLFREELEVSEDFSSKVQKANAEQSPPCDSERSTVTDDGDSMKVEEETAKNLETNQKQGVEEEEVQDIEGVKTQYSSSSLYAKLANNKKEKDKDKDLMGKEGGPTATSTVSSSAQPAFTKSKSYSSGASHVFRQLITCGNGAEDTNDTVLVKNRSQPKNDAVICRDEVLGGSARVIGNSWDFGNLEIRRQTSRKSVDDLRKKRPKENGNGKVNATATYKPMAGPNCSLCGKSFRPEKMHSHMKSCRGMKCLTNNNNNNNTATSDKSTLSKSTTSDNDLVSTYMLTN >KGN45353 pep chromosome:ASM407v2:7:17683673:17685702:1 gene:Csa_7G446700 transcript:KGN45353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNGEERYPISKRYSVNHRENFGSLPRQKIPFRDPSYSRPVSNQYVDDDVEDDEEEDGMGEEEDENYQNNGFAHTSEEVDDGDDEDDFDMDEDIKQNNTVKLEDADLERHPKKRRLKNLISNYELAPRMPPPSSTPSQKSFVGGRNSLTDWNEHETVVLLDAWGERFLQHGRKSLRSEEWQEVAEKVSEVSKIDRTDTQCRNRLDTLKKKYKKEKSKSTEMGGPTSKWVYFKKMDMLMSSPPNQGGLSCGLDSGEYVFMNPRAYLNRANGLDEMRDSPDNSESDDCEDDELDGLPPKKRIYGRNNEDGSSFRMLADSIHKFSEIYEKIESSKRQQMMELEKMRMDFLRDLELQKRQIMERAQAEIAKLRQGDDEEYGASAKSASG >KGN44730 pep chromosome:ASM407v2:7:13636410:13637309:-1 gene:Csa_7G374730 transcript:KGN44730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFIVNVSHWMQLFQELNAVTNSKLFTSDLRDCLEVVGVSIMQLEFKCCSGLQSLKQERTARSTEAALISQRSIGPNSEHLQALHLTSNQELLKKTIAVEKERIDAESNNATEELHHIIQIVDLIIRIPFRGINGVSVPSYFHCPIVIGADS >KGN44878 pep chromosome:ASM407v2:7:14979699:14980109:-1 gene:Csa_7G393980 transcript:KGN44878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFPKEGIHLQRKIDSIQEAKSEALARPQSNSRTTMNDISSARLRLADDHESESYNNGHQKSHKIQSTTTIIIRATKLPHEIYRKERTFFPTKSQIEPLESISSN >KGN44015 pep chromosome:ASM407v2:7:5674219:5678106:-1 gene:Csa_7G103790 transcript:KGN44015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEGEGGFGEGVDQIDQFHRNEAISAVADDGFLGEDEDEYEDLYNDVNVGEGFLQSLRKSDDLGFKREEEPKMEPPAPVPPSSGASIPGIGGGATEVTGLGDAGGRTVSERVTEGYNQIPDLRTNEMAIRGGVGSGPPVGTGVGIRVELGQGSKAIELEERSIAYASPFSVKKMGEAQVSRNQQIAQATNPQARRAPNEAVGKIGGNSIATGGNYQGGDNNRGSGRGNWGRSNAHGMGGRGPAGQMRGRGGGMGGRGIMGNGGNGFGQGIGATPPLLHPQSMMGQGFDPSFGAPMGRMGTYGGFPGAPAPPFSGILSSFPPVGGVGLPGVAPHVNPAFFGRGMPMNGMGMMPTSGVDGPNMGMWSDPSMGGWGSEEQGGGRAGESSYGEEAGSDQHYGEGSHERGPWANSAKEKDRGSERDWSQSSDRRYRDDRDVGYDRERSKEKDPGPDHDWPDRRPREDRDIGRERDKERDRDRDRERSRDYERGHHERDRERERDRDRDRYKDDRDRYSDHHRYRDREPEHDEDWERGRSSRTHSHSKSRLSQEEESRSRSRDADYGKRRRLTSE >KGN45453 pep chromosome:ASM407v2:7:18280618:18288041:1 gene:Csa_7G448640 transcript:KGN45453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAETEQQSWIHDKPDPNSHCLQKFRLYETRSNFYLIGRDKNRTFWKVLKISRLEPSDLNILEDSTTYTDNECFDLLKRIHEGNKLTGGLKFVTTCYGIVGIIKFLGPHYMILITKRRKIGTICGHAIYSITKSEMIPIPNSTARSNLAISKDENRYKKLLRTVDLRKDFFFSYSYNVMRCLQKNICDNKTGHLLYDTMFVWNEFLTRGIRNILKNTIWTVALVYGFFKQVDLSISGRDFKLTLIARRSRHYAGTRFLKRGVNEKGRVANDVETEQIVFENASDGRPTQISSVVQNRGSIPLFWSQETSRLNIRPDIILSKKDQNYEATRLHFENLVFRYGNPIIILNLIKTREKKPRESVLRAEFANAIRFINKSLSAENRLRFLHWDLNKHAKSKASNVLMQLGRVATYALNLTGIFYCQVASNLSSEELLSWTYFKKNQGTDSSVENLSTEEENEENPEKDVSSRVYANGILENHPVAMFQNGVLRTNCIDCLDRTNVAQYAYGLVALGRQLHTLGFTDSPKIDLDNPLAEDLMRAYENMGDTLALQYGGSAAHNKIFSERRGQWKAATQSQEFFRTLQRYCSNAYLDAEKQRAINVFLGYYQPQQGKPALWELDSDQHYDLGKRFPDLIEENFRTSFKRSLSDGNILGQSESDMMNIHDGSSRPFPHLNEGSSKGFSESAPEVSTCESDISLCRYTPSVCTRQLRENMQDDQMLEDDHLCHDEHGDLCSCSNFLDMDWISSSGNSCEDELCERSVASLSSENVTSEMKIDFISSASESGSSSKVKERSGTGTGTDVSRDDIVTEYSEHFADWVSHGGMLFW >KGN44267 pep chromosome:ASM407v2:7:8512813:8516043:1 gene:Csa_7G237820 transcript:KGN44267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDIEQQHQNKTKHKGKHDKPKPWDEDPNIDRWKVEKFDPSWNEAGMLEVSSFSTLFPVYREKYLRDTWPVVKSALKEFGIVGELNLIEGSMTVSTTRKTRDPYIIVKARDLIKLLSRSVPAPQAIKILDDEMQCDIIKIGNLVRKKERFVKRRRLLVGPNSSTLKALEILTGCYILVQGNTVAAMGSFKGLKQVRRVVEECMMNKMHPVYNIKILMMRKELANDPALANENWDRFLPKFKKKTVKQKKVKSKPKKQYTPFPPPQQPSQIDIQLETGEYFLNEKKKSAKKWQDKQEKQAEKTADNKRKREAAFVPPKEAPKQDTKPDGDKNDIAEMAKSLKEKAKAFGKRKAAETINPEAYIASSSDRPLFKKRSKTSS >KGN44721 pep chromosome:ASM407v2:7:13586025:13594188:-1 gene:Csa_7G374640 transcript:KGN44721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFASSILSCPSSSPSSRTAIASCCSTRHFNFGFNPKWPPINPNHSITLSFSNGNRYRPVSFCALKDVKSSSSPSRNGNASEFDVVIIGAGIIGLTIARQFLIGSDLSVAVVDKEVPCSGATGAGQGYLWMAHKSPGSDIWELALRSQRLWEGLAESLRDQGLNPSEELGWKKTGSLLIGRTPDELDMLKRKVNQFSGAGLEAEYLSSVDLLSMEPALLIGDSCGAAFLPNDCQLDAYSTAAFIQKANRHFKGRYAEFFHDPVTGLLRSGSNGKIEAVQTSKTTLYSKKAIVLAAGCWSGTLLRDLLREGKTVLDVPIMPRKGHLLVIENFNSLHVNHGLMEVGYVNHQALTLAKDFEQTSSVSMTATMDVQGNLILGSSREFAGFNTEINEFIVARIWERASEFFPTLKEVSLSDIKHSSKVRIGLRPYMLDGKPVIGPVPGLSNVFLASGHEGGGLSMAMGTAEMIGNMVLGSPGKVDPAPFLVQGRC >KGN44637 pep chromosome:ASM407v2:7:12663521:12668121:-1 gene:Csa_7G352500 transcript:KGN44637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDVVDFLPPPVEPIAGDGKPREKHMTRSGSSSDSDDMYSGGSPKEILKSGGKAIAPTGEADIIYIPHVVDKTLILNRNNSNKNINNNLTPPSTVSLLDIGGGNTVTEVVYRKPFEDGQELDLQTLYKLPNSHNFFCPNCKSCITKVIILRDPPSSPSRSPQPQWGSKDREYDQLPSSTNIPPEITTRARSPSPSPSPSPGPRPGVVQQTGALDDNEIISREEGGGRIICSNCFSFLTPIGAWISSQLGFGPKKPTIPADIVGGITEGTSRAGPSIISRDGQPFIDIEGGESIAFTIPPASGEETLGQRGGRSVEIMKSIVYGGLTEAITSLGIVTSAASASTPTENIVALALANLITGLIVITNNLSGLKSNQLKKESNQSDDRAQVDPYEEALGDRHHYLLHFTTAVLSFLIFGLLPPLVYGFSFRDTDDGDLKLAAVAASSLLCIALLAIAKAYVQKANNWKEYAKTLVYYVTLGFGASGLSYLAGKEVNILLEQLGWFKKDQSVPTLLLPNMDLAKPTWGSI >KGN45100 pep chromosome:ASM407v2:7:16260625:16263062:-1 gene:Csa_7G425920 transcript:KGN45100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWLSFKDNDLGTILQQENQIQPILKISFNHLPSNLKHCFTYCALFPKDYEFQKDGLVKQWMAQGFIQSHSNKEIEDVGDDYFKELLGRSFFHNVKVNKWGDVKECKMHDLIHDLACWIVENECVDASDKTKSIDKRTRHVSFPSNYSRKSWELEAKSLTEVKNLRTLHGPPFLLSENHLRLRSLNLGYSKFQKIPKFISQLRHLRYLDISDHDMKFLPKFITKLYNLETLILRHCSDLRELPTDINNLINLKHLDVHGCYRLTHMPKGLGGLTSLQTMNLFVLGKDKGCDLSELNELARLRGSLLIKGLELCTTTDLKNAKYMEEKFGIQKLKLRWNRDLYDAETDYASENDDERVLDCLKPHSNVHKMQIRGYRGVKLCNWLSFDYLGGLVNIELQSCEKLQHLPQFDQFPFLKHLLLENLPSIEYIDNNNSLSSSTFFPSLEKLTIMTMPNLKGWWKGETPPESARYSALFPTILHHLSRLDISNCPQLASIPQHPPLRSLALNDVSVQLFDMVIKMATTPAADSSSALSKLSILHIQNIDLEFLPEELFGSTTDLEIFTVVNCKNLQMSSSHLVDEDNDGVLGKKLGNLHSLGIFDMPQLEYLWKELKYMTTLERLDLYNCPNIVSLEGISHLTSLSSLRICNCSNLTSLPEGISHLTSLSYLTIVCCPNLTSLPAGIGHLTSLSTLLIKYCVNLTSLPEGVSHLTSLSSFTIEECPCLTSLPEGVSHLTSLSTLIIRRCVNLTSLPEGIGHLTSLSIFTIEECLNLTSLPEGLLHLSSLRGSLTVSKCPKLSKTWKKLNK >KGN43903 pep chromosome:ASM407v2:7:4833144:4839435:-1 gene:Csa_7G073390 transcript:KGN43903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSSTDSPISSTVNSSETKPPEVHPQAPVTTGTNNVARDAPSKLSPSGITSWAKNLKISQPQSASQDGATENAGKSAFARFTSGLGLNLSPKSPAPSDSPDGNSKPAQPSFVGSITRGLVDSSKSAVKAVQVKARHVVSQNKRRYQEGGFDLDMTYITENIIAMGFPAGDMSSGFFGYVEGFYRNHMEEVIKFFETHHKGKYKVYNLCSERLYDASLFEGKVACFPFDDHNCPPVQLIISFCQSAYSWLKDDIENVVVVHCKAGMARTGLMISSLLLFLKFFPTAEESIEYYNQRRCFDGKGLILPSQIRYVKYFERILTYFNGENPPSRRCVLRGFRLHRCPYWIRPSITVSDHNGVLFSTKVHPRTKSLSPEDFWFTAPKKGIMVFALPGEPGLAELCGDFKVHFHDRQGDFYCWLNTTMTENRKMLYTNDLDGFDKRKLPSPGFQVEVVLVDTSPNMDNTTKKSDESSGSKPPTADGSEVSENQNRKSGTNDKDDVFSDSESEGGSSKSRKEATSGSGVEGSAVNPTTKSQTSMTSSDNVASLSHATKQVSLGDGGAKQTATASERKGDGGGRSDSLPEVPNSESEFKAMAADASVFTFGDDEDYESE >KGN43501 pep chromosome:ASM407v2:7:2295442:2299100:1 gene:Csa_7G041950 transcript:KGN43501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFELRRAREKLEKEQKERKEMARRKVERERKAKEEARKLREAIEAAQVSRRLDAAEARIKADQLMEETLLAGRGISFYRILEAIPYQGNGDKIKLSPSSFTELSDQGAFDKGPVYFQLSVVHQEGPSNSEVTKEKTHRATHSGVLEFTADEGFVELPPHVWQNLFLDESLTKPLVEVRYVWLPKGTYAKLQPEGLGFLDLPNHKAILETSLRQHATLSQGDILTVVYGELTYKLNVLELKPSSSISVLETDIEVDIVGPDSPSGAMDQRVLKLLTFKKHESGMVEEGCYSYYKFSIDNDIWDIISSGNARVEVKLEVETNEGDTNIYISKHPLIFPSQHQHSWSSHDIGSKVLILNSKDKNFGPGTYSIGVYGFKGTSKYQIQVSFEEATNHKVVGKQEVSSSTSTHQDTVECRNCKHYIPSRTIALHEAYCSRHNIVCQHADCGVVLRVEEARNHVHCEKCGQALQKSEMEKHDKVFHVPLKCACGLVLEKEEMVRHQASVCPLRLIACQFCGDMVQAGNSAMDIRDRLRGLSEHESICGSRTAPCDSCGRAVMLKEMDIHQIAVHQKS >KGN44233 pep chromosome:ASM407v2:7:8220071:8221271:-1 gene:Csa_7G232570 transcript:KGN44233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFVSPFFLLLAFTLVAIILPHSVNGQMNMSCSASMVSTFSPCLNFVTNSSANGTSPTSDCCNAIRSLASGGRDCLCLIVTGGVPFQIPINRTLAISLPRACNLPGVPLQCNAATAPASAPASIPLGPTLPPQPSPSASPQAPEPTTPALTPSSPVVPEAEAPSETGGSRPVLTPSSDVPSNSFPNTFLLMGLAFVILKV >KGN45274 pep chromosome:ASM407v2:7:17279252:17281886:-1 gene:Csa_7G432560 transcript:KGN45274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILSTSLHRSSQSVAASSIALPLCSTFHLRSEICFSLHRRRLSPLFFSSNSYAESVVCSAAVRPPPDSDPPPEEDPVRLTGLPAIFSKFRDRVQIFLAVLFWMSLFFWTSALDGRNRPNKGSRFRR >KGN44822 pep chromosome:ASM407v2:7:14550433:14553447:1 gene:Csa_7G390030 transcript:KGN44822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEGSSEMEYTEIESSTDCFDSSILFNIINDVSAFVLYMHQQVPSTLQDMSIEFDTLHEEYKELGSELEQNELKASSRRKHTGRMREVRQGIKRMEKLMNSVSGFQVAIKSLISEAPNIEEVLLILGATPLRPQYVYEMCFSHKRFALRGADNFAKHKAAEVLSRKAIRTLISKDAGSVSYPGPTKLFLLVKAPSSFNLPLHFIPKREFRYSRKIVPFKLRFKCKAQIQQMKHPDHDRESQVGNSDDLTNSSVEDPIWFQCRHAIKGLAFNRPDED >KGN44488 pep chromosome:ASM407v2:7:10858706:10858999:-1 gene:Csa_7G312430 transcript:KGN44488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPLDLSYGIQTLFLFCVETIGSSKKRGSRAPRKGLQEIEVEILIGLPQEHGYHPSRRLENK >KGN45160 pep chromosome:ASM407v2:7:16603546:16603752:1 gene:Csa_7G428965 transcript:KGN45160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSASVSTLFGCFLPSKSSKVSHEEQQHSNSKLKQQKPKSDSSTSTQSPKAPIVLSYFPVSSNLSRL >KGN45284 pep chromosome:ASM407v2:7:17331146:17332647:1 gene:Csa_7G433150 transcript:KGN45284 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lactoylglutathione lyase MKESEGNPLHLKSINHISLLCKSVEESIYFYQNILGFFPIRRPGSFKFDGAWLFGYGIGIHLLQSEKPENLPKKGKINPKDNHISFQCESMGAVEKKLKEMEIEYVRAVVEEGGIQVDQLFFHDPDGFMIEICNCDNLPVIPLGGEVSRSCSQLNLQIMQQQQQIHQLV >KGN43296 pep chromosome:ASM407v2:7:1099848:1101242:1 gene:Csa_7G018780 transcript:KGN43296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVFLNQSLSRLKLPPKTPLPFPSPIPHLNLRRNFTHFTAYAVQSDQPITQQTQKPEDDESYGEVDGIIGSRALPDATGMEYLIKWKDGHSPSWVPSDFIAKDVVAEYETPWWTAAKKADESALKSLIDSGDERDFDAVDEDGRTALLFVSGLGSVECVRILADAGVNLNHRDNSGGFTALHMAAGYVKPETVELLVELGADPEIDDEKGRTALELAKEILKATPRVQFMRRLGLEKVIRAVEKVVYEYAEVEELLEKRGKGENLEYLVKWKDGEDNEWVKVGLIAEDLVGDYEAGLEYAVAEAVVGKRVGDDGKMEYLVKWTDIQDATWEPLDNVDPDLINEFEKAQAQSSNW >KGN45190 pep chromosome:ASM407v2:7:16803486:16805288:-1 gene:Csa_7G430250 transcript:KGN45190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFESNETNEFIFRSKLPDIHIPNHLPLHDYVFQNLSKFASRPCLINGATGDFYTYHDVQLTARRVAAGLHNLGIKKGDVVMNLLPNSPEFVFTFLGASYRGAIMTAANPFYTAVEIAKQAKAANAKLIVTMACFYDRVKDLAENGVQVVCVDFAVEGCLHFSVLSGADESLAPLVDFSSNDVVALPYSSGTTGLPKGVMLTHKGLITSVAQQMDGENPNLYYHADDVILSVLPFFHIYSLNSILLCGLRVGAAILIMQKFDIVSLLQLIEKHRISIMPIVPPIFLAIAKSPEFEKYDVSSVRVLKSGGAPLGKELEDAVREKFPMAVLGQGYGMTEAGPVLSMSLAFAKEPFQVKAGACGTVVRNAEMKIVDTETGASLPANSSGEICIRGDQIMKGYLNDLESTKRTVDKEGWLHTGDIGFVDDDDELFIVDRLKELIKFKAFQVAPAELEALLITHPKLSDAAVIGMPDVEAGEVPVAFVVKANGGAITEEEVKQFITKQVVFYKRLKRVFFVNAIPKAPSGKILRKELRAKLASGAYN >KGN44180 pep chromosome:ASM407v2:7:7677414:7695495:1 gene:Csa_7G213180 transcript:KGN44180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNALSSSPSPSPSIKFSPYKTHSLLLPQPRSTLQSISLSGKVKLKVSCSASEHPQHAEPRKRQPSKKAKNVSDGEKGVDPVGFLTKLGISHKQFAQYLRERYKSLKDLKDEIFNRHANLTDLSSGFMFLGMHRHMEHRVDFMEWAPGARYCAVVGDFNGWSPRENAAREGHFGHDDYGYWFIILEDKLKEGEKPDELYFQQYNYVDDYDKGDSGISIDEIFKRANDEYWEPGEDRFIKNRFEVPAKLYEQLFGPNGPQTLEELEEIPDAETRYKAWKEQHKDDPSSNVPSYDVIDNGKEYDIFNVVIDPVTREKFKVKKPPIPYWLETRKGRKAWLKKYSPTIPHGSKYRVYFNTPNGPLERIPAWATYVQPDAHGKQGFAIHWEPPPEHAYKWKNTKPNVPKALKIYECHVGISGSEPRVSSFNYFIEKVLPHVKEAGYNAIQLFGVVEHKDYFTVGYRVTNFFAVSSRYGTPEDFKRLVDEAHGLGLLVFLDIVHSYASADEMVGLSSFDGSNDCYFHTGKRGHHKYWGTRMFKYGDQDVLHFLLSNLNWWVVEYRIDGFRFHSLSSMIYTHNGFASFTGDMEE >KGN43793 pep chromosome:ASM407v2:7:4159154:4161969:1 gene:Csa_7G067480 transcript:KGN43793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAARVLANATTTSAASSFFSKTSFLPNHTLPFTSNFISLSRTLPSSRKSFSCRALYLPEVKIKEEGQPETLDYRVFFTDQSGKKVSPWHDVPLHLGDGVFNFIVEIPKETSAKMEVATDEPFTPIKQDTKKGKLRYYPYNINWNYGLLPQTWEDPSFANSEVDGAFGDNDPVDVVEIGESDRKIGQILKVKPLAALAMIDEGELDWKIVAISLDDPKASLVNDIDDVEKHFPGTLTAIRDWFRDYKIPDGKPANKFGLGNKPANKDYALKVIEETNKSWANLVKRSIPSGELSLV >KGN45488 pep chromosome:ASM407v2:7:18543520:18544654:-1 gene:Csa_7G450470 transcript:KGN45488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAQCSLCRFCPKLSISLIRSPNYSFLLKLPSSSSSFVQTNHSTLRSVWFKENIARRKNVQMVVSASLKGEVSKTVIQKKKLAVFVSGGGSNFRSIHEASLEGSVHGEIVVVVTNKSGCGGAEYARSKNIPVVLFPKTKDEPNGLSASELVATLKHFEIDFILLAGYLKLIPLELIQAYSKSIVNIHPSLLPAFGGKGYYGMKVHKAVVNSGARFSGATIHLVDEHFDTGRILAQRVVPVLANDSAEDLAARVLKEEHGLYAEVVAALCDERIIWRSDGVPLIQSKEHPNEFY >KGN44514 pep chromosome:ASM407v2:7:11191776:11193661:-1 gene:Csa_7G322600 transcript:KGN44514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRGSSMASLAIALLATFLSLTLPSDANQYLYSSPPPPPYKKPYHPPYHYKSPPPPPPVYKYKSPPPPPPYKKPYHPPHHHKSPPPPVYKYKSPPPPPPYKKPYHPPTPIYKYKSPPPPVYKYKSPPPPYKKPYHPPTPIYKYKSPPPPVYKYKSPPPPPPYKKPYHPPTPIYKYKSPPPPIYKYKSPPPPPPYKKPYHPPTPIYKYKSPPPPIYKYKSPPPPPPYKKPYHPPTPIYKYKSPPPPVYKYKSPPPPPPHKKPYHPPYHYKSPPPPPPVYKYKSPPPPPPYKKPYHPPYHYKSPPPPPPVYKYKSPPPPPPYKKPYHPPFHYKSPPPPPPVYKYKSPPPPPPYKKPYHPPTPIYKYNKYKSPPPPPPVYKYKSPPPPPPYKKPYHPPYHYKSPPPPPPIRHYHPPPTPVKPYHPPPVYHYKSPPPPPPVYIYASPPPPHY >KGN45426 pep chromosome:ASM407v2:7:18092240:18094854:1 gene:Csa_7G447880 transcript:KGN45426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATSNRPLQISPWTCCSSFPRQINTFNTQHRRLSFTNFKGLGIGWYSCGVCVRSPGCVVAAAAGGREREQVSSVWDEEPYELLPNGRIQYIDEQDVASFLDPPKELIPFDPDSYNPAAYLWKKIEEIPEERRHRLLHLLTPRCISRAWGIAGTRYEDPKLVKKTASSLLQNEDGMVLEYYNCLKSGGQIPIGWINRFKKAIFSSKDGKIYGRIINMPLLAGFANSVSPLYFEMKQLKEVMSTEHPCDLAYEFGDGLFDIHEYPEGFPAPAKHLYPFNDQVVVYVRYLGPGVLVGQAWQEGKALEQVPQKLCGEILMIKDYSQQPLQKQ >KGN43297 pep chromosome:ASM407v2:7:1102737:1105708:1 gene:Csa_7G018790 transcript:KGN43297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAKSYSEVTSVDQSSPARSPRRPLYYVQSPSNHDVEKMSYGSSPMGSPPHHFYHASPIHHSRESSTSRFSASLKINQNRNGNVSAWRKLHHAQDSDGDDEEDDEEEENEDRDSKWNRKFRLYLILFLFFILLFTVFSLILWGASKSFHPQILIQSMVFSKFNVQAGSDPGGVATDLMSLNSTVRISYKNPATFFGVHVSSTPIQLHYLQLQVASGQMEEFYQKRQSSRRVVTSVAGHQVPLYGGISAIGNWRDQRQDGAGVEVSLNLTVAVRSRAYILGRLVKSTFHTTITCPITLSTNKLGKSHSFNNTCIYN >KGN45342 pep chromosome:ASM407v2:7:17599801:17599983:-1 gene:Csa_7G441620 transcript:KGN45342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSNMGMKCALTAWLFLSLLSMANSYTSFQDCYGTCVVTCAVTPGIPVSECLKRCFKTC >KGN43778 pep chromosome:ASM407v2:7:4090127:4092458:1 gene:Csa_7G066840 transcript:KGN43778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNSSNPTLSSGNSSSSIPFLPIFFFFNCFEFDNMCSESSIFRFKFCFLLFYFLFTSFHCCSSTNFSFSSFPNSSNIIFVNSATRGTVFDHPSLRLTDSQIGGSVFNSTGRAYFSEPIQLWDPATNVSSDFTTYFEFQISFPNGISNVSGGGIAFFIASEDSASPPLNSSGGWLGLFNQSNDGNPSNQIVAVEFDIFKDPWDPSGNHVGVDVNSIVSIASRTWSNTMVSGDILGARITYNGTLGRLDVTLKDPQVPNESITLNLTDVPIDVKRILPARVIVGFSSSTGQSIPIQAIRSWNFTSSLDLIDVAGIVEEKSKLWIVGLNVVDEEFIRGTGPKRFAYKELVKATNNFSQEGKLGQGGFGGVYKGFVTELNMEIAAKKISSTSKQGKKEYISEVNIISRLRHRNLVQLVGYSHERGHFVLVYEYMPNGSLDSHLFGKKSRLSWPLRYKIAHGIASALLYLHEEWEQCVVHRDIKSSNVMLDSNFNAKVGDFGLARLVDHGLRSPTTVVAGTMGYLAPESLLTSKASKESDVFSFGVVALEIACGRKAVEHNKEEEEKISLVNWVWGLYGQGRLLEAVDKALNGEFNQEEMVRLMTVGLWCAHPNHNLRASIRQAIQVLNFEAPLPKLPTQMPVPMFYAPTAPNENPFSYIYSTNTNSQVSLQSDTSQPVSSKSSL >KGN43489 pep chromosome:ASM407v2:7:2193014:2200374:-1 gene:Csa_7G041340 transcript:KGN43489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGPLLRRLWSSSHRSISSSSFSSFHLQSHIISPSSVFPNLLARAFSAATATAAAAVAPSSDLDPSRLRNVAVIAHVDHGKTTLMDRLLRQCGADIPHERAMDSISLERERGITIASKVTSVSWKENELNMVDTPGHADFGGEVERVVGMVEGAILVVDAGEGPLAQTKFVLAKALKYGLRPILLLNKVDRPSVSEERCSEVESLVFDLFANLGATEEQLDFPVLYASAKEGWASNTYTKDPPGELRNMSQLLDAIIRHVPPPAAKLDEPFQMLVSMMERDFYLGRILTGRIASGVVRTGDRVHGLRVKDSGVEKIEEGKVVKLMKKKGTTVVQIDSAGAGDIVSMAGLANPSIGHTVANVEVLAALPTFELDPPTISMTFGVNDSPLAGRDGTHLTGGKIGDRLMAEAETNLAINVLPGLSESYEVQGRGELQLGILIENMRREGFELSISPPKVMYKTENSTKLEPIEEVTIEVNEEHVGLVMEALSHRRGEVTEMGPVPGNIGRTRLCLTCPSRGLVGYRSVFSSDTRGTGFMHRAFLKYEKHRGPLGNVRKGVLISMGYGAVTAHALMSLEARGTLFVNPGMEAYDGMIIGEHSRDSDLDVNPVRTKELTNIRSACKDENVKLSPPRLVTPKAIRLRKKYLEVNKRKTMSKKPKE >KGN44487 pep chromosome:ASM407v2:7:10848493:10851525:-1 gene:Csa_7G312420 transcript:KGN44487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHLLPNPLRVPSHLRSEPPLTAVPTRSKSGVVWRYGGGIKVRSAVVVRCSSDYSSPITAAARTEEELVGVSEEIDENEYLATEFGWKVRKLIEEEDDLKAVARIQAEAFHEPVLLFNHFFFQFFQAEVLSALIYRLKNYPQDRYACLVAEPESEIGEEEYNFVGVVDVTVAGDLKIKRLLPPGVKEYLFVTGIAVAQNARRRKVATALLKGCDMLGKVWGFKFLALSAYEDDYGARNLYSKAGYQVYYVDPLWKSTWIGRKRCVTMIKKL >KGN45555 pep chromosome:ASM407v2:7:18926456:18929786:-1 gene:Csa_7G452100 transcript:KGN45555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEYTASEVYKEIIHIPSVNRVQICLINTGTGIPFISALEFRPLPEDTYPIQFGSLSTFDRLNMGSGSNEKYRYPYDVFDRIWYPFHDDDYFIQLNTSLTVNVDGHNKYHPAAIVMETAIAPKNTSSSINLWWKSDDENIQYYIYFHFAELIKLPRKQFRGFNISHNGKYWDGPIIPDYLYPSSYYKTKPLEFPQKQHNLSFFRTDNSTLPPIINALEVYFRIEISELESDQEDVDTMRKLKSTYGVIKDWQGDPCIPKAYPWNGVGCTNESIPRIISLNLSSSGLTGDISPDLSNLAALETLDLSNNGLTGKLPDSLSKLSNLKVLNLENNNLSCPIPPVLLRRFNDNSLSLSVKGNPNLEAHPLSDCTEEQKGEKQKKKNKFVIPVVASVGGLLAISTIAGIFFCIARRKRKEKGKEVLEVDRPQTNPSIGSSSLKTRRRQFTYSEVVTMTNNFDQILGRGSFGAVYHGLIDDIQVAVKMLAPSAIQGHDQFKEEEFHHRNLTNLVGYLNEGTHLGLIYEYMANGTLAQRLSEISSNVISWEDRLRIAMDAAQGLEHLHVGCKPPIVHGDVKLANILLTENFQAKLSDFGLSKSYPTNDKTGYLDPEYKTSNRLSPKSDVYSFGIALLEIVSCRPVISKSQGQNSVHIVKWIGSMVAQGDIRNIGDPRLKGEYNIRSVRKAVEVAMACVAVNSERRPTINQVLAELKSCLATELTRTPDSQPPNSTESIEMTSIYMVLPPQSGPMAR >KGN43806 pep chromosome:ASM407v2:7:4212929:4213735:-1 gene:Csa_7G068590 transcript:KGN43806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQIFDMCMNRPFVGMSLKIKDSITSLHRTRKRIRTRRNSSKYVEHIDLNFKVQLRCTHVLQEYEDGIMTTTSFLHEHTSSSHPLATYQLPISIFKNGNSTLEQYLCDRLHAHRELIDITLVADRIIQHWVKKVEEDDCDHDEEENNEDFNVFQKIHSLEITLEMKINRRYVVESPVMIMVPTSDDAIESMLRRVENDEIRRLGCDEEGIECAICLEEISSDKEEEGSEKSVLQMPCLHMFHEECIDKWLRTSHYCPTCRFSMPTNN >KGN44108 pep chromosome:ASM407v2:7:6956839:6959463:-1 gene:Csa_7G192200 transcript:KGN44108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSESAYRVETTSRLAQWRIENLASCTYRKSDPFKIGKWKWHLSVEKNKLLYVKLYPEISNITRDNPPIVSFIIRVVSFAGDRKALTHPEIIDKQLKNNDFIWQIEVPLTGKFIIDVEFLDLKIASSEGGEPSSIWVDGLTRQQSNSRALISLGRMLKESIHTDIIINASDGSIRAHRAILASRSPVFHSMFSHDLKEKELSTLNISDMSFEACQAFLNYMYGNIQHEEFLAHRLALLHAAEKYDIDDLKEACHDSLLEDIDAKNVLERLQNASLYQLPKLKTSCMQYLVKFGKILDIRDEFNIFLQNADRDLIAEIFHEILNAWKGF >KGN45197 pep chromosome:ASM407v2:7:16856291:16860090:1 gene:Csa_7G430810 transcript:KGN45197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENDNLKLRNNLSDFISDDENEELGCLDTIRSDVNFNRLHEEYSTAAGFHHSQFSDPPVSSRPKFAGSFSDTISYTDIDWSFDSSRLGLSSQNWSRNMFTNPENKTNTSFHVRNPELSSICRFPVRSQEAEGLDLIGCDTETNNHNLLSSKCEDQIDLNIAAICSGFQTHCLQEHDAESFNVGYHTNPTTALNRTDKISGYKDNSGNIPNLTTFPQNSVGVRCSNFINFSLHPSSVFQPSIIVGGDNPFPRPSNEDDLSADVVQCNEDILKSLRNAAPSTTESINSQIGISSRSWFTDLESCLHRKDQSQQPIEETDVRNFSSEFPTYAPSLGISTTISVPDQSVEHDQSAGPSQPPQNFGNSLTTGNQDAREFGTAEDSGIAKSFQAHLAQVSQPIIDESIPLVSSKFEDQGDRSFERSRSSRCYIKCRDLDQTPKLSGQRCYLCKRDLSFKPEGSVAIPKILPTVAVLPCSHVFHGLCLERITPQNQAEEPPCIPCAVGET >KGN43709 pep chromosome:ASM407v2:7:3593733:3594065:-1 gene:Csa_7G062750 transcript:KGN43709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLPIPYLFPIFLLYKQTHFLSIFFTALQENNSQNTKIPHTQMKSGSDSKNERGVDNSTKVSSSTEGSRKTMVAPGSDGKEHILRDEFENDPKRHFANLRAHEKNEKSKT >KGN45584 pep chromosome:ASM407v2:7:19081193:19084092:-1 gene:Csa_7G452880 transcript:KGN45584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRRCSHCSNNGHNSRTCPSRAGGGGGSGAGVKLFGVRLTDGSFIKKSASMGNLSVHYHSSSSAAASPNPDSPNSDPVHDSDGFLSDDPAHASCSANRRAERKKGVPWTEEEHRLFLVGLQKLGKGDWRGISRNFVITRTPTQVASHAQKYFIRQSNATRRKRRSSLFDMVPEMATDPLPVPEDEILHASQTKETENSNSQPSLNLSLNSEFHMMETTVEENGKELHVPKMEVAGFPPVIPGFIPAYMPVPFPIWAPSSFPMEEENVVETCHHEVLKPIPVVPTEPVNVDELVGMSQLTLREYERERREPSPLSLKLIGERSRQSAFHPNAPVSRSELIKDDTDTI >KGN44942 pep chromosome:ASM407v2:7:15322675:15326072:-1 gene:Csa_7G397550 transcript:KGN44942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDELNGVVPCSSLAVESSIRVGTAGALWGLCLGPYNSRKNGLTGVAHAAFVARSVGKYGFQCGLVAGTFTLTHCGIQRYRKRNDWLNGLIAGAVAGAAIATKTRSWSQVVGMAALVSAFSAAAEYSRSL >KGN44029 pep chromosome:ASM407v2:7:5859421:5859864:1 gene:Csa_7G113330 transcript:KGN44029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEKTILPDTATANTLSQKYNTVQAPKFKNTISSQAVDPTKAFNKVTPSFWYAYGEEEEPAANSPKSTIVYSNSFSFPPSPQIHPTTSSENKK >KGN44042 pep chromosome:ASM407v2:7:6036499:6036832:1 gene:Csa_7G132900 transcript:KGN44042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSQPSKTLGIARAPNFLSFCPSSQSNKHHDGPKISLLFSILLDIPHDCLRSLHKRHCVFHLDRASIKMLLP >KGN44767 pep chromosome:ASM407v2:7:13998571:14009940:1 gene:Csa_7G378560 transcript:KGN44767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRNSFFPAFFCFAFGRLLTRGCLEMRISSRVFVFFIVCAIPWRILAIENFQQAFPIVEPDPGHTKLRLSREGLEAIEKITNPIAAVAVIGPYRSGKSFLLNQLLSLSCYEGFGVGHLRDTKTKGIWVWGTPLELMIDGVNTSVFYLDTEGFESVGKSNVYDDRIFALATVLSSVLIYNLPETIREADISRLSFAVELAEEFYGRVKGQDVAFEPAKLLWLIQRDFLQGKTVQEMVNEALRHVPNNEGDKNIDLVNQIRDSLAIMGDNSTAFSLPQPHLQRTKLCDMKDGELEPTYVAKREELKELVTSIIRPKIVQGKSLNGKEFVSFLEQILEALNKGEIPSTGSLVEVFNKGILERCLKIYSDRMAKVPLPITEQSLDNAHETHRAEAMRAFDEQHFGRHHARKSVTQLDQEIDKVHSNVILANRYQSSKLCEALYMKCEDEMDHLQALRLPSMAKFNAGFLQCNQSFEEECVGPSKENYEQRMSKMLGKSRSLFIKEYNHRLYNWLVAFSLGMVIVGRFVLKFFLVEIGAWLLFIFLEIYTRMFWSAETLYYSPVWHFVVATWETIVYSPILDLDRWAIPIGVLAAVLVVYWRCCGRRKYGSHWLLPLYNNPKNGSQRPRSD >KGN45065 pep chromosome:ASM407v2:7:16047447:16049896:1 gene:Csa_7G419610 transcript:KGN45065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METFLFTSESVNEGHPDKLCDQISDAVLDACLAQDPDSKVACETCSKTNMVMVFGEITTKANVDYEKIVRDTCRNIGFISDDVGLDADNCKVLVNIEQQSPDIAQGVHGHFTKRPEEIGAGDQGHMFGYATDETPELMPLSHVLATKLGARLTEVRKNGTCPWLRPDGKTQVTVEYYNDNGAMVPVRVHTVLISTQHDETVTNDEIATDLKEHVIKPIIPEKYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIVRQAAKSIVASGLARRCIVQVSYAIGVPEPLSVFVDTYKTGKIPDKEILEIVKENFDFRPGMITINLDLKRGGNGRFLKTAAYGHFGRDDPDFTWETIKPLEWEKPQS >KGN43560 pep chromosome:ASM407v2:7:2675044:2676135:1 gene:Csa_7G045490 transcript:KGN43560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKCSFEWWHAPIVFSISVVLAFFAISTALHSSTSHSPTPPNKSMADDLSLNASRALRRAGFNTIATLLQVSPEHFFSPQNSTIFAIKDSAISNTSLPPWLLKNLVQYHTSPVKLSMADLLKKPRGVCLPTLLMPKKIAITKMDSTARLVEINHVLVTDPDIFLGGNVSIHGVLGPFSPLDPLDLRQGWSFIQSPYCDTNATMISDPFETNNGVVGVGVEVEWRRIIRWLSANGFISYAIGLQTVLEGLLQDFEGLRSITVFAPPNLSSVASPSPVLNRAVRLHIVPQMVTYKSLASLPTRTSLKTLVSGQDIEILGGVRVPRGTVKVNGVEIVSPEIFRSENCVIHGISRSLEIAGLPHSSR >KGN43693 pep chromosome:ASM407v2:7:3496208:3496816:-1 gene:Csa_7G059155 transcript:KGN43693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLPNHDSWFHCPSHGKGGKACSIITGSASIIVGFCLVILVVFWCKRAYPAKGSENLNGSCNFRQVLMLKTEFSCFAKHEAEPLQENMDNYNFVLLDRQVDFDLEQLLKSSAYLLGKNGNGIVYKVVLEKGLKLAVRRLEDGAYERFKEFQTEVEAIGKVRHPNIVALLAYCWSDEEKLLIHEYIPQGDLATAIHGKYSKPF >KGN45209 pep chromosome:ASM407v2:7:16958389:16961850:1 gene:Csa_7G431410 transcript:KGN45209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWAASCLASCCAACACDACRTVVSSISRRSARIAYCGLFALSLIVSWILREVAAPLLEKIPWINTFHETPSRDWFQTDAVLRVSLGNFLFFTILSVMMVGVKSQRDPRDNIHHGGWMVKVICWFLLVVLMFFVPNEIITFYESISKFGSGLFLLVQVVLLLDFVHRWNDTWVGYDEQFWYIALFVVSLFCYVATFVSSGLLFHWFTPAGHDCGLNTFFIVMTLIFAFIFTVVALHPAVGGSVLPASVISLYCMYLCYSGLASEPRDYECNGLHKHSKAVSTGTLSLGLLTTVLSVVYSAVRAGSSTTLLSPPSSPRAGKPLLPLEKADEHEEKEKSKPVTYSYSFFHIIFSLASMYSAMLLTGWTTSVGGSGRLVDVGWASVWVRVVTGWATAALFIWSLIAPVLFPDRDF >KGN44951 pep chromosome:ASM407v2:7:15388543:15388839:1 gene:Csa_7G398130 transcript:KGN44951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSTTMIVGATMMDHLDQVVLEFLQARKIDTTSVIETTNPPELASSIAPVKFEVPINAGDEGLVFGFGKIKNIGSEVIYKDHVVNLKNVGRIDVSLE >KGN45411 pep chromosome:ASM407v2:7:17982145:17982574:1 gene:Csa_7G447730 transcript:KGN45411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFNLEHQTLAASPSQRRWKPTGTLATVFNSRQYLRRVCGRSIYSCLSDHPLVHPNRRLGSPFVVVFGDIVRWLCSRLKSVRGCSAGLWQRSVFCEGMEMKMGREWRE >KGN45461 pep chromosome:ASM407v2:7:18337124:18338872:1 gene:Csa_7G448720 transcript:KGN45461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEDDEIQSYPSPGSGSPASPISNGRITVTVAAVAPPPPPPSSQNMITLALPNQQSKGGGGGSGGGGREDCWSEGATSVLIDAWGERYLELSRGNLKQKHWKEVADIVSSREDYTKIPRTDIQCKNRIDTVKKKYKTEKAKITAGGGPSKWPFYDRLDQLIGPTSKNVASTAGIATAVNPPLHQNQKVPVGIPVMNRPIIPFQAHHNHGHQPQSKGTKAQKIQYHKRPRTTDSDSSGSDRETSPTSSDSYLQVKFRRKNVRVQKEAVNPNLGKTEKGKNGSREKGWGNAVSELAQAILKFGEAYEQAESSKLQQVVEMEKQRMKFAKDLELQRMQFFMKTQLEISQLKHGRRVVAAGNHHCSNNNNSNSDSSN >KGN44850 pep chromosome:ASM407v2:7:14732960:14740212:-1 gene:Csa_7G391270 transcript:KGN44850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKGKGRNLGLLPNSLKIISSCLKTVSTNASTVASTVRSAGASVAASISAASSEDEKDQVTWAGFDILEVEPYIIRHILLLGYLNGFQLLDVEDASNFKELVSKRGGPVSFLQILPSPAKPGTPPAKSDRHELLRRSHPLLLIVAGEESKDVAMGQNHSPMGVLPGSCANSHNAVQFYSLKSHSYVHVLRFRSAVCMVRCSSQIVAVGLATQIYCFDAITLEVVFSVLTSPVPEISGQGTTGTNVGYGPMAVGPRWLAYPSVGPVPSTTVPLSSQSPFPSQSVNPPSLPGSDRTKAHYPVKSGWKAGRLAGMEADYPGMVAVKDFVTRAIITQFRAHTSPLSALCFDPSGTLLVTASIYGNNINIFRIIPNSRSGSSGLSFDCNSSHVHLYKLHRGITSAMIQDICFSNYSQWVAIVSSKGTCHVFLLSPFGGEAGLRILNSQGEEPCVLPVLTLPWWSTSSLIINQQSFPPPPPVTLSVVSRIKYSSFGWLNTVNNSAGAGKGFVPSGAVAAIFHNTLSHNIQHVNSKPNSLEHLLVYTPSGHVVQHELLPSFGAEPSLHSSRTESSSVLHMQEDDLKLKVEPIQWWDACRRSDYSERGECIHDSTSDGQDIAKTNAIQNERTDTEKTHELDFQEMNDGSSADEILRVRGQSGITHEQSHWFLSNAEVQLSSGRLPIWQNSKIYVMSSPRINSIAGGEFEIEKVPVQEIEVREKELLPCFDHCLSLKSGCNDRGLLLGRCISPTSSEIYQSEVKVTEEIVVICHSKPASLSSTESSDGGSSRRMESSIDFDQASCEKSCTPLCQHLNEMYWEKRASESFTTPKSSNILCTQVEGSRIDGSPCGLHFSNSNFGFPSIEQVSSKTPPFNEVTFQHICQEEPCKVLESNDGCQDINDISTDHVDGFEYENICSDENDKIFGDMFTSSEEG >KGN44856 pep chromosome:ASM407v2:7:14783593:14787696:1 gene:Csa_7G392290 transcript:KGN44856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTLIPTSPCNFCYIPSFFPHRTAFSLELSAIKFSHRLRANSNDLQTQAVEEPKEEENGIAAEPQQQTKASSAPALDKDLKKVVQKTAATFAPRASTASKNPAVPGTTLYTVFEVQGYASMLLGGVLSFNLLFPSNEPDIWRLMGMWSIWMFTIPSLRARDCSKNEKEALNYLFLLVPLLNVIIPFFLKSFAVVWSADTVAFFGMYAWKLGWFQRTDVDLE >KGN44931 pep chromosome:ASM407v2:7:15276994:15278696:1 gene:Csa_7G396460 transcript:KGN44931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSRRKYKKSRPKVKVGLPKRNPNVFKPSFCMPPKLRSLLAEQFEEDPTWDEKGSVIQNYKSFGVISNPNLLSVRSRTDHIVESDSLQVPPSDDPDTVLDSSGSDVEEDDLKTALGKKRKDGKSAPLQPLTTLQRVHVGKLIEKYGDDYQRMFMDTKLNSMQHSVATLEKLCKRYNSCLDSNPLILTRKRR >KGN43646 pep chromosome:ASM407v2:7:3174503:3174652:-1 gene:Csa_7G049280 transcript:KGN43646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKVKKEGVVEGGKYDEYKKRKGTIIGGGSGSGYKREWNTDEGQCLYAG >KGN44908 pep chromosome:ASM407v2:7:15139869:15144856:-1 gene:Csa_7G395260 transcript:KGN44908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVDRTTDNDRFPDFQESSYESSPNLPERKLRRNDSLDVESRTVPGAAGVHGHKAVGAAAATASWGVILHLAFQSVGIVYGDIGTSPLYVYASTFIDGIKHKDDILGVLSLILYTLTLIPLVKYVFLVLQANDNGEGGTFALYSLLCRYAKVGLIPSEQVEDQEVSNFQLELPNNRVKMASCLKSKLENSRAAKIFLLFATMLGTSMVIGDGVLTPSISVLSAVGGIKNATSTMTQDKIVWISAAILVCLFMVQRFGTHKVGYSFAPIICIWFALIGGIGFYNFLKFDPSVIKAVNPKYIFDYFKRNKKDAWISLGGVVLAITGTEALFADVGHFTVMSIRLSMCGVAYPALVSAYVGQAAFLRKHTDLVSDTFFSSIPGPLYWPMFVVAVLASIVASQAMISGTFSIIQQSLSYGCFPRVKVVHTSSKYEGQVYIPEVNYLLMLACLGVTLGFKDTTRIGNAYGIAVVFVMALTSSFLVLIMIMIWKSHILIIISYVLTIGLLELLYLSSVLYKFDQGGYLPLAFAGFLMTIMYIWNDVHRRKYYYELEHKISPQKLKNIASLTTLNRVPGLALFYSELVQGIPPIFKHYLANIPTLQRVLVFVSFKSLPISKVPMEERFLFRRVEPDDLNVFRCVVRYGYRDIIHEQESFERVLVERLKMFIEEELWKLQNEDDNRAEERRRRIGEEIEVVDRAWKDGIVHLIGQNEVVASKGSGLAKRVLINYAYNALRRNLRQSEEVFYIPRKRMLKVGMTYEL >KGN44962 pep chromosome:ASM407v2:7:15424330:15424560:-1 gene:Csa_7G398730 transcript:KGN44962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCAKVVAIFDDTTGTQNLLNGIVPTVNEQFLRPFLRSAEAPRCLGISTVNDIKTNVNLNENGGSDTHVKNTNHTKI >KGN45312 pep chromosome:ASM407v2:7:17426677:17429377:1 gene:Csa_7G433900 transcript:KGN45312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIHQSPSHSSGKISPGPQFPDNNSDQSYWFDDSTILDIDYFVKTLAGIKAKGVRSDLIGSVITHYASKWLPDLSDEALNNHHQRNNNNNGGGVNLMNNSFQESPESVTNSWMKKRFFVETLVGVLPPERDLIPCNFLLRLLRTANMVGVEAPYKAELEKRITWQLDQASLKEVMIPSFSHTCGTVLDVELVIRLVKRFVSLEDGGGVRSGAALVKVAKLVDCYLAEAAMDSNLGLTEFVELAGALPNHARASDDGLYRAIDTYLKAHPNVSKQERKSICKLMDSRKLSTEASLHAAQNERLPVRAVIQVLFSEQTKLNYRHLDWSGSIGGGGGRSPSAALDHLPTRCQSKREITAQQMEIKRLKDTVVRLQNQCNLMQAQMERLSEKKRSGSFFKWRKFGFKNYVGDLEKVDDGGGGGGGGGGGEMEEEGFGRQTPVDIKTKLVKRRTPTKTWRKSVS >KGN45408 pep chromosome:ASM407v2:7:17962020:17965643:1 gene:Csa_7G447700 transcript:KGN45408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQNSKSPIQYPALLSKSMAFESQSHKKDYSPEVSGAGVFDDVYAIPMLPKQQLEVDSNAKPHFNFQLPDPRLAVKDFFRTREVAEFVSGAMAGAMTKAVLAPLETIRTRMVVGVGSKDIAGSFIEVIEQQGWQGLWTGNAINMVRIIPTQAIELGTFECVKRAMTSSQERWSKTEKPSIHFGPVNLQFSLSWISPVAVAGAAAGVVSTLACHPLEVLKDRLTVSPEVYPNLSVAVSKIYRDGGLGAFYAGISPTLVGMLPYSTSYYFMYETMKKTYCLKKKKNSLNRIEMLLVGALSGFTASTISFPLEVARKRLMVGALQGKCPPNMAAAISEVIREEGLKGLYRGWGASCLKVMPSSGITWMFYEAWKDMLLVNRNHL >KGN43473 pep chromosome:ASM407v2:7:2098930:2099235:-1 gene:Csa_7G039210 transcript:KGN43473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQQSNESLSSSGKFLSIDNIVRVEYNDMPVDDHIRSRQADYPPVSQSNEMDENIEHDLSDGDGERGVHTMDEEGNEYDLSVDGEKGNVEQNGEHNEENNL >KGN43933 pep chromosome:ASM407v2:7:4982621:4987720:1 gene:Csa_7G073690 transcript:KGN43933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDSIWTHTSPPHLLHFFIALHFSFAFFAARFLLDRFIFRRFAIWLLSKGSAPLKLDEATQSKVVKCSESMWKLAYYGTVEICILKIAYNEPWFRDSNQYFKGWPNQELQLPLKLLYMCQCGFYLYSIAALLIWETRRKDFSVMMSHHVITVILIGYSYMTRFFQIGSVILALHDASDVFMEAAKVFKYSEKELGASVFFGFFAISWLVLRLIFFPFWVIKATSYDLCAYLKLSDVNSRLIYYVFNTMLLMLLVFHIYWWLLICSMISRQLKNRGKVGEDIRSDSEDED >KGN45070 pep chromosome:ASM407v2:7:16075015:16075466:1 gene:Csa_7G420150 transcript:KGN45070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRNALSQCVLQTEDEGRRRKLIGSRRRLAKFNEVKSKKARRLRSTKQSPASSLRSERERPVITLTEALRTCFCGISYLINVYCFTRSAKLSSICCQCGFRSFSF >KGN45582 pep chromosome:ASM407v2:7:19065953:19067864:-1 gene:Csa_7G452370 transcript:KGN45582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTQLRRQLANIRQSLFDQGFLDEQFVQLEELQDDANPNFVEEIVTLYYRDSSRLILSIEQALQKSPLDFNKLDALMHQFKGSSSSIGAKKVKAECTQLREYCKAGSGEGCMRTFQQLKKEYTSLRKKLEAYFQLARQAGPMESACRPK >KGN44984 pep chromosome:ASM407v2:7:15535505:15539633:1 gene:Csa_7G405900 transcript:KGN44984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRNRTAQFRRHRDAVKSVRAPLSSSAAGSSGPVIEMVSSSLLRSKRSSSYAPLSTEDPGPSSSDAFMVGLPPAWVDDSEEITVNIQKIRRKMAELVKAHSKALMPSFADGEEDEHTIEALTLEITNLLKTSEKRLKKISSTGSSEDINIRKNVQRSLATELQNLSMDLRRRQSMYLKRLQQQKEGHDGIDLEINLNGNRALQEDDGYDEFGTNENQTMTLDGKHIQGREKEIKQVVKSVNELAQIMKDLSTLVIDQGTIVDRIDHNIQNVAVSVEEGLKQLQKAEKTQKNGGMVKCATVLVIMCFVMLVLLILKEIIM >KGN45496 pep chromosome:ASM407v2:7:18568546:18576057:1 gene:Csa_7G450550 transcript:KGN45496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQMSKKRKFVADGVFFAELNEVLTRELAEDGYSGVEVRVTPMRTEIIIRATRTQNVLGEKGRRIRELTSVVQKRFKFPENSVELYAEKVNNRGLCAIAQAESLRYKLLGGLAVRRACYGVLRFVMESGAKGCEVIVSGKLRAQRAKSMKFKDGYMISSGQPVKEYIDSAVRHVLLRQGVLGIKVKIMLDWDPKGKQGPPTPLPDLVTIHSPKEEEDFIRPVAVIPTTEIERLINAWKIYSGFTCWAVAHVEEPNFEFTHMLGVLSLSVDFPRFHLPSQLGLHLVLCLCILVACGGFSMETEVGIVENERKIVESGATQDGSTLSPNQIADPVVYKLVRVDGDGRFVPATDDEVMEVEDLLEDDKNEKVEDAGQIVGCIPKEGTLFGKPHVEVLNDTPGLLQSDTFEAAADYNARLEYIEEVLQKVKQEERLRLTCGSSNYASAYVNGDRKGSDEHGRLPVIDEKLQSNISLQEITHSISPSLKENHVNENGSLGDCLKHPDKSVESESSDALCTTSNPDFSLLKGDVCLDNLSIRELRECFKATFGRDTTVKDKSWLRRRIVMGLTNSCDIPVSSFIIKEGKFVEEISPNVEGLSTAPTAETLNIECRVSPSTYSLENKDLHHSEDMELDHGSEGQHDERAAVKRVRKPTRRYIEELSEVESREYVQKVVSMNKNTISDSVSANSIARPIKKVYSDGGRTVITRLDSLGGSGFQVPCVSRVRRSRPRKDVVGLVFALPEKDQSPSVTVTDEAEKNLEQKQTTSDNVSDDNTAVVSTTKGGMRRKHHRAWTLVEVIKLVEGVSKCGAGKWSEIKKLSFSSYSYRTSVDLKDKWRNLLKASLVQTPVDEGVRSI >KGN44740 pep chromosome:ASM407v2:7:13718382:13719077:-1 gene:Csa_7G375820 transcript:KGN44740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSILSDFFGNYQENFLWNNDHNQSFISGEETSFDFNYLNDMLFDDMVFAEETMSSSDSSSCSSPIELQVKEEVVEVEVEEGSVCDEVVVEEDQSHKEERVYRGVRRRPWGKYAAEIRDSTRQGVRVWLGTFDSAEAAALAYDQAAFCMRGGLATLNFPIEVVRESLREMKYRWEVGSSPVLALKRRHSIQRKRMAEVEKMEKKMMKKKKKSVVVFEDLGVEYLEELLSLTS >KGN45349 pep chromosome:ASM407v2:7:17647040:17647339:1 gene:Csa_7G446660 transcript:KGN45349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVIAAWRREMWRDVSELNHGTQPILRRTTNKTHVIVKIEIHDSTSALLIAHAGTSCNSVPILDL >KGN43662 pep chromosome:ASM407v2:7:3270343:3272852:1 gene:Csa_7G051420 transcript:KGN43662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQSERSQHQQQSQQPAGGVGAGQLQYSNPYQTAPMVASGTPAITIPPTQPPSSFSNSPHQLAYQQAQHFHHQQQQQQQQQLQMFWANQMQEIEQTTDFKNHSLPLARIKKIMKADEDVRMISAEAPVIFAKACEMFILELTLRSWIHTEENKRRTLQKNDIAAAISRTDVFDFLVDIIPRDELKEEGLGITKASLPVVGSPADLPYYYVPSQHPVGATGMIMGKQLDQANMYGATAQQPRPSMPFMPWPHTQPQQQQQTQQQSDG >KGN43809 pep chromosome:ASM407v2:7:4223534:4230967:-1 gene:Csa_7G068620 transcript:KGN43809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKRVMVPVEKLDLSAVKYEKEDIQAPHLAGFLFKLFVGILEMPVVGSFVVSILKKQNKIEELLLNTVIPEAPMFKPEFPPQEEEPVVSALEEDGKPEDRVDIALKCLPHYDPIAHVEAGPSSPFRYWKIRDYAHAYRSRRVTPSMVAEQIISVIQEFNHKKPAAPLLISFDPEEVMKQAAASTQRFEEGSPLSILDGIFIAIKDDIDCYPHPTKGASTWMHEVRSVQKDADSVARLRRCGVILVGKANMHELGMGTTGNNPNYGTTRNPHAPDRYTGGSSSGPAAIVASGICSAALGTDGGGSIRIPSSLCGVVGLKTTYGRTSIRGSLCDCGTVEIIGPIASSVEDIMLVYAAILGSTPEDKISLKPAPPCLPILSSDDNLSILGSLRLGKYSPWFNDVHSTDISEKSEDILELLTKTHGCEIVEVVVPELLEMRTAHLVSIGSEFLASMNPDIEDGNGKRLTYDSRTSSALFRSFTASDYVAAQCLRRRIMHHHMEIFKKVDVIVTPTTGMTAPLIPPSAHKYGETDMPVTGYLMRFILAPNLIGLPAISVPIGYDKQGLPIGLQLIGRPWGEATILRLASAIEELCGNPKKPMSFYDVLTS >KGN45337 pep chromosome:ASM407v2:7:17569265:17574251:-1 gene:Csa_7G440580 transcript:KGN45337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSKANEGGGSAKRSLPSWMSGKDDGSTSRGKKPNSSASVGNDLMVEAEEPKQEKGNGEGPVSSSLHHDFSRLLEGVVFVLSGFVNPERSILRSQALEMGAQYKPDWNSDCTLLICAFPNTPKFRQVESDCGTIVSKEWISECYAQKRLVDIESYLLYAGKPWRRCDLSREATQDIPSPSKKPQRVVEKTSHLKPHEPEIAQSRRSNFSRDCFSPSKLKKWAIDDYHKTLSWLESQEEKPDPSEIKKIAAEGILTCLQDAIDSLHQNQDINQMTEEWKFVPQVVEELAKLSNKKESISKEELCRQATDSKSIYEVELNSLLESSPERKKKRIVKKESKNGHETKEYDSDDTIEMTEEEIDVAFHKVVCKNS >KGN43398 pep chromosome:ASM407v2:7:1696575:1705091:-1 gene:Csa_7G031590 transcript:KGN43398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGGSRASSIPNSVRKTIENIKEITGNHSDDEIFAMLKECSMDPNETAQKLLLQDTFHEVKSKRERRKENANNRESVESRWKTGMQGRGGRGGRINSSPRYISHDTGGGRNPGPGRENGVNQSIEKSGSLSMPTSQETKNKEKIPVTSSPSVGNGATNVATGNVSEATSSSADISGKGSALPPINANKNPNRALGTRLSSERPIPNSDNSVVPITVACSSTALSSSSLDPSSDAQLPGPVDAIKCDGASLSHPNESSTANLVENKLILETLEISNSLAQENQRVKSPKVEESLLNEISPPSVSLQGSSSASLPSNHNKRPQQVIGSHKASSNKEWKPKTTSSVAIQQSRTVSGAAAASEVPGVTIDVTEHLEPVSRVLDSEEATMKLQKKLEELHVSKSQLVILPNHIQVPESERSKLSFGSFGIGFGVSAIVPSGQESDQKHTPVSEASVDGDENVEDEASSYPNALRSTEEVDSPDHPQSPVCVPEDLSTSGGELPSSTIQEFNDLKQETVLPSGGHTNSVPQTSSSYSFGFISPVVGSQITAVENSDSQGRDASRLPSFVVQQPFDPSSYYAQFYRSGESDGRLSPFLSPGVAAKYNGNVALLSPSSSQSPQEGVVLTTAGPTALLTQAAGLMQSSIAVTQQPVPVFRPPTGVHISHYPPNYLPYGHYFSPFYVPPPPIHQFVGNNPFPQQPQGGNIYPAPPAATAAVKYSIPQYKMGANSGNSSHIGVPSGYGPYGSSASGYSPSSAAPAANTTANEDLGASQFKENSVYITGPQSEGSAVWIGAPGRDMSNLPTNSFYNLPPQGQHVTFTPTQTGHGTFASIYHPAQAVTPGTVHPLLQQSQAVPGGGVDTVGPGGSIYQQPQHSQMNWPSNY >KGN45290 pep chromosome:ASM407v2:7:17352130:17353490:1 gene:Csa_7G433200 transcript:KGN45290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSKRWATTISTTASSLYFLIILFQIPLFRVRCRGALCTTPLELTCSQLLASEVFRASIVKGVLYPGAIAKAIFNNKPIPPFKSLPKLYKFIHTPSPLSSDLHRLEMESFDDDVELKQVIAGSYLAVGGGMMGMVRAGGGRMSLFGCLITIWGIVWRKSSYLNPNKEKEISQIYPTMFLVLLLAFLSVRKDVRRIVRTLKPQYNPFSSSNKPKRK >KGN45597 pep chromosome:ASM407v2:7:19164930:19165176:1 gene:Csa_7G453250 transcript:KGN45597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIMLRSRCCVLHGKDEAELARLGECPLDPGGYFIIKGTEKVKLLIVFVL >KGN44147 pep chromosome:ASM407v2:7:7344104:7346654:-1 gene:Csa_7G206980 transcript:KGN44147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFYVSDADKIQNHKSLIAQTKYLGRGRYTKGEIKRLGKGKILAQTFTFRELCVATNNFNYQNLLGEGGFGRVYKAFIRTTKQITAVKRLDPNGFQGDREFLVEVLMLSLLHHPNLVNLVGYCADANQRILVYEFMPNGSLEDHLFGSTPSNKPPLDWNTRMKIVEGVARGLEYLHDTVKPAPVIYRDFKASNILLDEEFNAKLSDFGLAKIGPIGDKSHVSTRVMGTYGYCAPEYALTGKLSTKSDVYSFGVVFLEIITGRRVIDTTKPSGQKNLISWAQPLFKDRRKFTLMADPKLEGNYPVKALYQALAVVAMCLQDEPNTRPLISDVVTALQYLAVNKDVDEDVDDESDSESVSGSEFSSYSCSTSPDRNYIEGKNVDVEGDGR >KGN45514 pep chromosome:ASM407v2:7:18686212:18688996:1 gene:Csa_7G450710 transcript:KGN45514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLAPEGTQFDSRQYDAKMNEILSAEGQEFFTSYDEVYESFDSMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYGLVQCQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILQAGVHVVVGTPGRVFDMLRRQSLRPDCIKMFVLDEADEMLSRGFKDQIYDIFQLLPSKIQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFYVNVDKEDWKLETLCDLYETLAITQSVIFVNTRRKVDWLTDKMRSRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTNDDERMLFDIQKFYNVVIEELPANVADLL >KGN44301 pep chromosome:ASM407v2:7:8838723:8841477:1 gene:Csa_7G239630 transcript:KGN44301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEDGFAAEKLFNQGFSYTYDDVIFLPHYIDFPTDSVQLATRLTRNISLSIPCVSSPMDTVTEAYMASAMASLGGIGIIHSNSPASQQAAMVHAAKARRVPILSNLVFKSPSDRIDSDDDFASSPFILVTESGTSKSKLLGYVSYADWTSQGNKEVKIYDYMVNSGASVPWNYDLGQLDAFLEENKKDFVPLLKDGEVVDVATKSEVERIKSYPKLGVGSVAADGSWLVGASIGTREHDKERLKLLVQAGINVVVLDSSQGNSSYQIDMIKYVKRTYPELDVIGGNVVTMAQAQNLIQAGVDGLRIGMGSGSICTTQEVCAVGRGQATAVYKVSLVASHSGVPVIADGGISNSGHIVKALVLGASTVMMGSFLAGSTEAPGAFETRNGQRVKKYRGMGSLEAMIKGSDARYLGDTATLKIAQGVVGAVADKGSVLKFIPYTMQAVKQGFQDLGASSVKSAHDLLSSKVLRLEVRSGAAQVEGGIHGLVSYEKRSF >KGN44308 pep chromosome:ASM407v2:7:9008194:9017417:1 gene:Csa_7G252650 transcript:KGN44308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQLHSYNSAYGAVSASATATAVPSLPTTYSTSRSLSDIVSGRYLLPDSLSSGISIKHSVTDRGTSMYSTQKEGPMLSSADIVPRTSHLVSQFSWPGSHVAAALDSVVSGIKRSSDVLYDQTVLGSYNTLGQSEAWYTSNSLAKRPRFESTSNLPVYPQRPGEKDCAHYMLTRTCKFGDSCKFDHPIWVPEGGIPDWKEVPQIANSEDLPERLGDPDCPYFLKTQRCKFGSRCKFNHPKDRSDSVGAEKSDASSLPERPSEPLCAFYVKTGNCKFGINCKFHHPKDIQILSGEEYGNSEQTLMVKTEERAGDFKLVKPPISLSPAIMHNSKGLPIRPGEVDCPFYLKTGSCKYGTTCRYNHPDRNAINPSTPAMVHPAMVSTANMNTGFVNPSNAIYQAVDPRLIQPLLGSGSSIYPQRPGQIECDFYMKTGDCKFGERCKFHHPIDRSAPKQGALHNVKLTLAGLPRREVYISDFVKFTL >KGN43641 pep chromosome:ASM407v2:7:3133825:3134650:1 gene:Csa_7G049230 transcript:KGN43641 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-responsive element-binding protein MVANQKSLPSLSNNNAKDLHFRGVRKRPWGRYAAEIRDPTKKSRVWLGTFDTAEEAARAYDTAARQFRGAKAKTNFPLPDDFIDVSPHNTNQSPSPTSTLDSSSSSTHEKTPSPEIARSYGVGRTFPFIQPQFLHGGGTVRPLLFTDVYGRAEFVAHGYPIRFDPATVDLTSRFTGGIQTDTNSSSLVDFRPAKEILNLDLNLAPPVDA >KGN45534 pep chromosome:ASM407v2:7:18808889:18809756:1 gene:Csa_7G451400 transcript:KGN45534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSYGTIPTSTAPGTSSDLDFVSRGKQRLKAGLATRVPWRLMFDFHSFTLPLNFHETFSRIKTNIVYFRMNYVIIVLLILFSSLIWHPISLIVLTAMLAVWLFLYFLRDEPLILLGRLINYRLVMAVLSVFTLVFLFLTKATLNILLSLLIGAVLVLIHAALRKTDDLFLDEGATTVYTFGSDAPGTSVSFYSS >KGN44510 pep chromosome:ASM407v2:7:11147606:11149318:-1 gene:Csa_7G322070 transcript:KGN44510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVRPSDPSPLSYGSRKLYSLKGTNSAPDLSTQRFGSEKHRTMYMNDTYCSESYEKYFLDFPIEELAISGISTNSCHPNAWADSLSPLCNSFTPFDACQSNSDSACLESTSPEELDFEDDQVRQKLQELERDLLGDPDAADYDVEMLTNGQSMEIDSEWANSIQDALLHDSPKESSSTDSNFSTISSNKDASQLSSQNPRQMLSECAFAISEENYGEASAMIEQLRCVVSIQGDPSQRIAAYMVEGLAARLLESGKCLYKALRCKEPPSSDRLAAMQILFEVCPCFKFGFMAANCAIIEAAKDEKKIHIIDFDVSQGTQYIKLIQMLAAQPGKPPHLRLTGVDDPESVQRPVGGLRHIGQRLEQLAKALRVPFEFRAIASNASEVTPSMLASKPGEALIVNFAFLLHHMPDESVSTVNQRDRLLRMVKSLNPKLVTVVEQDMNTNTTPFFSRFVEAYNYYAAVYDSLDATLPRDSQDRINVESQCLAKDIVNIVACEGEERVERYEVAGKWRARMTMAGFTSCSMSQNVTDPIRKLIEEYCNRFKMYEEMGTLHFGWEEKSLIVTSAWR >KGN43313 pep chromosome:ASM407v2:7:1193422:1194442:-1 gene:Csa_7G021910 transcript:KGN43313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGFPSTKSTKYHTRSNSLPSRPHPLFSQCDEHLTRLRDYESTPASSASSMSQQLSGLEDLHECVEKLLLVPSIQEAFVRHCGEKWVDELLDGSLRLLDMCSSAKDALIHTKECVRELRSTIRRRSEMTNEIKKYLASKKVVKRAIQKALDTNKSIERKSNTTVDGNDYDTTAMVSLLKEVEAISLRMFESLLFLISGKKTKTKSSWSILSVMNSKREVCPEVDAELNEFSNMDNALNSVVCQKTNKCKDTTQVSENVQKHLEKLDLGTQDLEQTTERLFRRLIKTRVSILNILSN >KGN43737 pep chromosome:ASM407v2:7:3794953:3795252:1 gene:Csa_7G063997 transcript:KGN43737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVCVRVVMISWPFFIERQSNCCYCSTEQVIAIEIDNDEKRNEIEELVRELMDGENGKEMEKNVMLLKSKEEEACKFDGFVCQQLNKLMAEISVKKQR >KGN45217 pep chromosome:ASM407v2:7:17014326:17019459:-1 gene:Csa_7G431980 transcript:KGN45217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIMAFLSSPPHIPSLSPPFHTNFLFSPISSSLRRSTRSSLFGAQTLAASGFCFRIHCLDKERAAPVTQRSDPKAGVPIYKPKTYEVLVSDAANSLAYALEDGKMRLEIDFPPLPSNISSYKGSSDDFIDANIQLALAVARNLQEKRGIRSCIVFPDKPEKRRASQLFKTALDSIDGITVSSLDDVPAGAVTSFFRSVRNTLDFDFEDDNAGRWTSSDPPSLYIFINCSTRELGLIEKYVETFASSIPALLFNLELETLRADLGLLGFPPKDLHYRFLSQFIPVFYIRIREYSKTVAVAPYIVNYSGALFRQYAGPWQVMLKQSDNSYACVAESETRFTLGETKDELLRVLGLQEEQGSSLEFLRRGYKAATWWEEDVDSEVSSAWRS >KGN44603 pep chromosome:ASM407v2:7:12326061:12329146:-1 gene:Csa_7G341230 transcript:KGN44603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHDRDKSFRDKNEMGLEDVRTYLRLGEEDEKRENIGDSVSEEEGCGFGFNFQRTTRRWLRFSEANCSE >KGN45150 pep chromosome:ASM407v2:7:16549743:16550036:1 gene:Csa_7G428870 transcript:KGN45150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLENSFGIVNGNIVGEAVIPVKAVVGGEGLNAPPNLTYQYIIKMKNDKSLTKLMAAFRLDEGKLKGIGSSLFRRLR >KGN43491 pep chromosome:ASM407v2:7:2206497:2209767:1 gene:Csa_7G041360 transcript:KGN43491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLPPKIPNMASNWSELSRQKIPSMETFAPTTTTPPVTQQNPSWVDEFLDFSSVRRGSHRRSVSDSITFLEMPMLEDDCRASAAPPPPSTATGDQNEFDRFDDEQFLSMFNDEISAAVAPTLSSSNPSTPSDHNSINDEKDAQNDGKVNQNKNEPDEVQSQQQSENQTQSNSTATAGSTDRITDPKRVKRILANRQSAQRSRVRKLQYISELERSVTSLQAEVSVLSPRVAFLDHQRLLLNVDNSALKQRIAALAQDKIFKDAHQEALKREIERLRQVYHQQNIKKMENASPSPAITPSQPVATTVPDAKLPNVDQNEQVPNVMV >KGN44680 pep chromosome:ASM407v2:7:13213352:13224738:1 gene:Csa_7G372260 transcript:KGN44680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLISSGKILRLELENFKSYKGHQTIGPFYDFTAIIGPNGAGKSNLMDAISFVLGVRSGQLRGAQLKDLIYAFDDREKDQKGRRAFVRLVYQMGNGSELQFTRTITSAGGSEYRVDGKSVSWDEYNSKLRSLGILVKARNFLVFQGDVESIASKNPKELTGLLEQISGSDDLKREYEEYEEQKAKAEENSALVYQKKKTIVMERKQKKEQKEEAEKHLRLQDQLRSLKKDYFLWQLYVIEKDIVKLNEELEAERRNRDDVMQQIDGFEHEALKKRKEQAKYLKEIGNCERRVAERSNKLDKNQPELLKLKEETSRINSKIKRTRKELDKKIEQRRKHAQYIKELQKGIQDLNAKLNDLHEKGRDSGEKLQLDDQALREYCRIKEEAGMKTAKLRDEKEVLDRQQHADIEAQKNLEENLQQLHNRENELESQEEQMRTRLRKILDSSARHKDDLADLKKELHTMKDKHRDVRSKYENLKSRIGEIENQLRELKADRYENERDAKLSQAVETLKRLFQGVHGRMTDLCRPLQKKYNLAVTVAMGKFMDAVVVQDEHTGKECIKYLKEQRLPPQTFIPLQSVRVKSIDERLRRDSSSSVKLVYDVIRYPSMILLLGH >KGN43829 pep chromosome:ASM407v2:7:4349630:4350366:1 gene:Csa_7G070250 transcript:KGN43829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNMALSNFPSSICLIMLTLTIPMIVAHNTPQNYVDAHNAVRAEVGADPVFWDEELAKYAQNYLDSKISTCEMVHSNGSYGENLATLDGLLTAAAAVKAWADEKKYYDHNSNKCVGGECRHYTQLVWKNSFLIGCANIKCKNNWSLVSCNYSPAGNVVGELPY >KGN45118 pep chromosome:ASM407v2:7:16377265:16384494:1 gene:Csa_7G427080 transcript:KGN45118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFHVACPITCRRICFCPLGFAPALQNGGAKNEFLDGVLKVEEFLKDPWGIRVRDGKGTTVQVWVPKVVPPPPPVQPVGVVGEALGGADGVDEMAAAMSAQTKRIALQRKAAAAMIAAEDYARRFESGNLVDASGNIVGEEQGQSNVNVMCRICFFGENESSERARKMLSCKTCGKKYHRSCLKSWAQHRDLFHWSSWTCPSCRACEVCRRTGDPNKFMFCKRCDGAYHCYCQHPPHKNVSSGPYLCPKHTRCHSCGSNVPGNGQSVRWFLGYTFCDACGRLFVKGNYCPVCLKVYRDSESTPMVCCDICQRWVHCHCDSISDEKYLQFQIDGNLQYKCTACRGECYQVKNLEDAVQEIWRRRDEADRDLIVNLRAAAGLPTQDEIFSISPYSDDEENGPAVVKNEFGRSLKLSLKGFADKVPKKSKDYGKKSSNKKYAKEKGTPLANQSELDQNFEVRNDVQQSGFGEGNEKNGGLLPQNNNEGLDTSPVAGSLSHNEGTCSVNQPGVLKHKFVDEVMVSDEEKTSKVVQIKASKAQGLDTGEDSGKYASKSKTAKGKKLVINLGARKINVATSPKSDASSCQRGQDLAVSNGEKVNNSSQSTGLKAGETENSVPSFGKVRFGSSDTNTTFGRGNTASGSEVGPPDGTRVFSRKRNMEGSTPAVGSLGGVSTVKEEKVPSGKQLESGSHICNDGHDDNGQTPLPQSLPRDSKPLLKFKFKKPPLDNQISCHEEEKSLVKGQRSKRKRPSPLMEKVPFNEVEDLTRSHQDNLLDDANWILKKLGKDAIGKRVEVQHPSDKSWQKGVVRDMIDGTSTLSVALDDGREKTLELGKQGIRLVPLKQKRSKS >KGN43548 pep chromosome:ASM407v2:7:2613974:2616306:1 gene:Csa_7G044880 transcript:KGN43548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVSTSSSPDYDKKLIQNYVPVYVMLPLGVVTTDNELEDRDGIKKQLKQLQAADVDGVMIDVWWGIVESKGPNQYDWTAYRSLFKIIQECGLKLQAIMSFHQCGGNVGDSVNIPLPSWILKIGELNPDIFYTNRSGTRNKEYLTLGVDNQPLFHGRTAVELYRDYMKSFRENMVDFLDNGLIIDIEVGLGPAGELRYPSYVQNQGWEFPGIGEFQCYDKYLKMEFKGAAVTAGHPEWKLPDNAGTYNDAPESTEFFRSNGTYQSDEGRFFLTWYSNKLLNHGDQILEEANQVFLGCKLKLAAKVAGIHWWYQTENHAAELTSGYYNLKTRDGYRPIARMLSRHHAILNFTCLEMRNYEHISKAKSGPEELVQQVLSGGWREGIPVAGENALPRYDNAAYNQILLNARPTGINKEGQPKHKMFGVTYLRLCNKLLQKRNFNIFKSFVMKMHADQGYCPNPEDYNCYVVPLNQSKEKISMEALLEATEPLEPFSWDKETDTPIGGPFVDFFNRILYIFK >KGN43906 pep chromosome:ASM407v2:7:4852305:4855065:1 gene:Csa_7G073420 transcript:KGN43906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLTAQIRKPNDWEKSNPEVKHTDTFFFYFWHSPPPPQSSPPPPLPPPPPPPLPPSPPPPIPPPPPPPHPPPPPPFCATPSPPPPRPPPPPPPPPHPPPPPPPRRSRPPPPPPPSRLKIAFNTIQKFLPRVELDPQNKLKTWKGPDVCKYEGIGCDKVPDYKTIAASGVSINGYGWSGSKLTLNGFIDQLPDIAYFHANSNNFSGTIPELISDLRFFYELDLSNNKFSGDFPKQVLGATKLTFLDIRFNTFSGPVPGKLFDMDIITAIFLNDNKFNNCIPANLGNTPARYLTFTSNEFTGPIPKSIGIGKTKTNLIEVLFSDNKLSGCLPMEIGLLENTILFDASKNSLTGPIPFSFSCLAKMQVLNFANNTLYGAVPEGVCKLPDIQQLTLRNNFITQVGPICRSLISKKVLDVSGNCILGLPEQKSEEECTHFFTHVELCPDEKSMKYIPCKENWYLNEDPAAVLRRPARKVTELRTYAALSPVH >KGN43643 pep chromosome:ASM407v2:7:3152839:3154302:-1 gene:Csa_7G049250 transcript:KGN43643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSVCADHQHNKSPFSSFQSSSSSSSCSSSFLHHINLPPTKNHLEMSSDSPRFSYAVESLLQKFLPFNGEDELEEDGDPYSSDHFRMYEFKVRRCTRSRSHDWTDCPFAHPGEKARRRDPRRFHYSGTMCPEFRRGGCGRGDGCEFAHGVFECWLHPARYRTEACKDGKNCKRKVCFFAHSPRELRLLPPVSNFQTGSCSSPNNKRSSSSLRSPSTASASNHCCLFCHCGGAAVTSSPTSTLFGMSHLSPPHSPPHSPGKPINGFSPISRYNPDQSKLNGVLSYKDALTELMSSIESMNMDDANATLAAAAAAASGPRQTIPWIDVSSLNNEDQLQFVLSPSTPNVSTASVKFSVENNNNNGWASAYASASTSASDPDLGWVNDLLM >KGN45036 pep chromosome:ASM407v2:7:15871903:15874455:1 gene:Csa_7G412870 transcript:KGN45036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLTTSGLLKTPLKNSQSPANSLQYPPFAYGQHQLPVSLKRAFPGRAIRASPSNSGPSTTSSPGLYSAQKFELTIGNVDLVLEDVRPYLIADGGNVDVVSVEDGVVSLKLVGACGSCPSSTTTMKMGIERVLKEKFGDSVKEICQVYDEEPKETTPEAVNSHLDILRPAIRNYGGSVEVISINGGDCLVKYEGPESIGTGVKAAIKERFPDITNVVFSS >KGN45111 pep chromosome:ASM407v2:7:16328745:16330817:1 gene:Csa_7G426520 transcript:KGN45111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYSKTSQEEWMSFKDNELLEVIQQDNDMTSILKLSYNHLPPNLKRCFAYSSLFPKGYKIEIKDLIRQWVAQGFIEVSNGRKSLEDTGKDYFNELCWRFFYANSSDECNINDIVCMHDVMCEFVRKVAGNKLYVRGNPNNDYVVSEQTLHISFDYGIQSWQDVLSKLCKAKGLRTILLLFRPYEKMNKIDKAILDELFSSFPRLRVLDLHFSQISVVPKSIKKLRHLRYLDLSENDMELIPHSIIELQNLQTLNLTECYELKELPRDIDNLVNLRHLTFEPCMEVTPTSEGMEKLTCLQTISLFVFDCKKTNKLWELNDLSYLTGELKIIGLEKLRSSPSEITLINLKDKKGWQGLNLEWKLGKDEYEGEADETIMEGLEPHPNVESLSINGYTGGALPNWVFNSLMKLTEIEIENCPRVQHLPQFNQLQDLRALHLVGLRSLEFIDKSDPYSSSVFFPSLKFLRLEDMPNLEGWWELGESKVVARETSGKAKWLPPTFPQVNFLRIYGCPKLSSMPKLASIGADVILHDIGVQMVSTIGPVSSFMFLSMHGMTNLKYLWEEFQQDLVSSSTSTMSSPISLRYLTISGCPYLMSLPEWIGVLTSLETLHIKECPKLKSLPEGMQQLKSLKELHIEDCPELEDRCKQGGEDWPNISHVPNFTYKNASDIDTPQSSSGFSHHPFSIVRISVI >KGN45393 pep chromosome:ASM407v2:7:17862550:17865305:-1 gene:Csa_7G447080 transcript:KGN45393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVVTDNFRHEKVQRFEEFVDRRLKPDLVHAIAERDKVFEQQKVFSDLRRNIENLEKNSITNVRTLVNLGSEVYVQGDVPDTRSIFVDIGLGFHVEFTWSEALKFISLKEERLTRQIEEYTQLIASIKAQIKLVCEGIRELLQLPAERTVEERVF >KGN45421 pep chromosome:ASM407v2:7:18053439:18055838:-1 gene:Csa_7G447830 transcript:KGN45421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEAKAIVPESVLKKAKRNEEWSLASKQELEAAKKKRAETRKLIYNRAKLYSKEYEEQEKELVRLKREARLKGGFYVDPEAKLLFIVRIRGINAIDPKTRKILQLLRLRQIFNGVFLKVNKATLNMLHRVEPYVTYGYPNLKSVKELIYKRGFGKLNKQRTALTDNSIVEQALGKHGILSVEDLIHEIMTVGPHFKEANNFLWPFKLKAPLGGLKKKRNHYVEGGDAGNRENYINELIRRMN >KGN44070 pep chromosome:ASM407v2:7:6393583:6397697:1 gene:Csa_7G162540 transcript:KGN44070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLAIVLDLLRKNSGTKPPQSLHSYGAFSAAAAAAGTPFAFRAFLGNYRIPVAHCDAGVDVTEDYFSNLQSASRRIFEHESLQYTTKEYNLELKPLFSAFQWRMLGMTTLRSFLMFYLPLLEPHAKLEDEDDEDFLNDNQEEQHVDLVSPFKKSIKQIVRETTVVTTRRILERISVHYASQRIAWKLLKDVPKSAVRKAGRELPTLVYFFRVTRTTFRGHALGVAASWLVQVGIEIYRYVSAKVKPKDDDVVDETDKVIILRKKIAGATLRCSASLVFASIGAGIGATLFRPSAGQWIGCAVGDLAGPVIVTICLEKGLNLDL >KGN44459 pep chromosome:ASM407v2:7:10437180:10437783:-1 gene:Csa_7G298760 transcript:KGN44459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKRYKFSIENQMGKGGADEIPDHGVTFTGLKRKLLVVHSLGVKLGERVSRTAKRGVHGPIYLVEAGGDRFPLLGHDEGINLLLRRVKGLIPEGENEDLGRIGIWGRPKRDC >KGN44506 pep chromosome:ASM407v2:7:11100405:11103269:1 gene:Csa_7G320050 transcript:KGN44506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTLGQVIKCKAAVAWEAGKPLVIEEVEVAPPQANEVRVKILFTALCHTDVYFWEAKGQTPLFPRIFGHEAGGVVESVGEGVTDLKPGDHVLPVFTGECGDCRHCRSEESNMCDLLRINTDRGVMINDGKSRFSINGKPIHHFVGTSTFSEYTVVHVGCLAKINPAAPLDKVCVLSCGISTGLGATLNVAKPKKGSSVAIFGLGAVGLAAAEGARIAGASRIIGVDLNPSRFEEAKKFGCNEFVNPKDHNKPVQEVIAEMTDGGVDRSVECTGSIQAMISAFECVHDGWGVAVLVGVPNKDDAFKTHPMNLLNERTLKGTFFGNYKPRTDIPGVVEQYLKKELELEKFITHAVPFSEINKAFDYMLKGESIRCIIRMGE >KGN44920 pep chromosome:ASM407v2:7:15200560:15208004:-1 gene:Csa_7G396360 transcript:KGN44920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGDTWREKKAGSPSKNGDFHRPAFSDQRRLSQRNPSSVDGGHWTTGSAMATKSAKPPRKSAISSYRYCRNPKLVFSLFLLLADAILVALIIAFVPYTKIDWDAYMSQVSGFLGGERDYSNLQGDTGPLVYPAGFLYIYSAIQYVTGGEVYPAQILFGVLYIINLGIVLFIYAKTDALPFWSFCLLSLSKRVHSIFMLRLFNDCFAMTLLHAALALFLLRRWNLGLIIFSFAVSIKMNILLYAPPLLLLMLKALNIGGVITALAGAALVQILLALPFIVSHPFAYVSRAFNLGRVFIHFWSVNFKFVPEPIFVSKQFAALLLITHLILLAVFAHYKWCKHEGGIFKFIHSQFLSIKLRLALVSSFPKPTSKNDKPSFKLLTEEHVVTTMFVGNFIGILCARSLHYQFYSWYFFSIPYLLWRTSFPTLLRLLVFAGIEFCWNVYPSNMYSSILLLSLHLLLLWGLWSGPAEYPYVRSEALDRKQK >KGN44925 pep chromosome:ASM407v2:7:15224568:15235481:1 gene:Csa_7G396400 transcript:KGN44925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLQNPHLFICGTLFTVGQSRQCNLWLKDPSVSTTLCKLRHIKRGNSSVALLEITGGKGAVIVNGKIVQKNSSVILNGGDEVVFTSSGKHAYIFQQLTSDDFTVSGLSSVNILEAHCAPVKGIHFERRSRDASAVTGASILASFSNIQKDLSLLSPPAKTNEDVKLPSVCGVSGEQSPDSNLKDGSTNDTDRHGDASMDKNIDPIPDSGTERPSLDRLALDASIDGEVGEAPAKHSELRPLLQILASSASPDFNINGGSISKILNEQRDMGNLFKDFSPPAVLMSTRRQAFKERLQQGILKPDNIDVSLESFPYYLSDTTKNVLIASMFVHLKCNKFVKHASDLPILSPRILLSGPAGSEIYQETLTKALARHFGARLLIVDSLLLPGGPTPKDVDIVKDNSRPDRTSFFAKRAVQAAAAAAVSQNKKPTSSVEADIAGGSTLSSQALPKQEASTASSKTTAFKTGDKVKFVGTLSSTLSPPLQTCPLRGPSYGCRGKVVLAFEENGSSKIGVRFDKSIPDGNDLGGLCEEDHGFFCSANHLLRLDGPGGDDTDKLAIDEVFEVVSNESKNSPLILFVKDIEKAMVGHSDAYSILKGRLENLPGNVVVIGSHTHMDNRKEKSHPGGLLFTKFGSNQTALLDLAFPDNFGRLHDRNKETPKATKQLSRLFPNKVTILPPQEEALLSVWKQQLERDTETLKTQANIVSIRLVLNRIGLDCSNLDTLCIKDQALTIETVEKVVGWALSHHFMHFSDVLVKDAKLIISTESIEYGLNILHGLQSENKSLKKSLRDVVTENEFEKKLLADVIPPGDIGVTFEDIGALENVKDTLKELVMLPLQRPELFCKGQLTKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKYVKAVFSLASKIAPSVVFVDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDKERVLVLAATNRPFDLDEAVIRRLPRRLMVNLPDAPNREKILRVILAKEELAADIDLEAIANMTDGYSGSDLKNLCVTAAHCPIREILDKEKKERVSALTDNKPLPALYSSTDVRSLKMEDFRFAHEQVCASVSSESTNMNELLQWNDLYGEGGSRKKMSLSYFM >KGN45520 pep chromosome:ASM407v2:7:18713473:18717571:-1 gene:Csa_7G450770 transcript:KGN45520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASISLCFISFLCFLFGHGVFQLQASHHVHKPQTSTSLPYHYHHRQPYRTSYHFQPPKNWINDPNGPMIYKGIYHLFYQYNPKGAVWGNIVWAHSTSTDLINWEPHDHAIFPSQPSDINGCWSGSATILPGEKPAILYTGINPKNQQVQNLAVPKNLSDPYLREWVKSPKNPLMAPTPQNQINASSFRDPTTAWLGPNGEWRVIVGNKVHTRGLALMFQSKDFIKWDQVDHPLHYADGSGMWECPDFYPVAKTGRRGVDTTVNGKNVKHVLKVSLDDTRHDVYTIGTYDVEKDIYVPNKGSIEGYSGLRYDYGKYYASKTFYDGQKKRRVLWGWVNESSSVEDDIKKGWSGIQGIPRTIWLDASGKQLIQWPIEEIQKLRKNKVTLTNKVLKKGSTIEVKGVTPSQADVEVSFKVKNLKKAQILKPQYWENPQVLCSQKGRAATTKGGVGPFGLLVLASNDFKEYTSVSFTIFKKQSKYVALMCSDQSRSSLNPTNDKTTYGAFLDVDVDSEDLSLRSLIDHSVVESFGLKGKGCITARVYPTLAIGDNVGLYAFNNGTESVTITKLTAWSMKKARIN >KGN43231 pep chromosome:ASM407v2:7:626065:629413:1 gene:Csa_7G009750 transcript:KGN43231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTISENSKGLILAMASSAFIGSSFILKKKGLKRAGATGARAGVGGYTYLLEPLWWAGMITMIIGEIANFVAYIYAPAVLVTPLGALSIIVSAVLAHFLLKERLQKMGVVGCLSCIVGSVIIVIHAPQEHTPDSVEEIWDLATQPAFLVYIAAIASLVLALMLYFEPRYGHVNILVYLGICSLMGSLTVMSIKAIGIAIRLTLEGISQVAYPQTWLFVTVAVVCVITQLNYLNKALDTFNAALVSPVYYAMFTTLTIIASAIMFKDWSGQNASTIVSELCGFVTVLSGTIILHSTREQQPVSSQGSVAWYISGDSMKSFEEHLITISNSHYTEE >KGN44270 pep chromosome:ASM407v2:7:8555096:8556858:-1 gene:Csa_7G237850 transcript:KGN44270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIPDQFYHDDQYTSLAAPPPTTPSVGIGWGDETADDNLVPLLEDDNFGALHHQPYTHPNQYYCSSNVIDSATWSFPQQDMLPPFPSAHSHQNNKNARGSSCQDFNSHLGCQLPDHQLFSEFHDDCFGLVPEIKPSFYNNPLPTHNWDNQSNQVSVAEDSNMKVGRYSEEVRKERILRYLKKRNQRNFNKTIKYACRKTLADRRIRVRGRFARKNEAISDQELNPTIKNNDTTIQKDTELLYNHHDFGVQMKGDEEEWLQDISSLMYLPYISS >KGN44999 pep chromosome:ASM407v2:7:15631502:15635931:-1 gene:Csa_7G407520 transcript:KGN44999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKHQIWFAVAALALFLSSAVADDVVVLTEDNFEKEVGQDKGALVEFYAPWCGHCKKLAPEYEKLGGSFKKAKSVLIGKVDCDEHKGVCSKYGVSGYPTIQWFPKGSLEPKKYEGQRTADALAEFVNSEGGTNVKIASIPSSVVVLSADNFDEVVLDSSKDVLVEFYAPWCGHCKNLAPIYEKVATAFKLEEDVVIANLDADKYRDLAEKYGISGFPTLKFFPKGNKDGEDYDGGRDVDDFVSFINEKSGTNRDAKGQLTVKAGLVASLESLVKEFVAASKEEKKSIFAKIEEEAGKLSGSAARHGKIYVKSAKKCMEKGGDYAKSEIERIKRILEKSVSPAKADEFNLKRNILSSFVQSS >KGN43230 pep chromosome:ASM407v2:7:621837:622670:1 gene:Csa_7G009740 transcript:KGN43230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNPKVFFDMTIGGTPAGRIIMELYADVTPRTAENFRALCTGEKGVGKGGKPLHYKGSSFHRVIPNFMCQGGDFTAGNGTGGESIYGAKFADENFIKKHTGPGILSMANAGPGTNGSQFFICTAKTDWLDGKHVVFGQVVEGMNVVKDVEKVGSSSGRTSKPVVIADCGQLS >KGN44671 pep chromosome:ASM407v2:7:13087346:13091062:-1 gene:Csa_7G368230 transcript:KGN44671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKCLLSRNNCARIFLFSPLSSSPIHSPSPKAFSVAKSGKIQSLTLKKKRRLDEVCVERYQQFSRTMIQSWILQGKVLVDGKVISKAGTPVADKAKVEIIAEVPKYVCRAGLKLEAAIEQLDVDVDGKVALDAGLSTGGFTDCLLQHGASFVYGVDVGYGQVADKIRRDERVCVMERTNLRYLAGLPQKVDIVTLDLSFISILLVMPAVINVMKETSTIVTLVKPQFECRRSQVGGGGIVRDPQVHQEVLEKIIKGVENFGFCSKGWIESPLKGAEGNTEFLVCFDRTTVSTSNQE >KGN44972 pep chromosome:ASM407v2:7:15462057:15464908:-1 gene:Csa_7G404800 transcript:KGN44972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIPERFHVAAVRGLVLGLTLLSSMVWCSSAAAPHYSGDFFGTRSRFLTGAAPATTTTTTTPTAKVFNIIAHGAKADGRTDSTQAFMQAWVKACHSSGPAKVVFPPGTFLTGPLVYAGPCDGPMTVEIQGTVKATTDISQYSSAEWILFESVTGLNLIGRGTFDGQGADTWKYNDCSKHPQCIIPPTSIKFNKVTQGLMEGITSVNSKAFHIFVVLSHNIKINNVHIVAPGNSPNTDGVHISQTDVVNVTNSIIGTGDDCVSIGHGSTNINVLNITCGPGHGISVGSLGKYRDEKEVRGIFVSNCTIRNTTNGVRIKTWAASPPGQATRITFQNIVLDKVRNPIIIDQNYGSKTKKSPSQVKVSDVQFKNIRGTTISPVAVSLQCSAALPCDGIKLEQIDVAFSGTHLKQPFANSCLNAKITTIGKQNPPACV >KGN43206 pep chromosome:ASM407v2:7:508321:508839:-1 gene:Csa_7G009020 transcript:KGN43206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRLPRIVTAKQSLQRSSSTGNGASPKVVDVPKGYFTVYVGEEHKKRFVIPLSYLNQPSFQDLLSQAEEEFGYNHPMGGITIPCHEDEFLDLTQSLNES >KGN45200 pep chromosome:ASM407v2:7:16880158:16885677:1 gene:Csa_7G431330 transcript:KGN45200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKISNPSHNNSISTTTDHDPHSSSSSSSSSIRHSQRKVLTKRTRKSTPRDSPAQRSSVYRGVTRHRWTGRFEAHLWDKNCWNEGQNKKGRQVYLGAYDDEDAAAHAYDLAALKYWGTETVLNFPLLTYQDELKEMEGQSREEYIGYLRRKSSGFSRGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYATQEEAATAYDKAAIEYRGLNAVTNFDLSRYIKCLRPGEQDIPNTNRPPNPNAGETPSEFDPNSLLGFTFPSQCSSSGQPSIEPLPEVGDGDCSSSSTAIQLLLHSSKFKDIIERTSTAETPSESDRPRRCFPDDIQTYFDCTQDSGDFAEGDDSIFGYLNSFLPSSVFHCELDA >KGN44785 pep chromosome:ASM407v2:7:14226867:14234323:-1 gene:Csa_7G387690 transcript:KGN44785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNADYVYPSSAPTAGEGGDCLHRAAIPPPQPFLKSLKNAMKETFFPDDPLRQFKNKPPAKKMILGFQYFFPVVEWGPRYNLGLFKSDLISGFTIASLAIPQGISYAKLANLPPILGLYSSFIPPLIYAMMGSSRDLAVGTVAVASLLISSMLGAEVNPAQNPTLYLHLAFTATFFAGVFQASLGLLRLGFIVDFLSHATIVGFMAGAATVVCLQQLKGILGLTHFTHSTDLVSVLRSVFSQVHEWRWESGVLGCCFLFFLLITRYFSKKKPKFFWISAMAPLTSVILGSLLVFLTHAEKHGVEVIGELKKGVNPVSITKMVFVSPYLSTAIKTGIITGVIALAEGIAVGRSFAMFKHYNIDGNKEMVAIGTMNIVGSCFSCYLTTGPFSRSAVNYNAGCKTAVSNVVMAIAVMLTLLFLTPLFHYTPLVVLSSIIISAMLGLIDYEAAIHLWKVDKFDFLVCIGAYAGVVFASVEIGLVIAVVISLLRLLLFVARPRTLVLGNLPNSTLYRNIEQYPNAGNVPGILILEIDAPIYFANSSYLRERIMRWVDEEEDRIKASSESTLQYVVLDMSAVGNIDTSGISMFEELKKILERRGLKIVLANPGAEVMKKLDKGKFIETLGHEWIYLTVAEAVAACNYMLHSCKPNLVTDEKAEPWNNV >KGN43702 pep chromosome:ASM407v2:7:3567780:3568903:-1 gene:Csa_7G061200 transcript:KGN43702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTNPFLALFSLAFLALQFSPLSAANDAPAPGVDCSSLILNMADCLSFVSNDSTTSKPQGTCCSGLKTVLKADADCLCEAFKNSAQLGVVLNVTKALSLPAACKVSAPAASNCKLSISPASSPAVTPSGAPKSAEAASPVVSEPTGAPAPGKSGATATGGLGSVGSVMTAIGVALLCSL >KGN45341 pep chromosome:ASM407v2:7:17593170:17594157:1 gene:Csa_7G440620 transcript:KGN45341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSNVMAIKCGLIVCLFLSSLSIAHSFFDDKTQVEICFKSCLNVCLYDKKSIRFLCPLKCTKICVADPWTKNFQALLTKKDSSCELDCATSKCTNSISTNDIIDEKEMGKCVDSCTQKCSNNEN >KGN45149 pep chromosome:ASM407v2:7:16543644:16547907:1 gene:Csa_7G428860 transcript:KGN45149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKGMPPTLFVNDGSFMERFKQLQQKNGEKDKDKDKGATSEEPKPIKIIAGTSIPHNTSGKTSMQFKGGEIRKTAPTGKLAFSLKQKSKLVAPSVKLGADEDEDEPDAVDASDHLPEKRQKLGPQDGTEQPVLQSGDAPPSPSDPTVKKVADKLASFVAKHGRQFEHVTRQKNPGDTPFKFLFDESSADYKYYEYQLAQEEKAFSETVEPKSSNDGGSTQTSKPRTGSQKSLQQSSSSNYQTPASALYEPSEDSRTSVGSSNTTAWPERAGETRPPPSSGDPIAMMEFYMKKAAREERMRQPKQSKDEMPPPASLQASALGKRGHHMGDFIPHEELEKFLATCNDASARKYSKEAADKAKIQADNVGHRLLSKMGWKEGEGLGSSKSGIADPIMAGDVKTNNLGVGAHQPGEVTPEDDIYEQYKKRMMLGYRYRPNPLNNPRKAYY >KGN45379 pep chromosome:ASM407v2:7:17790484:17791898:1 gene:Csa_7G446940 transcript:KGN45379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEGKALVQDTDMPGKMQIQAMDAASKALDLYDVFDCKSIAAHIKKDFDNKYGSGWQCVVGSNFGCFFTHSKGSFIYFKLETLNFLIFKGVTSSGP >KGN44039 pep chromosome:ASM407v2:7:5972448:5978012:-1 gene:Csa_7G129380 transcript:KGN44039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREGENGETTPCTLVETKEGRHSGGAYRAFAATVMASIVVIWAYRATGMPSAGHPGRWTWMGMFISEIIFGVYWILSQSVRWRTTFNFPYKHLLLQRYNDHQLPNVDVFVCTADPTIEPPVLVINTVLSAMAYDYPTEKLAIYLSDDGGSEFTFYALIEASNFAKHWLPFCRKFMVEPRSPEAYFSLNSALHHRSQEWIDMKKLFDEMKERINSVVEMGRVPKEIRDQNKGFSEWDNGITKQNHQSIVKIIFDGNNLDDVDIHGGVLPKLVYMAREKRPNHPHHFKAGAMNALIRVSSEITNAPFILNLDCDMYSNNPDTIKESLCFFLDGKRSHDIAFVQFPQYFDNITKNMLYGIPDLVINEIELAGMDGYGTALYCGTGCFHRREALSGKKYVEDLNGSIHLDVPTEKKVPKPVNELEEACKLLVDCNFENGSQWGREMGLVYGCAVEDIVTGLTIQCRGWRSLYYNPKKRAFLGLAPISLDVALVQYKRWCEGMFQIFLSNYCPFIHGHGKIKFGAQMGYCVYLLWAPLSIPMLYYATVPALCLLKGIPLFPEVTSLWAIPFAYVFVIKNCWSVAEAITCGCTLKAWWNLQRMLLFRRTTAFFFALIDTVIKQLGFSQTKFAVTAKVAAEDVSKRYEQEIIEFGSSDIMYSMIATFAMLNLFGLLLGIKNVAALNLELFFKCLNKFILQIILCGLIVLINLPTYEALFIRKDKGRLPSSVLFKSVTSALLAFIIYVL >KGN45409 pep chromosome:ASM407v2:7:17965931:17977967:-1 gene:Csa_7G447710 transcript:KGN45409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSASTVSQSVSLPAPPTSNSAANGSSIPNLIPSTSPVPPAPSFHIHQLPSVAPMVPGPPGMSPSMPLVSTGPAVLFPPTDSASTIPGPNMHAIHNPIHPSARPQICGSYPSLTPVVSPPHAMWFQPPQLGAMPRPPFLPYSTSYHGPLPFPARGMPLPSVPLPDPQPPGVTPVQVASGISVPSGHGNQLIGNTLIQTDSNHPELDSHKHAQGVGHSENISLNKHSEDWTAHKTEAGIIYYYNALTGESTYEKPSGFRGEAENLMAQATSVSMSNLSGTDWVLVTMGDGKKYYYNNKTKISSWQIPNEVSELRQQNDEKTKELSAPLPNNNASTDLGTSSTSINTPAINTGGREATPLRTVGISGSSSALDLIKKKLQDSGTPVASSPISAPTVAQSDVNLPRDADATVKALQTENKDKPKDANADGNVSDSSSDSEDVDSGPTNEQLIIQFKEMLKERGVAPFSKWDKELPKIVFDPRFKAIPSYSARRSLFEHYVKTRAEEERKEKRAAQKAAIEGFKQLLDSASEDIDHTTSYQTFKKKWGNDSRFEALDRKDRENLLNERVLCLKKAAVEKAQALWAASTTSFKSMLQEREDININSRWFRVKDSLREDPRYRSVKHEEREMLFNEYISELKAAEEEKQRESKARKEEQEKLKEREREWRKRKEREEQEMERVRLKVRKKEAVASFQALLVESIKDPQASWTESKVKLEKDPQGRASNTDLDSSETEKLFREHVKMLQERCANEFRNLLSESFTAEVVAQVSEDGKTVLNSWTMAKRILKPDPRYGKVPRKEREALWRRYADDTVRKQKLANDHKGEKYNDYKNRATTDAGKFPSKPRIHD >KGN44021 pep chromosome:ASM407v2:7:5787471:5787904:1 gene:Csa_7G109300 transcript:KGN44021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVESIVLKPDLAMLLSVVLPESCLTIQFSMKESKRHHIASVFVPKDVRITSPDVTRAIHKTVKCSALGEQSPTD >KGN44008 pep chromosome:ASM407v2:7:5575502:5576743:1 gene:Csa_7G098760 transcript:KGN44008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQDARQPLLPTSSNTLETRVINIPRSKRRLRRTKSAPHANSPTEITHTSNVPATGPVPRSGLIFGNLHPSFRRVALVLITYLGIGTLCFYLVRHQIQGEKTNRLVDAIYFTIVTMTTVGYGDLVPNSPSTKLLACAFVFTGMALVGLILSNAADYLVEKQEILLFKAFHIDQNGHCDISKEIDTNKARNKCIVVFLLLLLFIISGTAFLVTIEKLDFIDAFYCVCSTITTLGYGDQSFSTKWGRVFAIFWILISTITLAQFFLYIAELNTERRQKSLVKWVLSKKVTDIDLEVADIDDDGVVGAAEFVIYKLKEMGKITEDDISLVLNEFENLDVDQSGTLSISDITLAQLS >KGN44442 pep chromosome:ASM407v2:7:10239218:10242889:1 gene:Csa_7G291130 transcript:KGN44442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLTMTNMNMNPKNIFLLSLNVFFFFFFCLGSCEGKRKRYDDGGDEGTIIKGMFVFGSSLVDNGNNNFLEKSSAKADYLPYGIDLAAGPSGRFTNGKNVIDLLGTYLGLPSSIPPFFDPSTKGTNIVRGVNYASGGSGILDDTGSIAGNVTSLNKQIKNFEEVTLPELRRLMRRRHGRKKISSLLDNYLFVVGSGGNDYSFNYFLTNSDPQLITLQTFTANLTATLSTQLKKLYSLGARKMVVISVNPLGCSPMVTANNEGECIEILNQAAQLFNLNLKTLVDDIKPQIPLSNIVFLNSYNIINDIISQPASQGFIEAAMPCCEVPSRNEGGNGILCKKEGKTCPNRTNHVFFDGLHPTEAVNVIIASKAYASQLQTEVYPTNVLQLANL >KGN44145 pep chromosome:ASM407v2:7:7332317:7332718:-1 gene:Csa_7G206960 transcript:KGN44145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASETKKPNSPNPNLKCSHVSTEVHELSLLTANWQCIDNYELVNNFGHDSGIEHRGDDENIGLHMDLEVELGGDYKNLDLRGVDMSEADAQVHHEELPLEEGAVESDDEKVLPSVGKKK >KGN43317 pep chromosome:ASM407v2:7:1211716:1212966:1 gene:Csa_7G023420 transcript:KGN43317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSKHLCIIEAVASVLFADLENGEPDLLLRRTSLSLYTCNREKIFFFPMQSLSFLFQRYLRMLVLCFPPPDSTLKQTNGDYLPTSNQSKALSAISAIFEILVIWFASLLSTNTY >KGN44192 pep chromosome:ASM407v2:7:7847956:7848379:1 gene:Csa_7G219280 transcript:KGN44192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTGGPSTYTELEAKASANHFDSKQDDIKFKLDWMHFRLDSIESSFMTNLSVSHNPYLTYRDTINGNDHEERGGEDRAIHEDPDVDVVVQR >KGN43435 pep chromosome:ASM407v2:7:1897552:1902148:1 gene:Csa_7G033430 transcript:KGN43435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVLQRMATTTGLLLHSPLVTPISPNVSAPRFFLAVSTKFARNRDRPFLPRNKSNGFSTSISVATDSSAPSISTQNEDLVDAHKDQTEKIVLPTNESSEKLLRIRHTCAHVMAMAVQKLFPDAKVTIGPWIENGFYYDFDMEPLGDKDLKKIKKEMERIISRNLPLVREEVSRDEAQRRIEAINEPYKMELLESIKEDPITIYHIGNEWWDLCAGPHVESTGKINKRAVELETVAGAYWRGDEKNPMLQRIYGTAWETEEQLKAYLHFKEEAKRRDHRRLGKDLDLFSIQDDAGGGLVFWHPKGAVVRSIIEDLWKKLHRERGYDMLYTPHVAKAELWKTSGHSDFYGENMYNQMDVENELYQLRPMNCPYHILFYKRRLTSYQDFPIRVAELGTVYRYELSGSLRGLFRVRGFTQDDAHIFCLDDQIKDEIRGVLDLTEDLLLQFGFNEFEVNLSTRPPKSVGNDEIWEKATSALKDALGDKGWGYQIDEGGGAFYGPKIDIKIEDALGRKWQCSTIQVDFNLPQRFDITYVDSNSEKKRPIMIHRAVLGSLERFFGILIENYAGDFPLWLSPIQVRVLPVTDAQLSYCKEVSEKLRASGVRAEVCHGERLPKLIRNAETQKIPLMAVVGAKEVEMQTVTVRSRFGGEIGTIAVDDFVGRIKFASENRTPF >KGN45056 pep chromosome:ASM407v2:7:16006093:16006698:-1 gene:Csa_7G414530 transcript:KGN45056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKLLSAGINYAVPAGRRDGRISIKEEASRLPSPTFNIEQLTQNFAERGLSKTDMVTLSGAHSIGAARCLTFSNRLYSFNATHNQDPSMNPKYAAYLKTKCPPLTSNVGGQNAQPLEAALDFTTPNRLDNQYYIGLTKHQGLLSSDQILLSSPSTSKLALVYAKYGSIWASNFKKSMVKMGSIGVLTGSQGEIRRQCSFVN >KGN43160 pep chromosome:ASM407v2:7:186543:197891:-1 gene:Csa_7G004130 transcript:KGN43160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDTRGGCCPSMDLFRSEPMQLVQLIIPIESAHRTISYLGDLGLLQFKDLNADKSPFQRTYAAQIKRCGEMARKLNFFKEQILRAGLSSKSSVSQVDINIDDLEVKLGELEAELVEINANSEKLQRSYNELLEYKLVLQKAGEFFIAAQSSAVEQQREFESRQTGGDSIEVPLLLEQESLVDQSKPVNLGFLSGLVPREKSMAFERILFRATRGNVFLKQTAVEDPVADPISGEKVEKNVFIVFYSGERAKNKILKICEAFGANRYPFTEDVGKQAQMIAEVSGKLSELKTTIDIGLLHRGNLLQTIGEHFENWNLLARKEKSIYHILNMLSLDVTKKCLVAEGWGPVFATKQIQDALQRAASDSNSQVGPIFQVLLTTEAPPTYFRTNKFSSAFQEIVDAYGVARYQEANPGVYTIVTFPFLFAVMFGDWGHGICLLLATLYFILREKKLSSQKLGDITEMAFGGRYVILMMSLFSIYTGLIYNEFFSVPFGLFGRSAYACRSPDCSDSTTVGLLKVGSTYPFGLDPVWHGTRSELPFLNSLKMKMSILLGVAQMNLGIIISYFNATFFRNSINIWFQFLPQMIFLNSLFGYLSLLIIIKWCTGSNADLYHVMIYMFLGPTEDLAENQLFPGQKNVQIVLLLLALVAVPWMLLPKPFLLKRQHEQRFQGQSYAPLPSGDDSLELDSHHDSHGHEEFEFSEIFVHQLIHTIEFVLGAVSNTASYLRLWALSLAHSELSSVFYDKVLVLSAGFNNIIILIVGIIVFIFATVGVLLLMETLSAFLHALRLHWVEFQNKFYEGDGYKFHPFSFALLDEDDD >KGN43821 pep chromosome:ASM407v2:7:4310191:4314747:1 gene:Csa_7G069710 transcript:KGN43821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLSAALFGLLLASILPLIFFFAFRDGINNSSAPNPIHPKSINSPAPLQIPPHSNDDNPDVIVVGAGVAGAALAFTLAKDGRRVHVIERDLTEPDRIVGELLQPGGYLKLIELGLEDSLNGIEAQQVFGYALYKNGRHTRLAYPLEKYDSSVSGRSFHNGRFIQRLREKAAAFSNVRMEQGTVTSIVEEDGIVKGVQYKTKNGKELTAYAPLTIVCDGGFSNLRRKLCKPQIDIPSCFVGLVLENCKLPLENHGHVVLADPSPILLYPISNTEVRCLVDIPGQKVPSVANGEMAHYLKTAVAPQLPPEVYPSFIAAIDKGNIKSTTNRSMPAAPQSTPGALLLGDAFNMRHPLTGGGMTVALSDIVLLRDLLRPLSNLNDADVLSNYLESFYTLRKPVASTINTLAGALYRVFSASPDPARKEMREACFDYLSLGGVFSSGPVALLSGLNPRPLSLFCHFFAVAIYGVGRLLIPFPSPKRIWIGVRLITVAAGIIFPIIKAEGVRQMFFPATVPAYYRTPPML >KGN45239 pep chromosome:ASM407v2:7:17126340:17128317:1 gene:Csa_7G432200 transcript:KGN45239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSSKSRLHSLPAGHYWGLNLSYEEGWVNQIRQSMDEEELEEDIGHPACICTVPRSLMAIDPDSYTPQEVAIGPYHHWRQELYVMERYKIAAARKAQKQLQSLKFHNLVEKLAKYERKTRAFYHKYLNFNSETFAWMMAIDASFLLEVLQVYTIREEKSISRVSSKLSCLVVVDNEGRRSAQNTILRDIVMLENQIPLFVLRKMLELQSPALEQTNQLLLSMLLGLCEDLSPFEMLEPQVSVSECFHLLDFLYRMITPKLADPLEILENDQNQKESTKENFEDENAFKHFCCSLSRLGSEIWKILSKFNKGPVHLFRRILNSRPLQVIFKLPTIVSKLPGIVVLMKPLNHLCSLRKGEEENDLEKGSSWKVGKIKLPLSEEIAIPSVSQLTKSGVHFSAIDGGVSAVAFDPKAVIFYLPTINLDVNSEVVLRNLVAYEASKASGPLVFTRFIELMNGIIDSEEDVKLLKEKGIILNHLKSDAEVADLWNGMSKSIKLTKVPFLDKVIEDVNKYYSGRWKVKASKFVKKYVFGSWPLLAFLATILLLAMTALQAFCSVYSCSRFFHHLNADGT >KGN43884 pep chromosome:ASM407v2:7:4735329:4736901:-1 gene:Csa_7G072220 transcript:KGN43884 gene_biotype:protein_coding transcript_biotype:protein_coding description:Resveratrol/hydroxycinnamic acid O-glucosyltransferase MPAVSETPCHVFLVTFPGQGHMNPTIRLGKKLASKGVYITISTTLEFGLSLKNAGSIGDHPSPVGSGFIDFEFWDDGWELDDPRRRDLDLYMPQLQITGKPALSQMLRNRASENRPVSCVIGNPFVPWVCDVANDIGIPCSVLWVQSCSVFSIYYHFSRKSVDFPSESDPYCDVQLPSLPSLKYDEIPSFLHPHGVYKAIGRSISQQFHNVSIPFCILMDTFEELERDVIKHMSTICPVKPIGPLFKTLKISDDNKKADLSGDFLKADDCFEWLDFKPPNSVVYISFGSIVHLSQKQIEEMAHALCNSGFSFLWVMKPLPKDMEECLGLKQHVLPDGFLEKAGERAKIVKWSPQQKVLSHPSIACFVTHCGWNSSVEALSSGVPVLVLPQWGDQVTNAKFLVEEYGVGIRLGRGESEKRLVERDEFEQYLRDAIVGQKAKELRENALKWKIAAEKAAADDGPSESNIEEFVEEIKKKKPCGQMSDIHNLGVNNVEKA >KGN44558 pep chromosome:ASM407v2:7:11807277:11811122:1 gene:Csa_7G333400 transcript:KGN44558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGRLRMNHCVPDFEMADDFSLPTFSSLTRPRKSSLPDDDVMELLWQNGQVVTHSQNQRSFRKSPPSKFDVSIPQEQAATREIRPSTQLEEHHELFMQEDEMASWLNYPLVEDHNFCSDLLFPAITAPLCANPQPDIRPSATATLTLTPRPPIPPCRRPEVQTSVQFSRNKATVESEPSNSKVMVRESTVVDSCDTPSVGPESRASEMARRKLVEVVNGGGVRYEIARGSDGVRGASVGGDGIGEKEMMTCEMTVTSSPGGSSASAEPACPKLAVDDRKRKGRALDDTECQSEDVEYESADPKKQLRGSTSTKRSRAAEVHNLSERRRRDRINEKMKALQELIPRCNKTDKASMLDEAIEYLKTLQLQVQMMSMGCGMMPMMFPGVQQYLPPPMGMGMGMGMEMGMNRPMMQFHNLLAGSNLPMQAGATAAAHLGPRFPLPPFAMPPVPGNDPSRAQAMNNQPDPMANSVGTQNTTPPSVLGFPDSYQQFLSSTQMQFHMTQALQNQHPVQLNTSRPCTSRGPENRDNHQSG >KGN45288 pep chromosome:ASM407v2:7:17349177:17349452:-1 gene:Csa_7G433185 transcript:KGN45288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVPNRYYNAKYTKIESCWKEITQTKSKPEIDGGEKESIGLKLQIESTYGKTSEHEVVEERSSAIRDSGALLSVNINLRDEVAGNRFGFVA >KGN43299 pep chromosome:ASM407v2:7:1116438:1116884:-1 gene:Csa_7G018810 transcript:KGN43299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTPRTLLKLARKWQMVAVAGNGRRRISLPRTRSSSSVANKGHFVVYTVDQKRCVLPIRYLGNYVLKELLKMSEEEFGLPADGPIKLPCEAAFMEYIVYLIRRHVDIEVQQALVLSVVPAVKCCCDSSSFSSAAPVAENDRPVMICGF >KGN44311 pep chromosome:ASM407v2:7:9045300:9052538:1 gene:Csa_7G252680 transcript:KGN44311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPELCFHGLKIIRKAEVQRKVEVLHVDITKHLLQSAAEQSRILREIPQVIADETEFGGIHASADQADNVTKEGDETKLLDIREASDDRKQGETVFSSNSNVDTYGAENPTTALMFNLNENTESRQIFLDEQAAQPVECTYNYFIESEPINAVDKGCQANEQIKESTVIDLSDDDEPPRAEEHDWNNKLKSLIWYYLDPQGDVQGPFCLASLKNWKDANYFPSDFKVWRTGQTQDQAVLLNDILSPFFS >KGN44916 pep chromosome:ASM407v2:7:15185053:15186634:-1 gene:Csa_7G395830 transcript:KGN44916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAFGTQFRAESTRILTIFTTSLSPLHIPQIQAQLILQNLHSHPLIAHHFINTCHHLHLLGSAFLFFTHIPKPHVFICNSLIRAFSHSKIPHTPLFLYTHMNRNSISPNNYTFPFVLKSLADFKDLVGGQSVHTHVVKSGHASDLYVQNTLMDVYASCGKMGLCKKVFDEMLHTDVVSWTILIMGYRVSFMLDDALIVFEQMQYAGVDPNRVTIVNALAACASFGAIEMGVWIHEFVKTKRWEVDVVLGTALIDMYGKCGRIKEALAVFQAMKEKNVYTWNVFINGLASAKCGEEAIAWFKRMDEEGVEADDVTLVAVLSACSHSGLVNSGRQIFWSLIHGRFGFSPGIKHYSCMVDILARNGCIEEACVMIKDMPFEATRSMWGSLLTGSRAHGSLEVSEIAARRLVEMEPENGGYYVVLSNIYAEMGKWSEVEKVREIMKERGLKKDLGSSSVELQEVGKYA >KGN43688 pep chromosome:ASM407v2:7:3449722:3454572:-1 gene:Csa_7G058620 transcript:KGN43688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIQLAMASSPSLRNLLSLFTFSFFFFFLLSLSFCPTTSAQSPTAFACDAETNPSVSGFAFCDSSLGFEARVEDLVKRLTLQEKIGFLINNARNVTRLGIPKYEWWSEALHGVSYVGPGTKFSNVVPGATSFPQVILTAASFNASLFEAIGKVVSTEARAMYNVGLAGLTYWSPNVNIFRDPRWGRGQETPGEDPLLSSKYAAGYVRGLQQRDDGDPDRLKVAACCKHYTAYDLDNWKGTDRYHFNAVVSPQDLEDTFQPPFKSCVIDGNVASVMCSYNQVNGKPTCADPDLLAGVIRGQWKLNGYIVSDCDSVDVLYNSQHYTKSPEEAAAKTILAGLDLDCGDFLGKHTEAAVTGGLVNEAAISKAVFNNLLTLMRLGFFDGNPSKQLYGKLGPKDVCTPEHQELAREAARQGIVLLKNSPKSLPLSSSAIKSLAVIGPNANVTKTMIGNYEGTPCKYTTPLQGLSAVVSTSFQPGCANVACTSAQLDEAKKIAASADATVLVVGSDQSIEAESRDRVDLNLPGQQALLITEVAKASKGPVILVIMTGGGMDITFAKKDDKITSILWVGFPGEAGGAAIADVIFGSFNPSGRLPMTWYPQSYVEKVPMTDMRMRPSASNGFPGRTYRFYTGETIYSFGDGLSYSDFKHHLVKAPKLVSIPLEEGHICHSSKCHSLEVVQESCQNLGFDVHLRVKNVGQRSGSHTVFLYSTPPSVHNSPQKHLLGFEKVSLGRGGETVVRFKVDVCKDLSVADEVGSRKVALGLHILHVGTLKHSLNVKV >KGN44946 pep chromosome:ASM407v2:7:15350463:15358517:-1 gene:Csa_7G397590 transcript:KGN44946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLQGAFTIKAPLGKLSESKLVPSKMANKLKVDELRTQLAQRGLDTSGTKPVLVRRLESAILEEENLLKGKGVVSIDGKKRGRDSEDGGNLNESDLIRDVEKLREMKVQELRDEAVRRGIASTGSKKELLKRICEDCENEKEEEEEEETDACKDGNVGNGEKIITATKKGSAVLDLWLPDQMKTQYHVLEVGDEIYDAMLNQTNVRNNNNKFYVIQVLESDGGGTYMVYSRWGRVGVKGQDNIRPYTSKELAIHEFEQKFLAKTKNNWSNRKEFICHPKSYTWLEMDYSESEKDLSSNMERAPTSEIQPRETQLDPCIANFISLICNVSMMKQHMMEIGYNAEKLPLGKLSKSTILKGYDVLKRIADVIGLSNRSVLEQLSGEFYTVIPHDFGFKKMCEFVIDTPQKLKKKLEMVEALGEIELATKLLEEVNTMEEDPLYSHYQRLQCELSPVDVVSEEFSMVSKYTQNTHAKTHSDYTVDIVRIFRVSRKGEDERFKKFSNTKNRKLLWHGSRLTNWTGILSQGLRIAPPEAPATGYMFGKGVYFADMFSKSANYCYASRAATTGVLLLCEVALGDMVELLDADYNADKLPEGKLSTKGVGQTEPNHSEAMTLDDGVVVPLGKPKQSQRGKGALLYNEYIVYNVDQIRMRYLLQVNFHFKY >KGN43545 pep chromosome:ASM407v2:7:2605310:2606140:1 gene:Csa_7G044850 transcript:KGN43545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSKSTTFITLQCFFLISPLAFGDDIITDSSFLFHICSSFDNYTSNSPYASNLNQALDQLISNSPPSGFGLSSVRKDDDLQNQVNGLALCRGDVSSADCKNCVATASQEIQERCPNRKGAAIWYDFCLLKYSNTKFFGKIDNRNKFYMWNLQERDDEPEVFNKQVKRLLISLSEKVEGTKNLYVIGEVEMRTKGMEKLYGLVQCSRDLSSNACKKCLQIAIGELPNCCNDKIGGRVVGGSCNFRYELYPIVDAQK >KGN44194 pep chromosome:ASM407v2:7:7863672:7864891:-1 gene:Csa_7G219790 transcript:KGN44194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSWFYILLLIISVEADNDVAYDQLPIALPGCLYRCGEVEIPYPFGLTPECSLNDAFLVTCNDSFNPNKPFVRHVPITSISVDDGELGIKSPVANYCFDGNGNVSGKNETFLESNQFTISTKNIITVIGCSTISTISGTFQGNENYLTACASFCSSYRNMPNGSCSGVGCCQVTIPGGLNQMHVTVTGGDITNGSDIYSCGYGFVVEESEFKFSSAYVPHYPNATVSTVLDWSVGNESCLEAIDSQSYVCQGNSSCLNRDLMEGYRCKCLDGFIGNPYLPHIGCQGT >KGN43568 pep chromosome:ASM407v2:7:2700685:2702888:-1 gene:Csa_7G045570 transcript:KGN43568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPKVVDISRKRSIKYEIRDVAYSCMQSNTALTSSASLFPFARKTGKMFAETTTPTPTAAGASLRYSGVFLKRCFKPSLVVTPQCRRLGSANLDTKLALSIAKTKQKPCKELKFSSSRGMSISHISAAASPYLSGEQGSLFHKLPLLPPRKYAKKGPRAFRDDSYSVKRQSGVTQKPKWWLRTLACVPYLMALQMSSTAYYLMPLLEHLDVDNLIFYVPGSVQRLPWWFPMLYFNLAYFGVVRNKELPHFVRFHVMMGMLLETSLDIIWYASNFMPLIHYNGTYAMQYWAAVAFIYISSLLVCIRSSLLGTYAKIPFIFENALIHTFFNIGRYYRPF >KGN45320 pep chromosome:ASM407v2:7:17461337:17461534:1 gene:Csa_7G434965 transcript:KGN45320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGEETRYSIGMFSFKNGRIEVPQEFVDDANPLRYKPFHHYDFLTYDKANASHKTISRIKDYCGL >KGN44914 pep chromosome:ASM407v2:7:15178891:15179686:-1 gene:Csa_7G395810 transcript:KGN44914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIKVYGLALSTPTCKVLACLYEKDLEFEFINVKLHEGEHKKHPFLSINPFGQIPGFQDGDLTLFESRAITQYISANYTNNGTQLIPQDCKEAAALLTWIEVESHHFNPPASKLVYEIYLKPKLGWGETDGAVVEEKEAELAKVVDIYEKRLAESKYLAGEAFSLADLHHIPTLGFLLETQTKKLFEARPHVNAWVADIMARPAWAKVLALRK >KGN45146 pep chromosome:ASM407v2:7:16533455:16534676:1 gene:Csa_7G428830 transcript:KGN45146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQRTEKEETEFKVPETITLCVNNCGLTGNPTTNNMCQKCFNATTATASMAIKFSGEKSPRSTTSRSPEKFRFVSESRRIITAADRPKPDESAKREVNRCSGCRKRVGLTGFRCRCGDLFCAEHRYSDRHDCSFDYKAAGREAIARENPVVKAAKIVRV >KGN43291 pep chromosome:ASM407v2:7:1076956:1078803:1 gene:Csa_7G018730 transcript:KGN43291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVKHKESSSEKEEDGQAIIQMWRYIFRFTEMAAIKCVVDLKIADIIESYGSPVTLSQLSSTLNCSSSLLHRILRFLIHRGIFKQETIDENQIGYSQTPMSRLLATNVENSMAPLLLLETSPVMLAPWQHLSGHLKNSDTLPFEIAHGKDLWRYAEANHEHNLLFNEAMACGAKMIVSAIIEGCGDVFDGVRCLVDVGGGNGSTLSILVKAYPWMKGINFDLPHVVCASPQYENVEHVAGNMFDFVPNADVAFLKWILHDWDDEECIKILKKCKESIPKSGGKVIIIEAIIEAEKGEKKNKKLSDVGLMFDLVMMAHTNRGKERTAQEWAFLIHQAGFTTHTITPIQAIQSLIQCFP >KGN45458 pep chromosome:ASM407v2:7:18324127:18326791:1 gene:Csa_7G448690 transcript:KGN45458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVPIRRFTSFDFCKFVDNTQRKKNVGFYSRRNQMAGKKQKNDDLYAVLGLKKECSEAELKNAYKKLALRWHPDRCSASGDSKFVEEAKKKFQTIQQAYSVLSDANKRLLYDVGVYDSDDDDDDDGMGDFLTEMVAMMDQTKPNENGEESFEKLQELFQEMFNDDMDGLGSNSPTSYFSSSLSSSSSSSYSECSTSNNKRNSSDMNNFNSFQAHHVHNFSMGRGGGGGGGGEGSKGRNWRRKR >KGN45481 pep chromosome:ASM407v2:7:18492243:18492608:1 gene:Csa_7G448920 transcript:KGN45481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESSYELEAEDEAKSLLRSGYRRPSRRWILLRRKKLPTARLGGRRPPRTAAIWRSIKEIKMRKWAMTMTNKVRCKDIASFNAFLRKILLEISLAIPLLGVSLSI >KGN43728 pep chromosome:ASM407v2:7:3727775:3730890:1 gene:Csa_7G063920 transcript:KGN43728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVSHTEKPHAVCIPYPAQGHITPMLMLAKLLHHKGFYITFVNTDYNHRRLLKSRGPNSLDGLQDFKFRTIPDGLPYSDANCTQDIPALCESTSKNCLAPFCELISQLNSMAASPSSNMPPVSCIVSDAIMFFSVMAANEFKIPYAFIWTASACGYLGYFQYEHLIKKGLIPLKDMSQVTDGYLETTIRWTQEMTSIRLRDLPTFLRTTVRDDNTIIFVIQAMERSREASAIILNTVDAIEGDVQNDHLIDWGMCEAKRVVSLLFLGQC >KGN44444 pep chromosome:ASM407v2:7:10254228:10254521:1 gene:Csa_7G291150 transcript:KGN44444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKPEPNRKPVRTGSPTHLHRNTIIRFPSTLPCCISTFLHPFASELSQSPSAAVAGRPSTFAVEIGFYWISTGRPLLSLSFVVTSSFCDCGFWVFIG >KGN45517 pep chromosome:ASM407v2:7:18704525:18705721:-1 gene:Csa_7G450740 transcript:KGN45517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESKTEIKGSSSEREPKSPNIFERAKEEIEAVIHCNKSPRHHKETHGRSDDIDETTPADEVKAPGVFGRVKEEVEALVGAIHPKKDSGSH >KGN45526 pep chromosome:ASM407v2:7:18755247:18756170:-1 gene:Csa_7G451320 transcript:KGN45526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRVYPNAAGKANANANSNDNINPTATANAPPFPSTKSQLYGASRPPYRPQPHNRRRSRCCSICIWLTAIIATVIVLLAVASTIVYLVYRPHRPSFSITSLKIDSFKFTPSSQLNSKFDLNLATTNPNKKVKFIYSPISVAVLSNGVDVGDGSFPEFVHEKRNTTAMKVTVESSGGEIEGESVDSLKAAVKSKSGLPLEVEMETKVKVKMGWLKMPRVGLRVHCEGITGVVPTSKKKTAGVAAVENAECKVDFRVKIWKWIV >KGN44927 pep chromosome:ASM407v2:7:15242420:15248415:1 gene:Csa_7G396420 transcript:KGN44927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGLAVDSPAIKPINKGIVHRICAGQVILDLSSAVKELVENSLDAGATSIEISLKDYGEEWFQVIDNGSGISPTNFRVLALKHHTSKLSDFPDLQSLTTYGFRGEALSSLCSLGTLTVETKTKNESVATHLTFDHSGLLVAEKKTARQVGTTVMVKKLFSNLPVRSKEFSRNIRKEYGKLISLLNAYAVIARGVRFLCTNSAGKNAKSVVFKTQGSGSIKDNIITVFGMNTFNCLESVCILLSDDCKVDGFVSKSGQGSGRNLGDRQFFFVNNRPVDMPKVSKLVNELYKSANSRQYPIAILNFTLPSKACDVNVTPDKRKIFFSDETHILQTLREELLKIYSPTNACYSVNKVEEPTVQVDSLELCSDNGKLSMLLEHFSSDGGDLRDASSHQPKTDDDDSFNKIKNVEQSPHSTEMLNSDDEENATRKDFALRTHGTKKADVPLNDHDQHKRTYLSNKKGVHVTPFSPLLSVTGTDTSRVQSSLDKFVTINKRKSETLSAPLSEVPVLRNQFLNNQWKKTCPDIASKDIECTNGNFQVFDDFVVGNDEDGSIQFKTDRVVSKVYLPPSSADHSDDGEATEECTGEAVAKVHSSVIESTASPTKDLAMMSEDLPLPGCSIQPSGFLKESSSPQLKLCSTFHFDFHELKKRRFQRQLRFKLNGYTCERKKLKCHYAAATLKLSQTDNEDRKARALEAAARELDRLFRKKDFSRMKVIGQFNLGFIIGKLDQDLFIVDQHAADEKYNFERLSQSTILNQQPLLRPLGLELSAEEEVVVSIHMDVFRKNGFTIEEDPRSLPGNRFRLKAVPFSKNITFGVEDVKDLISTLADSEGECSIIGSYRMDTADSVCPSRVRAMLASRACRSSVMIGDPLGRNEMQKILEHLAELKSPWNCPHGRPTMRHLVDLTTVKRSEESEADC >KGN43421 pep chromosome:ASM407v2:7:1843440:1846215:1 gene:Csa_7G033290 transcript:KGN43421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGSIDAIGDLAQTGFWDSLLLFLKAFWSSLYNSFNSSTILLLVFAAGFSLAIGSIEQGLKHGWHDCVGILLAVFLLLFFSSVLGFCKKKAEEKKRLKIKNNFKVTVKRHEELQEISVFDVKEGEIIHLKKGDRVLADGLLIKGNNLILDEAINSHIDPRRNPFLLSGSVVEYGEGEMLAVSIDRDTAFWKGLLDVIVYPSQETLFQSRINKPYEFFEKFSLGLYLMVLLVVLTRLLCEKHEHGNYYNDKPETKGKLTVAFIGNAFQRMSFVFGKYRVSSVATVVFTMVVGIQHGMPLAITFSLSFWREKMRRSHKVNCQNLSACGTLGLVSVICIDVAAELSFCDVEVDEFYVGEEKINPGMEFHLDVHQGFEAASRVLRIDPKTTFLSEYLLDFWKNSGLKINNEPDLDQMFDIIDHKFLSSEESMGVLVNKTRGGDTVSNLIHEHFYGDASTILNMCSNYYDIGGRVHDIENRNDVLQTKIKEMEEKGLRPIAFACKQKNDQTGFEGELKLLGYMGLKISHEKIQHALKDLQNIGIRIILTSKDNVSVIIKMAGDLGTRCDPNNRQTEGDQRLREILMKNNEREKNELMKSITFMGKATSDDKLVLVKELKAKGETVAFIGGLTSGDVPTLIEADIGIIQENRSTKECKLVSDLRYEDVTSLNHTLKYGRSNYLNIKKFYQLQLTALISGLLITLICTMVSGKSPITSFHLTWVTLITCLLGGLMMVMELNDEEVQNVVGGSDRNQALITRDIVKKIVIHVLCQASVFLIIEYLGHKIVPQMKEDVRDTMIFNTYILCQIANLLGAISVGLVTNRAAVFQVAVQILWVLIFVVGVLAVQVVVIELHGTIVNGVKLSALQWIICFLFALALGWASYIFLHFAIH >KGN44571 pep chromosome:ASM407v2:7:11977287:11979180:1 gene:Csa_7G336500 transcript:KGN44571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFLATSATIPKLQRAGSIIVHNPWSSNVFVSLRPRHGSSQVTAAAADEAAHVVKRTTERAAEEVKDKAVSAADEVTQRTKEVAGKVSETAQDMAGKAKQTVEDAWGSVKDTTQNIKQKVVGKAEESKEAIKDTAENIKKNIKSNC >KGN44841 pep chromosome:ASM407v2:7:14653530:14656212:-1 gene:Csa_7G390200 transcript:KGN44841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGIVMVTRGGGCGGGGGANKMVKSGGGAKGSVTDDQNQISVVDVLLTALRKSMVYCRVDRREDLISTVHHMEIGWPTNVRHIAHVTFDRFNGFLGLPVEFEVEIPSSVPSASANVFGVSAESMQCSTDSRGNSVPTILLLMQDRLYRQGGLKAEGIFRINPENSQEEKVRDKLNRGIIPENIDVHCLAGLIKAWFRELPSGVLDGLSPEEVLQCNTEEESVELVKQLKPTEAALLGWAVDLMADVVEEEDSNKMNARNIAMVFAPNMTQREEASSGGYSPMSSHSSDRQMHEDFDSQEDMDTADESRGPNSDVENDVDDYNHSSEDGDEEEGSLSEIEDCFLRQLNETKSESCRSSTRQEGDLDMELSPRSCSGFNVESSITFTDSKNENSCLSSTSSDGEDSRTSLHEDEGQSIHKEDPMACKNFIDIQIDDKMREPVSSTSMVVASKESV >KGN44429 pep chromosome:ASM407v2:7:10117376:10117988:-1 gene:Csa_7G290520 transcript:KGN44429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVEELVEGHDGYCFEILRVKKWNCENLRLKKLKFGSSTWRNLSPFPPLVGDSCPIQVGSSRGPSGHMYPHHVHKHCTTGDPQVVLGIFARKHLHTNGWQYGRARTTIFTGPLWLLL >KGN43434 pep chromosome:ASM407v2:7:1891559:1894838:-1 gene:Csa_7G033420 transcript:KGN43434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIWSYRIIGCDRSLQIFTRHRRQKPIPFCYICNQHLISTMTNKTGTERRPALLFQCSNLPISPPRCVPFKKKKVIRTPPKFVTTHLKIMKGLFKPKIRSPVELVRYAQELLLFIDRNEEVREQKRAEKISELNKTISQMRTILYGEADAEPNPDACSQLTQEFFKEDMFRLFVTCIPKLNSGLRQCATHVLANLQRQQVKSRIIASEYFENNMDIMDILIPGYEDSDIAITYGSIARECIRHQCVAKYVLESEHIRKFFDYIQNPIFYVASDASATFRKLLTRHKSTVAGFFTKNFDWFFKEYNMKLLESTNYITKRQGVKLLGDILLDNSNSAVMVQYVSSLDNMRILMNLLRDPNKAIQRDAFDVFKLFVANKNKPPEITSVLVANRTKLLRFLDDLKPEKANEGFEEDKAEITRQISILESSDPSSSETENCDIEC >KGN43689 pep chromosome:ASM407v2:7:3467311:3468297:-1 gene:Csa_7G058630 transcript:KGN43689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQGKTYLLRIVSAVMDEELFFGIAKHKMTLVGKDGIYTKQFKTDYIMITPGQSMDILITANQSPGMYIMATRSYSSAFGAGFDNTTATAILKYSTITSPNPPNPFFPHLPPYDRTEAATDFTKRLRSLTTVDVSLEVDTRLFFTLSVNLMDCSNEDKPCAGPFGKRFAASINNVSFVTPSVSLLEAYHNKIGGVFTTDFPGNPPRKFNYTGENLPEKLLPTSFGTKVMVLEYNASVELILQGTNVLASDNHPVHLHGYSFYVVGWGFGNFDPKTDPKRYNLVDPPEETTVGVPKNGWVAIRFKANNPGLFLKPNSVYMFSWGFQFSQ >KGN43590 pep chromosome:ASM407v2:7:2849829:2852011:1 gene:Csa_7G047260 transcript:KGN43590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKRCQSLARILKSVSRSFSSSSSSTSASATSSQFPRTLAGLRERLAAESPILSDFVDLQSSDSYSVEVGTKKKPLPKPKWMRESVPGGEKYVQIKKKLRELKLHTVCEEAKCPNLGECWSGGETGTATATIMILGDTCTRGCRFCNVKTSRTPPPPDPNEPTNVAEAIASWGLDYVVITSVDRDDLPDQGSGHFAETVQKLKVLKPNMLIEALVPDFRGDSGCVEKVARSGLDVFAHNIETVEELQGVVRDHRANFKQSLDVLVMAKEFSLSGTLTKTSIMLGCGETPDQVVQTMEKVRAAGVDVMTFGQYMRPSKRHMPVSEYITPEAFEKYQNIGMKMGFRYVASGPMVRSSYKAGEFYIKSMIESDRAASNSQPTQL >KGN44713 pep chromosome:ASM407v2:7:13514241:13518690:-1 gene:Csa_7G374550 transcript:KGN44713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLSAIPILFLLQFTIFISSTPFNHASGAIIFHTKDGQEQREFDYFKLALQWPGTVCKGTRHCCSSNGCCNRSGAFGDFTIHGLWPDYNDGTWPACCNGKIFDEKEILTLLDPLKKYWPSLSCSSPSTCHGSKGSFWAHEWEKHGTCSYPVAHDEYNYFLTTLNVYFKYNVTKVLNDAGYLPSNTEKYPLGGIISAIQNAFHATPSFGCKKGAVEELYLCFYKDFKPRDCAESHSQNGIISSRSSCPKYVSLPAYEPKARIFEFADSSRQYLSA >KGN43807 pep chromosome:ASM407v2:7:4217330:4219851:1 gene:Csa_7G068600 transcript:KGN43807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVGGLTTCFARPTPEFIAPSTSYSAPVRVFFKPFKGKRFVLRRGGCWGPKCEIDPNFASVRIDQIGSNAPGLSALELLKTSSVNRYTKERISIVVIGLNVHTAPVELREKLAIPEAQWPQAIGELCALNHIEEAAVLSTCNRIEIYVVALSQHRGVKEVTEWMSKRSGIPISELCKHRVLLYNTDATQHLFEVSAGLDSLVLGEGQILAQVKHVVKTGQGVAGFDRKISGLFKHAITVGKRVRTETNISSGSVSVSSAAVELAQKKLPESSYATAKVMVVGAGKMGKLVIKHLVAKGCRKMVVVNRTQDSVDAVSKELKDVEIIYKPLSKILACASEADVIFTCTASKTPLFTKEHVAMLPPAGTETGRRLFVDISVPRNVEQRVSDLETVSVFNVDDLKEVVAANKEDRLKKVQEAQSIIGEEINKFEAWKDSLETVPTIKKFRAYVERIRAAELDKCLSKMGEDIPKKKKVAINDLSLGIANKLLHGPIQHLRCDGNDSRTLDEILQNMHAINRMFDLETDLSVLEEKIRAKVERGQK >KGN45522 pep chromosome:ASM407v2:7:18724932:18725204:-1 gene:Csa_7G450790 transcript:KGN45522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYSSSLCFLNNIFLLIFLLFGHEFLQLQALHHVYRASHRTSHFVLHQHQQQPYRTSYHFQPPKNWINGTLCQFLFFFFFWVFFFLIEV >KGN44142 pep chromosome:ASM407v2:7:7288742:7299951:-1 gene:Csa_7G206930 transcript:KGN44142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRNSSEVAHSNDQLSGRDDGSVMSNSQETTQNASGVPVNADLEQSNSNWMDPDLWDPPEPEDPEDHMEGGMGYNDDDDEEFGDNSEWSTASSFSRSVDETSVSYRFKEEKQRALQQVMNGKHKAFIRDLLKLGEDSENWVDIVSSLSWEAATFLKPVVNGKAMDPDANVKVKCIATGTRNQSQFVKGMVFKKHAAHKHMPTHCKNPKLILIQGMLGEAPISRLSSFNSMDQENDFTNHVIEMIEGCTANVILVEKTAARVIQEAILKKGMTLVLDMKLHRLQRIALCTGSPILTSETLMSQKTRQCDAVYFQKIVEEHAGVLEGGKMPTKTLMFIEGCPTRLGCTILLKGAHSDELKRVKIVVQCAVVMAFHLILETSFIVDQRAMFATIPFGGVPATASSDRQSPLEPRSPNVGKAESASDKSEQPTNICISRGSHEETSTGSNMELAEKLIMSSEPEPYNPAIFSGFSSISDSLKRVMGESFLSSSPYQSLSSYFGHGSDLSGLVAKSGSIPSTPQAVYQFDVEVKGSSDEENSVHESSVSHQSTLEGLGFHETALNYSVDTMQKKMSLDSQSILVLMSSRNALKGAICEQSHFSHIVFYKHFDVPLGKFLQENLLNQNNLCTVCGELPEAHIYFFAHYRKQLSIQVKQLPGDKVLPGETEGKLWMWSRCSKCKSTGGPSKSTKRVPISTAARGLSFGKFLELCFSDDTLLSKSPVCGHSLFGDFLYFFGLGNMVAMSRYSEVAIYTVSMPPQKLEFNSSMRQGHFIRETENVYTKGVLLFTEIAHSLKKIISERKSSNVNHPQGFPNDFSFVEEMLNEERSEFEINIQNSLTKKGNPDFLNLNRLLWDLLLESCIWDRRLQSLASLGVTTNSGSSEIVEPEPLLLKMNSNIDVGPEELESIAENDETKVQQDISLDENVLPLKEITVEGSDGESGGDELNLPSAIEVTEIPIIDDPSPKKLSRQGTLSNGFDYHHSDYEDSQVGRVLSSGDLHIDRTIPISIGDSTLSKLFCTPFSEIRQMHLRDIQRSYFPELKSISSYTPKLLPAASDFIHEEGQKLHIHLANDNFVVSDYEGELSSIVACVLALLKDQPFQTDSHNEDSKGEGGGALQPALSSRSLNKVPSNGSSDSDSSISSEEYRFSSFDRLNLLDSLVSETFKRSDYEGVIKSLAKGKYLVNCPYINQFRDLRSRCCPSELHFIASLSRCINWNAKGGKSKSFFAKTLDDRFIIKEIKRTEYDSFMKFAPEYFKYINESFDMGNQTCLAKVVGIYQVTVREPKSGKEMRHDLMVMENLSFGRNIIRQYDLKGALHARFNPATNNFGEVLLDQNFVNDMNSSPLYVSNRAMRRLQRAIWNDTAFLNSINVMDYSLLVGVDAEKKELVCGIIDYLRQYTWDKQLETWVKSSLIPKNVLPTVISPKEYKRRFRKFMSAHFLSVPDHWCMQQNLPGPWDLFDTADPASSHRTNADENDASS >KGN43856 pep chromosome:ASM407v2:7:4574640:4586069:-1 gene:Csa_7G071450 transcript:KGN43856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAISGPAASIPKTSTTQQRNDHQQQQDHLRNQARPPLLPSERDNGVLQRKPRGRQVPSRYMSPSPSTSTSTSSTTSSSASSRRFPSPLLSRSTNLTPASTPLPSSGPKRSQSVDRRRTTTPRSITPVLDSRHGNATDSSAAAKLLVTSTRSLSVSFQGEAFSLPISKTKATATPSLSNARKGSTPERRRATPLRDKSDGSGVQVENSKLLDQHRWPARNRHANLEGNPLSRSFDCGGEQKKVNGIGSGMVVRALQQTISDDSRRASFDGRLSLDLNSSELIKAVRQNPDADSVNESSVPSDLTTSDTDSVSSGSTSGVQDCGSVAKGRNGPRGIVVSARFWQETNSRLRRLHDPGSPLSTSPGARVGAPSKFSQSKRFSNDGPLSSPRTMASPIRGGTRPPSPSKLWTSSVSSPSRGISSPSRTRNGVGGSLVSNSISTPSILSFSVDIRRGKMGEDRIVDAHVLRLHHNRYLQWRFVNARADATFMLQRLNAERNVWNAWVTISELRHTVTLKRIKLLLLRQKLKLTSVLKGQISYLEEWALLDRDHSSSMLGATEALKASTLRLPVVGKAIADIQNLKDAVGSAVDVMQAMASSICSLSSKVEETNSVVAELVKVTAKERILLQQCEDFLSTLAAMQVKDCSLRTHILQLNRFPTRQQPNKYM >KGN43556 pep chromosome:ASM407v2:7:2658509:2659063:1 gene:Csa_7G044960 transcript:KGN43556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLLVKVDIVGLQNEDGSFSRDVWGEVDSRFSYIAISRLSLLHQLEKINVEKAVNYMLAAKNMDGGFGCTPAGGSRSGQIFCCVGALAIMGSLHHIDKDLLG >KGN44389 pep chromosome:ASM407v2:7:9831617:9835148:-1 gene:Csa_7G278730 transcript:KGN44389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELVPYSDPSSNSNSNSNSSSPPWQDMFRSGSVRKPSPDPQNQSSKLPQSDSNSSFSGDPQVRLALYIAMAHAGLAFTILTLYAVGRILEAYLRPLQWAVLCSIPLRGIQQTLEGFWSEPLQLGLTETLLAIPVAVFKVFVGTLVQFREVCFRVVLRRKKSGHVRRNQSVFSKLLRWLVSFWIFILAYENFGVIGSVSLLGLGFLFSSKSVDPTRYNVSSFRSLSFRRTAVSAFFTKGLLKRLKTIVAIGLIVAMIVVFLAGSVFFSYKIGVEGKDAMISLKLHVEESNYAERIGVKKWMEENDLPGMIDSYTSQFYEAVLEQIDSYAMQYNMTEFVTGIKHLALSSSRANSSGASTSLITPSPYTQKLMSLRNSVSNKEWGQIYTELDAIIRELIITREDLVEKAKGLAVQGMDISQRVFASSVSVLGGSAKLMLSIGRSIISGAAEVFNFVSQSMVFFWVLYYLITSESGGVTEQVMHMLPIEDSARIRCVEVLDHAISGVLLATAEIAIYQGCLTWLLLRLFEIHFLYVSTVLAFLSPLFPIFPSWFATIPAALQLLLEGRYVVAICLAIIHLALMDYGISEIQEDIPGHSEYLMGLSIIGGMTLFSSALEGAIMGPLITTVVIALKDLYVEFVLGENKGKEKEKEKEKVKHN >KGN45275 pep chromosome:ASM407v2:7:17282917:17283668:-1 gene:Csa_7G432570 transcript:KGN45275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPISLSTSLKLALTLAAFFSFLPPTSVGLVLSRSGRSELGKAENDEMKNLEEKMVIGSRPPGCQNKCMNCRPCMAAAVVPVHRMKGKAFQSFSSSREEEDSYYLLSWKCRCGNKIYQP >KGN45397 pep chromosome:ASM407v2:7:17877320:17881928:1 gene:Csa_7G447120 transcript:KGN45397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLPHLSTLRFLFFSPSKLPFSPSLYSPKSHSLFTVLASSPPKRRRSATAPPSLNFKRRNSSSLRETKGKENSVPMEETEATSFGFNKRRAEGRDKTDLPKKNLQLKVRKLNPANTISYVQILGTGMDTQDTSPSVLLFFDKQRFIFNAGEGLQRFCTEHKIKLSKIDHIFLSRVCSETAGGLPGLLLTLAGIGDVGMSVNVWGPSDLKYLVDAMKSFIPNAAMVHTRSFGPTVSSDADAVHELSKCREPIVLVDDEVVKISAILVHPRPNRRLGLFDEDDMGHSQEQRRNDNSEARSSTTKTSSTVKPGDMSVVYVCELPEIKGKFDPSKAAALGLKPGPKYRELQLGNSVMSDHQKIMVHPSDVLGPSVPGPVVLLIDCPTESHLSELMSLESLRPYYEDLSSDQTETGKVVTCVIHLSPASILGNPNYQKWARRFESAQHIMAGHHRKNVAIPILRASAKIAARLNHLCPQLFPAPGFWSHQQLTMPGSDSCASTEIEVSNHYKSTLAENLLKFTLRPYAQLGFDRSNIPSQESLPEIINALHSEIPEIVDAVEHVSQLWRGSAETDERTPVEENNAMVEEPWLDENKVPSCLENIRRDDLEIVLLGTGSSQPSKYRNVSSIYINLFSKGSMLLDCGEGTLGQLKRRYGVEGADAAVRSLRCIWISHIHADHHTGLARILALRRDLLREVPHEPVLVIGPRQLRRYLNAYQRLEDLDMQFLDCKDTTEASLEAFQKLASDIDNSPSESPISSTNENSTLIDGTIGRKTESSLFVKGSRMQSYWKGPSSPVDINAAVPLLKCLNEVLNEAGLEALISFPVVHCPQAYGVVLKAAERVNLDGKVIPGWKIVYSGDTRPCPKLMEASRGATLLIHEATFEDSLVDEAMAKNHSTTSEAIDIGNSAGAYRIILTHFSQRYPKIPVVDEKHMHKTCIAFDLMSVNVADLSVLPKVLPYLTLLFRDEMMVDESDDVTMES >KGN44495 pep chromosome:ASM407v2:7:11012316:11016651:1 gene:Csa_7G318950 transcript:KGN44495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIPISVSVSVSVSVSVSIIVFSLLLPSISGLNQQGISLLSWLSTFNSSSSATFFSSWDLTHQNPCSWDYVQCSGDRFVTEIEISSINLQTTFPLQLLSFNSLTKLVLSNANLTGEIPPAIGNLSSLIVLDLSFNALTGKIPAKIGEMSKLEFLSLNSNSFSGEIPPEIGNCSMLKRLELYDNLLFGKIPAEFGRLEALEIFRAGGNQGIHGEIPDEISKCEELTFLGLADTGISGRIPRSFGGLKNLKTLSVYTANLNGEIPPEIGNCSLLENLFLYQNQLSGRIPEELGNMMNIRRVLLWQNNLSGEIPESLGNGTGLVVIDFSLNALTGEVPVSLAKLTALEELLLSENEISGHIPSFFGNFSFLKQLELDNNRFSGQIPSSIGLLKKLSLFFAWQNQLTGNLPAELSGCEKLEALDLSHNSLTGPIPESLFNLKNLSQFLLISNRFSGEIPRNLGNCTGLTRLRLGSNNFTGRIPSEIGLLRGLSFLELSENRFQSEIPSEIGNCTELEMVDLHGNELHGNIPSSFSFLLGLNVLDLSMNRLTGAIPENLGKLSSLNKLILKGNFITGSIPSSLGLCKDLQLLDLSSNRISYSIPSEIGHIQELDILLNLSSNSLTGHIPQSFSNLSKLANLDISHNMLIGNLGMLGNLDNLVSLDVSFNNFSGVLPDTKFFQGLPASAFAGNQNLCIERNSCHSDRNDHGRKTSRNLIIFVFLSIIAAASFVLIVLSLFIKVRGTGFIKSSHEDDLDWEFTPFQKFSFSVNDIITRLSDSNIVGKGCSGIVYRVETPAKQVIAVKKLWPLKNGEVPERDLFSAEVQILGSIRHRNIVRLLGCCNNGKTRLLLFDYISNGSLAGLLHDKRPFLDWDARYKIILGAAHGLAYLHHDCIPPILHRDIKANNILVGSQFEAVLADFGLAKLVDSSGCSRPSNAVAGSYGYIAPEYGYSLRITEKSDVYSYGVVLLEVLTGKPPTDNTIPEGVHIVTWVNKELRDRKNEFTAILDPQLLQRSGTQIQQMLQVLGVALLCVNTSPEDRPTMKDVTAMLKEIKHESEEYEKPNSLERGAITNPKAAVHCSSFSRSSEPLIRAVPSAVP >KGN43775 pep chromosome:ASM407v2:7:4053379:4058428:-1 gene:Csa_7G066320 transcript:KGN43775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSDGGGEDLCKQMTELSKTLKEGERILAPTRRPDGTLRKPIRIRAGYVPQEEVAIYQSKGALWKKEMASHDGPPGYEPPTTDVKTKTKSAKRNERKKEKRLQAAHEKDKVLEQVVVEEITEAKEAYVDNRLEPIQSLSSQMNELSVSTNLKVESPSSEPIDDPQLPSSDLDKRIRATKKKIRMAEAQLQKTPLQDMKPEQANKLSKLETWRSELMLLEEQRLKLNSSS >KGN43977 pep chromosome:ASM407v2:7:5219417:5221137:-1 gene:Csa_7G075570 transcript:KGN43977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMEPRNNHGLHRKRFGAYLQSDPAIFAGDDNDFSPRHSNASAATSPVYNYDKSPASGDASPYLMSPWNQSTSPYSKSPWTLRSGMNLYDDEFYAQSGLIGSLVREEGHVYSLAVAGDLLYTGSDSKNIRVWKNLKEYTGFKSNSGLVKSIILCGDRIFTGHQDGKIRIWKVSSKNPKSHSRIGSLPTLKEFVKSSMNPKNYVKVRRNRNVLRIKHFDAISSMSLNEELGLLYSGSWDKTMKVWRIADSKCLESITAHDDAVNAVASGLESLVFTGSADGTVKVWRRELQGKGTKHFLVQVLLKQETAITALVVNKSSAVLYCGSSEGVVNYWESEKHLSHGGVLRGHKLAVLCLATAGNLVFSGSADKNICVWRREENTGSHTCLSVLTGHSGPVKCLAVKEDEEESASSPEEESEVKKVYGSYKKRRWIVYSGSLDRSVKVWRVAENAPELLKIREADKAYLNKK >KGN43997 pep chromosome:ASM407v2:7:5423106:5423346:-1 gene:Csa_7G085710 transcript:KGN43997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSIKPLNAEALRRAKEVHSGSRLSCKSFTMEVVRRSPSVTLCCVEVVRRFEEPSVEMRVGFQRGDVRDY >KGN45403 pep chromosome:ASM407v2:7:17926202:17934856:1 gene:Csa_7G447180 transcript:KGN45403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDDIESASLGVYQDRQRTFPDMRSKVNTPLIFRILMGINIRVLLVLLLFAFGIIFYIGASTSPIILFVFSICIVSFCFSIYLTKWVLSKDEGPQEMAQISEAIRDGAEGFFRTQYSTISKMAMLLAVVILCIYLFRSTTPQQESSRIGRSTTAYITVAAFLLGALCSGIAGYVGMWVSVRANVRVSSAARRSAREALQIAVRAGGFSAIVVVGMAVIGIAILYAAFHVWLDVGSPGSTKVTDLPLLLVGYGFGASFVALFAQLGGGIYTKAADVGADLVGKVEQGIPEDDPRNPAVIADLVGDNVGDCAARGADLFESIAAEIISAMILGGTMAERCKIEDPSGFILFPLVVHSFDLVISSIGILSIRRGTRESGVKGPIEDPMTILQRGYSVTIVLAVLTFGLSTRWLLHTEQAPSAWFNFALCGLVGIITAYIFVWITKYYTDYKHEPVRSLALSSSTGHGTNIIAGVSLGLESTALPVLVISVSIVSAFWLGRTSGLVDEAGNPTGGLFGTAVATMGMLSTAAYVLTMDMFGPIADNAGGIVEMSQQPESVREITDLLDAVGNTTKATTKGFAIGSAALASFLLFSAYMDEVASFAHEPFKQVDIAIPEVFVGGLLGSMLIFLFSAWACAAVGRTAQEVVKEVRRQFIERPGIMDYTEKPEYGRCVAIVASASLREMIKPGALAIISPMAVGFLFKILGHYTAQPLLGAKVVAAMLMFATVSGILMALFLNTAGGAWDNAKKYIETGVLGGKGSDCHKAAVTGDTVGDPFKDTAGPSLHVLIKMLATITLVMAPIFL >KGN44041 pep chromosome:ASM407v2:7:6030762:6034032:-1 gene:Csa_7G132890 transcript:KGN44041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSRDRDDPLSFAPTNPSSSSSPVSVSDPRDSFLSDPTTHIGSASSSFQNEGLLSDFSPNISDAEFGFSRPEFRQTPLAATVDFYERHVFLCYKNPQVWPPRIEAAEFDRLPRLLSAAVMSRKADMTKETRLTICEGHDGTETSNGDVLIFPDMIRYRRLTHFDVDTFVEEVLVKNSEWQPGSPEALKGSYVFVCCHGSRDRRCGVCGPTLVSRFRDEIKSLGLQNVSVSPCSHIGGHKYAGNVIIYGSNANGEVTGHWYGYVAPEDVFLLLQHHIVKGKIVDELWRGQMGLSEQEQMLSLELRLRVISGTNGHKNKEELAQIEANDSNSDPYRSRAEVAACCQEDADGYSSCCQNPKLSRTVIDSDTDNLSPNTVTAKGNRKLTSRSNSCKSFSRKVCAMPTWLESWEREDTYAVAAVICAAVSVAFAYRCYKQL >KGN45187 pep chromosome:ASM407v2:7:16770479:16781599:-1 gene:Csa_7G430220 transcript:KGN45187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPVNIIVGSHVWVEDSEDAWIEGQVTEIKGKNATILTTNAKNIVAEISSIYPKDTEAPPAGVDDMTKLAYLHEPGVLHNLACRFSLNEIYTYTGNILIAVNPFRRLPHLYDIHMMEQYKGATFGELSPHLFAVADACYRAMINEQGSQSILVSGESGAGKTETTKMLMRYLAFMGGRSDTEGRTVEQQVLESNPVLEAFGNAKTVKNNNSSRFGKFVEIQFDKNWKISGAAIRTYLLERSRVCQVSDPERNYHCFYMLCAAPPEDVKKFKVGDPRTFHYLNQTNCYEVANVDDSREYLETRNAMDVVGINQDEQDAIFRVVAAILHLGNVEFMKGKEFDSSKVKDEKSNYHLQTAAELLMCDVKSLEHSLCQRVIVTPDGNITKPLDPDSAALSRDALAKTVYSRLFDWIVDKINSSIGQDPNAASLIGVLDIYGFESFKVNSFEQLCINLTNEKLQQHFNQHVFKMEQEEYTKEEINWSYVEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFAQKMYQTYKGHKRFSKPKLARTDFTINHYAGDVTYQADQFLDKNKDYVVAEHQALLNASQCFFVANLFPPLPEETSKQSKFSSIGTRFKQQLQALMETLNTTEPHYIRCVKPNTVLKPGIFENYNVLNQLRCGGVLEAIRISCAGYPTKRTFDEFLDRFGMLAPDISDGSDEKSACIAICDRMGLKGYQIGKTKVFLRAGQMAELDARRTEILANAVRLIQRQIRTYLTRKEFIALRRATIHMQKLWRGQLARKLYEQMRREAASIRIQKHARSHADRKSYKRLLASAIVIQTGMRAMAARNEYRHRRRTKAAIIVQTEWRRASAISAYKQQQKATLALQCLWRSKVARKELRKLKMAARETGALKEAKDKLEKRVEELTWRLDFEKHLRMDVEEAKGQEVAKLQNALEEMQGQLDEANAAIIREREAAKLAIEQAPPVIKEVPVVDETKLEILKNHNEELEGVVGELKKKVEEFEEKYAEVERESKARLKEAEEAQLKSMQLRETIERLESNLSSLESENQVLRQQALVAADNESLSEELETLKSKIGSLEAENEVLRNRTVAVEHIPVPAAALTESKTLDNGHLIEEEIKSTKEPSTVPIKSTKEQSTVPILAKQGSLTEKQQENHDVLIKCLAEDKRFDKGRPVAACIVYKTLLQWRSFEAEKTNIFDRIIHTIRSSIESQENISDLAYWLSTSSTLLYLLQSSLKATNTSTVASNRNRASPATLFGRMAYGLRSSSVGMGMSSGYSGMVGKTNNQSKVEAKYPALLFKQHLAACIEKLFGMIRDNLKKEISPFLHLCIQAPRSVRARSIRGSSKNIHSNIVAKQQASSIHWQSIVNKLDQTLDIMLENHVPSMIMRKIFFQVFSFINVQLFNSLLLRRECCSFSNGEYLKLGLQELEQWCNKATDTHAGNSWDELQHIRQAVGFLVLHQKSQKSLNEITDELCPILSIPQIYRIGTMFWDDKYGTQGLSPDIIGKMRLLLAEDSINIPNNSFLLDVDSSIPFSMEEICRSFGEDGGVNLSNVDPPPLIRQRSDFHFLVQQLTE >KGN43366 pep chromosome:ASM407v2:7:1491580:1493845:-1 gene:Csa_7G027820 transcript:KGN43366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRIKAVVDKFVEELKEALDADIQDRIMKEREMQSYIEEREREVAEREAAWKAELSRREAEIARQEARLKMEKENLEKEKSVLMGTASNQDNQDGALEITVSGEKYRCLRFAKAKK >KGN43869 pep chromosome:ASM407v2:7:4642405:4644359:-1 gene:Csa_7G071580 transcript:KGN43869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCLCVNPEFISLSDLLQGRINNSHLRQIHARVFRLLKHQDNLIATRLIGHYPHSVGLRVFNQLIRPNIFPCNAIIRVLAEHNSSFFALSIFKYLKHLSLSPNDFTFSFLLKAFHRSCNALNVKQVHTHVLKMGYFGDSFISNSLLGVYARGLKEMASAHKLFDEMSDREMACCWTSLIAGYAQMGLAEKAMLLFFMMVKENIQPEDDTIVSVLSACSKLQIAEIEKWVVELRQLVNKCDSKRSCCDSINIVLIYLYGKWGMVEKSEEKFNEVVDKRSVLVWNSMINAYFQNGFPVEALTLFRLMVENPHCKPNHVTMVTVISACAQIGDLQLGSWVHEVLQRGGRKGIIASNKMLATSLIDMYCKCGSLERAKEVFHQLINKDVITFNAMIMGLAVNSKGDEALKLFAQMQEINIIPSTGTFIGLLSACSHSGFLEQGRQIFIEMTTHYLVSPSLEHYACYIDLLARAGHFDDALEVISTMPFEPNNFVWSSLLRGCLLHSRFELAQYVSKKLVEVDPENSAGYVMQANSFATDLQWDDVSALRWFMREKGVHKQPGQSWISIDGTVHEFFSATKSHPYVDLLYTTLNELEKQMKLVIP >KGN43368 pep chromosome:ASM407v2:7:1502328:1502937:-1 gene:Csa_7G027850 transcript:KGN43368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNELKMSPLFTLVALLTMQKTSLLILPIIGGTERSKFGGFRFSHYQCGKSLRLDVNGGRCREEIHP >KGN44392 pep chromosome:ASM407v2:7:9866774:9872475:-1 gene:Csa_7G279240 transcript:KGN44392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKAKDGDGTPQFNLSEENLESNFQKTREEENDSPIEEVRLTVPPTDDPSEPALTFRTWILGLTSCCLLAFVNQFFGFRQNQLYVSSVSAQILVLPLGKLMAATIPSSSFRVPFTKWSFSLNPGPFTLKEHVLITIFANSGSNSVYALNIVTIVKAFYHRNLHPLAAMLLSQTTQMLGYGWAGLFRRYLVDSPYMWWPANLVQVSLFRALHEKDKRPRGGHTRLQFFFMVFISSFAYYLVPSYLFPSISCISFVCLIWRNSITAQQIGSGLRGLGIGSFGIDWSTVAGFLGSPLATPGFAIVNILIGFFMVVYVINPIVYWSNFYEAKRFPMISAHTFDFTGKTYNISRILNAKTFDIDQANYDGYSKLYLSAFFAFTYGLSFATLAATISHVALFHGKTIWQMWRRTTSAVGDQLGDVHTRLMKKNYKEVPQWWFYTVLIIMVALALYACEGFDKQLQLPWWGILLACGIALFFTLPIGIIQATTNWQPGLNVITELIIGYMYPGRPLANVTFKTYGYISMSQALTFVSDFKLGHYMKIPPRSMFLVQLVGTVVASSVYFGTAWWLLTTIDHICDPALLPEGSPWTCPGDDVFYNASIIWGVVGPLRMFGKLGVYPEMNWFFLVGLLAPVPVWWLSRQFPNQEWIRLINVPIIFGAGLGIPPARSVNYLMWGVVGIFFNFYIYRKHKGWWARHNYILSAALDAGVAFMGVLIYFTLQSKDIIGPEWWGLSSTDRCPLASCPTAPGIVVKGCPVH >KGN45167 pep chromosome:ASM407v2:7:16667293:16668237:-1 gene:Csa_7G429530 transcript:KGN45167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHTQILMNHNFVVWTQPPNLPPVLPLENRGKFLQASQRSLSTPSTSIHGRCFRKGKRPDMRRKDLTAISYKTSNWNELQYQNRLKSRRFYPKKKSNYRFPPFAPRNTTSFLIRAKRSGGIASLVSPYPVTPAVLPTPIFSPLREVLVDMAKEEWGLDGYGSMKGLIRLRSAKDYEDEDEEEEEDEVGESGDSDVEGHLEVERRLDHDLSRFEMICPTSVGEEQSTLLENRVDDRDCHISQLEEENLTLKERVFFMERELEDLRRRVQCLETEGWRFHLMDNNKEETAASENAFDNGGNDLACSEKSINDIGNE >KGN43748 pep chromosome:ASM407v2:7:3845510:3853491:-1 gene:Csa_7G064580 transcript:KGN43748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDSNFEDAMYGSGVMDNGGRGLGNIQNRVDDEDDDINGGEESIDNPQMRFEDSGGMSGSVSVINRVEDVVPSTYISGSDYNPLTGNGGADQLTLSFRGEVYAFDSVSPDKVQAVLLLLGGYEIPSGIPAIGSAPVNQQGADGFTVRSVQPQRAASLSRFREKRKERCFEKKIRYSVRKEVALRMQRKKGQFISSKAIGDEVGSSSVLSQTLDSGQDDGLLETSCTHCGTSSKSTPMMRRGPAGPRTLCNACGLKWANKGILRDLSKVSNPSIQEPSAKEIEQSDGEAANEHNAAINVDILTSNGDKKPQKWGVINAFALSDGFLVKVEEEEASHMKLRTDEGGLITSGACFPLGKFPADFCSTLLTLTLVRCNHRQLRVRSIARFFLLVPRTDSHLRPLPSSPGPLASCRSFGFLGGRLGVLHIEQSKQNGSWRCVYEFLGYI >KGN45591 pep chromosome:ASM407v2:7:19130008:19131592:1 gene:Csa_7G452950 transcript:KGN45591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPALKIDSGHQDTVHDVSMDYYGKRLATVSSDQTIKIIGVSNSASQHLATLTGHQGPVWQAAWAHPKFGSLLASCSYDGRVIIWKEGNQNEWTQAHVFDDHKSSVNSIAWAPHELGLCLACGSSDGNISVFTARQDGGWDTSRIDQAHPLGVTSVSWAPSSAPGALVGSGLVDPVLKLCSGGCDNTVKVWKPYNGIWKMDCFPALQMHTDWVRDVAWAPNLGLPKSTIASASQDGKVIIWTVAREGDQWEGKILSDFKTAVWRVSWSLTGNILAVADGNNSVTLWKESVDGDWQQVTTVEP >KGN44161 pep chromosome:ASM407v2:7:7466208:7466492:-1 gene:Csa_7G209570 transcript:KGN44161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIIDELNQGLEPRILSGLLFEVLADDLGWVLLDLSNQAVAISAISSPVVEGANDDRLPTGITTLKDNEILLGLRNFTIFKLLLLLCVFRLLTL >KGN45230 pep chromosome:ASM407v2:7:17094107:17094418:-1 gene:Csa_7G432110 transcript:KGN45230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSEEIVECLSNLQLKNRYKEGLSVLKDTVRQPLYNLHIFVNHEFYVNSNKASPYLQPLANHNDVIEGKANQLLGRRQWTPCQRSKVPNFTSSILTSRQVVDK >KGN45592 pep chromosome:ASM407v2:7:19135685:19136959:1 gene:Csa_7G452960 transcript:KGN45592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVNKFLIGGYFDGGVGEFSPEMTKAADHFTIDDLLDFSNEDTIMTDGLFDNMAGSSTDSSTITAVDSCNSSVSGGDHHHFHGNIGSRSFDESQFSGDLCVPCDDLAELEWLSNFVEDSFSTEGKDLQVLNYLSNSHSTSKPQTPETSSSSALPASLSIPSNSSNNSPRFPAETPLPCKARSKRSRTAPCDWTTRLLHLLSPADPKPPKSSSSKKKDASNGDSSGRKCLHCQAEKTPQWRTGPMGPKTLCNACGVRYKSGRLVPEYRPAASPTFISAKHSNSHRKVLELRRQKELHIAQQQQFVNQGAIFGVTNGCDEYLISHHMGPSVRHMI >KGN44712 pep chromosome:ASM407v2:7:13509200:13513759:1 gene:Csa_7G374540 transcript:KGN44712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRTRLFWFSLGFATTAASISHFVWRDLLAYRCALSSDASFMERSFDALEARISNLESARNRNSVSSAEGATVDKNHVNVLGSVAYVLVLCRWPMTDCWWSSLFSLAHRREAIS >KGN43927 pep chromosome:ASM407v2:7:4937831:4943468:1 gene:Csa_7G073630 transcript:KGN43927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIFSKQKIWRAGTTHDDSMGFNGVSAASKRKWTRFLPLFVAIVVIAEIASLSRLDMAKNAAMVDSWVDLFYGSPVLQEGNEGGSKTGTEISVDDGGIDGISCEEWLEKEDAVEYSRDFKREPVLVSGSEKEWRTCDVGCQFGFNPGQQPDAIFGSPNQGSVASVLRSMESSHYYPENNIDLARRKGYTVVMTTSLSSDVPVGYFSWAEYEIMAPVQPKTEPALAAAFISNCGARNFRLQALDALEKLNIKIDSYGSCHRNHDGRVDKVETLKRYKFSLAFENSNEEDYVTEKFFQSLVAGTIPVVVGAPNIKEFAPSPDSFLHIKEFSDVESIAKSMKYLAKNPQIYNQSLRWKYDGPSESFKALVDMAAVHSSCRLCIHMATIIHEKEENSPRFKKRPCKCSQGSETVHHLYVRERGRFEMQSIFLRSGNLTLEALESTVLKKFNSLKHVPIWKQERPESIRGGDELKLYRIYPVGLTQRQALYTFRFKGNDDFRTHIETHPCAKMEIIFV >KGN43312 pep chromosome:ASM407v2:7:1188874:1190270:1 gene:Csa_7G020910 transcript:KGN43312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHETDLPGFRFHPTEEELLNFYLKNVVSGKRLQFDHIGILNLYQHDPWDLPGLAGIGEREWYFFVPRDRKLGGSGRPNRTTKRGYWKATGSDRKIVSLSDPKRLIGLRKTLVFYLGRATRGSKTDWIMNEYRFPDNSPLPKEMVLCKIYRKATSLKVLEQRAAKEEEDAKPFQASYSPPASPFETISFCSQQETMVSSVTPPTHEVLNKEVEVATMVDEILEDKAVEPQISSTSLQIPSELEKLTELQVPKLDMDWSQDLFWTQFNSPWLQTLTPFASMLNF >KGN43777 pep chromosome:ASM407v2:7:4079318:4087030:-1 gene:Csa_7G066830 transcript:KGN43777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQSSIWRVKKLSVLRPQIFALGNVRFSTFAEPSSKPNPPRVPNLIRGTFVDSQSSAFIDVINPATQEVVSQVPLSTNDEFKAAVSAAKQAFPAWRNTPVTTRQRIMFKLQELIRRDIDKLALNITTEQGKTLKDAHGDVFRGLEVVEHACGMASLQMGEYVSNVSHGIDTYSIREPLGVCAGICPFNFPAMIPLWMFPIAVTCGNTFVLKPSEKDPGASIMLAELAMEAGLPDGVLNVVHGTNDVVNAICDDEDIKAISFVGSNIAGMHIYSRGSAKGKRVQSNMGAKNHAIVLPDAGIDTTLNALVAAGFGAAGQRCMALSTVVFVGEFKFWEDELVVRAKALKVNSGTEPDADLGPVISKQAKDRIHRLIQSGIDSGATLLLDGRNIVVPGYEHGNFIGPTILTDVTPDMECYKEEIFGPVLLCMRAKSLEDAISIVNGNRYGNGASIFTTSGIAARKFQADIEAGQVGINVPIPVPLPFFSFTGSKASFAGDLNFYGKAGVNFFTQIKTVTQQWKDSTGGCAVNLAMPTSLKS >KGN45088 pep chromosome:ASM407v2:7:16194923:16198780:1 gene:Csa_7G420810 transcript:KGN45088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRDVSSCNTYNYGDAVYWDARYLQEAGSFDWYQRYSSLRPFVRKFISTSASVLMVGCGNAVMSEDMVKDGYEDIMNVDISSVAIDMMKRKYQFIPQLKYMEMDVRDMSFFPDEKFGAVIDKGTLDSLMCGTDAPISAAQMLGEVSRLLKPGGVYLLITYGDPKVRMPHLMRPSYNWKIALFIIPRPGYQRPEECSTPEKSNLEQVPLTENGLLSPNFVMEDPDSHFIYVCQKLDDSDPNNIVPPYPLSTDAL >KGN45559 pep chromosome:ASM407v2:7:18938034:18940893:-1 gene:Csa_7G452140 transcript:KGN45559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIAISIWLLLSSFVLHVQAQDQFGFVSLDCGLPANSSGYIDSKTKIKYISDESFIKTGESSRVAPEFKNYEQSLWTLRSFSQYIRNCYNISASKDTEYLIRASFLYGNYDGLNKTPQFDLYLGNTRWTTVDDSYYYTEMMHTPSVDKFSICLINIGYGIPFISTLEFRELPYSSYSPLSYSLRLYKRYDMGSITNQQYRFPDDPYDRVWETYEDNNYTPLSTLDSIVTDNLEDTPVVVMQTAATSKKGIQYLNFSWDSRNGSDEFYAYLYFAELEQLQSNEFRGFNITYDEYMTGPIIPKYLGTITDTSFLFPLITTSKHHISIFPIDNSTLPPIINALEIYTMMTISKIESYDGDVDAISNVQSTYGVIKNWVGDPCLPSGYPWSGLSCSSDPIPRITSLDLSNNYLTGEVPTFLSELKHLTVLNLENNNLTGSLPPELKKRQKNGLTLRTLGNPNLCLDSCTNMTPERKKSNNIIIPAVASVGGLLAFLIIAVIVYWIAKSNKKQQGDDVALIGNPTKAYTQLGSSLETRRRQLTFAEVVMITNNFEKVLGKGGFGMVYYGVLDETQVAGEMISPSAVQGYSQFQAEVTILMRVHHRNLTNLVGYMNDGDHLGLIYEYMARGNLAEHLSGNLLALATRYMLQHMLHNPIYEMKMYIKFNFRNQNRKLKHVLLV >KGN45075 pep chromosome:ASM407v2:7:16102483:16111917:-1 gene:Csa_7G420680 transcript:KGN45075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYARRIKCRNQRWDLVFRPSKYLSRPDGLDGGYYQYLNCKSFSRSRLIRDNSITRHLLASLGARGGYLTCQENLDRTSVSFLRSSQVRKYSSDGDGRNASEGKCIPVKDAANFEKGKAREEVIREDLKHTDSHAELGVQDQKEWLKNEKLAMESRKRESPFITRRERFKNEFIRRIVPWEKISVSWDTFPYYVNEQSKNLLVECAASHLKHKNFTSLYGSRLTSSSGRILLQSIPGTELYRERFIKALARDLKVPLLVLDSSVLAPYDFGDDSPSDGELDDEAESGEDCVSDSEDENENSAANEDWTSSGESKSDCSESDEVDAEATAEAALKKLIPCNIEEFVKSVNGESDSSSESSSQSEPSETSVKSNRPLRKGDRVKYVGPSINDEADKRPLSNGQRGEVYEVDGDRVAVILDVNDVKPDGDTEEKSSESPPKPPIHWIQAKHIEHDLDTQSEDCVIAMEVLSEVVNSMQPIIVYFPDSSQWLSRAVPKANCRKYVQMMEEIFDKISGPVVLICGQNKIESGSKEREKFTMILPNVARIAKLPLSLKRLTEGLKATKRSEENEIYKLFTNVLCLHPPKEEEVLRAFSKQLEEDRRIVISRSNLNELQKVLEENELLCLELLHVVTDGVILTKKNAEKVVGWAKNHYLSSCLLPSIKGDRLQLPRESLEIAIARLKDQETTSQKPSQSLKNLAKDEYESNFISAVVPSGEIGVKFENIGALEDVKKALNELVILPMRRPELFSHGNLLRPCKGILLFGPPGTGKTLLAKALATEAGANFISITGSTLTSKWFGDAEKLTKSLFSFASKLAPVIIFVDEVDSLLGARGGAFEHEATRRMRNEFMAAWDGLRTKDSQRILILGATNRPFDLDDAVIRRLPRRIYVDLPDAANRLKILKIFLAQENVVPDFQFDELANATEGYSGSDLKNLCIAAAYRPVQELLEEENQGGQKQKDSSLRPLNLDDFIKSKAKVGPSVAFDATSMNELRKWNEQYGEGGSRKKSPFGFGN >KGN43824 pep chromosome:ASM407v2:7:4336625:4337113:1 gene:Csa_7G070225 transcript:KGN43824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPKLLLISFMMMGLITQLASITLAQNSHQDFVNAHNAARAKVGVGPVSWNYTLAAYAQTYANKKIGTCEMQHSYGPYGENLAEGYGEMTAVEAVNFWVSEKKYYDHHSNRCIGDECRHYTQVVWRGTKHVGCARVKCHNNWIFVICNYDPPDNYVGQFPY >KGN43846 pep chromosome:ASM407v2:7:4490385:4493653:-1 gene:Csa_7G071350 transcript:KGN43846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLWEAFLNWLRSLFFKQEMELSLIGLQNAGKTSLVNVIATGGYSEDMIPTVGFNMKKVTKGNVTIKLWDLGGQPRFRSMWERYCRAVSAIVYVVDAADYENLSVSRSELHDLLSKPSLNGIPLLVLGNKIDKQGALSKSDLTERMGLKSITDREVCCYMISCKNSTNIDTVIDWLVKHSKSKN >KGN43358 pep chromosome:ASM407v2:7:1450255:1450746:-1 gene:Csa_7G027010 transcript:KGN43358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSIPGRRFLPTPPLNDALPLSQPHQYSTQTLRRRLSSISFKIHPISSPLTSWSFPRSKSMSSMRDFTRTSLRKWWDWGWSWILSRKAAFARDLEMNDNDDHEETTKALGSTYSSRGSFKFKHLFYKVRSEFRKLIRSDRVGLPQTFKYDSVNYSKNFDDGVK >KGN44137 pep chromosome:ASM407v2:7:7250138:7250931:1 gene:Csa_7G201890 transcript:KGN44137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSKRPVTTTFALASSHAKQSTDTMIDNLFVEFARDPEVLGYCAHLHSSFADSAIESAPHPDFTSTSIPGPPSVCDEYTASGAKPTAIELVPSFSHGPLKFQAHVSSFNLISYTRFSLLSAVYNFFPKLIREFIVNLPTDLNDHGTCGFHKVHVRGNCFKGSPALLNSFLNIVHLALFFFSFVSLPTLEQLALELSSGFARH >KGN44726 pep chromosome:ASM407v2:7:13619184:13622800:1 gene:Csa_7G374690 transcript:KGN44726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWVRGKSSGWAAFNLKQQNNGLQDEVDRDPFPPMSTTLSSLPPRENLRGVNGHSGKSFSLAPIPSADSPTLPVKFGAKKTTLGNFGAKKTILGGTNIQSGKKLVEETNDVLSFWKLKELHPWADISLIMDIMEAVNNDFNEASTLLNTMVSSDNLEINNKMSTLGLHSSNDLLWMAGKSPGWEEFNLKQHNKGLQDEMDLEAFPPMLTNRSSLPPYENLHGVYGRSGRSFASEPLPSVDSLTSPENYGAKNTIADDSSIQSGKKVVEENTDVLAFWKLKEIHSWADFSLIVDIMDAVNNNFDEASTLLKTMVSSDNFEINNEISTLGLHSANDLLCNGNNDVSIASERMINAPILSSTVKAVQGIHQNNNTSREDYTKLFANDYFERNSFHNTGNSKIALGCSKSVPIEPEWEEDDIYLSHRKDAIAMMRSASQHSRAATNAYRRKDHASAKYHSSRAEEQWLAAKMLNDKAANEILQTRNSKNGLWKLDLHGLHAAEAVQALHDHLLKIETQNASNRSLSPKKAERKGFQRASSLEYLSCMESKLDKESPSSRHRPTSLEVITGIGKHSKGEAALPKAVASFLTENGYRFEQTRPGTISVRPKFRR >KGN44366 pep chromosome:ASM407v2:7:9637364:9637760:-1 gene:Csa_7G272090 transcript:KGN44366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIFGEFIAGQTGLSHLKKFSLNKNAFFDGQLCRKRATINKGMRLGISYQKYKNEKFHISRTLLQPQEPQLVGHKCKSRFVVSLFEKFNFSETIITKW >KGN45194 pep chromosome:ASM407v2:7:16846056:16848672:1 gene:Csa_7G430780 transcript:KGN45194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELWHKMIFPVRRVWLAVSARVRARKTGAGLLKLHDDVETCGYEDVKVMWEMLRRSESELVSHQPKRKQRPFWRVSVWSNHAAAASSYTATHA >KGN44979 pep chromosome:ASM407v2:7:15519490:15520689:-1 gene:Csa_7G405850 transcript:KGN44979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGACCYYSVLGLSKEASADEIRSAYRRLAMKWHPDRWIKDPEMAAESKTRFQQIQQAYSVLSNKGKRSIYDAGLISFLTDDDDEGFCDFMIEMVSMMKSTTEQGRKKKKRKNRLEDLRRSINGDDGSC >KGN44342 pep chromosome:ASM407v2:7:9428827:9430619:1 gene:Csa_7G267900 transcript:KGN44342 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II protein MAAQTMLLTSGVCVGHGVCLKRELSLRPNYTQFTRLFFNPLPSHSVSLPPRGFTTLAVFKSRTKAPPKKVEKPKQKVEDGIFGTSGGIGFTKQNELFVGRVAMIGFAASILGEAITGKGILAQLNLETGIPIYEAEPLLLFFILFTLLGAIGALGDRGKFIDDPEPATGLERAVIPPGKSFRSALGLKEGGPLFGFTKANELFVGRLAQLGFAFSLIGEIITGKGALAQLNIETGVPINEIEPLVLLNVVFFFIAAVNPGTGKFVTDEEDDE >KGN44727 pep chromosome:ASM407v2:7:13624619:13625131:1 gene:Csa_7G374700 transcript:KGN44727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAEGRADPTFLFRSSNLHWNFHPIELLKTSSFCFSEPFSISDNGPPVEILVYKIMEVITSIQIKVQFVFIAPIQYHGFNGVVGNEISMCAHLMSVRPNV >KGN44926 pep chromosome:ASM407v2:7:15237536:15241000:1 gene:Csa_7G396410 transcript:KGN44926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLFHSSDFLLPSPSSSSSSSLPDRRRSSTYALVLLNQRLPKFTPLLWKHAQLRLCADGGANRVFDEFPLMFPHLDALDVRNSYKPDVIRGDMDSIRTEVLEFYAMQGTKIFDESEDQDTTDLHKCVAYILQSIPNQESNLCILVAGALGGRFDHEIGNINVLCRFSTTRIILLSDDCLIHLLPRTHHHEILVHSSVEGPHCGLIPIGMPSGSTTTTGLEWDLSDTEMKFGGLISTSNIVKEEKVTVQSDSDLLWTISLKSHN >KGN44719 pep chromosome:ASM407v2:7:13577974:13584154:1 gene:Csa_7G374620 transcript:KGN44719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARMNRNKQRIDGGEESWIKGVVVIVHDFGEPGPAKSVSLQFYSATELDHNSGKGKLSKKGKLEEWKRKKKKSDGGRIMMRSYKIKLKVEKGFGIPGAFLITNQHNHKFFLKGAFFQTPNHSQVIHFDCNSWIYPINLVNHSHYLFFSNTSYIPSKTPSALMELRRMELRKRRGDGRRERMEEWERIYEYDCYNNNYIGNSEEECRTMIDGSSSLPYPRRIRTLRPNLDSLREKMDIETYIPADERMSHNKVKELASNSVEAALQFLIPTIKTLNYQPSTIDHFKSFVELHCFFWAPKPSNLAKADIWTKLEVQKFLPQNIFQQILSQKHPFLYPLPQFLIANEDAWMDDDEFARQMLAGTNPVRITCLHNFPPESKTKVVSTIKASDIEHSLDGLTLQQVNRELWGFNLLKASGKT >KGN45328 pep chromosome:ASM407v2:7:17516841:17517134:-1 gene:Csa_7G435530 transcript:KGN45328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGRQGEKRIPVLLCHLSDTDKEKEKGFHFSVWVQRPAKAELFVCAVQEIGNLKGTQYYNAQISLISRKVIIVTNGENKLSMVKIAQQNLGLDGFVT >KGN45558 pep chromosome:ASM407v2:7:18937208:18937712:-1 gene:Csa_7G452130 transcript:KGN45558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTIIVGTPGYLDPEYYTSNRLTEKSDVYGFGVSLMEVISCRPVISNIEDPEMNYIAKWMRTMVAQRNIKNIVDPRLKEAYESNSVWKAVRLALACISENSSERPTMNQVVIELKECLAMELNQRLEQRPLESRIH >KGN44227 pep chromosome:ASM407v2:7:8179472:8181320:1 gene:Csa_7G232510 transcript:KGN44227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLHQELIEILSYAMVITKNHIYTSGASGTNAAVIRGALRAEKPELLTVILPQSLKKQPPESQELLSKVRNVIEKPHNDHLSLIEASSLLVKKKN >KGN44565 pep chromosome:ASM407v2:7:11913281:11914017:1 gene:Csa_7G336440 transcript:KGN44565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLRSNPTATLSLLLFSSSFFFPLFASVQVYSAEVEKDDLDGPKDLGRCSKFSFLYIVNRTNYCLLRYTLELSIPSTTCLCMKIKKTNCNAGGTVRKMVMILQGGAGFVFGELEEGQLTWEGRKNAPANSNEKEVPEDLRRPETGGLGIVGVEEE >KGN43926 pep chromosome:ASM407v2:7:4931699:4935643:1 gene:Csa_7G073620 transcript:KGN43926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSHTPSFLSPPLADRQSPMPLASATTDSTGFNSESHSSSITLDGSKHFFDSHHCSQLDAEQTHWTDEKHRLYLDSLEASFVQDLHQHRPMHALSPKQKMRRKPIRPDISSSELMVLQDASWQKANIGRNDPLLDKTADSPAILEDLWINHFPSAGKQCSLETPDLLEECESCSDRRHIRYMTNSCRSARNSQPTRPCCCNSYTIITEVSDQNFVDNQPGELSSGMPIAKRLKKASSDFSDSAQVVPFKRLS >KGN45043 pep chromosome:ASM407v2:7:15930616:15933672:-1 gene:Csa_7G414405 transcript:KGN45043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKA >KGN43191 pep chromosome:ASM407v2:7:422725:425998:1 gene:Csa_7G007890 transcript:KGN43191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDTEDVNTENMRNHLQCSYGVSSSSAGNLPFSMDQLKISQMNSSQIRPQHFHSNFLGDNSRRIGIPPSPNSPQIPPISPYSQIPISRPMNQQNYNPVPTHSRSLSQPSFFSLDSLPPLSPSPFRESPTTSNSDQVSADTSMEDRDNSSHSLLPPSPYMRVNSSKMGDSLPPRKAHRRSNSDIPFGLSSMIQPSPLLPFNSSGGLERSTSSKENAGLLKPSSQFVKREHSLEKSVDNNLEGMGERKSDGDSVDDLFSAYMNLDHIDLFNSSGTNDKNGHENREDLDSRGSGTKTNGGESSDNEAESSVNESGDSAQMPGLNSSAEKREGIKRTAGGDIAPTTRHYRSVSMDSFMGKLQFGDESPKMPPTPPGVRPGQLSSNNLVDGNSAPFSLEFGNGEFSGAELKKIMANDKLAEIALTDPKRAKRILANRQSAARSKERKMRYISELEHKVQTLQTEATTLSAQLTLLQRDSVGLTNQNNELKFRLQAMEQQAQLRDALNEALTAEVQRLKLATTELNAQSHQNGVMSQAAINHHSLQLQLQHHQQQQHMQQNGSATTKPESNQ >KGN44244 pep chromosome:ASM407v2:7:8305202:8308680:-1 gene:Csa_7G234160 transcript:KGN44244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTIPDPGELSELTHPSFDEFQRQTSLMTSCTLLWKELSDHFTNLEQDLLKKSEALRHKIQTLDHQTKESLDELEKREVSIQGSVQIALGKVEKSMEAALKALEKDGDENGEVDDDDGLLLKLKSFCLEMDSGGFWRFITGKKKELEALRAKIHLALAECIDPPRFVLEAISEVFPLDKRVEKSDGGNDLGWACVLVLESLIPVVVDPVIGKSRILVTPSMKERAKEIAETWKASLEERGGIENVRTPDVHTFLQHLVTFGIVKREDVDMYRKLVVGSAWRKQMPKLAVSLGLGDIMPDMIEELISRGQQLDAVHFTYEVGLADKFPPVPLLKAYLKDAKKAAAAISEDPNNTGRAMHLAARKEQSALRAVIKCIEEYKLQAEFPPENLKKRLEQLEKVKVEKRKPAPVPANKRTRANSGGPMPPAKAGRLTNAYVSSYPAAPAFVRSPSHSQYPAGVPPYHSPPSMYGSRSPPTNPYSYSPEAAPHAGSFPSPPMSYPAYGGYGNAMAPAYQPAYYR >KGN45575 pep chromosome:ASM407v2:7:19004960:19008395:-1 gene:Csa_7G452300 transcript:KGN45575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKGNLRRPILFKFGVVLAISFAGFLYSRFRLKNKRPPLPPPSYSSSDDQGNKVNLGRGRGPRLDKQGTPSNVVLFAVDAYEETCIPKVNFDDSNLGLCPSNKHGVDKDGLLPPEFQELLKEFDLSAANAEFSSKKNVEAPRYGLETPKAYKTVENDEYEQEIRYLKSKVKMLRERERNLEVQLLEYYGLKEQETAVMELQNRLKINNMEAKLFTFKIESLEADNRRLESQVCDHAKSVSDLEAARAKIKFLKKKLRYEAEQNRGQILNLQKRVLKLQDQEHKTNQSNKDAQIKLQKIEDLEKEIEELRKSNLRLEIENSDLGRRLDATQFLANSLLEDQEKESLKEETERLTRENEALTKEIEQLQAHRLADVEELVYLRWINACLRYELRNFQPPAGKTAARDLSKTLSPKSEEKAKKLILDYANTEGNEGKSMNVTDFDSDQWSSSQASSHTDPGDPDDSTTDFPSTAKTGSNKIKFISKLRKLLKGKGSQQNMTLLAEKSAASVEDSDSPCYSTSNSTGTNATRAEGQAIGYATPLLNSSGHSMDFHRLQSQKEDDVKIEDSIRRNSDVGCVNKRFVVGSDQLSDSSYRSQNQDTESTEKSELMKYAEVLKDTRGAKNRSHRKTASIGSF >KGN45428 pep chromosome:ASM407v2:7:18098685:18101013:1 gene:Csa_7G447900 transcript:KGN45428 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase epsilon chain, mitochondrial MASSAAVPFWRAAGMTYITYSNICANLVRNCLKEPYKTEVLKREKVHFSVAKWVDGKPQKPTLRSDTPEA >KGN43711 pep chromosome:ASM407v2:7:3600531:3604505:1 gene:Csa_7G062770 transcript:KGN43711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPLRSPSTESAMSLSLLQGYSSAEEEAQHNSVFNHTSSDDDDEDLASAPAAAASTVTVNLSIRDKSLFELPQPSSQPGLPSAFDAFSEVSGPPEFLNNSVEEYAAPRDADQPRGGHGHGGRRNRKEKKDLPTGAVLEAKAQLVGIHERVRSDVESNHSSNSSISNATPESKRVATAANPNAEDAAELLRMCLHCGIPKTFSNARGMFCPLCGDRPPEPDSESKKKGSTVKDKEKIKRMRGQSSHATWKSETEMQLRQQFD >KGN44038 pep chromosome:ASM407v2:7:5953232:5957223:-1 gene:Csa_7G127380 transcript:KGN44038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEYLPLFETKEAKGRVVYWAFAASIFVGICLIWIYRVKFVPEDEVGRWVWIGLFAAEIWFGFYWVLTQSPRWNPIHRRTFKHNLSKRHEGELPGVDIFVCTADPDMEPPAMVISTVLSVMAYDYPPEKLSVYLSDDAGSELTYYALVEASQFAKHWIPFCKKFNIQPRSPAAYFASVSSDHQGKEMVFIQKLYKDMVSKINTAVELGRVPEEIRSSNEGFSLWKSHVSRRDHDTFLQIVIDGRDPKATDVEGSILPTLVYLAREKRPQYFHNFKAGAMNALLRVSSHISNGQILLNVDCDMYSNNSDAIRDALCFLMDEEKGHEIAFVQFPQKFDNVTKNDIYGSTLRVISEVELPGLDGLGGPPYIGTGCFHKRDVLCGKKYSKGYKNDWNSKSYRNSKANVKELEENSKYLANCTYEENTQWGKEIGLRYGCPVEDVVTGLSMQSQGWKSVYCNPERGAFLGVAPTSLVQTLVQHKRWSEGDLQIFLSRYSPALCTRRKISLGLRMGYCIYCFWAVNSLATVYYSIIPSLYLLKGVSLFPQVSSPWLIPFTYVIFAEYVASLVEFLSIGGTVQGWWNEQRIWLYKRTSSYLFALVDTALKTLGLSDLTFAITAKVTDQEASQRYEKEIMEFGASSPLFTILATTSLLNLFCFLGMVKKAVKTDSGLVMAFQAMALQVLLCGILVLINWPLYQGMFFRTDKGKMPSSLTIQSLILALATCLSFSFLL >KGN44520 pep chromosome:ASM407v2:7:11293486:11297113:-1 gene:Csa_7G324140 transcript:KGN44520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNVLLMLIIVLFVLLAFLFVRSWGWPKAMEEIPGNLGWPIVGESFSFISEFSSPAGICSFMIKRQKRFGKVFKTSVLGRLMVFMTGSDAAKILLTGKDGMVSLNLFYTGKQVLGQSSLLQTNGEAHKRLRRLIGEPLSLDGLRKYFQFINNLAIETLDEWSGRKIFVLDEASTFTLKVIGNMIMSLEPEGEEQEKFRDNFKIISSCFSSLPLNIPGTAFYRGMKARKRMFEMLDLIIAKRRSGEVCRQDFLDSLIIKHNKPGGENDEEKLTDAQLKDNILTLLIAGHDTTTAALTWVIKFLGENPNVLEQLRIEHQEIQEKRKGENLTWSDVNNLPYTAKVLSETLRRATILPWYSRKAALDFEIGGYQIKKGWSVNLDVVSIHHDARVFPEPQKFDPSRFEAPLKPFSFLGFGSGPRMCPGINLAKLEISVFIHHLVCKYRWTSLEEGNDSVQPTLVRMPKNKYPIIVEPL >KGN44683 pep chromosome:ASM407v2:7:13246711:13252525:-1 gene:Csa_7G372290 transcript:KGN44683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIFSKKPTAKEALRESKREMTRSTRGIEKEIGALQLEEKKLVAEIKRTAKTGNEAATKILARQLVRLRQQIANLQGSRAQMRGIATHTQAMHAQTSVAAGMKSASKAMSAMNKQMNPVKQAKVIREFQKQSAQMDMTTEMISDAIDDALDDDEAEEETEDLTNQVLDEIGVDVASQLSTAPKGRIASKNTEGVGSSGIDELEKRLAALRNP >KGN43971 pep chromosome:ASM407v2:7:5205872:5206961:1 gene:Csa_7G075030 transcript:KGN43971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIIPVNIRIPASIQLNWRLASARALISASSEAQMTAPSISSLPLSITLILQPLGTKFGTHSMNPMFINQHPCKTTNNERIRAAGKLLGQQAATITDTRDANTITCKSQW >KGN44037 pep chromosome:ASM407v2:7:5951104:5952773:1 gene:Csa_7G127370 transcript:KGN44037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDKGRPLPKFGEWDVNDPTSAEGFTVIFNKARDEKKTGGKPDSPGKVDAHGRNAPDPAKTPPNATCVPLGNWFCLLLASDSVIRNGFAVFKVPLLNLKKVRLEATVEKLHIIL >KGN44601 pep chromosome:ASM407v2:7:12311461:12318567:1 gene:Csa_7G341210 transcript:KGN44601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYADRLEADNHRSIKDRLNGNSSDLSARRRPITGKRQREDDKWEHDLYENDEPQTSNRRVGARDLRLKLQKKSQQQSGNAPFSGVRDLREKLSGTMKPQAANNDPPKPKLEVTKAPRKNDAIEAHTSSTQKAVAKSATRKKAAQKSDTSVDDFLQSLGLEKYSITFQAEEVDMTALVHMGDDDLKALGVPMGPRKKILLALESRV >KGN44539 pep chromosome:ASM407v2:7:11497950:11498463:1 gene:Csa_7G328270 transcript:KGN44539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGEEVDEDYILKFMDEGICKPMEKAFEDMIQCQHVLQETQFERITTESEDESTATIIRTSSTPQS >KGN44462 pep chromosome:ASM407v2:7:10445992:10446240:1 gene:Csa_7G298780 transcript:KGN44462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKCWWLKAKKNGWPQWKKEISSRSGWKMERRRKESTPAARWSKNRGGNEQKMVTGGPRKMYALIIAKGQWLAMKKEEIDES >KGN44796 pep chromosome:ASM407v2:7:14344969:14349987:1 gene:Csa_7G387800 transcript:KGN44796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETPVDEGDTNKDVEVAPALIALHPSENSVAVAVGSDLRVFDLVGNCAVSLVDESGPPYHKDSIRTICYGSKGQLFISAGDDKTVKIWSTESWRCIYNVVSEKRVSAAAISPNGLHVCFADKFGVIWVVDLDGGVDGNQPLVNKKAVSLLSHYCSIITSLKFSLDGRFLVSADRDFKIRVTMFPTKPVNEAHEIQSFCLGHTDFVSCIAFVSNLQCSHGFLISGSGDSTVRLWDILSGSLLDTCEIGDKVELLDSKEREGCHSAVTSLCTIADGALVAVAVQSLHGIILLNCDLSNHTLSVVKVVSISEETFIPTSLSTSCSTGYLWMVTGVSSLHNLGHSSLAHVKVMSGFNKDNSTANDVSVVEDDAIPGGTKLLEKLQGGITFDEKVFLAAAEAVKTAMSNLLTKKQYSIEKRDFRKRTRNDRKLKQ >KGN45005 pep chromosome:ASM407v2:7:15668275:15668839:1 gene:Csa_7G407580 transcript:KGN45005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRADTWVQEFLNNEIPCVAADYLVEYVCKPGYPLDKHVLYNTHAWAERSFSNLQSKAEEVAEDLSSQDDCSDNDIACQECGSRDRGEVMLICGNEDGSSGCGIGMHTDCCNPPLLDIPEGDWFCSDCINSRNSNSSNKRKKGVSVF >KGN45028 pep chromosome:ASM407v2:7:15823229:15823906:1 gene:Csa_7G407810 transcript:KGN45028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSQETLLQIQLNMEDHQTTQDRIIDIKEIRNNNSNDQSTWKKNSMKEEEVQEINSKGKDDKSTCNINTRRTTRRRSRSNSLILKKRSRRSSSSSSSRIEQRVNTLRSLVPNNDNHNHDDQDESCVGSLEQLFTQTADYILSLQTRVRLMKTLVDVLSDPSSSIDE >KGN44196 pep chromosome:ASM407v2:7:7876240:7887964:-1 gene:Csa_7G219810 transcript:KGN44196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNAAACAERATNDMLIGPDWAINIELCDIINMDPGQAKEALKILKKRLTNKNPKIQILALVVLETLSKNCGENVFQQIIERDILHDMVKIVKKKPDLSVREKILVLIDTWQEAFGGPRGRYPQCYAAYNELKNAGVEFPPREEHSVPFFTPPQTQPIVNQPASTYEDAAIHASLESDASGLSLPEIRNAHGLADVLLEMLGALDPKKPEGVKQEVIVDLVDQCRSYQKRVMLLINSTGDEELLCQGLALNDILQRVLKQHDDIANGTATREATGAAPSTLPTINVSHEDDESEDDFAQLARRSSRDNSQGLSKKPANTEATRVGPLLPPPPASKKPVVAGSSMVDYLSGDAYKSEEALETSRPPFTVSTSTPPSSSPLSTGKPVYDEPTPTSRSADPLPPAPWDSQSQSSSFLPPPPSKYDRRQQFFDQQDGRGSGSSYDSLVGHTQNLSLSPPTPTKQEKQEDVLFKDLVDFAKARSSGSSKPNRSL >KGN45595 pep chromosome:ASM407v2:7:19144509:19147714:1 gene:Csa_7G452990 transcript:KGN45595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTTVHRTPNSGSRSLFYQDLASPVTTRKGKFSTPGQAAAVSALWRENFGNSDLPPPPVFTLEDRSVSDFSPESGIPDYPVSPETKSDPRTPIRRHDFSSPTKNKSNASTSYTPISGQQSRQGSAGFSWWSTSKGGGSEQEDKGKSSPVEGVIQPGALITLPTPREVARPEVQRNCLPLAKLNEEEWVTVYGFSPADTNLVLREFEKCGEILKHLPGPRDANWMHILYQNRSDAQKALNKNGIQINGVLIVGVKPVDPMHRQALEERLNNPGFMVIPPVSSRTSELLATRGPMRPYNLQNGYTSARHSGGAIASPSKSLVSKVMDLMFGV >KGN43628 pep chromosome:ASM407v2:7:3043202:3046437:1 gene:Csa_7G048120 transcript:KGN43628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIEGSSISKDFSEGSSSSSGGGSTHGSTTPSRYESQKRRDWNTFCQYLKNQRPPVPLSHCNCNQVLEFLRYLDQFGKTKVHIQGCMFYGQPEPPAPCTCPLRQAWGSLDALIGRLRAAYEENGGSQETNPFASGAIRVYLRDVRECQAKARGIPYKKKKKKTTISQTKGSGGHDDQDSSSTSMMHFVP >KGN44200 pep chromosome:ASM407v2:7:7905884:7906478:-1 gene:Csa_7G220830 transcript:KGN44200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRRRCLSSRVISDTESESDQELMKCLSCLEEYPSGEAGTCKECYHEANETEEELKREIEDLKAKVAFLRFWSPLDHLHNRSNTPCFTDVVLIASSDGPEGYAVPLPAHKAVLVSMNFTGLLGYSLM >KGN45147 pep chromosome:ASM407v2:7:16536761:16539755:1 gene:Csa_7G428840 transcript:KGN45147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDQNHSLKLGGSSVEGDDQIYISGLSTLLVATIQETKDRLSQIEFLFCSQLFPSFQTKSKSLQKIYSEARKSAEDAWKENENDLLFQIEKLKRENQRILEENKSLKLEKENPSRELAERMDLLRSKLLGEQLKAEELAQQLKQKSREIDEGIELQQKLLEMVRSKTSLIMSKEQKLKEYEDQSNVLRTKLTSMEVKFDELQKKLNAKTDEVSTVKKLEENLFKKLELQASEIMNIEQMNSDQQKEKQMLVVKLEKLQENVNRLEKELLSKTEEIEEGRKLQTKLLQQIDSAGSEISKNTEQLEELQKENKQLLAKLNGSQEKVNELKSNPRVKNKEMEGNELYESLREQIELKSFELQAEKKARRGVVDAYKRLKSQYNFLCKKFGLTSDNMLLKDRRENETDSMAYNQDLASSPVDPDAETEEPSIAISDTSQLKKEISVHHNIEDKKVVRLNQALNSRSPPKTPSFSSGSKRSPNVKSAPIASKRPASNWRGTRTHYQERAGPDPHDDFLDTPLENIRENLNNPVKDRVKDLPLPEVVVKNIDMDVSDDETQDLNVVNPQQHQKQMPISVTGNRGGGIKFVEPVRKKADRQNLKGVECKQCKKFYDAVLPNDGNEETNGDKPCLRCEHHDGVSRHRYRYVPPMTPEGFWNIGFESEM >KGN43351 pep chromosome:ASM407v2:7:1415171:1417376:1 gene:Csa_7G025720 transcript:KGN43351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQTEKAFLKQPKVFLCSKKTAKGNKPGKGGNRFWKNIGLGFKTPRDAIEGTYIDKKCPFAGTVSIRGRILAGTCHSAKMVRTIIVRRNYLHYVKKYQRYEKRHSNIPAHISPCFRVKEGDHVIIGQCRPLSKTVRFNVLKVIPAGSSSRGKKAFAGI >KGN44182 pep chromosome:ASM407v2:7:7740817:7746662:1 gene:Csa_7G214190 transcript:KGN44182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLPQSLSMNAAFGGPGPSIPGATGAPPNKERKMASSEQLVLDLSNPDLRENALLELSKKRELFQDLAPLLWNSFGTIAALLQEIVSIYPVLSPPNLTPAQSNRVCNALALLQCVASHSDTRMLFLNAHIPLYLYPFLNTTSKSRPFEYLRLTSLGVIGALVKVDDTEVISFLLSTEIIPLCLRTMEMGSELSKTVATFIVQKILLDDVGLDYICTTAERFFAVGRVLGNMVAALAEQPSSRLLKHIIRCYLRLSDNPKACDALRSCLPDMLRDATFNSCLREDPTTRRWLQQLLHNVGMSRVPALQAGAGFDHMMVN >KGN43954 pep chromosome:ASM407v2:7:5130763:5132974:-1 gene:Csa_7G074870 transcript:KGN43954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSLHLQGRISASYSPPFIFFVSSIHTLSLQTLHFPFLNSHVLSSTSSILSLISHYYSPSPFSQFHPQMPSLSPPNSISHFLHQISSLSNPSDRSSRLHSLITSLEDEMKKIDAFKRELPLCMLLLNDAILALKDTSLQCTSSCSSPKRKPVLEEFMSLNKDSSDENEKEEDCRDKKEWMSSVQLWKTDDFQNTQTKTKRNEGWGYVAAAEDRVHRKKNEEGLFVGFKPSSSSSSACPVLAAVKKEERIESPICALSLVTPNLKTTREELVSCVLRSSGNRATSTSAADIQSNLRTVLPPQQQPARKQRRCWSPELHRRFENALQQLGGSQVATPKQIRELMQVDGLTNDEVKSHLQKYRLHTRRLPTTPAARAADQSPVVLGDLWMSQDGCGESSKVSSSQSASPQGPLQFAGNGGYSTTGGDSVEDEEDTKSESYDYWKSQAHTGKRCINI >KGN44269 pep chromosome:ASM407v2:7:8541043:8543667:-1 gene:Csa_7G237840 transcript:KGN44269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSEMMMMMNGSCKKGNGAAAPCAACKLLRRRCAHDCVFAPYFPADHPHKFSSVHKVFGASNVSKLLQELPEQQRSDAVSSMVYEANARIRDPVYGCVGAISCLQQQVDALQQQLAITQAQLVQMKMGQFTTTSQSSSSTDDLLPPPPNINVAASSSLWSC >KGN43592 pep chromosome:ASM407v2:7:2853813:2854208:1 gene:Csa_7G047280 transcript:KGN43592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGNMVCFDSSISRWFLRFELAMGICNSNGPPTWHLSFIVFGKSETVLSQALQTKGSTKVARSPRRICTKISRNIVSHHLYSPAVNA >KGN44770 pep chromosome:ASM407v2:7:14039749:14044508:1 gene:Csa_7G379080 transcript:KGN44770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKYESTYHESLLLNISIARRRWRFAFAAIYSIRAMLSLAVTKGNVHYNLINFENVEEDDSSVEQIICTKDDQKKLIEMVKNKNKEVYHELGDVATIAASLGTNPENGIKDNSDVVNERRRVFGSNTYHKRPPKSFFYFVVEAFKDTTILILLVCAALALGFGIKEHGLQEGWYEGGSIYVAVALVVIVSAISNFRQEVQFEKLSKIGNNIKVEVLRDGRRIQVSIFDIVVGDVVVLKLGDQIPADGLFLSGHSLQVDESSMTGESDHVELNITENPFLLSGTKVVDGYGQMLVTSVGMDTAWGEMMSSISRDSEEQTPLQVRLNKLTTSIGKVGLSVALLVLVVMLARYFTGNTEDDFGNREYNGRKTDIDDVLNAVIRIVAAAVTIVVVAIPEGLPLAVTLTLAYSMKRMMADQAMVRKLSACETMGSATVICTDKTGTLTLNQMKVTKFWIGQEFIEEENSSNTIAEAVHELINQGVGLNTTGSVYRPSPESKTEISGSPTEKAILSWAVTEFGMDMEKLKKSYAILHVETFNSEKKRSGVLVRKLTDNTIHQHWKGAAEMILSMCSSYFERNGTTYPLDIETRRKLENIIQGMAASSLRCIAFAYRQISKDEEKNGIPNASNTKEDDYTLMGIVGIKDPCRPEAKNAVDTCKSAGVSIKMITGDNIFTAKAIATECGILDFDHNTASKGEVIEGSEFRNYSNEERLRRVDQIKVMARSTPFDKLLMVQCLKQKGHVVAVTGDGTNDAPALKEADIGLSMGIEGTEVAKESSDIVILDDNFNTVATVLRWGRCVYNNIQKFIQFQLTVNVAALTINFIAAVSAGEVPLTAVQLLWVNLIMDTLGALALATERPNDELMQKPPVGRTEPLITNIMWRNLLAQALYQIAILLIFQFQGSNIFDISEAVNDTLIFNTFVLCQIFNEFNSRKLEKQNVFEGILKNHLFLGIVGVTVVLQVVMVEFLKKFANTVNLNGWQWGLCIAIAAFSWPIGWIVKFLPVSDKPFLSYFKWF >KGN45537 pep chromosome:ASM407v2:7:18827641:18829326:-1 gene:Csa_7G451920 transcript:KGN45537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIVVVFDFDKTIIDLDSDNWVVDELGATDLFNQLLPTMPWNSLMDRMMTELHAQGKTIDDIVEVLKRAPIHPDVVPAIRAAHALGCDLRIVSDANMFFIETILDHLGIRECFSEINTNPGYVDEEGRLRIFPIHDFQKSSHGCNLCPPNMCKGLVMERIQASLMSEGKKKKFIYLGDGSGDYCPSLKLGEGDFLMPRKNFPLWDLISQNPLVIKAEIHEWSDGEELARILLNLIKTISMAENAQLLSPQNMGVPVYEALPSPTPKPAMVAN >KGN43917 pep chromosome:ASM407v2:7:4886014:4890145:1 gene:Csa_7G073530 transcript:KGN43917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQVPPQPQVPNSGADPAANGGANQHVTTSLYVGDLDVNVTDSQLYDLFNQVGQVVSVRVCRDLTSRRSLGYGYVNYSNPVDASRALDVLNFTPLNGNPIRVMYSHRDPSVRKSGSGNIFIKNLDKAIDHKALHDTFSAFGSILSCKVATDSSGQSKGFGFVQFDTEEAALKAIEKLNGMLLNDKQVFVGPFLRKQERESVSEKTKFNNVFVKNLAETTSEEDLKNMFGEFGPITSVVVMRDGEGKSKCFGFVNFENADDAARSVEALNGKKVDGKEWYVGKAQKKSEREVELKSRFEQSVKEAADKYQGANLYVKNLDDSIDDDKLKELFTGFGTITSCKVMRDPNGISRGSGFVAFSSPEEAARALAEMNGRMIVSKPLYVALAQRKEDRRARLQAQFSQMQPMAMASSVAPRGMPMYPPGGPGIGQQIFYGQAPPTIISSQPGFGYQQQLMPGMRPGGGPMPNFFVPMVQQGQQGQRSGGRRAGAIQQTQQPVPLMQQQMLPRGRVYRYPPGRGLPDLPMPGVAGGMFSVPYEMGGMPPRDAVHPQPVPVGALASALANATPDQQRTMLGENLYPLVEQLEPDNAAKVTGMLLEMDQTEVLHLLESPEALKAKVAEAMEVLRSVAQQSGNAADQLASLSLTDNLDS >KGN44260 pep chromosome:ASM407v2:7:8405147:8407516:1 gene:Csa_7G236280 transcript:KGN44260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNDEGKNVYQQYDPFQYNQLDMNRSIFHQQAAAALDPGLMSFTNFFDTSSLEYNSLSKAFDVSCCSSQVISAVDDVSKKKASTTTPNSSVSSSSNEAAVEEDSVKSNKLEDIKGRCENKDEEKSKKQNSNLSKKKEKRPREPRFAFLTKSEIDHLEDGYRWRKYGQKAVKNSPYPRSYYRCTSQKCVVKKRVERSYQDPSVVITTYEGQHNHHCPATLRGHSAGIMSSPFYASASASVTAASSGPTLPQELFSHLLPTNNCQTDPAASMMYQNLSLQQHLQLPDHYGLLQDLFTQK >KGN45376 pep chromosome:ASM407v2:7:17779528:17779908:1 gene:Csa_7G446910 transcript:KGN45376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERNEFNQSNPSYPMKNLSNFKQMMTQVPHEKKTQKAPIGDRSDHELVPLLHFIGDWDSILDIGISSASWTIGIFEMGISEIFHNNHHQHPLIFQ >KGN44773 pep chromosome:ASM407v2:7:14105807:14106184:1 gene:Csa_7G380100 transcript:KGN44773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQNLPESGITMKLSLQTPSAERKEESEVTLINYLYYVKETAPPALPSTKGHTRSIRPRIRLRLDLLYPESASEGEEGSHAPRPALHSSLSLPC >KGN45261 pep chromosome:ASM407v2:7:17221569:17227160:1 gene:Csa_7G432430 transcript:KGN45261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLYAQDLSPLDFNFGFSETYGQPFSRLRASSAISSTAYLDEDDDVYRPGWATRNKRDMPSDIPSNRRHDGSSPLPLGMDWSPPPQKWDGPATAWPHDPSTGWSYCVTVPSWTTIPKSDGSDPVVFYMVQVGLQSPEGITSTRGISRRFNEFLELFYELKRALPKKQLPPAPPKKVLRLKNSTFYDERKSSLEEWMEKMMSDIDVSRSFPVASFLELEAAVRSFFSDNHEITDEVSSGNIMDQPITLSSSTVSIAPSSSVTSSPRDDTCYGEPELGAPRYGDDEGVELGMDEPALEKAGTDMENLVVRSNEEMSERQFLAPKDEQVPTESTITSLLEENKILLQELDDSREQLEYLQKQYEEFVMKSKADVDLFVKEIKSLRGTQSDLRQECSQMMKEKSELERILQTERQRMEQADIANQKLLHDCEILHYRLQDSSVDFFIEQENKLILETASTADDAIDLLATSDNHVNLLLAEAKLLAHDANDSNNPAGSATPNGAADQVLSNILANMLLENARLRMQVNSVIRCALNANGTSEKDEDESLKEELF >KGN44272 pep chromosome:ASM407v2:7:8565691:8571152:1 gene:Csa_7G237870 transcript:KGN44272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVLIVTSLGDIVIDLHTDICPLTSKNFLKLCKIKYYNGCLFHTIQKDFTAQTGDPTGTGTGGDSIYKFLYGDQARFFSDEIRLDLKHSKTGTVAMASAGENLNASQFYFTLRDDLEYLDGKHTVFGEVAEGLDTLTRINEAYADEKGRPYKNIRIKHTYILDDPFDDPPQLAELIPDSSPDGKPKDEVVDEVRLEDDWVPLDEQLGAEELEEVFRAKEAHSRAVVLETIGDIPDAEIKPPDNVLFVCKLNPVTEDEDLHTIFSRFGTVLSAEVIRDYKTGDSLCYAFIEFETKEACEQAYFKMDNALIDDRRIHVDFSQSVAKLWSQYRRKDYTTDKGGGCFKCGALDHMARDCTGGSTKFILKDDNVQHGGDESRYDFVFDEDNGNNNRKERRRRDHDHDRHVERPNARKPSPAGRERYGEKKGASDRNESNDRNRFREREMHRENKDRQSRGDRDDFEDRRDHERQRKRQNDRDAHKKKELDYRKRSSNDHDAYGDRDYEANRNRSGREYSDRSEKRKDGEFYGRRHADKSSHEEKRHDIREYRKDERGYGKRSFDSEKAEMKDGPHYKKRYTDDDGYKDRREEREPRRRSREEYTER >KGN45413 pep chromosome:ASM407v2:7:17990954:17991899:-1 gene:Csa_7G447750 transcript:KGN45413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDIVRASSRGLKMVVVFAGSTSLCLPGNALAAACVRRRRSQGITIRSEAEGKNPVPGRDRVIGFGKHKGKMLGTLPSTYLKWISKNLRAREFEEWAILADQVLEDPIYQDRVQWEFAHNVLTGAGRSGRDNVVSELSEISDRFGWDWDNKSGWRGVDFELLGTSKGGRIPRRIEPTQKSESKTAQNVSGGGGGGGGRRRERRDRLREKREKSTGGGEKSERKTEIENPVPRFNNPFPGRQALLKRVATIKSDLLVKKKPNS >KGN44017 pep chromosome:ASM407v2:7:5694625:5695114:1 gene:Csa_7G104790 transcript:KGN44017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLPSSSFSFTIWMPKPSPPTASSPSLSSSFASHRLPVSMPLYVIRSPVVVRCTQSPSRSMSLSSSRSRAGVLSVKPAFLRPPQASCQSPKDMASTSYRPGLNQATHASAFFLTKSCSHIRVVLDLSRSVYVSRAE >KGN45136 pep chromosome:ASM407v2:7:16491974:16492225:-1 gene:Csa_7G428240 transcript:KGN45136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDWSNVYSLEQTGKTGGWQIFTLSPLDEYSLPKGWSPFLAMIMMFPCGGHLGVNKVALRAKTCAWTIFMYDSTKENIDKKNF >KGN45162 pep chromosome:ASM407v2:7:16612463:16617163:-1 gene:Csa_7G428990 transcript:KGN45162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAAYNAPVLLITLIAASIASGALGTGGTSPPVEPSHTSVPFNRSSFPAGFIFGAGSAAYQLEGAASLDGRGPSIWDTFTKNHPEKIWDHSSGERATDFYHRYKEDIKLMKLMGLDSFRFSISWSRILPKGKIRGGINPLGVKFYNNVINELLANKIVPYVTLFHWDLPQALEDEYGGFLSSKVVNDFREYVDLCFKLFGDRVKYWVTLNEPFSYSFNGYNGGTFAPGRCSNYVGNCTAGNSATEPYIVAHNLLLSHSAAVKLYKQKYQKKQKGQIGITLVTHWFRPKRNTAASQKAANRALDFFFGWFMHPITYGDYPKSMREYVGDRLPKFSVAESKNIKGSFDFLGLNYYTGNFADDVPFSNSPNKSYSSDMHVSLSTERDGVLIGPATGLNWLYIYPEGIRLLLKYIKAQYKSPTIYITENGMAYSDNSTQPIKEALKDGTRIRYHHAHLASLLQAINEGVDVKGYYAWTLLDDFEWDAGYTVRFGLVYVDFRHKLGRYLKYSAYWLKRFLLR >KGN43547 pep chromosome:ASM407v2:7:2611393:2612519:-1 gene:Csa_7G044870 transcript:KGN43547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCYVGKATKIFIFIVTVLVVLGLVLGFGFMRHGLQKSHKCSGNSCFSPPAVFSNPNSATPGASPISASNQPQNPPNPSYSNPNPPPQNSIPAFPPPSDATSASPPPPDATPASPPPPDVIPTSPPPPDTIPISPPPPDTIQNPLPPPPPASQSPSPPETQAASPLTPPSTTLVSPGPMHAKLQSSIRKTNKKF >KGN43853 pep chromosome:ASM407v2:7:4552480:4558380:-1 gene:Csa_7G071420 transcript:KGN43853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVCKCRKATKLYCFVHKVPVCGECICFPEHQICVVRTYSEWVLNGEYDWPPNCCLCHSTLVEGVGPQTTRLGCLHVIHTDCLVSHIKSFPPSTAPAGYDCPACSVSIWPPKNFKDSGSRLHAKLKEAILQTGLEKNLFGNHPVGFSPTESHGPSPAFASDPLVSTSADTHNNKSSLNSVAKIESNLIEGYSATTGTGSSKNNVADIVEIEMPGSEGNFVKASSPSGPVATTRKGAVSYDRQNSEISYYADDEDGNRKKYVRRGPFKHKFLRALLPFWSTALPTLPVTAPPRKDAPNANDVNEGRVRHQRPSRMDPRKILLIIAIMACLATMGILYYRLVQRDMGEEFVDDEQQLQAAQ >KGN44347 pep chromosome:ASM407v2:7:9468049:9475159:1 gene:Csa_7G267950 transcript:KGN44347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPLIKKDDDRDDEAEYSPFLGIEKGAVLQEARVFNDPQLDPRRCSQVITKLLYLLNQGENFTKIEATEVFFAVTKLFQSRDIGLRRMVYLIIKELSPSADEVIIVTSSLMKDMNSKTDMYRANAIRVLCRITDGTLLTQIERYLKQAIVDKNPVVASAALVSGLHLLQTNPEIVKRWSNEVQEAVQSRAALVQFHALALLHQIRQNDRLAVSKLVTSLTRGTVRSPLAQCLLIRYTSQVIRESATSTQTGDRPFYDFLEGCLRHKAEMVIFEAAKAITELHGVTSRELTPAITVLQLFLSSSKPVLRFAAVRTLNKVAMSHPMAVTNCNIDMESLISDQNRSIATLAITTLLKTGNESSVDRLMKQITNFMSDIADEFKIVVVEAIKSLCLKFPLKYRSLMNFLSNILREEGGFDYKKAIVDSIVILIRDIPDAKESGLLHLCEFIEDCEFTYLSTQILHFLGIEGPKTSDPSKYIRYIYNRVHLENATVRASAVSTLARFGVTVESLKPRIFVLLRRCLFDNDDEVRDRATLYLKTLGADGTVAETEKDATDFLFGSLDVPLINLETSLKNYEPSEEPFDIDSVPKEIKSQPLAEKKAPGKKPAGLGAPPSGPTATVDAYEKLLSSIPEFANFGKLFKSSAPVELTEAETEYAVNVVKHIFDSHVVFQYNCTNTIPEQLLENVFVVVDASDAEEFSEVISRPLRSLPYDSPGQTFVAFEKPEGVSAVGKFSNMLRFIVKEVDPSTGEAEEDGVEDEYQLEDLEVVSADYMLKVGVSNFKNAWDSLGPDCERVDEYGLGPRESLAEAVGAVINLLGMQPCEGTEAVASNSRSHTCLLSGVYIGNVKVLVRLSFGIDSSREVAMKLAVRSDDEVVSDAIHEIVASG >KGN43914 pep chromosome:ASM407v2:7:4875698:4877225:-1 gene:Csa_7G073500 transcript:KGN43914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGHMIPMVDMAKLLSSRGVKITIVTTPLNSISISNSIHNNSKSISPPPKIHLLILKFPSAEVGLPDGCENLDSVTGNAMIPKFISVCNLLQTPFEEAVMEHRPHCILADIFFPWANDVAAKFGIPRLTFHGTGFFSTCASEFIRIHEPYKHVSSETEPFLIPCLPGEITFTKMKLPEFMWENYKNDLSEFMKRAFEASSKCYGLIMNSFYELEAEYADCYRNVFGRKVWHIGPLSLCNKDIEEKAQRGNKSAIDEHECLKWLDSQKPNSVVYVSFGSMAKFNADQLKEIAIGLEASRKNFIWVVRKVKGDEEKGEDKDWLPEGYEQRMEGKGMIIRGWAPQVLILDHPGVGGFVTHCGWNSTLEGVAAGVPMVTWPVAAEQFYNEKLLTEVLKIGVGVGVQKWVRTVGDFIKSEAVEKAIRRVMEGKEAEEMRNKAKELGEMAKKAITENGSSYSDLEALIKEMKSFAS >KGN44941 pep chromosome:ASM407v2:7:15314527:15315598:1 gene:Csa_7G397050 transcript:KGN44941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRAPSRRASIIALLALISILPWLTHSAKTSYVQEACRVTRHQDLCIQSLSPFSSAAKRSPTKWARAGVSVTITEAKKVAGLLGRLKNNKRMKGRNRAAVLDCVEVFEAAIDELHRSLGVLRRLSRRNFDAQMGDLTTWVSAALTDEDTCVEGFEGEEGKVVTLLRNRVVKVGYITSNALALVNKLAASSFETTINM >KGN43238 pep chromosome:ASM407v2:7:668042:671803:-1 gene:Csa_7G010800 transcript:KGN43238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTATDKVVETVIAGNYVEMEADGNAPNVKTKLSKLFWHGGSVYDAWFSCASNQVAQVLLTLPYSFSQLGMLSGVLFQLFYGLLGSWTAYLISVLYIEYRTRKEREKVDFRNHVIQWFEVLDGLLGKHWRNVGLAFNCTFLLFGSVIQLIACASNIYYINDNLDKRTWTYIFGACCATTVFIPSFRNYRIWSFLGLLMTTYTAWYLTIASILHGQVEGVKHSGPTKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKAIYLVATVYVLTLTLPSAAAVYWAFGDMLLNHSNAFSLLPKSPLRDMAVILMLIHQFITFGFACTPLYFVWEKAIGMHECKSLCKRAAARLPVVIPIWFLAIIFPFFGPINSTVGSLLVSFTVYIIPALAHMFTFRSPASRENAVEQPPKFTGRWVGAYVINAFVVVWVLVVGFGFGGWASVTNFVHQIDTFGLFTKCYQCPPQTPALPPQSFNATAAPPPQHHLHHPGSH >KGN45014 pep chromosome:ASM407v2:7:15736444:15737580:-1 gene:Csa_7G407670 transcript:KGN45014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHWPSSDKKSVLQSAWRIQLYTEEEEEKKISLNSDLLSSPPLHSPIKKQKRKEKKMAAITGSSMAASKAVTDSPKIELPVLRSSRLSYPWKRWTSGRMSAVGTVAAAPDRISEKVVESIKNAEVTCSEDPASGECAAAWDEVEELSAAASHARDRLSHSDPLEDFCKDNPETEECRTYED >KGN45527 pep chromosome:ASM407v2:7:18761613:18766675:1 gene:Csa_7G451330 transcript:KGN45527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEGKKRRDLMKTAEISFEIGTLMGFRLLFLLFQIGFAVSFFSVCEAAVVLPGRLSGSHSVLRDHAVTPTSHGFGRKHHGNLYSAAPRKPFKTSSPQIHSHYKAFQSDDSSRAPSIALPPTTPAKKWGHEHTFSPSISFHKFRHSRRKFRNNAPQPTYHALPPTSSRQGPAAVSPIQSPLPSAARGRYPGPAPSPTIRPSHYYMPIPAPTTSPMGSYKKKKSMPPSQVMMLPPPPPNGDCTISCTEPLTYTPPGTPCGCVWPIQVQITLDVAVYVFFPLVSKLAEEIADSISLNQSQVRIMGADAASQQLEKTTVIINLVPRGSRFNHNTAFSIYQKFWGRKISINSSLFGRYQVLNVKYPGLPPSPPLAPSSTSSINDGLNTSNTNAGTAIKPLGVDVPRRKKEGLGSNMIAVITISSFTALVMCVGLAWLCLLRYRVSAHPPAQIPQNMIASPTKPSGTAGLIMVGSEPGSSSMPLDADPMTYIGAAKNFTLKDMEKSTDNFDTARILGEGGFGIVYSGSLEDGRDVAVKVLKRHNQHGIREFLAEVEMLSRLHHRNLVKLIGICTEDQIRCLVYELVPNGSVESHLHGIDKLTSPLDWDARMKIALGAARGLAYLHEDSNPRVIHRDFKASNILLEYDFTPKVSDFGLARTALEEGNKHISTHVMGTFGYLAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDLSLPPGQENLVAWARPLLTSKEGLDAITDPAIKSDISIDSLARVAAIASMCVQPEVSHRPFMGEVVQALKLVCNEFEETNDPVSRSYSRDELLSYMDSKFGGISGEILNAPETSHTFLSGKETNVGLSASDLISASARFEGQELVSSRWHSSNSEPLRTGRKKHLWQKLRSLSRGSFSEHGFSAKLWPGFH >KGN44783 pep chromosome:ASM407v2:7:14191358:14204850:-1 gene:Csa_7G387180 transcript:KGN44783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIIFRRKLPTTPYLLRFRLFSHSNSNTTQPPPRINKILIANRGEIACRIIRTARSLGIQTVAVFSDADRDSLHVKSADEAVHIGPSPARLSYLNAPSIVDAASRTGAQAIHPGYGFLSESADFAQLCGDEGLTFIGPPISAIRHMGDKSASKRIMGAAGVPLVPGYHGTAQDIDTMKLEADKIGYPILIKPTHGGGGKGMRIVHSPNEFIDAFLGAQREAAASFGISTILLEKYITQPRHIEVQIFGDTHGNILHLNERDCSVQRRHQKIIEEAPAPNVLDDFRSHLGEAAVSAAKAVGYYSAGTVEFIVDTISGQFYFMEMNTRLQVEHPVTEMIVGQDLVEWQIRVANGESLPITQAQVPLLGHAFEARIYAENVPKGFLPATGSLHHYCPVPVSQSVRVETGVEQGDAVSVHYDPMIAKLVVWGENRSAALDKLKHCLTKFEVAGVPTNINFLLKLANHHAFERGDVETHFIEHYKDDLFVDPSNLLMAKEAYEAAGFNASLAAACIVSLEHSKLAENFSGNDLHSIWYSPPPFRVHHCARCTVEFAWENQYDSSGSKPFPLTITYQQDGGFLVESGESGSSAVEVKVSHLGKHNFRVEVDGVIMEVRLAIYSKDQIKHVHIWHGSRHHHFKQKLGIDVVDEDESQHKPGFEATSNHPQGTVVAPMAGLVVKVLMKNGDEVGEGQPVLVLEAMKMEHVVKAPIAGQIYGLHVAPGQQVTDGSSLFSVKKN >KGN43483 pep chromosome:ASM407v2:7:2167640:2169814:1 gene:Csa_7G041290 transcript:KGN43483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILPVVKLGALALKTICKPIANRLKKEAGLHPKFRQCIINFAQANHRFSTNVQRRIYGYATDVAIRPLNEEKAVQAAADLLGELFVFTVAGTAIIFEVQRSSRSEARKEELRRQELEAMKQRDDDIAREIEILKQKIENLEELSKGRGLTGLFHFRHPHTTEGENVKHS >KGN44291 pep chromosome:ASM407v2:7:8777324:8777588:1 gene:Csa_7G239040 transcript:KGN44291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLKVDHCCRNGKRMNVFSRARDRDVSPNRLAAQLAIIRDTWPRSQRNSISQF >KGN45263 pep chromosome:ASM407v2:7:17240245:17243279:-1 gene:Csa_7G432450 transcript:KGN45263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATNAPIFASSFPTSLPITTQRRGESCPQSTRTLGSGISGSSFSKCLPNNKDVRFRTVRKVNAAVAVEASPAEEMTEIKLPSWTLFELGKAPVYWKTVNGLPPTAGEKLKLFYNPAATNLAPNDDFGIAFNGGFNQPIMCGGEPRAMLRKDRGKADGPIYTIQICIPKHAINLIFSFTNGTDWDGPYRLQFQVPKAWQNKPIDFFNQGLAEELSKEGACDRAIFPDTSVVVTRCAMIGNLTVEGGDRCDLNLVLGCTDPSSHLFNPLANVDDGSCPIDTDTED >KGN45345 pep chromosome:ASM407v2:7:17612218:17612533:1 gene:Csa_7G446630 transcript:KGN45345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSSSLCTSVVDTHEHHHPATLVVLLDLSNEKRHHPATPHVIHARFRNAAPPLFGFV >KGN45436 pep chromosome:ASM407v2:7:18156346:18163018:-1 gene:Csa_7G447980 transcript:KGN45436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSVGSRALTSKRHKTCIVSEDHLMGEDKLAHKSGRKKNAMNRKAERGGHGFDENKTHRNASGTDSGMRSNKKFTDSKSTSAPQSSFIRKQVDPETTKYFMEISNLFGSDNVDFEERSVICGNALEEAVGKEFELATDYIISHTMQSLLEGCNVEDLCNFLHSCANQFPFIAMDRSGSHVAETAIKSLAMHLQDEDVYSLVEDTLTAICKEIVANSLDVMCNCHGSHVLRSLLHLCKGVPPDSSEFHNRKSSTTLAERLNVKAPRFNGDHGFHIQRGFPELLKLLISGMLKGARKDVRILQVDQYGSLVIQTILKLMVGQDDELTHIIPTLLGCSEKDVMEGNYVQISVVPDVVDLMKETAFSHLMEVILEVAPENLFNELITKVFQNSLFELSSHPCGNFAVQALISHLKYEDQMELVWSEIGTKIRDLLEMGRSGVVASLIATSQRLQTHEQKCCEALVRAVCSANDSPKCIVPRILFIDRYFFCEDKAKWDFPSGAKVHVMGSLILQAVFRYRTDLIQPYITSITSMEDSHVLEVAKDSSGSRVVEAFLNSDAPAKLKRRLIMKLRGHFGELSMQSSSSFTVEKCYNFSNMSLREAIVSELVALRSDLSKTKQGPHLLRKLDVEGFASRPDQWRSKQASRESAYKEFHDTFGSGKSKSSKTDGFLADNSKYKSHPKDVKTMRQEIEHHTTSGTPFLKMSGFKNKSEKDRHGGKQYSRASMDIDTSEGKTKSSKRKRNKDQSEKTASGKRKRKM >KGN44986 pep chromosome:ASM407v2:7:15547712:15550762:-1 gene:Csa_7G405920 transcript:KGN44986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDDLNNQPCTSPHHFWLTDMPVNNRSLGREENSAVPTASINIGEFGESSTGELGGRSVAESGDQNNSFDADEPLQRQEIFPRRTRFIWTDEYHRIFVEAVDRLTLQRAVPRKILEYMINCGVQNITRAIVASHLQGFYPVAIHLSLFSFLPWMCPVLGNITPLFIPNSGRQHSLVYVPLLYFFVFHVPDGYIHFDPSTAKSQTLFHFSWLVWYGKLWEKVYYNPHVVRSSEVFPLHGRPCAKYRLNLKKGNLETDKALTVHSQSISFNTARRRNQGKNMRNQGENLRSQEENLRNQEGNMRYQEGNMRYQEGNMRYQEGNMRYQEGNMRYQEGNMRYQEGNMRYQEGNMRYQEGNMRYQEGNMRYQEGNMRYQEGNMRYQEGNMRYQEGNMRYQEGNMRYQEGNMRYQEGNMRYQEGNMRYQEGNMRYQEGNMRYQEGNMRYQEGNMRYQEGNMRYQEGNMRYQEGNMRYQEGNMRYQEGNMRYQEGNMRYQEGNLRYQEGNMRNQASQEDRFVHQMTRQQRWPLFESLSRPGLTQDNIILPQFFQKNEPNHLQNLPNQFPGYVDGNNNYNVIPSPNPTRYADGNNNIDVIASDHLINDGLSHHVSNNDPHHLKMWGDQPVPYQEYNDDIMNIKHKL >KGN43647 pep chromosome:ASM407v2:7:3179843:3183705:1 gene:Csa_7G050780 transcript:KGN43647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIFFADSQISTTTASPQDPLLPWLWSIKAALENSTSGNVSGSELAKLLSDCIGNFRGNVKYKNDVRFLKIWFLSIGMREDFETGFKELLEQEICISNSLLYIWLAAFLESKGRLNDANIVYELGLMRNAEPLEWLKKAKRLFIDRISELVNTHSMQVNDVSESTKFAESYINPWSSSIMSDLLNKISPKIMKYDGYHSSTKAYSKKMNLKSLRNSSRNKTIEIGGKKYEIKGCAGTGGFAQVYKSYLDSNPDDVVALKIQTPPFPWEFYMYRQLDLRVAAKERSSFGCAHAMYLYSDYSILICDYLAHGTLQDAINSYVVLGKTMEEVLCIYYTIEMLYMLETLHSIDIIHGDFKPDNLLIRYAGESVTEDGFQERHGPWQDQGLCLVDWGRGIDLQLFPENVEFKGDCRTSGFRCPEMLENRPWKYQVDIYGLCVVVHTMLHGSYMEVEKKASSDGDFVYLPKSSFKRYWNIELWKNLFTKLLNITPGHYNKELLQSLRKSFQDYMCSNPQLIRKLKELLVKQRASLCTS >KGN43771 pep chromosome:ASM407v2:7:4015434:4018660:-1 gene:Csa_7G066280 transcript:KGN43771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWESESESVRGRGYGDGVLSPTKHSLKTDGFELRGRSWHVGTDIPSDLLVQVEDVDFHLHKYLLFSRSGKMTRLVYESRDPDLSKIVMKDLPGGTEAFLLAAKFCYGVPLDLTAANISGLRCAAEYLEMTEDYEEGNLIFKTEAFLSYVVLSSWSDSILVLKSCENLSPWAENLQIVRRCSDSIAWKACTNPKGVRWTYTGKPQDIQSPKWDEMKEPIPGGSHTVPVDWWFEDASILRIDHFVRVITAIKVKGMGYEQIGAAIMYYACKWLPGLVNYENGEGNEATNCSNGSASSRKYNWNGDLHMVVVNPKNKISTIQAKERRMIIESLISILPPQKDSVSCSFLLQLLSMANILKVAPALVTELEKRVGMQFEQATLKDLLIPSYNTSETMYDVDLVQRLLEHFLIQELTEDSSPSSQSFSGKDGLEASQTTASSNQNAKMRVARLVDSYLTEVARDRNLSLTKFQVLAEALPESARSCDDGLYRAVDSYLKAHPTLSEHERKRLCRVMDCQKLSVDACTHAAQNERLPLRVVVQVLFSEQIKINNAIANNTIKEAGESRCQPIASSRKTLLEGTPQSFQEGWAAAKKDINTLKFELDTVNAKYLELQHNLENLQRQFEKILKQKQTSTWSSGWKKLSKLTKMNAMENQDLVDQVPITGEKARKTNRRWRNSIS >KGN43510 pep chromosome:ASM407v2:7:2345233:2345579:-1 gene:Csa_7G043030 transcript:KGN43510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLEIILDVVKLKIIVEVKVILDEAKSFARGFGVHFFHEMASEVTVFASFDFNFWAKAHQAQQAHQTQKNRAEEHH >KGN45549 pep chromosome:ASM407v2:7:18905301:18906482:1 gene:Csa_7G452040 transcript:KGN45549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHLNWNGYGSRVAKKTTASTTSSSSFWSNYQQGVEERFVISSSNNSNFFNSVQDFPIKVAANSSTPVLKTENPLAMSPSLTSFTTSSNMDVVGLLSQLDAGSNGDKSATVTTCSLESLDCLLSATNSNTDTSVEDDGSVSMMLTDYTNLWNFGGNAAVSSKESEKNGSNSTKRSHEQTQFKAADYSIFSNNIINLSDSTSDSGGFRIITDHDLPKQKKPRSEKPPSSSNINFQQSCSSGSSCIDQEPDPEAIAQMKEMIYRAAAFRPVNLGLEMIEKPKRKNVRISSDPQTVAARQRRERISERIRVLQRLVPGGSKMDTASMLDEAANYLKFLKSQIKALENLGQKLESLNCPPTSIAFSFNPSFPIQTSSSHNNFTLLNPNHLINQYPQN >KGN43554 pep chromosome:ASM407v2:7:2648618:2650813:1 gene:Csa_7G044940 transcript:KGN43554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAELRGKTSSISVHNNSTILNRADNSTSVYGALKGCLGSLDGGCIEKLLVHCGSALESHDVTLAQQVMWVLNNVASPVGDPNQRLTSWFLRALVSRASRVCPSPSPTPTPMSFNGSSIRVETRLMSVTDLARYVDVIPWHRFGFCAANIAIYKAIERYQKVHILDFSISHCMQWPTLIDALSKRPQGPPSLRITVPSFRPQVPPLLNIPTHQIGLCLTKFANSKNIPFQFNLFPYNHNNSNIISLFDPSILNLQHDEALVINCQHWLRYVSDDDKNDFINATKRLNPRIMVVVDEDFDMTDSSLASRITTCFNYFWIPFDALETFLSKDSTQRLEYEADVGQRIENIIGFEGKQRVERLESCVKVSERMRYSGYLNQPFCDDVGDEVKALLAEQASGWGMKREEDALVLTWKGHSSVFVTAWVSTDDEIVVA >KGN43729 pep chromosome:ASM407v2:7:3734403:3736031:-1 gene:Csa_7G063930 transcript:KGN43729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKFRRNFEVCESTWGFERFDVLSHLMRIGFYKFYSWDAIGCSCLISQMGSRAKFGKKLIHLLNVSVFSDSLIVVNTSLKVKIKRVSSQTGPECAALPPWNSYDPFSWISSKEFLTNSEMIANQLKSKDMRTPMHE >KGN44656 pep chromosome:ASM407v2:7:12939802:12941098:-1 gene:Csa_7G368090 transcript:KGN44656 gene_biotype:protein_coding transcript_biotype:protein_coding description:EPIDERMAL PATTERNING FACTOR-like protein 9 MAVQGFSTRDRPVPQFSSLQPTILPLEGRKERLRKWRRVMIGSTAPTCTYNECRGCKYKCRAEQVPVEGNDPINSAYHYRCVCHR >KGN44891 pep chromosome:ASM407v2:7:15023130:15024997:1 gene:Csa_7G394600 transcript:KGN44891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDTQQTEDTQQTEDTSSGTVPDKASQENHESRDEMLSRHRKEISQLQNKEIELKKAAARGSKAEQKVKKKQVEEEISQLSVKLKKKQAEELASLGFSNSNGNEKNIDNLVKAIAGVSMAAQSDHSKPSKSTRRKEKRAQQEAERDRRIEEEQSNIVSDRMIENEHLEKKLEPLGLTVNEIKPDGHCLYRAVENQLAYLSGGSSPYNYQQLREMVAAYMRDHSTDYMPFFLSDNGVEGNSNSSLAERFENYCKEVESTAAWGGQLELGALTHCLRKHIMIFSGSFPDVEMGKEYKSEGVINSSIRLSYHKHAFGLGEHYNSVVPV >KGN43558 pep chromosome:ASM407v2:7:2665866:2668223:1 gene:Csa_7G044980 transcript:KGN43558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLLLPLSVSILLLGFVSSSSSSSKFDDLFQPSWALDHFNYNGDTLQLKLDNYSGAGFSSKSKYMFGKVTIQIKLIAGDSAGTVTAYYMSSDGPNHNEFDFEFLGNTTGEPYTVQTNIYVNGVGNREQRLKLWFDPTQDFHSYSIFWNRRQVIFLVDETPVRVHTNMEDKGVPFPGDQSMGVYSSIWNADDWATQGGRVKTDWSHSPFVASYKGFEINACECPSATGGVANNTPCCNGSGANDGKKFWWDEPVLSELNVHQSHQLLWVRANHMIYDYCTDTARFPVTPVECVHHRH >KGN43159 pep chromosome:ASM407v2:7:183865:185511:1 gene:Csa_7G004120 transcript:KGN43159 gene_biotype:protein_coding transcript_biotype:protein_coding description:Papain-like cysteine proteinase isoform I MDRNFFLFAVITAVTATLCSSEPLVSQHSVEHDGDPLIRQVVENDGDFNHHALGAEHHFSLFKRRFGKSYATEEEHDRRFKIFKANMRRAERHQSFDPSAIHGVTQFSDLTPFEFRKAFLGLRGHRLRLPVDTNAAPILPTENLPIDFDWRQHGGVTRVKNQGSCGSCWSFSTTGALEGANFLATGELVSLSEQQLVDCDHECDPEEEDACDSGCNGGLMNSAFEYTLKAGGLMKEQDYPYAGIDRNTCNFDKSKIAASIASFSVVNSIDEDQIAANLVKNGPLAIAINAVFMQTYIGGVSCPFICSKRLDHGVLLVGYGSAGYAPIRMRDKDYWIIKNSWGESWGENGYYKICRGRNICGVDSLVSTVAAVHIHHHSSSIASARAQ >KGN43760 pep chromosome:ASM407v2:7:3938137:3938596:-1 gene:Csa_7G065190 transcript:KGN43760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHCQSFVPSSDPLILALGLSSYSFTGPPSPPLLFTFIRSSLTSDATNLFQCDCHGSAWPPSLLNLQKIDEGVYAKVKLEFELMCVCVWDLVVKNLDVDLFMVGWRLFDLTTTACI >KGN44832 pep chromosome:ASM407v2:7:14599106:14607430:-1 gene:Csa_7G390110 transcript:KGN44832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAMASSCFSPFDSTVKLCSSLPSRSRLPKSQRSIASLTPTAIGGDRRNASGSKRRSSLAASSSGNFDGEKESGIPLLHRRDNSAQRNSGRVQTEAYKSFGMQRKDKKELVNAIEDQVESGNLQGAAFLNAVVKVYCTHTAPDYSLPWQKQRQFTSTGSAFMIGDGKLLTNAHCVEHDTQVKVKKRGDDTKYVAKVLARGVDCDIALLSVENEEFWKGAEPLKFGNLPCLQDAVTVVGYPLGGDTISVTRGVVSRIEVTSYAHGSSDLLGIQIDAAINPGNSGGPAFNDQGECIGVAFQVYRSEEVENIGYVIPTTVVSHFLNDYERNRKYTGFPSLGVLLQKLENPALRACLRVKSNEGVLVRRVEPTSDANKVLKEGDVIVSFDDIKVGCEGTVPFRTNERIAFRYLISQKFAGDVAELGIIRSGELIKAKVILNPRVHLVPFHIDGGQPSYLIIAGLVFTPLSEPLIDEECEDSIGLKLLAKARYSLASFKGEQIVILSQVLANEVNIGYEDMGNQQVLKLNGTRIRNIHHLTHLVDTCKDKYLVFEFEENYIAVLEREAAIAASSCILRDYGIPSERSSDLLEPYVDISEDEKGMVVQNYGDSPVSNAEIGFEGLLWA >KGN44753 pep chromosome:ASM407v2:7:13880133:13888992:1 gene:Csa_7G378420 transcript:KGN44753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFLRRCQRILILSLLSLSVLAPLILVSHRLKTITSIGQREFIDDLWSRKRRDIEALNSVGQEAGESLKEPKPIVFEDKDFQSKQGIKSLEFGSKPSKEQKDKRFEDGREKKHSYKETGRHDSNLHGQSRGVRDVEKETKYPQHNRSAAKRDKNARIAQSRSVDYKVKEIKDQLIRAKAYLSFAPPGSTAHLMKELRQRVKELEHAIEEVTCDSDLPKSALQKMKNMESSLVKAGHAFPDCSAMSSKLRAMTENAEEQVRMQKKQTTYLLNLAARTTPKGFHCLSMRLTSEYFALQPSEKQLLEQQKLHDTKLYHYAVFSDNVLACAVVVNSTISSATEPEKIVFHLVTNSLNLPAMSMWFLLNPPGKATIEVLSMEDFKWLSNEYDLGWKMQNSSDPRFTSELNYLRFYLPNIFPSLDKVILLDHDVVVQKDLSGLWHVGMKGKVNGAVETCQDTEVSFLRMDMFINFSDPVINKKFNNKACTWAFGMNLFDLRRWREENLTALYHKYLRLSNERPILKGGSLPLGWVTFYNQTTALERRWHVLGLGHDSTVLLDIIRKAAVIHYDGVRKPWLDIGFGEYKELWRKYIDFNNPYLEQCNIHG >KGN43526 pep chromosome:ASM407v2:7:2482210:2485602:1 gene:Csa_7G043680 transcript:KGN43526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSISEDDIHQPLLFSSAALLSSQSLLSNHHKTSDELEKVLSDTQLSVLRRYSLASWIEMKLMFYLAAPAVFVYVINYLMSMSTQIFSGHLGNLELAASSLGNNGIQLFAYGLMLGMGSAVETLCGQAYGAQKYHMLGIYLQRSIILLTLTGFAITTIYIFCKPILIFLGESKEIASAAEVFVYGLLPQIFAYAVNFPIQKFLQAQSIVFPSAYISAGTLVIHVLLSWLAAYKMGLGLLGVALVLSLSWWIIVMGQFLYIIKSDKCKETWRGFSWKAFTGLPGFFKLSAASAVMLCLETWYFQILVLLAGLLENPELALDSLSICTTISGWGLMISIGFNAAASVRVSNELGSGHPKSAAFSVVMVNLIAFIISVICAILALALRDVISYAFTDGPIVAEAVSDLCPLLALTLLLNGVQPVLSGVAVGCGWQAFVAYVNIGCYYVVGVPLGALLGFYFNFGAKGLWLGMIGGTAAQSFILSWVVLRTDWNKEVEEAAKRLNKWEDEKNQIVLKEQLF >KGN43550 pep chromosome:ASM407v2:7:2620270:2621598:1 gene:Csa_7G044900 transcript:KGN43550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKRLVEDLPSAHAFSDAEEESDYETHEDPEIDNLMNNSEDENEEHNQEEEEDDDEEEEQEQEEEHEHEQEIRSPIISKHENSLEKDSGFVSDSDSEKTQSSPSASAFTIKPILRKLAIDSSKPKKSSHKSSIDCATTANPVCSGSKRPIENHFQGNDPNKRIKRIMNGEDIEEVKKVSGSGINRLWSEDDEISILQGMIDFELAKGSDPYTDMNQFLDFIKENLSVDVSKNQLIDKVRRLKKKYKNNSEKGRNGDDPVFLKQHEHKSFVLSKKIWGNEAKKKPRKQSVKASNSVSISSPRVDVEARKLKEIVNNNNVESKILPEEFLSEYPWLKASFLMENDKFRSEVVLRFVRERMPLIGSEKAKELDDKWRKLGESEMELYMKKVDLVREQCKLIMDSMRKAKRST >KGN44751 pep chromosome:ASM407v2:7:13850012:13861044:1 gene:Csa_7G378400 transcript:KGN44751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLSAISFQSSLHCFTGLPFPRPFRPNLGLHPSIPSKPTRGFLCCKKLQVSEPKHYPNSFNFSNECVAIPKFRSSSSKDIDVATLGNLCVDIVLNVPSLPPENDDERRAYMERLSSSPPEKCYWEAGGNCNMAIAAARLGLCCATIGHVGNEIYGQFLLDVLHEEGISTVRMSDASCGVRSKTTCETLLCWVLVDPLQRHGFCSRADFSKEPAFSWITQLSEGVKINVRRSKVLFCNGYGFDELSPNLITSIVDYALEVGTSIFFDPGPRGKSLSVGTPDERSALNHFLRMSDALLLTSDEAESLTGIQDPILAGNELLKKGVRTKWVIVKMGSRGSILITKTSISCAPAFKVNVVDTVGCGDSFVAAIAYGYIHNLPTVDALTIANAVGAATAMGCGAVVCGIGVWKAKDKFDGSSYCKMIHRRLFVKTSLEADNRYGTIRGILWLQP >KGN44250 pep chromosome:ASM407v2:7:8338109:8338687:1 gene:Csa_7G234720 transcript:KGN44250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTKPPLSSHGWQRSSFPENAFKLSKLSLLDESGDSTKTVYTTSCGCWKGTEHKIPDSSRQIGAGTIRFCSKRLADLDQEWRYPESGFGHTVKQFQKRKGEELNNATSIKGTTVNSRAEKIVLTITEVGL >KGN43886 pep chromosome:ASM407v2:7:4746600:4747179:-1 gene:Csa_7G072730 transcript:KGN43886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVGNSTTPDVRIWTFSCRSLRSPVRRLLSQMIGNRASENRPSCSVFSTYYHYSREFVDFPFEFDPYCDVQFPSLPLLKNDEISSFLHPHGVYKAIGRSISQQFHNVSIPFCFLKDTFEELERDVIKNMSTICPIKPIGPLFKTLKISNNNKKANVSGDFLKEL >KGN44309 pep chromosome:ASM407v2:7:9018300:9018925:1 gene:Csa_7G252660 transcript:KGN44309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIIDMIVLKTCNEEFSDLDILQFVRLEGSDKTGNRVVGKYFPSVVKDDNCSGLTILRWIYEELPSDYKDRLQVLYFVHPGLRWRLVLVTFGHFFLSGRLFWKINHNEVLEHRSLTDYGIEPDSLNVMEVPYCANSFGRYEERWMSRQYMA >KGN44670 pep chromosome:ASM407v2:7:13083738:13086376:1 gene:Csa_7G368220 transcript:KGN44670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGTCEAIAWGGTISSSDFHKAASAFIRRWKLINSDFPSWSWVPYQKLRWISTDDKVEGYLSLEKICLLRPQENEEEKGGCLEEADIDGAGNNEYLDEATLVPPPSDHQEVHYYDFHILHCASYSVPVLYFRAYCCDGQPLMFEEIEKNLPSQSADTLLNSKWTFITQEEHPYLNRPWFKLHPCGTSEWMKLLFLSDASLFNNEIAIERYVASWLSVVGQVVGLRIPMEMLKEVGGSQL >KGN43426 pep chromosome:ASM407v2:7:1857673:1858266:-1 gene:Csa_7G033340 transcript:KGN43426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPWFFVLLFLVAKAQATMTNQTDLQAAMDEMRLKSYHGFVILLKILNNSNKTLQNSDITFFMPTDQELSQADISLDQLEEFVLRHSIPTTLLLNNLSHFPNGSLVPSSIPNRMIKITKSRMMGVCVNDALIVTPNVCLTSSIRCHGISTAISYDKNSFSDTLPAKQSLAEPIVQRNEKKGAKSLPSTTMKKNRSLH >KGN44012 pep chromosome:ASM407v2:7:5628457:5629383:1 gene:Csa_7G101780 transcript:KGN44012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKFTTHCSSLTSSSSFFTQSRFNLPIFSTLLASIFFLTLRFSPSFFTLLLLLLIPAILFIANKKSNPLYHHLFDENPQTTPIQESTTQIGQHISSDDETSCSSSSNDGGLGSGDFKSDLWMHLDELTRNLPLSEDYSSSEDDDDDSLIEIPLLPNSNGIRNLETCLPNLLPDSVLRQHGFVELLEEINEEDNLIEIDISKGFNRFPTVCN >KGN44989 pep chromosome:ASM407v2:7:15577895:15578639:-1 gene:Csa_7G405950 transcript:KGN44989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVSVSVYNGLRRYLRRKSYRKLAASVGRRTDTAQLGAAGGGRRRRRIWRIKISPKLRLFRRMPSLKKLLLWLRDSYVKAMLAFANSRVIGSGSGSGGGDGIWGGAGRSTLKEYDEKMITEIYKSLVVAQGRLPPPETVRPSWGGGRLPTVAE >KGN44085 pep chromosome:ASM407v2:7:6542634:6547231:-1 gene:Csa_7G179620 transcript:KGN44085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSNREALISRKSSVFKRSVSHAHDELHSFRSYLRWMCVDQSDIWTAGLSWSMFFLFAIIVPATSHFVLACSSCDSNHARPFDRVVQLSLSSVATVSFLCLSSFIRRYGLRRFLFFDKLCDESETVRRGYTIKFNRSLRVLSTFVIPCFAAESAYKIWWYASGASQIPFLGNVIVSDAVACAMELLSWLYRTTVIFLVCILFRLICDLQILRLQDFATVFQVDSDVASVLSEHLRIRRHLRIISHRYRVFILGSLVLVTGSQFTSLLITTKSSSNLNIYIAGELALCSMTLLTSLMILLRSATKITHKAQSVTALAAKWHVCATLDSFDVTDGETPMASTIHQAFPPHHGVGEESEGDEGCDEDDLDNTKLIPAYAYSTISFQKRQALVTYFENNRAGITIYGFTLDRTTLHTIFGIELSLVLWLLGKTIGFS >KGN44602 pep chromosome:ASM407v2:7:12320046:12326036:-1 gene:Csa_7G341220 transcript:KGN44602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLGEKPLQNISESFKALAANINSGNTTVEVAPFSRACSFISPLFGCLGIAFKFAEMDYVAKVNDLVESSKSIVSLQALLDKDIESDCVRKAGSHSRNLLRVKRGLDMVRVLFEQILLTEGNSLRDPASKAYAQVFAPHHGWAIRKAVGAGMYALPTKGQLLSKLKEDEASARILMQDYVAASAPVIQYVEKLFFSRDLGIDW >KGN45544 pep chromosome:ASM407v2:7:18878502:18878952:1 gene:Csa_7G451990 transcript:KGN45544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKRKEKKAFGDSKANCDHPSSHNPFYTFGVDISQLEIETAIRNRRRTNNCQFEKFQQILLRLHSKLLFLFTTFQSWRTTLSMIRLKEKRNSNLPIPSSSPLFLRQFSIPPSMQVKLLTLLET >KGN43430 pep chromosome:ASM407v2:7:1871777:1874422:1 gene:Csa_7G033380 transcript:KGN43430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVISPKISSVASSPFTSPNIGVLLKIKIISWSQETGLPVSVRIRVGDRIFHLHKHPLLSKSGYFQKRLNESTEYELPPNFPGGPETFELLALFIYGSSTLVDPFNVAALRCAAEFLEMTDDYCSSNLCERFDIYLNQVVFQSWDDTLIVLQKCQQLLPWSEELLIVSRCIESLAFMACMEILDPERRRDQPVVTMDALASQVWSCEIVKEILCQDLWIKDLIALPFEFFKRVVGSLRRQGMKEKYVSPIIVFYANKWILSEKMRQFWESTDEKIVDDEANEKVSYILQGLLDLLPMGHRTSRVVPVGFYFALLSKSLEIGLKSNSLQKLQDQIASVLHFAQVEDFLLPKTGADSVSSSIELATMEKILELFVSSNMKMNHNHSGSNSIVAELWDEYLTYIAPDPKLDQKRFVELIEKVPGAWRENHNHLYRAVNTFLQAQSQLSQEDKWAVCKYLNCQKLSQEACIEAVQNELMPLRLIVQALFVQQLNTQQVFKECSSSFRFARYGEFSGSISSSRFPNSNSQNLRDSPYTDGADPNRRTLSFLLQKDHVIQTHESSRNEYESTSFRIQNLEQELIALKKSIQWQTLSKKTEMLSSSKAEGRTKLPDVESRYSNKKRNSHEQVTGCIGSVNFSAQRNYASRLFKIFSGIRLFGSRKQKRKSGFPALWRRSMYQINHRLDL >KGN45281 pep chromosome:ASM407v2:7:17308413:17311140:-1 gene:Csa_7G432630 transcript:KGN45281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLVSVKPFFSVLLVTLSLSFAVLLLSPPSSFFSNTSFSFTNRRGYTDIWSVRRIVEWRPCKWWLRGHLPALPADTNGYIRVDCYGGLNQMRRDLCDGVGIARLLNATLVLPKFEVAAYWNESSGFADVFDVDHFIGQMNGYVKVAKELPPEFASKEPYHVDCSKRKGQFDYIESVLPSLLEHHYISITPAMSQRRDRYPQYAKAALCQVCYNGLRLAKSVEKKARELLEAIPKPFLSLHLRFEPDMVAYSQCEYKGLSPTSLEAIEATRGDRKPWTGQLAEIWRKRGKCPLTPRETALIFQALHIPTNTNIYLAAGDGLMELEGFTSVYTNVVTKSSFLSNNDFSSMHGNTKAALDYYVSINSDYYVATFFGNMDKMVAAMRAFNGKQKTLFLSRRAFAEFTYKGLEGKELDQALWKTHRDDFSMGRGSALSDCFCEFKL >KGN43855 pep chromosome:ASM407v2:7:4567510:4568271:1 gene:Csa_7G071440 transcript:KGN43855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQALNSPSSTFPLADPSLDHHHHDSWIKPSKPRSKRPRFDSDDEYLAFCLLMLARGRISHSDHHHHHATTNDSYSPSNSSPPPPPLLKLTYNCNVCNKSFSSYQALGGHKASHRKSDAGDNNVSPVVSSTLSNSTLGGGVKTHQCSICFKCFPTGQALGGHKRRHYDGGSGNNNTNSTAATAGSDGNGSTLTQTHHRNFDLNIPALPELWPGFTAGNRRKKSQSQSQEYSTDQEVESPHPLKKPKLLLPME >KGN45398 pep chromosome:ASM407v2:7:17881704:17884629:-1 gene:Csa_7G447130 transcript:KGN45398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLCDLEKKEYLNCLKTSGHKSEKCRHLSKRYLECRMEKNLMAKQDMTELGFGNLSQANLSGDKLEQL >KGN45089 pep chromosome:ASM407v2:7:16200460:16201497:-1 gene:Csa_7G420820 transcript:KGN45089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLVLQTFNKFCSKLDNRHPNRHGSKLASFSLSRLHAFEEDVSSCFNHLLLSTSASKPLSFHYFLQLLQGLLPVIHKSFAKLVVDLEYPVGRWRADLVDGYINYTLNLLDLLNSISFSLTQLGNSRVLLSYALSLIESSPAMAVSRLKPIVLKRYSEGLEIKANVKDLKKGCSGEERAIQKALATMEGIGYWVCGIVLSGCEGDSTAYLEMRKLASGVTVPAFKALDSMILAVVSGKGSVPDEVEEVNVAVAMVVDGGGEAVEEMRKRMGRLEKTVEGLGKEVDGRFSEVLDGRTRLLDVFRHPQN >KGN45362 pep chromosome:ASM407v2:7:17729537:17731071:-1 gene:Csa_7G446790 transcript:KGN45362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRQITDWKKNPELQFFDFETIVSATNNFGDECKLGKGGFGPVYKGVMTDGQEVAIKRLSKNSGQGLCLDLFDYQLFSTMYPFIAWELWVNGRGEELIDSGFNDYAQLPSPKQPAFFVAQNPNSSEPEIEDVNNELIRPVGPTLDIYSTNAMTVSVMVAR >KGN44374 pep chromosome:ASM407v2:7:9694673:9698398:1 gene:Csa_7G273650 transcript:KGN44374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASRNVGMRDEEKLKEDDSPTAKKPKFERFPLTKWELAAALGIFLLCSTGLVCVYLTMPSAEYQSVKLPRTLSDLRVLKDLFANYAKDHPAQFILGYCSTYIFMQTFMIPGTIFMSLLAGALFGVIRGLLLVVFNATAGASSCFFLSKLIGRPLVYWMWPEKLKLFQAEIAKHREKLLNYMLFLRITPTLPNLFINLASPIVDIPFHVFFLATLIGLVPASYITVRAGLALGELKSVKDLYDFKTLSVLFFIGFISILPTLLKRKRVYE >KGN44803 pep chromosome:ASM407v2:7:14381326:14389541:-1 gene:Csa_7G388360 transcript:KGN44803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEAGAEKLLSKGKEENFDVDRVLDGEGTQGLGNLHVGGEENLNSVSVSCDFGRELPELEIQKGCEARVEEVVVDVFKGSGQNAEVENRSSKRRKVDDGHIEGGSKNAVDKVKRKFMANKLQGSDRILRSSFVEKVECDSVAASEENNNNMEVQNCRSTRYGKKLMKLERRSEEQGSEQQLFSGDQKVKRKRGRPRKTEKEVEEVVVSPKIVVSPMKKLKRKRGRPPKLESEKNHQFVCELRNKKLKRKRGRPRKIDKENDNSLFDELNSELNTLKPKRGRGRPPKLQKSNGALKNEHTEGRKVRLARKLSMKLRNKVRSNVPTDRLSSDKRHIRKEIHMKKTLQAGNDLSQEILEPEATLTASSKVISCGEKTKKVKKVKKPKIEVDECKRSIAKNLLRERITEILKTAGWTVQYRPRFNREYKDAVYVSPEGRTHWSITLAYNVLKRHYEEGDGDSTVYKTGFIFTPIPDEEIMTLTRVRRAGGEKDGELKKQRRNKKFKMRGIIENMKCNEKASYSRSPVSKSTKRKRKKAMLHQDVHNSDCNNSLEKGFPSSFRTQNRQRCALLVRNTEETADSSNDGYLLYNGKRTLLAWMIDLGILSLDEKVQYMNQRKTRVKLEGRLTRDGIHCSCCDEVITISKFEMHAGSRVGQPLENIYVHTGSSLLQCLLESWNKQNEPQCKGYNFVDVDVEDPNDDTCGICGDGGDLICCDSCPSTFHQSCLDIKKFPSGPWHCLYCSCKVCGQVTIGLHPMDDHHEAAADVLCKCDLCEEKYHPICVQMNNASGDDVNNPLFCGKKCQMLHERLQRLLGVRQDMKEGFSWTLIRRSDVDSDVSLCNEVAQKIKCNSELAVALFVMDECFLPVIDHRSGINLIHNILYNCGSNFTRLNFSGFYTAILEKDDEVICAASLRIHGNELAEMPFIGTRYMYRRQGMCRRFLSAIESVLSSLNVEKLVIPAISEVRDTWISVFGFKPLDETTKQRMRKMSLLVFPGVEMLQKLLLKDHLPMECTTLGEGSISKSPELSEHQTLEVVANSPEERGSPCSCLNSCSEGTAQDGMGISGDPAVIESSVKPNDKISNGDIDNPTNDVKANNEDFAGNNLGKRNQKFENSLNSTCLSCKEDKEAGQHQTTSLGSTISDPEDRKSELNGQLDGSKAINQKSSLEFPKGTPSVDYQEIAAEIGIRSDKLKSTQDEHVNQPKTISFSDLPETNPVHEGQKSTEDEHVSQPKTICSSSLPKTNPVDEGHKST >KGN43380 pep chromosome:ASM407v2:7:1580921:1582757:-1 gene:Csa_7G029940 transcript:KGN43380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSASRNGNCMLWFFRDKGFDDRSIDKMFRKCRRLEDMQNERASENWEYLERIGIQKRKLPSVVSKCPKILALGLQEKLVPMVECLATLSTKPHEIAAAIAKFPGILSYSVEEKLCPLLAFFQALGVPEKQLGKMILLNPRLISYSIESKLVETVDFLAGVGLTKEGVIGKVLAKNPFLMGYSVDKRLRPTVEFLKSIGLNKMDLQAVALKFPDILCRDVDKVLRYNLDYLRSRGFKDGEIVSLVTGYPPVLIKSIQHSLEPRIRFLVEIMGRKLEEVAEYPDFFKHGLKKKLELRHRLLKEKNVDFALSELLECNQKKFMMKMGLL >KGN43757 pep chromosome:ASM407v2:7:3900988:3920165:1 gene:Csa_7G065160 transcript:KGN43757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRTSSSKPFPSTRRKERDIGDGGGKRFSVFDFSEEDARVEKVSRRLLGKFSARRSSPVTKHQFLHCFGKGAKSVSRNLSDELIDIDAEVGKSANIDSFSEDVSDELIHIDSEGHQISPGPTDLNAEDTCADTPLEGGGSMKQEILETNDILLSRSSTNEDDVTVIFPDFVIYEGNWCTTSKLIFSCSCIKFRGSALSGLQRTFDSEWAISDIIGIESEWCSRVETAIVNLCLKGKHFTRAENSKDISGIELLKFSVCDPLWSESEKAIRTLNLRYNDLWNADHDDNDKVNGEEIVSWRHSDVFSPKNCFSEFVDTFEEVIYPMGDPDAVTISKRDLELLKPGMFINDTIIDFYVKYLKNKFLSEKNNRFYFFNSFFFRKLVDLDKDLSSARGGRDAFQRVHKWTKKVNLFQKDYLFIPVNYSLHWSLVVICHPGEVVNLKDKKHDNLSKVPCILHMDSIKGSHRGLKSLFQSYLCEEWKERYGDGDYKDISAVFLTLPFIPLELPQQENSFDCGLFLLHYVELFLEGAPVNFSSLKILKFSNFLSQDWFHPAEASLKRAHILKLIYEIMACNQAKELSGSIGKYPSSDANDSDNDLSKHVSGQAHIFTMTHSDNFSSVGKEVGSVSKVSSDTNYQPIGRWESVMPPIEEDENGERADSPQCLEDRPQASTVSECSSAFSFGQQFTELEICWEGRYSKNVKEMCRKPSPRLSLHELQTPLELGQPEILTSSSDELINCVVEDSEEEGNERNERIEIQVSSSSSSSSRNNLFLSRQVVESPAKFSRQHQHK >KGN45195 pep chromosome:ASM407v2:7:16849784:16851015:-1 gene:Csa_7G430790 transcript:KGN45195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRNLTLGGLEVEVEDGSSEFNNGVQWKRGRLIGKGSFGSVFLASLKPHITIKYCTFPSVMAVKSAEISVSETLQKEKQNYDSLKGCNSLIKCFGEEITTDHNGHMIYNLLLEVATGGTLAHHIKNTGGKGLEENVVRNYTKSIIKGLIHIHRSQYVHCDLKPANILLLPKNNTTKDRQFIAKIADLGLARRTSKTKASYCLGGTFSYMAPETFIDGVQESASDIWALGCVVLEMLTGNRAWAATNKVGIMKEMTENFLGMPKIPEGLSAEATMFLKNCFVRKPEFRFTAEMLMIVPFVAAVEDQEQNFNTVKAPTFVTKWPMQFKRQRIIPIKAV >KGN44375 pep chromosome:ASM407v2:7:9699990:9700654:-1 gene:Csa_7G274150 transcript:KGN44375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCNSFCDTVIVLNFSKFLILQITLNKVLLVGTKTQTYIGKPVVTNAAVHAVVEEQGLDDKVIVFKYKKKKNYRRNIGHRQPNTRIRITEISGYQDYPATTLES >KGN44853 pep chromosome:ASM407v2:7:14752908:14759876:-1 gene:Csa_7G391540 transcript:KGN44853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSSGNPTNVANPIKDASCQVDIKTTSGRLTLYQTTLCEKISWDKLNTNMVLDPGGYLSPYNQDDIQLICCQADASVLWLVPDVVQSRFVHSLDRKQDIIISFTWILTRDRPKGKEVVKYDRVIESRDLPNQSDVQKVLNGSMNGFRIKNVYQRYFRVTGSGEVRPLEQEESFVSADLILNRNNYEWWSFHDIQPINVSECGRFTGPVAFVISEEIPPQGILGDTLSKFSIWGLYITFVLAVGRFIRLQCSDLRMRIPYENLPSCDRLIAICEDIYAARAEGELGVEEVLYWTLVKIYRSPHMLLEYTKVD >KGN43624 pep chromosome:ASM407v2:7:3009675:3014656:-1 gene:Csa_7G048080 transcript:KGN43624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFVNGVSAVILDLDGTLLDTETATKDVLKEFLGKYGKVWEKKREEEKRLGMTQKEYAAAVVRDYGLPLTPDQFIQEITPMYREKWPSVKALPGADRLIKHLYSHKIPFGLASNSSSEYIHAKISCMKGWKDWFSVILGSDQVIEGKPAPYLFEEAAKRMGVDASHCLVIEDSLVGVKAAKAAKMKVVAVPSRGGIECSSLADEVLNSLLEFQPELWGLPLFEDWVDGTLQIDPIYVSSQYINTSMSEISEDASIPDQVFGTFFGWAGTGTAWTIKVVVNIGWNCSSCTKKNRIWKLWSVDSCDSKIFEQQMQFMLVGYICRLNGKDLTNMDVREIEEFKYIAKTSLDRTMFVSHSCTSLTVEGTSSING >KGN43448 pep chromosome:ASM407v2:7:1972739:1978504:1 gene:Csa_7G037520 transcript:KGN43448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFEGVLVSDPWLQSQFTQVELRTLKSRFISVRSQSGCFKVEDLPPVFVKLKAFSEMFTEDEIKDFLKETSRDVGEEIDFESYLRAYLDLQGRATAKSGGSKNSSSFLKAATTTFHHAINESEKASYVAHINSFLAEDPFLKNYLPLDPSTNDLFDLAKDGVLLCKLINVAVPGTIDERAINTKKVLNPWERNENHTLGLNSAKAIGCTVVNIGTQDLVEARPHLLLGLISQIIKIQVLADLNLKKTPQLVELVDDSKEVEELIGLAPEKVLLKWMNFHLKKAGYEKQVTNFSSDVKDGEAYAYLLNALAPEFSGPGTLNVKDPSERANMVLDLAEKLDCKRYITPKDIIEGSPNLNLAFVAQIFQHRNGLTVDSSKMSFAEMMTDDAQTSREERCFRLWINSLGIATYVNNVFEDVRNGWVLLEVLDKVSPGSVIWKQASKPPIKMPFRKVENCNQVIKLGKELNFSLVNVAGNDIVQGNKKLILAFLWQLMRFTMLQLLRNLRSHSQGKEGKEITDADILNWANNKVKKAGRTSQMEGFKDKNLSNGIFFLELLSAVEPRVVNWAVVTKGETEEDKKLNATYIISVARKLGCSLFLLPEDIIEVNQKMILILTASIMYWSLLQQAGESELLNMNDGNVSDGNTEASVDGTELSLANQTSSLAIEDNASVRNKEESEEETSATSSGIKSANS >KGN44099 pep chromosome:ASM407v2:7:6728235:6730387:-1 gene:Csa_7G186180 transcript:KGN44099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSNCNWCFCTFCMLLLQLFEVSSTHSAFKSDEIFESHASTMGRRLLQIKKACPISFEFLNYTIITSKCKGPQYSPKLCCSALTQLVCPYVDALNDMTTDCASTIFSYINIYGKYPPGLFSSICREGAEGLACPPCPPPSHSKSASLLKRSSPSIVNASGLLLLLLSLV >KGN43781 pep chromosome:ASM407v2:7:4105591:4106030:-1 gene:Csa_7G067360 transcript:KGN43781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGIREVSAEGHCQQSTKSARLICGNWSVGKRQLFRLGRVLLIRHKILVLDEATTSIDSATDTILQRIIREGVFRMHYCNSSSQSSTVFDNDFGHLVEYEEPSKLMETNSYFSKLVS >KGN43633 pep chromosome:ASM407v2:7:3089098:3089479:-1 gene:Csa_7G049150 transcript:KGN43633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRVCTRGEFEEFLSEIEKLPSQKLKRIEYERFSRATGEEQIQEGLLRQFFFFLFGLTEQLDFLKFGIAGEVLRLDWMKSKLNILKEAAEK >KGN44022 pep chromosome:ASM407v2:7:5789736:5790059:-1 gene:Csa_7G109550 transcript:KGN44022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRGKAGTIVNNPIEWCGFATCGRTKKKLDAQKVGSGVFSSVHGSFTVANENLTARTSKVLLAEEIEQQLCEQTTCDRETEYNEETTCDGETAYRRFVAQLGKRRVA >KGN44465 pep chromosome:ASM407v2:7:10449764:10450365:1 gene:Csa_7G298810 transcript:KGN44465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLNSILTLQNELDYCGEEPSMSPRPAVHQHQHAQPTMQFTNSSFPASGLSGQATTGQGSRTEHSENHSKSSVFSNALSSPVRRSLQQYHIAQEAHYPNSGMSGGNGATRNNEPNFLQHQNTDPNSVSSNDSSMDMHADSPAHDSHY >KGN45594 pep chromosome:ASM407v2:7:19142240:19142925:1 gene:Csa_7G452980 transcript:KGN45594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPNVCCSIELEPKTLNQGQLHKAREVAADVVQKLEHSEATLVLIDMVERLRPMKCMFGGGGGGGGSLREFEEERKRCQCSYVSTDQSPNKVLELLKEPLSAPF >KGN44354 pep chromosome:ASM407v2:7:9514720:9517801:1 gene:Csa_7G268510 transcript:KGN44354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAILARKSLLALRARQLAVSGQALQGSPFHGLQSTHLYSTSKDDEEREQLAKEISKDWSSIFERSINTLFLTEMVRGLSLTLKYFFEKKVTINYPFEKGPLSPRFRGEHALRRYPTGEERCIACKLCEAICPAQAITIEAEEREDGSRRTTRYDIDMTKCIYCGFCQEACPVDAIVEGPNFEFATETHEELLYDKEKLLENGDRWETEIAENLRSESLYR >KGN45076 pep chromosome:ASM407v2:7:16113843:16119692:-1 gene:Csa_7G420690 transcript:KGN45076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLSDLINLDLTNTTEKIIAEYVWIGGSGLDLRSKARTLPGPVTDPAKLPKWNYDGSSTNQAPGDDSEVIIYPQAVFKDPFRRGNNILVMCDAYTPAGEPIPTNKRFNAAKIFSNPDVVAEEPWYGIEQEYTLLQKDIHWPLGWPTGGFPGPQGPYYCGTGADKAFGRDIVDSHYKACLYAGINISGINGEVMPGQWEYQVGPTVGIASGDQLWMSRYILERITEIAGVVVTFDPKPIQGDWNGAGAHTNYSTKSMRNDGGINVIKKAIEKLSLRHKEHIAAYGEGNERRLTGRHETADINTFSWGVANRGASVRVGRDTEKAGKGYFEDRRPASNMDPYVVTSMIAETTILGKP >KGN44910 pep chromosome:ASM407v2:7:15158091:15162423:-1 gene:Csa_7G395770 transcript:KGN44910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRKSKTLRTTMGARRKKMKGTVTRHLQVQLGKVSLAPLHLNRSSSSALCFPSLPESEPPSTVNFSDPLPSSSHNFEDRDFILSQDFFCTPDYITPDNQNLLNGVDSNKECIPCPKSPEKVNTVKTKRKIHENVLVRPLSPSLSGCEQVVELGNDIFGSDDVDMEKETTAMPKKENYVSQSAVALRCRVMPPPCMKNPYLKDASDVGVDPFGNQRTKCAGFFPALFSGDGLSRYHTDFHEIKLIGTGNFSRVFKVLKRIDGCLYAVKQSTRPLNQDTERRRALMEVQALAALGAHENIVGYYTSWFENEQLYIQMELCDCSLSMGRYSHPFSEVDALRALYQIAKALLFVHEKGIAHLDVKPDNIYIKDGVYKLGDFGCVTLLDKSLPIEEGDARYMPQEILNERYDYLDKVDIFSLGAAIYEIVRGFTLPETHFMNLKEGKLPLLPGHSLQFQNLIKAMVDPDPSRRPSAREVIENPIFDKVRTHKQS >KGN45044 pep chromosome:ASM407v2:7:15934772:15938258:-1 gene:Csa_7G414410 transcript:KGN45044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAATTTNLTALLLPRPQFHHFTSFSFITPYFTTVGLSHFNLANNHPSNRQILPLVKATQGSLDYVPDSKFYKVEAILRPWRIQNVSSALLKMGIRGVTVSDVKGFGSQGGSKERHGGSEFSEDNFVTKVKMEIVVVKDQVEAVIDKIIEEARTGEIGDGKIFVIPISDVIRIRTGERGERAERMTGGRSDMSDNNRATQ >KGN43474 pep chromosome:ASM407v2:7:2099587:2100134:1 gene:Csa_7G039220 transcript:KGN43474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLSSLPNENNEFSRCFKYEVEILALQPSSSNEFEASVVQTRTRAMVVLLNAVRRPRLNPPCSSSCLAILLLVPVLPLLDTVLKQFVLFSAFPPLILSCLRLQSSCP >KGN43340 pep chromosome:ASM407v2:7:1333510:1338609:-1 gene:Csa_7G024140 transcript:KGN43340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVVERKKRKKGRPSLLDLQKRNLKEQQEQQHQQHQTKRINNNNNSSPSISNPNYASSTPLRRSTRRNPNSEDLTPDNVTDDHPDAEYNHQLAGSRREKKLKLVLRLHSQKSPVNSSSLNSCGSDSNAEGDGNAASINKKRKIGSIAEGSRIQDSEKGEKSISATNPSETLQGLHMDSGPSTPLPDKKLLVFILDRLQKKDVYGVFSEPVDPNELPDYHEIIDHPMDFGTVREKLTSGAYSTLEQFEKDVLLISSNAMQYNSPDTIYFRQARTIQELAKKNFKNLRQDSDDNEPEPKVVRRGRPPTKNLKKPLGRPSLERAGSEFSPDATLATGGENANRSSDLRKGLHHLEKPSFADFSGRFSFSSNNSDAAFNLFNPSRFDRSEDITGSALRFNSVRQGKKPIVSEENRRNTYSQFQAATAMLEPAVLNTFDRERKVLMPVGLFLEHAYARSLARFAADLGSVAWTVASKKIERSLPSGSGFGPGWVIENDITPKRVFLPQAEPSKMSTLQPFLGHESSDPDVKPLTNEQKRVRSSDNSEADTSHKTHDELSRAPSSGGQVNRPPTEFVAVSSSPSSTQQSSEQCKGQAETVEGSKPSGNYNVLESSIPISRPSFHKHHSPSIHPGMNGFNGAYGFDLSAHRGKLIGPSDSAGVKPQSSQMLETISRTNANFILPAMATNLNPKEPKCPENNPSTTNSSSSLVGSGSDGLINPVASPHLRSPWHQGKQPAEKTDAVVTTIYKPESVPPDLNVRFKSPGSPSSSKVDSAHPDLVLQL >KGN45253 pep chromosome:ASM407v2:7:17187689:17188487:1 gene:Csa_7G432350 transcript:KGN45253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTNATAVVHSSTTTFVEADPSTFRSIVQKLTGAPHHPPAAYRLQERRRSSKKLELELNTGYTTTGGIGNGMVSPVSTLDFMGCGSPCEEEERAIAEKGYYLHPSPINTPGGSDPPHLLPLFPLSSPSNCNNRNYYVSS >KGN44936 pep chromosome:ASM407v2:7:15295027:15296107:1 gene:Csa_7G397000 transcript:KGN44936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPASKPVMVIGVDDSECAIAALEWTLDRFFSQTIGLHPFKLVVVHVKPSPDVFVGFSGSGSIAGSIETYQAFDGDLKRKAERTIKNAREICASKSVCDVEFEVEEGDARYVLCEAAIKHRASVLVVGSRDHGAIKRALLGSVSDHCAHQAPCTVMIVKINHPTITKALK >KGN43890 pep chromosome:ASM407v2:7:4763618:4764527:-1 gene:Csa_7G072770 transcript:KGN43890 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat-shock protein MAMMGLPRNSLFLILGLAFYFFATQQANALMPYRSIWDIMQPGGYSEDPFRILEQSPLSVPKSAVDTLAVARADWKETETEHVIWMDIPGIKREDLKIEVEENRVLRISGEMKGEAEVAGERWHRAERMSSSGKFWRQFRLPGNADMEGIKAHLENGVLKVIVPKLPQEKKKEAKVVKIEEGAKSGGEDLKATKAAM >KGN45004 pep chromosome:ASM407v2:7:15661955:15668231:1 gene:Csa_7G407570 transcript:KGN45004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDYSRQPFLGVHFVLFGFNIVDEKQVRSKLIDGGGVDVGQYGPSCSHVIVDKNKIVYDDPVCVAARNDGKLLVTGLWVDHRYDSGLLADATSVLYRPLRELNGIPGAKSLVMCLTGYQRQDRDDVMTMVGLIGAQFSKPLVANKVTHLICYKFEGDKYELAKRLRTIKLVNHRWLEDSLREWMLLPESNYNISGYDMEMLEAEAKDSEEESNSGITKHFAMRNTKSPDKMKFGLHSTSVISNTVPASKTLDERTSFSDTKSMLTVPTTNTEFIPSGKFDKYDEVRGPICQEVDVFSTPWDSVPFNMHTTTSESEKQKVKNEAVTSPSNAARSPQLCATSYSRRTPLKSPLPLFSGERLERADASCKIATGEIKDAIGVDVSLEKMEQVTYATFSGHEQNSSKGTDLFGTGDSNAGLPLKKNSDVSYDVPRSHSMSENTKSCTLNNPSTDEKVLGLEMSRVSLNHDDSDKRRAKTLQHSRGSTDTSSPIKKPLICDLPFGNSVRSPTEDVAGGSLKTPRTPFQISGKDLSPDKPNKPIHDCEISGDLVGKTKETDRQQNGVLAAPESDSGTKVTKTKSASPSSLNSSVLQNNNLQSKPQRIKMFAKKSLGSRPKLGSGSHRGSILSSKTTSLNDSVSSSCGNGEKLFSSSPQDVSIGVKKVVKTADKGDFSHKYEVMDEDDKTSDPENKEDFEHRMMDTENFKEVPQISDGEKVAKEIASGVKRNSSASVLNDTIPSGTLKEVIERKAPLSIGNVQLDELRLEDEKSKLNVGDRGPTEEKMLINSSKAKSKQGKVCKAPAREKNGKTGKKPQLVAAGLNTEVHTIHDYISEKVNVPCEAMDEDDKTFDVENKEADFEQQMMDMENFNGVPLMIDDDKLEKEIASGVKCNNSSRVLDDTIPSGTLEEVIEPKAPVSIGNVQLDELSLEDEQSKLNVGDRSPTEEKMLKNSKEKSKQGKVCKAPSRKKNVKTGKKPQLVAAGLNTEVHTIPDHKSEKENVPCDVGDKNSHIVKHFDKITVKSNTKQRKVTKKSSEISANSSMEIEEVLSEVKPEPMCFILSGHRLERKEFQKVIKHLRGRVCRDSHQWSYQATHFIAPDPVRRTEKFFSAAASGRWILKSDYLTDTTQVVTVMLLSIITIFVILTYTSSANHETGYSQACCEGWRWNNTSHISTLY >KGN43587 pep chromosome:ASM407v2:7:2824474:2826685:1 gene:Csa_7G047230 transcript:KGN43587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLFLKRHCSSSFTSQNFKYSTHPSIKLSQILQFCKSGLLNDALHLLNSIDLYDSRINKPLLYASLLQTCIKVDSFTRGRQFHAHVVKSGLETDRFVGNSLLSLYFKLGSDSLLTRRVFDGLFVKDVVSWASMITGYVREGKSGIAIELFWDMLDSGIEPNGFTLSAVIKACSEIGNLVLGKCFHGVVVRRGFDSNPVILSSLIDMYGRNSVSSDARQLFDELLEPDPVCWTTVISAFTRNDLYEEALGFFYLKHRAHRLCPDNYTFGSVLTACGNLGRLRQGEEIHAKVIAYGFSGNVVTESSLVDMYGKCGAVEKSQRLFDRMSNRNSVSWSALLAVYCHNGDYEKAVNLFREMKEVDLYSFGTVIRACAGLAAVTPGKEIHCQYIRKGGWRDVIVESALVDLYAKCGCINFAYRVFDRMPTRNLITWNSMIHGFAQNGSSGIAIQIFEAMIKEGIKPDCISFIGLLFACSHTGLVDQARHYFDLMTGKYGIKPGVEHYNCMVDLLGRAGLLEEAENLIENAECRNDSSLWLVLLGACTTTCTNSATAERIAKKLMELEPQCYLSYVHLANVYRAVGRWDDAVKVRELMKNRQLKKMPGQSWM >KGN44733 pep chromosome:ASM407v2:7:13662027:13671093:1 gene:Csa_7G375750 transcript:KGN44733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTISRILSLFQILLLVFAWQCYDKVAAIITDDIANLNNEIISTINQQLIPSPTATPGPLGMETLPDLDDTVNDSNNTNNDLNENGESVFDVTKHGAKADGKTDDAQAFETTWIAACRNTVGPVKILIPKGTYLVGPMTLAGPCKSFPITIENQGIVKATTDLSEYSSPEWFSIEDITGFILTGSGVFDGQGTAVWAYNDCKSNKDCQLLPISIKFSGLNHTIVDGITSLNSKGFHMSLFNCYNFTITNVNIIAPDESPNTDGIHLSTSELVNIMNSIIGTGDDCVSIGHSTVKITVTNVTCGPGHGLSVGSLGKYSREKDVYDVLVKNCTIFNATNGARIKTFASPISGLASGIIFEDIIMYNVKYPIIIDQTYSTDENKESKWKVSDVHFKNIRGTSATNVGVLLECSKLLPCEGVVLKDINLTYGGTDSKNTTIVSSCLNAKITTFGVQNPPPCDDGNWDIHLLVGGSTHLLHQKYNWRFWERISPLKITHKILHKIRPTFAVSTTVQSHPQKPMNLDDGSGPAHNSIEVNTHAADGNLVLEPYVGMEFDSEDAARRFYAEYARQMGFVVRIMQRRRSGIDGRTLARRLGCNKQGFSPNHRGIYGLDKKSRPSAREGCKATILVKMEKSGKWVVTRFVKDHNHPLVVCSNGFNSSGDKDKKIEELKMELEHQEQLCIAYREKLFNFISNVEEQTEELSSKIQVIIGNVRQVESGMQKHYQCRQ >KGN43360 pep chromosome:ASM407v2:7:1463861:1464618:-1 gene:Csa_7G027270 transcript:KGN43360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHFGKPIKTESTMVGEEKVEHPLEELFLLYPELNPDLGLLQIHGFITETNFHWPWHLIVLFLKVVTLPIGLEFNLRLSISNLDASSCG >KGN45040 pep chromosome:ASM407v2:7:15919135:15920669:1 gene:Csa_7G413890 transcript:KGN45040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGFRCGEPQKIKEEEEEMDVRKGPWTAEEDSVLFNYVNCHGEGRWNAVARCTGLKRTGKSCRLRWLNYLRPSVRRGNITLQEQLLILELHFRWGNRWSKIAQYLPGRTDNEIKNYWRTRVQKQAKQLNCDVNSKQFRDAMRYVWMPRLLERIQASTDSTTTIVDVIQPPHPPLELTQSSPSGSSWVNPHVAPTTSSSDSISDQVQLQVSPVSDMTENFNMVDKSEEDGMMMLMEHGCDGEAMINEWIGGGEVLENGLWNEEDMWTLLQQQFGDDV >KGN44675 pep chromosome:ASM407v2:7:13128976:13129200:-1 gene:Csa_7G369250 transcript:KGN44675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPSSYYSLPNNDIEFLPGDNSTGLLPWDINLEETSFNSSSYGVGDSNSQEMKYDIIDNTFQNNAFPFNYFVA >KGN43322 pep chromosome:ASM407v2:7:1225911:1226789:-1 gene:Csa_7G023960 transcript:KGN43322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSALNSRNSHHIRSNSLPSKPHPVIEQVGEHLCRLKEASEATSSSSFELCHKLNGLQDLHDCVDRLLLLPLTQHVLADECDKKWFNDLLEGSVRLLDLCDIAKDALLQTKECVHELESVLRRGRGGKMFIESELQKCLSSRKLIKKTIYKALKIVETKSCEKNQSTPATISLLKQVEAISYNIIKSLLSFLAGTKFPSNSSRWSLVTKLVQPRRVACEVEETNRNEVALVDAALHSIASQKTKKSNFLVQDDNLQNSLKIFSSNIQEVEGDLEALHRRIIKSRVSLLNNY >KGN44346 pep chromosome:ASM407v2:7:9459247:9462469:-1 gene:Csa_7G267940 transcript:KGN44346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSRRRTLLKVIILGDSGVGKTSLMNQYVNKKFSNQYKATIGADFLTKEVQFEDRLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNSMKSFDNLNNWREEFLIQASPSDPENFPFVVLGNKVDVDGGNSRVVSEKKARAWCASKGNIPYFETSAKEGINVEEAFQCIAKNALKSGEEEEIYLPDTIDVGSNNQPRSSGCDC >KGN43395 pep chromosome:ASM407v2:7:1678556:1679652:-1 gene:Csa_7G031560 transcript:KGN43395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLFPFKIGFPTLPHSLRLLLNPPPPAALPPLFLLPDGALTAAAASASAFRRIRCCPPPSYTLASSSNLSCLYLFFILLRSTLVQPLVIAAVAHFSGVSNRG >KGN43798 pep chromosome:ASM407v2:7:4190801:4193171:-1 gene:Csa_7G067530 transcript:KGN43798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSILRTSPLSSSRAFLSNPTPFPASPHSFFKTHFQSSSLSPNPTSFAISPTRFGGAFPLRSRGFRQSRVLAMSSPNSVQKSEEEWRAILSPEQFRILRQKGTEYPGTGIYDKFYGEGVYHCAGCEAPLYKSNTKFNSGCGWPAFFDGIPGAIKSTPDPDGMRTEITCAACGGHLGHVFKGEGFRTPTNERHCVNSISLKFSPSQ >KGN43667 pep chromosome:ASM407v2:7:3309208:3311188:-1 gene:Csa_7G056460 transcript:KGN43667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIQCDVCSKDEAMLFCTADDAALCSSCDHRLHHQQQPDLLSSNHHRFPLLYPNNNNNNHFPLCDICQERRAFLFCQEDRAILCKDCDVAIHWANQVTRNHQRFLLTGVKLSSAAAFSLSSLPNSNSHLVGANNVSSTPVSHSPSVAESSTATASAAHGCGSMNGVAEYLIEPLPEWHFEEFLDSSSTTTTNAPPPHPLAFSKSDDGDCIFPFVDAVVELEITTDSLSLEHWRISTSVDVLPLCSLLIRYEVEMEKRNECSSDSDSGGDSTLVDTIKMFLKSPAFHCNGRPFALGILFVCLLTILNKKEVCSSISFLSFIPYYILLNIVCVD >KGN44799 pep chromosome:ASM407v2:7:14365411:14365641:-1 gene:Csa_7G388320 transcript:KGN44799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPRMSYKTVGLTFNSFSLAPLLLDWTSFDREISQFVPWRMNEDPISSLDDPTSSKKNGDETPMSCHYFQLLSELH >KGN44806 pep chromosome:ASM407v2:7:14413688:14414924:1 gene:Csa_7G388390 transcript:KGN44806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDFPSCFGENGVQIADSSSSSSSSSSSSSITKAAQNLVTCVYQCRLHSQLSFIVLTWTKHLMGQALSLQIQNSTNQSLCKLDIKPWLFSKKKGSKIFDVHSTKMEIHWDLTNAKFGCGPEPEEGFFVAVVFNRELIFFIGDSPSEASKKTAAVSTAAAVFVARREHVFGKKLYSAKAQFSERGETHNVSIECDTSGGLKEPSLVIRIDSKTAMQIKRLKWKFRGNDRIVVDGIPVEVMWDVHNWLFGNSGALSSAVFMFQTHKSSGSHTQSSSSSSSSLLNSSSSSSSSSSSYCQQQIKDSKLQGLDFSLILYAWRNE >KGN43593 pep chromosome:ASM407v2:7:2854357:2857260:-1 gene:Csa_7G047290 transcript:KGN43593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGEQKWGAIAPALPAVSPLNPEREEYWSRFDDSVNAVSFGFVATAILISMFLVMAIFERFLRPRSPAAIGRPPSDLEAQMVFDGKPRYPSPKMTVYARGVSVLMPGEEIPTYIAHPAPAPCPPEPILKPLHQHSLSMCPSSSLTSSSNIS >KGN45119 pep chromosome:ASM407v2:7:16385349:16387913:-1 gene:Csa_7G427090 transcript:KGN45119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLSFNPTRIRTLGGLHFTKSKPIYSPFSQSIKTANRFSANGRISQQPLPRFRSNSVSESSVTAPEEVELNEDEDDPTLEMAYLDSETDPESITEWELDFCSRPILDIRGKKVWELVVCDNSLSLQYTKYFPNNVINSITLRDAVSSIAEELGVPLPDKIRFFRSQMQTIITKACTELGIKPIPSKRCLSLLLWLEERYETVYTRHPGFQKGSKPLLALDNPFPMELPENLFGERWAFVQLPFSAVQEEISNLKETFMFGSSLDLDLLGIEIDDKTMIPGLSVATSRAQPLAAWMNGMEVYSVEADTSRASLILSVGIATRYVYATYKKTPVTSAEAEAWEAAKKACGGLHFLAIQDDLDSEDCVGFWLLLDLPPPPV >KGN44749 pep chromosome:ASM407v2:7:13826607:13834438:-1 gene:Csa_7G378380 transcript:KGN44749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTTDLHQILKSFCCNSEWKYAVFWKLKHRARMVLTWEDGYYDNSEQHEPPEGKFFRKTLETFYDGHYSHDALGLAVAKMSYHVYSLGEGIVGQVAVTGKHQWITADEQIPNFSSTIEYCDGWQTQFSAGIKTIVVVAVVPHGVLQLGSLDKVTEDVNLVTRIRNVFLTLQESSAGEIKPMHSCKSSGYMADIPSRSLATEKGEVASVSKNVGLELSGSEAFESLTTKPDGINVENFKSQVRLLDDRMCGGEPSGCKDKAVGLKQKINVQSQNSTMDMVNICGNLLPAEKIMTNDAYFSMNPHPSSAYDGVNHNGMFIRTNHTEMYLQNDMEASETIEMYPSNTSLKFPAGYELHEVLGPAFLKDALYLDWQTEYVLGGKAFELSEGMSGSQLTSDSPTERLLEAVVADVCHSGSDVKSDTSLCKSGQSLLTTERIPEPSTNVTTSACSEGYSMGQSQTSFTGEDMQNSLSSSGVCGVMSPKGFSSTYSGTGSEHLDKSSEPAKNSKRRARPGESSRPRPRDRQLIQDRIKELRELVPNGAKCSIDSLLERTIKHMLFLQGITKHADKLTKCANMKLHQKGSGMLGTSDTDQGSSWAVEVGGQLKVCSIIVENLNKNGQILVEMLCEECSHFLEIAEAIRSLGLTILKGITEAHGEKTWICFVVEGENNRNIHRMDILWSLVQILQRSSTM >KGN45361 pep chromosome:ASM407v2:7:17724360:17728540:-1 gene:Csa_7G446780 transcript:KGN45361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLFPQLLILFCCFFVALFMAKFSHGHTTLANDVLAQGQHLSIAFYIPPSSNSIYLGISDNTNDQKPIWIANRNSPFPNNSASISLTIDVNGSLKIQSGNCSFSLFNGGQPTTSSAILQDNGNFVLRELNRDGSVKQIVWQSFDHPTDTLLPGMKIGINHKTNSTWSLISWRNYKSPKPGGLSLGMNPNNTYELVVCVRGELLWRTGNWKEGSFEFLEKDKGFNFVRVSNENETYFIYYAREPNGYSLYRNSYYHGESGELILSQIRLENNGNVRINNEIYDSPCLLTSNEIRGACVWRELDKIPECRNKLSHGYGPYISQINGYELERINGSDYYYKLSGNLTMFECRSICINDCDCIAFGIPAYESDSGCEFWKSGANFIPENDSLQMLWSLDTDSEFLDTDHEFSNTNDESPNGKWKVWVQITVALTLPATFLLLCFIIYTKWRTQIFKAIGKVKKGFLRGMGMISECYNILRIMIIQIRDGKKNPELQFFDFETILSATNNFGEEYSEKKLIFDWEKRLHVVQGIVQGLLYLHYYSRVRIIHRDLKVSNILLDDEMNAKISDFGMARVFKPSDNEANTSRVVGTHQKNYHNYDTERPLNLIGYVIKNDNVCIFQAWELWVNGRGEELIDLGLCNSDDQKAKALRCIHVSLLCVQQIPGNRPTMLDIYFMINNDSAQLPSPKQPAFFIAQSPSSSQREIEEVDSETHTTHRSNLFIEFYDTLNDGCKSSMADILHQGQELTTGSQLIPATVIFVLGFNYYPPNTNTMTLPIDTTSAMLQDDGDFVWRELKPRWISKANSVAEL >KGN45382 pep chromosome:ASM407v2:7:17799279:17802398:-1 gene:Csa_7G446970 transcript:KGN45382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIESSSSPPSSSSSKFNYISSENPNPTPKFSTMESIGVLMTCPMNAYLEGELQKRFNLYKFWQFPQKTQFLTEHCNSIRAVVGNASAGADATLIDALPKLEIVSSFSVGLDKIDLKKCKEKGIRVTNTPDVLTEDVADLAIGLIIAVLRRLCECDRYVRSGKWKIGNYKLTTKFSGKSVGIIGLGRIGLAIAKRAEAFNCPISYYSRTKKEDTKYKYYSNLLELASNSDILIVACALTKETHHIVNREVIDALGPKGVLINIGRGPHVDEPELVAALVEGRLGGAGLDVFENEPEVPQELFALENVVLVPHIGSGTVETRKEMADLVLGNLESHFSNKPLLTPVV >KGN44136 pep chromosome:ASM407v2:7:7243584:7247074:1 gene:Csa_7G201880 transcript:KGN44136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKYGLTRWHLQHGLVSDYVLFLTDRTKNHGDLRVSRIVRLKRHRWYKKVLKTRDPLIFSIGWRRYQSTPVYAIEDSNGRHRMLKYTPEHMHCLAMFWGPLAPPNTGVIAVQTLSSNIQTSFRIAATATVLQSNHEERVVKKIKLVGYPCKIFKKTALIKDMFTSDLEIARFEGASVRTVSGIRGQVKKAAKEEIGNQPKKKGGPPKEGIARCTFEDKIRMSDIVFLRAWTKVEVPKFYNPLTTALQPRDRVWQGMKTVAELRKEHNLPIPLNKDSLYKPIERQKRKFNPLVIPKSLQAALPFKSKPKNTPGQQRPLLEKRRAVVMEPRDRKVHALVQQLQLMRHEKMKKRKLKEEKKRKELEAEHAKTEQLSKKRQREERRERYREQDKLKKKIRRSE >KGN44289 pep chromosome:ASM407v2:7:8758260:8762923:-1 gene:Csa_7G239020 transcript:KGN44289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEFIINVASVIVTPIGKYVIKPIGNQLGYIVFYNRNKNEIKEQLESLETTKKDLDLRVEDAKSKAYTIFTKVSEWLVAADDEIKKSDELFNSNPPCLNFLQRHQLSRKARKRATDIRRLKDGGNNFLEVGCPAPLPDTMNTIVPEAYQTLGSKTSMAKQIKDALAKPEVRKVGIYGMGGVGKTYLLKEVKKLVLEEKLFDLVIDVTVGQSNDVMNMQQQIGDFLNKELPKSKEGRTSFLRNALVEMKGNILITFDDLWNEFDIINDVGIPLSKEGCKTLVTSRFQNVLANKMNIKECFKVTCLDDEESWKFFKKIIGDEFDAKMENIAKEVAKQCGGLPLALDIIAKTLKRSRHINYYWEGVLSKLKNSIPVNIDVGEKVYASLKLSYEHLDGEEVKSLFLLCSVFPDDHGISVNDLQMYVMGMGLLKMVNTWKEARAEAHYLVEDLTSSSLLQRLKNRDVKMHDIVRDVAIYIGPDFNMSTLYYGYSTSSKGLDEDKCRSYRAIFVDCKKFCNLLPNLKLPKLELLILSFPFWGKDRNIDIMDAYFEGMENLKVLDIEGTSFLQPFWTPLKNLRTLCMSYCWCEDIDTIGHLKQLEILRISNCRGITELPTSMSELKQLKVLVVSHCFKLVVIHTNIISSMTKLEELDIQDCFKEWGEEVRYKNTWIPNAQLSELNCLSHLSILRVRVLKLTILSEALSSQMLKNLREFFIYVGTHEPKFHPFKSWSSFDKYEKNMSFNMKSQIVSVNGTKLSILLEGTKRLMILNDSKGFANDIFKAIGNGYPLLKCLEIHDNSETPHLRGNDFTSLKRLVLDRMVMLESIIPRHSPINPFNKLKFIKIGRCEQLRNFFPLSVFKGLSNLRQIEIYECNMMEEIVSIEIEDHITIYTSPLTSLRIERVNKLTSFCSTKSSIQQTIVPLFDERRVSFPELKYLSIGRANNLEMLWHKNGSSFSKLQTIEISDCKELRCVFPSNIATSLVFLDTLKIYGCELLEMIFEIEKQKTSGDTKVVPLRYLSLGFLKNLKYVWDKDVDDVVAFPNLKKVKVGRCPKLKIIFPASFTKYMKEIEELEMVEPFNYEIFPVDEASKLKEVALFQSLETLRMSCKQAVKERFWVMSKFFKLKSLELFGCEDGKMISLPMEMNEVLYSIEELTIRGCLQLVDVIGNDYYIQRCANLKKLKLYNLPKLMYVLKNMNQMTATTFSKLVYLQVGGCNGMINLFSPSVAKNLANLNSIEIYDCGEMRTVVAAKAEEEEENVEIVFSKLTGMEFHNLAGLECFYPGKCTLEFPLLDTLRISKCDDMKIFSYGITNTPTLKNIEIGEHNSLPVLPTQGINDIIHAFFTIEVCIISFQNTLNSISQFLAQLYYQNPLCV >KGN44157 pep chromosome:ASM407v2:7:7417647:7420948:1 gene:Csa_7G209530 transcript:KGN44157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTMKLPLPLPLPAKSQHIPTFTSSLYPKSVNRSPELDLQQTPSSRKDSRRRIRNLSFIKRKLAPSGRRSRPQTPLLKWKVEERVDGGGEMDEDEKKSESENGGKDLQRVSGERDVIVSARKLAAGFWRFQKPEVSVDGGKSGLKRTQEQGIGSQPVAGHVRVPILRHHNSNIFSNETRDLIQGQPSTSGVRNGVLRKLEPFFQFSNSVMEGATKWDPIGSKISDDRGGLIYNQRELLDQQVSLVSVISSLEAELKQTRVRILELETERHASKKKLESFLRKVDEEKAVWRMREHEKVRVFIESIRTELNHERKNRRRVEHFNSKLVHELADAKSLVKRLMQDYEEERKERVLIEQVCEELAKEIGDDKAEIEASKRESARLREEVEEERKMLQLAEVWREERVQMKLVDAKVAVEEKYSQMNRLVADLENFLRLRGAISDIKEMKEAVILGKTASALNIQDIKQLSYQHSKPDDIFSIFEEVNFDENHEREVKPYGSFSPATVISKVGTTSPEVNVDTAKRVDGTLMASRTCINQNGEIDDESGWETVSQVEDQDSSSSPEGSTILPANKNCGKSSSTSGSSVTDWEEYGHGGGGGGESTINVSEVYSELVKKSKKVSNLTKRLWKSGHHNGGDSNKMITVKEPPHGITSSSPDAESGNGEYSPDFTGQWGSFDISDGQIARQRKVQINAKENQKLQLRHVLNQKI >KGN44772 pep chromosome:ASM407v2:7:14068835:14090794:-1 gene:Csa_7G379100 transcript:KGN44772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWVTLLKDIKEKVGLTPSHSAGSAPSASASSSSSSSILASSARDNHVPYSARRPDSASSPARNRHELELDFKRYWEEFRSSSSEKEKEAALNMTVDTFCRLVKQHANVAQLVTLIVETHIFSFVVGRAFVTDIEKLKISSKRRSLDVIKVLKYFTEVAEDVICPGANLLTAVEVLISGPIDKQSLLDSGIFCCLIHILNALLDPDEASQREKTASYEEKSVLGEDLNGHGGQGRRLEVEGSVVHIMKALASHPSAAQSLIEDDSLQMLFQMVANGSLTVFSQYKEGLVPLHNIQLHRHAMQILNLLLVNDSGSTAKYIRKHHLIKILLMAVKDYNPNCGDSAYTMGIVDLLLECVRLSYRPEANGISLREDIHNAHGYHFLVQFALILSKLARSQASQSVKSSLPQDYIQATDVSQINDEEKQDYIDQDVPSLQLSPTLSRLLDVLVNLAQTGPQESDCSSTGKRSKSTHSKSIDHSRSRTSSSDRLTDDIWEEGNNKVKDLEAVQMLQDIFLKADNRELQAEVLNRMFKIFSSHLENYKLCQQLRTVPLLILNMAGFPSSLQEIILKILEYAVTVVNCVPEQELLSLCCLLQQPIMSELKHTILSFFVKLLSFDHHYKKVLREVGVLEVLLDDLKQHKFLQSPDQAGGNFHQLERKSSTSSFKKHLDNKDTILSSPKLLESGGSGKFPIFEVQSTTTVAWDCIASLLKKAEASQTSFRSSNGVAIVLPFLVSNVHRQGVLRLLSCLIIEDTAQAHPEELSAIVEILKSGMVTSISGSQYGLHNEAKCETMGTLWRILGVNNSAQRVFGEVTGFSLLLTTLHSFQSGGDSYQCSIEDRVKVFKYLMRVVTAGVCDNALNRTKLHTVILSQTFNDLLSESGLICVEFERRVIQLLLELSLEMVLPPYLKFEDAPSPDSVENNSSSFHLITPSGSFHPNKERVYNAGAIRVLIRLLLLFTPKVQLEVLDIIEKLACAGPFNQENLTSVGCVELLLETIRPFLLGSSPLLAYTLKIVEVLGAYRLSASELQMLIRFALQMRLLKSGHILIDMMERLVHMEDMASESLSLAPFIEMDMSKIGHASIQVSLGERSWPPAAGYSFVCWFQFHNFLKSPGKEYEPSKVGPSKRWSAKNAQSQEQQILRIFSVGAASNDNTFYAELYLQEDGILTLATSNSSSLSFSGIDLEEGRWHHLAVVHSKPNALAGLFQASIAYVYLNGKLKHTGKLGYAPSPIGKSLQVNIGTPVACAKVSDMHWKLRSCYLFEEVLTPGCICFMYILGRGYRGIFQDTDLLHFVPNQACGGGSMAILDSLDADLALTHNMQKHEGASKLGDTRGDGSGIVWDMERLGNLSLQLSGKKLIFAFDGTSAEAMRGSGVLSMLNLVDPMSAAASPIGGIPRFGRLHGDVYVCKQCVIGDTIRPVGGMTVILALVEASETREMLHMALTLLACALHQNPQNVRDMQTYRGYHLLALFLHRRMSLFDMQSLEIFFQIAACEASFAEPKKLESVQTNFSPINAFQETSYDELSLSKLRDEISSIGSHGDFDDFSAQKDSFSHISELENPEISGETSNCVVLSNPDMVEHVLLDWTLWVTAPVAIQIALLGFLEHLVSMHWYRNHNLTVLRRINLVQHLLVTLQRGDVEVPVLEKLVVLLGVILEDGFLVSELELVVKFVIMTFDPPQLTPRRPILRESMGKHVIVRNMLLEMLIDLQVTIKSEDLLEQWHKIVSSKLITYFLDEAVHPSSMRWIMTLLGVCLTSSPTFALKFRTSGGYQGLVRVLPSFYDSPDIYYILFCLIFGKPVYPRLPEVRMLDFHALMPSDGSFVELKFVELLEPVIAMAKSTFDRLSVQTMLAHQSGNLSQASAGLVAELAEGNADNAGELQGEALMHKTYAARLMGGEASAPAAATSVLRFMVDLAKMCHPFSAVCRRTDFLESCVGLYFSCVRAAYAVRMAKELSVKTEEKNSNDGDDANSSQNTFTSMPQEQDLSVKTSISVGSFPQGQASTSSDDTAAPQNESSHKDENNTIPSPQMSRKSEHDFQVAESLEGENIDQESVTSSTNEFSIRTRKDAPEPLQPIDSHSSASLNLIDSPILSEKSNYRVPLTPSSSPVVALTSWLGNSSNSEIKSSSAAPPSVESFASAAEFDPTTDLKSTSQGHPAANTFFSVSPKQLLEMDDSGYGGGPCSAGATAVLDFMAEVLSDILTEQIKAAPVIESILENVPLYVDTESMLVFQGLCLTRLMNFLERRLLRDDEEDEKKLDKARWSANLDAFCWMIVDRVYMGAFPQPASVLKTLEFLLSMLQLSNKDGRIEVSPSGKGLLSIGRGSKQLDAYVHSILKNTSRMILYCFLPSFLISIGEDGLLSCLGLLMEPKKRSFTSTYHVDSGIDICTVLQLLVAHRRIIFCPSNVDTDLNCCLCVNLITLLRDSRQYVQNMAVDVVRYLLVHRRAALEDLLVSKPNQGQSMDVLHGGFDKLLTESLSDFFDWLQPSEQIVKKVLEQCAALMWVQYITGSAKFPGVRIKAMEGRRKKEMGRRSRDISKLDMRHWEQVNEQRYALDLLRDSMSTELRVLRQDKYGWVLHAESEWKSHLQQLVHERSIFPISISSVSEDPEWQLCPIEGPYRMRKKLERTKLKLDTIQNALDGKFELKEAELIKGGNGLDTSDGDSESYFHLLNDNAKQNDSDSDLFEEPMFHESDDVRDEASVKNGWNDDRASSANDASLHSALEYGAKSSAVSIPLAESIQGRSDLGSPRQSSSAKIDEVKVSDDKYDKELHDDGEYLIRPYLEPFEKIRFRYNCERVIGLDKHDGIFLIGELCLYVIENFYINDSRCICEKECEDELSVIDQALGVKKDCMGSMDFQSKSTSSWGVAAKSWSGGRAWAYSGGAWGKEKVGSSGNLPHPWRMWKLDSVHEILKRDYQLRPVAVEIFSMDGCNDLLVFHKKEREEVFKNLVAMNLPRNSMLDTTISGSTKQESNEGSRLFKIMAKSFSKRWQNGEISNFQYLMHLNTLAGRGYSDLTQYPVFPWVLADYESENLDLTDPKTFRMLAKPMGCQTPEGEEEFKKRYESWDDPEVPKFHYGSHYSSAGIVLFYLLRLPPFSAENQKLQGGQFDHADRLFNSIRDTWLSAAGKGNTSDVKELIPEFFYMPEFLENKFNLDLGEKQSGEKVGDVFLPPWANGSAREFIRKHREALESDFVSENLHHWIDLIFGNKQRGKAAEEATNVFYHYTYEGSVDIDSVTDPAMKASILAQINHFGQTPKQLFLKPHVKRRVDKKFPHPLKHSNLLVPHEIRKSLSSVTQIITLNEKILVAGANTLLKPRSYTKYVAWGFPDRSLRFLSYDQDRLLSTHENLHEGNQIQCAGVSHDGCTLVTGADDGLVWVWRITKQAPRLVRRLQLEKALSAHTAKITCLYVSQPYMLIASGSDDCTVIIWDLSSLVFVRQLPKFPTAVSAIYVNDLTGEIVTAAGILLAVWSINGDCLAMVNTSQLPSDSILSITSGTFSDWMDTNWYATGHQSGAVKVWQMVHCSNPASQIKSTGSSVVGLNLDNKVSEYRLVLHKVLKFHKHPVTALHLTSDLKQLLSGDSNGHLVSWTLAGDNLKAASMNLR >KGN44877 pep chromosome:ASM407v2:7:14972928:14976351:1 gene:Csa_7G393970 transcript:KGN44877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRMASTFPEDVLEHVFSFIQCHQDRNSISMVCKSWYEIERWCRKRVFVGNCYAVSPQIVIRRFPDVRSVELKGKPHFADFSLVPDGWGGYVYPWILAMASAYPLLEEIRLKRMVVTDESLELISKSFKNFKVLVLMTCEGFSTDGLAAIAANCRHLKVLDLRESDVEDLNGHWLSHFPDTYTSLVSLNIACLVSEVSVSALERLVDRCPNLRTLRLNRPVPLDRHANLLRRAPQLVEFGAGCYTADLRSEVFSSLTGAFTSCTELKSLSGFWDVVPAYLPSVYPTCSQLTSLNLSYATIQCDDLTKLVSQCHNLQKLWVLDFIEDSGLEAVAVTCKDLRELRVFPSEPYGLEPNVSLTEQGLVSVSEGCPKLQSVLYFCRQMTNAALVTIARNRPNMTRFRLCILEPWTPDYVTGQALDVGFGAIVEYCKDLQRFSLSGLLTDRCFEYIGTYGKKLEMLSVAFAGESDLGLHHVLSGCDSLRKLEIRDCPFGDKALLANAAKLETMRSLWMSSCSVSFGACKLLAQKFPQLNVEVIDERDPPNTRPESCPVERLYIYRSVAGRRLDMPGFVWTMDGDAPLRLT >KGN44523 pep chromosome:ASM407v2:7:11330079:11330365:-1 gene:Csa_7G325155 transcript:KGN44523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNPMPECTLRDLAAPDFTQQPFALPIPRRHVVLSDDPHKFTKEFHVVYSNMGPHRVTKEERNLSTFLLALKDDAKD >KGN44867 pep chromosome:ASM407v2:7:14880807:14891244:1 gene:Csa_7G392400 transcript:KGN44867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKIYVILITISCRAFCNPADVEAFTEEKKQSLLVKRQGKGADFVRAVQEIIDCHEKLKECDNNDDIISSDDLARVNGGSVVDSSANVGSKDETEAPVANNNNLQSNNSLSSRDTSEPALPLKFVLASAQGNSLLDSEARRDQSTDADASEQPFPACTSSRKRSGGSRLKSSVTKRNVSVQRSRSSSRVESRRLQHLAIPFSSGDIVANNIPEELLRRNKRNRKSPDGSDCDDATSEALISNVSIEDNASEIVTADSDTYSLNECSTIDSGCKFEHSETAVECLERDVEFGKGLDLHIKAVVIKKKRKPMRKRVINDASEDNGVAQDKEEILEAVVDNSNQCLQNGCENKTEKCSKENGDEHLPLVKRARVRMSEVSSTEDCKRHSDSEEQNKKAVPINLSGKVGSDSNSADVSNDRVLDTANGVPNHISPSKACTQFSANWSQLCNYKKDQSFCCSVDGESVLPPSKRLHRALEAMSANVAEEDQAAAETAVSTRTSTNGCPITSTCSSSHFQIEIKDGNCLGLQDRTFHGDPSELKDELFSTSVNQTITEENGKTPLKVDFDHQADQNSQNQQHDFKDDVILERGGKHIVVADHIDSQLGCHSDRTVVHMDSVKKESPGELADIRSNCGEMDQLLPLEDESNINITGPHIVVSANPDEDLECSENSRMGCELIAGSHDIGKLSHQNGSDEVTCCADGIMIATSPKPALAENCEENMLDVKEVNGRSPFSCEHVIQKDVSEVRSSLSVAGTDNSLTMDSVDPVSISDRRSLLQNNSYSPNYHKRSLGTLSEEVKLESPVSLKLKPKDVEARAALSSFEAMLGNLTRTKDSIGRATRVAIECAKFGFGPKVVEVLTRTLDTESSLHKKLDLFFLIDSITQSSQNLKGNVADIYPPAIQLVLSRLLAAVAPPGSNAQENRKQCIKVLRLWSQRGVLPEPVVRHHMRELESLSGSSSVGAYSRRSSRTERSLDDPLREMEGMLVDEYGSNSSFQIPGFSMPRMLKDEDEGSDSDGGSFEAVTPEHTSQACEEFESVPIMEKRRHILEDVDGELEMEDVAPPCEVEISSSNPVVVNAVEAVDNKFEQHFPPPMAPPLPQDVPPSCPPLPSSPPPQPPPLPPSFSRNDSCVSDFELDRSYMETNSVQDNLMQPVAQSSNGSGTTQRTADTVHYPASSNASGITQRSSDAGQYPASERRDLQMQMLESTSRSYSNMPGRVLNNGQRDDSTALHNKGYPLRPPHPPPPQDHFTYVHGDHRMKPRWEDPPASYSSRFRYADDPDGECFYNDHERMRHYSYEPHDNWRVPRPFYGSRYHDRGRTSYGPVSCGGTPCEPTSHSQRWRFPSRDINSRNSMPYRQPYEGPVRVSNRGPSFWRPR >KGN45487 pep chromosome:ASM407v2:7:18539444:18542137:-1 gene:Csa_7G449470 transcript:KGN45487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEGDEELPRDAKIVKTLLKSMGVEDYEPRVIHQFLELWYRYVVDVLTDAQVYSEHAGKAAIDCDDVKLAIQSKVNFSFSQPPPREVLLELARNRNKIPLPRSIGGPGIALPPDQDTLLSPNYQLAIPKKQAVETMEETEEDEGDDTVAPSQEPSSSEVPQQHASQRVSFPLAKRSKIT >KGN44078 pep chromosome:ASM407v2:7:6444619:6445472:1 gene:Csa_7G169590 transcript:KGN44078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMTAHGPSTTWTINQNKAFEKALAVYDKDTPERWLNVAKAIGGKTEEEVKSHYQLLVEDVKHIESGRLIEPAPSVFEE >KGN44550 pep chromosome:ASM407v2:7:11665537:11667328:1 gene:Csa_7G329350 transcript:KGN44550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFHSILKYLLLFFFISTAASEFQTLTLRSLPTPSPLPLFPDSQSLQSSPDAPLTLDLHHLDSLSLNKTPTDLFNLRLHRDTLRVHALNSRAAGFSSSVVSGLSQGSGEYFTRLGVGTPPRYLYMVLDTGSDVVWLQCSPCRKCYSQSDPIFNPYKSKSFAGIPCSSPLCRRLDSSGCSTRRHTCLYQVSYGDGSFTTGDFATETLTFRGNKIAKVALGCGHHNEGLFVGAAGLLGLGRGRLSFPSQTGIRFNHKFSYCLVDRSASSKPSSMVFGDAAISRLARFTPLIRNPKLDTFYYVGLIGISVGGVRVRGVSPSLFKLDSAGNGGVIIDSGTSVTRLTRPAYTALRDAFRVGARHLKRGPEFSLFDTCYDLSGQSSVKVPTVVLHFRGADMALPATNYLIPVDENGSFCFAFAGTISGLSIIGNIQQQGFRVVYDLAGSRIGFAPRGCT >KGN45407 pep chromosome:ASM407v2:7:17957859:17958723:-1 gene:Csa_7G447575 transcript:KGN45407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSMASTKTQLLARWRAIEEEDDTGHGTIQPHRFQQLKEEWFADAFTYLISLPQESHIWCGSWDIMGPLLETFYNYFKDESDDSPLRRLWKRISMEMNQCIQCVCQHHQAKDMYSSEYEMSSIGPLLDVLRRLDEERVTQYLRNINHRISQGEYDAAQDNSEVVSVVYEVNFRPQLLPPLPNFISTHLSDEFYFQYFSSYC >KGN43523 pep chromosome:ASM407v2:7:2460837:2461615:-1 gene:Csa_7G043650 transcript:KGN43523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLKLKPPLNRLPSPPAVHRWNLRCSSFILPSATKSTLLCPTKPREDSCNTFPPRQSPFASHQAIVASRRLHLAASPPSVGIPSFLFRLKSTFQSEPSSQFVSRADSSLPSRARVFLLLFFV >KGN44888 pep chromosome:ASM407v2:7:15011551:15012252:-1 gene:Csa_7G394080 transcript:KGN44888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKVKRLVAERSVVIFSKSSCCLSYAVNILFGELGVNPLVYEIDQDPDYREIEKALMRLGCNAPVPAVFIGGKLVGSTNEIMSHHLSGDLTKMLVQSHALNKY >KGN45102 pep chromosome:ASM407v2:7:16268256:16272547:-1 gene:Csa_7G425940 transcript:KGN45102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSVLFNVAASVITKLGSSALRELGSLWGVNDELDKLQNTLSAIKAVLLDAEEQQSKSHTVKDWIAKIKDVFYDIDDLIDEFSYETLRRQVLTKDRTITKQVRIFFSKSNQIAFGFKMGQTIKKVREKLDAIAAIKAQLHLSVCAREVRDNEPRKVRETSSFIPEGEIIELENSNLVRIGKEIVAKLKGYPLSIRVVGRLLYFKNTEMDWSSFKDNELDSILQEDDQIQPILKISFNHLPPKLKQCFTYCALFPKDYEFKKNGLVKQWMAQGFIQAHNKKAIEDVGDDYFQELVGRSFFQDIRKNKWGDLKYCKMHDLLHDLACSIGENECVVVSDDVGSIDKRTRHASFLLSKRLTREVVSKSSIEVTSLRTLDIDSRASFRSFKKTCHMNLFQLRTLNLDRCCCHPPKFVDKLKHLRYLNLSGLNVTFLPNSITTLYNLETLILRYCLWLRKLPKDINNLINLRHLDIYDCSSLTHMPKGLGGMTSLQTMSMFVLGKNKGGDLSALNGLKSLRGLLCIKGLQFCTTADLKNVSYLKEMYGIQKLELHWDIKMDHEDALDDGDNDDEGVLEGLKPHSNIRKMIIKGYRGMKLCDWFSSNFLGGLVSIELSHCEKLEHLPQFDQFLYLKHLLLGYLPNIEYIDSGNSVSSSTTFFPSLEKLRIESMPKLKGWWKGEISFPTTILHQLSELCIFYCPLLASIPQHPSLESLRICGVSVQLFQMVIRMATDLSEHSSSSSTLSKLSFLEIGTIDLEFLPVELFCNMTHLESLIIERCKSLQMSSPHPVDEDNDVVWKKLSNLRTLRLESILKLEYFPKSLKYITSLETLKLSNCENLVSTEGIGELISLSHLEIDRCPNLPILSEDVGDLISLSHLLIWNCPKLTSLSEGITRLTSLSSLCLEDCPNLVSLPQEFLHHHSSLPGGRFLRILNCPKLQIQDKKQKEEEEEDQEDWNELIHVLTGCTNYVTGFKSCKKPNFNITSTPKNKEIIIEKKKREGCVEALSQVILQRSLFSSGNIQY >KGN43536 pep chromosome:ASM407v2:7:2551023:2551387:1 gene:Csa_7G044270 transcript:KGN43536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVVQNLTGSLFFVEVEDDATVSDLRTQINAHPHQHNLPSHRLIFIPDHNPERLISQVDDGISLVDFGLRDGSHIYIFFSPLHDDDGDDIEARRFFFTSSSDLLLF >KGN44183 pep chromosome:ASM407v2:7:7756970:7760935:1 gene:Csa_7G214200 transcript:KGN44183 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prohibitin MNLNNVKVPKLPGGGAASALLKIGIIGGLGLYAASNSLYNVEGGHRAIVFNRLVGIKDKVYPEGTHLIIPWFERPIIYDVRARPNLVESSSGSRDLQMVKIGLRVLTRPLPNELPTLYRTLGENYNERVLPSIIHETLKSVVAQYNASQLLTQREAVSREIRKTLTERAAQFNIALDDVSITSLTFGKEFTAAIEAKQVAAQEAERAKFVVEKAEQDKRSAIIRAQGEAKSGQLIGQAVANNPAFMTLRKIEAAREIAHTIANSANKVFLNSDDLLLNLQEMSLEPSGKK >KGN44792 pep chromosome:ASM407v2:7:14325418:14326911:-1 gene:Csa_7G387760 transcript:KGN44792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGISFQHRLSSFLRNCKTDGLCKVGHEDEAKEIFEEMKAQGMIPDVISYSSLVHGFCCAGKLEESKRLFNEMVDQGVQPNLVQFNVLIDILCKEGKVIEAKKLLEVTIQRGIILDLVTYNSLIDGFCKIGDLSSARKLFLSMPSKGCEHNEISYTILINGYCKIWKVEEAMNLYNEMPQVGKRPNVKTYSTLLTGLLQTGKQAKLKLLGSFSNNYPRKGFNQML >KGN45086 pep chromosome:ASM407v2:7:16188267:16191795:1 gene:Csa_7G420790 transcript:KGN45086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALSCSSIPSSNIVFLRTKCARKSLNPSCVNLSGFRRRKILCARIKARTRFSWSKHHHESSQLKVLCSSYGGYVIDGEEGGSVSIPESGKSASKVQIPGLPDESNGESSAEISSGFWEWKPKLSVHYEKAGSENVKSPPVLFLPGFGVGSFHYEKQLKDLGRDYRVWAIDFLGQGMSLPVEDPTSHSKEGNESDGKDSSWGFGDKTEPWASELVYSIDLWQDQVRYFIEQVIGEPVYIVGNSLGGFVALYFAACNPDLVKGVTLLNATPFWGFFPNPIRSPRLAKLFPWGGKFPLPDNVRKLTKFVWQKISDPESIGDILRQVYADHTTNVDDVFCRIVETTQHPAAAASFASIMFAPQGKLSFWEALSRCHENSVPVCLMYGKEDPWVKPVWGLEVKKRVPEAPYYEISPAGHCPHDEVPEVVNFLLRGWIKNIETEGLVGLPLVGEQDTIGEDKVVKDLEFLREGSKKSVSVRLYGSPSSVLDRITSLFKTVTVKA >KGN43841 pep chromosome:ASM407v2:7:4431912:4432256:1 gene:Csa_7G070810 transcript:KGN43841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRYLKKSEENKEKNDKERLESYYKRNYKDYFEFVEGSVKNKNELSEAEKGIVEWLKRSK >KGN44477 pep chromosome:ASM407v2:7:10587241:10600853:1 gene:Csa_7G305370 transcript:KGN44477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDSEDLDEDELLQMALKEQQQRDVNYLTNSRKPVANYVQPPSQSRKSASAASVSKTTGSSAQSKGARRVVDDDDDSEVEMLSISSGDEDSTRDHRTSAATRGGRASRSTGKEDDAGWDGEEPHCWKHVDEDELARRVREMRETRTAPAPQKFDRKVSAIGRPGLNHLQSFPRGMECIDPLGLGVIDNRSLRLITETSESSPSKSEKEFIDATLREKLLYFSEKFDAKLFISRIHQDTSAGDLDKGAFALKTDLKGRTQQRKQLVKDNFDCFVSCKTTIDDIESKLRRIEEDPEGSGTSHLFNCIQGVSKQANRAFQSLFERQAQAEKIRSVQGMLQRFRTLFNLPSTIRSSISKGEYDLAVREYKKAKSIALPSHVGILKKVLEEVEKVMHEFKGTLYKSMEDPRIDLTNLENTVRLLLELEPESDPVWHYLNIQNHKIRGLLEKCTLDHESRMEALNNKMRERALADARWRQIQHDLDQSSDVDHSSSVDGHLPVGVEPVEVHSEEVDALRARYIKRMTAVLIHHIPVFWKTAHSVFSGKFAKSSQVSAESNTNTSASKAEDKVGEGKYSNHSLEEVTGMIRNTLSAYEVKVHSTFRELEESNILQPYMSDAISEISNACQAFEVKESAPPSAVIALRTLQSEVTKIYILRLCSWMRASIVNISKDETWVPVSIIERNKSPYTISFLPLAFRSIMSSAMDQINFMVQSLTSEASKSEDIFLLLQEIEESVRLAFLNCFLDFAGHLENIGSGLTHKQNKDSPHLQNGFSHELQEKLLLDVPGSLVNPHQQLLIVLSNIGFCKDELSCELYGKYKHIWSHSRIKSEEDTSDLQDLVMSFSALEEKVLEQYTYAKVLPKSILNLRN >KGN45419 pep chromosome:ASM407v2:7:18036746:18044412:1 gene:Csa_7G447810 transcript:KGN45419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTRSSTSKWRYCHPSYYLKRPKRLAFLLVTFVCASLLVWDRQTLLREHEDELAKLKSEVVRLKSLVEEDNDKTMNGIAARVHEEAKEEEVDDPIDAERREKVKEAAIHAWNSYEKYAWGQDELQPQSKSGINSFGGLGATIVDSLDTLYIMGLDEQFQKAKEWVANSLDFNKNYDASVFETTIRVVGGLLSAYDLSGDKLFLDKAVDIADRLLPAWDTPSGIPYNFINLVDGRPHNPSWTGGFSILADAGTEQLEILNVWCTTCQVENVITQLNKNFPDDGLLPIYIDPNEGKGSHATITFGAMGDSFYEYLLKVWIHGNKTSAVKHYREMWEKSMKGLSSLVRRTTPSAFTYICEKSGSSLSDKMDELACFAPGMIALGSSGYDSEESKKFLTLAEELAWTCYNFYQTTPTKLAGENYFFYSGEDMSVGTSWNILRPETVESLFYLWRLTGNKTYKEWGWNIFQAFEKNSRVESGYVGLKDVNTGVKDNMMQSFFLAETLKYIYLLFTPPSVIPLDEWVFNTEAHPLRIVTRKEGRDIERILDEKRKPFIRLRSRKEGRSD >KGN44427 pep chromosome:ASM407v2:7:10105064:10106520:1 gene:Csa_7G290500 transcript:KGN44427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRFKNRYMVFEVLLDPNKDLKTDDPIIITQYNVSKAIKDSILLNFGECGFASALGSFQVKYVNPITKVCIIRASREDYQNVWAAITMVRSISNCPVVFNLLDLSGNTRACKNTALRLEGLKFEQYKLMVGHYLPDNFLTVVREK >KGN45216 pep chromosome:ASM407v2:7:17006280:17009032:-1 gene:Csa_7G431970 transcript:KGN45216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSRPFASSPTSSVAGYSPLSRTKVVFLALTISASVVIFFSLLYFLYHLYHSFVHRAKTIPFDSSAPLKLQRFSYRELKRATNRFDSANIIGKGGSGTVFKGILRDGKSIAIKRLDSVSLETEREFQNELQILGGLRSPFLVTLLGYCVEKNKRVLVYEFMPNRSLQESLFSDGFGGLCWERRFDIVLDVARALEFLHLGCDPPVIHGDIKPSNVLLDMDQRAKISDFGLSRIKAEGEFGVDLFSQELGRSQELWKSQDYSGTLANETPAIGTPVESNTEVDFALALQASSSSKNSKTSHNVKGMNLNSLSYNANISNEGDGKKGKEVSSLDVNGVDWSNRFVPYDCGIEHNNDLNSGAVLSVDDGGNSAKQWGKDWWWRQDGSGELCSKDYVMEWIGSQIYPTANPDWDEETKTTPEKANCNCSFPLENMDGVNGDSKVQELGFENPSNELEPKESKTRNNKKKQKKMQEWWKEENFAEISRKSNKKAKGLEASACSKWNKSLQQLPHFGLGKRFYFLRRTQRLRQQDPNQTELDREFSFRRGWKKKNNTQSVGSDMCSGDLFSRELSSTTSMRGTLCYVAPEYGGCGFLMEKADIYSLGVLILVIVSGRRPLHVLASPMKLEKANLISWCRHLAQSGNVLELVDERLKDEYNKEQASLCINLALICLQKMPEMRPEIGEIVKILKGEMEIPQIPFEFSPSPPSKWFNRSRRKQKLNAE >KGN43810 pep chromosome:ASM407v2:7:4238344:4240093:1 gene:Csa_7G068630 transcript:KGN43810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNYAYNSYAESGDSSPRSREIDFENPPPWDDAQLQNHNYKVKFMCSYGGKIHPRPHDNLLSYVGGDTKIFAVDRSIKFASMIAKLSSLSDTDVTFKYQLPGEDLDALISVTNDDDLEHMMHEYDRLYRAPGRPARMRLFLFPANQSPSFGSDGGRSDRDRFVEVLTSASAHPADAPKQSVPNKVDFLFGLDKTGIAPPPPPPVAIKLHDPLPEPVAQPIEPVARPVPGDRIAISDPVVHPAEIHRQLQELQRLHISEQEQAAAYQRKSEENNLIGGYGGEFYAQKPMEKTPQPNAPSAIQPPAGYWQEKQVSSGGFPATMTATHGGPDQPPVYMIHHPGAVYHSPQHPMVRPITAQPPPNQGYYAVQRMASDMYREQPVYNVVQPPQQPYPATSSPALPQQPPKVAAYPGGGVTLAADAGPPTYTQVAYDSSTGRQVYYTASGTTVLAAPPPPTYQGVSAPVSGDIRTGAVGQDGKLIAKISQGSV >KGN44447 pep chromosome:ASM407v2:7:10263208:10265782:1 gene:Csa_7G291180 transcript:KGN44447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNLLKALGTEGMKKEVLQYLNLAENLFYYNNTSLGMPVYNTVLHFLVDSKETHMAIELFNNMKRSGFFPDAATFEMMLDCCSVIGCLKSAFALLSLMIRSGFCPQILTYTSLVKIVLGFERFDDALNLLDQASSEGIELDVIIMNTIMRKACEKARIDVIEFLVEKMNREKIPPDPSTCQNVFSTYVNLGYHSTAMEALQVLSMRMLLCEEDDASVTEYMENFVLAEDTGADSRIAEFFKCSREYLSFALFNLRWCAMLGYSVCYSPNQSPWAMRLASSYDGYNNLLR >KGN45203 pep chromosome:ASM407v2:7:16897670:16909176:-1 gene:Csa_7G431360 transcript:KGN45203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIMIKGGVWKNTEDEILKAAVMKYGKNQWARISSLLVRKSAKQCKARWYEWLDPSIKKTEWTREEDEKLLHLAKLMPTQWRTIAPIVGRTPSQCLERYEKLLDAACIKDDNYEPGDDPRKLRPGEIDPNPESKPARPDPVDMDEDEKEMLSEARARLANTRGKKAKRKAREKQLEEARRLASLQKRRELKAAGIDTRQRKRKRKGIDYNAEIPFEKKPPPGFFDVGEEDRPVEQPKFPTTIEELEGKRRIDVESQLRKQDIAKNKIAQRQDAPSAVLQANKLNDPEMVRKRSKLMLPAPQISDHELEEIAKMGYASDLLAGNEELAEGSATPGGVGLTPRSGMTPARDAYSFGMTPKGTPIRDELRINEDMDAHDSAKLESQRQADLRRNLSLGLGNLPQPKNEYQVVMQPIPEDKEEPEELIEEDMSDRIARERAEEEARQQALLRKRSKVLQRELPRPPSASLELIRNSLMRADGDKSSFVPPTPIEQADEMIRTELLALLEHDNAKYPIDEKVNKEKKKGSKRTGNGPNAVIPTIDDFEDTEMEEADYLIKEEARYLCDEFEYVKKKMDEDTEKAVRLEKKVKVLTHGYETRAKQSLWPQIEATFKQIDTAATELECFEALQKQEMSAASHRISGIWEEVQKQKELERTLQLRYGKFLEDLEKMQKIMVDRKAQAQKEEDIAAESRTLQLAGAEANQTVGENADSSEVMSALVAAVNCENSVPVTTSIEIIGEQPNSSVGHEHETNNAVDIDTEKGSVAVNLDIGLSDNNLPSAVGGPPLPDSGFEESVKSQTIDVPSQELLGPAANATSDSVDGAAIQNSKCSTDIVEEVKDVETQQPVIETEKNSDVCSINLDAAAPASSYEDGPVNDGNGEIPHDEGFSFKKYFIQASYGRLAGLTDANKEVLALVIKAAAMIDKIFHLQVWYSNPCLRDWLEKSAALSELDELKWAYYRINKTPWSSLDENEAYLTTADSAIILVPEATRQVRGWKGLEYKAAFPSKIPPGANFYPPDMNKMEFELWKNSLTEDQQSFVTGFFSVIKRRSEYNLDLSICKGGFDSTNHMEGSTYDLYGIPFSQEYSSFLSKAAELLHKAGDITSSPSLKRFLHTKASAFLSNDYYESDIAWMELDSELDITIGPYETYEDSIFGYKATFEAFIAIRDEKGTAQVKLFGDNMQVLEQNLPMDDAYKSKDVSAAPIRVVQLVYNAGDVKGPQIVAFNLPNDERIVKDRGTSMVMLKNVSEAKYCIFFGHGLSLIILSSFGVFFMGDNWTYNILVSHFSRFKHILQPIANACITNEQREFVDFDSYFTHVICHECCHGIGPHTITLPDGKETTVRLKLQELHSALEEAKADTVGLWALRFLTLQGLLPGMSLKSVYTTILAGCFRSVRFGLSEAHGKGQALLFNWLYEKKAFIFNADETFSVDFDKVEDAVESLSREILTIQAKGDKESANLLLQKYGVMSEPLKVALQNLERIQVPVDIAPEFPIAKEVLPERSFC >KGN45371 pep chromosome:ASM407v2:7:17754127:17759531:1 gene:Csa_7G446860 transcript:KGN45371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGMGRQGQRSGAAVRNHQRQYSDDYLDASSNGRWLQTAGLQSLYSNTSAPQDYGFSVGGGGQGSRMYNRNAQRSFGGMNEYYMEPSTPPGNSRPSSQRKSREDSPSDFSPGLLDLHSFDTELLPEDRGFDESDVFISNNIPTSRPQDMTENNLLKSVAADKERANSVAKIKVVVRKRPLNKKELAKNEEDIVETTANYLTVHETKLKVDLTEYVEKHEFVFDAVLNEEVSNDEVYRETVEPIVPIIFQRTKATCFAYGQTGSGKTFTMKPLPLKASKDILRLMHHTYRNQGFHLFVSFFEIYGGKLYDLLNDRKKLCMREDGKQQVCIVGLQEYKVSDVETIGELIEKGNATRSTGTTGANEESSRSHAILQLAVKNSVSGKESKPPRLVGKLSFIDLAGSERGADTTDNDKQTRIEGAEINKSLLALKECIRALDNDQGHIPFRGSKLTEVLRDSFVGNSRTVMISCISPSSGSCEHTLNTLRYADRVKSLSKGNNVKKDTFSSTLNLKESTTGPLTSALPSGTMFENEPAWVGRNEREEVDASEEIFEQRKPSWKKNGKLEPFRTSVAVENVKSNNLPKWKDMPKADSHNSNSDDDLNELLQEEEDLISAHRKQVEETMNIVRMEMNLLVEADQPGNHLDGYISRLNAILSQKAAAIYQLQNHLVHFQKRLKEHNVLISSSD >KGN44708 pep chromosome:ASM407v2:7:13474613:13483219:-1 gene:Csa_7G373510 transcript:KGN44708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSARSWFNQRRDRLRGSTKKKDNSMGENEDSTATDQMDEEALSNITKQKVAAAKQYIENHYKEQMKNLQERKERRNVLEKKLADADVSEEDQNNLLKFLEKKETEYMRLQRHKMGVDDFDLLTIIGKGAFGEVRVCREKTTGQVYAMKKLKKSEMLRRGQVEHVRAERNLLAEVDSNCIVKLYCSFQDDEFLYLIMEYLPGGDMMTLLMRKDTLTEDEARFYVGEIVLAIESIHKHNYIHRDIKPDNLLLDKFGHLRLSDFGLCKPLDCSILREQDFDIGSTRNGAGQNDERNATPRTQQEQLQHWQKNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDDPMSTCRKIVNWRTHLKFPDEAKLSLLAKDLISKLLCNVNQRLGTNGADEIKVHSWFEGTEWDRLYQMEAAFVPEVKDELDTQNFEKFEESDSQSSTSSKTGPWRKMLSSKDINFVGYTYKNFEIVNDYQLPGMAELKKKCSKPKRPSIKSLFDGELEEGDASDTPSHSHQHTGGSFSNSMTSPKMDDVDIRKESM >KGN45564 pep chromosome:ASM407v2:7:18951534:18951878:-1 gene:Csa_7G452190 transcript:KGN45564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNFFGGPSSSSSGIYFSKEICINVGFEWKRHGDEDYIIPSHVANSIDADIAVDILPLNS >KGN45477 pep chromosome:ASM407v2:7:18466335:18471091:1 gene:Csa_7G448880 transcript:KGN45477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGSGGGYDRHITIFSPEGRLFQVEYAFKAVKSAGTTSIGVKGRDSVCVVTQKKVPDKLLDQTSVTHLFSITKYLGLLATGITADARSLVQQARNEAAEFRFQYGYEMPVDVLAKWIADKSQVYTQHAYMRPLGVVAMVLGIDDEYGPRLFKCDPAGHFFGHKATSAGLKEQEAINFLEKKMKNDPAFTYDETVQTAISALQSVLQEDFKATEIEVGVVRSDNPIFRVLTTEEVDEHLTAISERD >KGN45374 pep chromosome:ASM407v2:7:17770697:17772148:1 gene:Csa_7G446890 transcript:KGN45374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGTGSFGKRRNKTHTLCVRCGRRSFHLQKSRCAACAFPAARKRKYNWSVKAIRRKTTGTGRMRYLRHVPRRFKSGFREGTEAAPRNKGAAASA >KGN43499 pep chromosome:ASM407v2:7:2283047:2287969:1 gene:Csa_7G041930 transcript:KGN43499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPRFGFLFLVLITVLLGADSKCPRTCDVALGSYFVWEGANLSFVSQMFLLSSPDMIVSYNRQSVPNKDSVNSGIRVNVPFSCDCIDDTFLGHTFQYQIKRGDTYNEIATKFYSGLTTVGMLQRFNNFNALNLQENQILNVVVNCSCGDPDISRTYGLFVTYPIRSNDSWDKLREDTNVSLSLLQRYNQGVNFSPGNLVFIPGRDQSGNYPPLNISTGLAGGAIAGIIIGAVLGFLLVAGAIFIVIRKRKLKSSRLLVFSQELSSQDGRALARGSLLDKSSESNGQGDGGIAAVTGITVDKSVEFSYEELAKATDDFSLANKIGEGGFGSVYYAELRGEKAAIKKMDMQATREFLAEIKVLTRVHHLNLVRLIGYCVENSLFLVYEYIENGNLSQHLRGTGRDPLPWPSRVQIALDSARGLEYIHEHTVPVYIHRDIKSANILIDKNFHGKVADFGLTKLTEVGNSSLPTRLVGTFGYMPPEYAQYGDVSPKIDVYAFGVVLYELISAKEAVVKTNRMSAINESKGLVALFEDVLNQPDAKENLYKLVDPRLEENYPLDSVFKMAQLAKACTHENPQLRPSMRSIVVALMTLSSATEDWDVGSFYENQALVNLMSGR >KGN43966 pep chromosome:ASM407v2:7:5181802:5185777:1 gene:Csa_7G074990 transcript:KGN43966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIAIIHPDLGIGGAERLIVDAAVELASQGHNVHIFTSHHDKHRCFEETIAGTFPVTVYGDFLPRHIFYRLHAVCAYLRCIFVTLCMLFMWSSFDVVLADQVSVVVPILKLKRSSKVVFYCHFPDLLLAKHTTILRRLYRKPIDLIEELTTGMADLILVNSKFTASTFAKTFKHLDARGVRPSVLYPAVNVDQFDEPHSSKLSFLSINRFERKKNIELAISAFAKLGTLDGCTLQDYNVADVSLVIAGGFDKRLRENVEYLEELKNLAEREGVSERVTFITSCSTLERNALLSQCLCVLYTPKDEHFGIVPLEAMAAYKPVIACNSGGPVETIKHGTTGFLCSPNSQEFSVAMAKLVQDRAMGARMGKEARQHIVNSFSTKIFGQQLNQYVVDIARLKRD >KGN44179 pep chromosome:ASM407v2:7:7646517:7674216:-1 gene:Csa_7G212680 transcript:KGN44179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFRHRDYSAEAKLFMLRHDRAETHPLSAHSSQQANIADDQILQYDDPLRADDSATVSSFYLEDTENSPSIGVPSDSAFLSAEKEWSSFTRFMTQRFPVPKLVSVTSVSNAIIKVGKTHEKSSTGMHSEELEEPQSITENEVKVINRQYYINRLREFKDELIRAWDASDRVTALKISVKVTKLLKDTCVLQFYPTLFVLVTDILDMLGNFVWDRIKRKAEFTEDGARICSLPDLHLVNGCFSNPVEPLYGFCGERLWFNFENFKIKDICQNAKETCHNWFCKIGAIQELLPRIYLELALLPCWRFLSDQPVVVTQRLVVMARGLADPLASAYCRLYLTHCAHKLPSCDVGVLVSCVNDMNAQLKHFITAKETDGSTDNKVLLVGVMEPTIEYIIKCMFKNVSQRELDRTLLALGLGRNMEISQCVSVVLHHILKELAVEVVSSNAMEFLQLIDHSNDSSFHQFMNYRLLGLRLCEKRPPVYIVDTLVNNVLKVIAQNESLDEYLTVIDAYLDTVLQNHLDSCIKTILEGISQRSCNKEIDENGVLSLQSILGKLLSHYQSVEDVFALSHFLEILDLLVGRPRSVIIIDILKMATRNSYIRDPATIELLFEISQALNDSFDFANMKEDDNQPEHLLSRFVQLVDFGIERERHLAFLVECRGAFGTIDKLKETLVHSSNGLTVKALKDAKKNVNFVKACIAFSEVTLPSISTQIKQFNLYLETAEVALLGGLISHADELIDSAISCLHNMEIKEGSRAAAEAELLLSSIQKLCSLLVMLPGNPSHGSVHFPKILVSFVTNVPWMTPRMKTGILCAILPLLAACSQNRLPYHADKGVLWGSNNVFFGDSANLYELVSLSEHIVQNLVDAVLQESSPAARGAMALEACNSILSSFTIKDETYAICSKLMETAKLCMNESNKYLQSTFHLLEKKSQLLVKG >KGN45006 pep chromosome:ASM407v2:7:15676241:15679062:-1 gene:Csa_7G407590 transcript:KGN45006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSSQSSIDKKNWWLTNRKIVDKYVKDARTLIATQEHREVSAALNLIDAALALSPRLEQALELKARALLCLRRFKDVADMLQDYIPSFKIAGEDSTGSDGSSQQLSKDRVKLLGSSESPGCDSTFKCFSVSDLKKKVLAGLCKNCNKEGQWRYLILGQACCHLGLMEDAMVLLQTGKRLATAAFRRESICRSEDSFSLSDFPFSSDISTTNPPNTPPRALSDSETITNLLSHIKLLIRRRTAALAALDAGLYAEAIRHFSKIVDGRRGAPQGFLAECYMYRASAYRSAGRIAESIADCNRTLALNPSCIQALETRALLFESIRCLPDCLHDLEHLKLLYNTILRDRKLPGPAWKRQNMRYREIPGKLCALTVKIQELKQRVASGETGNVDYYSLIGLRRGCSRSELDRAHLLLCLRHKPDKATNFIERCELADDRDIDSVRDKAKMSALLLYRMLQKGYSSITATIADEEAAEKQRKKAAAALQAAQAAAIQVQQQQQQQQQQQQAQECLLEMELIKAATKTQSKPLKTEQITASDTKSSNDKSTYQGVFCRDLAAVGNLLSQVGLNRPLPVKYEALSC >KGN45348 pep chromosome:ASM407v2:7:17630867:17645400:-1 gene:Csa_7G446655 transcript:KGN45348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKSFEVTELPVRSAKFIVRKQWVVAGADDMFIRVYNYNTMDKIKVFEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWEKGWVCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWNLGSPDPNFTLDAHQKGVNCVDYFTGGDKPYLITGSDDHTAKVWDYQTKSCVQTLEGHTHNVSAVCFHPELPIIITGSEDGTVRIWHATTYRLENTLNYGLERVWAIGYIKGSRRVVIGYDEGTIMVKLGREVPVASMDNTGKIIWAKHNEIQTVNIKSVGADYEVTDGERLPLAVKELGTCDLYPQSLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEIVWSSDGEYAARESTSKIKIFTKNFQEKKSIRPTFSAERIYGGTLLAMCSNDFICFYDWAECRLIRRIDVNVKNLYWADSGDLVAISSDSAFYILKYNLDVVSSYLDSGRSVDEQGVEDAFELLHETSERVRTGLWVGDCFIYNNSSWRLNYCVGGEVTTMFHLDRPMYLLGYLASHSRVYLMDKEFNVVGYTLLLSLIEYKTLVMRGDLERASELLPSIPKEHHNSVARFLESRGMIEEALEVATDPDYRFELAIQLGRLDVAQEIATTVHSESKWKQLGELAMSNGKLEMAEECLKFAMDLSGLLLLYSSLGDAEGILKLASLAKEQGKNNVAFLCLFTLGKLEDCLQLLVESNRIPEAALMARSYLPSKVSEIVAIWRKDLNKVNPKAADSLADPEEYPNLFDDWQLAVSVEYKFSETRGVYPSALEYANQADRSHTTLVEAFRNMQVDEEELLENGDTNLENGDEETEGHQNGEDSQEDPVVVDADSTDGAVLVNGNEADEEWGTNNEGTQSA >KGN44356 pep chromosome:ASM407v2:7:9520201:9524822:-1 gene:Csa_7G268530 transcript:KGN44356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSSSLYSNPCPQFPPLHCCLPNPLSNVFHFTSTRKPIATVASAELRPHNPTEKPSTDFSDHIRPEPRKHNSKSASLLNHYLSSGESPNPQNPEPPLPEDERVKLLELSLVRKRTPQFPGSIYVQSPSDFDVGSSLPPLQSLFRNRGDEFCAEDDRKMIRRALEIRRKVTSEIFKEAMGKGKFGITYTNNLLEWLSEFIDFVMIQAASMKQSPEFAHLSFNVRAKTVIEDSNVVPLIRWLKHNSLSYPQIGKLICMSRGKLESIRRLVEWLKGIHVKGGYLGLTLTKAGGNILERSNEELDEIVDYLESNGVRMVWMGFVISRCPYLLSYNMEELKTRVEFFLNMGMNDKDFGTMVFDFPKVLGQYTFEDMNQKVNYLKEFGLENEDVGKLLAYKPQLMNCSIEDKWKPLVKYFYYLGISKDGLKRMLTIKPVVFCLDLETIIVPKVQFFKDVGVRDDGISNMLVKFPSLLTFSLYKKIRPVVIFLMTKAGVREKDVGKVIALGPELFGYSIVHKLEVNLKYYLSLGIYTRNLGEMITDFPMLLRYNIDILRPKYQYLRRTMVRPLQDLIDFPRFFSYSLEGRIIPRHQVLVENRININLRSMLACTDEEFKNKVADIVEKRQRFESGNIDGSLSIPHATNDSINSSGLDDFLSENKE >KGN44623 pep chromosome:ASM407v2:7:12537212:12541391:1 gene:Csa_7G351870 transcript:KGN44623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMDASELSDLEVENGVKHCGFEDEPETIAIMDAVKVLLQGLGEDINREGIKKTPLRVAKALREGTRGYGQTANEIIQSALFPEDGLHKGVGQAGGAGGIVIVRDIDFFSYCESCLLPFQVKCHVGYVPSGQRVVGLSKLSRVADVFAKRLQKPQRLADQICSALQHGIKPGGVAVVIHCLHTHFPSLESFFLDSKSPGWVKVQVQSGSGAFESQDADIWMDFFSLLKFRGTYPTKAGAPDSNAQHWCPSHFSSASKFSTKPEPLNLKMTNAVTSILRSLGEDPSRKELLGTPGHFVNWLMNFQNCNVEMKMDMNKLNGFANGRTHFDHNENSNLYEKQIQSQMNFLFWSQCEHHLLPFYGVVHVGFIRDDGLTPLEKSLLNSVIHFYGFKLQVQERMTRQIAEMVSSLLGTDVIVVVEGSHTCMISRGIEKFGSTTATIAALGRFSSDAAARSMFLQSIPQTTIGEL >KGN43745 pep chromosome:ASM407v2:7:3837329:3837980:-1 gene:Csa_7G064060 transcript:KGN43745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHSLLLNALLAAALLFSAAAISPSPSPSPTPPASLSPPWRWAPITKPPTQPPTPSHETEPSTPPPSPTSVPPPAHHDEPPTAQPDSPSPSPTWIAEPPSEALSPSKAKSDGFKNSFSMVGSVAIGAMAAAALL >KGN44399 pep chromosome:ASM407v2:7:9893852:9894441:1 gene:Csa_7G279810 transcript:KGN44399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVLTLKELVAFCLYQLGTPAFYERFYCGFCRESRFFHRIKSSQRKFRYRRNLTHSGPKQLGSPTFCDSLALLLSASDCIVALVENREFPRDIQIPKELDALWSEAAWLSYFLRLIELLLHLRIKSSKANSDTEGT >KGN45093 pep chromosome:ASM407v2:7:16222873:16224945:-1 gene:Csa_7G420860 transcript:KGN45093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQKANLFKGKQKTKTIPPNRHGKIPQTRKGKRFVKPSKTTKEMETDREVSKFINYCNETKAATLANKEGGQLSIVKTPANADNSNAKKE >KGN43787 pep chromosome:ASM407v2:7:4122506:4122864:1 gene:Csa_7G067420 transcript:KGN43787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMVKFEDELPQQQQIECLMVVDLLACGVAHPDINCRPSIRQAIHVMKFEAPITYSLPSQFPTLEDFDGVSCRSTLKVLISQFNYNWVQLFTDFNFLNRPRIF >KGN45542 pep chromosome:ASM407v2:7:18871074:18872160:1 gene:Csa_7G451980 transcript:KGN45542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVKKSLSLTKAEEAKRLTRHEAARKRRRSFDLCFSYPEIPMERGKSLKEMNSEKLKTGIKKWAKAVVAYARTVSDRFGSRRR >KGN45105 pep chromosome:ASM407v2:7:16290960:16297263:-1 gene:Csa_7G426460 transcript:KGN45105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPSRSSVIVIGAGVSGLSAAKVLVDNGVDDFVILEASDRIGGRVCKENFGGVSVELGAGWIVGVGGKEPNPVWELALKSSLRTCFSDYSNARYNIYDRSGKIFPSGVAADSYKKAVDSAIQKLRNQGEDADDLSIVSEPLCTPKTPMELAIDFILHDFEMPEVEPISTYLDFGEREFLVADERGYECLLYKMAEDFLFTSEGKILDSRLKLNKVVREIQHSRNGVTVTTEDGCIYEANYVVLSVSIGVLQSDLISFTPPLPRWKTEAIEKCDVMVYTKIFLKFPYKFWPCGPGKEFFIYAHERRGYYTFWQNMENAYPGSNILVVTVTNGESKRVEAQSDEETMKESMEVLRDMFGPDIPDATDILVPRWWSNRFQRGSYSNYPIISDCQVVQNIKEPIGRIYFTGEHTSERFNGYVHGGYLAGIDTSNALLEEMRKDKERKSDGQSFLLEPLLALTGSLSLSQTEAVSGLHKCDLPTQLYLNGKLGIQEAIL >KGN44076 pep chromosome:ASM407v2:7:6437996:6438211:-1 gene:Csa_7G169080 transcript:KGN44076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDETMTTRWWPQTRRRQDGWMAGEMLEGVTLSSKGRHLGLGEANGESSTVVNERRTKTEQLGRRRVGFFE >KGN43327 pep chromosome:ASM407v2:7:1267417:1271625:1 gene:Csa_7G024010 transcript:KGN43327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPPTRTILFTLLVFSAIATLSLAQTPPRFNCSSTSKCHSLIDYISPNATTIGAVQKLFQVKHLLSLLGANNLPANTLSNFSLPASRKIKIPFNCKCNNGTGLSDKRPIYTVQSGDSLDKIAEVTFARLVTFLQIQIANEIPDPRKIDVGQELWIPLPCSCDEVDGNRVVHYGHLVEMGSSISAIAGRYNVSEETILKLNGIADPKGLQASQVLDIPLKACSSVIRQDSLDFPFLLSNDTYDYTANNCVLCQCDAAKNWILDCKPSPLKPSSVKSSNWSSCPTMACEGSNLLLGNSTASDCNTTTCAYAGFSKQTIFTNISTLNTCPGPSDNGNGASRTGSQGLNLAYLVAITHVLALSLLLIQ >KGN44208 pep chromosome:ASM407v2:7:7971199:7972000:1 gene:Csa_7G222880 transcript:KGN44208 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phloem filament protein MSCDSKKTWIKIPDCTTPCVNEVAKDGVNQHNKASGDSLVYKRVIVGWFWEIDDHAIKHRLIIEVVTSKGVVLIYELIIVVVQKDGKRVRTLISFLPGYLDEKNPLIFWIKIPDVKKSCVQDCAKWAVTEHNKITHDYLAYDSTVEGWYHEVNPFVIIFKLHIKAKECIGRVRDYIAVVKEEKPLTEKIKKLEDFYLIRPKK >KGN44190 pep chromosome:ASM407v2:7:7836358:7845894:-1 gene:Csa_7G219260 transcript:KGN44190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELRSGGRKLSFDVLRGSGSSEEDRSLILGSNSDPVSNGIEDSGAQHSIEKPNRRKRRHRGSKKNKAAATTTAPSNCSIPEDPIAEKCMISNSVVDKPEDLGRHSVNRDGTCTNRLEFELNYRSCSTGTVFYQELTVPDESRGSISILTQGSEVDCQNLRNDRFSFGELRQRTVNGDDASSRFGDDKNVETCVEANSVVKQKSEPNGNVVPRLETAGSLDWKRLMAEDPNYMFSADKSPFKCYMEEMFSGNSLRITTTFGNEKERERVYDTIFRLPWRCELLIDVGFFVCLDSFLSLLTVMPTRIMITLWRLVVTRKFERPSSAELSDFGCFLIMACGVALLEWTDISLIYHMIRGQGTIKLYVVYNVLEIFDKLFQSFGGDVLQTLFNSAEGLANCPPENMGFWIGRFISDQVLAAITLSTCIVAHNNALLALLVSNNFAEIKSNVFKRYSKGNIHNLVYFDSIERFHILAFLLFVLAQNILEAEGPWFGNFLYNALMVFICEMLIDIIKHSFLAKFNDIKPIAYSEFLEDLCKQALNMQGEDAKKNLTFIPVAPACVVIRVLTPVYAALLPFNPLPWRFVSVPLLLGVTYVMLVSLKILVGLSLQKYATWYIDRCQKKKHHLHTD >KGN43348 pep chromosome:ASM407v2:7:1404058:1409130:1 gene:Csa_7G025200 transcript:KGN43348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYFVDPFRSNESSFGLMFMNMVWLLLVLFCVQGIISEGSSRNEVVKVGAIFSLSSVNGKVSKIAIEAAEKDVNSDPSVLGGRKLSISIHDANYSGFLGITGAMKYMVSDTVAILGPEDSTMAHILSHLSNELHIPLLSFTALDPTLSSLQYPYFIQTAPNDKFQMTAIADIIHYYDWHDIVVVYTDDDQCRNGMIELGDKLEERSLKISSKVPLPPYQTATRTQVQDALVKIKMMESRVIVLYTFSKTGFLVFEVARSLKMMEPGYVWITSSWLSTEIDSSSSLPLNIPNSIQGVLTLRLHTPDSKSKQSFISRWNELSNTSSIRLNTYGLYAYDTVWMIARGVKKLLDRNGTISFSKDTKSAGILNGETLDFSSLRIFNEGNALLNNLLNTSMMGLTGPIQFQDKSPVRPSYDILNVVKSGMKRIGYWSNYSGLSVVAPETLYRKSFNRSMSTNQLNSTMWPGGLATKPRGWVLPLDGRRLRIGVPRRVSYQEFVMPGNGTGTIKGYCIDVFTAAINLLPYAVKYEFVLFGDGEENPSYLELVNKVEQKEFDAAVGDIAIVTSRTKIVDFTQPYIDSGLVVLTPMKKVNSSPLAFLRPFSPMMWAVTAAFFFLIGLVVWTLEHRKNDEFRGHPRTQIVTILWFGFSTMFFAQRENVVSTLGRFVIVVWLFVVLIITSSYTANLTSIFTVQLATSPITGIDSLISSNVHIGFQVGSFAETYLSEQLNVQKSRLIALGSPEEYAAALKNGTVGAIVDEQPYIDLFLTEYCDYSIQGQQFTKSGWGFAFPRDSPLAVDLSTAILTLSENGHLQKIHSKWFSTKSCSSGDSDSEQLHLQSFIGLFSICAGVCLLALLLHFLNTMCQFNRHLKKDPEASTTSADAGTGATPLRKFLKFADKKERRTSYSKRKVEDAMSSEHVEG >KGN43455 pep chromosome:ASM407v2:7:2012733:2013778:-1 gene:Csa_7G037590 transcript:KGN43455 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell wall glycine-rich protein MSSKAFVFLGLLLAFVLLLSSEVAARDLAETSSKTDNEVTVETNGVEDAKYGRGGYDRGYGGGYDRGYGGGYDRGYGGGYDRGYGGGYDRGYGGGYDRGYGGGYDRGYGGGRGGYGRGHYGGRGGYGGGRGGYGRGCRYGRCGHKCCSYAGEVVEGAKP >KGN45242 pep chromosome:ASM407v2:7:17133540:17133833:1 gene:Csa_7G432230 transcript:KGN45242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDKGVAKEPGVSWIQMKCRVHYFLTKDDCHDKIEGDSFMLEGVVKTSHHGRLCQIQILCSIMWKKIKNKISFCTIVRSLPLLMGSCTHQTRCQLKS >KGN43891 pep chromosome:ASM407v2:7:4768335:4769873:1 gene:Csa_7G072780 transcript:KGN43891 gene_biotype:protein_coding transcript_biotype:protein_coding description:NtPRp27-like protein MDIGNIHDHSSILPYLKQRKLSIPSTSQASIMASNTIIFFFIASLFLLHTVSAVEYIITSNAGGTPGGNRFDTQIGADYCRQTMIEASGFIWSIFRQNTPADRRNVQSVILLIDRDLHQYPAFASNNRIHVSASYIARYNGDVRMAVTGILYHEMVHVWQWNGNGAAPGWLIEGYADYVRLKAGYIPGHWVAPGGGSSWMEGYDKTARFMDYLEGRRSGFVSALNRMLRVGYSPEYFVQLQGKTVAELWAEYKAAFGNRG >KGN44160 pep chromosome:ASM407v2:7:7462035:7462906:-1 gene:Csa_7G209560 transcript:KGN44160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKFIKPNKAVIVLQGRYAGRKAVIVRNFDEGTRDRPYGHCLVAGMKKYPSKVVRKDSKKKTDKKSRVKAFIKLENYQHIMPTRYTLDVDLKDVVNVDCLQSRVSKVSASKEIKKRLENRFKTGKNRWFFTKLRF >KGN44608 pep chromosome:ASM407v2:7:12382663:12383154:-1 gene:Csa_7G342760 transcript:KGN44608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGHVWSVGWSVLLYLTFKSGPPININGDKVYYNPRVFTTRSHSFPLPYFSKSLPHSSSSMLALLPRLLLCINLQEEPYHSTFTYLSCSSSNMASTDADALASSFSVEKQFEHFRAQLQDSGSLCDCIRSVAMEIESSTRLMHASLLLIHHSRLTRGIEFLFA >KGN44752 pep chromosome:ASM407v2:7:13868658:13871071:1 gene:Csa_7G378410 transcript:KGN44752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVEEANREAVESCHKVLNLLTLPSSSQDHLKLRSCLMAETGEAVFKFRKVLCLLDSSGLGHARVRKKKKVNNFLFNSSSSSSSSSSPFPLPQSLFLETYSPDCRMDHLQGRNLQMGPLCLGNPSLELNTNAKTCSIQQIQSQSAALYHHHHHHLLQNRVVLNNNPNPPQPEVVYLRSSNGVNLNFDSSSCTQHTMSSTRSFISSLSIDGSVANLDGSAFHLIGAPRSSDQNSYHKRKCNGRGEDGSVKCGSNGRCHCSKKRKHRVKRSIKVPAISNKLADIPPDDYSWRKYGQKPIKGSPHPRGYYKCSSMRGCPARKHVERCLEEPSMLIVTYEGEHNHPRIPSQPANT >KGN44362 pep chromosome:ASM407v2:7:9564631:9564938:1 gene:Csa_7G270560 transcript:KGN44362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNLHRAASATVHYGGPAKDEDKLETPQKLLRTVMWSDGCENRPPVSTTVHGGGRKNRDFAKWVFLVFPVFVYFSVVVVDLRMVDGEKGKGEL >KGN44518 pep chromosome:ASM407v2:7:11279367:11279885:-1 gene:Csa_7G324120 transcript:KGN44518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAKKLQTHTVLYNLGQHQIKFMNASHISSFQPSFAASFGGWIDTINLPPPTWKDEIFPYTGDQCSGFISASDQTNRVIVLTAARIKIKPNTHDFIQNKLVLPPELCGMEWTVDIKGVSNGKISRKSKINLVQLVASTSANHCPVITSVQPVTPYPPTTDHSPATTIPTITG >KGN43511 pep chromosome:ASM407v2:7:2351691:2352809:1 gene:Csa_7G043040 transcript:KGN43511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWTRRSPVGNGSSATVYLASTASSGQLFAVKSAELLKSDFLKIERRVLSSLSNPSIVGYKGFDVTRENGKLMYNLFMEYAAGGTLADEIFRRGGRIKEATAAFYTREIVRGLEYLHKQGLVHCDIKAKNILIAGDGLKIADFGCSRWVCESEAVIGGTPMFMAPEVARGEKQGISSDIWALGCTLIEMVTGAPPWKITDDPVSVLYRIGYSGESPEIPSFLSEKGKDFLRKCLRREATERWSASQLLEHPFLGELSSGLEEIKELHLYSESPTSILDQSLWNSLEEESETLLRTEQWDDDRIERLATFSGEIKWELGDENWITIRSYVDGEDEDELNFSRNDLDLVEEGRIVSEEIQYLELLDKTVSFRVR >KGN43517 pep chromosome:ASM407v2:7:2410044:2413968:-1 gene:Csa_7G043590 transcript:KGN43517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWKIKPEQSSRSVISQKWAVFLCLGSFCLGMFFTNRMWNVPEPKGITRTTPFEAEKLKLVSEGCDPKSLDEKEVKRVSKDIFGEVSKTHNAIQTLDKTISNLEMELAAAKAAQESIQSGSPSSDDLKNTQSSGKRRYLMVVGINTAFSSRKRRDSVRATWMPQGEKRKKLEEEKGIIIRFVIGHSATSGGILDRAIEAEDRKHGDFLRLDHVEGYLELSAKTKIYFATAVALWDADFYIKVDDDVHVNIATLGETLVRHRSKPRVYIGCMKSGPVLSQKGVRYHEPEHWKFGEFGNKYFRHATGQLYAISKDLATYISINQHVLHKYANEDVSLGSWFIGLDVEHIDDRRLCCGTPPDCEWKAQAGNICVASFDWSCSGICRSAERIKEVHRRCGEGENTLWSATF >KGN43224 pep chromosome:ASM407v2:7:589576:593002:-1 gene:Csa_7G009200 transcript:KGN43224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFRSRHLTSPKGRVTVQNYYQFRHVENPGWKLGWTWTRGEVIWSMNGAFATEQGNCSLFKFDIPHSCEDSPVILDLMPEASPENRSEGCCRSGVLSAWAVDPSKSFSSFEISVGSTDEDGNEQPPVNITLMAPGPGYTCSPFLDSSPTISSVIDGKREVQVFRTWKSTCTYSIFVDNKTPVCCVSLSAFYNPSITPCPSCSCGCRKASKSSVQCTRDEYQLSSTDSATNLSSVRCSDHMCPVRVHWHVKTNYINHWRVKLTVSNYNYNRNYSNWNILVQHPGFSQSTEAFSFNRSQLPSFRFGDELALFWGIEDFNTELVQSTESNMGYVTTDVLLEKDLESFTLSNGWAFPRRVYFNGDNCHMALPDTFPMLPNGNPKQSLSLYLFILLFFLELFVLVKV >KGN45202 pep chromosome:ASM407v2:7:16894305:16897477:1 gene:Csa_7G431350 transcript:KGN45202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAISFTISNPSLCSQLSKPVSRFGARRLRIMAAATETKVVPAVIVGSGRVGRALLDMGNGEDVLVKRGESVPLDFSGPILVCTRNDDLEAVLEATPRSRWNDLVFFQNGMLDPWYESKGLKDANQVLAYFAISKLGEAPVDGITDTNPEGLTAAYGKWASAVAGRLSAAGLSCKVLGKEAFEKQMLEKLIWISAFMLVGARHPGATVGAVEKDYRSEVSSLIAELASAAAAERQLVFEEGIEERLCAYSRAVAHFPTAVKEFKWRNGWFYSLSEKAIAAGKPDPCPLHTAWLKELKVV >KGN45254 pep chromosome:ASM407v2:7:17189391:17197754:-1 gene:Csa_7G432360 transcript:KGN45254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRSNRGKPNKAKSEKKKKEEKVIPSVVDITVLTPYESQVVLKGITTDKILDVRRLLAQNVETCHLTNYSLSHEVKGQKLSDKMEIANLKPCLLKMVEEDYSNEAQAVAHVRRLLDIVTCTTRFCKPRRASTPESRTKKNSRVHNHANLNSSSPVDGGSEVRCGSPSSQPEPSVSVVSDNLGMAAIHPTPKLSDFFEFFSLAHISPPIIQLKRCNLKGAVDEKREGDYFGMQIKICNGKLIQVTASAKGFYTAGKQFVQSHSLVDLLQQLSRGFANAYESLMKAFLEHNKFGNLPYGFRMNTWLVPPSVIEIPSDLLPLPIEDENWGGNGGGQGRNNEHNLRSWATDFAVLAKLPCKTEEERIVRDRKAFLLHSQFVDIAIQKAVSTISSLIDSNSTGQVTVKSPGIVYEDRIGDLSIVIRRDSINASTKPTVKLDGYGLDGVSGEEVAQRNLLKGLTADENVVVQDTSSLSLVIVKHCGYTATVKVVGKVKMGREENQDVIVDDQPDGGANALNINSLRIQLHKISANAPEGCSSAQTTSDDLESSRVLVRKVIKESLSKLEEEATTSKKSIRWELGSCWLQHLQKQENEPESKSKSPGDVKEIEPAVKGLGKQFKLLKKREKKQTTVENEEEDKLCTIDRPSTKSVTNGEEDLEKLISKQALSRLKESGTGLHLKTADELMVMAHKYYDEIALPKLVTDFGSLELSPVDGRTLTDFMHLRGLRMCSLGRVVELAEKLPHIQALCIHEMVIRAFKHVIKAVIAAVENTADLSAAIASSLNFLLGSYGSEDDENNNNVNEDGALRLQWLRTFLSKRFKWRLSNEFPHLRKLSILRGICHKVGLELAPRDFDLECPNPFRRNDVVSVVPVCKHVGCTSADGRNLLESSKVALDKGKLDDAVNYGTKALAKMIAVCGPYHRTTASAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLSVFYYRLQHIELALKYVNRALFLLHFTCGLSHPNTAATYINVAMMEEGVGNVHVALRYLHEALKCNQRLLGADHIQTAASYHAIAIALSLMEAYSLSVQHEQTTLNILKIKLGEEDLRTQDAAAWLEYFESKALEQQEAARNGTPKPDALISSKGHLSVSDLLDYISPDQDPKGNDTQRKHRRAKVVSASDKTHSGHQNEMTEDELHIDTPRPVTKSSHDSVKEVKVSNFLHVEQKKVVENITEIKTVVKSEILEETYSDDGWQEAHSKGRSGHVVGRKVGRKRPVLPKLNVHHPEYSNVRQSNYKQDTNSPAQKPVAVKTIQSGFPQIKQSIPQRSSAGDDSIKLQAKPTASKVISLSPASVSQMASRSISYKEVALAPPGTVLRQLVDTENVIELEEKVAEPQSCNNSETSKNDETNNISGEVVQKEEAEPIHNTAPESENQSQDSEEMISCSSPSEKPAETNASKLSAAAEPFNPSTSMTSGLNTAAVTSIYDVRASQGALEPLLPPATSRVPCGPRSPLYYRNNNSFRMKHSFLKYQAPVMGRSGFGAPTMMNPHAPEFVPQRAWQTNHGDTNSKVHTELNPSPKTSLDENEKLADGLTATIEGKTKKNISDCEKSELARQILLSFIVKSVQNMDSGADEPSSKEKFKPSEKSSDAIANDSAIIKILYGNEGQLQKSGDNPNEKDSDVNKNKAGDGEGFIVVKNRRNRQQFTNVAGLYNQHSICASVR >KGN45215 pep chromosome:ASM407v2:7:17000868:17003700:1 gene:Csa_7G431960 transcript:KGN45215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSSIARRLCRIHPLPFHHLLYLNRLRIPDSPFQAFHQTFSLHSFFARQFSALPSFSQKLGDPFLFDTGRFQNYRQSDACNARFIELFKRVALLPSEVEAVAALDEFDVKADLDLVYSAIWVLRDDWKSSLLAFKWGEKVGAIDEEICNLMIWVLGNHKKFSTAWSLIRELHGSLLNSMQAMLVMIDRYAYANEASKAIKTFHMMEKFRLTPDQEAFHVLLNSLCKYGNIEEAEEFMFVNKKLFPLGTESFNIILNGWCNVTVDVFEAKRIWREMSKCCILPDSTSYTHMISCFSKNGNLFDSLRFYDQMKKRDWIPSVEVYNSLAYVLTRENCFNEALKILEKIKEVGLRPDSTTYNSLISPLCEAGKLDEAKDVLTMMTEDNISPTIETYHSFIQAADSKMSFELLKRMRQDGLGPTEGTFLIMFNKSFELEEPEYALNVWVEMKRYEVFPSCEHYSVLIQGLATCGHLKKARELYDEMILHGFIAHPKIKTLLKEPDLGSIDEARQQVRHNNKGKFIPHRKGRTMRWKSHKQRSKGAASFE >KGN43378 pep chromosome:ASM407v2:7:1567982:1568883:1 gene:Csa_7G029430 transcript:KGN43378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIEKEMFHPIHPWHKLKLNHTDTPFNCSGCHEAGIGFNYQCLQCDFTLHKLCAMAHPKITHPFYPKCTFEFYSSPPGACARYCDACRNDVSGFVYHCKRCGFDLHPCCANLPQVLDDGERNLYLSLKLSGPCHRCGGKGPGWSYKSKCRNYNLHLSCVKELLVESWQAMYLKVDKNKVIEVQTRIPSLKGTLLNNQRERGKVEQGFQMAGRAVRCIVSAMLGDPTSMIAAVVGSLIPK >KGN44132 pep chromosome:ASM407v2:7:7213518:7213825:1 gene:Csa_7G201840 transcript:KGN44132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGNEPLAPSSYSSLVLNVTTTTLTAGSCINLQSSSTSSSSTSSSLFPTTILIKPTDPVGKGYHYKMNFSNKQRQMSDMPYRHIHSI >KGN44821 pep chromosome:ASM407v2:7:14545948:14548968:1 gene:Csa_7G390020 transcript:KGN44821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADQLPVEEAMPWLPSQVLDEACDIKVYKRQHLHHHIHQPSFLHRQRPHHRPPLSPSDFALSLNEKSKYSNDCSRPHQRQKPAAAAPNWTAGGHGMQAIFLDSGRQLGGTGVFLPRGTGGSTNYQPNQKPACSMVLVPARVVKALNLDVQALGLQISPRKEAKNNQKGRECNNSIVKNKKAKDVTSTHCSFMSQNQTNSSQDIIFLPKEWTY >KGN44766 pep chromosome:ASM407v2:7:13987789:13991455:-1 gene:Csa_7G378550 transcript:KGN44766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNHSDTQADDFLEQILGIPFGSSDQGLAGTDGGLAGAAAAAAAAAAAVAAQGQAPMMLQLSSGDGGGHITTIGSGSVGGTGFHGGPPFPLGLSLDQGKSGFLKAEEASGSGKRYCGEVVDVRASSVKNVFQGQQMHAAMGAAPHPPAMRPRVRARRGQATDPHSIAERLRRERIAERIRALQELVPSVNKTDRAAMLDEIVDYVKFLRLQVKVLSMSRLGGAGAVAPLVTDIPLSSVEEEGSEGGRNQPAWDKWSNDGTERQVAKLMEENVGAAMQFLQSKALCIMPISLASAIYHTQPPDSSSVVKPESNPPP >KGN45321 pep chromosome:ASM407v2:7:17468223:17469464:-1 gene:Csa_7G434970 transcript:KGN45321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNIKITEVFKSHPVHIPIHKNLDFDSLHELPDSYDWIQPDSFPSSSLSNNHLSDSIPLIDLSLPNAPQLIGNAFRTWGAFQVINHGVPISLLHSIESSANSLFSLPPPHKLKAARPSDGISGYGLVRISSFFPKRMWSEGFTIVGSPLEHFRKLWPHDYTRYCDIVEEYDREMKSLCGRLMWVALGELGITREDVNWAGPNGDFKTSNAATQLNSYPVCPDPDRAMGLGAHTDTSLLTIVYQNNTRGLQVLREGNRWVTVEPVPGALVVQVGDLLHILTNGLYPSPVHQAVVNRTRKRLSVAYVFGPPESAEISPLKKLLGPTQPPLYRTVTWTEYLRKKAEHFNNALSSVRLCAPLTGLLDVNDHSQVKVG >KGN45455 pep chromosome:ASM407v2:7:18302477:18302765:1 gene:Csa_7G448660 transcript:KGN45455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKQERQQKIALEFQIPAEAKHHRFQQLQDRTQHHSDQVAVQRLLVPSMNIGDANDRTLI >KGN44074 pep chromosome:ASM407v2:7:6422287:6422493:-1 gene:Csa_7G168070 transcript:KGN44074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVACEQNMWLWRLHRPTKLKRSVALCFIGGGSVRDAQLYDGKRRSDVGEASTARRSDALPQWATLGLG >KGN44502 pep chromosome:ASM407v2:7:11078010:11079251:-1 gene:Csa_7G320010 transcript:KGN44502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFASRRRPSAFRRNRASFSVHQAEAFCFASQYSRLSRTFSTPPFRQPFVPTVVLRSSSDDASCSSHVPCVTSRHLLGPSWNVRAVSLFSFSIFVVPSRSGFRSSRDVSRPSSPHATPRSEPPPLPCCELSCFVVRVQIVDLWFS >KGN45464 pep chromosome:ASM407v2:7:18360610:18366690:1 gene:Csa_7G448750 transcript:KGN45464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAVSGHRRHFPTPASSTDVSVTFSQLDSSIISRKSTPRRRPRNPTPATPFATDDDKSWQGELSWQFEPTGWRDSRNLGVALGPWAASIAPSPFSSSQVFRTANDYYLSPSRRVRRSLPSPYSDGSGYIPAGRVELQSFVGGETENSLFVGESYIPGETSKISHSSGWKDGSKGPLADKDELSKSYHDNSEHDFTFERSRMYSSYTDDSDSDSSEDDDEVESPKAVGLFSLFKYSTKLDLLLIILGCLGALINGGSLPWYSYLFGNFVNQLATDSSEADKSQMMKDVGTICLFMTGLAAIVVVGAYMGKRETPDLEAVILTEITCWRLVGDRSAQRIRTKYLRAVLRQDISFFDTKISTGDIMHGISSDVAQIQEVMGEKMAHFIHHIFTFICGYVVGFLRSWKVSLVVFSVTPLMMFCGIAYKAIYVGLTSKEEASYRKAGGVAEQSISSIRTVFSFVAEDNLGAKYAELLENSVPFGKRIGFSKGVGMGVIYLVTYSTWALAFWYGAILVARKEITGGDAIACFFGVNVGGRGLALSLSYFAQFAQGTVAAGRVFTIIDRVPEIDSYSPMGRTLRNVRGRIEFKGVSFSYPSRPDSLILNSLNLVFPSSKTLALVGPSGGGKSTIFALIERFYDPIQGTIILDGRDIRTLQIKWLRDQIGMVGQEPILFATSIIENVMMGKENATEKEAIAACIAANADNFISGLPQGYDTQVGDRGALLSGGQKQRIALARAMIKDPKILLLDEPTSALDPESESTVQKAIDQLSLGRTTIVIAHRLATVRNAHAIAVIERGSLVEIGTHRQLMEREGAYNNLVKLASEAVRQTSPKQNDVQKFTDLSFNDISKSEYVVEISKSRYFKSTVEEKLEKKEEKGRKVRITELLKLQKPEILMLLLGFLMGLSAGAILSVFPFILGEALQVYFDSEASRMKAKVGHLCIVLVGLGIGCILFMTGQQGFCGWAGTKLTVRVRDLLFRSILRQEPGWFDFPENSTGILISRLSIDCINFRSFLGDRISVLLMGVSAAAVGLGLSFWLEWRLTLLAAALTPFTLGASYISLVINIGPKLDENAYAKASNIASGAVSNIRTVTTFSAQEQLVKAFNRSLSEPKKKSVKKSQILGLTFGLSQGGMYGAYTLTLWFASRLIEQGKTSFGDVYKIFLILVLSSFSVGQLAGLAPDTSMAETAIPAVLDIINRRPLIGDDKGKSKKREQLKSFGVEFKMVTFAYPSRPEMIVLRDFCLKVKGCSTVALVGESGSGKSTVIWLTQRFYDPIRGKVLMGGTDLREINVKWLRRQTALVGQEPALFAGSIKDNIAFANPNASWTEIEEAARDAYIHKFISSLPQGYETQVGESGVQLSGGQKQRIAIARAILKKSSVLLLDEASSALDLESEKHVQAALRKVSKEATTIIVAHRLSTIHHADTIAVVRNGSVIEHGSHDSLMAKAHLGGVYANMVHAESEATAFS >KGN45022 pep chromosome:ASM407v2:7:15764971:15768393:-1 gene:Csa_7G407750 transcript:KGN45022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPVALLLHPPTISPAPLRFTSSKSHLRNRPFILSCSALQSGSIKDGASSKAEYKPGILDDFFLNVFRSKMVQEVGWDSEKPGYDGLIEVASRLTMTGKTNSETIEASVRILIALFPPLLLKLYRILVSPIAGGKVAAIMVARVTALTCQWLMGTCTVNSIELPDGSSCQSGVFVEKCKYLEESKCIGICINTCKLPTQSFFKDQMGIPLLMEPNFTDYSCQFKFGVLPPLPEEDSILKEPCLEICPNATRRREVSGKISAAQCPKA >KGN43913 pep chromosome:ASM407v2:7:4872936:4874495:-1 gene:Csa_7G073490 transcript:KGN43913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNQLHIFLFPFLAHGHMIPMVDMAKLFSSRGIKVTIVTTPINSISIAKSLHDSNPLINLLILKFPSAEVGLPDGCENLDFLISPSMIPKFISAVSLLQTPLEEAITEHRPHCIVADMFFPWANDASVKLGIPRLNFHGTSFFSTCALEFMRIYEPYNNVSSETEPFLIPHLPGNITITKMKLHELVRENVKNDLTEYMKRAYDSDSKCYGVVMNSFYELEAEYADCYKNVLGRKAWTIGPLSLCTQESEEEAQRGNKSAIEEHECLKWLDSKKPNSVVYVCFGTLTKFNSNQLKEIANGLEACGKNFIWVVRKIKEKDEDEEDKDWLPEGYEQRMEGKGLIIRGWAPQVMILDHPAVGGFITHCGWNSTLEGVAAGVPMVTWPVAAEQFYNEKLVTEVLKIGVGVGVQKWVRIVGDFINSEAVEKAIGRVMEGEEAEEIRKRAKEFAEKARKAVAENGSSYCDLDALIKELESLAF >KGN45301 pep chromosome:ASM407v2:7:17384559:17387339:-1 gene:Csa_7G433300 transcript:KGN45301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGLILGLGRVMRRKRTSSLDILSSKRAPRDYYKGKNCKPTGFHTRKGGYVVVPEKLPNYVVPDLSDFKLKPYVSQCPIELKTSDNNESAKS >KGN43677 pep chromosome:ASM407v2:7:3384302:3385816:1 gene:Csa_7G058030 transcript:KGN43677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPHSHNFNLPPGFRFYPTDEELVVHFLHRKAALLPCHPDVIPDLNLFTYDPWELDGKALGEGNRWYFYSRKIEGRVTDNGFWNPLGRDDPVLSTATSEVVGMKKYFLFHLQDADAGPLKTNWIMHEFRLADAAAAVATSSSSSRRRGRPKRDYSKWVLCRVYERDEEKEEEEDGAELSCLDEVFLTLDDLDEISLPNRIQ >KGN43373 pep chromosome:ASM407v2:7:1516475:1526765:1 gene:Csa_7G027890 transcript:KGN43373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSQTLGGTSRCGRAIGPSLDKIVKNAAWRKHSHLVSSCKSVLDKLDSIAEAVPPDPTSPLAGLSPADADFVLQPLLLALDAAYVKVAEPALECVFKLFSRGLFRGEIERPDGDANSNASSIVYKIVESVCKSGGLGDEGIELTVLRVLLSAVRCPCVLIRGDCLVNVVRTCYNVYLGGLSGTNQICAKSVLGQVMVIVFSRVEEDSMDAPMRIISVSELLEFTDKNLNEGNSIYFCQNFINEVMDASEGIADKKLYEFSAKLQNGHASPLKVDNKGESDIGETEDVCSKIREDGFHLFKNLCKLSMKFSSPEHPDDQILIRGKILSLELLKVVMDNAGPVWRSNERFLNAIKQFLCLSLLKNSALSAMAIFQLQCCIFTSLLTKFRSGLKAEVGIFFPMLVLRVLENVLQPSFLQKMTVLNLLDKISQDSQTMVDIFVNYDCDVDSPNIFERIVNGLLKTALGPPSGSTTTLSPAQDITFRLESVKCLVSIIKSMGTWMDQQMKLDDTNILKTSESDASPENQISGEETAAVDSELQSDGNSEFSDAATLEQRRAYKIELQKGISLFNRKPSRGIEFLISTKKVGGSPEEVASFLKNTNGLNETVIGDYLGEREEFPLKVMHAYVDSFNFKVMDFGEAIRFFLRGFRLPGEAQKIDRIMEKFAERYCKCNPDSFTSADTAYVLAYSVIMLNTDAHNNMVKEKMTKADFIRNNRGIDDGKDLPDEYLGALYDQIVRNEIKMNSDSSASQSKQATSINKLLGLDGILNLVSWKQTEEKAVGANGLLIRHIQEQFKAKSGKSESVYHAVTDVTILRFMVEVFWGPMLAAFSVTLDQSDDKLATSQCLLGFRYAVHVTAVMGLQTQRDAFVTSMAKFTYLHCAADMKQKNVEAVKAIISIAIEDGDFLQEAWEHIFTCLSRIENLQLLGEGAPSDASFLTTSNIETEEKALKTAGLSSLKRKGSLQNPAVMAVVRGGSYDSTSLGANSSPGPVTPDQINHLISNLHLLHQIGNFELNHVFAHSQSLNSEAIVAFVKALCKVAIAELQSPTDPRVFSLTKLVEVAHYNMNRIRLVWSRMWNVLSDFFVSVGLSENLSVAIFVMDSLRQLAMKFLEREELANYNFQNEFLRPFVIVMQKSGSTEIRELIVRCISQMVLSRVNNVKSGWKSVFMVFTAAAADERKNIVLLAFETMEKIVREYFPYITETETTTFTDCVRCLITFTNSRFNSDVSLNAIAFLRFCAVKLAEGGLVCYEMAGDNVSSNSPDEPTPTPTPTPTDKDDYASYWVPLLAGLSKLTSDPRSPIRKSSLEVLFNILKDHGHLFSRQFWVGVINSVVFPIFNSLHDKKEVDMDENDKYTEGSTWDSDTCAVAADCLVDLFVSFFNVIRSQLPGVVAILTGFIRSPIQGPASTGVAALMRLAGDLANRLTENEWREIFLALKEAATLTVPGFLKVLRTMDDINVPGISQSCYDVDAASDQGLSTDGFDDDDLQTASYIVSRMKSHISMQLLVLQVITDLYKNHTQPFSQGNISIILEIFSSISTHAQKLNSDTVLQKKLQKACSILEISDPPMVHFENESYQSYLNFLQNMLANNPLLSNSTLIESELVTVCAQILHIYLKCTGTQNELKETNQPVQHWILPLGAARKEELAARTSLVVSALRVLCGFEKDLFKRYVPQLFPLLVELVRSEHSSGEVQVVLSIIFQSCIGPIIMQ >KGN43425 pep chromosome:ASM407v2:7:1853590:1858239:1 gene:Csa_7G033330 transcript:KGN43425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASSGSNIHHQPASKMIPIRQQPRPEELQTSLSLVSSEPQHSPEVPRSNSDHVQESPAESASSQETWPIGDGVMGKKMENGKADNDYVEQSVIRRLSSADKISLRDIARDRIEVIAEKMHHLPEDFLENLKNGLRIILDGNGGAQQRDEIFILQKLVQRRTDLTAKTLIRAHRVQLEILVAINTGIQGFLHPNISLSQTTLIEVFAYKRCRNIACQNQLPADDCTCEICTSRNGFCNLCMCVICNKFDFEVNTCRWIGCDLCSHWSHTDCAIRDGKICMGSSVRIGTARSEMHFKCPACHRTSELLGWVRDVFQHCAPSWDQESLLKELDFVSRIFRGSEDHGGRKLFWKCEELKEKMKSGALESAAACRAILMFFQENETDSMSSLENGEGGRLAAPQEACNRIAEVVQEVIRKMEIVANEKMRSWKKARMDVEAFNREVEDKAKEAAEIKLDRQRKKVQIEELEKIVRLKCAEADMFQLKANEAKREAERLQRIALAKTDKSEEEYASSYLKQRLNEAEAEKQYLLEKIKLQESSRASQSSGGADPSQMLMYSKIQDLLYNVSKPDSAK >KGN43698 pep chromosome:ASM407v2:7:3532667:3533800:1 gene:Csa_7G060180 transcript:KGN43698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLGGFMCHSAATTAVCIPSDPRSTVVSRRLARPDYANNNHANNGNRSARFVSNNTVKYSKLVESSPSLSVRSEGKHINLKKPAMPVMLSSPAENNNVFHVVVMRVALHCQGCASKVKRHLSRMEGVTSFSIDLEAKRVTVMGHISPLGVLQSISKVKRAELWATQA >KGN44498 pep chromosome:ASM407v2:7:11043581:11049368:1 gene:Csa_7G318980 transcript:KGN44498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNFSYGSPSPKSFNAYPRGGDLDLESGATLKRIRKSKSSQIYLVRMLKSVGNRIHYYYKLHPVIVLFIFLSIGVTLLMILSVYESHYRMANYYGKLSVVSEAFPFAKLQNLVMVAGHSVYVSSSCEKVEKEDSWVLESYQKHPGQAATFISHIKEGVEIAAMDDAALLLFSGGETRKNAGPRSEAQSYWAVAESKGWFGNKENVRSRALTEEHARDSFENLLFSICRFRELTGKYPQNITVVSYDFKEKRFANLHRSAINFPKSRFFYAGTPASMTSKEAALKGEALVRAQFQDDPFGCQGSLYRKKLGRDPFHRSIPYPNGCPEIAGLFRYCRTDPYPGFLPWTK >KGN44537 pep chromosome:ASM407v2:7:11483146:11485331:1 gene:Csa_7G328250 transcript:KGN44537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESRNTQMEMDGEEVLKAQAHIWNHIFSFINSMALKCAVELRIPDAINRHGSPITLSQLISALQIHPQKSQHVHRIMRILAHSGFFLIHNKLSQQKDDDEDEDEDQVAYSLTNPSLLLLQHNPLTLSPFSLFMLDRVLIEPWLFISAWLRSDSDCRTPFETAHGKEFWEHMGSEAKDGEGFNAAMESDAKLVVSVLLEKYKSVFEGVESFVDVGGGTGSVAKAIAEAFPQMKCVVYDLPQVVAGLEGNHNLMFLQGDMFQVIPSADALLLKWILHDWTDDECVKILKKCKEAITSNGKNGKVIIIDMVVGNKSNKKNMKNNDMLMTKGKLFWDLLMMVNVGGKERDEKEWAELFQAAGFGAYNISPILGLRSLIELYP >KGN44757 pep chromosome:ASM407v2:7:13906108:13912763:1 gene:Csa_7G378460 transcript:KGN44757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSLSSSQTLHTLFCKNLPFSLSSSFLSIPKPLSPLPLSPRFLPLTNNRLHCRRGIVVRSQSENGVEALRPYDFDLFTIGAGSGGVRASRFAANFGASVAVCELPFSTISSDSAGGVGGTCVIRGCVPKKLLVYASKYAHEFEESHGFGWKYDTEPKHDWSTLIANKNAELHRLTGIYKNVLNNAGVTLIEGRGKILDEHTIDVDGKIYSARHILVSVGGRPFIPDIPGSEYAIDSDAALELPSKPVKIAIVGGGYIALEFAGIFNGLKSEVHVFIRQKKVLRGFDEEIRDFVAEQMSLRGVEFHTEEVPQAILKSADGSLSLKTSKGTVEGFSHVMFATGRRPNTKNLGLEEVGVKMTKNGAIEVDEYSRTSVPSIWAVGDVTDRINLTPVALMEGGALAKTIFQNEPTKPSYSAVPCAVFSQPPIGIVGLNEEQAIQEHGDVDIYTANFRPLKATLSGLPDRVFMKLVVCAKTNKVLGLHMCGDDSPEIVQGFAVAVKAGLTKADFDATVGVHPTAAEEFVTMRTPTRKIRRNPEEKSESEAKAAAGV >KGN44802 pep chromosome:ASM407v2:7:14380619:14381224:1 gene:Csa_7G388350 transcript:KGN44802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVSKASKKSSATRIPVVVANEDAKVRDQKDISSLTPVDLVNSSSQFSCFRGEDLMMLQSSFLSSSQVLAEIPVIRRTSAYDKTSSVGWQLDEILADTACALSLSPSEGELVLSSICKVASQPFAISKSKITVCPSCTGLVFGRLLEQIVLG >KGN43750 pep chromosome:ASM407v2:7:3864746:3868429:-1 gene:Csa_7G064600 transcript:KGN43750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPQTRPLNHHGHLLSQPQPQIHSGSHFSGHFQLSEPQTRTMSHVYTQAHAQAQAQSAHAHFQAHTQPVQLHSVNAGSTPSMSTPGTGNSKRPTQKPPSRSAGNSYTIATSPFKTMELTPAPRRKKVKLPEKQLPDKVAALLPESAIYTQLLEVEGRIDAALARKKNDIQESLKNPPRIQKTLRIYVFNTFENQNQSGSDQKNVESPSWSLKIIGRILEDGKDPVIAGAMQNYDSTYPKFSSFFKKITIYLDQSLYPDNHTILWEGARSPALQEGFEVKRKGDKEFTAVIRLDMNHTPEKFRLSPSLSDVLGIETDTRSRIMAALWHYVKANKLQNSSDPSFFTCDPGLRKVFGEEKVKFSMVTQKISQHLIPPQPINLQHRVKISGNSPVGTTCYDVMVDVPFPTEKQMSAFLENFEKHKDIDSCDELISAAVKKIHEHSRRRSFFLGFSQSPADFINNLISSQTKDLKIVAGDASHHAEKERHSNFYSQSWVEDAVIRYLNRKPATSEVPRGA >KGN44398 pep chromosome:ASM407v2:7:9893098:9893765:-1 gene:Csa_7G279800 transcript:KGN44398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIRICMRISRLSLGIKIFFGNSRLSTEALIQSLKVGDPSRFELECVRFLRYKKLRGNSRLLAEAILQLPVERKRAKPFQARMRWESQAALGHSALGFFDIGKCVGNSRLLAEATLQLHVESRRDEPFRARMSQVHFVSESTLRTLDSQLKPQYNHS >KGN44756 pep chromosome:ASM407v2:7:13904002:13904997:1 gene:Csa_7G378450 transcript:KGN44756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENWVPVKVLGQGSYAVVCLAKQSIRKCSDNNLPYYFALKIYPLQHNSSLLWEEQVLKQFKGCPEIVQYFGSEITRGGSFCNDKDFYTLKLEYAAGGTLDDLIKQRDKLPEDEVKDYLRMILKGLSCIHSKGFVHVDLKPNNILAFPQSDGKMKLKIADFGQAERCKYRDDNGQHKRYGYCSSLKFKGSPRYMSPESIIFNEVDDAHDIWSLGCILVKMISGKCVWDGYTDSKQLMIEVLDNKIMATIPGELSEQGKDFIRKCFIRSYKQRWTADMLLQHPYLNQENEAPMKEDEATMKDDEATMDGGSYSFNRLILKFPIAKLFLTCFNQ >KGN44975 pep chromosome:ASM407v2:7:15482130:15484765:-1 gene:Csa_7G405320 transcript:KGN44975 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase MDLHPLTSSTPISNSPPSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSPPPPPPLPLFQTFHQTARSLCNGTLFPDLCFSKLSSFPQLASLSPEKLAGSALNFTSREVLLAYTNCTNLKTHLYSGLNPTDRHALDDCLELLDGSIAELKASIFDLAPSQSPALHSHDLLTLVSAAMTNHRTCVDGFYNSSGTVRSRVELYLGKIGQHLSIDLAMLKKIPGVNRATGVDQEMLPEYGAVKGGFPKWVSVKDRRLLQAAVNETKFNMVVAKDGSGNFTTVSEAVAAAPNASTTRFVIYIKAGAYFENVEIGRAKSNLMFVGDGIGKTLIKADRNVVDGWTTFRSATVAVVGTGFIAKGITFENYAGPSKHQAVALRSNSDFSAFYQCSFIGYQDTLYVHSLRQFYRDCDVYGTIDFIFGNAAVVFQNCNLYARKPNSNQRNIFTAQGREDPNQNTGISILNCKVEAASDLIPVLSSFRTYLGRPWKLYSRTVFLRSFIGQLIEPVGWLEWNGTFALDTLYYGEYLNRGPGSNTTMRVTWPGYRVITNATEASQFTVERFIQGSSWLNSTEIPFFSGLTPP >KGN45071 pep chromosome:ASM407v2:7:16075578:16077344:1 gene:Csa_7G420160 transcript:KGN45071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFGCCEEDAIQKAADNSNTYQVKTSAGTDESYHKRQTAPKSPPIVKIQPIEVPAISFEELKEVTKNFDADCLIGEGSYGRVYYGVFTSGQTVGIKKLDASKQSDDEFLSQVSMVSRLKHDNFLNLIGYCVGGNSRILAYEYASNGSLHDILHGRKGVKGSQPGPVLSWAQRVKIAVGAAKGLEYLHEKVDPHITHRDIKSSNVLIFDDDLAKIADFDLSNQAPDMAARLHSTRVLGTFGYHAPE >KGN43654 pep chromosome:ASM407v2:7:3214426:3216102:1 gene:Csa_7G051340 transcript:KGN43654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSLLQQPPSPIAEYLSSPMLSLSILAILEFQVPIHTLDLTFNLRSLFLPINPRFPPYWLQMRKERRSGKGKHRSGRTCERSNLSTWFNYIESYKAYCDEYLTKISMEEFRPDKPLWEAHLFKYPTSPTVAGTMVLKVHHSLGDGYSLMGVVLSCLHTASDLTFLSLFLPDVNDDSRTSVLKGIDEKTSIRSGREGLQFMPMNTFTVSFSLNYIKKIKTKLDVTVNDVITGITFMGLRLYMEEMEKNSGEVAATALTIVNTRVIGTYRPATEMAKPESKGLW >KGN43412 pep chromosome:ASM407v2:7:1794858:1798206:1 gene:Csa_7G031730 transcript:KGN43412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRWFFHTRRLAAYSHSSVFTFPRSASSIPHSHHYPLLFNPFTNPLPPSSIPLQVLVDLYKSSQLHHNPVQHDEKIESLAQRYRYSCGSKDAEELHLQLFKNGFVNDLFLCNTLINIYARVGDLGSGRKVFDEMPLRNLVSWSCLISGYTRNRMPNEACELFRKMVSDGFMPNHYAFGSVIRACQECGEYGLKFGMQIHGLMSKTQYVNDVTASNVLISMYGNALGMVDYARRAFDSIWPRNLVSLNSMISVYCQRGDAVSAFDIFSTMQKEVMGDGLKPNEYTFGSLISATCSLANSGLVLLEQLLTRVEKSGFLHDLYVGSALVSGFAKAGSIGYAKNIFQKMSYRNVVSLNGLIIGLVRQKRGEEAVELFMEMKDSVELNPNSYMIILTAFPEFHVLENGKRKGSEVHAFLIRSGLLNAQIAIGNGLINMYAKCGAINDACVVFRLMDNKDSVTWNSMITGLDQNKQFLEAVKTFQEMRRTELYPSNFTMISALSSCASLGWISVGEQLHCEGLKLGLDLDVSVSNALLALYGECGYVKECQKAFSLMLDYDHVSWNSLIGALADSEPSMLEAVESFLVMMRAGWDPNRVTFITILAAVSSLSLHELGKQIHALVLKRNVAADTAIENALLACYGKCGDMGYCENIFSRMSDRQDEVSWNSMISGYIHNELLPKAMDMVWFMMQKGQRLDGFTFATVLSACATVATLERGMEVHGCSVRACLESDIVIGSALVDMYAKCGRIDYASRFFEMMPARNLYSWNSMISGYARHGHGTKSLDLFAQMKLQGPLPDHVTFVGVLSACSHAGLVNEGFSHFDSMSEIYGLAPRMEHFSCMVDLLGRVGELNKMEDFLNQMPVKPNVLIWRTVLGACCRANGRNTALGRRAAEMLLEMEPTNAVNYILLSNMYASGGKWDDVAKTRVAMRKAFVKKEAGCSWVTMKDGVHVFVAGDKSHPEKDLIYEKLKELNGKMRLAGYIPETRFALYDLEGESKEELLSYHSEKIAVAFVLTRPSKMPIRILKNLRVCGDCHSAFKYISQIVERQIVLRDSNRFHHFENGKCSCGDFW >KGN43521 pep chromosome:ASM407v2:7:2444063:2451165:1 gene:Csa_7G043630 transcript:KGN43521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASASALLRASRARLFSPSLCSRPFPSASSPKPSSLSFVSTYRSLSASSAFRSTARWSHGVGWRSPLSLRAQIRAVAPAIERLHRKFSSMAAENPFKENLTSLPKPGGGEYGKYYSLPSLNDPRIDKLPYSIRILLESAIRNCDNFQVKKEDVEKIIDWENSSPKQVEIPFKPARVLLQDFTGVPAVVDLACMRDAMNKLGSDSNKINPLVPVDLVIDHSVQVDVARSENAVQANMELEFQRNKERFAFLKWGSNAFQNMLVVPPGSGIVHQVNLEYLGRVVFNTSGLLYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEAAMLGQPMSMVLPGVVGFKLSGKLRNGVTATDLVLTVTQMLRKHGVVGKFVEFYGDGMEELSLADRATIANMSPEYGATMGFFPVDHVTLQYLKLTGRSDETVSMIEAYLRANKMFVDYNEPQQERVYSSYLQLDLADVEPCISGPKRPHDRVPLKEMKSDWHACLDNKVGFKGFAIPKEAQDKVAKFSFHGQPAELKHGSVVIAAITSCTNTSNPSVMLGAALVAKKACELGLQVKPWVKTSLAPGSGVVTKYLLKSGLQPYLNQQGFNIVGYGCTTCIGNSGDLDESVSAAISENDIVAAAVLSGNRNFEGRVHPLTRANYLASPPLVVAYALAGTVDIDFEKDPIGKGKDGKDIYFRDIWPSTEEIAEVVQSSVLPDMFKSTYESITKGNPMWNQLSVPDGTLYSWDPKSTYIHEPPYFKNMTMDPPGAHGVKDAYCLLNFGDSITTDHISPAGSIHKDSPAAKYLIDRGVDRKDFNSYGSRRGNDEVMARGTFANIRLVNKLLNGEVGPKTVHIPTGEKLYVFDAAERYKSAGQDTIVLAGAEYGSGSSRDWAAKGPMLLGVKAVIAKSFERIHRSNLVGMGIIPLCFKAGEDADSLGLTGHERYSIDLPDNISEIRPGQDVSVTTDSGKSFTCTVRFDTEVELAYFNHGGILPYVIRNLIKQ >KGN43632 pep chromosome:ASM407v2:7:3085434:3087347:1 gene:Csa_7G049140 transcript:KGN43632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIISHLFIVLSLLAFSVNGQLSSGFYSKSCPRLESIVRAGMTKAVNKEKRIGASILRLFFHDCFVNGCDASILLDDTPTARGEKNAFPNRNSARGFEVIDDIKTQVEAACNATVSCADILALATRDGVVLLGGPNWAVPLGRKDSRTASESGANNNLPGPSSSLSTLISMFNAQGFTPREMTTLSGAHTIGMGQCQFFRTRIYNETNIDATFATQRQANCPFNGGDSNLAPLDSTNTMFDNKYYVDLTNKRGLFHSDQELFNGGSQDALVTTYSKNPNLFKSDFIKAMIKMGNLGPPSGTVTEIRKNCRVVN >KGN45346 pep chromosome:ASM407v2:7:17616753:17617199:1 gene:Csa_7G446640 transcript:KGN45346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSVVLICFILSLVAGRSTASFGKCYAKCFIVCAITPGIPVGTCGAKCLADCLFIASSPMDLNYMDTHYFCKLGCATSRCTKFSTKKDPGKKLSNTSVTTYSSYTNVYRETFRFFIV >KGN44197 pep chromosome:ASM407v2:7:7888003:7888761:-1 gene:Csa_7G219820 transcript:KGN44197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRNTEKNTFPPAPSSTSLLPFFLLHIKPITIHSLVLNLFSDFDRLRNCIHRSELRHNRVSNLHLIQRGGGFCSSLETCG >KGN44811 pep chromosome:ASM407v2:7:14444767:14447907:1 gene:Csa_7G388440 transcript:KGN44811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLRFPFSFPQPPKPPRRTFLRPSYAAAVAAGGAAAAVGFVAAARNSTNPQTPFLECALNLLFSSHSSPLWASLSLAENSAASSVVDSKTGFSFPSVIGDSQQLLGIGLRRKAILGLKNINVYAFGVYADNDDIKESLSEKYGKLTVTELQEKDFDKDVMECDICMTVRLQIVYGKLSIRSVRSAFEESVGNRLQKFGGSDNKELLDKFTSQFKDEYKIPRGSVIDLSKERGHVLRTIIDGKEVGSIQSQLLCRAILDLYIGEDPFDKQAKEDVRQALTSILQK >KGN43614 pep chromosome:ASM407v2:7:2951151:2951895:1 gene:Csa_7G047980 transcript:KGN43614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKTKRICLLLLRLLALGSTVSAAIVMATSHEEARFFTLSFEAKYTHTPSFKYFVIANSVASVYGFLVLFLPAESLLWRLVLCFDVIMTMLLTSSIAAALAVAQIGKDGNSYAGWLPICDQVANYCSQVTGALVMGLLGLLVYLLLLLYSLHSLLDPLLLKKP >KGN43636 pep chromosome:ASM407v2:7:3103838:3107240:-1 gene:Csa_7G049180 transcript:KGN43636 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTP-binding protein MAGYKGHDEYDYLFKLVLIGDSGVGKSNLLSRFTRNQFNLESKSTIGVEFATKSLEIDGKVIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRRPTFENAARWLKELRDHTDPNMVVMLIGNKCDLRHLILVPTEDGKSFAERESLYFMETSALDATNVESAFTEVLSQIYRIVSKRSVEAGDIGSASTTLPSKGQTIDVKDDSSVLKRIGCCSS >KGN44480 pep chromosome:ASM407v2:7:10613986:10616807:1 gene:Csa_7G305880 transcript:KGN44480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTAATNYLLDSGVHWGAAPAVKGVRDAAVELLHTLVSVHAEVFAGCKPLLDKTLGILVEGLIDTFLSIFDENGTNELRSLDTNGFCQLMLELEYFETILNPYFTSDARESLKSLQGVLLEKATESVAEAADNPGHNRRPTRGSEEAIDERQQGATAPDELIALAQQYSTELLQQELERTRINTACFAESIPLDSVPEPAKAAYTSFNATYRGSTTPTGSPSFSSRSRRRL >KGN44053 pep chromosome:ASM407v2:7:6151101:6151361:1 gene:Csa_7G145960 transcript:KGN44053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVQSVVTLDNHALGLNMSAFSRVIIGWALLGGRVGKLAAESKGSNEDEDDEFRDGRGEEISVELCVGEIRDGLGI >KGN45206 pep chromosome:ASM407v2:7:16918626:16934634:-1 gene:Csa_7G431380 transcript:KGN45206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPRKQEEHFDVLTKTGEKTGFSKSRCDVHRDGDYHRAVHVWIFAESTQELLLQLRADCKDSWPGLWDISSAGHISAGDSSLETARRELQEELGVILPKEAFELIFVFLNETSTNGGQFINNEFEDVYLVTTLDPIPLEAFTLQESEVSAVKYIHYLDYKNLLANEDPEYVPYDVNAQQYGQLFDIIAQRYKVDNVARSSTLQKQLQRYASVSLNVELTGLSDADKGALDLLIKAAAIMDEIFNLQVWYSNPYLKDWLEKHAAASQLDKLKWAYYLINKTPWSCLDENEAYLTTADSAIKLLPEATRRVSGWKGLEYKAAFPSQKPPGANFYPPDMDKMEFTQWKDSLPEDQQNIVAGFFSVIKRHSESNSDLSIDSRSPGSTNHLEGSKHDLYNVPFSQEYNSFLSKAAELLHKAGDRTSSPSLRRLLHSKADAFLSNDYYESDIAWMELDSKLDVTIGPYETYEDTLFGYKATFEAFIGIRDDKGTTQVKFFGDNLQVLEQNLPMDNAYKSKDVSAAPIRVVQLLYNAGDVKGPQTVAFNLPNDERIVKDRGTSMVMLKNVSEAKFKHILQPIADVCITNEQREFVDFDSFFTHTICHECCHGIGPHTITLPNGKTSTVRLELQELHSALEEAKADIVGLWALRFLTLQGLLPGASLKSVYATFLVGCFRSVRFGLLEAHGKGQALQFNWLYEKEAFILNPDETFSVNFDKVEDAVESLSREILTIQARGDKESAKLLLQKYGVMSEPLKLALNNLERIQVPVDIAPEFPVAKEILGKKQFEI >KGN44762 pep chromosome:ASM407v2:7:13946395:13950399:1 gene:Csa_7G378510 transcript:KGN44762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRFHHPQSSAPTVSNSDLKRGKKRGSYNCGRCGLPKKGHVCNLNSSSTTTTVTAITSTPTTDSTNSARGSTIDPASHSHLRRALSFDEADDLRSGFHSDLDDIEEAEPVFDYPDIDPDSSGCLPATCLWEVLRRLPPTGILAAARVCKGWRETTRKIWRAAEMLRLRVPPRAQVGFVGSLLQKCSGLVTLSLRLESDLDSMMLACIAFSCPNLEVLEITKSQLSINRITGGELGRFVADKRCLKSFKMEGCSSLGSFSLCSSSLGTLWLSDLCSLSKMAFSCPNLKEISLEFSRQENDSTDLVAMVDGMGRGCPRLQNIHISSFRLSHAVVLALTAAQLRGLRMLSLVLGADITDASVASIASSYPNLELLDLSGSSISDSGIGMICNVFPDSLSRLLLALCPNITSSGIQFATAELPLLELMDCGMTICDPNATDSTSDSGDQKLSKPPPNSKFHLIYQKLIIKHNNLKKLSLWGCSGLDALYLNCPQLDDLNLNSCKNLHPERLLLQCPGLRNVHASDCEELLVGAIRTQLNKAAAPEENLLSCKRLADGSKRIRVPPLVNSLSSDNYTKRRKVGSHQCKILVS >KGN43849 pep chromosome:ASM407v2:7:4513467:4517669:-1 gene:Csa_7G071380 transcript:KGN43849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVFRSAFDAGELRTEFEKAGIKTTFIPFIWKHLLHDPNNIFNHNLASEFQWEQVVPSLPSAAYSLLRLKFKPLTSTVHSVVDSSDQVTTKLLIKLQSGAFVEAVIMRYDTRLGKYGGKPRPGGLRSTLCISSQVGCKMGCRFCATGTMGFKSNLTSGEIVEQLVHASRFSQIRNIVFMGMGEPLNNYSSLVEAVRAMMAPPFLLSPKRITISTVGIIHAINKLHSDLPGLNLAVSLHAPVQDIRCQIMPAARAFPLQKLMDALQEYQKKSQQKILIEYIMLDGVNDEEQHAHQLGKLLETFQVIVNLIPFNPIGSSSQFKTSSDEKVSVFQQVLRACLIEAQVNNLVLYLTLKIFTSDVHKIPSAGLINFHVSSNLEGNNFKLMIFDRLPGMHITEPILSQCRLESKYIEKKRD >KGN45366 pep chromosome:ASM407v2:7:17739187:17740127:-1 gene:Csa_7G446822 transcript:KGN45366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNRPPAAPFYKTTATLSGNWKDGSFEFLASYISKGINFNRVSNENETYFIYYIPGLHRYSVYENSYDYEGSREFILPQWTLENDGVLIFNNQQYFPLVCLNSRNEMDSSCVRRKQEQLPECRNELSYGYGPGFSVINGYKGERINGSNYYYEQSGNLTTLECHSICMNDCDCIAFAIPAYGSDSGCEFWKSVPKFIPDYDSSQTIWSLMNTNYKSRNGKVHCIKLFHIKS >KGN43232 pep chromosome:ASM407v2:7:633225:635225:1 gene:Csa_7G009760 transcript:KGN43232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMVSSPWGKPGAWALDSEEHDAQLLKEQEQQKQPELSLDFPSLNDALATKQKKKAQTLSLAEFNTYATTGSRSEDFIVLPTGPRQRTAEELDRNRLGGGFRNYGSNGSYERNSRYSNADDSSNSKWGSSRVSDENRRSSNRADSSKEFTSSRADEVDNWALTKKPMAGNGFERRERGLGFSDSQSSKADESESWVSNKSNIPSDGRRFDRERRGGFTASGGGADSDNWGRKKEEGNAGGVISSRPKLSLQPRTLPVVDGKSSEISATAARSKSSNPFGAARPREEVLAEKGHDWKKLDEQLESKIKEVSVEEKTEKSNPIFFRKRSFGNGNSRAAEDRTERSWRKPESPPPQEYPESRPESGEENVNGDCEGEPDPERDGGENGA >KGN43596 pep chromosome:ASM407v2:7:2862751:2863314:1 gene:Csa_7G047325 transcript:KGN43596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFIEDENENKGTRDEKNSIFKKCSEFNSSFNTTHPIISYAYLTMHQFDDQIRITCLSQGIDKHCTYSPRYFPAPIHNSICQFASFLDALCFAQCVDHILKCRFRNDTHWGKVIQDFASPGYLATKTQPYRKSSKRSYSWSDSFVDHLVPELYRLLIFVLLEQPIDKNRERINCLITTFFFHPPKKC >KGN43607 pep chromosome:ASM407v2:7:2908333:2911304:-1 gene:Csa_7G047420 transcript:KGN43607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLRPRYLWNVIMLVNFVLVGKFVLVDSTQQLPNRTTAASHWLPATATWYGSPEGDGSDGGACGYGSLVDVKPFKARVGAVSPILFKDGEGCGACYKVRCLDRAICARRAVTIIVTDECPGGYCANGRTHFDLSGAAFGRMAVAGAGSRLRDRGELTVAYRRTPCRYRGKNIAFHVNEGSTDHWLSLLVEFEDGDGDLGSMQIRQANSKEWMEMAHVWGATWCINGGPLKGPFSVKVTTLSTAKTLSARDVIPRNWSPKATYTSRLNFS >KGN45578 pep chromosome:ASM407v2:7:19026558:19031614:1 gene:Csa_7G452330 transcript:KGN45578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYVMGSAEVLGNSPLPANQAVPKCYGVTKPISMAGPCDTDVQRNKELEKFLVDAGLYESKEESAKREEVLSRIGQIVKDWVKQLTRIKGYTDQMVEDANAVIFTFGSYRLGVHGPGADIDTLCVGPSYVNREEDFFYMLHNILEEMEEVSELQPVPDAHVPVMKFKFDGISIDLLYASISCLVVPEDLDISDVSVLYNVDEPTVRSLNGCRVADQILKLVPNVESFRTALRCLKFWAKRRGVYSNVTGFLGGVNWALLVARVCQLYPNAVPSMLLSRFFRVYTLWRWPNPVMLCAIEEDDLGCSVWDPRKNPRDRTHHMPIITPAYPCMNSSYNVSTSTLRVMMEQFQFGNKVCEEIELNKAQWSSLFEPYLFFESYKNYLQVDIVAADADDLRSWKGWVESRFRHLTLLIERKTEGKLQCHPYPHEYVDTSKPCAHCAFFMGLQRKQGEIIQEGQQFDIRSSVDEFKHYTSSYMFWKPGMEIFVSHVRRRQIPPFVFPEGHKRFRASRLSALQRSPNQEDVQNGRSGSCERDLKRKNDPARIEGEHNSPQKRQSISPRRQDSVSSNISNFSNTASSERPEADIEAKTIVEKNSPCRTITRENEELAFGGSRIGNCSSRKDSSSVESDKGSTVEIIDPDKVPFTEIDHRCASNSSVITSLTSESSSCENVGFALAAGSSEGNAGSIEGSADESNNPGTSVVDSCEADSELQLDNRCVNGDSMHMETEPNAVLEMALNPGGGVKSTTIQNPVIRLSMASTA >KGN45018 pep chromosome:ASM407v2:7:15753185:15754291:-1 gene:Csa_7G407710 transcript:KGN45018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKKREGKEEVICVRHCAICQPIQTSRRGRHSTFTSSSSSSSSSFLLLIYILIFLISFCKFSAMAMAFQKWPQNPNFLFKKLFHLFTLTLLSLSLPISFLLFCRLVHSHYLHAFLPLSSPLPSILSADRLLLLALISFISISAFLDNLFGKSILPSKSSGLSAAWFVLCVLQIGMALGIGQELTIVIDESSLGLRGSLWCRLMFFLGLHTAMIHWSNVAVKPVVDDTVFGAPVEENWSEKIVMAVSFGGLWWWRLRDEAESPAMVTESMMELLMDPTMADFCSLALYYLIVAIGFVRILRSFVWFLKFLLRERKEENSSQFSQFKVIEVV >KGN44378 pep chromosome:ASM407v2:7:9737303:9737617:1 gene:Csa_7G274670 transcript:KGN44378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAPLKPFTTSAPSKYSTTSAPSKLFATSASPQTFATSVAQNPSATYWDRVRGKYLTTWQRAASQKVSPTDFYRCVCRRRLRCLDSFLRRCFCFRRRVCASEKS >KGN43145 pep chromosome:ASM407v2:7:31288:34374:1 gene:Csa_7G000520 transcript:KGN43145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNRALPEMLHCLNSTGNCSDTLSVLERQRARLKWQQDMLFQQPLNQSCFSRADYGGGFPPPVSVPADHLTGFPGYMSGGGGVGGGLSHVEMVMGAVKPDPGLEDGWSEMGKFDPSLLLNPTACELNSSLSRTSSCLPVVAPTVAEKMGSMAGRESFKKRKAEKAHNTTTTANTNNNKVTVEEDENNNSKEKRIKTSSEGELSKTTDQNGTKNNSTITTTTNNNRETSADTSKENSKASEVQKPDYIHVRARRGQATDSHSLAERARREKISERMKYLQDLVPGCNKITGKAGMLDEIINYVQSLQRQVEFLSMKLAAVNPRLDFNVDDLFNKEVFPPSCTAANFPSVGGMSSEMTDPSSYLQFNPNNQQMDSCCGLEMGINTSHVALRRTISAPVSFPENFLDSSCLTQFQPSSGWDVDLQNMYNVGFDQGRSSNAFSSHPYTGSIEAGNIKMEM >KGN43346 pep chromosome:ASM407v2:7:1387706:1394574:1 gene:Csa_7G025180 transcript:KGN43346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIPSNNHNEKEKKKTKTKTKNENTHSLSDLKTLGLQLLSSRAHINNLPLLLTFVSPSSPPPYVLEALLSLQSFFITNLPSLPSSSKPPPAGDDVQVDAEFIYRTWLRSKFDELVKSLIDVAVSSECDDTLKEIVLDAIMEFVKVGNKGKFHSAVYHRFLQSIAHSSTPVDTLIALLVKKYFHYLDVRYFTYISIKELAKTFKAEYMSGDVGGHSKEGVEFIHIVHSIISSIPPLENSNQSDYTMWVESGDNKVLSDDQEAKQLKMKKNDEEVLTSSKIVRRMKLKFSKAWISFLKLPLPIDVYKEVLVILDQEVIPYLSKPIILSDFLTKSYDIGGVISVMALSSLFLLMTKYGLEYPNFYEKLYALLVPSIFMAKHRAKFFQLLDSCLKSPLLPAYLAAAFAKKLSRLSLVVPPSGALVIIALIHNLLRRHPSINCLVHRENVSESKNDNSTSEEAAKGTDADTPKMKPGIDHFNYEEADPIKSSALRSSLWEIDSLRHHYCPPVSRLVLSLENDLTVRSKTTEIDVKDFVAGSYSTILGQELKKKLKRVPLAFYQAPPTTLFSESDFAGWSFDNEHSEKNIDSSDHLSAKRQRVGSS >KGN44500 pep chromosome:ASM407v2:7:11055424:11058971:-1 gene:Csa_7G319000 transcript:KGN44500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRWGSSGVLFLFGFLWLLSGGAKGFPSEDLVLRLPGQPPVSFKQYAGYVDIDLKNGRSLFYYFVEAEEQPEKKPLTLWLNGGPGCSSIGGGAFTELGPFYPSGDGRGLRKNPMSWNKASNLLFVESPAGVGWSYSNTSSDYNCGDASTARDMHMFFMNWYEKFPSFKSRALYLTGESYAGHYIPQLAIALLDHNAKSSGFKFNIKGVAIGNPLLKLDRDVPATYEYFWSHGMISDEIGITIMSECDFEDYTFASPHNESHSCNEAISIANQVVGNYINNYDVILDVCYPSIVEQELRLRKMASKISLGVDVCMTMERKFYFNLQEVQEALHANRTKLPYRWSMCSSMINYSDTDGNINILPLIRRIIEFQIPVWVFSGDQDSVVPLLGSRTLVRELAHDLKFKITVPYGTWFHKGQVGGWVIEYGNLLTFATVRGAAHMVPYAQPSRALHLFSSFVGGRRLPNSTRPSIGD >KGN43658 pep chromosome:ASM407v2:7:3235089:3238715:-1 gene:Csa_7G051380 transcript:KGN43658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKVGEEGKVHILVIPFPDEQGHINPILQFSKRLAFKGLKVTLLNLLHEKNTTTYQLSCCSSLNSTINVLERPRAPYNSTEPESIESYMHRLKTSICFHLINLVTQYQNSNFPFSFVVYDSLMPWVLDLARAFGLRGAPFFTQSCAVIAIFYHIIHGSFKIIPPVADQTTCVSSLLPGLPLDLHASDLPSLLLPDNNNPQQNNNPFFLKLMIDQLHDLPELMFVNSFHALETQVIEYLQSQMPLKMVGPTVPSILINKELMDDDHDYGMNLINSTEDDNKKIMGWLNSKARNSVIYVSLGTRISNLGEEQMEELAWGLKATNKPFLWVIKEPEFPNSFFEKEVKEMHGMVVKWCCQVLVLGHESVGCFMTHCGWNSVLEAITCGVPMVAMPQWGEQMTNAKFVEDVWNVGVRVSTSKENGMIIVRREEIELCVRKVMEGEKSHKLRQNGRRWMKLAKEAVMINENGTSDKNIHDFVTQLTNPQVY >KGN45303 pep chromosome:ASM407v2:7:17395052:17396706:1 gene:Csa_7G433320 transcript:KGN45303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIGQSNLRMRQAGHDGKWFLLQKPIEDSGLCRNRQAEPNMVRNKDQQLVMTLPSGTRKRPLPSQSINQSLSSVQRRKVTEKDARCLPSSTHKLNKSIFPTDSTNVITRKAGLRDCKVISRTSTHIHTHSCASSVGSNYFTNDYFKAPFVSMACGGKKVEDTDYYSDAESSTGREQEEEEDSCSDEEVLAKFHRSELSVFRSFIRALYASGPLLSWEDEGEVSNIRALLHVSNDEYLLELKNLISTNKNISV >KGN43464 pep chromosome:ASM407v2:7:2067099:2068833:-1 gene:Csa_7G037910 transcript:KGN43464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVIKHLILLVTTFLMSLLSFTIEARSHVDIFSLRKYGNIVSGADVTEALKDAWNDACASDRPSAVVIPKGTFKVREGEFKGPCKSPIEFRVHGTLQAPKHPHGDSWITFAYVDRMRLSGGGVFDGQGKAGWEKNDCHKRIDCAKLPISLRFDFITNSIVRRITSLDSKNFHVNILGCNNLTFQGVNIIAPENSPNTDGIHIGRSIGISILKSRIATGDDCISLGDGSKRIKVNNVICGPGHGISIGSLGKYNNEEPVEGVIVNNCTIINTTNGVRIKTWPASPVAGIATNMHFSDITMVNVSNPILIDQEYCPWNQCNREIPSNIKINKVSFKNIRGSSATPVAVKLICSSNLPCEEVKVANIDLVYNGIKGSITSECMNVKPIISGIQNPPICSSSYTTASK >KGN45116 pep chromosome:ASM407v2:7:16367343:16370727:1 gene:Csa_7G426570 transcript:KGN45116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTRNHEPSRSLANDTCTIRLTEIFGKATRSILGFFIMMGLCNGVHYLLRPFSQPRITSDTIVGLVVGNFIRKQLDISTIKTLRYIVDFGMVCYMFVLGLEVDPYVIFKAPTRDAKVAYAGMISTLILACSIIPFIGMIKSKEISFILSLSTVLSSTASPVLTRLITSLKIGKSDIGRLVIAAGMHSDFISTLMICVGYLFCKCQETRISFIKGFQLGILLLIQAVLTAKVSPVFMNWVNNENPEGKPMKGPHLVLAVAFMAFLCCCPTVFGYNPILSAFLAGTFLPREGRVSRWAIGKINYLLTTVFYPIFFFWMGVESKLTDFEPGQIMTWVRLILLFAIATLGKVVGTVIAGAILGFHWPESVALGLLLTMKGHFHIYLAIAAKTAGKITTSTSIVMVIVIFFTIVHAPKVVAHIIQRARKRTPTHRMALQLLDPSSELKILLCIHGPQNTPAAINIMEISRGTANPGVVVYVTDMIELTDEIASTLVQGEGVDSVTVTHTGVTQMREQVTSAVQSYVDENGEGITLRRMLALSTFNSMAQDICILAEELMGALIILPFHKSQRGDGSLSEGQTAFRYVNRKVLRHAPCSVGILVDRGLGSVEKISRSYVSQNVAVIFIGGKDDREALAYAGRVARHPGVKLSVIRFLVDADAVNAARRAGTYRISVAEQEEEMRQDDECFAYFYERHVAGGHVAYVEKHLASSSETYSTLKSLEGQYALIIVGRGGKVNTVLTFGMNDWQQCPELGPIGDILSGSEFSVRTSVLIIHQHNLKGELDGLDDDFSIM >KGN44825 pep chromosome:ASM407v2:7:14566928:14568460:-1 gene:Csa_7G390050 transcript:KGN44825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPPLFFFFFFLISFPLYSLQTALIAPLYKHHTSLLYSISLHLKTPLRPASLYLDLGGAFSWIHCYQNYNSSSYKFVLCNTPLSNSFNQAICGSCVQAPSPICANDTIFSYAYPENPSLRDHFVDYDHPKLTDSENVITDVLALSTTGGSTSAPLRRIPEFPFACVKTNFLREVAKNVIGLAALGRSNLSIPSVISAKFSSPKYFAICLSGARSGPGVAFFGSKGPYRFSPNVDLSKSLTYTPLLFNPVSASIYTYWLPSYEYYVGLSAIRINGKVVPFNTSLLSFEPIHGRGGAKISTSTNYALLRSSIYRAFATVFMKEAVVLNFKLINAVEPFGVCYEAKSVGVTAEGQAKAPVVDLVMEKEKVVWKLGGRNTMVRIKKKGVDAWCLGFINGGEFPRTPIVIGGLQMEDHLLQFDLENFRFGFSSSALKEGTSCSKFDFTSANNTFF >KGN44517 pep chromosome:ASM407v2:7:11257533:11274855:1 gene:Csa_7G324110 transcript:KGN44517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIEDVPMDEKAKRMRDLLSSFYSPDASMSGSPTGSSNRYASPLEAINTTSFNPDQYMNILVQKSNLEGLLQRHVEMAAEIKNLDTDLQMLVYENYNKFISATDTIKRMNNNIVGMETNMEQLLEKILSVQSRSDGVNTSLFEKREHIEKLHRTRNLLRKVQFIYDLPARLGKCIKTEAYADAVRFYTGAMPIFKAYGDSSFQDCKRASEEAIAVVLKNLQEKLFSDSESIQTRAEAAVLLKQLDFPVKLLEKLEQSTLDLQLNAENLTTALVNASSKDGNSSELVYGASHEASVREFTEAVRAYRVIFADSDRQLIKLAQDLVTKHFDSTEQFIKKQICAADLLLVFGIIWTDVLLFGEVLNDAGLLDYSLKAAQVAVKQYVTFTFSRLLQDISDALTQVHTRKKEGVQEYSLQLELEASKKAVLQGSMDVLLVIYENCLNSDSL >KGN44025 pep chromosome:ASM407v2:7:5809045:5809332:-1 gene:Csa_7G111310 transcript:KGN44025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLDKEVVFQRLIEETVQDSFCGKSAVIERKAISIPKSEVTVYAIVTNIIRYTKSLKTPAIDSLSNGDVKRQRESVNGSATSRKRSKRQKRKYRH >KGN44364 pep chromosome:ASM407v2:7:9605526:9606162:-1 gene:Csa_7G270580 transcript:KGN44364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERNSRIFNLFYGIIVVCSFDRTDPISLKVDYAEWELYPQVTALCIGNAKYFGGGMKIVPNADPSNRSLEVVILQDFKWYDFILNLHKIYNGTYLTVKNVTSRSFMLETCGSRGEETIIELDLHGAL >KGN43211 pep chromosome:ASM407v2:7:534428:534970:1 gene:Csa_7G009070 transcript:KGN43211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLIHLLKRSQGVSSIPKGYCAVYVGESQKKRFIIPISYLNQPCFQELLSQTEEEFGYHHPMGGLTIHCKDAIFTNLISRLNDL >KGN43282 pep chromosome:ASM407v2:7:990671:999083:-1 gene:Csa_7G017160 transcript:KGN43282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMEDNESCGSRAYDLLSPAQSRQQRQKFEVYNEVLRRLKDSNNEEAIQPGFDDELWVHFNRLPTRYALDVNVERAEDVLMHKRLLQFAHDPANRPAIEVRLVQVQAVSDEHSADFADSCPVKDTDHNSSNCLSRQSMHPPPAFGSSPNLEALALEANNTQDLEVDQSVHARTQFFRPMHEITFSTDDKPKLLSQETERLKTALESEVLLVERRGWPNQKSSSPVGELDITAKCESDRVEIPTDGTDVWEINPRHLKFEHKVASGSYGDLYKGTYCSQEVAIKVLKTERVNTDMQSEFAQEVYIMRKVRHKNVVQFIGACTKPPSLCIVTEFMSGGSVYDYLHKQKGTFRLPSLLKVAIDVSKGMNYLHQNNIIHRDLKAANLLMDENEVVKVADFGVARVKAQSGVMTAETGTYRWMAPEVIEHKPYDHKADVFSFGIVLWELLTGKLPYEFLTPLQAAVGVVQKGLRPTMPKHTNPKLADLLEKCWQQDPSCRPDFCEIIDILLQITKEVAEEGEDRRKEKSGGFLSVLRRNHH >KGN44024 pep chromosome:ASM407v2:7:5806649:5807269:-1 gene:Csa_7G111300 transcript:KGN44024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFPHHMAFLSNFSASINSKYSNFLQTHHKKNPFFFTSPFKFNMFPAKLLALVVVFNLNTAVSATDDAAMPTPISCDNCTICQSTCSPPPSEPLPLPLSPPPPSPYAEPEPLPFPVAPANCPPTIVQCCQFLPPPPPPPQATAVNGNVYTPIYNSSSSFLRVGLGSALAPVFGLLAIF >KGN44143 pep chromosome:ASM407v2:7:7319105:7322304:1 gene:Csa_7G206940 transcript:KGN44143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTKVQRIMTQPINLIFRFLQSKARIQIWLFEQKDLRIEGRIIGFDEYMNLVLDDAEEVNVKKKSRKTLGRILLKGDNITLMMNSGK >KGN44831 pep chromosome:ASM407v2:7:14593461:14598531:1 gene:Csa_7G390100 transcript:KGN44831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METTVIIVGAGPSGLSTAACLSKASIPYKLLEREDCSASLWRKYAYDRLCLHLPKKSSELAFMEIPDPFPNYLTKKMFVEYIDSYISKFGIEPMFWRNVEGAELDRELKKWKVRVRVRNNNKNKSINGEEGEMEEYVGRYLVVATGETAEAYMPEVEGMEKFGGGVMHSKMYKSGKGYEGKKVLVVGSGNSGMEIAYDLVNHSAATSLLVRSPIHILTRRLINLQVFLGKYLPLGFLDSLMVFLSKMVFGDLTKYGMKRPNKGPIYMKRHHGKFPIIDAGTCNKIKSGEIQVISSEIAKVESKKNVIFKDGKMVSFDDIIFCTGFTSSANSWLKDDGSLLNDDGLSKVNQPNHWKGSNGLYCVGLSKRGLFGSKFEAQEVAKDIAAQLQCI >KGN44639 pep chromosome:ASM407v2:7:12685102:12691720:1 gene:Csa_7G353010 transcript:KGN44639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGDIGASLPPGFRFYPSDEELVCYYLYKKITNEEEALKGTLVEIDLHTCEPWQLPEVAKVNGKEWYFFSFRDRKYGRGERANRATIHGYWKATGKDRIVINPNLGGEVMGMRKTLVFYRNRAPYGIKTSWIMHEFRIQNPRFPPKEDWVLCKVFHKSRCNNETTMDITEINRVSKTVPLASASSSLCSPSSYIQFTPAVDTNKLPWKNNIISNNVEEVGGGFKLNGVDHREYSTIQQMKFEIEDSIQNDFIPFDYFTA >KGN44707 pep chromosome:ASM407v2:7:13460958:13474387:1 gene:Csa_7G373500 transcript:KGN44707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSESSKAKKRRREAEIEDDGGGNTENFSLEDCLTFNDTLAALHMMRAQFPTIDKVSIQPFILQSQLYSSLKDRTEVDRELECLKREKVVRVFKLNTGQDDHAIMFLDDYLKQIDRLISRFEEGMKRGKEIFLWFKTHVIDCKLEPSIGHQELCTLLSLGGKIKDEDISLLMNAGLLNRQLIDPNVYWFAIPSIGSVLKGISQGRKELISLLKRTKYKEMMMTSLQKKRLRFSSLDMRFHIRDLIGSGYLQTVQSPTGLLVQLSKD >KGN43376 pep chromosome:ASM407v2:7:1554623:1559080:1 gene:Csa_7G029410 transcript:KGN43376 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase MASLTNQPSKKAIRSPGGSTNATSNRGSSGQTVKFARRTSSGRYVSLSREDLDMSGEISGDYINYTVHIPPTPDNQPMESSVISKAEEQYVSNSLFTGGFNSVTRAHLMDKVIDSQVTHPQMAGAKGSSCGMPACDGKVMKDDRGQDMTPCECRFRICRECHIDAAKETGLCPGCKEPYRTGDIDDDPNDYSNGTLQLKGPDGSKGGSQNMSMMKLNQGGDFDHNKWLFESKGTYGVGNAYFDDYDGEDDKFREGMLESMDKPWKPLSRTFPIPASIISPYRLLILVRLVVLGFFLHWRVQHPNEDAIWLWLMSIICEIWFAFSWILDQIPKLCPVNRATDLQVLHDKFDAPSPSNPTGRSDLPGVDMFVSTADPEKEPVLVTANTILSILAADYPVEKLACYISDDGGALLTFEAMAEAASFADLWVPFCRKHDIEPRNPESYFSLKVDPTKNKSRSDFVKDRRKIKREYDEFKVRTNGLPDSIRRRSDAFNAREEMKMWKHMKETGADAMEPIKVQKATWMADGSHWPGTWVVPSGDHSKGDHAGILQVMLKPPSHDPLMGSADEKIVDFTDVDIRLPMFVYVSREKRPGYDHNKKAGAMNALVRASAVLSNGPFILNLDCDHYIYNCKAIKEGMCFMMDRGGEDICYIQFPQRFEGIDPSDRYANHNTVFFDGNMRALDGVQGPVYVGTGCMFRRFALYGFDPPQPDKTKPKNDSAETQPLRSTDFDPDLDVNLLPKRFGNSNMLADSIPVAEFQGRPLADHSAVKYGRPPGALRLPRPPLDAPTVAEAVSVISCWYEDKTEWGERVGWIYGSVTEDVVTGYRMHNRGWHSVYCITKRDAFRGSAPINLTDRLHQVLRWATGSVEIFFSRNNALLASRRLKLLQRLAYLNVGIYPFTSIFLIVYCFLPALSLFSGQFIVQTLNVTFLIYLLIITVCLISLAILEVKWSGIGLEEWWRNEQFWLISGTSAHLAAVVQGLLKVIAGIEISFTLTSKSSGDDVEDIYADLYLVKWTSLMVPPIVIAMMNIIAMAVAFSRTIYSSVPQWSKFIGGAFFSFWVLAHLYPFAKGLMGRRGKTPTIVIVWSGLIAITLSLLWIAINPPKPSAEDAAVGAGGGFQFP >KGN44358 pep chromosome:ASM407v2:7:9534371:9535179:-1 gene:Csa_7G269040 transcript:KGN44358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDITKKNMFVFVGLLLISTTLIEITKATTRSMNYGAPQGVEIVCSPEDPRVFRLHYANDESSEYNAVDDCATLKSNLNFDGRSQNVPAQSPSQNVAPQTSQ >KGN45430 pep chromosome:ASM407v2:7:18110588:18115090:-1 gene:Csa_7G447920 transcript:KGN45430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTGIHPYHQQWPAAAAAPPPPPPQAAAAAPPPIPHPHPPQILVDNPGRHASDEVRTIFITGLPEDVKERELQNLLRWLPGYEASQVNFKGEKPMGFALFSNAQFAIAAKDALQDMVFDAESKSVLHTEMAKKNLFVKRGIVADASVYDQSKRLRTGGDYAHTGYSSPSPFHAPPPPIWGPHGYMAPPPPPYDPYGGYPVPPVPMPAPAPVPAPSSYVPVQNTKDNPPCNTLFIGNLGENVNEEELRGLFSVQPGFKQMKILRQERHTVCFIEFEDVNNATNVHHSLQGAVIPSSGSVGMRIQFSKNPFGKRKDAAYPVSAPGVNGTPQAMAYQ >KGN44295 pep chromosome:ASM407v2:7:8805717:8809710:-1 gene:Csa_7G239570 transcript:KGN44295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKLTRGHRDKLHQFMAITGTSEKVAHQALKASDWHLEGAFDVFYSQPQIKAFTDSRHLEELYNRYKDSYVDMILADGISLLCDDLQVDPQDIVMLVVSWHMKANTMCEFSKQEFIDSLERFRERIPYMRSELKDDQKFREIYNFAFGWAKEKGQKSLALDTAIGMWQLLFAEKQWLLVDHWCQFLQARHNKAISRDTWSQLLEFARTVEPTLSNYDAEGAWPYLIDEFVEYLNENGIIQSSQSNDSSQIR >KGN44203 pep chromosome:ASM407v2:7:7927336:7932736:1 gene:Csa_7G221350 transcript:KGN44203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDGSTGMHFPDHRLDRSLCQSFCHGIVEGVSGVEECDKDYLNFLNDLTYGVDSNDENVDAYLEDGDNSTSHFNWSDKKVDPVYRMFFHHLIGDGKAYKLEIPSVNGMKVYAVKYEEQEQEQSSLNRKRPGTTRILRSDSKRMKIESQPKKSPVFSFEKEFNVDCAKSIDGNSSTIPGALPRSAKHLFQSNSDSNLIDEDYKTFLNDSFYDDDHTLTYTPVDGRSIVYEDGESISDSEVLMFETDPCKQNRRSFGRKYSCFTVDVDSGKCLSLGRRTGSNFRERLMKVLKSPYDERQYDYYLDEVSRRRPQVRHRELRSRVLKAYQLDSYGKSYLHIHSELATKIQAVQYDRLRTLTLLRGFFYWLQNLSHEDAFQPWMDPSCLSVLPQS >KGN44229 pep chromosome:ASM407v2:7:8198186:8200522:1 gene:Csa_7G232530 transcript:KGN44229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEIDVVNQELTQSHHDVKLFNRWTFDDVQVNDISLVDYVGVAPAKHATYVPHTAGRYSVKRFRKAQCPIVERLTNSLMMHGRNNGKKLMAVRIIKHAMEIIHLLTDLNPVQVIVDAVVNSGPREDATRIGSAGVVRRQAVDISPLRRVNQAIYLLTTGAREAAFRNIKTIAECLADELINAAKGSSNSYAIKKKDEIERVAKANR >KGN45219 pep chromosome:ASM407v2:7:17027472:17031346:1 gene:Csa_7G432000 transcript:KGN45219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPPRIRSMNVADSDSRPVLGPTGNKARTVETRKPGVKPLKKLEKPRQEVESKDKRVPLSPPQCVTVPSVLRQQDRHQAILNLSMNASCSSDASSDSFNSRASSARGTRQRGPNLRRKQCSTVKGADKAVEKVGVESVAVVVDTVGCLESKKRCAWVTPNTDPCYAAFHDEEWGVPVHDDKKLFELLCLSGALAELTWPAILNKRHLFREIFLDFDPTAVSKLNEKKMVAPGSAATSLLSELKVRAIIENGRQMCKVIDEFGSFNVYMWNFVNHKPIISQFRYPRQVPDKTSKAEVISKDLVKRGFRSVGPTVIYTFMQVAGLTNDHLIGCFRFTECIETQTAEKGERDGGEMKLNPNEKMPEALKNLEL >KGN43418 pep chromosome:ASM407v2:7:1823539:1825653:1 gene:Csa_7G032280 transcript:KGN43418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPLYWHKVAAISGVAALGLGTYGAHGFKPKNPAYKEVWQTASLYHLVHTAALLAAPSTKNPNIFGGLLTAGILAFSGTCYTVALLEDRKYSSLAPFGGFAFIGAWASLLF >KGN44141 pep chromosome:ASM407v2:7:7284148:7284511:-1 gene:Csa_7G201930 transcript:KGN44141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGRSILLLNISTHLQPTLPYLHRQRSLNVSFFSNHLHLHLGSKRPATSHCPAFFASEKERQGTR >KGN44156 pep chromosome:ASM407v2:7:7400628:7406955:-1 gene:Csa_7G209030 transcript:KGN44156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPSLSLSPQAFRPAFSPALVVSPTLRFFRVRSMSSMSASSPLQQRQSSASSSQNLDLSIMVNGCSGKMGQAVIKAADSAGLNVVPVSFGSLEESGQTIQASGKDILVHGPLDRENVLASVFDEYPNLIVVDYTVPSAVNDNAELYCKVGVPFVMGTTGGDRDRLYKTVDDSKVYAVISPQMGKQVVAFLAAMEIMAEQFPGAFSGYSLEVDASGTAKAVISCFQNLGVSFDVDQIKLIRDPKQQIEMVGVPEEHLSGHAFHMYHLTSPDKTVSFEFQHNVCGRSIYAEGTVDAVLFLAKKIQLKSDKRIFSMIDVLREGNMR >KGN43911 pep chromosome:ASM407v2:7:4869866:4870246:1 gene:Csa_7G073470 transcript:KGN43911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIASFDIGSSGGGWICYTLQQFYNEKLVKEVLKIGVGVGVRKWAPGVGDFIRSEAVEKAIKRIMEEEGEETRNRAIEFAKEAERAIEKDGSSYLNLDDLIEELKTLAF >KGN44568 pep chromosome:ASM407v2:7:11947227:11948113:1 gene:Csa_7G336470 transcript:KGN44568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRQLEAHHYCAHLNEEVRQCLIFDSPEKDARLIGIEYIVTEDLFLTLPDDEKPLWHSHEYEVKSGTLFLPGVPGPIERLDLEKVCKTYGKVFHFWQVDRGDTLPLGIPQLMMALTRDGQLDGSLQEKVEKRYGVSFEGERKKREYMSGPVHGVHPRANGGGEGLKTELRETTMPLAASIPRVFV >KGN45250 pep chromosome:ASM407v2:7:17170353:17175789:-1 gene:Csa_7G432320 transcript:KGN45250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIVLAHSFQISIECEEDERLGLLGIKSFFLSNDNTFKNYNNPFDSWVGANCCNWDRVKCDNDDDLTSTAYVIELFLHDLLSYDPNNNNPTSLLNASLFQDLKQLKTLDLSYNTFSHFTANQGFNHFSSFDKLETLNLTGNYFENQIIPSLIGLPSMNKLVLEGNLLKGSITLLGLENLTVLDVSYNNRLNILPEMRGLEEFSSLNKLEILNLQDNNFNNSIFSSLKGFVSLKILNLDDNDLGGIIPTEDIAKLTSLEILDLSHHSYYDGAIPLQDLKKLRVLDLSYNQFNGTLPIQGFCESNSLFELNIKNNQIRDKIPECIGNFTNLKFLDVSRNQLSGEIPSTAIAKLTSIEYLSFLDNDFEGSFSFSSLANHSKLWYFMLSGSDYVGNIIQVETEDEPQWQPTFQLEILTLKNCNLNKQAAAASNVPSFLLSQNKLIYIDLAHNHLTGAFPFWLLQNNSELVHLDLSDNLLTGPLQLSTSINNLRVMEISNNLFSGQLPTNLGFLLPKVEHFNLSRNNFEGNLPLSIEQMKSLHWLDLSNNNFSGDLQISMFNYIPFLEFLLLGSNNFSGSIEDGFINTEGFSLVALDISNNMISGKIPSWIGSLKGLQYVQISKNHFAGELPVEMCSLSQLIILDVSQNQLFGKVPSCFNSSSLVFIYMQRNYLSGSIPLVLLSSASSLKILDLSYNHFSGHIPEWFKNFTSLRVLLLKENELEGPIPQQLCQVEAISMMDLSNNRLNGSIPSCFNNIMFGIIKGNQTTLTFKPPGVTTYSIGDDPNVQDCGPYDRSCPSTMLLPIIEVKVDFTTKHRSESYKGNVLNYMSGLDLSNNQLTGDIPYQIGDLVQIHALNFSNNNLVGHIPKVLSNLKQLESLDLSNNLLSGNIPPELTTLDYLSIFNVSYNNLSGMIPTAPHFTYPPSSFYGNPYLCGSYIEHKCSTPILPTDNPYEKLELEVNHGGFIDLEAFFWSFAASYIILLLGFVAVLCINPQWRQRWSYFIEDCCYFLCKAYLKCKN >KGN45560 pep chromosome:ASM407v2:7:18942297:18942859:-1 gene:Csa_7G452150 transcript:KGN45560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVIVGTPGYLDPEYYTSNRLTEKSDVYGFGVSLMEVISCRPVILDTPDRETNYIVKWVHAMVSQGDIKNIVDPRIRGAYESNSVWKAAELALACVSVDSNQRPTMNQVVIELKDCLSMELSQRSESHPMESKDSIEMMSISMVMNASHSSPMPR >KGN44489 pep chromosome:ASM407v2:7:10868096:10872494:1 gene:Csa_7G312930 transcript:KGN44489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSSAVASHLHAFRTFNLRLPDSNKSCSRRRRTTVVPVIRCDKVVGIDLGTTNSAVAAMEGGQPTIVTNAEGQRTTPSVVAYTKSGDRLVGQLAKRQAVVNPENTFFSVKRFIGRKMVEVDEEAKQVSYKVIADENGNVKLDCPAVGKQFAAEEISAQVLRKLVDDASKFLNDQVGKAVVTVPAYFNDSQRTATKDAGRIAGLEVLRIINEPTAASLAYGFDKKTNETILVFDLGGGTFDVSVLEVGDGVFEVLSTSGDTHLGGDDFDKRIVDWLAESFKRDEGIDLLKDKQALQRLTETAEKAKIELSSLTQTNISLPFITATNDGPKHIDTTLTRVKLRSPVETALKDAQLSFKNIDEVILVGGSTRIPAVQELVKKMTGKDPNMTVNPDEVVALGAAVQAGVLAGDVSDIVLLDVTPLSLGLETLGGVMTKIIPRNTTLPTSKSEVFSTAADGQTSVEINVCQGEREFVRDNKSLGRFLLDGIPPAPRGVPQIEVKFDIDANGILSVTAIDKGTGKKQDITITGASTLPSDEVDRMVKEAEKFAKEDKEKRDAIDTKNQADSVVYQTEKQLKELGDKIPSSVRDTVEAKLKELKDAISNGSIETIKVAMAALNQEVMQMGQSLYSQATASSAGTASRTQSTSSGSSGETDGDVVDADFVDSE >KGN43367 pep chromosome:ASM407v2:7:1495238:1499129:1 gene:Csa_7G027830 transcript:KGN43367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDCLRESMRKLAVWFTKTFKPIMTHDELEPIMATLGFIALEPAVNGAGISWKSYKYSAADCRTKSVSPSPPTPRLPYPRIDGLHIYTYRAFLDAVNFYLEKFDISDLFHIRGLPLYRNHDRNRKWRRMDEEGGNFVYREGTLDQTTLSLYNFHKVNNQNNKRYKKYDSITNDEENNDRSRMTEDSTEEDSICIVSLKDVIT >KGN45451 pep chromosome:ASM407v2:7:18263024:18266501:1 gene:Csa_7G448130 transcript:KGN45451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIPNLHFLFLIFTAVFFIAQSSLIDDVSSPEKRLAFFIFGDSLFDPGNNNFINTTEDFRANFTPYGESFFKTPTGRFSDGRLVPDFVAEYANLPLIPAYLDPHNKRYIHGVNFASGGGGALVETHRGFAIDIETQLRYFKKVERSIRKKLGDWRAYNLFSNSVYLFSIGGNDYIVPFEGSPIFDKYTEREYVNMVIGNATAVLEEIYKKGGRKFAFVAVPPLGCLPHIRLVKKAGGHGSCWDEPSALVRLHNKLLPGALQKLADKLQGFKYTVGDTYTMLQNRIDNPSKYGFKEEKTACCGSGKFRGIYSCGGMRGVKEFELCENPNEYLFFDSYHPNERAYEQFAKLMWSGDSQVINPYSLKQFFQYASSQP >KGN43301 pep chromosome:ASM407v2:7:1126051:1126409:1 gene:Csa_7G018830 transcript:KGN43301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLKVNGGRTAFMSRRHGTAVWEIDMMPEKAVMFQIRVISGFDGMWIRAERVVPADWKPGMIYDLGVQTDAIAKGQESCKQCDEGHW >KGN45213 pep chromosome:ASM407v2:7:16991690:16997402:1 gene:Csa_7G431940 transcript:KGN45213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIYLSSPKTEKASDDGENDRLRYGLSSMQGWRATMEDAHAACLDLDTSTSFFGVYDGHGGKAVSKFCAKYLHRQVLKHESYMAGDLGTSLQKAFLRMDEMMRGQRGWRELAILGDKMDKISGMIEGFIWSPKGNEANNQVDDWPPEEGPHSDFHGPNAGSTACVAIIRNNQLVVANAGDSRCVISRKGQAYNLSKDHKPDLEVEKDRILKAGGFIRVGRVNGSLNLARAIGDMEFKQNKSMPAEKQIVTANPDITTVELCEDDEFLVLACDGIWDCMSSQQLVDYIGDQLKSESRLSVICERVFDRCLAPTAGGEGCDNMTMILVQFKKPFSNPENLNAASTSAEEPPSSFNQTTSDPSESASKTE >KGN44657 pep chromosome:ASM407v2:7:12953016:12957586:1 gene:Csa_7G368100 transcript:KGN44657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQQPTVFTPITFSKYSNRVLLKTLLDTTDGGLSFVGQKFVVGGWVKSSKEVIRDAPPAPAPADSLPPKVNKDVSCIEIIQSRIPIVRSLLKMLYGNSLHDGEKLETPVHKPPLPSTAFLAINDGSCVASLQVVVESSLHHPTHLLPTGTCILVEGVLKQVPVPGKHVVRLEVEKILHVGKVEQEKYPLSQKKLPMDFLRKFSYFRPRTTTVASVTRIRSALTFANHTFFQNNSFLYVQLPTITTTDSEGFSEKFHVTTLAGKGKRVEPTEDKEPDGLSLETVKAAITEKSNLVKELERSESNREALVTAVQDLKKTNELALQLEAREKSKSKSRKDKVIPGNFFPQETYLTVSGQLHLETYACALGNVYSCGPRFRADKIESAKHAAEMWMVEVEIAFAELKDAMNCADDLVKFLSKWVLEHCMDDMKFVQKRIDKNSIHRLESIISCSFEKVSYTTAIEILEKATNQKSPHLKKLKFGAALTDDHLSYLADTIFKKPVIVCNYPKETKPFNVRLNDDGKTVAAFDVVLPKGGVVISGSQKEERLDVLMTRMREMGLPREQYEWYLEIQRHGIVKHSGFSLAFDRFVLFTTGLTDIKDVIPFPRSFGKVSN >KGN45505 pep chromosome:ASM407v2:7:18625526:18627083:-1 gene:Csa_7G450630 transcript:KGN45505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLGAAEEESPQEIHIPAEIDWQMLDKSKFFFLGAALFSGVSASLYPMVVLKTRQQVSHSQLSCIRTAFSLVRHEGFRALYRGFGTSLMGTIPARALYMGALEITKSYVGTATIRVGFPETSAATIANAAAGLSAAMAAQLVWTPVDVVSQRLMVQPRYNNPNSSSTHYINGIDAFRKILKADGLRGLYRGFGISILTYAPSNAVWWASYYVAQRLVWGGIGCCYHAKKVQVDEDNENGGYSNNVYKPDSKTVIAVQGASAAMAGSISALITMPLDTIKTRLQVLDGDENGRRGPTIGQTLRNLVREGGWTACYRGLAPRCASMSMSATTMITTYEFLKRLSTKNQESLTS >KGN44805 pep chromosome:ASM407v2:7:14403259:14407817:-1 gene:Csa_7G388380 transcript:KGN44805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKLPSSWIVAPCNERFSNPSSSYISVANPRSYNFVPPGEDLNLTRRFGLLGKLVRFELKSPKEFLNSCGGLVSLFWNNPVFYVTSHYWVSGVITKEEEFFLFELMDRNFIAMRIHGSPLQFQNLLISSWLHSSPQFSNKFQNTTRFLFFPIRRSSFKVQLDPRCPSDSIGISMSKGQFGCEFKNVVHNFPYRFNFEHQKTEDAKGNQVCWSSKKKLKYYSSMLRECASKRSLGVAKAIHGLIVKDVINPDSHLWVSLVNVYAKCRYSAYARLVLAKMPDRDVVSWTALIQGLVAEGFANDSIYLFQEMQNEGIMPNEFTLATGLKACSLCMALDLGKQMHAQAFKLGLLLDLFVGSALVDLYAKCGEIELASKMFIGMPEQNDVTWNVLLNGYAQRGDVTGVLKLFCSMMELDVKCNEFTLTTVLKGCANSKNLKQGQVIHSLIIKCGYEGNEFIGCGLVDMYSKCGLAIDAIGVFKTIKKPDIVVWSALITCLDQQGQSEESIKLFHLMRLGDTLPNQYTICSLLSAATNTGNLQYGQSIHACVWKYGFETDVAVSNALVTMYMKNGCVHDGTKLYESMVDRDLISWNAYLSGLHDCGMYDRPLTIFYHMLEEGFIPNMYTFISILGSCSCLFDVHYGRQVHAHIIKNQLDDNNFVCTALIDMYAKCMYLEDADVAFNRLSVRDLFTWTVIITNYAQTNQGEKALNYFRQMQQEGVKPNEFTLAGCLSGCSSLASLEGGQQLHSMVFKSGHVSDMFVGSALVDMYAKCGCMEEAEALFEALIRRDTIAWNTIICGYAQNGQGNKALTAFRMMLDEGISPDGVTFTGILSACSHQGLVEEGKEHFNSMYRDFGISPTVDHCACMVDILGRVGKFDELEDFIQKMQLSQNALIWETVLGASKMHNNLVLGEKAANKLFELQPEEESSYILLSNIFATEGRWDDVKRVRSLMSSKGVKKEPGCSWVEANGQVHTFVSHDYSHPQIQEIHLKLDELDRELASIQYVPKTEYVLHNVGETEKKENLRFHSERLALGFALISTSSEKKIRIFKNLRICRDCHDVMKHISSITNQEIVVRDVRRFHHFKNGACSCNDFW >KGN43691 pep chromosome:ASM407v2:7:3483467:3486135:-1 gene:Csa_7G058650 transcript:KGN43691 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyltransferase MLNLAKLFHHKGFHITFVNTEYNHRCLLRTRGPNSLDGLPDFHFRAIPDGLPSCDSKATQGRNIPSLFYSTSRNCLAPLCSVISEINSSGGVIPPVSCIVGDGLMTFTVFAARQFGIPIATFWTASACGCLGYMQYAKLVEHGLLPFKDDNFMTNGDLETTIEWIPPVQKEISLKYIPSSIRTTDKNNPVLNFFIQQFEILPKANVIIMNTFDSLEHQVLEALSSRLPPIYPIGPINLLVAESIQDAKLKDIGLDLWDVQSECMKWLDSQQPNSVVYVSFGSVNVMSPQHLVEFAWGLANSEKPFLWIVRPNLVGGETISLPAEFAAETEGRGMFVKWCNQEEVLKHPSVGGFLTHSGWNSTMESIVGGVAMLSWPCFAEQPMNSYYCKTEWGNGLEIGSDVKREEVEKLVRVLMGDGEKGEDIRRNAREWKTKAEEACKFGGSSSTNLDRLISEMLIEINSHAKVSF >KGN44155 pep chromosome:ASM407v2:7:7396127:7399068:-1 gene:Csa_7G209020 transcript:KGN44155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIIGKAENGHVDHNIAVTKLAPYFFFTFFFFFFLTNWKGTLGWNSLTNFHNSNKKMLLLPSPPSSYPSSSSLPPISDSKCLPIFHLPTTLSPRRIFHIRSFATNRTTALDSFTQRSGYLFDLTAYESESLAEYSASKIAAIYRRKPLLVLRRLLQVGSTFGRWFGLRLIDDLRERSDLMFEVRAAELRNILVELGPAYIKIAQAVSSRAVSF >KGN44315 pep chromosome:ASM407v2:7:9097924:9101738:1 gene:Csa_7G253210 transcript:KGN44315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVKDIKTRDHTLTPARLFRGLLCLLVLLLTTFMILVYYGFISAIFVRVFSIHYSRKATAFFFGSWLALWPFLFEKINKTKVIFSGEVVPARERVLLIANHRTEVDWMYLWDLAIRKGQLGYIKYILKSSLMKLPVFGWAFHILEFISVERKWEADESTMHQMLSTFKDYHDPLWLALFPEGTDFTEQKCIRSQKHAAEKGLPILKNVLLPKTKGFHMCVQDLRQCLDAVYDVTIGYKHQCPSLLDNVFGLEPSEVHIHIQRIPLHHIPTTENQVTNWLMNTFSRKNQLLDKFYSQGHFPHERTEGDLSTLNFLVSIITVILLTTISTYFTFFSSIWFKIYVLLACSFLAYITHFNIRPTPFFGHKKSY >KGN43599 pep chromosome:ASM407v2:7:2868018:2871476:-1 gene:Csa_7G047340 transcript:KGN43599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCVSTSSHSTCSSQSNGESVSSSCMGIGFVCRKRTKRTFSDHVYALQNLPSAPNRIFMNGKSRSSCIFTQQGRKGINQDAMVVWEDFMSEDTIFCGVFDGHGPYGHLVARKVRDTLPIKLLSFFQSPQLKQNDSGKTCFQRNLKTPPDCEDSEKDGFVEDSQNSVWKDAFLNSYKAMDKELRSHPNLDCFCSGSTAVTIVKQGSNLFMGYIGDSRAILASKDANDSTVAVQLTVDLKPDLPREAERIKRCKGRVFALQDEPEVPRVWLPFDDAPGLAMARAFGDFCLKEYGVISIPEFSHRTLTDADQFIVLASDGVWDVLSNEEVVDIVSSAPTRASAARSVVDSAAREWKLKYPTSKMDDCAVVCLFLDRRMDSESDCDEQAFSSATLRSNHSGTAIESDDGQRSEPCLQRNNTVRSSEGSKGLPVDDMEIGDAVPAEDQNWLGLEGVTRVNSLVQLPRFSEERPVS >KGN44525 pep chromosome:ASM407v2:7:11350629:11351162:-1 gene:Csa_7G325170 transcript:KGN44525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQTAKLKVARLARASARVLRLTAHIRLTQERLGAHSSRTAYTELACVRLTQKWLGCARLAYGLREAGSVKGKMARLLEKVARTEDSHDRLKLVIRARLGCVRRETFGSDEWRRPKTSDGEERGADDWRKLDGTGSRW >KGN43460 pep chromosome:ASM407v2:7:2050310:2057165:-1 gene:Csa_7G037630 transcript:KGN43460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAVPKENIEVIAQCVGINNLSPDVALAVAPDVEYRLREIMQEAIKCMRHSKRTTLTADDVDGALNLRNVEPMYGFASGGPLRFKRAMGHRDLFYLEDKDLEFKDVIDAPLPKAPLDAAVFCHWLAIEGVQPAIPENAPVEVILPPSDTKSSEQKDEVPVDIKLPVKHILSKELQLYFDKITELVVSRSSTDLFKKALVSLATDSGLHPLVPYFTCYIADEVARGLGDYSLLFALMRVVWSLLQNPHIHIEPYLHQMMPSVVTCLVAKRLGSRFSDNHWELRDFTAKVVALICKRFGHVYNTLQTKLTKTLLNAFLDPKRSLTQHYGAIRGLAALGMNVVHLLILPNLEPYLGLLEPEMLLANQKNEMKRHEAWHVYGALLRAVGQCIYERIKIFPPLLSTPAGSVLRTNARTITTTFPNKRKANADYLEGQPPLKRMSIDGPMGVMLTNSSASHMEGTVVPAASGNSNLVSPTSSGQMQNEAISSGSTSRKGKHDDQILKKSAVLSQVWKEDLNSGKLLTSMLDLFGESMFCFIPAPELSLFL >KGN43922 pep chromosome:ASM407v2:7:4914920:4915189:-1 gene:Csa_7G073580 transcript:KGN43922 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homoserine kinase MPNSNFKPGTDTWMLEKTCFNLIEEMVRQTINNHFDDTEVKDPSKQPLEYRRIIYTTYSSTLLSVSYLHTGTRTSLSTATNLSLNLKSD >KGN44120 pep chromosome:ASM407v2:7:7049130:7049543:1 gene:Csa_7G195290 transcript:KGN44120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTWLSVVHVESSNNDFSDNGSAFNMMSWDYDVDVNVEAFEVPEVDCVYTVTSWLASYAEPICHVYEWKTTEGFIDKVILPLRIVGQVDCCKKV >KGN45333 pep chromosome:ASM407v2:7:17547085:17547754:-1 gene:Csa_7G440570 transcript:KGN45333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAISSSYCCNFGEEFNSNLKKEQRFGDSNRGNLQLKNHPKFCLEGLRTSNIIAKSSIASEVRKINSYESRNLDITHGNLTMGELLNDGLVYQRHFLVKSYESGPDKNMTMFFIINQLQESKLNHLKSCGLVTTGLGTTPGMTKRGLIWVVHKLQVVVDQYPSW >KGN44562 pep chromosome:ASM407v2:7:11850676:11850906:-1 gene:Csa_7G334420 transcript:KGN44562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICTRLNCAWWETLESDELRWLTTRDGGECCVDEDETNGSRMENLSLTGVRQTLRLRGRPERDATKTMKMERLRGR >KGN45152 pep chromosome:ASM407v2:7:16559668:16561821:1 gene:Csa_7G428890 transcript:KGN45152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLYSTNFKFSNDEMKKYPDFMDCDSTNNHHSQQEHNNSGGLMRYCSAPTSLFASLVDGTEGFNSSNNGGGTREDYRFIRSSSPEVEVILSRFMASCNGKFDSGSGERTVKEETGEPVQQQNGFCNQPSSMVNTRSVDAGGRAPVGNSYGVMNSSDFDNSMQSQLGARNCSNLFRQSSSPAGFFSHLIAENGNTTREVDKFGTCNRKDVDAAYPSTRIGSQMNLSGHSFGSNHMPPIAEEENKSIGIVGSSRHRQKANSGDRLQTDDFLNSSWDNSAMRDTKRGRDNNGRAFATSIVLETQNADSGNNIRGLAHHLSLPISFNKDPVEKFLRFQEPVPHQIRAKRGCATHPRSIAERMRRTRISERIKKLQELFPDMDKQTSTADMLELAVEYIKGLQRQVKTLTDTKAKCTCSCSSQ >KGN45507 pep chromosome:ASM407v2:7:18659656:18663549:-1 gene:Csa_7G450650 transcript:KGN45507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIDGSFKLESAVERFLSRCPSLTHQSPFETIIRKGYGVTEEEVLSLLAELFLNPNYSVCLLGCFRPVAQKIVDRVIGLLRHVPNLRSNSTYSEIHEKELDGVVNIISFYDQRGRGVDLHELACLAFCRALDLAPFLLRTILNYFKFAPCPFHRIVVKGASSEVYNKGGLLAVRLSYRLLLVEPETFTQLWDWSCFLDFMKQLPNIDLDNARFLLLPDIRWCGIQILSILLNLGYEATTNLLSGSEETLACLLRWEEFCQDVSMEKAGSYAELFVKESVSQGMKTDFDSENCLLANSSFCGPAILSNHDEIERPIRSQRLATWGSPKAENSFFMTSSIKKGYEMIMLALSQKWPVLLYGPPGAGKSALINKIASDSHNQGSNCFYGSVDMISL >KGN44663 pep chromosome:ASM407v2:7:13030815:13031609:-1 gene:Csa_7G368155 transcript:KGN44663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFSTFFLFLTLISSSLSISHSLTCSSQSFPNRTFTNCQDLPYLHAFLHWSYNPKNSSLSIAFLAPPPTTAGWVAWAVNPTATGMAGSQAFLAAFFTKSLTVRTFNITSYNSVRPSPTLSFPFWDLASQSSDDLFAIFVTVKVPEKSSSLNQVWQVGASVDSSMGVPAVHEFKPDNLKSRGVLVFDGSVSPVGTPSPAPRPDHGGASAAPSTSVVGGVNETAAAPTPKASGLDKAGVPGIQRRNLGFVVLSWFLFAVWGIFSF >KGN44889 pep chromosome:ASM407v2:7:15014418:15014726:-1 gene:Csa_7G394580 transcript:KGN44889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLRNLASQKAVVIFSKSSCYICHSIQTLFYELGASPAVHELDHDANGREIDWALRGLGCNPSVPAVFIGGKFIGSAKDVISLHVNGDLKQMLIDAKAIWL >KGN43840 pep chromosome:ASM407v2:7:4426120:4430139:1 gene:Csa_7G070800 transcript:KGN43840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGQHSHPLKSGPAGPDDENEPVRSYIAGMNIPLGPGIRKKGTGVRPWLLLDSTGGAQVVEAGKHAIMRRTGLPARDLRILDPLLSYPSTVLGREKAIVINLEHIKAIITAQDVFVLNARDPSVTPFVDELQRRVLRHHQATKASQEGVSDDSNWRNLYDLEEPRSRTQSPPSYQGFPQAEEEEGKESMKQGLENREGLKVLPFEFVALEACLEAACSCLESEADTLELEAHPALDKLTSKISTLNLERVRQIKSRLVAITGRVQKVRDELEHLLDDDEDMAEMYLTEKMVQQQLENSSTSSIPERDDMEEDDQQLGKDDSSPTEISMDGGGISANYDGNMDASQDHLFGASHVGRDSHGTRTSTTHSAISKHLDVEELEMLLEAYFVQIDGTLNKLSTLREYVDDTEDYINIMLDDKQNHLLQMGVMLTTATLVVSAFVVVAGIFGMNIHIELFESEKAGMPEFLWTVGGGATGTIFLYVIAIAWCKHKRLLE >KGN44676 pep chromosome:ASM407v2:7:13134177:13134380:-1 gene:Csa_7G369750 transcript:KGN44676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKDIGASLPPGFRFYPSDEELVCHYLYKKIGNEEALKGTLVEIDLHTCEPWQLPGMFCNFLLQHD >KGN44361 pep chromosome:ASM407v2:7:9559796:9564978:-1 gene:Csa_7G270550 transcript:KGN44361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYKHLMIHLTFFFFFLFLLFEPTFQQQGSLRSLPAERVALLELRSSLGLRSKEWPIKSDPCLGWKGIKCQNGRVTEINIAGFRRTRIGRLNPQFSVEALGNLTFLQSFNASNFLLPGVVPEWFGQRLSLLQVLDLRSCSIFGSIPLSLGSLNNLTALYLSDNKLTGTIPSTFSQLLSLSLLDLSHNTLTGMIPSLIGSLAQLSLLDLSSNYLAGPIPPSTGGLLKLQYLNLSSNSLSSSIPTQLGGLVSLVDLDFSVNALSGPLPLDLSELTSLRNVVLASNLLVGSLTDNLFHTLTQLQSLDLKHNNFTGSIPEVLWSMPGLQLLDLSGNSFTGKLPNSSSSLNVTGAVLNVSKNMIYGNLTPVLRRFSAIDLSENYFEGKVPEYLPTNISFASNCLQNVSRQRTLDVCTSFYLARGLTFDNFGFPKATQPPLAEAPKKKSNRNAIILGSVIGGTAFIFLLVLLIFIFLRRRTRSTTNQRGGVVVGPDLSGDTAETPPGLLIDFASLGETFKLQQLLQATNDFSDSNLIKHGHSGDLYHGVLQNGIRIVIKRVDLRVIKNNAYLVELEFFSKVSNVRLVPLTGHCLENDDEKFLVYKYLPNGDLSASLFKKVKTDDDGLQSLDWITRLKIALGAAEGLSFLHHDCTPPLVHRDVQASSILLDDKFEVRLGSLSNVCSQEGDGQQSRITKLLKLPQSSEQGSLGLHTAVCTYDVYCFGKVLLELVTGKLGISASPEAEIKEWLDQTLSCISINNKELVTKILDPSLIVDEDLLEEVWAVAVVAKSCLNPKPSRRPLMKYILKALENPLKVVREENSGSGRFRSTSIGSSWNAALFGSWRQSLSDLTVLPSASLLKAGGSSFKRSGTMGSQGSGQNGGGEHSSSRRQHSKEIFPEPSDVQDIERLEND >KGN44722 pep chromosome:ASM407v2:7:13595684:13596564:1 gene:Csa_7G374650 transcript:KGN44722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVANLHRLRQIHRLPAITYSKTIFSRWSASATTSLEHSSSSSKKVSDRTIKLFAYDLEGTKKEIVGLTGQTLLKALANRGLIDPDSHRLEQIDACSAECEVRIAQDWINKLPPRSYDEEYVLKRNSRARTLNKNSRLGCQVILTPDLEGMVVVVPEPKPWDIP >KGN45445 pep chromosome:ASM407v2:7:18224413:18225079:-1 gene:Csa_7G448070 transcript:KGN45445 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyltransferase 3 MNPPTIFLLQATQSKDEHPICFPLSIRDSVLISSWFFCKEMMHKQLPSFCFASLPKVGLSLPSLQKSSSLRYICANGFSHIHETMTHIKKHIEVGINPVEVTNSKSEIAPINPVDNSTFRRNQVRLPQVTPEEIQTRLLKT >KGN44997 pep chromosome:ASM407v2:7:15613440:15614068:1 gene:Csa_7G407500 transcript:KGN44997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNKRHNTNNVGRRAWNLLRLAMIWARKGGVFKRRFLMDLRLVPKFIKSLGHSTPRGQLHYGDHELSFDETPVFHVKMHRPASLRFHFPCITPQVVDFKYEFEGNADDDDDDVSEVCSSDDERRSLSGYDGGEEREDDDDDNEDGEDQNGIDLRAEKFIAEFYEQMKMQRQISFSQYDRSNNLNKK >KGN44788 pep chromosome:ASM407v2:7:14281721:14284818:1 gene:Csa_7G387720 transcript:KGN44788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRHLCSPIHKTASRNPTPHLLLSHIIPPFFLEPSRTFIPLSLSHSFSSFSLLQLAQETSLHFIMLQEMNPLFPIKEEFPGSSSSDVDGERSAVLTPPVPMEGLHDAGPPPFLTKTFEIVDDFNTDHVISWSFSGTSFIVWDPHCFSTQLLPRFFKHNNFSSFVRQLNTYGFRKIDPDRWEFANEGFIRGQKHLLKNIKRRRTTSYHHHQTLQSQGASGACVEVGQFGVDAEMDRLKRDKQVLMMELVKLRQEQQNTRAYLQAMEQRLRGTEIKQKQMMNFLARAMKNPSFIQQLIQQKEKRKELEEAITKKRRRPIEQAGQHKCGGGSGRRFLGEGSNTIKIEPLENDEYGFGITELEALALEMQGLGKTRYEDGEEEEEEDEEDNDNLLPSEDEDKVLDEGFWEELFSERFAGISNLVGTQFCVIPVSEGLKITHTKALNQIGKDLLLNPLKNRITSCVSCTWFIIIAYKLIPTNICICLPKGIYQDKCFDLWYLWCDHRSSLFLLDL >KGN43582 pep chromosome:ASM407v2:7:2782003:2796250:-1 gene:Csa_7G046700 transcript:KGN43582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQGRPAFTVDLLERYAAKGRGVISCMAAGNDVIMLGTSKGWVTRYDFGVGDSIDFDLSVGRPGDQSIHRVFVDPGGSHCITTIVGTGGADTFYMHAKWSKPRLLARLKGLVVNTVAWNRQHITEASTKEVILGTDNGQLFELAVDEKEKKEKYVKFLFELAELPEAFMDLQMETTSILNGMRYYVMAVTPTRLYSFTGTGSLETVFSTYLERAVHFMELPGEIPNSELHFYIKQRRAIHFAWLSGAGIYHGELNFGSQRSLSNGDENFVENKALLDYSKLTENSGTVKPSSMAVSEFHFLLLIGNKVKVVNRISEQIIEELQFDQTSEAITRGILGLCSDATAGLFYAYDQNSIFQVSVNDEGRDMWKVYLDMKEYTAALANCRDSLQRDQVYLAQAEDALASRDYLRAASFYAKINYILSFEEITLKFISASEQDALRTFLLRKLDNLTKDDKCQITMISTWATELYLDKINRLLLDDDTAFDGHSTEYQSIIQEFRAFLSDSKDVLDEVTTMKLLESYGRVEELVFFAGLKEQYEIVVHHYIQQGEAKKALEVLQKPGVPAELQYKFAPELIMLDAYETVESWMITNNLNPRKLIPAMMRYSGEPHAKNETHEVIKYLEYCVHRLHNEDPGVHNLLLSLYAKQEDDSALLRFLQCKFGKGQENGPEFFYDPKYALRLCLKEKRMRACVHIYSMMAMHEEAVALALQVDTELAMAEADKVEDDEDLRKKLWLMIAKHVIELEKGTKRENIRKAIAFLKETDGLLKIEDILPFFPDFALIDDFKEAICTSLEDYNKQIDQLKQEMNDATHGADNIRKDINALAQRYAVIDRDEDCGVCKRKILTVGRDLWMTSSYTSVAHMAPFYVFPCGHGFHAQCLIAHVTRCTDEAQAEYILDLQKQITLLGGETRKDSNGSFAEDSISSMTMTPADKLRTQLDDAIAGECPFCGELMIREISLPFISSEEAQQVSSWEIRPHNLGGQRSFSLPA >KGN45533 pep chromosome:ASM407v2:7:18804267:18808532:1 gene:Csa_7G451390 transcript:KGN45533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLWDVLVVTAIFFTTIILYRNCFRLLRSKFCNQLPLGSLGWPFIGETIEFISSAYSDRPETFMDKRRRLYGKVFKSHIFGSPTIVSTDAEVSKFILQSDAKAFVPSYPKSLTELMGKSSILLINGSLQKRIHGLVGAFFKSSHLKAQITKDMECYVKLSMANWTENNPIYLQDETKNISFQVLVKILISLNPGQSMEFLKKQFQEFIAGLMALPVNIPGSRLYQSLQAKRNMVKLVKKIIQERKSCGISTVPKDIMEVLLKDENEELTDDLIAENMIDMMIPGEDSVPILMTLAVKYLSDCPAALQQLTEENLKMKGQKEQLGEALHWSDYLSMPFTQSVITETLRMGNIIIGVMRKAMKDMKIKGYLIPKGWSVLTYFRSVHLDDNHYDCPYKFNPWRWQDREMSSCNFTPFGGGQRLCPGLDLARLEASIFLHHFVTHFRWIAEEDKIINFPTVRMKKRMPVWVKRRRDP >KGN45289 pep chromosome:ASM407v2:7:17349234:17351310:1 gene:Csa_7G433190 transcript:KGN45289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGRKACQLVKELASGEKGQLTHFNSDLFEQVISECQQHHLELQSLIRKVQEEGLDLQTTKNEDHFGALIHHLALVRNKRCLMAYVHNRAEIIRSLMWKVVGSMIPPEIQEKLSNSEEEYFKKHSARLKEYMSKLELDLTVDMVPPKDPYIQVRVLDDIGEGIVLSDDKTANFALHSIHFLKRTDAEQYISRGLMEELTG >KGN44218 pep chromosome:ASM407v2:7:8079228:8079509:1 gene:Csa_7G230930 transcript:KGN44218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQPVVSYVRFKTQIASQIFKRTTSINYRFKPFRESTTSVSILQAYDEHQKKFKRTTSILQVYDETLQTYGETIVFLRFVQHASNHLKLSSNP >KGN45126 pep chromosome:ASM407v2:7:16432416:16432885:-1 gene:Csa_7G428140 transcript:KGN45126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPKAGGIVKKGQEEGLELAVALLKEFELPEGLLPLADVVEVGYVKETGYVWIVQRKKVEHEFKMVSKLVSYDTEITGFILNKRIKKLKGVKAKEFLLWPPVNDISIEDPSTGKIHFKSLAGVTKTFPIQAFAAGQ >KGN44001 pep chromosome:ASM407v2:7:5472859:5473643:-1 gene:Csa_7G089220 transcript:KGN44001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYIMLNAPTRVYKTMTYTSVEISSSLAEIQRQTVGEVRSHQLKFKVECRDAIDLSGYGMFSFFSLN >KGN44003 pep chromosome:ASM407v2:7:5515189:5515479:-1 gene:Csa_7G091720 transcript:KGN44003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPEVALQLMGFNTAKDLWEAIQDLFGVQLRAEEDFLRHTFQTTQKGNSKMEDYLHHMKTNVDNLDQAGSHVPLHSLISQVILGLDEIYNPVTAVI >KGN44213 pep chromosome:ASM407v2:7:8042344:8043484:1 gene:Csa_7G229890 transcript:KGN44213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRSAGSGRLERRSEQKLAARHKRWRGSQRIWDEQERSARIFDAIICDPPYGVRAGGRKSGGRKLLKGIVAPYTVPDDKRTDHIPSTASYSLVECVHDLLDLAAKMLVMGGRLVFFYPMLREEDCVFNQFPEHPCFTSISSSEQILSSRYSRVLLTMVKRSSYTEEMAEAARLKHEEFRENHLKWLEDGNLHSAVFSPSVNPQLGVAGNGNVSKDPKPKYRGKYV >KGN45330 pep chromosome:ASM407v2:7:17531522:17536583:1 gene:Csa_7G435550 transcript:KGN45330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQLLSPTITFPSLFCSNSNGVGFYKFHSSPYSHHVSVSSSSSFPMAKTNQSTSRLCYLQTHLPKSGNGCSWIQDNSLFHNVSASECYRGSICYSLPTKPAQVSTVEDLFEFICSGPLIEKLGLSPESVADAIDKWLAYGSYLCRLFKANEMELTIPQKARFYHYYIPVFFWCEDQISQHQSSFKEGEEIPPLVIGFSAPQGCGKTTLVYALNYLFEVTGRKSAMLSIDDFYLTAEGQAQLRERNPGNALLEFRGNAGSHDLELSVETLTAVSKLTKEGLKMKLPRYDKSAYSGRGDRADPSTWPEVEGPLTVVLFEGWMLGFKPLPTEAVTAVDPQLEIVNNNMKVYYDAWDKYIKAWIVIKINDPSCVYYWRLQAEIAMREAGKPGMTDEEVRDFVSRYLPAYKAYLPVLYSEGPSGSDPKRLLVVEIDEERNPILGY >KGN43390 pep chromosome:ASM407v2:7:1650450:1653787:1 gene:Csa_7G031020 transcript:KGN43390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLAPKVIFLLRDSEGFASALSGALRLSPPSTVTTLDECFEFSLEDYAIKDQKASGNIVHYLDDKGIYQVSVLILQNYEPPVLACALDVVLSHIAGERSPSSSKAKPTVVVPSVITSSKLKWESKTLTKNDRTVLLYGTEVGPETDISRTMGAKVKKLPSTSQIYYEQLACLYHLIHILNIPAFFVVGLTGRSLSNQAAGEEIQILNEMGELLANSLPLSFSREGIVWNPKETSKEVKEPWRALYG >KGN44320 pep chromosome:ASM407v2:7:9150951:9153566:-1 gene:Csa_7G253750 transcript:KGN44320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGRRDGPLMRNSSQGSTKSKIGTAIFIGVLIGFVFAFFFPGGIFKSSSLSIRDSRSVKFIAQTDSSSCDSSERIDMLKSEFISASEKNAQLEKQIRELTEKLKLAEQGKDHAEKQVLSLGKQSKAGPFGTVKGLRTNPPVIPDESVNPRLANILEKVAIDRELIVAVANSNVKAMLELWFTSIKKAGIPNYLVVALDDEIVQFCKKNDVPVYMRDPDEKVDSIGRTGGNHAVSGTKFRILREFLQLGYAVLLSDVDIVYLQNPFNHLYRDSDVESMTDGHDNVTAYGYNDVFEEPAMGWARFAHTMRIWVYNSGFFYIRPTIPAIELLDRVANRLSRERNSWDQAVFNEELFFPSHSNYEGLNASRRTMDFYLFMNSKVLFKTVRKDDNLKKLKPVIIHVNYHPDKFPRMKAVVDFYVNGKQDALNPFPDGSDW >KGN43956 pep chromosome:ASM407v2:7:5142305:5147993:-1 gene:Csa_7G074890 transcript:KGN43956 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding protein Nova-1 MKDHDDADELHSDTNKRKLEENIQLAKQKAQEIVAKLVSNAESKRPRFEYEPPAPAPPPQNPPLSSSTFTVSSGTQAGPYHGFQTTSKKINIPNIKVGLIIGKGGETIKYLQLQSGAKIQITRDFEADPQSLTRDVELMGTSEQVSRAEQLINEVIAEADSGGSASTTNQAINSSQPGVEQFVMKIPNNKVALVIGKGGETIKSIQSKSAARVQIIPLHLPPGDTSTERSVYINGLKEQIESAKELINEVISGKRLVSETTSYAQPTYPSTNNWSQAGQQPPLQQQQPQYGYAAGTYPPPQGPPYYSTYPAQVASWDQSNQSTVQPSDQSTGYNYYGQQSQVGSAPPQFHDYSYGQPASSGTHGYDQSYSQQAPSYGQIPPSYDQQNMYLNSGSAPSALPSSNGTSEGTYPTAAYQASTGYWTYQTTDQTQSLPQTGNDQSGSYQTVSGGHAQPPVYGQSVYPPPPGVYSAPAPPPPEMVAPTQSQPPSVETSEDGNSNSGQNLAPTVQENANSES >KGN44490 pep chromosome:ASM407v2:7:10880995:10882608:-1 gene:Csa_7G312940 transcript:KGN44490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKSRPSLMAYLLATILVATLSLPSVLAAEYVYSSPPPPYYVYKSPPPPVYSSPPPPKVKYEYKSPPPPVYHSPPPPIYHSPPPPVYHSPPPPVYHSPPPPVYKSPPPPVYYSPPPPKKYEYKSPPPPVYYSPPPIYHSPPPPVYKSPPPPKKDYEYKSPPPPKKNYEHKSPPPPKKDYEYKSPPPPKKDYEYKSPPPPKKDNAYKSPPPPKKDYEYKSPPPPKKDYEYKSPSPPKKDYEYKSPPPPKKDHKYKSPPPPKKDYEYKSPPPPKKDYEYKSPPPPKKNYEYKSPPPPKKDYIYSSPPPPPYHY >KGN44741 pep chromosome:ASM407v2:7:13731567:13732021:1 gene:Csa_7G375830 transcript:KGN44741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKRTGNEGKRSTNESRYRGIRRRPWGKFAAEIRDPSRNGARLWLGTFDTAEEAARAYDQAAYAFRGHLAILNFPNEYQSGNPNFGAGYGSSAASGSSSTTTISFGGNYSGNNASRGSGEVIEFEYLDNELLEELLQSEGEGYNRKN >KGN45237 pep chromosome:ASM407v2:7:17119027:17121038:1 gene:Csa_7G432180 transcript:KGN45237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFSSKSRLHSLPAGNSWGLNSDFDEERWVIQIRQSLDEEELEEDTGIPVCIFNVPKSLMVIDPDSYIPQEVAIGPYHHWRQELYEMERYKIAAAKRAQKQLQSLKFHDLVEKLTKHEQKTRACYHKYLNFNSETFAWMMAVDASFLLEVLRVYTREETSISSVSSKLSYLVDYEGRKSAHNAILRDIVMLENQIPLFVLRKMLELQFSAVEPADQLLLSMLLGLYEHLSPFKVMEDLVELQVSVSECFHLLDFLYRIITPKLADTLETMEDDQNQQEPAIEIVESTFKHPCSPLSSLGSEIWKILSKLNKGPVHLFKRIAGSRPLLVIFKLPWTIVSNIPGIGILMKPLEYIFSLKKGEEENDPEKGGSSRKDGKIRLPLLEEITIPSVSELTKSGVLFLPIGGGVSAIAFDSKAVIFNLPTIKLDVNSEVVLRNLVAYEASKSSGPLVFTRFIELMNGIIDSEEDVKLLREKGIILNHLKSDAEVAELWNGMSKSIKLTKVPFLDKFIAALGSSIISALPGPSLQWFVEPFVLPSRSAFASLKALKEGNGGRLSALLVFGLGLHKNFVGNLFSLIV >KGN45151 pep chromosome:ASM407v2:7:16559168:16559585:1 gene:Csa_7G428880 transcript:KGN45151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTILVNENMMSPSRFPLNVLAFLVALKDIATFFFLFFFKPSTDSGASSVYEFINQFASVFLSLNSFLYLWNCLLFESFKFMRIGVWFC >KGN44464 pep chromosome:ASM407v2:7:10446920:10447548:-1 gene:Csa_7G298800 transcript:KGN44464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHLIKPPPTMSIVPLEQNEITGPVLSAQTLRSNECYFFLQLPAPPLAKKFQHPHLLVPLLSTPATVLLNLSFSVRQPLFHGGSGSRFKLRQHSLRTPFSSGPHQLPSSSQRQLIMVGSLTINYSTSREADAQSVVDDTLFKGIGVPSENG >KGN43896 pep chromosome:ASM407v2:7:4781192:4784980:1 gene:Csa_7G072830 transcript:KGN43896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAVISRLRKRLFQNREVRILMLGLDASGKTTILYKLKLGEIVMTVPTIGFNVETVEYKNMSCSVWDVGGQDKIRPLWRHYFQNTQGLVFVVDSVDRGRICEARNELHRILSEAELRNAAVLVFANKQDLPHSMTVSEITTKLGLHTLSQRRWYIQGTSATSGQGLYEGFDWLCNNIWLCNSITNP >KGN43867 pep chromosome:ASM407v2:7:4634837:4636887:1 gene:Csa_7G071560 transcript:KGN43867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPRALLCFTLISIAFPLARAQSPSSPPTATPSPPTTSTPPPVSSPPPAATPPPASPPPASPPPASPPPATPPPASPPPASPPPASPPPASPPPATPPPASPPPPATPPPAPLASPPTAVPAPAPSKKKVKAAAPSPSPVSSPPAPSVEAPGPAGPDQSPTPSQNDNSGVEKVWRKESMVGSIVIGMGYVFLML >KGN44983 pep chromosome:ASM407v2:7:15532903:15534235:-1 gene:Csa_7G405890 transcript:KGN44983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDAYSYGLSDAAAARSSKSQSHFYFDYEEVDGDDDLNSEYPCPFCPEEFDLVELCCHIDDEHPVEANFGICPICSTSVGENMVGHITMQHGDVFNISFSFIV >KGN45170 pep chromosome:ASM407v2:7:16691589:16693880:-1 gene:Csa_7G429560 transcript:KGN45170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQRRGSDQQPGNSEVIIPPSLDEIAPILCVADEVEASNPRIAYLCRFYAFEKAHGLDPRSIERGVRQFKPALLQRLERENETTLAERQKSDACEMKNFYRHYYTKYIKALNEADKADRAQQPEVYKTAAILFEVLKAMNQTEAIDVADEILEARNMVEEKQQMYRPFNILPLDSNSQNKINTKIPEKILGEREE >KGN43253 pep chromosome:ASM407v2:7:749220:749470:1 gene:Csa_7G012420 transcript:KGN43253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMFATCRHGDLSLYLRGCGGMVRTDQTGSWAPVFGAGAHALCGVGPVFSD >KGN45125 pep chromosome:ASM407v2:7:16427189:16430567:-1 gene:Csa_7G428130 transcript:KGN45125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPSEPENVDQQVADGAKYLLQSTKFSEPWWHGVGNNTIAGEDAAKTSSAEYLNVTVASGATQPQANDENIGKEVQHLKYIPFSTSPPVGEHLDLNSQMELVGHSIVLTSYPFSDAQYCQMLTSYGPQATLPRIYGLHHARMPLPLEMEEEPVYVNAKQYHGILRRRQSRAKAELEKKVIKSRKPYLHESRHLHAMRRARGSGGRFLNTKKPNNVMSNTNREEDIDSVANHSTKPVSEAVSKYMVTNEKGIKNTLDEQSREFMTQNMQITHAFFNGKSNVHGLSTYSSQLGDVEGGHLDQPHESMQVNGAPQRAIPIK >KGN45297 pep chromosome:ASM407v2:7:17376152:17376340:-1 gene:Csa_7G433270 transcript:KGN45297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEYLVGVGAPPKEMHTREYTSSICRGGVEESVQTNDQTSSNRSAEKLVRQTDRTEPNRTEP >KGN45347 pep chromosome:ASM407v2:7:17624565:17625965:-1 gene:Csa_7G446650 transcript:KGN45347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDAENATSTKKRAAGRELSRDNPGLDDDEDVSEQETGTFKRASEEVLATRRIVKVRRGSTASAPSSNPFAGIRLVPPTENSGSVAEVRRDTEAAGEKAGSDEANGKDIPHEMTQKDGDHSDDPVQSKIDTSEAKSVPKVQPVDQNSTVSSESAISKVDDNLVSESNKIENEEPVGGDKTGNEELVRDAEKESENDECASGNKNEDADPERGDKNESEEPSEGNEIQNKETGELEKTESEENKEDKSEGEPSKEAAPLNSFQQLSSSQNAFTGLAGTGFSTSTFSFGNIPKDGVGLTTSFGLSNNGSSALFGTSGSSIVSKSEKSGFPSMQEVAVETGEENEKVVFNADSILFEFIDGSWKERGKGELKVNVPTSGIGRGRILMRARGNYRLILNASLYPDMKLTNMDKRGITFACMNSTNDGKVGLSTLGVKFKDVSIVEEFRAAVTEHKGKASSTVLKTPENSP >KGN43375 pep chromosome:ASM407v2:7:1551722:1552483:1 gene:Csa_7G029400 transcript:KGN43375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLNSAVHGASSRNANQFLGSDLQLQNFSQTHLPDPNSADSTTPTTGGSSSRRPRGRPAGSKNKPKPPVIVTRDSPNSLRSHVLEVSPGSDVVESISTYVTRRRYGVCILGGTGAVTNVNLRQPMSPSGSVMTLHGTFEIVSLTGTALPPSGAGGLTIYLADRQRQGHVVGGSVVGPLRASSPVTLMVASFTNAVYDRLPVEEAEPPVQAQASASPSSDITGKSF >KGN43845 pep chromosome:ASM407v2:7:4466004:4468404:-1 gene:Csa_7G071340 transcript:KGN43845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFSGTTQKCMACEKTVYLVDKLTADNRVFHKACFRCYHCKGTLKLSNYCSFEGVLYCRPHYDQLFKRTGSLDKSFEGTPKVMRSEKPSENENAKSVSNMFGGTRDKCSGCTKTVYLIEKVTVNGNAYHKSCFKCSHGGCTISPSSYIAHEGKLYCKHHHIQLFMEKGNYSQLETERQKNNSAIAAAIKLTTPMEIAAES >KGN44702 pep chromosome:ASM407v2:7:13399356:13402441:1 gene:Csa_7G372960 transcript:KGN44702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVNGDGDVSSFSCSSDMEKFLCDRLNDPSFPIAERFRALFSLRNLKGPAPRNALILATRDSSNLLAHEAAFALGQMQDADAIPALVAVLNDLSLHPIVRHEAAEALGAIGLESNIPFLEKSLALDPAQEVKETCELALRRIEQLKDSGNEDESSKVEKSPFLSVDPAAPASSSASVGQLREILLDEDKDMYERYAALFTLRNNGNDEALTAIIDSLSSTSALLKHEVAYVLGQLQKKAASDALSDILEDVKEHPMVRHEAAEALGSIADEKSIALLKEFSKDPEPIVSQSCEVALSMLEYERLGKSFEFLFMQSPQVQ >KGN44922 pep chromosome:ASM407v2:7:15211484:15212816:-1 gene:Csa_7G396380 transcript:KGN44922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVIGNCFGTPRLRRIHRTLRNFKLTVLCLFLTVVVLRGTIGPVQFGTPDLRNRLLVEHQTKSFSGRTEKPYRLAPQVSDWDERRRKWLKDNRGFPNFIRPGKPRVLLVTGSSPEKCENPIGDHYLLKSIKNKIDYCRLHGIEIFYNLAILDEEMTGFWGKLPLIRKLLLSHPEVEFIWWMDSDAMFTDMSFEIPWDKYKDFNFIMHGWKEMVYDQRSWIGLNTGSFLMRNCQWSLDILDAWAPMGPKGKTRDEAGKILTNELKDRPTFEADDQSAMVYLLATQREKWGSKIYLENSYNLHGYWGILVDKFEETVRENWPLVTHFVGCKPCGKAGDYPVEKCLKAMERAFNFGDNQILEMYGFAHKSLMSRRIVKIRDVIENEDGLIQPVLSPAVEVVEPPSSKATVSLNR >KGN45158 pep chromosome:ASM407v2:7:16589816:16592177:-1 gene:Csa_7G428950 transcript:KGN45158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKNKPNPHKKHEDFALKETSPNINGGKSSVGISTAFDLVEQMLFLYVKVERARDLTETCDPYVEIKLGNYRGTTKAFEKTPNPEWGTVFAFVKDRIQTTDVEISLFNKSGANAEIGSIVMSIADVPLRIPPDSQLASQWYKLENRNSNGSRVRGELMLSVWMGTQADNHYSIAWHSDAASVSGDGVINTQSKVYQSPRLWYLRVNIIEAQDLVINDKNRKPEVLIEARLGIIQMISRISESKNLNPVWNQDMLLVAAEPFEKNLELRVVDKIGPNQIDVLGVCYIPLEKIEVRNDSSSVENRWYNLERPNGFKAGDEAKEVKFASKLHLRVSLDGGYHVLHEQIQYASDLRATSKLLWPKCIGVLELGILSASGLSPMKQRENQTDAFCVAKYGPKWVRTRTITNTSAPKWNEQYIFEVYDPCTVLTIGVFDNGYLQGGDIGKDSRIGKVRIRLSTLETNRVYTHSYPLVALQACGVKKMGEIQLAVRFSCLSFINMLQTYAQPMLPEMHYTLPLSIYQIEHLRDQCFNILSDRLTRAEPKLRREVIYYILDADSHLWSIRKSKANFNRIAALFKWLVLFCKWFGCVQSWTNPTLTVAVHIMFILVVFFPKLIFPTIFFYGFLMGVWRYRYRPRHPPHMDTELSYAYAVTPDDLEEEFDTFPSRANGGALRRRYDKLRYIGGRMQVLMGDLATQGERIEGVLSWRDPRATALFMMFCLVAAVGMYVIPFNVLILLMGLYAMRHPIFRITLPSFPQNFLRRMPARIDSLL >KGN45193 pep chromosome:ASM407v2:7:16829770:16836416:1 gene:Csa_7G430770 transcript:KGN45193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSISGLSLPSILRYKSSILPRKPRFPSFSVRSSNDVSGEIGSGGDGSESAKRPKRLSEQSSWEAKDSEGKDYLYRLGSEADNMNIAVGARAGVIDDVFAGNFLGKDSDIVFDYRQKVTRTFEHIQGDYYIAPTFMDKVVCHLVKNFIVHLLDVKVPLILGVWGGKGQGKSFQTELIFQAMGVEPVIMSAGELESERAGEPGKLIRERYRTASQVVQNQGKMSCLMINDIDAGIGRFGQTQVTVNNQIVSGTLMNLADNPTRVSIGQDWREADILHRIPIILTGNDFSTIYAPLIRDGRMEKFYWQPNREDIVNIVHRMYEKDGISRAQVVDIVNTFPNQALDFYGALRSRTYDSAISKWVDDIGGVEKLGEKLLRRRKSEKLPTFTPPEQTLEALLKAGYSLVKEQQLIMETKLSKEYMKNMDD >KGN43597 pep chromosome:ASM407v2:7:2862679:2864770:-1 gene:Csa_7G047320 transcript:KGN43597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRALLRPSFRCSSSRPLHLLDPKSYSLYGNGKLSSKGSDYGFSQNFRFVFTNTLLPPPEWIEPFVDVSDVISSSQPLDPSPWVAQILNLLDGSSNMEHNLDSFCRKFFVKLSPNFVTFVLQSVELREKPEVAVRFFFWAGKQKKYVHKIECHVSLIELLTFSADLVKIRLVFFELKDRGLLMTESAANSLIKSFGNLGLVEELLWVWRRMKENGIDPSLYTYNFLVNGLVNSMFIESAEKVFEVMDGGKIVPDTVTYNIMIKGYCKAGKLQKAMEKFRDMEMKNVKPDKITYMTLIQACYSERDFDTCLSLYLEMEERGLEIPPHSYSLVIGGLCKQRKCMEAYAVFETMNQKGCRANVAIYTALIDSYSKNGSMEEAMRLFERMKNEGFEPDAVTYSVLVNGLCKSGRLDDGMELFDFCRNKGVAINAMFYASLIDGLGKAGRIEDAENLFEEMSEKGCARDSYCYNAIIDALAKHGKIDQALALFGRMEEEGCDQTVYTFTILIDGLFKEHKNEEAIKFWDKMIDKGITPTVASFRALAIGLCLCGKVARACKILDDLAPMGIIPETAFEDMINTLCKAQRIKEACKLADGIVDRGREIPGRIRTVLINALRKAGNSDLVIKLMHSKIGIGYDRMGSIKRRVKFRTLLEN >KGN44245 pep chromosome:ASM407v2:7:8313454:8316861:-1 gene:Csa_7G234660 transcript:KGN44245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTFRSSRDFPDMHGLIMHTYNSESADSQVDHLGLHKALCVLMGWNYSKPPDNSRGYRFLSADEAAANQEDLIMWPPLVIIHNTITGKSKDGRMEGLGNKAMDSKIRDLGFGGGKSKSLYGRDGHLGTTLIKFSGDQSGLNEAKRLAEFFEKDNHGRSVWARVRPAAYSRDDDKNPNLVMVDEKSGEKKRIFYGYLATAADMDKVDFDTRKKVTIESCRDFKSSR >KGN43310 pep chromosome:ASM407v2:7:1159259:1160973:1 gene:Csa_7G019900 transcript:KGN43310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFFLLLLFLSLFSSATACDRCIHQAKAAFYQDEAAGLYRGACGYGDLTLQLSNGYFSAIMPPLYKYGAGCGACFQVRCKNEKICSKEGTKIIVTDRNDNTYTGLVLSQKAFGEMAMSGKDGLLLSYGVVDVEFKSEILSKFYDLGVDFPSYSCRIPCEYDNKNLMVRVEEWSQYPNYLAIKLLNQGGQTEIVAIDIAQVGYSNWDYMGRNYGAVWETKKPAPKGPLQLRFVVTSGYDGKYIWAKYVLPADWRPGLVYDTGVQIYDIAKEGCPTEQCGDGQWKRR >KGN44604 pep chromosome:ASM407v2:7:12333590:12334918:1 gene:Csa_7G341240 transcript:KGN44604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPRPPPLPSLSSSKSISTDKPHPIPWTHQETIHLIHAYQDKWYSLERGQLKSNQWEEVAVTVAARCGYSHFDPSKTSVQCRHKMEKLRQRLRSEKHRLSTGTQSSSRWLYFDLMNNLLRGPLPISARPMSSIPFDNDQDDHIAEKSDNYNSDYEEEERNNRSKSKSISNILRRPIVARRTRNSSEEEEEEEEEEDNEDEGEEEDNEDEGEEEERDIRVSRFREEYATAEEEEGKEMCSKLAAEIRLFADRLVGMENWKMDMMKEAEMNRIAMENKRMEMILESEKKIVNSIAKAFGCPPSKRLKIGHDS >KGN45360 pep chromosome:ASM407v2:7:17723987:17724346:-1 gene:Csa_7G446770 transcript:KGN45360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPGMKIGINHKTNSISSLKSWRYDGSPDIGEYTLAMDPNNTNQFLMSYQSSVLWSSRNWKNGWFELLKNLCTDRSSFEGEGDIFHLLSIPKIKELRDSTSTSLQIRYVSWFFKLGQL >KGN44388 pep chromosome:ASM407v2:7:9811538:9813841:-1 gene:Csa_7G278230 transcript:KGN44388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHSGFFLSGPSFNSDATTSFISISVSEEDGAMADDIRNEASDSVPPSFWVKMAMKISRARWFIFLRRVFHYQNGSRSDLGPNPFNSGSWMAMELVALLFQLIISAFTLAISQAEKPVWPMRLWIGGYDLGCVLSLLLLYGRHRYHYLMQRDGNSLSDIEHEQQRTNESSRYSHLMNRCRTSLDLFFAIWFVMGNLWAFDSRLASFQRAPKLHLLCSFLLVWNAICYSFPFILFLLLCCCVPLISSLTGYNINTGSTEKGASDDQISQLPCWRYKAVEANINPRSQLDNSNTGLLKEDPECCICLAKYIDKEEVRQLPCSHVFHLRCVDKWLAITSSCPLCKQQLQR >KGN43371 pep chromosome:ASM407v2:7:1504899:1505849:-1 gene:Csa_7G027870 transcript:KGN43371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLATPSSPTIFSPLLKFPSSVGTACCLQLGRAEGYPRVTMRGGSENRKPLQKGRNLSIEAIQAVQSLKRTKKDLQQLDRVYDSKIRRLLKFDMLAVLRELLRQNECSLALKVFEDVRKEHWYKPQVSLYADIITVLASNGLFERVQIILSYMKAEADLAPEIDGFNALLKALVSHNLGELAMESYYLMKDVGCEPDKASFRIVIKGLESKGEAVDLRTVKQDAQRLYGESLEFLEEEEEGATATSIQ >KGN43656 pep chromosome:ASM407v2:7:3220467:3224064:-1 gene:Csa_7G051360 transcript:KGN43656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHTVKSIQEMGSDSLTGRFRDGFSLGDNKPDVKDHDLSSPVSPLMMTRSSGTGDNGYGIGGPNTSSSSSSSSGSVTGKTNNTQMGKRFEGKPNNHSGELSVSSETSPSGSDGHRSAAALRNSRPGHRRSFSTGSPLIYSGKTLTSTSNGVNGNGINSVSSNPNSNVFPSGNICPSGKVLKANIAQRTSNRTDTLGSGTGNYGHGSIIRGGGSGGGGTKLGSPGNLAEGNFGSGNLQFGSETLVVKRAMASSDPEEVKRAANELYRRGSFVEALSLYDRAISLFPENAAYRSNRAAALTALGRLGEAVRECEEAVRLDLGYGRAHQRLAALYLRFGQVEKARSHLLFSGQPDQFELQKLKLLEKILNQCADARKAGDWKSALKESEAAMAAGADFSPQLVACKAEAFLKLHQLEDAESCLSNIPKLETMASCSQTKFFGMLAEAYVFYVRAMVEMALGRFDNAVLAAERAGKIDFNNLEVANLLSTVKMVARARSRGFDLFSSGRYTEACTAYGEGLKYDSSNHVLYCNRAVCWAKIGLWEQSVQDCNQALNIQPNYTKALLRRAASNAKLERWEEAVKDLEFLRRELPGDHEVAESLHQAQVALKRSRGEVVDHRTVSGEVEEVSTLDKLKAAIASTGVSVVHFKVANNICDETSAFVNMLCIRYPSVKFIKVDVEESMTLAKAEGIKTVPAFKIYKNGEKLIEMIRPSHHFLEDSVRSCILQQTLPALSHGSNLYNI >KGN43943 pep chromosome:ASM407v2:7:5046513:5047958:1 gene:Csa_7G073780 transcript:KGN43943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVAVPVSPVDFNFDSACSSPYMTAPSSPQRFGNFFFSSAPTSPSHAAAFYYDFNDFDSPYVDGRSSSASEIPFLWEDLPGIVKSGGDACSSVADDDFQFDFSGQLERTSLSADELFDCGKIRALKPPPSNRVTDSISSSTASSRISQGKRVVQEAVSPRHHRRRDTDPLEEAFKATTQRNGDGKRGRERTNMSSSSRSSSSIKRSGSRSLSPLRVSDIMLDPDQEIGTKPMVPSTTSNNEKQHSISSSASFLSAFSFSRGQRRWRIRDLLLFRSASEGRATEKKAVEEMKNSSFRSIESLSSVSSSRRRGSISAHELHYKTNRAVSEELRKKTSLPYKHGLLGCLGFNSSMQRSFSRSFGSLARA >KGN45273 pep chromosome:ASM407v2:7:17274562:17276824:-1 gene:Csa_7G432550 transcript:KGN45273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRTNSTPILNSWLHQFKSSPSESNQIHHLQRTKSISLISSFHLPPPSVSTESSNRVTQNLLESDSTDPRKKIPITKSSKVKVKSRENGVSVRDQHLKPTSDSSSSSIHGVFLNSGLGLKFPNDEVCDEKRDACILQTLVVGGGMGNDGGRVCGGSGRGSDGGGGGDNGRSGFNNHHGSNSTDAYYQKMIEANPNNALLLGNYAKFLKEVHGDFSKAEEFCGRAILADPNDASVLSLYADLIWHTQRDARRAETYFDQAVKSAPDDCYLLASYARFLWDTDVDNEDDTEDQYETEESHPLHPGFSHGAPHHSPLAATS >KGN44415 pep chromosome:ASM407v2:7:10010216:10010927:-1 gene:Csa_7G284410 transcript:KGN44415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCDKRVDDSNLCMLNWIVDTHQEWKELAERVFDTNQFVFKGLFPDEDVMEGNMFHGTETKNFEKGEYSKESARINTQIHEDEDRDGGNGERDDGLVDDGEDCNTENINMDEEGDKTTIVNSENEAARDGQN >KGN45048 pep chromosome:ASM407v2:7:15964045:15967679:-1 gene:Csa_7G414450 transcript:KGN45048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIAPLTSNSSPNSLILIHRSIRRRNGIIFMAIPTSRSINSRSFSLPDLVFKIPRTSSKRSRNPLKFVSPVMEWQNCTAKMEVDIPASVAYKCYSDREAIPKWMPFISSVKVLEDNPTLSRWSLKYNAFGQDIEFSWLARNLQPTPNQKIHWRSLEGLPNRGVVRFYPKGPSSCLVELTVSYEVPPLLSPVASALQPLLERLLQRGLKSFATFAKKYQTA >KGN43226 pep chromosome:ASM407v2:7:596427:599827:-1 gene:Csa_7G009210 transcript:KGN43226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSSSNMPQNGNVKNNDTLFTVDEIEESEFSKLLDRPRPLNMERQRSFDERSLGDLAIGFSPRLSSRVSSENFGRLSDNYDHSPSPGRKSDFNTPRSHTGFEQHPMVAEAWEALRRSLVYFRGQPVGTIAALDSTEENLNYDQVFVRDFVPSAFAFLMNGEPEIVKNFILKTLRLQSWEKKIDRFQLGEGVMPASFKVLHDPVRNTETLIADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDSSLAELPECQKGMRLILSLCLSEGFDTFPTLLCADGCCMIDRRMGVYGYPIEIQALFFMALRCALILLKQDHEGKDFVERITKRLHAMSYHMRTYFWIDLKQLNDIYRYKTEEYSHTALNKFNVIPDSLPEWIFDFMPTRGGYFIGNVSPARMDFRWFCLGNCIAILSALATPEQATAIMDLIESRWEELVGEMPLKVCYPAIESHEWRIVTGCDPKNTRWSYHNGGSWPVLLWLLTAACIKTGRPQIARRALELAESRLLKDSWPEYYDGTLGRYIGKQARKFQTWSIAGYLVAKMMLEDPSHSGMVSLEEDKQMKPLMKRSHSWTC >KGN43795 pep chromosome:ASM407v2:7:4167645:4175960:-1 gene:Csa_7G067500 transcript:KGN43795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKEESAERLEGSNPSVMTSVNEASNPSWFTPLRLLIIFCVIHLINYMDRGAMASNGVNGHRTICTPDGTCTPGSGIQGQFNLSNFEDGVLSSAFMVGLLLACPIFASLAKRANPFRLIGVGLSVWTVAVVGCGFSVNFWSIAVCRMIVGVGEASFISLAAPFIDDNAPVEKKAGWLGIFYMCIPTGYAIGYLYGGFVGQHFGWRYAFWGEAILMVSFAVLGFIIKPLKLKGFAPPETTNAPIPVDATASSVKDDLQAKVGVSPKDFQEAENSSNSVLSEVLRFLKDMKALLVNMVFVVNVLGYVAYNFVMGAYSYWGPKAGYSIYQMKNADMIFGGITVVGGIVGSLAGGYILDCLNNTISNAFKLLSTAILIGAAFFFGSFCFKSMYGFLVLFSIGEVLAFSIQGPVNFICLHCVTPNLRPLSMAISTVSIHIFGDVPSAPLVGILQDHINNWRTTSLILTGFLVPAAIIWFIGVFLHSEDKSNEDCEKQVVPNRPTAGSALEAEKVQQTNKASAEA >KGN44214 pep chromosome:ASM407v2:7:8048050:8059742:1 gene:Csa_7G229900 transcript:KGN44214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEMETDEEMKEVTDKPFVWEEIDLDYEFDVAMYYDFTRPETEKEIKEAEDWFKFAGTYPPSPFVLKLNEEEVRAECNSTELMNVQNHWDDHKNSEISENDSDILGINSKSTIKVNPPRCSNFMKPTASYLAKQNQPRGIHSNTVLRRFQFSLGDSIEISSQRSSLNISHATKRQKLEAGYFSNVPRSMRQTSLLHKVPKKIISEVCPPLTKFKATIPKEPNLETANRAQRDRSKIDMTTTEHNKLDASNFKARPLNRKILEAPTLLPPKKSIPQMPEFQVFHLKTSERAAQHTYVVNSPYVSNSLGENKCTRR >KGN45352 pep chromosome:ASM407v2:7:17678718:17682762:-1 gene:Csa_7G446690 transcript:KGN45352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGFAMGSTCFLQSPLYVSRFPFSSVPSCSCSSQSNSLPLRNVEGKQRSGVPAALRSKSYSNDDGLCKRRAILFLGISVFPILQFKAQALDGSATEKTDVKAQEGNQNIELAAQIEKPPNPFVSILNVVGVFGSGVLGSLYAVAQKEKMAAELAIESISTKLKEKESSIISMRRSYDSKLQSEQEEHAKQLRKAKEEQTSLVNQLNTANVTIGRLGQEIRNEKGKIEELNVCISNLQKDLSKTEEDKHILEAKLQESLESIELLQERVNLLGLELKDKEDYIQKINSSLAEKEVELKNLLSSYNQAKDELVAAHSEIRGLKDELQSNKEELEMRISMVDELNADVASLVLTRDDYKRKLDDAEDEYDRLKISSEKKASLDAKLLGERDQEIQELQENLRIAIKEVNGNQTRINDLTQERDVLKKKLELESSNAQTLKDELEVTRENLSKSRNQASDLTNQLEESKSKCTELQSEVSRLQAEYDEVRNSLQSKFEEAKQNGEILASELSETKEQFMKTNEELQKASHELAIVIESRNGLQKELDDVFQRAETISNDLEEERKVVFSLNKEIQTLEKQNLKDKEARKSLETDLEEAIKSLDEMNRNALLLSKELEKSNSHVANLEDEKEVLRQSITDQKNATIEAQENLEDAHNLVMKLGKERDSFEKKAKKLEADLASAKGEILRLRSEMKSSKAIVNNNQQQANAEAEGKVKVTVAKKTARRRKPTTQLDKELS >KGN43251 pep chromosome:ASM407v2:7:743011:745491:-1 gene:Csa_7G012400 transcript:KGN43251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEEDGVDEVVEEFSIWKKNSPYLYDLLISHSLEWPSLTVDWVPSAPFPHQANPSLAVHKLVLGTHTSEDVPNFLMVADAVFPVKASETRIDISEEDPILPKIEITQKIRVEGEVNRARCMPQNPEIVGAKTSGCEVYVFNRAKQGEKDQGVVCDPDLRLRGHDKEGYGLSWSPFKEGYLLSGSNDQKICLWDVSSMADKNVLDAMHVYEAHESVVGDVSWHLKNENLFGSVGDDCLLVIWDLRTNKSVDSVRAHEEEVNYVSFNPYNEWILATASSDTTVGLFDLRKLAEPLHALSSHTEGVFQVEWDPNHETVLASSGDDRRLMVWDLNNIGNEQDGDAEDGPPELLFSHGGHKAKISDFSWNSNEPWVISSVAEDNSVQVWQMAKSIYR >KGN44002 pep chromosome:ASM407v2:7:5490849:5492254:-1 gene:Csa_7G091220 transcript:KGN44002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGEIVRFIKVLPVFILSSLYCYFIASKFSKAKLRLLSLLPVFSIFVVLPLYFSSILFTSSVGLFVTWLTTFKLLLFTFDSGPLASNPPLSFPLFASIAFFPTRTKHNKTTPHDQKSLPKLLPLNLPTKVVILAILILGNSYIDLVCPNNVKSWINGATVYFYLDVVMSLSNVFVQSTFGVEVRQPFNEPYLATSLQNLWGRRWNLLVSETLHSTIYKPIRYKVGMPRWVAVVTVFVVSGLMHELLYYYMVRANPTWEFTWFFVIHGVCLALEIELKRAYEKKWQLQRAVSTLLTTMFTGTTTLWLLFPLMLKTLA >KGN45083 pep chromosome:ASM407v2:7:16168106:16170175:1 gene:Csa_7G420760 transcript:KGN45083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSSNSKSKPHFFLCCFGYSDKLPRFKPLKSPAGHRKRQFSWFRNSKPPTPLHSSSFPSHTNRSVTNSDRLSSVSIAPTATTNSSNEDLAVPVATNRTGEEVIISPHEVKNDTVAGKTIHGSSEHSNSPNKPIDQSQSRFSLTKRLESFRSIRFNQTAPPKKNTKSINVQTPTISHSLSFPPPKPTPSNRVSELRESKRVCSKSKNRKSSQQYRSVAAMSVLMMTLAMMVVWGRICAILCTATWIFIVTSLRSIVEEYEGIDFVESDSYSEGFKKKLVVLKGFVCRNHK >KGN44824 pep chromosome:ASM407v2:7:14563936:14564169:-1 gene:Csa_7G390045 transcript:KGN44824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSMCILKVTCEGVNGGSDNEERESCVEIKGRNAMQVGLRRSMEWMFLVLRIHRWRRISKKADRNWKTANGRPFVGV >KGN44318 pep chromosome:ASM407v2:7:9142735:9145979:1 gene:Csa_7G253730 transcript:KGN44318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKLFKVKEKQREIAANANGVPPVKKQSAGELRLQKDISELNLPKTCNISFPNGKDDLMNFEVTIHPDEGYYLGGTFLFSFQVSPVYPHEPPKVKCKTKVYHPNIDLEGNVCLNILREDWKPVLNINTIIYGLFHLFTQPNHEDPLNHDAAAVLRDNPKMFEFNVRRAMAGGYVGQTYFTRCM >KGN45114 pep chromosome:ASM407v2:7:16340080:16344800:-1 gene:Csa_7G426550 transcript:KGN45114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRLKSCDDLDSYAEKNPGKDPVLSRTSSSHRVFYHKSEAVRKNMSSSSGRYYRDRSVDEDREGLRLVRKRSDHDFEGFDRRKGFDRFRESGESRGYAGSSGSGGGGDRIALHRSESYSGTRREYPKGFRSERDRSRREGSVSSWRRFGSWNKDVDEGARNRGGVVGGLEERGSARNSPKGLRDVKSPSLSKDSSSEQSKLRASPSLVSRGMRAQESKSKSPTWSKDSESEQSKSVEVKKGEDLQVESGNNSEMEEGELEPDPEAEPAIGPEAELNVEPESEPKSEIGCEAESFPESEDKLAAEKHLEADNDQREIESENQVEDQKVSIVAEVELLDKGTDMTKSKEVCSDDAGLSESQNVSNNFRNCTKDEVDVVADEGNKLEDSLASEREQRIETDDKNSLETSVQLDVYCKESKGIDPDMKTKDFDVPGKDVEKELSDGEATKISEAMTQNFRDKGKSVAVSPSTSHAAYSTEDGAWADREHGATEICRDNDMEGPSTRGFELFTRSPVRKLERVDESGDIRQRNQKLTLEPLDLSLSLPNVLLPLGATGDSVVAPSSPSRGRSVQSLSNTFCTNSDGFAPSMSFSGSHSFFHNPSCSLNQNSMDNFEQSVGSRPIFQGIDQASQGAWAGQSQNESKSKELPLYQRILMNGNGGIQPSQSSHGIPNIETIMGRHSCEEDSSKIVSGLDRQLSFHKQLAGNSKSNDDVRSPSLRVVSHDGGLTINLEKKRIVKEVSGSLYRASSLKEQDKFSMGGSDLIETVVARLITDQVNEMAKKFNEMTGPFIEHLKASIFEIMSNAPDKRGPLYAIQKTLQTRSDITMDMLLKCNRAQLEILVALKTGLPDFLKEISTVGSADLAEIFLNLRCRNMICKHLLPVDECDCKVCGPKNGFCSACMCLVCSKFDTASETCSWVGCDVCLHWCHVDCALRESYIRNGPSATGDQGATEMQFHCVACGHPSEMFGFVKEVFQNFAKVWTAENLSRELEYVKRIFSASKDVRGKQLHELADHMLSRLANKSNLPEVYTHIMNFISDADFSKLGKTRLPSGKDQSKSSNGISGSCQEAPWLKSVYSEKVPQMERAANAHPSLNYERSDKRVLEPELQISSHREPLFDELDSIVRIKLAEAKMFQARADDARREAEGLKRIAIAKNKKIDEEYTSRIAKLRLIEAEDLRKQKVEELQSLERAHREYSSLKIRMEADIKDLLLKMEATKRNLPL >KGN44475 pep chromosome:ASM407v2:7:10566006:10566719:-1 gene:Csa_7G304370 transcript:KGN44475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTNLQDPFLMDFCSFLAVSFRSVDLELLVEQITEKGELTVSRENVFGQWIEVMCKAAEVFRTIVGEIRFCYFISWQFRITLPYVLHFFPMVLTCNNPSQVHNRFLGFSPTILNK >KGN43831 pep chromosome:ASM407v2:7:4355851:4356348:-1 gene:Csa_7G070254 transcript:KGN43831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLMKMISLSLLFFLTSSTILFTSSIAQDLPQNFVDAHNAARAQVGVGPVSWDETVANYAQQYANQHINDCQMVHSNGPYGENLAWSSADLSGTNAVQMWVNEKQFYDYASNSCVRSECRHYTQVVWKNSVKIGCAKVECNNNGGTFITCNYDPSGNYVNQRPY >KGN43315 pep chromosome:ASM407v2:7:1205513:1206720:1 gene:Csa_7G022420 transcript:KGN43315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIKTGLAGIGEREWYFFVPRDRKLGGSGRPNRTTKRGYWKATGSDRKIVSLSDPKRLIGLRKTLVFYLGRATRGSKTDWIMNEYRFPDNSPLPKEMVLCKIYRKATSLKVLEQRAAKEEEDAKPFQASYSPPASPFETISFCSQQETMVSSVTPPTHEVLNKEVEVATMVDEILEDKAVEPQISSTSLQIPSELEKLTELQVPKLDMDWSQDLFWTQFNSPWLQTLTPFASMLNF >KGN43768 pep chromosome:ASM407v2:7:4006729:4009089:1 gene:Csa_7G066250 transcript:KGN43768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSGSPMPSPVANPLCRLLTSSEAFWDSESKWREFCVWVSVHSFFLFFTVLLQFPLSHSHFPLCFSKTFLSPLHLHTLSLKGKLGELTVNKYWGIKGTILEQKICLGRVLLSMCFTKLCA >KGN45007 pep chromosome:ASM407v2:7:15694178:15699045:-1 gene:Csa_7G407600 transcript:KGN45007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAAHRIIPILIILSAIFRHGGGAREPFACDPKDAALSRYPFCRVALPIPERVKDLIGRLTLQEKVRLLVNNAAAVPRLGIKGYEWWSEALHGVSNVGPGTEFGGDFPGATSFPQVITTVASFNVSLWEAIGRVVSDEARAMYNGGAAGLTYWSPNVNIFRDPRWGRGQETPGEDPVVAGEYAARYIKGLQGNDGDRLKVAACCKHFTAYDLDNWNGTDRFHFNAKVTRQDMVDTFEVPFRKCVKEGKVASVMCSYNQVNGVPTCADPNLLKGTIRNQWGLNGYIVSDCDSVGVFYDNQHYTSTAEEAAADAIKAGLDLDCGPFLAVHTEDAVKKGLLTQTHINNALANTITVQMRLGMFDGAPSSHAYGKLGPKNVCSPSHQQLALDAARQGIVLLKNRLPGLPLSADHHRTVAVIGPNSDVNVTMIGNYAGVACGYVTPLEGIKRYTTVVHRKGCDNVACATDYSFTDALAAASTADATVLVMGLDQSVEAETKDRDGLLLPGRQQELVLKVAAASRGPTVVILMSGGPIDVSFADNDPRISAILWVGYPGQAGGAAIADVLFGTTNPGGKLPMTWYPQSYLSNLPMTNMAMRSTSSYPGRTYRFYAGPVVYEFGHGLSYTNFIHTIVKAPTIVSISLSGHRQTHSASTLSSKAIRVTHAKCQKLSLVIHVDVENKGDRDGFHTMLVFSTPPANGATWVPRKQLVAFEKLHLASREKRRLQVHVHVCKYLSVVDKLGVRRIPLGDHYIHIGNVKHTVSLQAATLGIIKT >KGN44066 pep chromosome:ASM407v2:7:6343519:6349689:1 gene:Csa_7G160520 transcript:KGN44066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKILAKMMGHGNYFMEWKEQFVSQERGNRVVHYFLKDSAGESILAVVGTERSVRHMFYVVADEFLRAHGKESSVHAGFKWRSRREVVDWLTSMLSKQHSSGDHSEPCKFDAIQTLGSLQFSQSGVVIPQSDIPDDKVRPSRNSKGLASDIVWSGAAWTCGKRLKHYPSFSRNGTSIMVQSFVYVMAKGENHYLAYLEDMYEDKRCQKKVKVRWFHHSQEVKGVITLRNSHPKEVFITPYVQAISVECVDGSATVLNREHYEKCVNAFPHDSLSKVHLCYRQFKSNRLKPFDLSKLRGYFDQPVFSCLSLNGLSKSEHMFDNLTGEDDEDLDPKNNVRPKVKRIRNAKGCGTFEFENAKVRKSGSRRHMLTHKSCQKHGYSFLGSRFLSHKHVLNDNDPMYEVNEKIELLCQDSGIRGCWFRCTVLHASPKQIRVQYDDLQDEDGYGNLEEWVPAYKVALPDKLGMRHPHRLITRPAPQEQIELTLDLGVAVDAWWSDGWWEGVVAGVDDSGKDDVDVYFPGESLFLNIHRTNLRISRDWFEGRWINVEAKPSILSTIPDTNRTDDKQSKSVAHVKSNSLAMPCIDANAGTDFSQIKEETLEETAIASLEKLREANDEQQKQVSSEEDEQSEDDMADTKNTTHNMKNNNGESDDNTSSGSEDDNGDNSNGVKSEMDSMEASEQNCREEEAEDMDMDMEGVDE >KGN43885 pep chromosome:ASM407v2:7:4743872:4745696:-1 gene:Csa_7G072720 transcript:KGN43885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPVSETPCHVFLVTFPGQGHMNPTIRLGKKLASKGVYITISTTLEFGLSLKNAGSIGDHPSPVGSGFIDFEFWDDGWELDDPKRRDLDLYMPQLQITGKPALSQMLRNRASENRPVSCVIGNPFVPWVCDVANDIGIPCSVLWVQSCSVFSIYYHFSRKSVEFPSESDPYCDVQLPSLPSLKHDEIPSFLHPHGMYKAIGRSILQQFHNVSIPFCILMDTFEELERDVIKHMSTICPVKPIGPLFKTLKISDDNKKADLSGDFLKADDCFEWLDSKPPNSVVYISFGSIVHLSQKQVEEMAHALCNSGFSFLWVMKPLPKDMEECLGLKQHVLPDGFLEKAGERAKIVKWSPQQKVLSHPSIACFITHCGWNSSVEALSSGVPVLVLPQWGDQVTNAKFLVEEYGVGIRLGRGDFEKRLVERDELEQYLRDAIVGPKAKELRENALKWKIAAEKAAADDGRSESNIEEFMEEIRKKWCGEMSDIHNLVVNNVEKA >KGN43649 pep chromosome:ASM407v2:7:3189096:3190509:1 gene:Csa_7G050800 transcript:KGN43649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPFSFSFLFLLLLQSSFLFKSLTAKHHDDHHHHRVRSPNSNPRLHQAFLALQAWKRVIYSDPKNHTTNWVGPSVCNYFGVYCAPSLDDPKIQVVAGIDLNHGDIAGFLPYELGLLADLALLHLNSNRFCGILPQSLANLSLLFELDLSNNRFVGPFPSVVLHLPKLKYLDLRFNEFEGSIPSELFNKTLDAIFINSNRFTNIIPRNIGGKSASVIVFANNNLKGCLPPTIASFANSLEELLLINTSLSGCLPQEIGFLYKLKVLDVSFNKLMGPLPYSLTGLAQLEQLNLAHNMFSGNLYEGICSLPSLENVTVAYNYFCEEVGICRNLTAKGIAFDDRRNCLPEKAFQRSKKECSAVVEHPVDCFEHPCGGGGGGYGSSIAAVPGPAPTPYSVVAPSQL >KGN44909 pep chromosome:ASM407v2:7:15148387:15154243:-1 gene:Csa_7G395270 transcript:KGN44909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEVEITDDMNILSFPKLRRSDSLDIESNNLDGHRGNRAAVGWWVTLQLAFQSIGIVYGDIGTSPLYVFQSSFPAGIKHKDDVLGVLSLIYYTLTLIPLVKYVFIVLQANDNGDGGTFAMYSLLCRYAKVGLIPNQQAEDKEVSNYQLDIPNNRVRRASWLKSQLENRRFAKLFLLFATMLGTSMVIGDGVLTPCISVLSAVGGIKTALSSITQDTIVGISAGILVCLFMAQRFGTDKVGYTFAPIICIWFIFIASIGFYNFVNYDPSVISALNPKYIIDYFRRNKTQAWISLGGIVLSITGTEALFADVGHFSVRSIQISMCIVTYPALLLSYTGQASYLRIHIDDVGDAFFKSIPDPLYYPMFVVAVLSAIIASQAMISGTFSIIQQSLSLGCFPRVKIVHTSSKYEGQVYIPQVNFLLMLASLAVTLAFKDTNKIGNAYGIAVVFVMTLTSSFLVLIMIMIWKTHILLIIIYILVIGSLELLYLSSVLYKFDQGGYLPLAFAFALMAIMYTWNTVYRRKYYYELHNKISSHQLNDIVSIANPCRVQGLAIFYSDLVQGIPPIFKLYMSNVPVLHQALVFVSIKSLPISKVPSDERFLFRRVEPRELGIFRCVVRYGYTDGHNDVQESFERSLVDKLKGFLRDDVRVSTAKVEEEEDRENGVIIEEEKQKIREVELEKEIEEVDKAWEYGVVHLVGESEIIAKEGSNIWKRVLINYIYNFLKNNLRQSERVFDIPHNRLLKVGMTYEL >KGN45475 pep chromosome:ASM407v2:7:18448449:18454781:-1 gene:Csa_7G448860 transcript:KGN45475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEFSNSVEYGLHLSKRIYYGKGSTPAALARQMSRVSEDYLPRAPMVYAVIPEPTIVDNPDIPSYQPYVHGRCVPPALIPLHMNGVSMEINCCFDTAFICVNGTWRVHCVMAGRSCECLIAVPMGEQGSLLGVEVDVTGTSHRTELVSMEDAEAIEKLTKSEDGKFLKGRRIYTLKIPKVEGGCTLSVRINWSQRIPYLDDLFCLSVPFSFPAYLVPPGKKIKNSQKILLHINSGVSSEVVCKHTSHPMKILRREVGNLSFSNDAEVSAWSNMDFDLSYSISPNDLFGGVLLQSPSLHDFDQREMFCLYIFPGQNHNRQVFRKEVVFIIDISGSMKDGPLESTKRAVLASLSQLNPEDAFNIIGFNGDTKLFSLTMEQATKEAITRATDWINANLVANGGTNILLPVEQAIKMLAETGNSIPLIFLITDGSVDNEREICNLVKASLKSGNKISPRLCTFGIGTFCNHYFLQMLSEIGRGIYDAAYDVDLIDTRFQTLFTKASSLFLANITVDAFKHLDSFELFPTQIPDLACGSPLIISGRYNGCFPESFKVSGTSADMSNSTIHLQAQRAKELLLDRVLARRQIDIMTSHAWLLESKDLQDKIAKLSKQSGFSSEYTRLILVLAKEGKKAPSIISQKMRKRFDLTKSKKVEWKGQKIILLGNQGVGFGNLTATAENLQPGKEIKATQATDLLVKAATNCFGRLIDRFCCLCFIKSCMYMNDRCVVAFTQLTAALACCEIFNCCFELCECDCF >KGN43490 pep chromosome:ASM407v2:7:2201722:2204700:1 gene:Csa_7G041350 transcript:KGN43490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPRSPKSTSFASLLSICLFFTSVRSAPSFIGVHPLDEKYYSSEVIKCKDGSRSFTIDRLNDNFCDCVDGTDEPGTSACARGKFYCRNMGSTPRFIFSSRVNDHICDCCDGSDEYEGNIFCPNTCVMGGNMYKSKNDISTTRDVDIVIRKVKEEITKEDLFQKLTGLKLVIILQVALTSFAILIWANRCRVKSKRRRHR >KGN45452 pep chromosome:ASM407v2:7:18268201:18270871:1 gene:Csa_7G448140 transcript:KGN45452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQFHKMKIPSIFHFLFLILSTFFFIAQPSRIHNVSSSQNRLAFFVFGDSFVDSAEYANLPLIPPYLDPHNKLYIHGVNFASGGAGVLVDTHPGFAIGMETQLRYFKKVERSMRKKLGDSIAYDLFSNSVYFFHVGGNDYKIPFEDSSVHEKYNETEHVYTVIGNLTAVVEEIYKKGGRKFAFVAIPPLGCLPNTRLLKKEGDGSCWDEISALAILHNNLFPIALQKFADKFPGFKYTVADMYTLLQNRIDNPSKYGFKEGKKACCGSGSFGGIYSCGGMMRGMKEFELCENPKEYLFFDSYHPNERAYEQFAKLMWSGDSQVIKPYNLKQFFQYGSLQSFEH >KGN43780 pep chromosome:ASM407v2:7:4102338:4102993:1 gene:Csa_7G067350 transcript:KGN43780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIGIGGGIGLGLPGLNIKIGGGIGLGNKRPGGCKSHDPSKKWSVCPNDRVVEIYARFAVDEYNRKHGRNLVFQSVLEAWVYVYPCGKKEYSIELVVREGCGNHVLKYHAVVTETGCAARRKTLVSFDQIDD >KGN44344 pep chromosome:ASM407v2:7:9442852:9449517:1 gene:Csa_7G267920 transcript:KGN44344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKRRDTANIPSNTTDSPSSEPPSSRRRAGAHKRKASALGASNTLSAPSKRVTRDKSALSHPPNHNGPFTRARLGPNNVAGAASAGGLAPGSVKADGSLLHSEVQRGDALLSAAEELNKATRLANLEASFEVDYEAIKSRGANVHVVPNHCGWFSWTKVHPIEERTLSTFFSGKAANRSPDIYIEIRNWIMKKFHANPSTQIESKDLSELEVGELDARQEVMEFLEHWGLINFHPFPATDSISTNDVNDENQKDSLVEKLFHFETLESCPSVVPKINATTAAPPRLLRESTISEEIVRPEGPSVEYHCNSCSADCSRKRYHCQKRADFDLCSECFNNGKFDSDMSSSDFILMESAGVPGASGGKWTDQETLLLLEALELYKENWNEIAEHVATKTKAQCILHFIQMPIEDTFLESEGNVEVGGKETIAPPLIENDSSVPSDITESVDNKATGKEASSVENASSKEDTGEVKVGQDNPKLEDVEGKASLVKSESKDDDEKVSEDIALNALREAFEAIGYVLTPEHSLSFADVGNPVMALAAFLARLVGSDVASASARFSLKSTSQKSPSLELATRHCFILEDPPDDKKAQDNLESVDNVEAQKNDKEQSAKQSEDNSTSILDDRALSTNNSNNKSGESVTKETTENGNSSDAIREHDPITNHGSDTSSNLKELGEKELLKDEKTGIVKESENLESKLTSNPVETSGEGTTGEKPLESTMSSNDVHMSDLQHAERSEIQKQVPPHSAKISKELDDETKRLSSGDELQPISSANSVKEASNDVAMVSDSHDKNEAGQTETPKSLVNEGAIKVSDSLPSEENESSEPVKPNSVVERRAGTFSNTLMYVSGVKKDHQELQ >KGN45020 pep chromosome:ASM407v2:7:15759066:15761542:-1 gene:Csa_7G407730 transcript:KGN45020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEFGTSGIYGSSTMRRKRSRPSRRPRLESQQLGEGIDPSPSSSTPPSDDAVKFSSDENGGGDGTPGRKELSLNQCVSRGSSASGPESEHFLKRSKKDGSFGSYYRSEPGRSANDNKRSSEGVLAPANWRSTSKVSDGMESESSSIDPYGGRYGGESSSSGQKGLYVEELANDNKVKKVKLRVGGVTRTIQANSPPNGTSKGNSQPSDGHRQQHKHNFQENFNGNHSPSERRGGLHGVPWRDFSRGGFGLEKEETLTGKMPGRNSAGKHGAETLRKSKRASKKRVLDGDFDDDDDDEIRYLEKLRTSKAYAGYRDDGEEPSKKQRKLSSISSMENYGASKHKVRSDIASDDKDYEEDEESASDIDADGNHKKQRKESIDTLMEGKREMTLTTRQRALQSSKEASSARGGSLIEFPNGLPPAPPRKQKEKLTDVEQQLKKAEAAQRRRMQVEKAARESEAEAIRKILGQDSSRKKREDKVKKRQEELAQEKAANAQKLLSNTIRWVMGPSGTVVTFPNDMGFPSIFESRPCSYPPLRENCAGPSCSNPYKYRDSKSKLPLCSLVCYKAIQEQLTETTC >KGN44575 pep chromosome:ASM407v2:7:12024232:12036206:-1 gene:Csa_7G336540 transcript:KGN44575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRKDTARGFGPQYDHQTTPPGVPGRSFSKSNSLHVELSSDTLEPTSFQHRLQRSKTERLRHETIFAEDAAHILDIKIPIDQKIKLLHRVTTVKDDGSVEFEVPEDIESLSINVESEEIFTNVDDEPLDTSDFQYIRPMQIVILIVGTRGDVQPFIPIGKRLQDYGHRVRLATHPNFKEFVLLAGLEFYPLGGDPKELAAYMVRNKGFLPSWPSEILIQRNQMKEIIYSLLPACKDPDMDTGIPFEADAIIANRTAYGHTHVAEGLKLPLHIFFTMPWTPTSEFPHPLSRVKQQAGYRLSYQIVDSLIWLGLRDIINDFRKKKLQIRPVTYLSGSQFSESDVPHVYLWSPYIVPKPKDWGPKIDVVGYCFLDLSSNYEPPESLVKWLEAGDKPVYIGFGSLPVQDPEKMTQIIIQALETTKQRGIINEGWGGLGKSAEPKDFLYLLDNCPHDWLFPKCKAVVHHGGAGTTAAGLKAACPTTIVPFFGDQPFWGERVHDRGVGPPPIPVDEFSLQRLVNAINYMLDPKVKERAVLLAKVLENEDGVEGAVRAFFRQLSRRKLEPEPEPQKSNLLFIRKCFGCF >KGN45423 pep chromosome:ASM407v2:7:18062572:18078647:1 gene:Csa_7G447850 transcript:KGN45423 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autoinhibited calcium ATPase MTSRDSSSSGNGLLQLKVSTSGRHHDVESGLSSGKNIDEEEEEAVSDPFDIDNTKNVPLEILKRWRQAALVLNASRRFRYTLDLKKEEEKEQRRRMIRAHAQVIRAALLFKLAGEQQIGSSASPPLSGGDYSISLEQLASLTRDQNLSSLQQHGGVKGLSNLLKTSTEKGISGDETDLLNRRNAFGSNTYPRKKGRSFLKFLWEAWQDLTLIILIIAAVASLALGIKTEGVEEGWYDGGSIAFAVFLVIMVTAVSDYRQSLQFQNLNEEKQNIQVEILRDGRTLKVSIFDLVVGDVVPLKIGDQIPADGILITGHSLAIDESSMTGESKIVRKDQKAPFLMSGCKVADGVGTMMVTAVGINTEWGLLMASISEDTGEETPLQVRLNGVATFIGIVGLAVAVSVLAVLLGRYFTGNTHDANGNPQFQRGHTSLGDAVNGVIKIVTVAVTIVVVAVPEGLPLAVTLTLAYSMRKMMADKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVEVCVGRKMINPPDDPLQLNSSVLSLLHEGVAQNSTGNVFVAKDGGGIEVSGSPTEKAILSWAVKLGMKFDDIKSESKVLHVVPFNSEKKRGGVAIKRANSEVCIHWKGAAEMVLSSCTKFMDSNGEMHSLEDNEDYFKTAISDMAARSLRCVAIAYKSYQLEKIPIDEQRLDQWDLPTDDLVLLAIVGIKDPCRDGVKEAVKVCTDAGVKVRMVTGDNIQTAKAIAVECGILNANEDASEPTVIEGKTFRVLSEKEREQVAQKITVMGRSSPNDKLLLVQALRKGGDVVAVTGDGTNDAPALHEADIGLSMGIQGTEVAKESSDIVILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAVSSGDVPLNTVQLLWVNLIMDTLGALALATEPPTDHLMHRSPVGRREPLITNIMWRNLIVQALYQVAVLLVLNFYAIDILQLDNDSKDHAFTVKNTVIFNAFVLCQIFNEFNARKPDEMNVFSGVTKNYLFMGIVGSTFVLQILIVEFAGKFTSTVKLDGKQWLICFAIALVSWPLAVVGKLIPVPETPLAKYFTKPVQRCRRSRAD >KGN44849 pep chromosome:ASM407v2:7:14727994:14729748:-1 gene:Csa_7G391260 transcript:KGN44849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMRSFVVILLCLVQCCWSSEAILDPIDFLALQSIRKGLEDLPGSNFFASWDFTADPCNFAGVFCDSDKVVALNLGDPRAGSPGLVGRIDSAIGKLSSLTEFTIVPGRVFGALPQSLFQLKNLRFLAISRNFINGALPANLGELQSLRTLDLSYNQLSGEIPRSIGTITELSNVILCHNRLTGSVPMFPFRSLNRLDLKHNNLTGPLSPDSLPPSLQYLSLSWNRLNGPVFRLLSRLDQLNYLDLSLNQFTGTIPTRIFSFPIVNLQLQRNFFTGPILPVDQVAIPTVDLSYNRLSGPVSPMFSTVQNLYLNNNRFTGEVPNSLVDRLLAANIQTLYLQHNFLTGIAINPTAEIPLSSSLCLQYNCMVPPIQTPCPEKAGNQKTRPMEQCGEWRG >KGN43910 pep chromosome:ASM407v2:7:4869085:4869744:1 gene:Csa_7G073460 transcript:KGN43910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEGRQLHIFMFPFMAHGHMIPVVNMANLFASRGIKITIVTTPLNSISISKSLHNDSSSLHIHLLILKFPSAEVGLPDDCENVDSLPTMDLLPLFYQAISLLQSSLEEALHQNRPHCLVADMFFPWTNDVADRIGIPRLIFHGTGSFSLCASEFVRIHQPYKHVSSYTERFVIPYLPGDIKLTKMQLPIILRENIENEYNKFSTKVKESEAERKRIHH >KGN43392 pep chromosome:ASM407v2:7:1670261:1673634:1 gene:Csa_7G031530 transcript:KGN43392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYICDFCAQQRSIVYCRSDAAALCLSCDRNVHSANALSRRHSRTLLCDRCHSQAAFVRCPEENISLCQNCDYMGHSSSASISSRKRQPINCYSGCPTAAELSSIWSFVLDLPSGSDACEQELGLMSIAENSAVNAWGPNDKAGQNVSGVDETNEFSSVDKSIWYGSSSMPHIMDQPITMDATSPKLHYPGRKGPEIDIEDDLYESLNMDEDSLNIENYEELFGVSLSYSEELLENGGIDSLFRMKNLSAAKSGCPGGAAAEGASVSFVNNVMQPANSNAASADSVMSAKTEPVLCYNNKQEHSGLSFSGMTGESSAGEHQDCGASSMLLMGEPPWCSMATETSFQSSNRSDAVMRYKEKKKARKFEKKVRYASRKVRADTRRRVKGRFVKAGEAYDYDPLSQTRSY >KGN45588 pep chromosome:ASM407v2:7:19112386:19113246:-1 gene:Csa_7G452920 transcript:KGN45588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNVPVIAKKVWNLVRVAYFLLRKGISKSKVNMLDLNLMMKRGKIAGKAISNLMFQHHYAQHQHHLPHQLPFDVAADDYEFSCSNTPSYHYFGKRRRNNPNHNSFFACAHAPQTLDDDLPTLNALKAVVDILNNSNNNTHPPSSPAPIPVRQLRITDSPFPLQDPNADPLVDKAADEFISRFYKELSLQKTPQHN >KGN44106 pep chromosome:ASM407v2:7:6928315:6928594:-1 gene:Csa_7G191190 transcript:KGN44106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEEERKDEGRGKERGRKNEKDEEEKKRRGKMKEEERKEEGRTKKTKRKSLERKEKLFGMKAE >KGN44970 pep chromosome:ASM407v2:7:15455777:15456154:-1 gene:Csa_7G404780 transcript:KGN44970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRAPSLCKRNKIPANITNVAMADALAVEGMEEFLSLDRSGVPASTMEAEVIYRKFH >KGN43657 pep chromosome:ASM407v2:7:3229374:3233029:-1 gene:Csa_7G051370 transcript:KGN43657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATTHFAPSISASSAKTLKHAAALHPLAPSSLSFLSSSSSGLNALKAVGISAANGIGSALGARMVSVPAIKPLISLDFDTSVFKKEKVNLAGHDEYIVRGGRDLFPLLPEAFKGIKKIGVIGWGSQAPAQAQNLRDSLAEAKSDIVVKIGLRKGSRSFAEARAVGFSEENGTLGDIYETISESDLVMLLISDSAQADNYEKIFSHMKPNSILGLSHGFLLGHLQSSGLDFPKNISVIAVCPKGMGPSVRRLYVQGKEVNGAGINSSFAVHQDVDGRATDVALGWSIALGSPFTFATTLEQEYRSDIFGERGILLGAVHGIVESLFRRYTENGMAEELAYKNTVESITGIISRTISTKGMLAVYNSFSPDEKKEFERAYSASYYPCMDILYECYEDVASGSEIRSVVLAGRRFYEKEGLPAFPMGNIDQTRMWKVGERVRQSRPAGDLGPLYPFTAGVYVALMMAQIEILRKKGHSYSEIINESVIESVDSLNPFMHARGVSFMVDNCSTTARLGSRKWAPRFDYILTQQALVAIDNGSAVNQDLISNFLSDPVHGAIEVCAQLRPTVDISVPADADFVRPELRQSN >KGN43200 pep chromosome:ASM407v2:7:494828:495136:-1 gene:Csa_7G008960 transcript:KGN43200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRLPRIVTGTVTQSLQRSSSTRNGASPRANDVPKGYFAVYIGEEQKKRFVIPLSYLNQPSFQDLLSQAEEEFGYNHPMGGITIPCNEVYFLDLTRSLNDS >KGN44653 pep chromosome:ASM407v2:7:12916131:12919158:-1 gene:Csa_7G363070 transcript:KGN44653 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fk506-binding protein MKASLVFLFLLGCTLIYAKTTKDVTELQIGVTGRPASCDIQAHKGDRIKVHYRGKLTDGTVFDSSFERGDPIEFELGSGQVIKGWDQGLLGMCVGEKRKLKIPAKLGYGPQGSPPTIPGGATLIFDTELVAVNGKPSSGGNSNDVDDSEL >KGN43459 pep chromosome:ASM407v2:7:2049695:2050108:1 gene:Csa_7G037625 transcript:KGN43459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVEILDGTTIVNFVEDEAAFNAYIRAQFAHLDTDHDGLLSYNEMLEELQSLRVFDADFGNDEKLGPDELSSVYSSLFLQFDRDSDGMVDLDAYKTEIKRMMLAMANGIGFLPVQMVLEEGSFLMKAVERETAIMAA >KGN44276 pep chromosome:ASM407v2:7:8613792:8614473:1 gene:Csa_7G238400 transcript:KGN44276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQLIRRLSRVADSSHYSLLRSDSPSSAAAKLRRSRILRSSTVPQGHVPVYVGEEMERFVVSAHLLNHPVFIELLDKSAQEYGYQQKGVLHIPCHVLLFERVLEALRLGDFDSRHLQDLLSNLSLQS >KGN44521 pep chromosome:ASM407v2:7:11298096:11301164:-1 gene:Csa_7G324150 transcript:KGN44521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMDPNFSSSKPPHPVAMDIEHMPENPHRASHHRRSHSDTSFRFPNLDELLFFDPSELDLSMLSSPSSPPTAATPIAVNSSSAKFSDDAVRPKPEPIASGPFGGHLRSLSMDSDFFKNLDLGGDSGEIDSLGKKTPVSEQRPVRHRHSLSMDGSSSSFEADSTLVIDGVKKAMDPERLAELALIDPKRAKRILANRQSAARSKERKIRYTNELERKVQTLQSEATTLSAQVTILQRDTSGLTVENKELKLRLQAMEQQAQLRDALSEALKEEVQRLRIAAGQVASINGNPFNRPPQYTSSRPPVHHFSSSHAQQGQQQPPPMLATNQQQSDPKWTNSSQLLSRSPDGETKP >KGN44391 pep chromosome:ASM407v2:7:9866845:9868698:1 gene:Csa_7G279250 transcript:KGN44391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICSLSLLHVSPLHHRPSTHLLHNFTSPFELKQLHAHLLKTNSPLSSLPLSRVASVCAFNSSFSYAKLIFQLLDASEVTHWNTCLRSFAEGDSPADAISLFYRLREFDISPDHYTCSFVLKACSRLLDVRNGKIVHGYVEKLGLQSNMFLQNMIVHLYALCGEIGVARKVFDKMPQRDVITWNIMIARLVKMGDAEGAYKLFAEMPERNVRSWTSMIGGYAQCGKSKEAIDLFLEMEDAGLLPNEVTVVAVLVACADMGNLVLGRRIHDFSNRSGYEKNIRVCNTLIDMYVKCGCLEDACRIFDNMEERTVVSWSAMIAGLAAHGRAEDALALFNKMINTGVKPNAVTFIGILHACSHMGMVEKGRKYFASMTRDYGIVPRIEHYGCMVDLFSRAGLLQEAHEFIMNMPIAPNGVVWGALLGGCKVHKNIKLAEEATRHLSKLDPLNDGYYVVLSNIYAEAGRWEDVARVRKLMRDRGVKKTPGWSSIMVEGVVYNFVAGDDTHPQTEEIFQTWEKLLQRMKLKGYVPNTSVVLLDMEEDQKEKFLYRHSEKLAVVFGLIKTTPGTVIRIMKNLRVCEDCHAALKIISVVSTREIVVRDRNRFHCFKNGSCSCGDYW >KGN43743 pep chromosome:ASM407v2:7:3828197:3828499:-1 gene:Csa_7G064045 transcript:KGN43743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRASTTLTLIMKSGKYALGYKTVLKTLMNSKGKQIIIANNCPPVRKSEIKYYAILAKVGVHHYNGSNVDLGTVCGKYYRECCLSIIDPGDSDIIKSMPES >KGN44882 pep chromosome:ASM407v2:7:14994667:14995077:-1 gene:Csa_7G394020 transcript:KGN44882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIRPLLSIAFLALFFFSESLLTSHSLTGIPHRTILNTAAHRIVDGSVEYSSYQIRKSNKLHIKDIRKRRSGPILVSSSNGNHHKSAANRPSPVASLEVGSMLCICIFLGLFL >KGN45173 pep chromosome:ASM407v2:7:16702325:16708511:-1 gene:Csa_7G429590 transcript:KGN45173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFFKSLTALSKLRSRVGQQSNLSNSVRWLQMQSSSDLDLQSHLRELIPEQQDRLKKFKAEHGKVQLGNITVDMVLGGMRGMTGLLWETSLLDPDEGIRFRGLSIPECQKLLPAAKPDGEPLPEGLLWLLLTGKVPSKEQVDALSRELQSRATVPDYVYKAIDALPITSHPMTQFATGVMGLQVQSEFQKAYEKGIHKSKYWEPTYEDSLNLIAQVPLVASYVYRRIYKDGHIIPKDDSLDYGGNFSHMLGFDSPQMQELMRLYVTIHSDHEGGNVSAHTGHLVASALSDPYLSFAAALNGLAGPLHGLANQEVLLWIKSVVEECGENITKDQLKDYVWKTLNSGKVVPGFGHGVLRKTDPRYTCQREFALKHLPDDPLFQLVSKLYEVVPPILTELGKVKNPWPNVDAHSGVLLNYFGLTEARYFTVLFGVSRSLGICSQLIWDRALGLPLERPKSVTMQWLEDYCKKAT >KGN45314 pep chromosome:ASM407v2:7:17433215:17433567:1 gene:Csa_7G433920 transcript:KGN45314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLNSIRSLPGCLDNVRKVENEVRQKMKFQWNQQNIGNLTAMPNLRKPVWLSLMAEKICAVLPWNFVENENTHLP >KGN45079 pep chromosome:ASM407v2:7:16130568:16153049:1 gene:Csa_7G420720 transcript:KGN45079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASESTSLDSILLEDFGQKVDLTRRIREVLLNYPEGTTVLKELVQNADDAGATKVCLCLDRRVHGRESLLSASLAPFQGPALLAYNNAVFTEEDFVSISRIGGSNKHGQAWKTGRFGVGFNSVYHLTELPSFVSGKYVVMFDPQGIYLPKVSASNPGKRIDFIRSSAISQYRDQFLPYCAFDCTMESSFAGTLFRFPLRNTDQAARSKISRQAYTEEDISSMFAELYEEGVLTLLFLKSVLCIEMFVWNDGETEPQKLYSFSLRSANSDIIWHRQMLLRLSKSTTSTQSEVDSFSLEFLSQAMNGTQTEERIDSFFIVQTMASTTSRIGSFAATASKEYDIHLLPWASLAVCTTASSNDSVLKLGRAFCFLPLPVKTGLTVQVNGFFEVSSNRRGIWYGADMDRSGKIRSIWNRLLLEDIIAPAFIELLLGVQVLLGPTDTYFSLWPNGSFEEPWNILVKQVYKIISNALVLYSNVDGGKWVSPNEAFLHDDKFARSTELSEALVLLGMPIVHLPETLSNMLLKFCSTFQQKVVTPCTVRHFLRECKHVFTLNRPYRLVLLEYCIEDLIDADVCTHLFGLPLLPLANGDFGLFSEASKGISYFICDELEYKLLHQISDRAIDRSIPLTISTRLSNIAKSSNSNLFILNVHYFLQLFPKFVPADWKYKSEVFWDPESCSNHPTSSWFLLFWEYLRDHCENLSLFSDWPILPSKSRYLYRATKQSKVINVQMLSHEMQNILGKLGCKLLDPYYKVEHRDLIHYVNDGNCTGVLDSIYDAISSTGGLMLTSLYNLEVEEKDGLRRFLLDPKWYLGGCMDDNDLDKCRRLPIFKVYNGRSAQDFCFSDLEDPQKYLPPLDVEECFLGVEFIISSSDSEEEILLKYYGIKRMGKTSFYRKYVLNEVGQLQPELRDSTMLSLLVNLPQLCTEDVTFRECLSNLDFIPTSSGTLRCPAVLYDPRYEELCALLDDFDSFPSTPFNESNILDILQGLGLRRCVSPETIVQSALHVERFMHKDQNKAHSKGKVLLSYLEVNAIKWLLNSTNEDQGMVNRLFSTAATAFRPRNFTSDLEKFWNDLRKISWCPVLLSPPFETVPWPVVSSVVAPPKLVRLPKDLWLVSASMRILDGECASSALAHSLGWSSPPSGSIIAAQLLELGKNNEIIYDQMLRKELALAMPRIYALLTSLIGSDEMDVVKAVLEGCRWIWVGDGFATSEEVVLEGPLHLAPYIRVIPIDLAVFKDLFLELGIREFLKPNDYATILSRMATRKGSSPLNTQEVRAAILIVQHLAEAQLPQQQIDIHLPDISCRLFPAKNLVYNDAPWLLGTDNTDVSFDGGSAAFLNARKTVQKFVHGNISNDVAEKLGVCSLRRILLAESADSMNLSLSGAAEAFGQHEALTNRLRHILDMYADGPGILFELIQNAEDAGSSEVIFLLDKTHYGTSSVLSPEMADWQGPALYCYNDSVFSPQDLYAISRVGQESKLQKPLSIGRFGLGFNCVYHFTDVPTFVSGENIVMFDPHACNLPGISPSHPGLRIKYAGRKILEQFPDQFSPYLHFGCDMQKPFPGTLFRFPLRSSALASRSEIKKEGYAPEDVISLFFSFSEVASDALVFLTNVKTISIFIKDDIGHEMQCLYRVHKNTISEPTTKSTAQQDIMNFIYGNRRGEMDREQFLTKLNKSINKDLPYMCQKLIITEKGSGGDILQHFWISSGCLGGGLPRNNSGVGDRSYNFIPWASVAALLHTVKVDEEMNHDPETENNWLAASDLVQVSSASVQDRKPLEGRAFCFLPLPIKTGLPVHVNAYFELSSNRRDIWYGDDMAGGGRKRSEWNSYLLEEVVAPAYGRLLEKVASEIGHFGFFSSFWPAAAGVEPWGSVVRKLYSFIGDFGLLVLYTNARGGQWISAKQAIFPDFSFDKVHELIEALSDSGLPVISISKSIVDRFMEVRPSLHFLTPHLLRTLLIKRKRAFKDRKATILTLEYCLVDLKLPLQSDSLCGLPLLPLVDGSFTSFHKNGIGERIYIARGDEYGLLKDSVPSQLVDFDLPEVVHAKLCEVAQAENLNICFLSCDLLEKLFLRFLPTEWQNAKQVNWKPGYQGQPSLEWIRLIWCYLKSHCNDLSQFSKWPILPVGESSLMQLVQNSNVLRADGWSENMFSLLLKVGCLFLRRDMPIEHPQLENFVHSSTAIGILNAFLSIAGDIENVERLFHNASEGELHEFRSFILQSKWFLEEKMEAIHVDVVKRIPMFESYKCRKLVSLSKPVRWIKPTGLSEDFLNDDFVRVESEKERIILKKYFGIGEPSRVEFYKDYVLSHMSEFLSEREAISTILLDVKLLIEDDVSLKSSVSMIPFVLTGNGSWQPPSRLYDPRVHELKNMLHEEAFFPSEKFLDDNILDALVSLGLKTSLCLSGLLDCARSVSLLNDSNNSESQSQGRRLFVCLDALAHKLSINVEENCYEPQNSMLFKSDHVDDDASMQVGSLNRKDTSDMGIDSIIGNLAIDGSEEEFWSEMKTIAWCPVCADSPVKVLPWLKTGSQVAPPNNVRPKSQMWMVSSSMYILDGVSPSVYLQQKLGWTDCPSVEVLCAQLTDISKLYGELKLHSSTGSDINTALQDGIPILYSKLQEYRGTDDFVLIKSALNGVSWVWVGDDFVSPNALAFDSPVKFSPYLYVVPSELSEFRDLLSELGVRLSFNVKEYLGVLHRLHRDVRGSPLSTDQMNFVICVLEAVSDCCVDMPEFTATSIPLLIPNSSQVLMLANDLVYNDAPWMEDNNILVGKHFVHPSISNDLAGRLGVQSIRCLSLVDEEMTKDLPCMDYSKISELLKLYGNDYLFFDLLELADCCRAKNLRLIFDKREHPRQSLLQHNLGEFQGPALVAIFEGSSLSTEEISSLQFRPPWKLRGDTLNYGLGLLSCYYVCDLLSIISGGYFYIFDPRGIALSVAPKSAPGAKVFSLIGSNLIERFNDQFYPLLGGQNMSWPSDSTIIRMPLSPACLKDGLESGIIRIKELSSKFLDHASRSLLFLKSVVQVSFSTWDQDGLHLHQDYSVCVNLSSAIARNPFSEKKWKKFQLSRLFSSSNAATKVHAIDVILLQGETQFVDRWLVVLSLGSGQTRNMALDRRYLAYNLTPVAGVAAHISRNGLPADIYRKSPLMAPFPLSGDIILPVTVLGCFLVCHSGGRYLFKNQVLEGLVEPLDAGNKLVEAWNRELMSCVCDSYIFMILEIHKQRKESSSSTLESNVSHSISLSLKAYGNQVYSFWPRSEPANFSNSDLDRGLKADWECLVEQVIRPFYTRAIDLPVWQLYSGNLVKAEEGMFLAQPGSPVGGNLLPATVCSFVKEHHPVFSVPWELIKEIQAVGITVRQIRPKMVRDLLRAPSASIVLQSIDTYLDVLEYCLSDIVLAASPNHAVDNMGSDSVNTTSGGRSTNSTEGSSTSVPVSSMHSFGRSSNQNAASSGDALEMMTSLGRALLDFGRGVVEDIGRNGESSSHGNTFTGRINSSYRNVDQHFLQMVSELKGLPFPTASNSVVRLGSMELWLGSKDQQELMIPLAAKFVHPKIFDRSILGNILTNDALHKFLKLQKFSLNLLATHMRSVFHANWVNHVMSSNMAPWFSWDNKSNAGVEEGPSSEWIRLFWKNSSGSSENLLLFSDWPLVPAFLGRPILCRVKERHLVFLPPITHPASLNSISEVVAGGSDVAETSSSEISKPESIQPYTSAFQRFQDTYPWLFPLLNHCNIPIFDVAFMDCDALCNCLPNSSQSLGQAIASKFVAAKNAGYFPELASLSDSNSDELLNLFAKDFVSNQTNYRREEHEILRTLPIYRTVIGSYTQLREYEQCMISSNSFLKPYNKSCLSYSSNSMEYSLLRALGVPELDDQQILVKFGLPGFHSKPQSEQEDVLIYLYTNWKDLQSDAQLVECLRETKFVRSADEFCTDLFKSTELYDPSDALLMSVFSGERRKFPGERFGADGWLQILRKIGLRTAGEANVILECAKKVETLGSEWRKLEENSFDFDLTNAQNEVPMEIWTLAASVVEAVFSNFAVFYSNSFCNALGNIIFVPAELGFPNLGGNKGGKRVLTSYSDAIVSKDWPLAWSCAPILSKHSVIPPEYSWGALNLRSPPAFPTVLKHLQVTGRNGGEDTLSHWPISVGVMSINEASCEVLKYLERIWSSLSSLDILELQRVAFIPVANATRLVKANVLFARLTINLSPFAFELPSGYLSFVKILQDLGLQDVLSAASAKDLLSSLQVACGYQRLNPNELRSVMEILHFICDEATEEKMFDGRELEIIVPDDGCRLVHAASCVYIDTYGSRYIKCIDTSRLRFVHPDLPERICRMLGIKKLSDLVIEELDHEDSIDPLEHIGAVSLGFIKTKLLSKSFQNAVWNIANSMVNYIHPNKNLDLEAVEELLKSVAERLQFVKCLHTQFLLLPNSINITRSAKDSIIPEWEDGSHHRALYFIKQSKSYILVAEPPAYISVFDVIAIILSQILGSPIPLPIGSLLFCPEGTENTIIDILNLCSEKKEKEKYTGISSLVGKEILPQDALQVQLHPLRPFYAGEVVAWRSKSGEKLKYGRVLEDVRPSAGQALYRFRVETAAGIIQSLLSSQVLSFRSIPIDGGSSSTNLQDKSLMVSDSGASIKMPEISEGGRIRAQPVAELQYGKVSAEELVQAVNEMLTTAGINVDIERQSLLQKALILQEQLKDSQAALLLEQEKSDAAAKEADTAKAAWLCRVCLTSEVEITIVPCGHVLCRKCSSAVSKCPFCRLKVSKIMRIFRP >KGN44582 pep chromosome:ASM407v2:7:12081757:12083377:-1 gene:Csa_7G337090 transcript:KGN44582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQRLLHAIFTVDPTPDEFHQILLLVKDLYRPWRLGEVANNFSQGCIPPITTTVTVLCFEFVDL >KGN45008 pep chromosome:ASM407v2:7:15703609:15704626:-1 gene:Csa_7G407610 transcript:KGN45008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNVTSVVSGVGKVVLSNGSIQEFNEPFTVAELMLEHPRQVVVEISKSTVVGKRPTPLPADEKLNSNKVYMMLPIRGGKPASLSSEDIRRVLLCANSALRSRSLLLSSSKVLPWFARACTATTTTASTTTREYRADTKKELDVVKMEGEEVEWETVEGRPEYLSRQLSGRGWKPSLDTIKEKKFEKKLSHWLFKF >KGN43203 pep chromosome:ASM407v2:7:500071:500697:-1 gene:Csa_7G008990 transcript:KGN43203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRLPRIVTSKQSLQRSSSTGNGESPKAVDVPKGYFTVYVGEEQKKRFVIPLSYLNQPSFQDLLSQSEEEFGYNHPMGGITIPCSEDCFLDVTERLNES >KGN43362 pep chromosome:ASM407v2:7:1475092:1475389:1 gene:Csa_7G027780 transcript:KGN43362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLDEKRQRQRQRQLTVKKEVVVISVRNESNVDLRAAEDVDNVIRDVRIKRRGKEG >KGN43245 pep chromosome:ASM407v2:7:721674:724648:-1 gene:Csa_7G011850 transcript:KGN43245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFFGTILGFFGFGVGISIGLVVGYFLFIYVQPNNVEDHEIRPLLDEDTIRLQQMLPEIPLWVKCPDYDRVDWLNRFIEYMWPYLDKAICKTARNITKPIIAEQIPKFKIDSVEFEELTLGSLPPTFQGMKVYSTDEKELIMEPSIKWAGNPNVLVVAKAFGLKASVQILDLQVFAAPRITLKPLVPSFPCFANIFVSLMEKPHVDFGLKLVGADLMSIPGLHQFVQAL >KGN43791 pep chromosome:ASM407v2:7:4142026:4145431:-1 gene:Csa_7G067460 transcript:KGN43791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFRTAQIPLVSSHREVYEPCDDSFALVDALLADQVKLLNHHPTLCLEFGCGSGYVITSLALILGKEASATHYIATDINPHAIRVTQETLEAHGLHAELVCTDIASGLEKRLAGSVDVLVVNPPYVPTPEDEVGQDGIASAWAGGENGRSVIDRILPVADVLLSDKGWLYMVTLTANNPAQICLQMREKGYASRIVVQRSTEEESLHVIKFWKDADLQVDGKDSTHKTGPGKVVESLISQLPRLSFWRNNSNTSR >KGN43369 pep chromosome:ASM407v2:7:1502324:1505311:1 gene:Csa_7G027840 transcript:KGN43369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGFVAMIVYYLVLLQTFNIFAAKDEEWISATATYSKETDGSIVTEGACGYGDLHKISYGKYSAGLSSMLFNRGSTCGACYELRCVDHILWCLQGSPTVILTATDFCPPNYGLSSDYGGWCNFPKEHFEMSEAAFAEIAEKKADIVPVQYRRVRCDRSGGMRFTVSGNYRFFQVLITNVGMDGELVAVKVKGSRTGWIPLARNWGQNWQSNVNLHGQPLSFEVTSSSGRALTSYSVAPGNWQYGQTFEGKQF >KGN45185 pep chromosome:ASM407v2:7:16755977:16757131:1 gene:Csa_7G430200 transcript:KGN45185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTLRAAIPKLGAVLLFVFLLLQVSKATISCSDVTKDLMPCVSYLMSGSGKPPSACCDGAKALSSAATSSADKKAACECIKSAASNVNYNVKLAQDLPSNCGISLPFSISAGIDCSKIN >KGN44259 pep chromosome:ASM407v2:7:8396612:8398725:-1 gene:Csa_7G236270 transcript:KGN44259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILNSAAAIAKEVPVRPLWKPIRASSNISTQQLRQELTHLHSEAETTRTKANSARLRLLRLSEAAEKLRQQAAISVRTGKENEARDLLFQKKKVMQALEKSNSRIKLLDELSAKLNEAIYVKESQLIGNIDLDLTMATEDGSSPIRIATSEQEAPKDSEETHFETKDVNLPENQDVHPSAGEDHASTDNDREQEVPPCSDLGSEDERVNSMKGASSYEDFMENLDRQLNTIEDELDGVLRASTVLLDDDDKQKNRRVQQILELQESIRLIRKRVSSFKLANVNIR >KGN44610 pep chromosome:ASM407v2:7:12392479:12392814:1 gene:Csa_7G342780 transcript:KGN44610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKEAGSLLDNLIVAFNGRIAELQDLVIARNMYPASCLPDLSAVDASLKVMELQVQAIKKQLREEAEAIPKAKVYSSLH >KGN43727 pep chromosome:ASM407v2:7:3723127:3723672:1 gene:Csa_7G063420 transcript:KGN43727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMESVSQTEKPHAVCIPYPVQGHITPMLMLAKLLHHRGFYITFVNTDYNHRRLLQSRGPNSLDGLQDFKFRTIPDGLPYSDANCTQDIPALCESTSKNCLAPFCDLISQLNSMAASPSSNMPPVSCIVSDAVMSFSMLAANEFKIPYAFLWTASACGYLGYFQYEHLIKQGLIPLKGMVSF >KGN43613 pep chromosome:ASM407v2:7:2947266:2947695:-1 gene:Csa_7G047970 transcript:KGN43613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKTGLFAASVAAASATALSAPPASPGLLKTNFSRQEKVTRDEESTTPARRSPPSTAADQFAPRFDGLRFIETLVTAHR >KGN44744 pep chromosome:ASM407v2:7:13756230:13759756:-1 gene:Csa_7G375860 transcript:KGN44744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQTKFVSNWKGIGSVPETYVCPPEKRVGVSVKKKIPVIDLATEDRDLLSKKVLDVSQEFGFFQVINHGVSKALVEETMRVSREFHAMSSEDKEMECSKDPNKSCLIYSSTPNYEKEELHLWKDSLRLICYPNLNKNVQFWPQNPPKFREVMEAYLVVMKKFSLEILEVLSEGLGLEKEYFSGGMSNNPEFLVHHYPPCPNPSLTLGLNKHADPTVITILFQDVNGLQFLKDGEWIDVDPLPDAFLVNLGYVFEVISNGKMKAAEHRVVTNTTTSRQSLAYGVYPENNMTIEPSKCFINEANPPHYRTLEFKDFVNKLRSKHPDREMAMKFISFNQPY >KGN44174 pep chromosome:ASM407v2:7:7607294:7612651:1 gene:Csa_7G212650 transcript:KGN44174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDRSKTLVSKVRWLVGQQLMKQGSLFCEVQEEETKVAFSSMQQRPMIQMNGRNFSSDNGDLLDVVVPPLAESITDGTLAKFLKNPGDRVELDEAIAQIETDKVTIDVTSPGAGIIQKFVAKEGDTVEPGTKVAIISKTGEGVTHVAPSEKTSEQAAPQAAPAEKIEKPKAETTVSEKPKPKAPSPPPPKRSATEPQLPPKDRERRVPMTRLRKRVATRLKDSQNTFAMLTTFNEVDMTNLMKLRSDYKDAFVEKHGVKLGLMSGFIKAAVSGLQNQPIINAVIDGDDIIYRDYIDISIAVGTPKGLVVPVIRNADKMNFAEIEKEINTLAKKANDGTISIDEMAGGSFTVSNGGVYGSLLSTPIINPPQSAILGMHSIVSRAVVVGGNVVPRPMMYIALTYDHRLIDGREAVFFLRRIKDVVEDPRRLLLDI >KGN44992 pep chromosome:ASM407v2:7:15585401:15586485:-1 gene:Csa_7G405970 transcript:KGN44992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLGASRLHKNKDNDETSPPDTNVWKRTGRMQSTLRAIAPKSNWAFWVTQFQSLRRGGLTLTTSRTADPSLHANDDDDDNDPAVLSGEPERSQDNLEPDNAKANYDRRDDPKQGDSKGPFGKPTAQHASSPRLETTVVGQASKPITQQKRAHSIDDVSCIGVYGGPLEQGKENRTTEMKEEEEDNRDYYKHHKASPLAEIEFADTRKPITRATDGTAYDGESGTVIGWLPEQVDTVDDSLRRATEIWKQNAMRGDPDAPQSRVLRALRGEEF >KGN43294 pep chromosome:ASM407v2:7:1088605:1089313:1 gene:Csa_7G018760 transcript:KGN43294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGMNQIREFKVYSNEEERKTWLKFSRPVLNQRKKAKYKMKKTPINVLRKILETGANGIFANPELN >KGN44248 pep chromosome:ASM407v2:7:8329105:8330353:1 gene:Csa_7G234690 transcript:KGN44248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFIHAKICPTTISTLDRSLISEYGRKLPSRSRVVLPYQEVCRRHLVWNGMSLVVFLTFNNGLTPSSAQAEEMPNNMEEEDNGVIGTIKSIFDPNEKTKSGKILPKAYLKSAREVVKTLRESLQEDPKDGAKFRRTADAAKESIRDYLSNWLGKQTVVQEESYVVLEKAIRSLAGFYAKAGPSAPLPEAVKSDILDDLDKVEESL >KGN43882 pep chromosome:ASM407v2:7:4730755:4733232:-1 gene:Csa_7G072200 transcript:KGN43882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVQVFSTEKTRDYCNKEEETFMHSLVLHKDPAILVINKPPGLPVQGGIGVKRSLDELAASCLSYGYSEPPRLVHRLDRDSSGILIMGRTSTSTSLLHSVFREKTFEASKHANHVDSEKKILLKRYWALVIGSPRRSKGLITAPLGKIVLDDGRSEQIMVIDNAEPMPFQQAITQYKVIKSHHGYTWLELCPLTGRKHQLRVHCAEVLGTPIVGDYKYGWQAHKNWKPFCSNFHKNQGKKQFLPFGLDFESGSIAENNPRLHLHCKQLTLPDVSFALHNDSLDRDCSRLECLELDAPLPSYMQKSWDIINS >KGN45064 pep chromosome:ASM407v2:7:16038737:16044348:1 gene:Csa_7G419600 transcript:KGN45064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDSCAVCADTLEWVAYGSCGHRDVCSTCVARLRFICGDRRCCICKSESAVVFVSKALGDYTRTINDFTVFPSEPKEGRCGSYWYHEDTQAFFDDADHYKMIKAMCRLSCSVCDKIGEDQPNDASKRRGRFRNIEQLKGHLFHRHKLFMCSLCLEGRKVFICEQKLYNRAQLNQHIHTGDSEVDGSESERGGFTGHPMCEFCRTPFYGDNELYTHMSTEHYTCHICQRLHPGQYEYYKNYDDLEIHFRQGHFLCEDEACLAKKFVVFQSEAEMKRHNTIEHGGKLSRSKRNAALQIPTSFRYRRSNDQDNRRGRRTFRRDSSDDLLSLALQESFETANVDDNNHDPLPSGQVASDQENLSNVDPLIESFEALATTDPESASRYLQALGHSRNSQLEQSSFPPLSTASSSSHPKPNQDKDIIHNNSMAAHLRRQRNNVTVLNSAGWPKSSRAPVLPSNNSSQAWPAINSNHAASSSSGQTKGVATINNGPSVSAYANAAQMHPKPRSTSSSGSGSSSRISHSASAPNLTDIAHTEPSVNEFPPVSAAHARKVPSSSQSSMNMEDVQTANKSLVEKIRAALDFDQDRYSIFKDISAQYRQGQIDTEMYLDCVQQFGLSHLLLELARLCPDPQKQKELVETYNASFHKDVFPVNGRAQDSIQIKDKSKGKKGKGKSIEVKDSSSKDKLADSIMSSVRELQSSYRPPDEDVEVLSKGEYRTSKGKLKISSDDQQGGTGRQKSQPSTGLSNQSTGDGGGGGGGSKQKKKTSKFHRVRLGDGSVAALLDLKNSNLGSDPDPDERVEDRNNGAGALPVRGVWRNGAQKLFS >KGN43343 pep chromosome:ASM407v2:7:1363001:1363228:1 gene:Csa_7G024170 transcript:KGN43343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLELEVDGEDYRTVGRLSARIWNAAGFDGAATERCRREDEEVTAAGRTRSTRRLRVRRAAGFDDEEDTRRRWLG >KGN43458 pep chromosome:ASM407v2:7:2046439:2046972:1 gene:Csa_7G037620 transcript:KGN43458 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium ion binding protein MSVEILNSATIMNFVEDEEAFSGWIRERFSHLDIDRDGVLCYGEMLKELQSLRVLETHFGIDTKPDPNELSSVYGSLFLQFDRDCNGKVDLGEFMEETKKMMLAMANGIGLSPVQMLLEENSFLKKAVDRESTKLAAA >KGN43546 pep chromosome:ASM407v2:7:2608726:2609609:1 gene:Csa_7G044860 transcript:KGN43546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQSKPFLPILLLIFLSALGNIITTVNASYLYHTCSSSDNYTMNSLYASNLKQAVDRLTNSAPPSGFGLSSTGGKDSQNQVNGLALCRGDISPNDCKTCVTSAGQDIQQRCPYKKGAAIWYDSCLLKYSNAKFFGKNQNGGFRFYLVNVREADDPTSFKEQVKNLLNGLSETAKTSRNLYAIGDLEIGSSRKLYGLVQCTRDLWTADCKKCLDDAIAELPYCCANGAKVGGRVVGGSCNFRYETYPFFTA >KGN44736 pep chromosome:ASM407v2:7:13689108:13690744:1 gene:Csa_7G375780 transcript:KGN44736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPCCEKVGLKRGPWSFEEDQILIKFIQLNGHSNWRALPKKAGLLRCGKSCRLRWTNYLRPDIKRGDFTQEEAQTIITLHQLMGNRWAAIAARLPGRTDNEIKNVWHTHLKKRVIQNEILKDQTKQNKNDDNHFHDQLSCSSEIKLDGEEDIDRCIKNNNDDKDAELAELAFAEDFLKSLLLEEEFSENDWNNLGKDCVMIKDVVDESPKEVEIPLSGEEEMSNCSSSDTVDIMDFWRNVFMKDGELQEINI >KGN45556 pep chromosome:ASM407v2:7:18927340:18931187:1 gene:Csa_7G452110 transcript:KGN45556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVVGSLYVEFSASTRARPTRKDLVVAFIIMPWEFLSDLLQIRIQVSYLGLLGLPRLGHLLEYKQLDRENFSPIIGIMLSLATLLFRLYKPFILCPTFPLAVLELI >KGN44151 pep chromosome:ASM407v2:7:7362960:7366931:1 gene:Csa_7G207020 transcript:KGN44151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLRLSSTDDANPWPPGFRFHPTDDEIILYYLKRKICGRKIKLDVVADIDVYKWDPEELPGLSKLKTGDRQWFFFCPRDRKYPNGAKSNRATSQGYWKVTGKDRTVKCNSRNVGVKKTLIFYRGRAPKGERTDWVMHEYTMNEEELTRCTNVQSYYAVYKVFKKSGPGPKNGEQYGAPFKEEEWVDDEFCDFNFSDGQEMPAEKPKMDATDIEGETCQATHFSLDDFDRWMKQISDEDLFQSLEADPFANSLSLGAGQEDHVSTIDLHSPEYILPRSVEMCNSEQQPSILPESDSNSDVSQLHLFQNHDARSPPNVSELPSFLFEGDYLEMDDLGGPEFNLSNIEKPSGSGNLQFEEINGLNELDQFHDAAMFLNDFGPFEYGPSPNLYKNENSSNVVNPMDGQFQSNPAVTSQISNQMLYDSAINGLASSETQRTSGVLCDSSNFVGEANENEVGEDNSASRVSSVFWAFVESIPTTPASAAEVNESFDRIPSFSRRRLNMNSTTVSSINSSSLITRRSGSKKGRFFLFSIVGALFAILWVFMGAVRMWQRSISL >KGN45338 pep chromosome:ASM407v2:7:17574778:17580679:1 gene:Csa_7G440590 transcript:KGN45338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITMANEEARHSDVIDPLAAYSGINLFSTAFGTLPDPSKPHDLGTDLDGIHKRLKSMVLRSPSKLLEQARSILDGNSNSMISEAATFLVKNEKNEEATVKAEENLQERRPALNRKRARFSLKPDARQPPVNLEPTFDIKQLKDPEEFFLAYEKHENAKKEIQKQTGAVLKDLNQQNPSTNTRQRRPGILGRSVRYKHQYSSIATEDDQNVDPSQVTFDSGIFSPLKLGTETHPSPHIIDSEKKTDEDVAFEEEEEEEELVASATKAENRINDILNEFLSGNCEDLEGDRAINILQERLQIKPLTLEKLCLPDLEAIPTMNLKSSRSNLSKRSLISVDNQLQKIEILKSKQDNVNLVNPVSTPSSMRSPLASLSALNRRISLSNSSSDSFSAHGIDQSPSRDPYLFELGNHLSDAVGNTEQSSVSKLKPLLTRDGGTVANGIKPSKILSGDDSMSNISSSNILNVPQVGGNTALSGTYASTEAKNVSVSSTDVEINEKLSCLEAQADAVANMQIEDHEGSASEQPKLSEVDLIKEYPVGIRSQLDQSAATCTENIVDGSSRSSGTEHRDEMEDHEGSASEQPKSSKVDVIKEYPVAIQSQLDQSTTTTCAENIADGASRSSGTDHHDGEQVKPKSRANKQHKGKKISRRQSLAGAGTTWQSGVRRSTRFKTRPLEYWKGERLLYGRVHESLTTVIGLKYVSPAKGNGKPTMKVKSLVSNEYKDLVELAALH >KGN43716 pep chromosome:ASM407v2:7:3640334:3644250:-1 gene:Csa_7G062820 transcript:KGN43716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHFGRSGPPDITDTYSLLVLNITFRTTADDLYPLFHKYGKVVDIFIPRDRRTGDSRGFAFVRYKYADEAQKAVERLDGTMVDGREITVQFAKYGPNAERIHKGKISEAFPKSRYRSRSRSPRRRHRDDYRDKDYRRRSRSRSRSRSLDKNDRDRRGRERERERDHRRRSRSISPRRPSPDYSKGRGRGRYDDERRSRSLSRSRSRGRSRSVDSGSPGRRSPSPRRSPSPRRSLSPRRSPSPREESPERNGNGVGRSPTSRSVSPRGRPADSRSPSPQNSDDK >KGN44443 pep chromosome:ASM407v2:7:10243740:10250275:-1 gene:Csa_7G291140 transcript:KGN44443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTHEPSISPNDNLKGFLLAMLSSAFIGSSFIIKKLGLRRAGASGSRASSGGYGYLLEPLWWIGMITMIVGEFSNFVAYIYAPAILVTPLGAISIIVSAVLAHFFLKEKLQKMGVLGCILCVVGSTMIVLHAPGERTPSSVDEIWELAIQPTFLLYTASVIAIVLFLVLYCEPRYGQTNILIYVGICSIIGSLTVMSIKAIGIAIKLTMEGWSQVAHFQTWVFLMVAISCIIIQLNYLNKALDTFDTAVVSPIHYAMFTSFTIFASVIMFKDWSGQSASSIASELCGFITILSGTVVLHDTRSSDPASVSEMYMSVSPQVSWYFPANGDTWKRKSEEILLPDFDAILKQDHFT >KGN45579 pep chromosome:ASM407v2:7:19037850:19040305:-1 gene:Csa_7G452340 transcript:KGN45579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVENLMEIQIPHQKHYPASYFPLVLSPSSASFAVSSFAQAIKAQKSTIDSLILNSGAILFRGFPVEAASDFNDVVEAFGYEEFPYVGGAAPRSKVVGRVFTANESPPDQKIPFHHELAQVPEFPAKLFFYCEVEPRSGGETPIVLSHVVYERVKEKYPEFVERLEEQGLIYTRVLGEDDDPSSPIGRGWKSTFLTHDKSIAEERAAKLGMKLEWLKDGVKTVMGPIPAIKHDKVRQRKIWFNSMVAAYTGWEDARNDPVKAVTFGDGTPLPADIIYGCLRILEEESVAIPWQKGDILLIDNWAVLHSRRPFLPPRRILASLCR >KGN43879 pep chromosome:ASM407v2:7:4707108:4712272:1 gene:Csa_7G071680 transcript:KGN43879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSLLSPVARTGPFPATAAVYSSDLHLSRFHRRPISVRHSFNSLPPFSAIPSSSSSLLLLKTFGTLKHSRGQLCSETRASSSPISPTVSPNDEAEKAKLAQVAKRLEKTSKYFKRLGSLGFWGQLVCTIVAAVILSFSVVITGKITSPATFYATAGGIVAAFISVFWSFGYIRLSDKLQRTANQPSKAPPRADVVNSLRNGIVVNLLGMGAAILGMQATVGLLVAKALTSSAIPYYQAASPGNSPVLALDVFLVQASANTILSHFLGLVFSLELLRSVTLPATDSIPIPRMA >KGN44765 pep chromosome:ASM407v2:7:13979967:13984729:1 gene:Csa_7G378540 transcript:KGN44765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMALEQTLILSSSLSSKNSIFSIPRNQLPISSFFPFHSYLNPPKHLRFPAPFPKCSAMENYTNYSAVTYPKPSQIPWKKELSNSVTLIGTVGLPVELKHLPSGKDVAWTRLAVWKSSTETSWIGLTFWDELAHIASQHVQKGNQIYVSGRLVADLVEADDGKQQTYYKVVVQQLNFIERSNSTAPHYDQDSNSIMAGRKPGSGAVDNTGSTQELWQAFFANPVDWWDNRKNKKNPKYPDFKHKDTGEALWVEGRYNPPWVKSQLALLDMRMGSLDDQEAKMANFVTSFDFPSY >KGN43240 pep chromosome:ASM407v2:7:687605:688793:-1 gene:Csa_7G011810 transcript:KGN43240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSFRRSPPSPSALKWLGLVTAIWVQSISGNNYTFSNYSAALKSLMNLSQLQLNNLSVAKDLGKAFGLLAGLASDRLSTSLLLLIGSIEGLIGYGAQWLVVSQKIKPLPYWQMCIFLCMGGNSTTWMNTGVLVTCLRNFRKNRGTVSGILKGYIALSTAIFTDFCSALFSDNPSSFLALLSLVPFAVCLAAILFLREVPRSADDETEEFKYFWVLNAVSVAVAVTLLVFDSIPNPNSFLSRIFCIVLLSLLVSPLVIPLHSFLKNKGGSGEVAEALLAGESGADNAVEGKPVIGEDHTIVEAMKTFEFWIMFVSFLCGVGTGLAVMNNMGQIGLALGYDDVSIFISLMSIWGFFGRILSGSASEHFIK >KGN43381 pep chromosome:ASM407v2:7:1584458:1585645:1 gene:Csa_7G029950 transcript:KGN43381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAFQLQSRLQVSKILSSTIRKWEIDFPLLHNPLRTPDFSLYPAQSRRFASNSMDDDHAFSDSEPINTPPQRRFPPDHREARRVPRGGVTASYDNRNQRFNRHSEGSSSRFTNEGSTSPQRSESLSQKDFSFLEKFKLNTDNQSSGKEKTEENSSSAPVSESMLEKQQSEPQRPPEADEIFGKMKETGLIPNAVAMLDGLCKDGLIQEAMKLFGLIREKGTIPEVVIYTAVVDGFCKAEKFDEAIRIFRKMQHNGIPPNAFSFGVLIQGLYKCKKLDDAVAFCNEMLESGHLPNLTTFVGLIDALCNEKGVDEAHSVVETFKQKGFLIDEKALREVLNKRAPFSPDIWKVFFGNRKPPFF >KGN44435 pep chromosome:ASM407v2:7:10198152:10198517:-1 gene:Csa_7G290580 transcript:KGN44435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGNYRKTRVNLGGLGFQIKDFWEKWTCETSKSIETINLSFHNLERLARLVKMLVPPNGGNTMGRGRRVGEQRSVGGICYMELPCYLRTSARAAPNKRPVKDAFHRTTSAITDFLFHSFAL >KGN44466 pep chromosome:ASM407v2:7:10465392:10467837:1 gene:Csa_7G298820 transcript:KGN44466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPATDFQGSSSPLTNIGRSIFSLRRDQVHSMEGSSHDGIVLDSDLDSFQKQVTQRFQDLSSASSDDILSLSWIRKLLDAFICCQEEFKIILNGHKAEICRPPIDRMVSDYLERSVKALDVCNVIRDGIEQLRQWQKLLEIVLSALDNSSYKKTLGEGQFRRAKKALIDLAIAMLDENDANSPAIAQRNRSFGRNNGTRDRRSLGHFRSLSWSVSRSWSAARQLQAIGSNLAAPRANEIVLTNGLAVPVFTMNMVLLFVTWALIAAIPCQDRGLHVHFSLPRQFSWAAPMLSLHDRILEESRRRERRNACGLLKEIHQIDKFAHIMNELTDTAQFPLTNEREEEVRQRVQELSQICETLKIGLDPLERQIREVFHRIVRSRTEGLDCLGGGNTHE >KGN45223 pep chromosome:ASM407v2:7:17054625:17054876:1 gene:Csa_7G432040 transcript:KGN45223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIKQVRDHNKQLRDGNLRKSKMKGDYLRIFGEIEGDTNGHAGGEKEILAGDLERDREAYQRLRDGGGGRTERREFVEKIRGL >KGN43552 pep chromosome:ASM407v2:7:2626470:2640291:-1 gene:Csa_7G044920 transcript:KGN43552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDGGVACIPLQQQQQHIMETFPIPSEKMLCAGKNNGFNSKSTVKFSEAERKQKMKLKKEEVVAKDVELGRTESGLDKPGKSSREVGHAENGVDSAEKDEVEEGEFGTLKWSRVEVENGEFVPEKSRRSGIENSEKWRKAEIDKGENVRGKWRRGDIEKGEIVPEKSRKGEVDNRSRRLAKDEIERGEFIPDRWEKGDILKDDFRYSRTRRYEPEKDRAWKNVREPTPPLVKYSTDDTRRKELNRSGNQHGKTTPRWETGQDRGSRYGSKLMNDEVTHRNDYNDGKNFGKDYSSCNRLKRYSLESDNFERKHYGDYGDYAGSKSRRLSEDSSRTAHSDHYSIRPMERSCKNSSSSSRISSSDKFSTRHYESSSTSSREAYSRHVHSPGHSDRSPREKGRYHDHRDRSPGHRDRSPFIGERSPYGRDKSPYDRSRHYDHRYRSPLTERSPQDRARCHSRRDRTPNYLDRSPLDRSRTSNHRETSRRSKGEKHNNGSRAREDKTTPKDPDGRESVAKESYDEINEQNTNGSIETVGDCRSYEGEEKSQSPNQTSIELSHVDGVPEELPSMEEDMDICDTPPHAPLVTDTSTGKWFYLDYYGLERGPTRLYDLKALVEEGSLMSDHFIKHLDSDRWVTVENAVSPLVTINFPSIVPDSVTQLVSPPEATGNVLVDITDTGKLDIQGGHFEPNQIPSGGSILPSDEGVEASEPLGDLHIDERIGALLEDITVIPGKELETIAEVLQMTLDGEQWERLAISEGFSDHVGEQLDQSTDDVVEFSDFVTSVDSGSQKNVSSDKDFAVDDGDWTSGPWSCKGGDWRRNDESAQERNGRKKLVLNDGFPLCQMSKSGYEDPRWHQKDELYYPSQSKRLDLPPWAFTCLDDRSTLTIRGTKGTMLPVIRINACVVKDHGSFVSEPRMKVRGKGHSRSRLFSSNTDGKRSADGDSLSKIARDVSSERSLKATAFVSIPKDRLCSYDDLQLHFGDWYYLDGAGHECGPSSFSELQLLVDHGIIQKNSSVFRKFDRVWVPVTSFAECSESTRRIQREKIPLLGETTKNPVSVSGDNSFGGLATTSNMFHELHPQFVGYTRGKLHELVMKFYKSREFAAAINDVLDPWINAKQPKKEMEKTMHWKSDGSARAAKRARVLVDESDDDYEVDEDLLHHRQKDEIAFEDLCGDATFPGEESTSLEVESWGFLDGHILARIFHFLQSDLKSLSFASVTCKHWRAAVRFYKDISKQVDLSSLGPNCTNSTFMNVMSTYNEEKVNFIVLVGCTNITPVVLEEILGMFPQLASIDVRGCSQFNDLPSKYPNINWVKRSLNATKNNEETHSKMRSLKHLTDKSYSLSKIKGLSSNVDDFGELKQYFESVDKRESANQLFRRSLYKRSKVFDARKSSSIVSRDARMRQWSIKKSEVGYKRMVEFLASSLKEIMRDNTFEFFVPKVAEIQDRIRNGYYIKRGLGSVKEDISRMCRDAIKAKSRGDGDMNHIITLFIQLATRLEKKSKVHLEKDEVSSWEDDSSLRLGSSAASKYKRRLGKVGTERKYTNRSNGSIFGNGALDHGEYASDREIRRRLSRLNKKPIGSESETSDEFDRSSGDGKSGSENSASDTESDLEFSSGRIETRGDKCFILDEAFDSTMDDREWGARMTKASLVPPVTRKYELIDEYVVIADEEEVRRKMRVSLPDDYVEKLNAQKNGAEELDMELPEVKDYKPRKKIGDEVLEQEVYGIDPYTHNLLLDSVPEELDWSLMDKHMFIEDVLLRTLNKQAIHFTGTGNTPMKYPLLPVIEEIEKVAAAECDIRIMRLCQGILKAIHSRPEDKYVAYRKGLGVVCNKQEGFGEDDFVVEFLGEVYPVWKWYEKQDGIRSLQKNDKDPAPEFYNIYLERPKGDGDGYDLVVVDAMHKANYASRICHSCRPNCEAKVTAVDGHYQIGIYTLRKIQYGEEITFDYNSVTESKEEYEASVCLCGSHVCRGSYLNLTGDGAFLKVLEEWHGVLDCHQLMLEACELNSVSEDDYLDLGRAGLGSCLLGGLPDWLVAYSARVVRFINFERTKLPQEILAHNLEEKRKYFSDICLDVEKSDAEVQAEGVYNQRLQNLAVTLDKVRYVMRCIFGDPKNAPPPLKRLSPEESVSYIWNGEGSLVEELLLSMVPHVEEDLISDLKLKIRAHDPLCSDDIQKELQQSLLWLRDEVRNIPCTYKSRNDAAADLIHIYAYTKNFFRIQEYKAVTSPPVYISSLDLGPKYVDKLGTGFQEYCKTYGPNYCLGQLIFWHNQQNIDPDCSLALASRGCLSLPEISSFYARVQKPSRQRVYGPKTVKFMLSRMEKQPQRPWPKDRIWSFKNSPKVIGSPMLDVVLSNSPLEKDLVHWLKHRTPIFQAMWDR >KGN44880 pep chromosome:ASM407v2:7:14985994:14988057:1 gene:Csa_7G394000 transcript:KGN44880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHKEPPPPPPPLTSMAIDDNDVVVGVRSNVKVIQSVRTKLRWPFDGVVRFLGLAFTLIAAIVVAVDNESKIISVTLTKALPPIHFYASAKWQYMSAFKYFVVSNCIACGYAAVSLVYSMTTKGYKDDPTRSMLLISLDLIMVGLLFSADGAAAAIGVIGRDGNSHMHWIKVCGFFEGYCHHFTAALVISIAGSVMFLCLVVLSVLKLYKN >KGN45117 pep chromosome:ASM407v2:7:16372095:16374294:-1 gene:Csa_7G426580 transcript:KGN45117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVPDRYERFVVPEGAKKLILETLILFIISLTSRSILLFFYIHYNRLCYDFLFWTDVDFVEHCRVSYERDTKIMNAATFILEREDHTIGNILRMQLHRDDNVLFAGYQLPHPLKYRILVRIQTASQSSPMQAYNQAINDLDKELDVLKYELEGEISRFSRAY >KGN43630 pep chromosome:ASM407v2:7:3054873:3057593:-1 gene:Csa_7G048630 transcript:KGN43630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLRNLMEEKQLDFNQPLLSVRRFTSTETSFETNEKTRPESKIPPLPVYKSELKSGPVRIPGTVPFLWERTPGKPKDESSTNTQICKRPPLVPKLPPGRVQKVNQEVHTSVNASLDENAREEVEEMTSCKSGNDDEEEEEEVYRDANDRFSRSESFFLNCSISGVSGLDDSEIKPSEASSMDPHTRDFMMDRFLPAAKAMASETPPHTIRKQTVSTERPREVKLVTNRDRQSRPNLHVQTKHVKEIFMEESDDEDDDYDESGYSSTQGCGFLPRFCLKGSFGLLNPVPGMRMQATSVRRIRNSSIGCSKDAVNERRQIHGQGITKQQLEENATKSSKNHGKSNIQEGDAFSLYRHLQDEDMSNYPNEPSQAVHDNVNPSLDYAGKATKSVTNEYNESRRRSLNSFQALLSDESGSASPVEKTLYIDSVHKIKSPHSSSNSLDVKGISYSGDMIDDTFIKSTEMKELCTLDSATLDVKNMNTVGEKNIPRPDSLKSLDSCLQTCSDISLFKVKLDTNYSRLKPEHTQDAAKLTSSRFANKKFDLENQFPLKPSSRCDSNDLTKDNTRKQPEKSSYEGNNVTFPEYGKKHELDDEKIGPVEASSDLRTSEGATNGKDSRNEFPKRVGNGDGSCNGYSQLRLPFAPPPPKSPSESWLKRTLPTSSRNTSFLQSSFAMRVNPISVTTSPNHNMHSTVRNPDTNNLHLQFSKELLSSIPEV >KGN45405 pep chromosome:ASM407v2:7:17937188:17947853:-1 gene:Csa_7G447200 transcript:KGN45405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIYEYIFFIQKVRQFGKHVLEQISDTKGLSCGLEFLCSSEHSLSAVFLGMRHALKLVQMDSILMKFQNLHHLFFILRKLVEGDSLHSALPENLSNHTDVTNTSSQGGFLRQPVFDASMLNFGKQSSKVNSKLLQQFSCLLSNAAWPSILRLLVEGKGFLDYSYCQMTCVRLLEIIPIVFERFNPSLVEFSGTKMEVKDACGFNWLHDLMDWGKSSLKVVLTYWRRAIISLLTFIKGSCCLSATSTIGAIEHLISLDAAAMDELTEKVAHLTILLSKNEKHNIVKTNLGTNALVLEDFPSGRKLSTSTLESPGVEEVDVPTMVKSPEAKKEKFGELIVLSDDESKPYVSPTRAFLSKSDVGIAPSNENDARGDFGKSKILVVEPSNYTVDRDQEINDQCSSTFALKEHASGNSNTSPAMSSVLKSKDVDARPKEMNSECILSKDVARNGRIDLKVLSNKATGSKSKNQSCEAAVSVANFAVLKQVVSDAADDPLEIELNSVRNQKTNILKPITIVPKRRVIQLKTPDENRAVHLQRQMIGAKRFKPPRLDDWYRSILELDYFAMIGLTSASEDKSHMVKHLKEVPVCFQSPEQYVEIFRPLILEEFKAQLRNSFVEMSSWDEMYLGKISVLSVERVDEFHLVRFAYDDNNSVASKNFAENDLILLTKEPPQKSPQGAHMVGKVDRRERDNKRKMNLLIIRFYLLSGSSRLHQARKNLIERSKWYASRIMSITPQLREFQALSSIKDIPVVPTILNPKTSSIPHDESKVVDLSKLSRPLQQILKSSFNVSQLQAIDVSIGSRNMKNDLELSLVQGPPGTGKTRTILAIVSALLASASQRTNLAGSSLNRNLKQDNSRQKISEAVAVARAWQNAALARQLNEDKQRNSISIDSTMKRRVLICAQSNAAVDELVSRISNLGLYDGDGKMYKPYLVRVGNAKTVHPNSLPFYIDSLVDQRLAEERMSSNDAKNDLGTNSSMELRSSLEKLVDRIRYYEVKCANLRDENPDIKSSVENSAGDDEKEMSLKELQSKLRKLYEQKKQIYKDISIAQAFEKKSNEEVKALKHKLRKSILREAEIVVSTLSGSGGDLYAVCAESILSCKFGSSSENTLFDAVVIDEAAQALEPATLIPLQLLKSSAIRCIMVGDPKQLPATVLSNVASKFLYECSMFERLQRAGHPVVMLTRQYRMHPEICHFPSQHFYDGKLLNGDGMSGKNALFHKTKGLGPYVFFDIVDGKELRSKSGGAFSLYNEHEADAAVELVKFFKESHLTEFNRVRIGIITPYKCQLSLLRSRFSHSFGASLIVDMEFNTVDGFQGREVDILILSTVRAGDSSPHSGKNSSSIGFVADARRMNVALTRAKFSLWVLGNSRTLQVNPDWGALLKDAKERNLVVSVKKPYDSMFKTTNLRNSNPQTTENNSKTLKHTDNVRKRHHSKRSGKETFESEGKDTPSQCTKTNDIDSIQDNASVKEDAIPPVAGSINRPSKAAKGAVHMEHGRDFESKSGKSAEKKFNKCNTSRGKRKVEREKSSNFDYSERGKVDNHASKRSKESPQHDTFCTNLESSAPLVEESSKEERNNSAAPSRCDTEKELIVKRKKQREAVDAILFSSLIPSKKSEMSMKLTSDKKPHSLSNVHGSMKPPKGRKG >KGN43909 pep chromosome:ASM407v2:7:4866235:4867962:1 gene:Csa_7G073450 transcript:KGN43909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEGRQLHIFMFPFMAHGHMIPIVDMAKLFASRGIKITIVTTPLNSISISKSLHNCSPNSLIQLLILKFPAAEAGLPDGCENADSIPSMDLLPKFFEAVSLLQPPFEEALHNNRPDCLISDMFFPWTNDVADRVGIPRLIFHGTSCFSLCSSEFMRLHKPYQHVSSDTEPFTIPYLPGDIKLTKMKLPIFVRENSENEFSKFITKVKESESFCYGVVVNSFYELEAEYVDCYKDVLGRKTWTIGPLSLTNTKTQEITLRGRESAIDEHECLKWLDSQKPNSVVYVCFGSLAKFNSAQLKEIAIGLEASGKKFIWVVRKGKGEEEEEEQNWLPEGYEERMEGTGLIIRGWAPQVLILDHPSVGGFVTHCGWNSTLEGVAAGVPMVTWPVGAEQFYNEKLVTEVLKTGVGVGVQKWAPGVGDFIESEAVEKAIRRIMEKEGEEMRNRAIELGKKAKWAVGEEGSSYSNLDALIEELKSLAF >KGN44560 pep chromosome:ASM407v2:7:11818635:11819057:-1 gene:Csa_7G333910 transcript:KGN44560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIRKSYIILLVISIALSAELTQVSSALFEKFYVHIINGFDNATIGAHCRSKDDDLGNQFIPVNAEFHWNFRTNFLGTTLFYCHVWWIGGHITYKAYWHENDFELSRCGDGHCRWKADPQGVSSYFVDQKRYSLTYLWQH >KGN44928 pep chromosome:ASM407v2:7:15248869:15251526:-1 gene:Csa_7G396430 transcript:KGN44928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRHPEVKWAQRLDKVFITVQLPDSKDSKVNLEPDGVFTFSGSAGSENHHYELKLELFDKVNVEESKVNIGVRSIFCILEKAEKGWWKKLLRGDGKPPHYVKVDWDKWVDEDEDDGTAGLGDLDLGGMDFSKFGGMGGMGGMGGMGGMGDMDMGDMGDIGDDNDSDESDDDEQEVSKPEKSGESAKPEGEIKGGVGSSEEKKEAAAST >KGN43682 pep chromosome:ASM407v2:7:3424674:3430169:1 gene:Csa_7G058560 transcript:KGN43682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLMILLNLLLFLGCFASISQAQLKFGFYARSCPTAKSIVRSVVNDAIRNDATMAAVLLRLHFHDCFVEGCDGSILVDNGARSEKLAFGHQGVRGFDVIEKAKRELEAQCPGLVSCSDIVAMAARDAIVTANGPDYDIPTGRRDGRVSDVSLASDLPDVSDSIDVLKRKFAEKGMNEKDLVLLSAAHTIGTTACFFMTNRLYNFPGGGSDPNINPALLPELQSQCPRNGDVNVRLGIDRDTPRTFDISIFQNIRSGFAVLASDASLNNDPSTRAILDSYLSPLAPVLGPSFQRDFVTSIVRMGQIGTKTGSEGEIRRVCSAFN >KGN45387 pep chromosome:ASM407v2:7:17829142:17833755:-1 gene:Csa_7G447020 transcript:KGN45387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQQNSATMQSLNTELQQQQQQRDEEEEEEEAISKSSKKGGLLTMPFIIVNESLEKVGSYGLMPNMILYLMKDYNLGFAKGNNILFFWSAAINFMPLLGAFLADSYLGRFLTIGFGSIATFLGMLLLWLTAMVPSTKPPACDQLHPETCRSPTAAQMALLAVALTLMSIGAGGVRPCTLAFGADQIDRRDNPNNKRMLERFFGWYYASASFSVLIALTGIVYIQDHVGWKVGFGVPAGLMLFATVLFFAASSIYVKQKATKSLFSSFAQVVVAAFKNRKFPLPTSPASTKWFYHKDSLFTQPSDKLRFLNKACVVKNPEQDIAGDGTAADPWSLCTVEQVEELKTLIKVIPIWSTGVMMSINISQSSFPLLQAKSMDRHISSTFQIPAGSFGTFVIITIVIWVILYDRAILPLASKIRGKPVHFGVKSRMGAGLICSAMSMALSAIVENIRRRKAIAQGIVDDLDAVVDMSALWLIPQHCLNGLAEALNAIGQTEFYYSEFPKTMSSVASSLFGLGMAVANLLASAIMSTVDNVTSKGGKESWVSKNINKGHFEKYYWLLAILSVINVLYYVVCSWAYGPSVDQRRTAMDDGKISSNEDELSMLDARVKEEEGELHKVKELEA >KGN45271 pep chromosome:ASM407v2:7:17267346:17267634:-1 gene:Csa_7G432530 transcript:KGN45271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIAAADLVLQVSGFLEWTPSMVAAWLIFLSGPQSAVRFYTRLRTISLSIYEIVEACWRIATDGNYVSGPPSG >KGN43705 pep chromosome:ASM407v2:7:3583151:3584594:-1 gene:Csa_7G061720 transcript:KGN43705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVGFILIVYVVVVLHSNAVHGQGTRLGFYRATCPQVEFIVRSTVRSHFQLDPSIAPGLLRMHSHDCFVRGCDASVLLAGPNSERTAVPNRTLKGFEVIDDAKSQLEDICPGVVSCADILALAARDSVVLTGGRSWEVPTGRRDGRVSLVSEVKLPGFSDSIEVQKEKFRSMGLNTHDLVTLAGAHTIGTASCRFFSYRLYNFTTVTETGADPTLNPSLVERLRDVCPVDGDSSNRFELDIDSAEKFDVSFYKNLRQGGGILESDQMLWNDDSTRPIIQHYLSLKGLVGRSSFKVEFGRSMVKMSNAQVKTGLLGEIRRVCSKVNN >KGN44367 pep chromosome:ASM407v2:7:9637780:9638477:1 gene:Csa_7G272100 transcript:KGN44367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPWFFVLLFLVAKAHATMTNQIDLQAAMDEMRLKSYHGFAILLKILNSTTKTLQNSNITFFMPTDQELSQADISPDRLEEFVLSHSIPTALLLNNLLHFPNGTLVPSSIPNRMIRITNCRKMGVCLNNARIITPNVCLTSSIRCHGISTAISYDKTSFSDTLPAKQSSAEIIVQRNEIKGAKSLQSGAMKKNRSLH >KGN44615 pep chromosome:ASM407v2:7:12438906:12444236:-1 gene:Csa_7G343320 transcript:KGN44615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFVYVQNFSRNRTIKKKEKRPSVPTKVKKKRGSIHTYSIILWTSTWLLLGKASTEPQIKQIKKSQFGNLIGNTKDSSLLLLLSSPPPPPPFPSSALPRPPVLSAIVSDRGEASLHTASGTLADRLRLGALVEDGLSYKEKFIVRCYEVGINKTATVETIANLLQEVGCNHAQSVGFSTDGFATTPTMRKLHLIWVTARMHIEIYKYPACKWVMMNQDTRRLQKVNDDVRDEYLVYCPRDLRLAFPEENNSSLKKIPKLEDPGHYSRHGLMPRRADLDMNQHVNNVAYIGWVLESMPQEIINSHELQTITLDYRRECQQDDIVDSLTSVEEVENGGKAVPELNGTNGYISSDKEDTRQFLHLLRLSSDGLEINRGRTEWRKKQQRRG >KGN44813 pep chromosome:ASM407v2:7:14472017:14473745:1 gene:Csa_7G389450 transcript:KGN44813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSKVTLEIFTKLEQQWLSHQCDSVKKIRILSIDGGGTTPTVAAASLIHLEDQIRFRTGDPHARIADFFDLIAGTGIGAILASMIVADDGSGRPLFSARDAVSAISSRISEMFRVKFGSGICRRRRFSGRSMDGVLKELFKDLSLKDTCKPLLVPCFDLNSSAPFVFSRADASESPSFNFELWKVCRATAATPSSFKPFHLTSVDGKTSCTAIDGGLVMNNPTAAAVTHVLHNKRDFPSVNGVEDLLVLSLGNGSASGGNGKVRRNGECSTSVVVGIVLDGVSDTVDQMLGNAFCWNRTDYVRIQVYDLKFSWSFLFLLSPTRKDFVCLVAEKIQENRPQMEEEGEVLKERGVETLPFGGKRLLTESNGQRIESFVQRLVASGRSSLPPSPCKNLAAVSPLSGR >KGN43324 pep chromosome:ASM407v2:7:1231822:1232703:-1 gene:Csa_7G023980 transcript:KGN43324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASALNPKNSHHVRSNSLPSKPHPFIDQVDEQLCRLKEVSKATSSSSELCHKLNDLQDLHDSIDRMLLLSHTQHILVEESDKKSFNDLLEGSIKLLDLCDIAKDALLQSKECVHELESVLRRRRGGEMFIASEVQKGLSSRKLIKKTINKALKAIETKSCEKSQASSAIVSSLKQAEVVGYNVVKSLLSYLAGPKFSSNSSHWSLVSKLVQSKRVACEVEETNRNEVALVDAALHSIASQKTKKSDFRVQVDNLQNALKIFGSNIEDLEGDLEALYRHLIKTRVSLLNIYNY >KGN44236 pep chromosome:ASM407v2:7:8263686:8265592:1 gene:Csa_7G234090 transcript:KGN44236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAGVGGGSGGCLPSPTSNSRKLSSSFFGSPRLFTSSSSKGLSETEAVMSPTSILEPFLGLRNSFWGESNSPRTQLTESKRPWDSKGIGLAIVDGLTEENSDPKPSKPDTRMVVLGSQLKIQIPPLPPFVSPTDDSPVSPIEFGIKTRNSHLGSLSPVSSLSPAKKSAFGSSSSGQETPNSPLVFTGCLSAGEIEQSEDYTCVISHGPNPKTTHIFGDCVIESGCGVYSPVRKENGFFRDRTSFSPENFLSFCNNCKKNLEQGKDIYMYRGEKAFCSDECRYQEMMLEEEEEEV >KGN43946 pep chromosome:ASM407v2:7:5060834:5063083:-1 gene:Csa_7G074300 transcript:KGN43946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRHNPHYYSSIFTLCSSGDALLADKAIVYLRRHPEQLTLLSSHFTPQASSNLLLKSQFDSSLVLKFLDWARSQQFFSFQCKCLALHILTRYKLYKTAQSLAEEVVVNTVDETGEDLFQCLKNSYYQCKSSSAVFDLVVKSCARVNLINKALSIVNLAKSYGFMPGVLSYNAILDAVIRTKQSVKIAEGIFKEMVESGVSPNVYTYNILIRGFCTAGNLEMGLFFFGEMERNGCLPNVVTYNTIIDAYCKLRKIGEAFKLLRLMALKGLNPNLISYNVVINGLCREGQMKETSEILEEMSKRRYVPDRVTFNTLINGYCNVGNFHQALVLHAEMVKNGLSPNVVTYTTLINSMCKAGNLNRAMEFLDQMRDRGLHPNGRTYTTLIDGFSQQGFLKQAYQIMKEMVENGFTPTIITYNALINGHCILGRMEDASGLLQEMIERGFIPDVVSYSTIISGFCRNQELEKAFQLKVEMVAKGISPDVATYSSLIQGLCKQRRLGEVCDLFQEMLSLGLPPDEVTYTSLINAYCIEGDLDKALRLHDEMIQKGFSPDIVTYNVLINGFNKQSRTKEAKRLLLKLLYEESVPNEITYNTLIDNCNNLEFKSALALMKGFCMKGLMNEADRVLESMLQKGYKLNEEVYNVIIHGHSKVGNIEKAYNLYKEMLHSGFAPHSVTIMALAKSLYHEGKEVELNQLLDYTLKSCRITEAALAKVLIGINSKEGNMDAVFNVLKDMALSGLLPYSSANLRN >KGN44545 pep chromosome:ASM407v2:7:11558794:11565372:-1 gene:Csa_7G328330 transcript:KGN44545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATELEELIQFLSSPSPQLRKAAIDIVQGLTGSEDGMQSLAKYPDVLLPSLARLLKEQKDVAERAAEALVNLSQNSDLAGKMINLGLIGEAMNLLYNVDSSISQLLVMLLVNLTQLDAGIASLLQTGDDKMQGLYVMKIVRSFCRSASESRDDPFEHVASILVNISKKEAGRKLLLDPNRGLLKQIIRQYDSNSQLRKNGVFGTLRNCCFEAEDQLQNLLLIAEFLWPALLLPVAGNKVYKEEDTSKMPLELGTALSIEREQIDDPEIRVHALEAIYMIILQVSENSISFEFNEMPFLLKLKTFYLFNYLNKQKLNPNEIIYLLIYTLLGYYFADEKGLCGCILFAF >KGN44079 pep chromosome:ASM407v2:7:6457581:6457936:1 gene:Csa_7G169600 transcript:KGN44079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDASSVKCRFQILVPILCLRRCSSKLKTRFKLRLTEHRKVKDDHSFLQVEENVGGTALARNSLKRNSSSPENPMEMTHGQLPMTS >KGN44998 pep chromosome:ASM407v2:7:15616192:15623633:-1 gene:Csa_7G407510 transcript:KGN44998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYVLVTGGVVSGLGKGVTASSIGLVLKACGLRVTSIKIDPYLNMDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDLMLTRENNITTGKIYQSVLEKERRGDYLGKTVQVVPHITDAIKNHIESVATIPVDGQEGPADVCVIELGGTVGDIESMPFIEALRQLSFSVGPDNFCLIHVSLVPVLGVVGEQKTKPTQHSVRELRALGLTPHLLACRSAQPILDNTKEKLSQFCHVPAGNILNVHDVPNIWHVPLLLRNQNAHLSILKQLNLLRIAAAPDLRDWTNLAATHDNLTNSVKIAMVGKYVGLTDSYLSVVKALLHACIACSLKPSIDWIAASDLEDESSKLTPEAHAAAWETLRKASCVLVPGGFGDRGVKGMILAAKYARENKVPYFGICLGMQISVIEFARSVLGWEKANSTEFDDATPNPVVIFMPEGSKTHMGSTMRLGSRKTLFQTADCITSRMYHKSAYVDERHRHRYEVNPESIGAFEEAGLKFVGKDETGNRMEILELPSHPFYVGVQFHPEFKSRPRRPSPVFLGFILAATGQLNLYLEKQQNGS >KGN44336 pep chromosome:ASM407v2:7:9354984:9355748:-1 gene:Csa_7G259360 transcript:KGN44336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNNNKHNDHSSSSSSHMNHNINPLLMVFFTINDLKVGKKLSIYFPKRDPSKSPPFLPKEKADQISFSFKQLPQILSSFHFPSNSPQAQAVKETLQQCELKPIKVETKFCATSMESMLDFVRTSLIIPTKSSPLSSSFKLLKTSHLTKSNVHLQNYTIFDTPELISAPKLVACHTMPYPYAIYYCHYQEGDNNVLKIALEGENGDRVDALAICHMDTSQWSPTHPSFQVLKLQPGDMPICHFFPADDFVWIPAP >KGN43250 pep chromosome:ASM407v2:7:742160:742453:-1 gene:Csa_7G012390 transcript:KGN43250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYCSIHQLEPFTFAILSFIKTLPCSTQFHFSTFEDVYGTRSTTFEEASPFRVPQMGQEKIDNEKIFRHCSCMAIQHLLIAGCTTPTGMGGMKMLTF >KGN44845 pep chromosome:ASM407v2:7:14670662:14671494:-1 gene:Csa_7G390240 transcript:KGN44845 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2A MSSTEVSTKGGRGKKASTKSVSRSHKAGLQFPVGRIARYLKKGRYAQRVGSGSPVYLSAVLEYLAAEVLELAGNAARDNKKNRIIPRHIQLAVRNDEELSKLLGSVTIASGGVMPKIHQSLLPKKAGNAKDKAEIGSASQEF >KGN45240 pep chromosome:ASM407v2:7:17131126:17131443:1 gene:Csa_7G432210 transcript:KGN45240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNKTASSSRLCLCAPTTHPGSFRCSLHRRLSNSSHKTPPLPPPSPRGSQSKAAATTTDHHLLKAFLMQIVKPSSHDLQRRGSFEPKPSRFCSVVSASDPRLAVS >KGN43242 pep chromosome:ASM407v2:7:705527:706884:-1 gene:Csa_7G011815 transcript:KGN43242 gene_biotype:protein_coding transcript_biotype:protein_coding description:MYB domain class transcription factor MGRSPCCEKAHTNKGAWTKEEDDRLIAYIKAHGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFTQHEDDLIIKLHSLLGNRFALITYLFFFTIHHIVRLLNFEPFDLHFNFKLLSRGIDPTTHRPISDSSSVKTPATTISFASNSALEDQEDRKKPVINNFSPPKEEVDLNLDLRISPPPYPAGVTIKNEVRSSLCFFCSLGFENSKNCSCGNGKMIASSDANGNGYDFLGLKSCVLDCRSLEIK >KGN43752 pep chromosome:ASM407v2:7:3874961:3879209:1 gene:Csa_7G065110 transcript:KGN43752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSAIDLLKKEIPLEQESVVLAEDAVNGLVLVDIIHGFCTVGAGNLAPREPNRQISEMVEESARIARIFCEKKWPVMAFIDSHQPNKPEKPYPPHCIVGSHESNLVPALQWVEKEANVTIRRKDCFDGYMGSFLPDGSNLFVDWIKNNHIKSLLVVGICTDICVLDFVCSTMSAKNLGFLHPLNDVVLYSRSCATFDNPLHVAKNTNSALPHPQEFMHHVGLYMAKERGAIIANKISLGTNI >KGN44159 pep chromosome:ASM407v2:7:7437486:7439667:-1 gene:Csa_7G209550 transcript:KGN44159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFFKLFDMFLISCSEFTMLVLEFKEQLFFHKPLRFLTEALKMITFALFLSLLALVGASVGTVIGAFKGHGTGIGAIAGAAVALQIFSSSASGHRYCLSREAIMERLMDGKGLMNWASNFSEYMYREISEFVDVAGESCVEGLSMESVQMLPVCEYDHTTVNSISCSICLEEFEDGEIGRILPNCDHFFHVVCIDQWLHLHGSCPFCRKFCI >KGN44178 pep chromosome:ASM407v2:7:7636339:7637367:1 gene:Csa_7G212670 transcript:KGN44178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPNLPLNPKTSDPKHSSEKKNSPFSSSTLQFPIQNQVLEETDAREAVSHSIFLSSLLSNTWRQNPSQFPTTTAESLVTRRKIQSDTTPKPDPLFPAMDDPQ >KGN43972 pep chromosome:ASM407v2:7:5208364:5209864:-1 gene:Csa_7G075040 transcript:KGN43972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAYKDEMLKKGISPTMSTYNSLIHALFMEQRTDEAECMIKEIQEKGISPDAITYNILINGYCRCANAKKAFLLHDEMLASGIKPDHISFNTLISGYSRRGDIKDAFRVRNEMLDTGFNPTVLTYNALVQGLCKNQEGDLAEELLKEMVSKGMTPDDTTYFTLIEGIAKVNIPDENKNT >KGN44349 pep chromosome:ASM407v2:7:9485439:9489600:-1 gene:Csa_7G267970 transcript:KGN44349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKLIQRLESAVSRLESLSPAGFSTRGISLSSDDNAASDPPILAFEDLMRNYVRKVSDAAEKIGGPVLEATRIVEEAFSVEKQLIVNVKQTQKPDLAGLAGFLKPLNEVILKANALTAGKRSEFFNHLKTVADALSALAWIAYTGKDCGMSMPIAHVEESWQTAEFYSNKILVEFKSKDQNHVEWTKAMKELFSTGLRDYVKSFHPLGPVWNPAGKMTPATSTKVPAPSASAPPPPSAPLFNTETSQASTRPKEGMAAVFQEISSGKSVTGGLRKVTDDMKTKNRAERTGIVNTNEIGHKNSPSVSKPVVAPKPKFELQMGRKWAIEHQIGKKDLVISDCDSKQSVYIYGCKDSVLQVQGKVNNITIDKCSKTGVVFTDVVAACEVVNCNGIEIQCQGSAPTISVDNTGGCQLYLSNESLKASITTAKSSEINVLVRGSDPLGDWVEHALPQQFVHVLKDGRIETTPVSHSGG >KGN45095 pep chromosome:ASM407v2:7:16230394:16245904:-1 gene:Csa_7G420880 transcript:KGN45095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESEHRAKRLRITRGEDDYMPGSIIEIELHNFMTFNHLKCKPGSRLNLVIGPNGSGKSSIVCAIALGLGGEPQLLGRATSVGAYVKRGEESGYVRITLRGNTKEEKITITRKMDTHNKSEWLFNGKVVPKKDVAGIIQRFNIQVNNLTQFLPQDRVCEFAKLTPVQLLEETEKAVGDPQLPILHRALVDKSHGIKSIERAVEKNGDTLDQLKALNVEQEKDVEHVRQRDELLKKVESMKKKLPWLKYDMKKAEYLEVKEKEKEAKKKLDEAANTLNDLKKPIEKQKLEKAKLDAKTKKYSTRINDNHKKRVELQETENRLGVQVQGKLKEMEDLRKQEESRQQRIARAKEELESAEFELQNLPAYEHPKDEIERLRAQILELEVSASQKRLMKSEIEKNISQKRNTLRQCSDRLKDMENTNTKLLQALKNSGTEKIFEAYHWLQEHRHEFKKEVYGPVLLEVNVSNRTHADYLEGHIPSYVWKSFITQDSHDRDIMVKNLGSFGVPVLNYVGGERRTNQHFELSEEVRAFGIYSRLDQIFDAPAAVKEVLTMQFGLEHSYIGSKVTDQKADEVSKLGILDFWTPDNHYRWSRSRYGGHISGSVEPVDRSRLLLCNLDAGEIDGLRSRKSELEESVSALEENCKSCQNELRLIEDEEAKLRKHREDILNTVQHEKRKRREMENRIDQRKKKLESMEREDDLDTVVAKLVDQAANFNIQRFHCAIEIKNLLLEAVSYRQSLTKNHMSSIEIEAKIRELEVNLKQHEKVALQASVQFEYCKKEVEDYLQQLSAAKKYAESIAMPTTIEELEAAIQDNISQANSILFLNHNVLEEYEHRQRQINIIARKLEADKHELRKCMAEVDELKGNWLPTLRKLVSQINETFSRNFQEMAVAGEVLLDEHDMDFDQFGILIKVKFRQSGQLQVLSAHHQSGGERSVSTILYLVSLQDLTNCPFRVVDEINQGMDPINERKMFQQLVRAASQTNTPQCFLLTPKLLPELEYSEACTILNIMNGPWIEQPSRAWSNGDSWGTLMNYVGESRC >KGN44710 pep chromosome:ASM407v2:7:13493019:13495714:1 gene:Csa_7G373530 transcript:KGN44710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCNRVVDCLVAGFVVVLLSCNGFTFATESDLFCLRSIKNSFQDPNEYLTSWDFSNRSEGVICRFTGIMCWHPDENRVLSITLSNMGLKGQFPTGIKNCTSLTGLDLSFNQMSGEIPMDIGSIVKYAATLDLSSNDFTGPIPKSIADISYLNILKLDHNQLSGQIPPELSLLGRLTEFSVASNLLIGPVPKFGSNLTNKADMYANNPGLCDGPLKSCSSASNNPHTSVIAGAAIGGVTVAAVGVGIGMFFYFRSASMKKRKRDDDPEGNKWARNIKGAKGIKISVVEKSVPKMSLSDLMKATNNFSKNSIIGSGRTGCIYRAVFEDGTSLMVKRLQESQRTEKEFLSEMATLGSVKHANLVPLLGFCMAKKERILVYKDMPNGTLHDQLHPEDGDVKPMEWSLRLKIGIRAAKGLAWLHHNCNPRIIHRNISSKCILLDETFEPKISDFGLARLMNPIDTHLSTFVNGEFGDIGYVAPEYSRTLVATPKGDVYSFGVVLLELVTGEKPTHVSKAPEDFKGNLVEWITKLSEESKVQEALDATFVGKNVDGELLQFLKVARSCVVPTAKERPTMFEVYQLLRAIGEGYNFTSEDEIMMPTNSECETGLEELIVAH >KGN44881 pep chromosome:ASM407v2:7:14988979:14993283:-1 gene:Csa_7G394010 transcript:KGN44881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEHFPLPQSGLKQDFGKLQYGCEHYRRRCKIRAPCCDRIFTCRHCHNEAMTSLSNPKDRHELVRQDIRQVVCLICNTEQEVAKVCRKCNVNMGEYFCDICKFYDDNTEKKQFHCDACGICRVGGRENFFHCERCGSCYSTPLRDNHKCVENSMKSYCPICWEFLFDSIKDTTVMPCGHTIHWECFSEMESMNQYRCPICLKTVVDMSASWALLKMEIECTPMPEEYSHEVSVHCNDCSHISKVRFHILGHKCSQCNSFNTWRTSSGGRQ >KGN44122 pep chromosome:ASM407v2:7:7096904:7097317:1 gene:Csa_7G197300 transcript:KGN44122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRFRIPYDVLAFIFMVELIVSRVKKSGVFACLKARVYSSSGPIRYIPKGSSNDEKSRTHLTPEIVNGNDFSSGLDVNAWRIEVKPSAVPRSNFNDTLRDQNQYEKKNYSAGGSMLGICMELFNSLKPLCVFTMLN >KGN44591 pep chromosome:ASM407v2:7:12225917:12229120:1 gene:Csa_7G339140 transcript:KGN44591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKYINKSNLTAHVPLMDVFSNPTTTTTVRTRAASKSLALQKLHKSSLPDPTSDLSFSYLQLRTRRLDKTETSLGASTKHVSKPKKSARNPKPPSSLDGETQSFGFVSQIEDLEFHLPRRSTNENTAGSRNPCSRTQYSSDNATNSIIQNNLQIPTAHEMEEFFTSAEHQQQTSFMNKYNYDIVNDKPLDGRYEWVELVL >KGN44181 pep chromosome:ASM407v2:7:7709181:7715344:1 gene:Csa_7G213190 transcript:KGN44181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHGFLARIFTIRHYMHSSLLRIFICQATYYPGLCEPISQGGLGFDYYVNLSASEMWSSFLQNVPDQEWNMNKIVSSLIGNRHSTNKMLLFAENHGQSISGGRSYAEILFGDIKEHGAGSKETLLRGCSLHKMIRLITFTIGGRAYLNFMGNEFGHPKRVEFPMPSNNFSFSLANRQWDLLEKEMHHDLFLFDKELMGLDENEKILTRSLPNVHHVNETTKVISYIRGPFLFIYNFHPTDSFERYSVGVEEAGEYRIILNTDEIEYGGQGNIKHDQYLQRTISRRIDGLRNCLEVSLPCRTAQVYKLSRILRI >KGN44854 pep chromosome:ASM407v2:7:14761860:14768516:-1 gene:Csa_7G391665 transcript:KGN44854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVNSLGEHFLNPLDLIYSFGDYRYAKRKIASFLSSIGEKFLSMYRSCGTYIAFLTILLTVFFVKPNYISFGYLFLLLVWMIGRQLVERTKRRLWFPLKAYAIIVFVFIYCLSSFSSFRMWLSRSIDLDFYLGFNSEASSLQNCWQSLAVLIVMQLYSYERRQSRYSSSDEPELLEFERLGFIKRFLIWHSDKILFAALFYASISPISAFGLLYLLGLVICATLPKISHIPSKLFLAYTGVVMTVEYLFQMWGRQAGMFPGQKHSYLSYFLGFREFQPGFWGLELGLRGKVLIIAACTLQYNVFRWLERMPGSALNKGKWDDPCPLFVTEEDDYDISISNEKSKSSSDSGRLFEQQEGLDHIRRSSFVSGQSQVPHSASSKRDNSECSSNSKYSFGFIWGSIKESHKWDKIRIISLRKERFELQKIIFKIYMKFWMENLFNLFGLEITMISLLLASFALLNSVSLFYVGLLAACILLDRGIIRKLWPIFVFLFASILILEYIAFWKNMWNSNWPMPSKAGVHCHDCWRISNQYFQFCLNCWLGLTVDDSRMLFSYFVVFMLSSLKLRADHLSGFSLSSTYRKMMSQRKNTFVWRDLSFETKSMWTILDYLRLYCYCHLLDLVLALILITGTLEYDVLHLGYLAFALVFFRLRLEILKKKNKVFKFLRAYNFALIILSLAYQSPFVGEVSAGKCETMHYIFEMIGFYKYDYGFRITARSALVEIIIFMLVSIQSYMFSSQEFEYVCRYLEAEQIGAIVREQEKKAAWKTEQLQHIRDSEERKRQRNLQVEKMKSEMLNLQIQLHNMNSFVDGNNVSPSPGNESFRKRSTSGIHDDAMTTDIEGTPGKVEQIIRENSSLHPELQDSLANLRAGLTTESRMHSMELPVAEICEIDYQISDLSLDLDRKKKHKGSAKGNPLMSAVQFIGDGVSQVQSIGNQAVSNLASFLNVIPDDDDNEQSKTEDRVYDQIESQETRYAHLERSHLERSSSLQSDKSSDPASMQLGRIFRHIWAQMRTNNDVVCYCCFILVFLWNFSLLSMFYLAALFVYALCVNTGPGYMFWVVMLIYTELYILLQYLYQIIIQHCGLTINSDLLQELGFPTHRITSSFVVSSLPLFLVYLFTLLQSSITAKDGEWAYSSAFNKNALPSKQSLGHYGLTDRAYELLYIGRKMMLFVLRSLCKYWKSLTQGAESPPYFIQVSLDVQIWPEDGIQPERIESGINHMLQIIHVERCKEQNPRLCSFSSRVHVQSIERSKENTKIALVVLEVVYASPSTNTCAEWCDSLTPAADVANEILLAQRNEFVESTGFPYRILSVIGGGKREIDLYAYVFGADMIVFFLVAIFYQSIIKNNSEFLDVYQLEDQFPKEFVFVLMIIFFLIVLDRCIYLCSFAIGKVIFYLFNLVLFTYAVTEYAWQMEPSNQHAGELALRAIFLAKAVSLALQAIQIRYGLPHKSTLYRQFLTSDVSRINYLGYRLYRALPFLYELRCVLDWSCTTTSLTMYDWLKVIISLF >KGN43154 pep chromosome:ASM407v2:7:146061:146910:1 gene:Csa_7G004070 transcript:KGN43154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKGNSMMAFDMGSLRTNLPQKRGLSRYYSGKARSFACIADVRSVEDLKKPKHPDAKKRKKHSDIKEIIINVPPFHCRRVSSHCSVPFIAT >KGN43823 pep chromosome:ASM407v2:7:4328813:4333730:1 gene:Csa_7G070220 transcript:KGN43823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRPGNPSRRLVDGGGLPFVGTIHSKTRSSPFLTIGLVLGAMLLVGFCYHQSGGSRNDLEAVSRVEGSTSCTVEVQRAIPILKKAYGDSMHKVLHVGPDTCSVVSKLLKEEDTEAWGVEPYDLDDADASCKSLVRKGIVRAADIKFPLPYRAKSFSLVIVSDALDYLSPRYLNRTLPELARVSIDGVVIFAGYPGRQKAKDSELPKFGRPAKLRSSSWWIRYFVQTSLDENEAVVKKFDQAATKRSYRPACQVFHLKSYS >KGN44921 pep chromosome:ASM407v2:7:15208054:15208752:1 gene:Csa_7G396370 transcript:KGN44921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTDLKHRKTDDSTPTSTVSADDNDGTKKSKNKVIAKRGLRSLALAISIPVSLTLFFILLFSNSKNYYPASAPKPFWVPSAKVVNWGSLTSSLLMGVAAWLVWAEGGFHARPNALYLYGLYSVLCLTWYALMFGAGARRLSALACLGKTAALVGCDRLFRGVNPLAADLVKPCLVWSVLLTVVNLTVVSL >KGN45529 pep chromosome:ASM407v2:7:18778751:18788086:-1 gene:Csa_7G451350 transcript:KGN45529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTWHRTFWKSEPTNRDSQACFSKINSHLHLHHRLPRSFQSASASPFLQMAKSDESCSVQLIDGDGGFNADGIESFIKDVKLGECGLSYAVVSIMGPQSSGKSTLLNNLFGTNFREMDAFKGRSQTTKGIWLARCAGIEPCTLVMDLEGTDGRERGEDDTAFEKQSALFALAVSDIVLINMWCHDIGREQAANKPLLKTVFQVMMRLFSPRKTTLMFVIRDKTRTPLENLEPVLREDVQKIWDSVPKPSAHKDTPLSEFFNVEVVALSSYEEKEEQFKEQVANLRQRFFHSIAPGGLAGDRRGVVPASGFSFSAQQIWKVIKENKDLDLPAHKVMVATVRCEEIGNEKFTWFASNEDWLSLEEEVQSGPVQGFGKKLSSIIDTCLSEYDAEATFFDEGVRSAKRAQLEEKLLQLVQSAFQSLLGHIRSGTFEKFKDAFDKALNEGEGFSSAASNCAQTYMAIFDKECAGAIIEQANWDTSRIRDKLRRDIDAHIATIRADKLSELSTQLEKKLKDALSGPVEALLDGANNETWPAIRKLLQRETESAISGLSKGLVGYDMDEKTEEKMLTHLKDYARGVVESKTREEAGRVLIRMKDRFTTLFSHDAESMPRVWTGKEDIRAITKTARSASLKLLSVMAALRLDDDDSGEIDNTLSSSLLNIPNSSNTKDRSIMATDPLASSSWEKVSQSQTLLSPVQCKSIWRQFKTETEYTVSQAIAAQEASKRNNNWLPPPWAIVAMVILGFNEFMTLLRNPLYLGVIFVLYLLAKALWVQLDVSGQFSNGLLPGLLSLSSTFVPTVMNLLKKLAEEGQQGPQRNATTEPHSHPTMTTKSIRNNSSNDLTSTASSGVTGIETGGGEKSSRSKEE >KGN45568 pep chromosome:ASM407v2:7:18970127:18970619:1 gene:Csa_7G452230 transcript:KGN45568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPKLPLTSVFITVLVFAMVLSPMTTPTASATRVLLQQINRPPICPACVCCEPPPAGSCCRCCASPIQTSSQNGSP >KGN43311 pep chromosome:ASM407v2:7:1169032:1171062:1 gene:Csa_7G019910 transcript:KGN43311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARNNLEKSRFRRVCVFCGSSTGKRICYRDAAIELAQELVSRGLDLVYGGGSIGLMGLVSQAVHKGGRKVIGIIPRTLMSKELTGETVGEVKPVADMHQRKAEMARHSDCFIALPGGYGTLEELLEVITWAQLGIHNKPVGLLNVDGYYNSLLTFIDKAVDDGFIKSSQRSIIVSAPNAKELVQKLEEYVPVHDGVIAKAKWEVGQQQQQPQVGLSAIPI >KGN43345 pep chromosome:ASM407v2:7:1372859:1382222:1 gene:Csa_7G024680 transcript:KGN43345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANFFCDFRFLLLIAAVAFIYIQIRLFATQSQYADRLAEAVESENHCTSQMRLLIDQISMQQGQIVTLEDERKRSFEERLQLKALIQDLERKGLHQLTDKTQEPVAAVVIMACNRADYLERTIKSVLKYQTTVASKYPVFVSQDGSNPDVKNKALSYDRLTYMQHLDYAEVETERPGELIAYYKIARHYKWALDQLFYKHNFSRVIILEDDMEIAPDFFSYFEAAAILLDKDKTIMAVSSWNDNGQKQFVHDSYVLYRSDFFPGLGWMLTKSIWDELSPKWPKAYWDDWLRLKDNHKGRQFIRPEVCRTYNFGEHGSSMGQFFKQYLEPIKLNDIQIDWMSMDLSYLMEDNYVKYFADLIRKAKPVYGNDAVMKAYNVMGDVRIEYRDQSDFERIARQFGIFEEWKDGIPRTAYKGVVVFRYQTQRRIFLIASDSLKRLGI >KGN44173 pep chromosome:ASM407v2:7:7606324:7606515:1 gene:Csa_7G212640 transcript:KGN44173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTIRQWQFKKPKIFNVYHSHSDIKVDTNAPRIFLVAELVPKKFPLMMVVKSIALHPLPVASA >KGN45069 pep chromosome:ASM407v2:7:16070057:16072417:1 gene:Csa_7G419650 transcript:KGN45069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METFLFTSESVNEGHPDKLCDQISDAVLDACLAQDPDSKVACETCSKTNMVMVFGEITTKANVDYEKIVRDTCRNIGFISDDVGLDADNCKVLVNIEQQSPDIAQGVHGHFTKRPEEIGAGDQGHMFGYATDETPELMPLSHVLATKLGARLTEVRKNGTCPWLRPDGKTQVTVEYYNDNGAMVPVRVHTVLISTQHDETVTNDEIATDLKEHVIKPIIPEKYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIVRQAAKSIVASGLARRCIVQVSYAIGVPEPLSVFVDTYKTGKIPDKEILEIVKENFDFRPGMITINLDLKRGGNGRFLKTAAYGHFGRDDPDFTWETIKPLEWEKPQS >KGN43976 pep chromosome:ASM407v2:7:5217342:5217587:1 gene:Csa_7G075565 transcript:KGN43976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKISPTVEMCMNQLAKFRDVFLSNSSSRFLPTITTTDRRQISIQDPTKQNKAIAIDEPSSPKEVILSESTIFLLIDRFIVW >KGN45012 pep chromosome:ASM407v2:7:15727288:15733395:-1 gene:Csa_7G407650 transcript:KGN45012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAMKDSGPLDFSAERVLAKEFLANFADANGEAKYLNILQEVANRRVRAVQIDLEDVFNYKDLDEDFLRRITENTRRYIGIFADAIDELMPEPTEAFIDDDHDILMTQRSDDGPDTVDNPDPRQRMPPEIKRYFEVYIRASSKGRPFTIREVKASYIGQLVRISGIVTRCSDVKPLMQVAVYTCEDCGFEIYQEVTARVFMPLFECPSQRCRTNQTKGNLILQLRASKFLKFQEAKLQELAEHVPKGHIPRTMTVHLRGELTRKVAPGDVVELSGIFLPIPYTGFRAMRAGLVADTFLEAMSITHFKKKYEDYELRGDEEELIARLAEDGDIYNKLARSLAPEIFGHEDIKKALLLLLVGAPHRKLKDGMKIRGDLHICLMGDPGVAKSQLLKHIINVAPRGVYTTGKGSSGVGLTAAVQKDPVTNEMVLEGGALVLADMGICAIDEFDKMEESDRTAIHEVMEQQTVSIAKAGITTSLNARTAVLAAANPAWGRYDLRRTPAENINLPPALLSRFDLLWLILDRADMDNDLEMARHVVYVHQTRESPALGFTPLESSVLRAYISAARRLSPYVPKDLEEYIASAYSSIRQEEAKSKTPHSYTTVRTLLSILRISAALARLRFSETVAQSDVDEALRLMQMSKFSLYSDDRQKSGLDAISDIYSILRDEASRTNKMDVGYAHALNWISRKGYSEAQLKECLEEYAALNVWQIHPHTFDIRFIDA >KGN44384 pep chromosome:ASM407v2:7:9778171:9784131:1 gene:Csa_7G276700 transcript:KGN44384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRDMVLDIFDVEVFLFSGYQTQNPLLLLEQYSDDDVDGDLNKNSDQDGQDDLLPERNDEVAAVSTEGCENMDTNVGEDLIAEKTVQEESERVSVKISENMESKDEAKTDTNGLGYLSKESDLVQTSVPTISNVQVSGDVISGWRIVMHEESHNYYYWNVGTGETSWEVPDVVLTQAQPTQSTTDIKTSPTQFPENVTVFKQESGLTNGGKLGAFSAESTGYKNSVPVTASQGSEVDQSYAALSTCSNDVNITKAASEIYVDYMVTNEELKSSGSDLPSHLLTWSASLLEKLKSLQKSGGHEWTSKYILETQVRLSDFMSLMPYKTSLVPFWEHSARKLKQIEDDVNKEIYQTAAVSSQLDEAKATDSPKSVRVETFQERSNVESEVERVANCCVSALEHSHLPTDSASLKLQGDQSQVTIIANEENISPSKAIDQLGNSTVATEHASEVATDEMASKSGVHSVEDVDMEVDMEVEDASSAGNLMMAGTSDMCVTFLEQQLQPDPPAHPNLSSGYAYMLSEDDSIAPPPPPPDEEWIPPPPPDNEDVSPPPPDEPTEPLYPMAPSYTQLGQPLCYTEPYRVSYPDSSIEYYVHPAPEVVPSADFYGHPEACNIVLAQTPFYYEPVPNSHADSASIVVNGVLPEGYGILQNATATLPVFSTTESSQLHVDSSSARLHPSSSVQYGSSDAANMNTASAEDEIDKRRGETTTASFRASTSGSPTNDVLPTTKAVTDSSSVAHTSTVSKVQPKALRSKKRTVTVAPSLRSNKKVSSLLDKWKAAKEELEDEEEPENAYEILERKREREIKEWHAQQIASGDAKENANFQPLGSDWRERVKRRRAQSSSEATQSPVEAPTGGNQQPDLAEISKDLPSGWQAYWDESSKQVYYGNVNTSETSWMKPSK >KGN45369 pep chromosome:ASM407v2:7:17750182:17751048:-1 gene:Csa_7G446840 transcript:KGN45369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGQSSVAPFPTNGYTIYEFTGRPSSSTNELARVHTGVIVEVKDVHQAKIAEEAGACCVLFSQPIHEGISRMPEPLLFKEIERAVSIPVIVKIRIGHFVEAQILDCVGVKHFDESDEASAADAENFINKHKFKDSHFVCGCRSLGEALRRIKEGAIMVKTQGGMSSITGHITETLRNVRSVMSDIRYLHNMDENEVFAYSREIAAPYDLVKETRELGRLPAIHYAAGGIVTPADAALMMQLGCDGIFLGLEIFEYPNPRDRLRRMIEAVRNHSDPEALARAAFGFGPA >KGN45318 pep chromosome:ASM407v2:7:17454894:17456544:1 gene:Csa_7G434950 transcript:KGN45318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSETTEEIRLPVINFSDEKVKAEGGVEWRRTSKNVREAMEEYGCFIAKYEGVSKELREEVLKAVKELFELPIERKKMNKYEKPFNGYVGELRTLPLHESLGIDDATNFNQVQSFSHLMWPPEGNHHFSATLHSFAKIAEELDKMVTKMIFESYGVEKYYNSHADSITYLLRVLKSKAPQSPDPTLCLVDHTDKSFTTMVYQDHINGLELKTKNGQWIQVDNSSPSSFLVIAGDAIKVEYIIIHIIQFLNFNDIDELKVERLNF >KGN45427 pep chromosome:ASM407v2:7:18096278:18097840:1 gene:Csa_7G447890 transcript:KGN45427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKVLDDLQIIGTVLEDQDYLEKITKLQGYLDEVKKIVSESCSQEVLELALDSISSLITILSSMSSSTKLHSSL >KGN43770 pep chromosome:ASM407v2:7:4010013:4011095:1 gene:Csa_7G066270 transcript:KGN43770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKTGDSWPQPGHSAGNLPNLNCTNELLKFRLQCLNPDTNVSSAQTEFWGSSIHHGSLNWEQKNENRLLHSFPSYFGTMHSNALPCLVEKQFDSSLGFGRMTIPDSNTEFPKREFIIFDQTGNQTSVMYSSDTAQIPISISTKNCSHGLNDDEEDAAGDIDLKNYLFHKDPLKSGIAGEESEMHEDTDEINALLYSDDDNHYISDDEVTSTGHSPPLIKELYDKQIEEMNEEVASSDGPRKRQRMVDGGHKKLSEAPVSVKVDALNNYRVDMKSSYTGGNSQGHLMDSNFSSKKDKLRETLKLLETMVPGAEGKHPMLVIDEAIDYLKSLKFKAKAMGLAAATLPHRDVGQGYQDGRKRW >KGN44326 pep chromosome:ASM407v2:7:9200891:9203736:1 gene:Csa_7G253810 transcript:KGN44326 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannitol transporter MNKNPFLLSSSAPMAHGKDETTFSISDQSAPPAAKRWNSYAFVCSTMASMASVLLGYDIGVMSGAAIFIQEDFKISDLQVEILVGIISLYSILGSIAAGRTSDWIGRRYTMGIAAAFFLVGAVLMGLATNYAFLMFGRFFAGVGTGFAGLIAPVYTAEISPASSRGCYTTFPEIFINVGILLGYVSNFAFSKLPTNLSWRLMLGVGLIPSIGLVIIVLVMPESPRWLVMKGRIEEAKRVLDKTSLSIQESQQRLLDIKNAAGTDDVNAVVPVSTPHKQGRSVWKELFIHPTPSVRHILIAALGLHFFQQASGSDSVVLYSPRIFEKAGITSSDHKLLATIAVGIVKGSFILVATFLLDRVGRRPLILTSVAGQTISLVILGISLTIINNSEVKVKWAIVLCITTVLSNMAFFSIGLGPMAPVYTSEIFPLRLRALGVSVSVTLNRIVSGVVAMTFLSLYHALTIGGAFFLYAGIAAVSWLFFFLVFPETRGQNLEDIEALFGNFPWRSKKNKDAAMEVELEG >KGN45121 pep chromosome:ASM407v2:7:16394732:16399958:1 gene:Csa_7G427110 transcript:KGN45121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAVVDPGSKLLKAGPAIPDQAPSMIIPTHMKRMPEDESVTENSQFEDVTVDPVVRGFIKDWDAMEDLLHHVLYTGLGWEIGNEGQILFTDPLSTPKAIREQLVQLMFETFNISGFYASEQAVLSLYAVGRISGCTVDIGHGKIDIAPVIEGAVHHIASRRIEIGGIDLTRLLAQELGKSHPMVNISTADVEKIKELYACCAEDELAYSKIGDSCQLETHTLPDGQVITVGRERYTVGEALFQPQILGLDTHGIVEQLVRTISTVSSDNHRQLLENTVLCGGTSSMTGFEERFQKEASLCSSAVRPALVKAPEYMPENLSLYSAWIGGAILAKVVFPQNQHITKADYDENGPSIVHRKCF >KGN43696 pep chromosome:ASM407v2:7:3517664:3522040:-1 gene:Csa_7G060160 transcript:KGN43696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSNEMGRLKLVFSDVFSFLCLIFVMGFVLRVSANGEGDALNAFKLSLVDPNNALESWNSLLMNPCTWFHITCDGNDSVVRVDLGNANLSGKLVPQLDQLKNLRYLELYSNNISGTIPKRFGNLKNLESLDLYSNSLSGPIPDTLGKLTKLTTLRLNNNSLSGTIPMSLTTVPLQLLDLSNNLLTGVIPVNGSFSLFTPISFANNRLRNSPSAPPPQRTDTPRTSSVPPYI >KGN45258 pep chromosome:ASM407v2:7:17214821:17215290:1 gene:Csa_7G432400 transcript:KGN45258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGKVSKLPQRWLNSPKLEIHCTEKKVKINLSNSDPYRTRPAVVLRSTAFILLGSQLQNRPASIGNWQLRPRSHLLHQCSGLFFCCHFLSGNYFELPFAYNCVPGRGKLTNEMNGVCFRVYNLGNSRI >KGN43162 pep chromosome:ASM407v2:7:209782:210776:-1 gene:Csa_7G004150 transcript:KGN43162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAISGSSSSSSSSMEFVFYDDFEYEFVEDIERLSNWELLDAFDADSEMGGNGGEEQGGNCSVDDNVSQDLREMISPVLAVPISLVEDRIDCSLEDAYFLHNQAEDGFAYGYNDAEEEDEVDDDEDEFDLDDELVPWSVSDKLGRQRMRKLGKRGFTKMYNSKRSPFLFTKPGCVRGKHGLGLKHSY >KGN44128 pep chromosome:ASM407v2:7:7175980:7181787:1 gene:Csa_7G200810 transcript:KGN44128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENEGYYLALFDTKEEKGRIWYRVFAFSILVGICLIWSYRLNFIPQHDGEGRRWVWVGLFAAELWFGFYWLFTQASRWNPIHRRPFKHRLSKRHEAEFPGVDIFVCTADPEKEPLPMVMNTVLSVMAYDYPPEKLNVYLSDDAGSELTYYALVEASKFARHWIPFCKKFNIQPRSPASYFASQSNHQSKEVVFIQKLYKELESRINVSVKLGQIPKEIRSSIKGLSQWKSYVSRRDHDTLIQIVVDGRDPKATDVEGDMLPTLVYLAREKRPQYFHNFKAGAMNALLRVSSQISNGQIILNVDCDMYSNTSDTIKDALCFLMDEEKGHEVAFVQFPQKFHNVTKNEIYGSSLRVMNEVEFRGMDGFGGPRYLGTGCFHRREVLCGKKYSNGYKNDWNGKKYRNYEGSIDEVEEKVKHLASCSYEKNTQWGKEMGLRYGCVVEDGVTGLSIQRQGWKSIYYSPKREAFLGVAPTSLIQTLVQHKRWSEGDLEILLSRYSPARFGQGKISLGLRMVYCIYSLWAVNSLATLYYSTIPLLYLLRGIPLFPKVSSPWFIPFAYVTFAKYGTSLVEFLLTGGTILGWWNEQRIWLYKRTSSYLFALVDIVLKILGLSNSAFVITAKVIDEEVSQRYENEIMEFGVSSPLFTIITTISLVNFLCFIGMMKKVVESGSGLVMFLETMVLQILLCGILIMINWPLYQGLFFRKDKGKMPTSLTIKSFILALLICISFSFLL >KGN44633 pep chromosome:ASM407v2:7:12626141:12626478:1 gene:Csa_7G352460 transcript:KGN44633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFPARYFFSKLYSSSPLVNFVEATVNVEARLSIALGRRNTPFFSGGQRVGDSLKTFPPVNDGEATVTVEACLSIAFVRFAFLLNSVPSVVSLFNFFAKSP >KGN44409 pep chromosome:ASM407v2:7:9939437:9941121:-1 gene:Csa_7G281380 transcript:KGN44409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDLTPQLPKKIYGSDGGSYYAWSPKELPMLREGNIGASKLALEKNGFALPRYSDSAKVAYVLQGNGVAGIILPESEEKVIAIKKGDAIALPFGVVTWWFNKEATDLVVLFLGDTSKAHKSGEFTDFFLTGANGIFTGFSTEFVGRAWDMDEASVKSLVKNQTGTGIVKLKEGTKMPEPKKEHRNGMALNCEEAPLDVDVKNGGRVVVLNTKNLPLVGEVGLGADLVRLDGSAMCSPGFSCDSALQVTYIVKGSGRAEVVGVDGKKVLETRVKAGNLFIVPRFFVVSKIGDPEGMEWFSIISTPNPVFTHLAGSIGVWKALSPEVIEAAFNVEADLVKNFSSKRSSDAIFFPPSN >KGN43877 pep chromosome:ASM407v2:7:4690433:4700711:-1 gene:Csa_7G071660 transcript:KGN43877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSDSSRSANSSAQKSKRIRDNSSPSNPSGGGGNKRKRSNQKTLGVAWGANSISSSRKSPFSDFGSYMVEKNRKLHNQFNLDASSASHSGVNSGNQIFQGVSIFVDGFTIPSSQELRGYMLKYGGRFENYFSRRSVSHIICSNLPDSKIKNLRSFSRGLPVVKPTWILDSVASNKLLSWVPYQLDQLVNNQPRLSEFFSMKKGPTVEKPKICLTSEKKYETEDSLSPVAMNLKDTTLSEVNESVGYRAELHSDSEMNLQYNADAKLNETSSDDLEAAKLKDTSISDVDVSIEYKPQFCGSFEMLPQKDADVEVQKGPSSEKYNYAGEEPGIDDVGQSSEENISSFHGLSASTHNGSTNSDGSSSSMAAGSSKLQHSTLENPDFVENYFKKSRLHFIGTWRNRYYKRFPRLANGSNSVTSPINGSSHDQSATIIHVDMDCFFVSVVIRNIPKFKDRPVAVCHSDNPKGTAEISSANYPARSYGVKAGMFVRDAKALCPHLVIFPYDFKSYEGVADQFYDILHKHCEKVQAVSCDEAFLDISGTNNVDPEVLASKIRKEIFDTTGCTASAGIATNMLMARLATKTAKPDGQCYIPLEKVDDYLNPLPIKDLPGIGHALEEKLKKRSVLTCSQLRMLSKDSLQKDFGLKTGEMLWNYSRGVDNRAVGLIQESKSIGAEVNWGVRFKDFKDCQCFLLNLCKEVSLRLNGCGVQGRTFTLKIKKRRKNADEPTKYMGCGDCENLSHSLTVPVATDDLEILQRIVKQLFGFFVIDVKEIRGIGLQVSKLQNVDISRQGTKRNSLDSWLSSSATTNVENVIGPSVKEVANIDNEKQSNSGTLDQLSADPISHLIQMENNRHHSEALNPVSPPPLCNLDIGVIRSLPPELFSELNEIYGGKLIDLLSKSRDKNEVFSSSIRVPSQGSGGDGLTLSDIQGNKVQSENKHIVDRSPPAQISREGLYTMMAPISTSGSHRIDLLPSSLSQVDPSVLQELPEPLRDDILKQLPAHRGNELSLEHAIKDQRESGSGVENTSGSVDPLMENDLWSGNPPLWVDKFKASNCLILELFAEIYTESGLPGNLYEILLRTSSQSWHPSAADSDGWDGAIYGLCELLKQYFKLKIELDIEETYVCFRLLKRLAMKSQLFLEVFNIIDPYLQGAVNEIYGGSLKV >KGN44567 pep chromosome:ASM407v2:7:11935769:11936098:1 gene:Csa_7G336460 transcript:KGN44567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGKQDLTKALKKYQATVGKASPFSDGVEHSPFGSLVLEQRKEEIQLKMVVINGVKIQLLEDKLGNLLAIVSRETSKREKEQKEQEEREMLEKEGMGKERVEEEQKERE >KGN45302 pep chromosome:ASM407v2:7:17388027:17393609:-1 gene:Csa_7G433310 transcript:KGN45302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAAIQPFSLYCSNSSKLMAPSSNSLMWFRKGIRIHDNPALEYAAKGAKFLYPVFVIDPHYMEPDPSAFSLGSSRAGLNRIRFLLESLVDLDLNLKKLGSRLLVLHGEPGEVLIRCLKEWNVKKLCFEYDTDPYYQALDIRVQNHASAAGIEVFSPVSHTLFNPADIIQKNGGSPPLSYQSFLKLAGEPSWACAPLSTTVSSLPPVGDTGRSEISDVPTIKDLGYEDMAKDDWTPFRGGETEALKRLKESMSNKDWVAKFEKPKGDPSAFLKPATTVLSPYLKFGCLSSRYFYQCIQEIYKNVKGHTSPPVSLVGQLLWREFFYTAAFGTPNFDRMKDNKICKQIPWNDDNELLAAWRDARTGFPWIDAIMVQLRKWGWMHHLARHCVACFLTRGDLFVHWEKGRDVFERLLIDSDWAINNANWLWLSCSSFFYQYNRVYSPITFGKKYDPNGDFIRHFLPVLKDMPKQYIYEPWTAPLSIQKKAKCIIGEDYPKPVVSHDIAIKECRKKMGEAYELNKRLKGLISDEDLENLKKRNSEEDQRQNAQTKRKRQTLIDWIQPQ >KGN45133 pep chromosome:ASM407v2:7:16479395:16481578:-1 gene:Csa_7G428210 transcript:KGN45133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPAKVDWKNVQWRFVEDELYEHINAPKWVDFTAIHDPVDDEAWFCRPDCKHPKTAEELLRVTPTKLTSPGYSTDTLQSSDRIGRDGKLKRRGPPQFSNNENQNPNSSTPPSHSAKATKAGIKSSAEKKPLMEDGQQKNNGAPSLKSTLSARNLFAGRDILNQITEFCNEIKRMAIRVRERENVKQQSAVENGVEGEKKFAEKEKEVSAKGLNDLEKKEKQRKPFGELSIEKSDGSISNSVKQKKRINTKSAANGENVLIPLDLERAWHKRDDNTLQIRTNPPSPQCFSSIRAPNKIPASKASRSRLKEKEMEEVKEVTKGEVSAERVKAISSVVEKEAKALDVLWFLKPCTLSN >KGN44000 pep chromosome:ASM407v2:7:5454142:5456531:-1 gene:Csa_7G089210 transcript:KGN44000 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L38 MPKQIHEIKGFLLAARRKDARSVKIKRSKDVVKFKVRCSKYLYTLSFRLLEGRQVEAIPSTRFECTRSVEFDRDGVVRGKNHKSCVAEAYIVGDFELAMSREQFKNQYVKGTISLKEVSDQKAHKAILKQLNLHSIAGGLALEEWTARAKMYDSLHEPVENRRGFGDLEDDEDDFFISKKQVYGKEQVLKFKLMLIFFRYHFSVFTNLLFLMILRQ >KGN43207 pep chromosome:ASM407v2:7:510371:510838:-1 gene:Csa_7G009030 transcript:KGN43207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRLPRIVQAKQSLRRSSSTGNGTTAVDVPKGYFTVYVGDVQKKRFVIPLSYLNEPTFQDLLNQAEEEFGYDHPMGGITISCSEELFLGLTQSSKHL >KGN44351 pep chromosome:ASM407v2:7:9507315:9510213:1 gene:Csa_7G268480 transcript:KGN44351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVGTSKKRQRVQHSVSSSRNSSVAAAADCFPLPDNSSTEVSKKPHTLRRSSSFSSIPAGGSFNDASTADVLLRLYSEVTPFDSSGSDSLSSSDVQIYLHSHVLRRSKYFSALLSDRWKPRKDDDSGPLRLSFGVPGAQGSMDGHLAVLELLYADDMSDAIHSAKAALDLLPVALKFLFEDCVKSCVRFLEAVPWTDEEEKRVMELIPFLQEEESKELLARVLPGQNDSCEEMLQGLILAAIHNYPNMAFVKAFVAKLLKDFSSKESAKRVLKRAFETSLKVVKESLEEYSSPNFRGDHNETEAIQRLNLHTAMTNAKHLSWLVERMIELRVADVAVDEWSEQASFTADLQRAFRDDGWRGIVPGFPSVLLRCTSKLANAVAAGSILANKQVRKKLVKHWLPVLLVSKDHSPLLASNKGLCMELEETFLRIISTLPLSDAQELLQQCLSFTRHVDDCPHLFKAFNTWFRRATHPPQTEKL >KGN45234 pep chromosome:ASM407v2:7:17107147:17109832:-1 gene:Csa_7G432150 transcript:KGN45234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLPEVLIWEILNRVKKTVDRNSLALSCKRLHRLDKENRQFLRVGCGLDPADEALTSLCLRFPNLVRIEITYSGWMSKLGKQLDDRGLFILSNHCPSLTDLTLSYCTFITDVGLRNLISCYKLSALKLNFTPRITGCGIFSIAVGCKNLTVLHLIRCLNVSSVEWLEYLGKLETLEDLSIRNCRAIGEGDLIKLGHSWRKLKRLQFEVDANYRYMKVYDRLAVDRWQKQWISCDDMLELSLVNCIISPGRGLACVLGKCKNLQKVHLDMCVGVRDCDIISLARESRNLRSISLRVPSDFSLPLLANNTLRLTDESLKALAENCSHLESVRISFADGEFPSLSSFSLNGILVLVRMCPVRELALDHVYSFNDMGLEALCSASYLESLELVRCQEISDDGLQLVSQFPQLQNLRLSKCLGITDDGLKLLVDTYKLESLVVEDCPQISERGVHGAARAISFRQDLSWMY >KGN43332 pep chromosome:ASM407v2:7:1292142:1292989:1 gene:Csa_7G024060 transcript:KGN43332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVNYDLAGKRRCNDLLRRHEEIALPPRLKLKVVRLARVSARVLERLSARGSRTAYTETAPCTWLPYGLHGTGSMSVARVRLTRNWLGERGARTAHTEWLGCAWLAYDLNETGSVHVAHVRLTRNWLGERGAPTAHTEVARLRMARVRLARNWLGEGKTAPLREKGSSDGGLMRRTEVGDLRAA >KGN43944 pep chromosome:ASM407v2:7:5053779:5058835:-1 gene:Csa_7G074280 transcript:KGN43944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEYWVSQGNKWCDFCKIFISNNPSTIRNHELGQRHKDNVAKKLANMRKENAAKDKEQKEAVRAIEQIEAKANRSYQKDIANFREARDSHALPVDVQETGDEKWELDSTSGYYYNESNGFYYDSNSGFYYSDAIGKWVTQEEAHSSPQFFLDSKHKKPILAKPSSASASTAIKDKNVDKGEGGPPPGLVVSASLNPKRSIKGAPSSIAVGKRKRPDEKQKAISEEEKAALKAREAAKKRVEKREKPLLGLYRLP >KGN45205 pep chromosome:ASM407v2:7:16911519:16914282:-1 gene:Csa_7G431370 transcript:KGN45205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKNMDITVLFLFYVGVGDYNRAVHVWIFAESTQELLLQLRSVSNSKESWPGLWNISTASQIAAGDSSLVTARRKLQKELGVILPKDAFEMIFDFLPETVRITNGDKFKTDVYLVTTLDPIPLEAFTLQESEVSAVKYIHYLKYKSLLGSQNSEYVPYDVDGQYGQLFDILTQRYALRSIC >KGN45586 pep chromosome:ASM407v2:7:19094893:19098386:1 gene:Csa_7G452900 transcript:KGN45586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHRKSSKLLDDSSFEFTLAEIVEMDNILKDSRDQTLGQEFFQDVALHFSCSPWRAAKSPVTTEHVHAWFENRRKELRASSKKARPPPPPPSEPPPPPPSELPPLPTPSSPPPSPPPKLLLYHSESDFLTHAPSSGPPEFKGKATDLSELAFEAFSSRDHAWYDVASFLTYRVNCHGELDARVRYAGFRKDEDEWVNVGRGVRDRSIPLESSECYRVKVGDLVLCFQERQDHALYFDAHVVEIQRRLHDISGCRCIFVVRYEHDRHEEKVHIGRLCCRPSAFNSDQI >KGN43480 pep chromosome:ASM407v2:7:2140976:2142654:1 gene:Csa_7G039280 transcript:KGN43480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYITIGHTTKVFNLDNYFSLFVLNMNMEGGNMLAMGGDELLEAQSHIWNHIFNFINSMSLKCAIQLGIPDAIHSHGPNPVPLSILVSSLQLHPNKTQFIYRLMRLLTHSGFFVQQEEGYILTNSSRLLLKDNPCAVSPFLLSMLQPALTDPWQFLSIWFQTDDQTPFETAHGVPFWKYMRNKPKEGEVFNAGMASDARLVNSVLLGKHKSVFEGVKSLVDVGGGTGTMAKAISQAFPQMECTVFDLPQVVAHLRGDQPNLNYVEGDMFKRIPPADVLLLKWILHDWSDEECVEILKNCKAAIRSNGNKGKVMVIDIVLFGNYKKDSMETQLLFDMLMMTLVGGKEREEKEWAKLIKEAGFGSYKIFPIMGVRSLVEIYP >KGN43505 pep chromosome:ASM407v2:7:2316189:2319208:-1 gene:Csa_7G041990 transcript:KGN43505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSFPLYQKLAIKKPIQRSVELLILILAISLLLYRLLYLQSHALLSLLAFFSELCFTFDWFLYLLLNWNPVDYKTYPQHFKQVHEVPAVDVLVTTADWKLEPSVMVANTVLSLLAVDYPAGKLTCYISDDGGSPVLLYALVEASNFARIWVPFCKKYNVQVRAPFRYFSGKSPSAGGHEFQQEEKRMKTRVSGVMTNAPFIVNIDCDMYVNNPNVVVDAMCILVGATEKESVFAQFPQVFYNQPKDDPFGCQMITLFQMLLRGMAGIQGPLYSGCNCFHRRKTIYTLNSSQNKTGKIEENFGESEELTKATDEILRGVKSSTDHTTNLSTSIQSAYQVASANYENNTAWGLKVGWLYGSMTEDILMGIKIHSKGWKSVLVLPNPPAFMGLASMGGSETLIQRKRWVTGILEILISKNNPLLTFFFTHLKFRQSLAYTYFLTRSLFAIPELTYAILPAYAILTNSHFLPSVQDTALLAIFVPTFILYHSHSIIFEYLQWGLSLHAWWNKVRMEMILSTSSYAFGILSLVLKLFGISEAVFEVTPKDQSDADATNANHHDVGRFTFDESPLFVLGTTLVLLNLMALLFAAFVGMQPLILSVPNDGRHRGFGIGEILGCVWVLLTLLPFLKGLFAKGKYGIPFSTICKSAALILLFVVPFSKWL >KGN44883 pep chromosome:ASM407v2:7:14997089:14997441:-1 gene:Csa_7G394030 transcript:KGN44883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAIIKLFVYVAIVVALLLLSKPISTSAVDHDEISNSNNGILRRNVIQTTNRHEVGNGYQYSVKDEDTNQDGHKQSAKKAHCLNKKSLANRSGTMLFGVGPLVK >KGN43258 pep chromosome:ASM407v2:7:790675:798524:1 gene:Csa_7G013950 transcript:KGN43258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLSSPPSPLCISSSSSSSFISKLSFFSSDSFSCLKTKPSVPSTSSCFLNPSSIKISNLFTNQQQTITLHNSKFRVSEGTSHDELWAAASLRVRTFNQLPPDSFGIHDHKKYLAEHEFEAMKERIAGKRVGFKRVSCINATLPLSEISTLAEDLCSTCKFSDNGEDRVVVGSLDINQCVRLPDEITGMKPEGIGADFARAYLSNVCVAKELQRNGLGYALIAKAKTIALDWGISDLYVHVAFNNEGGKKLYMKSGFVYESDEPSWQARFLDRPRRILFWTPLSQFPL >KGN44748 pep chromosome:ASM407v2:7:13810726:13814487:-1 gene:Csa_7G378370 transcript:KGN44748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQGGAKFVSNWETVESVPESYVYPPEKRPGNIVVPMAKAIPVIDLSIRDRTLLVRKILDASKEFGFFQIINHGVSKKVSEETMRIFKEFHAMSGPEKAKECSKDPNRSCRVYTSSENYTKEQVHCWRDALIFNCHPLEKYVHFWPQKPPKYREVVGAYCMAMRKLVLEILELMSEGLGLGKGYFGGEMSENPLLLVNHYPPCPNPSLTLGLSQHCDPSLITILFQDVNGLQVLKDGQWIGVQPIDNAFVVNIGFVLQIITNGKLQAAEHRAVTNSKTSRQSLTYLVYPKDEAMMEPAKCMINEANPPRYRSLNFKDFQRNYLPRAVDTKAVMQYIETNQS >KGN45280 pep chromosome:ASM407v2:7:17304546:17306660:1 gene:Csa_7G432620 transcript:KGN45280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWFADTFDSTESIKLRQPLSQAVSLGLIVTSALIIWKALMCITGSESPVVVVLSGSMEPGFKRGDILFLHMSKDPIRTGEIVVFHIDGREIPIVHRVIKVRERQDSGEVDETTTTGMTDSCMHMVSNGFNGTTSWAELWGKKLHNFSPRYLRSHFV >KGN44100 pep chromosome:ASM407v2:7:6736928:6739525:-1 gene:Csa_7G186190 transcript:KGN44100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSHRSFFNLTMLLLFFLSLLFTFSSSAFISGHAFESNPSIGRNLLQAKKACPVNFEFLNYTIITSKCKGPRYPPKFCCSALKEFACPYVEDLNDLTNDCASTMFSYINLYGKYPPGLFSSECKEGKEGLECPALPPSTSADLSWGSKTSLPSYSLILLTGFVLLVRLL >KGN44237 pep chromosome:ASM407v2:7:8266982:8268170:1 gene:Csa_7G234100 transcript:KGN44237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQPVSIPTAPSDLDDHTLLASNRGVEEVDPLISRVDGELSRVRLNDVKCFGVDLTPDNVAVAMVYFVQGVLGLAKLAVGFYLKDDLHLDPAETAVISGFAALPWLIKPLYGFISDSVPLFGYRRRSYLILSGLLGAFSWSFMATLVNSKW >KGN43889 pep chromosome:ASM407v2:7:4758217:4763317:-1 gene:Csa_7G072760 transcript:KGN43889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMPLLAFIFLLFFFPLHSAGDSSFPSVVDYSILPHALSDNKSLCSQLVLPAGYPCAEHKIQTKDGFLLGLQRVSSRDGELEKQKGPPILLLHGLFMAGDGWFLNSARQSLGFILPDNGFDVWIGNVRGTRWSYGHSSLSEDEKEFWNWSWEELALYDLAEMINYINSLTNKKIYIVGHSQGTIMSFAALTQPDIAKKVGAAALLSPISYLEHITAPLVRLMVDTHLDTIILASGFHELNFKSDWGTVLLDNLCDRLVNCINILSSITGENCCLNRSRFDLFFKYEPHPSSAKNLHHLFQMIRKGSFSKYDYGLLKNLRVYGQRVPPEFDLSRIPESLPLWMAYGGNDELSDWTDLENTIKKVKSVPELVYLENYGHVDFILSMKAKEDVYDPMIKFFKSLGKSSSL >KGN45389 pep chromosome:ASM407v2:7:17844021:17847963:-1 gene:Csa_7G447040 transcript:KGN45389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAGNPNWWAMFPPSSFSSFADHQPQSWSQLLLGDEDRVLNQTQMSHYQPKKLENWEDQILNNNITSSSQFEASTFVDNIIVKQQQLHSNNNILHPNTIISSHHTNKFLDFSSNTNNNNQDHNTNHLALRPAAPDPDHLSESSKNQSIGSTGGACKKARVHPPSSSQPPLKVRKEKLVDRITALHQIVSPFGKTDTASVLSEAIGYIRFLQGQIEALSYPYLQSAPKDLRNSQPMGGEEMMKNEDEKRLMKDLRSKGLCLVPLSCTHLVGADHTNHNNINNNNHHHNNIALPYWSPF >KGN43143 pep chromosome:ASM407v2:7:2448:3612:1 gene:Csa_7G000010 transcript:KGN43143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILQDDVVLVRPSEKDDEPTVITVNCPDKTGLGCDLCRIILFFGLNIVRGDVTTDGKWCYIVFWVTGNSSTRWGLLKQRLMEVCPSCSSASMLSYFRPEPQSSKPPDMFLLKFCCHDRRGLLHASMFCDFLS >KGN43603 pep chromosome:ASM407v2:7:2895166:2896098:1 gene:Csa_7G047380 transcript:KGN43603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPPKPKYVMLSDDHHQASLRPPPYRRNVPRYHSKANGGGGGGVGCCLKCICCCYCFIFFLIFALFGLGYFLFYYYNPQVPSYKVSDFSVHAFNVKSDFSLYTEFIVIVKADNPNANIGFVYGKDSSVSVMYSKSELCSGQIPNFRQPSKNVTDISILLSGNSEFGSGLQEALMQNRHSGKIPLLVKVKVPVTVVIGSLSLKKVNVFVNCSLVVDKLSPNKKVEILSSNYTYGASL >KGN44576 pep chromosome:ASM407v2:7:12047043:12047351:1 gene:Csa_7G336550 transcript:KGN44576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWRMIGSWRRLYAPAFQGVARACGNLPWSCHGCIPLSHSSPLRTLTLSHSLYVVLGLLSRMASCRAHAWPHRCVLGLSLRSSCLKATCVRLTSQNVQCLDA >KGN45061 pep chromosome:ASM407v2:7:16026999:16028541:-1 gene:Csa_7G419570 transcript:KGN45061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSQKFKLLSKLLSNCIIFFFLFHSTLASKTLKVGFYKSSCPHAETIIKNAVNQAISQNPGIAAGLIRMHFHDCFVRGCEASVLLKSTPNNPSEREHIANFPSLRGFEVIDEAKAKIEAICPNTVSCADILAFAARDSACRVGGINYAVPAGRRDGRISIKEEANSLPGPSFNAEQLTESFGKRGFSSEEMVTLSGAHSIGVAHCPTFSNRLYSFNTTHPQDPSMDPLYAAYLKTKCPPPSGNNDGSDEPTAALEFFSPHRLDNWYYIELKNHRGLLSSDQTLLSSSSTKEMVLHNAKHGHQWAAKFGKAMVKMGFVDVLTGSQGEIRRHCSFVN >KGN45180 pep chromosome:ASM407v2:7:16733800:16739514:1 gene:Csa_7G430150 transcript:KGN45180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKRKFDDVEFHLNFELPETKFVSVITIMSNDDESSLSYTQPIGRWSIFCFGLGHMLNDITAACWFTYLLLFLTDIGLSPGNAATVMLSGQVADGVTTIFAGELIDRFGHLKIWHGAGSVLVAVSFSSVFGGCLPCILFSRSSSTLQTVGYSFFAAIFNVGWAATQVSHMSMVNCITLNSTSRVALASCRNAFNMIANLSLYAVALLVFSIIKAKSHADIEYQYRVIAYISIFIGCCFVVIFLVGTKEPSLKVAVQGNRGSRISWSYWFKKVLYYQVALAYVLTRLIVNVSQAFLAYYVINDLHMAQSATALVPAIIYVFSFIISVVLQEVVWTGQRLKIYYSAGGIIWMFCGAVILILPSSLSTFMYVMSTFIGIANALMMVTGVSMQSVLVGTDLNGCAFVCGSLSFLDKISCGLALYFLESFQSISALHVSENTPLDATYISVTRYGLGLVPAVCAFLGVAVTISMNLGAPYAKYLTESLLE >KGN44771 pep chromosome:ASM407v2:7:14051247:14051513:-1 gene:Csa_7G379090 transcript:KGN44771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEEAGASVSGIQFRDSRWRRRGRRRRVRRRRRRERGRLKAGDLFKGKAGFGRRASDGWDERSRRKEKREKRKEEEEKGKWGLLKMEK >KGN45381 pep chromosome:ASM407v2:7:17794011:17796888:-1 gene:Csa_7G446960 transcript:KGN45381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPNIIGVAMTNSTSSYLEQQLERRFNVFKLWECPTLDPDTAKSIRAVVCNTQAGADADLIDSLPALEMVATFSVGLDKVDLKKCMEKGIRVTNTPNVLTEDVADAAIGLAIAVLRRICECDRFVRSGSWLSREFGLTTKFSGKPIGIIGLGRIGSAIAKRAQAFGCTINYFSRTVKHHLTDYKYFPTPLELAKNSQIMFVSCALTKETKHIVNREVLDALGPNGILINVGRGAHVDQSELISALLEGRLGGAGLDVFENEPHVPEQLIHELQDKTVLLPHVGSDTIDTNIAMADLVIANLEAHFKNQPLITPCYHRSRV >KGN44839 pep chromosome:ASM407v2:7:14645139:14645360:-1 gene:Csa_7G390180 transcript:KGN44839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSKTLGLQLGYAPAFNNLGLVFIAEGQWEEAKYCFEKALEADPLLDSANSNLLKTVAVHRLCNSLSSCHVKD >KGN45482 pep chromosome:ASM407v2:7:18505044:18509585:1 gene:Csa_7G449420 transcript:KGN45482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALANEIIGSSFLHKTSCVASQFQGKQLFRPIWVVPVEKRQVVAQLRKAVNSPVAAISEDLIQAVPLAEKPVKYKVRAVVTIRNKNKEDIKETIVKHLDALTDRIGQNVVLQLISTEIDPKTNAPKKSNEAVLKDWSKKTNLKAERVNYIADFLLTSDFGEPGAITITNKHQQEFFLETITIEQFANDPIHFPCNSWVQSRKDHPAKRIFFSNKPYLPGETPAGIKKLREIELKDIRGDGKGERKLSDRVYDFDVYNDLGNPDKGIEYARPRLGGEKIPYPRRCRTGRAPSETDMTAESRVEKPLPMYVPRDEQFEESKKTSFSLGRLKAVLHNLIPSLKASILSNKHDFHGFSDIDSLYSEGVLLKLGLQDELLKKLPLPRVVSESSQGLLRYNTPKILSKDKFAWLRDDEFARQAIAGVNPVNIERLKVFPPVSNLDPDVYGPLESSLKEEHILGQINGMTVQQALDENKLFIVDYHDVYLPFIDRINSLDGRKTYATRTIFFLTPLGTLKPIAIELSLPSTAPSSRSKRVVTPPVDATSNWTWQLAKAHVCSNDAGVHQLVNHWLRTHATLEPFILAAHRHLSAMHPIFKLLDPHMRYTMEINALARQSLISGDGVIESCFTPGRYCMEISAAAYKNFWRFDMEGLPADLIRRGMAEPDPSKPHGLKLLMEDYPYASDGLLIWAAIENWVKTYVTHYYPNPNMIREDEELQSWYWESIHVGHGDLRHETWWPELNNCDDLISILTTLIWLSSAQHAALNFGQYPYGGYVPNRPPLMRRLIPDENDPEYTIFLNDPQKYFLSALPSVLQATKFMAVVDTLSTHSPDEEYLGERQQPSIWTGDAEMVEAFYGFSAEIGRIEKEIDRRNADGRLKNRCGAGVLPYELLAPSSEPGVTCRGVPNSVSI >KGN44235 pep chromosome:ASM407v2:7:8228338:8228820:-1 gene:Csa_7G232590 transcript:KGN44235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFANRSVVSVFERAVFQRLANDRFCSRNQCIVITESMTQGRGYPDVSTRRFLRLLVDVLALLAFCLVDCDPYGFDILTTYLFGSMVTLLKLNNYLLIFPSMFSTHFLFKCYFL >KGN45130 pep chromosome:ASM407v2:7:16467709:16468258:-1 gene:Csa_7G428180 transcript:KGN45130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNNRSYNITPSPNLSHSSEPRSSNNSFVEQPSSFEPLLFSTLSNDNYQLFNTFEDGGSGGGVTEQGYFGDYTTTTILEAKDFYDYSHLPPHGNKDIIIEEINKVTSMNLQENNYELFNNNDEMSFEVEDIFGNNNNCQNNQSLQDHFKIGPNWDFDPFILDFQID >KGN44782 pep chromosome:ASM407v2:7:14180357:14184107:-1 gene:Csa_7G387170 transcript:KGN44782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLANGGEAGKALVANQVADIVSGKSRNTQENDLGSKLGTGSKSNRDMVFRADKIDFKSWDIQLEKHLSRAWSRDREVPAKKEEWEIDLSKLDIRYVKAHGTYGTIYRGNYDGNDVAVKVLDWGEDGVSSVAEIAALRTSFRQEVAVWHKLDHPNVAKFYGASMGTSNLKIPPKSSSFDSNQTFPSRACCVVVEYLPGGTLKSFLIKNRKRKLAFKVVIQLALDLSRGLSYLHSKKIVHRDIKTENVLLDAQKTLKIVDFGVARVEAQNPKDMTGETGTLGYMAPEVLDGKPYNRKCDVYSLGICLWETYCCDMPYPDLSFAEVSTAVVRQNLRPDIPRCCPSSFANIMKRCWDANPEKRPDMDEVVKLLEAIDTSKGGGMITEDQISCFCFRPARGP >KGN44381 pep chromosome:ASM407v2:7:9752758:9754958:-1 gene:Csa_7G276180 transcript:KGN44381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGQSTAVHIVYTERPHNEEPEAYHIRTLASVLGSEGAAREALVYSYKNAASGFSARLTSDQVAEITKQPGVLQVVQSGNNKLHSGGGAARLH >KGN45508 pep chromosome:ASM407v2:7:18666315:18666776:1 gene:Csa_7G450665 transcript:KGN45508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKKRSIWTGSYLVLLGPGPDVRPRLDLFLAVFSLMEFIFCNFKSALNLAMNVSALLLTSGLEQNIGIVGSFTSFLSLVAGGPLASLSSISGEMALGVLLLLPALSKSPCILNGGGGGGGGGGIGWYGDSPPMLVLYSSSFKLLNLSSSIGGS >KGN43621 pep chromosome:ASM407v2:7:2990460:2992838:1 gene:Csa_7G048050 transcript:KGN43621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSSSSSFLFCAVISILLFISTSVFATEFVFNTNFTSTNTLLFGNATIDSSVLILTRDSPFTIGRALYPFKVPIHFSNSLFSFASSFIFSVAPQPNLFPGHGFAFLFTPFTGINGTSSAQNLGLFNFTNNGSPSNHVFAVEFDSFQNLEFNDTNDNHVGVDLNSLESNASFAAGFWSGPDDGEFKELKINNGETYQVWIECLDSLVNITMAEVGMKRPRKPLISLFVDFSGLLLDEMYVGFTAATGQLVQSHRILSWSFSTSNLSIGDALLITDLPSFVPQKEGTIFNSRAFILGITFGGVGLVIICFIICGVVIIKRRGRKKPKDDEIEDWELEYWPHRFAYEDVYEATGGFSEANVIGSGRNGKVYKGTLGRSKVAVKRISVEAESGMREFVAEISSLGRLKHRNLVKLIGWCKKEKGSLILMYDYMENGSLDKKLFECNENERLSWEKRMKILKDVATGLLYLHQGWDSRVLHRDIKGNNVLLDKDMNARLGDFGLARMQPHEKTADTTRVMGTVGYMAPEVVRTGRVSAQADVFGFGVLVLEVVCGRRAVEEGKPWLIDWVKGLMERNEIGLAVDERLRVEVISGNEIDEMERMVCLGLLCAHNEAGARPTMQQVVNILCERNGSGSNDGLLNRLRSTRILSEISQGKNFQQNHPTFEEIKTSSSSTSFIESDILKNDR >KGN45077 pep chromosome:ASM407v2:7:16122424:16125871:-1 gene:Csa_7G420700 transcript:KGN45077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQGFLLALVVAVLCFEAATAVGEFNYGDALDLSFLYLEAQRSGKLPVDRRVKWRGDSGLKDGFAQGVNLVGGYYDAGDNVKFGLPMAFVATILSWGAIDFNKEITNANQMDNTLKAIKWATDYFLKAHTQRNVLWGQVGDGSSDHFCWERPEDMTTPRTAFKIDESHPGSDLAGETAAALAAASIAFKTYNSAYSNLLLAHAKELFTFADTFRGLYDDSIPCVSGFYTSSGYWDELLWAATWLFRATGDEYYLKYTVDKAVSFGGTGWAMKEFSWDNKYAGVQVLLTKVLLEGRGGGYESTLKQYQAKADYFACACLEKNDGFNINKTPGGLLYAHEWNNMQYASTAAFLMAVYSDYLSTANAKLICPDGVFEPKELLNFAQSQADYILGKNPNSLSYLIGYGPKFPQKLHHRGSSIASIFTDPVPVGCVQGFDTWYHRPQGNPNILHGALVGGPDKNDRFGDERSDYEQTEPTLTASAPLIGLFSKLHSSVNGHQIPGSRGYQPPVKREEESPDANVPVSAGSPVEFIHTITSTWTVNKESYYRHQVKIKNTSGKSIKNLKLQLDNLTGPIWGLSPTQQKGVYELPTWLTVLQPGSECAFIYIQEGPQAKVTVSSYH >KGN43610 pep chromosome:ASM407v2:7:2928269:2931015:1 gene:Csa_7G047450 transcript:KGN43610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKLHCSQSWLFCSNFKLLRALFYSTKSLPSPSTEDTLFRRVYRAGDPRTSIVRVLDQWVEEGRQVKQSDLQTLIKQLRKFGRFNQALQLCEWVRNERNQCLSTGDIAVELHLISKARGLEQAEEYFSSIGESSRDHKVYGALLHCYVENKNLKKAEAIMQKMREVGFMKTPLSYNAMLNLYAHLGKHEKLAELLKEMEEMGIGPDRFTYNIRMNAYAAASDITNMEKLLSKMEADPLVATDWHTYFVVGNGYFKAGLSENSISMLKKAEQLIGDKQKWLAYQYLMTLYAAIGNKDEVYRVWNLYTNLQKRFNSGYLCIISSLMKLDDIDGAERILKEWESGDTSFDFKIPNMMINSYCTKGFVDKAEAYINRLIETGKEPEANTWDLLASGYHSNGLTNKVAETLKKAISVSPPHWKPKYHILAACLEYLKTNENVDLAEEIIGLLCKRDIFPLNICKRLEDYIRSENQNSIKCLDLLGLKGQNEEPDQVLD >KGN43992 pep chromosome:ASM407v2:7:5367558:5370147:-1 gene:Csa_7G081700 transcript:KGN43992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLIDCSRFLRCFAFQFAVDGMNIGLTVSLNQQNGTLELTLLECGCNVEAISIHLHGGASWLYQGVVDAFEGKIESTVEDNISKKLKEGIVKLDSSLQSFPQEIPIADIAALNITFVGSPVLSSSSIEFKINGLFSPSYKKLVPSYNQGETEDSIYGKSQHENVLSSASQVPFKYVHDETKGSVYCKDSAKMIEMSLHERVLNSASQVIFQEYMHWIVDHIPDQHLLNTAAWKWVIPRLYQQYPDDDIVLNISASSPPILRLRDKDISATIHVDMIINVRNTSEIIPVACISLEITASFFPKILEKNLVGHVTMEDFTLALKWSKIGHIRLYLIQKTLATLIKTVLVPFVDLYLLEGIALPSFHGLALEDPEMVFNSSRIIMCSDVAFSQGFSHHLVYSS >KGN44865 pep chromosome:ASM407v2:7:14854520:14859024:-1 gene:Csa_7G392380 transcript:KGN44865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFLSSLVIQPFCVYNCGVTESPKLAASDHLTYGQKTKYQFGKMDEARMLAHGENKVGAVKSSHRNLSKTYAKSGISVGKSGQRLGIGGKSKEQRRVATYLFRTEFGDLVNVFVGKKGSTFTVNIEVPSMQLVSIDEALLLSWGVYRSDSALVTPNFESSPPDETTGATETPFVKTSEGKFSVELEFDAKHTPFYLSFVLKYPMGVDSGSSEIRSHKKTSFSVPVGFGRGYPSPLGLSISGDGSVNFSIFSSSAESLVLCLYNDSTSEKPLLELDLDPYINRSGNIWHASFEGASKFVSYGYQCKGSKSHENQDGLEVSRIVVDPYAKILAPSIPKSSGQGLGLPSKFLGQISKVPTFDWDGEVHPNLPMEKLFVYRLNVERFTMDKSSQLPADIAGTFSGLTKKLLHFKNLGVNAVLLEPIFQFDEKEGPYFPFHFFSPTNNYGPSGASISAINSMKEMVKELHANGVEVILEVVYTHTSGNGALQGIDDSSYYFTNRVANLEEKSALNCNYPIVQQLLLDSLRYWVTEFHVDGFCFVNASFLLRGHHGELLSRPPFVEAIAFDPLLSKTKLVADFWDPQELESKETRFPHWKRWAEVNSKFCSDIRDFFRGEGLISSLATRLCGSGDVFSDGRGPAFSFNFIARNVGLPLVDLVSFSNSNLASELSWNCGEEGPTSNLKVLEKRLKQIRNFIFVLFVSLGVPVLNMGDECGQSSGGSVAFNDKRSFNWDLLKTDFGTQTTQFIAFLSSFRSRRFDLFQNRNFLKGENIDWFDNNQSPPQWEDASCKFLAVMLRADKEENESITENPKTRSNIFMVFNASDQSESVALPEPLEGTSWFRVVDTALPFPGFFSSDGELVPMTGSVTYEIQAHSCALFEAKSAND >KGN45443 pep chromosome:ASM407v2:7:18216699:18217080:-1 gene:Csa_7G448050 transcript:KGN45443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVTGNSLYFIRRMSSSVASEQLKAQTTASMVVRKVKAAVETGGYDNEVVEQKKTFWMKDPKSGNWIPENHFEEMDVVELREKLLAKPKKLL >KGN43731 pep chromosome:ASM407v2:7:3744107:3747644:1 gene:Csa_7G063950 transcript:KGN43731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYGISQNEKPHAICFPFPSQGHIIPMVNLAKLLHHRGFHITFVNTEYNHRRLLRSRGPNSLDGLSDFQFKTIPDGLPYSEANSTQDIPALCESVNKNCLAPFCDLISQINLNASTSSNAIPQVSCVVSDSAAFFPFSAAKQFKIPLALFFTASPCAYFGYLQYPKLMRQGLVPLKDASYLTNGYLEKTIEWTKGKENIRLKDLPTLLRTTDPNDGMLNFVIQFINIRNQATAMILNTYDELDKDVLVASALPASSNPHHYAIENWSYKCKGSILTDNSD >KGN44897 pep chromosome:ASM407v2:7:15053772:15060966:1 gene:Csa_7G394660 transcript:KGN44897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIFNPTTAAPTIPSTFLLPPRHSGLFRRCALQLKRFAPPFRASTLICMAEPYLIVKLESAEKTWKELSVKLADPDIVSNPTEYQKLAQSISELDEVVSTFRKFKECEKQLEEAKDSGNDEDMVEMIALEISSLSSELKDIEEKLKVMLLPSDPLDARNIMLEVRAGTGGDEAGIWAGDLVRMYQKYSERNSWKFSPVSSSEAEKGGYKTYVLEIKGQRVYSKLKYESGVHRVQRVPQTETQGRVHTSTATVAIMPEADEVEVVIDPKDIELTIARSGGAGGQNVNKVETAIDLFHKPTGIRIFCTEERTQLQNKLRAFQLLRAKLYEIKVREQQEKIRNQRKLQVGTGARAEKIRTYNYKDNRVTDHRLKTNFELTSFLEGDIEDAVQACVTMEQKELLEELAQSAVASAT >KGN45192 pep chromosome:ASM407v2:7:16827627:16828276:1 gene:Csa_7G430760 transcript:KGN45192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLCEICLENKEEGNMFEINTCLHSFCRDCVIKHVSTKIENGYSSVSCLALNCPSIIDFHSCWRMLPKEIGEKWNKALCEVLYSTEEKVVCPFKDCSVGITLERGASIRDCECPLCHRLFCATCEVPWHDGVTCEEYKRLYKNEEGRNEILMKKLASQMKWMKCPKCNFFVEKIYGCLHITCRFINF >KGN45358 pep chromosome:ASM407v2:7:17713134:17716481:-1 gene:Csa_7G446740 transcript:KGN45358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCSQSKIENEEVVSRCKDRKMFMKDAVTARNAFAAAHSSYAMSLKNTGAVLSDYAHGEGPPAPSSLPGSSVVQSAAAAGYNSLPPPPPPLPGSPGMPLEIKASKVEPKRVEPVIQEVDENDFEIECSVGPLRRRRSNRDGSGRGGRAGPGELAEEENGPPPPFPPSSENRRVPVPSPQDSTYDYLFSVDNMPAPTLSGVEDFGANTETVERRAATEKSGEEPPSSSAGKTSKKMKQVGYPGSSEGKRIVKGSINLLQIFMELDDHFLKASESAHDVSKMLEATRLHFHSNFADNRGHIDHSARVMRVITWNRSFRGLPNNDDLNDGFDTEENETHATVLDKLLAWEKKLFEEVKAGEIMKFEYQKKVAALNKLKKKGSNFEAIEKAKATVSHLHTRYIVDMQSMDSTVSEINRIRDEQLYPKLVHLINGMASMWETMHFHHGSQLKAVAALRMLDISQSPKETSDHHHERTVQLWAVVQEWHSQLEKLVNRQKDYIKALSNWLRLNLIPTESSLKEKVSSPPRVRSPPIQILLHAWQDHLEKLPDEVLRNAIFTFATVIHTIMQSQEEEMKLKLKCQETEKELARKSKQFKDWQKKYVQRRGSNADEVDMEEPADKDAIAERQAAVEAVEKKLEEEREEHQKLCLHVREKSLGSLKNQLPELFRALFEFSLACSRMYRHLKSISQPMPNGPQNQTTTQG >KGN44167 pep chromosome:ASM407v2:7:7546627:7546953:-1 gene:Csa_7G211100 transcript:KGN44167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKLINGIQRFHKGDDEMKKHFHPRDFQRNIITNIQMKPTSEGMANDDQTRDETTKASSHCLDERLRDWNVRAKRQLRRGF >KGN44965 pep chromosome:ASM407v2:7:15433038:15433757:-1 gene:Csa_7G398750 transcript:KGN44965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGFKLFQTLFLVFAWQHCVKVDATFNDVTGVVNLDLNHHFSFRGFGWPRIGTPNTDGSLASTVNNHAEAPTGIAPVGLDTPINKGDKVSTFGLEIIKQIESGVVVSKDHEINLKSGGNIALSHGGKISLKGKGGVSVSINGGKFGLKSSGNVLVSHGFETSMSKNNKGLSFGVEIKKDHVASLGLKAPKGKLNFGVSHGGNISIKKRGSIVSKGGKIGLKSHAKVFVSHGLGIHGKM >KGN45122 pep chromosome:ASM407v2:7:16400903:16403297:-1 gene:Csa_7G427120 transcript:KGN45122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGTGLSSTSEQKLGRFKRVCVFCGSKAGYKSTYAEATIELGKVLVEKKIDLVYGGGSVGLMGLISKTVFSGGSHVLGVIPKALLPHEISGETVGEVKTVADMHQRKSEMAKHADAFVALPGGYGTMEELLEMITWAQLGIHDKPVGLLNVDGYYDSLLALFDKGVEEGFIDNSARKIVVIANMADELIKRMEEYVAVHDKVAPRQRWEVDQLSESTQSGQSMKS >KGN43951 pep chromosome:ASM407v2:7:5106849:5117672:-1 gene:Csa_7G074840 transcript:KGN43951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHNKSFVKKTKSGKVMKQVREHYLRDDIYCGASICKKCDSSVARLGASTSPILVLDTNVVLTQIGLLENSAIDDVVMLSVVLDEVKNKNLSVYNRVRALCSNPLRRFFVFSNEHHKDTYIKDMSGESKNDRNDRAIRVAAQWYQNHLGGATRVLLITNDRENRRKAIEEGIGAETIESYVKSLGQTHLLDLLVQSANEDANMEDVEDLRPSKRKVLYSEHKPMSEITSGLHRGIYHQGKLRVNRYNPFEAYVGSESIGDEIIIYGRTNMNRAFDGDVVAVELLSRDQWHEEKSLTIADDEDDEEEDVHLVPGSADDAPRAASVLQGSSGDSSSSSPRPAGRVIGIIKRNWHSYCGSLDPMPMPAGSGGIAYALFVSKDRRIPKIRIQTRQLENLLDKRIIVAVDSWDRLSRYPSGHYVRSIGEIGDRDTESEVVLIENDINSRPFSSQVMTCLPPLPWSVSPVDIANPIRQDLRHLNVFSVDPPGCKDIDDALHCTALSNGNFEVGVHIADVTNFVHPGTALDDEAAQRGTSVYLVERRIDMLPKPLTEDICSLRADVERLAFSVIWEMTPEADIVSTSYTKSIIKSSAALSYVEAQARMDDSRLMDPITRDLRNMNALAKKMRLRRIERGALTLASAEVKFQIDTETHDPLDIGMYQIREANQMVEEFMLAANVSVAEKILKHFPLCSLLRRHPTPTKEMLEPLIRTAVAVGLDLDVSSSKALADSLDRALGDDPYFNKLIRILATRCMTQAVYFYSGDLSPPEYQHYGLAARLYTHFTSPIRRYADVIVHRLLAASLGIFKLPTLFLDRPKLTTIADNLNYRHRNAQMAGRASVELHTLIYFKKRPTDTEARIVKIRSNGFIVFVPKFGIEGPVYLTGKSEKQSGEWFVDEQEQKIKKLDGSITYNILQAVRIHMEVVEPQPNRPKLQLTLL >KGN43939 pep chromosome:ASM407v2:7:5009202:5012308:-1 gene:Csa_7G073740 transcript:KGN43939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVESNLTYEECRRQRLEENKKRMEELNLNKLADAFKSSSPKSSPTKQLKRPRQTLDASSFSVRRSSRFSDKPPMNYKEVPIEPLPGIRRSYQRRDLLNRIYASDEERQYAIDRARDLQSSLESEYPSFVKPMLQSHVSGGFWLGLPVQFCKTHLPREDEILTLVDEDENEFQTKYLADKTGLSGGWRGFSIDHQLVDGDALVFQLTNPTEFKVYIIRTYNSADKEDTKEGSDATQLESSGKKNSKSSGHKSRANNSEDKGDNDENSDVFQLGKGGKRSTRSSRGKSRANKSEDKSDTGTDSNVPQLGNSGKRITRSSSKGKK >KGN43827 pep chromosome:ASM407v2:7:4344167:4344652:1 gene:Csa_7G070235 transcript:KGN43827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSTIIPSTIFLVSFLLATTISNAQNSPQDFVDTHNDIRAAVGVGPVSWDDTLAAYAQSYADSKMDTCEMEHSNGPYGENLAEGYDEMTGVEAVRFWATEKKFYNHHLNRCVGDECGHYTQIVWRHTTNIGCGRVKCENNWVFVICNYNPPGNYIGQHPY >KGN43616 pep chromosome:ASM407v2:7:2958011:2961285:1 gene:Csa_7G048000 transcript:KGN43616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGSAGRPNSSPKSFDFGSDDILCSFEDYGKQDPSNGSLSDPVSVNNPGKDFHKGRMSTVFPASGYGQADDTISQNVISTVENSMKKHSDNLLRFLEGISSRLSQLELYCYNLDKSVGEMRSELARDHEEADSKLKSLEKHVQEVHRSVQIIRDKQELAETQKDLAKLQVSQKEPSSTNHSQSNEERASSVASDPKKKENSSEIHNQQLALALPHQIVPQQNPITPPSAALPQNMPQQQQSYYISQSQLPGQPPHIQHAQSQYIPSDSQHRASQPQDVSQMSNPQLSQTPPQPFNQYQQQWAQPPSQQPQPPQQPSMQQIRPPPPSVYPSTYPPPNQPTSMPETLPSSMPMQMSFPSIPQPGSSRVDAGPYGYAAGSGGSAPQQPPQVKNAYGPPTGEGYMPPGQQSGGAYMMYDRESGRPPHHPPQQTHFNQSGYPLANAPHQVPPQAPAGPHVSARNPSHSHLIEKLVGMGFRGDHVASIIQRMEDSGQPVDFNAVLDRLSSPSGPGPQRAW >KGN45365 pep chromosome:ASM407v2:7:17736577:17737569:-1 gene:Csa_7G446820 transcript:KGN45365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAKISDFGMARVFKPSDNEANTSRVAWELWVNGRGEELIDLGLCNSDDQKAKALRCIHVSLLCVQQIPGNRPTMLDIYFMINNDSAQLPSPKQPAFFIAQNPSSFEREMEEVDSELIRPIEPTTPEIYSLNSMTLSTMVARCSPDRSLCLKST >KGN43413 pep chromosome:ASM407v2:7:1799957:1802915:1 gene:Csa_7G031740 transcript:KGN43413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMKLLANIWIRRQQCPCGDWNCYISCEGEDQASINAEVVKSETVPSQLSLDSVFTPYVGQIFKSDDDAFEYYSNFARKDGFSIRKDRSTKSQSIGVYRRDIVCYRSGYNQPRKKVNVEHPRERKSVRCGCDAKLYLTKEIVDGVSQWYVSQFSNVHNHELLEDDLVRLLPAYRKIQKADQERILILSKAGFPVNRILKVLELEKGVQPGQLPFIEKDVRNFVRTSKKTVDENDALLNEKRENQLLELLEICKGMAERDSGIFFIILEMKMFLLKLVIIPLPLGYSLEFGLARTISERQLYKLRPLHEDNPHSFSWAVPKFVQFMRGKHPQTILTDLDSGLTDAISIELPNTKHVNIADFEHQWGPMVAQFGLASDKHIALLYLDRASWPFSFIRSSFLARTLTVDFFKSLEVFLKIILSAQTCLKIFFEQVSNAANSGIQAKEGMQYLHIKTCMPIEQHAQSILTPYAFSVLQNEIVLSMQYVATEMGNGSYLLQHYKKMDVERLVSWTQEDDKSEACAQAFHSPAATLLTESLMSHERFNYVHRELSGLLEHVRSMPVVDEFSLNTMTGNKGPLEEVDQMLLKLSTPPHSQSRYLGLFGS >KGN43674 pep chromosome:ASM407v2:7:3346230:3355566:-1 gene:Csa_7G057510 transcript:KGN43674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIRRIHRAAAWATPLLRHPTVGQTMELGVSRLQVGSSCYCTTIQDQMCQQLADKDRKDKDVNSSKALGHISEQNIGDIRKHQIGKNISRKDKIHFLVNTLLDLRDSKEAVYGALDAWVAWEQDFPIAPLKHVLAALEKEQQWHRIVQVIKWMLSKGQGTTMNVYGQLIRALDMDHRGEEAHKFWVMKIGSDLHSVPWQVCRSMMAIYYRNKRLEDLVKV >KGN44554 pep chromosome:ASM407v2:7:11716328:11716614:1 gene:Csa_7G330380 transcript:KGN44554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAPSLLSLCIALVRDEILQRDDVPPALYDLPPHLLDTLALRLPPLALRNLQSGMYVFPP >KGN45212 pep chromosome:ASM407v2:7:16974070:16977802:-1 gene:Csa_7G431440 transcript:KGN45212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIITIKDSTMVTPAEETPHRSLWNSNVDLVVPSMHTPSVYFYRPTGDSNFFDAEVLKEGLSKALVPFYPMAGRLRRDEDGRIEIYCNAEGVLLVEAETTAVIDDFGDFAPTLQLRQLIPAVDYSGGIESYPLLVLQVTYFKCGGVSLGVGMQHHVADGYSGLHFVNTWSDMSRGLDLMLQPYIDRTLLRARDPPQPAFRHVEYQPAPPMKNPVQADPEGTTVSIFKFTREQLNLLKAKSKENGNTINYSSYEMLSGHVWRSTCKARELPEDQDTKLYIATDGRARLRPPLPNGYFGNVIFTTTPLAVAGELMSNPTWFAASKIHDALTRMDNDYLRSALDYLEIQPNISALVRGAHTFRCPNLGITSWVRLPIHDADFGWGRPIFMGPGGIAYEGLSFIIPSASDDGSLSVAISLQNRHMKVFEKLFFDI >KGN44634 pep chromosome:ASM407v2:7:12629243:12632168:1 gene:Csa_7G352470 transcript:KGN44634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPDPKTPNSDTPPFSSSTSSDYGGWKERIFYPTLLAGIVGGGAGLLSKYRKVHGLANISATYATNLSIVAACYCGAREFVRVSRRSEPDDLMNSAIAGFGTGALLGRLQGGRLGSVRYSLMFTIVGTTVDYATLKLKPTLRSYKESIIEGSSSWMKLPEWSPIQVLDEEALAAKQAREQQLYAQRALGQLNKKDP >KGN44026 pep chromosome:ASM407v2:7:5834093:5835139:-1 gene:Csa_7G113310 transcript:KGN44026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSLQITPACNLPLKSLRGGGKIIIVNLQKTPKDKKASLVIHGRVDKSSPATTSSSELLVSFAAQV >KGN44978 pep chromosome:ASM407v2:7:15511135:15518483:-1 gene:Csa_7G405840 transcript:KGN44978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLVNDQLDCGKGSWSLDGGGSNQVMLYGSKRSDKKRKNPNKGCKGIQLNKKPKLSKSQKRKIMKLEEEKEKSLLLSKSLETLEKYKISDDAFLLLRSSVNIGKDETRLEKRSRDIQFSKVGIEVPGNDQQLDKTSSDISQYESHCGSLDISPCHQLSANADEDGPFVAEKEVTRGLDSFKDLDNDTIVPNDGKSLSSLPDKVENTGAVLLEDERDLSCTMCTVGGFKEPEIMDKEDGIPKVEICTTSNLLPEMRLLSKPIVVPVSRPCEVEDKRKDLPIVMMEQEIMEAINENPIVIICGETGCGKTTQVPQFLYEAGFGSSQSSHQRGAIGVTQPRRVAVLATAKRVAYELGVRLGKEVGFQVRYDKKIGDTSSIKFMTDGILLREVQHDFLLKRYSVLILDEAHERSMNTDILIGMLSRVVKLRQDLHMKQRQLTLSGGKISPENMIFPLKLVLMSATLRVEDFVSGGRLFHVSPPIIEVPTRQFPVTVHFSKRTDIVDYIGQAYKKVMAIHKKLPPGGILVFVTGQREVENLCKKLREASKKLIKKTSERHGENNNGIVEMNSIQNLDMNEINEAFEDHEFSIEQTDRFSSFDKDEFDINDDVSDASYNSESDSELEFNEDAMSDETDGNLTDVVMDDASMSSLKAAFDALDRKNALDLDKRQVDHTTDEDLSSKQCVSARLKENVEFGFSVGALHVLPLYAMLPAAAQLRVFEEVKEGERLVVVATNVAETSLTIPGIKYVVDTGREKVKTYNSSNGIENYEVQWISKASAAQRAGRAGRTGPGHCYRLYSSAVFSNTLPDFSLAEIAKIPVDGVVLLMKSMGISKVVNFPFPTPPETSAVLEAESCLKALEALDSGGRLTALGKAMAQYPLSPRHSRMLLTVIQIMRNLKNYDRANLVLAYSVAAAAALSMSNPFVMMFEGSQINDEVEQNDRSFGDTKTEEKVEKSLKKKLKEAGKLSREKFSDHSSDALTVAYALQCFEHSETPVAFCNNFTLHLKTMQEMSKLRKQLLKLVFNHSRSSIAESEFSWTNGVLEDVEAMWRVPSNKHPLSLKEKEIIGQAICAGWPDRVAKRIREISKSVEADRKERAGKYQACMVKENVFVNRWSSVSRSAPKFLVYNELLRTKRPYMHGLTSVQPDWLVKYASSLCAFSAPLTDPKPYYDSQNDTVYSWVAPTFGPHLWELPLHNVPIKDNAQGVAVFACALLKGKVLPCLTSVSEFLAARPSSILRPEALGQKRVGNLLSKLRSKKINSRATLRAVWKDNPYELHLEILDWFQKSYHSHFEDLWSQMLCEVQLPQKRLIKKLERAKEKKIKN >KGN44774 pep chromosome:ASM407v2:7:14106248:14108223:1 gene:Csa_7G380110 transcript:KGN44774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSKTLLPSPSFKPNLSPLSTHSSTIPSSIPQTSSTHHPNPILPAAFNREEISFHHPLSLFLRNCKTGNITAIQAFHFFDLMMRSHPIPPISSFNRLLGGLAKINHYSQLFSLYNEMRLAGLSPDLFTLSILANCLCNVNRVKEAMNLYNEMLQVGKRPNATTYGTLLTGLFQTGKVGDAKKLFGVMKTYGVSANSQIYGIFLDGLCKNDCLFEAMELFNELKSYNFKLNIENYSCLIDGLCKAGKLETAWELFEKLSQEGLQPDVVTYNIMIHGFCKVGQVDNANILFEKMEENGCTPDIIAYNTLLCGFCEGNKLEEVIKLLHKMVQKDVSPNAASCTIVVDMLCKDEKYKKFVDLLPKFPVQRH >KGN44815 pep chromosome:ASM407v2:7:14492642:14497122:-1 gene:Csa_7G389470 transcript:KGN44815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKKQSQAAKSEANAALITEAAQESTMTASERPESTNISLNKIKSPLTSQSTSKRKVNRFSIRRSERIQNSTPRNLKIQNVIEEITLSESDEEDELPTNHEKSLPPLKQEKDSPELMVKERKLEGKLDYIVNLFEAHGHTLDSIKTEVIKRSFPLETIPTPEMNYKSMYIASQKKIEELAEENRVLTQKLENALDRYEAYKNGNHDAFEMLEKLKDVIVIPKGLRVSDSIQATSQPELEKTTSLDPGGVPPPSKRKKFNKQN >KGN44929 pep chromosome:ASM407v2:7:15256491:15265042:1 gene:Csa_7G396440 transcript:KGN44929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVSWLTSLSCSAIQSSKGIYPSTTSQWLEFAFLSPCPQRAILSFVDLLFLLLVIFFAAQKLYSKFTAKRRASSDLNEFLIEKSRACLETTIWFKLSLILSVLFALICIVFCILAFTMSKQSQWKLTNGFFWLVQAVTHSVIAILIIHEKRFEAARHPLTLRLYWAANFIIVCLFTASGIIRLVSDKETGEPNLRFDDIVFIVFLPLSMVLLYISIEGSTGIMITRIVQEINKEGEEFELSNESNVTTYASASLLSKLLWLWMNPLLKTGYAAPLVVDQVPSLSPEHRAARRLAIFESKWPKPQESSEHPVRSTLFRCFWKDILFTGVLAVIRLGVMFLGPVLIQSFVDYTAGKRSSPYEGYYLILTLMFAKFFEVLTTHHFNFSSQKLGMLIRCTLITSIYKKGLKLSPSARQAHGIGQIVNYMAVDAQQLSDMMLQLHAIWLTPFQVAIAFALLYAYLGAAVAAAAVGLLAVFLFVLFTTKNNNTFMRQLMMGRDSRMKATNEMLNNMRVIKFQAWEEHFQKRIETFRGTEFKWLSKFMYSVSTTMMVLGCAPALISTVTFGCAILLGIRLDAGTVFTAMSLFKLVQEPIRTFPQSLISLSQAVISLGRLDSFMLSRELAEDSVEREERCDSGIAVEVRDGSFSWDDEGGEVLKNINFNVRKGELTAVVGIVGSGKSSLLASILGEMHKISGRVQVCGRTAYVAQTSWIQNGTIEENILFGLPMDRKRYSEVIRVCCLEKDLEMMEFGDQTEIGERGINLSGGQKQRVQLARAVYQDCDIYLLDDVFSAVDAHTGSEIFKECVRGILKDKTVILVTHQVDFLHNVDLILVMRDGMIVQSGKYNDLLRTQTDFEALVAAHETSMEAVESSTTEAVDNRTLLRRSSSKHSEASGKNNVVDKPNMDKASSKLIQDEERETGRVGWEVYKVYCTEAFGWWGVAVVLALSLAGQLSSMSSDYWLAYETSDENAKSFDSSLFITVYAILACVSLVLVAFRSFGTIFLGLKTATVFFSQILDCILHAPMSFFDTTPSGRILSRASNDQTNIDLFIPFFLGNTLVMYFAVLGIIIIICQYSWPTAFFLIPLGWLNVWYRDYFLSSSRELTRLDAITKAPVIHHFSESITGVMTIRSFRKQELFCQENIKRVNANLRMDFHNNGSNEWLGFRLELLGSIFLCISTLFMILLPSSIINPATVGLSLSYGLSLNTVLFWAIYMSCFIENKMVSVERIKQFTIIPSEAAWRMKDKLPPPNWPTHGDIHLQDLLVRYRPNTPLVLKGITVSIHGGEKVGVVGRTGSGKSTLVQVFFRLVEPSGGKIIVDGIDIGKIGLHDLRSRFGIIPQEPVLFEGTVRSNIDPIGQYTDEEIWKSLERCQLKDVVAAKPDKLDSSVVANGDNWSVGQRQLLCLGRVMLKHSQLLFMDEATASVDSQTDAMIQKIIREDFATCTIISIAHRIPTVMDCDRVLVIDAGLAKEFDNPSRLLERPSLFGGLVQEYANRSTD >KGN44249 pep chromosome:ASM407v2:7:8330286:8333622:-1 gene:Csa_7G234700 transcript:KGN44249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSASPHLFSSRSPTSLMLLKPKFGFRVSKISSSTFHLHPSVLSLKPNYKMEFTGTVCSASSSERNGSPAAISSTASGPRVGEVKRVTKETNVSVKIDLDGSGIADSNTGIPFLDHMLDQLSSHGLFDVHVRATGDIHIDDHHTNEDVALAIGSALLNALGDRKGIYRFGDFSAPLDEALVHVSLDLSGRPHLSYDLEIPTQRVGTYDTQLVEHFFQSLVNTSGMTLHIRQLAGKNSHHIIEATFKAFARALRQATEYDPRRLGSVPSSKGVLSRT >KGN44530 pep chromosome:ASM407v2:7:11418848:11422109:-1 gene:Csa_7G325710 transcript:KGN44530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSCLPFSGIPCCEEGLINTNSFFGPSSTDSSSRIIMACTSVAIGNSPAASMTKEIIFKEYSMLRRSHSSKDVRERACIKRSYSDNQICYSANKIHATSTQPKPKNKNNNSTGMGIFPLKFSGSFLPNAVRSFLFDMEETSKNLTTEEEVTKRANWIERLLEIRSRWRLKQQKGGLENDLYADHDESAESLCGGDDGGCEVDYYDSEDEEGLTFDTESFARYLIRVPVSDTKVFSQLAFLSNMAYVIPNIKAEDLEIYHGLQFVTSSLHKKAEAAAINIKEKLDQHSTCISDEAFNVGESCSVEALKLERTQEIPTTVAYEIAATAASYVHSRVKNTSSHPLESQEKGDGSTRVYNPEVAAYVAASTMTAVVAAEEVQKQEAAKDLQSLHSSPCEWFVCDDTDTLTRCFIIQGSDSLASWQANLFFEPTKFEGTDVLVHRGIYEAAKGIYKQFMPEIIDHLKKYGAHARFQFTGHSLGGSLSLLVHLMLLKNGIVKPTMLQPVVTFGSPFVFCGGHKILNELGLDEDNIHCIIMHRDIVPRAFSCNYPNHVAAVLKRLSGSFRSHSCLNKSKLLYSPLGKLFILQPDEMSSPPHPMLPQGSALYTLDSTQNGYSKGLLRAFLNCPHPLETLSDPTAYGSEGTILRDHDSSFYLKALNGVLKQHTKMAVGKVRNQRKLLWPLLASPSPELWSHDGNLDNNNSLLSNEIMTGV >KGN44478 pep chromosome:ASM407v2:7:10603587:10603928:-1 gene:Csa_7G305380 transcript:KGN44478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLNAEKFVPISQLTSAGKFVVFGPNGVKVYQDLEASGKLLMERQEMDSIYIMLAEDAYMDMQRHLVTECIDSTDEQCHTINEALSWKALDSEKTPKDERSFEEGSKDEISRV >KGN44257 pep chromosome:ASM407v2:7:8387128:8388954:1 gene:Csa_7G235760 transcript:KGN44257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASICGLPSLSPISTSKLIISNQPYLSMVDKYCTTMRDLQQFHAHLIKSGQAIESFAASRILAFCASPLGNMDYAYLVFLQMQNPNLFSWNTVIRGFSQSSNPQIALYLFIDMLVSSQVEPQRLTYPSIFKAYSQLGLAHDGAQLHGRIIKLGLQFDPFIRNTILYMYATGGFLSEARRIFNQEMEFDVVSWNSMILGLAKCGEIDESRKLFDKMPVKNPISWNSMIGGYVRNGMFKEALKLFIKMQEERIQPSEFTMVSLLNASAQIGALRQGVWIHEYIKKNNLQLNAIVVTAIIDMYCKCGSIGNALQVFEKIPCRSLSSWNSMIFGLAVNGCEKEAILVFKMLESSSLKPDCISFMAVLTACNHGAMVDEGMEFFSRMKNTYRIEPSIKHYNLMVDMISRAGFLEEAEQFIKTMPIEKDAIIWGCLLSACRIYGNTEMAKRAAEKVNELDPEETMGYVLMANIHAWGNNFVGAMEKRVAMRMKKVEKEPGGSFIEVDEEVHEFIAGGGRLHRKAQEIYIVLGQLGVMLQDKGAVGDEF >KGN43602 pep chromosome:ASM407v2:7:2891774:2892671:-1 gene:Csa_7G047370 transcript:KGN43602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPFTCFSILFIAFLLFSTPISSRRISQQNSRHGHHRKGAASSSGKAAMVVVKEKAAMMTRMGRKGTETVEVAGSSLPDCSHACGSCSPCRLVMISFVCASLQEAETCPMAYRCMCNNKSYPVP >KGN43468 pep chromosome:ASM407v2:7:2074628:2079705:-1 gene:Csa_7G038680 transcript:KGN43468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVKVISRSTDEFTRERSHDLQRVFRNFDPSLRTQEKAVEYVRAVNAAKLDKMFAKPFIGAMDGHMDSVSCMAKNPNHLKGIFSGSMDGDIRLWDIANRRTVYQFPGHQGAVRGLTASTDGRILISCGTDCTVRLWNVPVPTLNSYETSNNSSEPLAVYVWKNAFWAIDHQWDGNLFATAGAQLDIWDHNRSQPVSSYEWGTDSVISVRFNPGEPNVLATSASDRSIALYDLRMSSPARKVIMRTKTNSICWNPREPMNFTAANEDCNCYSYDSRKLDEAKCVHRDHVSAVMDIDFSPSGREFVTGSYDRTIRIFPYNGGHSREIYHTKRMQRVFCVRFSCDASYVISGSDDTNLRLWKAKASEQLGVLLPREKRKHEYQEAVKNRYKHLPEVKRIVRHRHLPKPIFKAAALRRTIIDAERRKEERRKAHSAPGSISTVPLRRRRIIKEVE >KGN44873 pep chromosome:ASM407v2:7:14932436:14936217:1 gene:Csa_7G393440 transcript:KGN44873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIDVLEREGNRFPFSPLNDPLNGDTDSRGMSIEKKIEFLESLTGKVTNRKSRRWLNDRLLMELVPRLNAEEIRGLFAPPPWGDDVRPTTFSMTNAEDWDKFRSIDMDKEAKIIGVFENSSAKRKGHIDADKMAFLNAWRRIECRTREALRRSFRVELVEGYEECIRSFISDTCKEDVLIMRVQDPFHRLLLHGVCEFYNLDSVTVLESKNSGSMKMTRITRKKKAMVEVPNITLTHFLKMSKEGTW >KGN44895 pep chromosome:ASM407v2:7:15036406:15041051:-1 gene:Csa_7G394640 transcript:KGN44895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSNNLCPTQFRLSSCNLKWVSIFESSFLVGSLWCGEFFVFFRMGVVTVAELKPSISGKRSFRPSSSIRHATEWPISDVSSDLAIEVGGSSFALHKFPLVSRSGKIRKLLLEAKDSKTSRVNLSTIPGGSEAFELTAKFCYGINVEITLSNVAMLRCASHYLEMTEEFADRNLETRTEAYLKELVFPNISNSISVLHRCESLLPFSEEINLVNRLINAIASNACKEQLTSGLLKLDHNYPSKNAVNVEPETPLDWWGKSLTILNLDFFQRVLSAVKSKGLKQDMISKILINYAHNSLQGLVIRDHHLVKGSSLNLEMQKKQRVIVETIVSLLPTQSRKSPVPMAFLSSLLKTAITASASTSCRSDLERRMGLQLDQAILEDVLIPSITHGINHSTMYDTESVVRIFSIFLNLDEEDDEDNHLRDESEMMCDFDSPGSPKQSAILKVSKLLDNYLAEVALDTNLMPSKFIALAELLPDHARAVSDGLYRAVDIFLKVHPNIKDSERYRLCKTIDCQKLSQEACSHAAQNERLPVQMAVQVLYFEQIRLRNAMNGNHNQFFFGSTNGSQFPLRSSSGAGSGAISPRDNYASVRRENRELKLEVARMRMRLTDLEKDHVSMKQELVKSHPANKLFKSFTKKLSKLNVLFRINSIKPMGTKGSAENRFPFQKRRRHSVS >KGN45011 pep chromosome:ASM407v2:7:15716842:15726207:-1 gene:Csa_7G407640 transcript:KGN45011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSRRSSNGRSPLVNQQRQITSFFTKKPTGDNSAARTHSISSPTPSPSPNINSPPSVQSKRKKPLLVIGGGAPPFSSSSPGSSSLPDAEEKSHGDGVIGKKIKVYWPLDKTWYEGRVKMFDEKAGKHLVQYDDAEEELLVLGNEKIEWVEESAKKFKRLRRGSSPPVSAAVLEDMDDLNDLSDGDGSDDSRDEDWGKNVENEVSEEEDVDLVEENEDEDGSEEDGVGKSRRKQGGQVESKKRKMSNGKKVEVAPKKIKSSGGSVTSGGLQLSSMETKIKSESTSVLKGINEIASDALERFNSREAEKFRFLKEDRKDANKRCPGDPDYDPKTLHLPPYFVKNLSDGQRQWWEFKSKHMDKVLFFKMGKFYELFEMDAHIGAKELDLQYMKGDQPHCGFPERNFSLNVEKLARKGYRVLVIEQTETPEQLERRRKEKGSKDKVVKREICAVVTKGTLTEGEMLSLNPDASYLMAVTENFYGLENQQERILGVCVVDVATSRVILGQFGDDSECSALCCLLSELRPVEIIKPAKLLSPETERVLLTHTRNPLVNELVPLLEFWDAEKTVQEVKRLFKGIANRSVSGSSSEASLLNDNAARENDGLSYMPDVLSELVTADENGSWALSALGGILFYLKQAFLDETLLRFAKFELLPCSGFSDVISKPYMVLDAAALENLEIFENSRNGDSSGTLYSQLNHCVTAFGKRLLKTWLARPLYHVESIEARQGAVASLRGDNLSFSLEFRKALSKLPDMERLLARIFSNSEANGRNAINVVLYEDAAKKQLQEFISALRGCELMLQACSSLRVILPNVKSRRLDCLLTPGEGLPDLHSVLSHFKDAFDWVEANSSGRVIPREGVDVEYDSACEKIREIQSSLTKHLKEQRKLLGDTSITYVTVGKETHLLEVPESLQGNIPQTYELRSSKKGFFRYWTPNIKKLLAELSLAESEKESSLKSILQRLIRKFCEHHLQWRQLVSAIAELDVLISLAIASDYYEGYTCQPLFSKSQCQNEVPRFTAKNLGHPILRSDSLGEGTFVPNDITIGGSGANFILLTGPNMGGKSTLLRQVCLSVILAQIGADVPAESFELAPVDRIFVRMGARDQIMSGQSTFLTELSETALMLSSATRNSVVILDELGRGTATSDGQAIAESVLEHFVSKVQCRGVFSTHYHRLALAYHKDPRVSLHHMACRVGEGNNGLEEVTFLYRLTPGTCPKSYGVNVARLAGLPNCVLTEAAAKSMEFEVTYGMAGEESEVDLCNQTWVDDTTTLIQKLISLESAVRCNDETEKNGIGSLKQLQQQARILVQQG >KGN45596 pep chromosome:ASM407v2:7:19148418:19151488:1 gene:Csa_7G453000 transcript:KGN45596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGERKMPPAPEAEVQLPETTKVAAISSESGRISRPMEPPDRSFDRLRADLCQFALRLVCIATSTTTVALMVTAKDSTTVTIYGFEFPVQSKWSFSDAFEYLVGVSGAVAAYSLLQLLVTVSMLARRSPVLSSRSQAWLIFAVDLALAYALMSAGSAAAGISNLNRTGIQHTALPNFCKPLQRFCNHVAISIAFTFFTCCLLTTSAIQYVIWLSKN >KGN43620 pep chromosome:ASM407v2:7:2987826:2988603:-1 gene:Csa_7G048040 transcript:KGN43620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNKEEEPETAPPQQAIPKSYSLFMKVMSKRRTWVCLFVLVYALLLSSSWNFLVSILSWYKSQVEASSSSFGWPAIYASLLLGGVFGVISMVAALAVMIPATLVTWIAIVVLLYFFGKPRRLLVVEGRKITKEIFGVVVKILLKEGNLVAAVCAVLGYFALFRKTNES >KGN43644 pep chromosome:ASM407v2:7:3159429:3161108:-1 gene:Csa_7G049260 transcript:KGN43644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTGREILHKMKVKAGFCSSSAADTGGKGKGKVTTAKINNNVSYGSYLVKGKAKHPMEDYLVTDFKRVNEHDLGLFAIFDGHLGHDVANFLQNNLFDNILKQGDLWSETRKAIKRAYKKTDEEILDKVKQLGKGGSTAVTAILIDAHKLVVANVGDSRAVLCKNGVAYQLSVDHEPSKEKRDIESRGGFVSNLPGDVPRVDGQLAVARAFGDKSLKIHLSSEPDVEVKTVDETTEFMILASDGIWKVITNQEAVESIRHVKDATLAAKRLIDEAISRKSTDDISCIVVRFR >KGN45181 pep chromosome:ASM407v2:7:16741742:16742196:-1 gene:Csa_7G430160 transcript:KGN45181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSESISHKQHYDISMSKRTRKPAKIQDELQILPTNDDDLIIIGKGDQSEINNDHKSLKQLISGEGKAEIRSDEGNRERNSLGQHFSKEEINNLQIVKKQHQDGLQGVKLKKVVGKFLRNLIKGGGDHHQHQLMKKPIRKKSFL >KGN44493 pep chromosome:ASM407v2:7:10945992:10946360:1 gene:Csa_7G314950 transcript:KGN44493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLCFIEGPEGGTGKALGRKALTNAGELLSLLTLMLLMLSCLSLEPWLKPTYKMSIKTRTNHITTITSLPFPIEIKPKERAGEYEVFSDFAFLLAKKWRGIKER >KGN43673 pep chromosome:ASM407v2:7:3343060:3343299:-1 gene:Csa_7G057010 transcript:KGN43673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQVDFASKLSKPVGTFSQRIVSDLPPPRKWRIQKFKRHHIGEAGRSPDNSC >KGN44860 pep chromosome:ASM407v2:7:14806097:14811173:-1 gene:Csa_7G392330 transcript:KGN44860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMAAGATERARPVVMPAAAAMTVTDTLAKDAVLGWFRGEFAAANAIIDALCGHMAQVSESGGSEYEAVFGAIHRRRLNWIPVLQMQKYHPIADVAVELRKVTAAKKKKMNKNQEEEVKGGGEVEAVEVALAEGDGDGYGDVEMEVKKMSEEDEKEFVEEDEKEIVEEETNDGKLKIEEISIEINEIDGGRNEVLAPIEEEDSIGSEITDSGSQGGEEVQANSASVEICSNHEECEARPGQMKLTKGFSAKEPVKGHMVNVVKGLKCYEDIFTQSELGRLNDFVDDLRSAANNGELSGGTFILFNKQVKGSRREMIQLGVPIFRQIGEESGNNSQTSNIEPIPHILMTVIDHLIQWQLIPEYKRPNGCLFNFFEEGEYSQPFQKPPHLEQPISTLVLSESTMAFGRSIVSDNEGNYKGPLTLSLKEGSLLVMRGNSADVARHVMCASPNKRVTITFFRVRPEYDQCQSPTPQMSNAMTLWQPTVAGTCALPNGATYGYEAMEVMPKWGILRAPVVMLAPVRPMVMSPGRSQRDGTGVFLPWAVNTRKPAKHLPPRARKGRFLALPPAVETRLPDSSHEPGISV >KGN45438 pep chromosome:ASM407v2:7:18184346:18190784:1 gene:Csa_7G448000 transcript:KGN45438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTSDDALPISIHNINPKVLKCEYAVRGEIVALAQTLQEELLTNPGSRPFEEILYCNIGNPQSLGQQPITFFREVLALCDYPSILERKEVEGLFSEDAIKRASQILKQIPGKATGAYSHSQGIKGLRDAIAEGINARDGFPANPNHIFLTDGASPAVHMMMQLLISSEKDGILCPIPQYPLYSASIALHGGTLVPYYLDEASGWGLETSELTKQLESAKFKGISVRALVVINPGNPTGQVLTKENQEQIVQFCKQEGLVLLADEVYQENIYVPDKKFHSFKKIARTMGYGEKDISLVSFQSVSKGYYGECGKRGGYMEITGFSADVREQIYKVASVNLCSNITGQILASLVMNPPKDGDLIYKSYCAERDGILSSLARRAKMLEAALNSLENVTCNKAEGAMYLFPCIKLPVKAIKAAEAANTVPDTFYCRQLLNATGIVVVPGSGFGQVPGTWHFRCTILPQEDKIPAVISRLTDFHKAFMNEYRD >KGN44893 pep chromosome:ASM407v2:7:15029570:15029884:1 gene:Csa_7G394620 transcript:KGN44893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQKTGTNHFHCSLIEDGSTHVIKIPNRITNYIPKNPHYVPQTPKRFENFKCSGTFSYANQEGRPSDLSQQRFIESMCKKNKNIGNGQFFLRSFLERIVAGTLT >KGN45506 pep chromosome:ASM407v2:7:18628250:18659113:-1 gene:Csa_7G450640 transcript:KGN45506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDQIDGKMLIGSYVCAERPGEFRWQPGSLTQAVQNGLWVVFEDVDKAPSDVQSILLPLLEGGNTFSTGRGEEIRVAENFRLFATISAFRLDQFRNKEGGGTIGMLWRKVMIGSPNNEDMQSIVKTQYPILESIASKLVETLEKVNSCSQQLLGFRCEESASVSYPNRFSLRDLLKWCKRIVGLGFSFMGDGFSAYQCQSIYHEAIDIFAAFSTSPENRLTIMKEIAKLWLGDASVPGTLYPQYKPAIQDLITELRVGRVDIQRVQPTSKHVVQPFVEIRSSLHMLERIACSIKYNEPVLLVGETGTGKTTLVQSLARRIGHNFTVLNLSQQSDVADLLGGFKPIDARFICFSLYKEFEDLFSKTFSLKVNVEFLAHLQKHFGDKNWKMLLSGFEKGVKFFKKSVEVGRASSDKKRKKPIVEDSIKAWENFSLKLDAANVQIDASSGMVFSFVEGAFVTALRNGEWILLDEINLAPPETLQRVIGVLEGDTSSLCLAERGDVTYISRHPNFRIFACMNPATDAGKRDLPVSLRSRFTEYFVDDVLDDEDLALFVNQFMDDCQSNRELVNRIVYFYKAVKKGSEERLQDGANQKPQYSLRSLYRALEYTRKAERRFGFLRALYDGFCMFFLTMLDNPSSQIVNQLILSHLLGGKLPPFLSFDAYLSPKKDIRPELSENYVLTKSVKEHLRNLARAVLIKRYPVLLQGPTSSGKTSLVKYLAALTGHEFVRINNHEHTDLQEYLGSYLTDSSGNLVFQEGMLVKAVRNGYWIVLDELNLAPSDVLEALNRLLDDNRELFVPELRETIQAHPDFMLFATQNPPTFYGGRKMLSRAFRNRFVEIHVDEIPEDELSTIVEKRCEIPQNYAKKMVDVMKELQLFRQRSKVFSGKHGFITPRDLFRWAYRFKEFGCSYEDLARDGYYLLAERLRDLDEKSVVRDVLERNLRVKLVIDDLYKQDPNGGEFSFRMSDGAVISRILPNITLTKSMQRLWFLVERCYRNGRNREPVLLVGETGGGKTTICQLLSAHMKKKLHILNCHQYTETSDFIGGFYPNRERSKLTSQYEKEVHELISKITKYNLGISISSDIGQTSLNLDSMDRIIKILREGRGNCHSLCVKEIEHIKTKLTELHKQWQTIFTWQDGPLVQAMRDGDIFLIDEISLADDSVLERINSVLEPERKLALAEKGGEFLETVTAHPEFSLFATMNPGGDYGKKELSPALRNRFTEIWVPPVGELDELRSIALTRISNPGDTHLVDLMLNFWEWFNHLQSGRMLTVRDLLSWVSFIDSTEMNLGPEYAFLHGAFLILLDGLSLGTGMSKRDAEELRKRCFSFLLEKLKLEPRRVPETSFRTKGAFSCGVFSYNQNLVLQLDDTLHECSKLVRLQSYGWGELRTASNFSNTDSMQDSNLFGIDPFFIEKGCQLGDTGKYEFMAPTTYKNALRVLRAMQLSKPVLLEGSPGVGKTSLIVALGQFSGHKVVRINLSEQTDMMDLLGSDLPVESDEGIKFAWSDGILLQALREGCWVLLDELNLAPQSVLEGLNAILDHRAEVFIPELALTFKCPPSFRVFACQNPSYQGGGRKGLPKSFLNRFTKVYLDELIEDDYLFICSSLYESIPKPLLSKLILFNKRLHEEVMLHKKFAQDGSPWEFNLRDVLRSCQIIEGAPERLRSYCFLNIVYVQRMRTAGDRREVLRLYEEVFGAKYLINPYPRVQLNSRFLIVGNIAIGRNSIQACNVASSQLKILPGIRQSLEAVAHCIQYQWMCILVGPSSSGKTSLVRLLAQLTGNVLNELNLSSTTDISELLGCFEQYDAIRNFHHVIDQVGFHVNKYCSVQIRCSKKEFDRDGNCIMTKWLSFSSKISFQLPSSACVYAKNWKRIVCSLGLLVDIIKQLMSFVQEVPAKKELERCLKTVLKLEESNQKHPFSAKFEWVIGILVKAIERGEWIILKNANSCNPTVLDRINSLVESCGSITINECGTIDGEPVVLHPHANFRIFLTVNPIHGEVSRAMRNRGVEIFMLQPHWLQDGALCGKKDIELNDTRRFLALSGIPGAKLVESMANSHLYAREEGCHLNVRLTHIELARWVQLFQQLIMNGCKPRWSLHVSWEHTYLSSFGEAEGMHIVENAKRLYLSDTCLSESDVLFAPLSLPGGWPSPLKLSDFVWYSKEACVKQNCMYLEFLGAQCALHELGIAKGYSLDFNLSADGYAQRYLVDFKTLHKLLFPKASNSMKLNSQVKNEFNLKLDNNKLFFAANWAIEQASEMDLDLYIIWFSWFSSKLQPFCQFFNLYLTSIKQVIEHPLWNFICHLRSKIKSLLGIDFDVHPIPILSSEFVAMMGEEDKIAELNVSKGILVLPKDELQLSCSSLRNAIKCIGLLMLTYHQWNVESRHELSSEIRGFLPVLNSLRALEQEIFFKLVDPSSMLIESASFDMLIESYSTLLDDHILLWDGLVSLNSELLQVSGRFLIKDILRFKDFFADTVEIILREIKKLEKTSWSFHLEKSLLWIHGGHPAVPCSADLYHKQQQLCRLCESLWPIKLKLHDRAVAGKDLLIEVFTSSNPELRCLAMEGLSMSSCILGKSGEDDVAKNMQDIYEVLLARFKHEKNNAKCILESKDRPIQEKMSFICCPSGCDIFTKADPDVWLDTLPINDGTSFFLDMLLLQELSSILLVDRGSLKQALYGLSNLMKETLQFSLKFSSRPPQTFIAHQNLLWTLDECDSMDTVRAKAAGFFLEMWFRWHQSLWIHCPDFVKNFTKVVDYRTPVPHVLVQPVISATVSQILWRPTAIRDFFAKSLKIQIAACYLWHRPLGEVDAHSSLLSAACNLFQQIIFSHEKSFDPDQFVEIKSFGFFYNKKTKEENIQLFCSRVAKSRQQRLRNSVHLFIEPLLKDLFIHDVTTDLHHNLGRVWLRLGGLRLYLLLSCCHLDPSIKYYYKYSQLMEKKSSLQVETEVRQECELLAGLFSIVGEADKERKQTLENLELECTKLQKKVVFRVEPGKFKKLKHECEEFFEFVDILLTNIEATDSYQIDRLCNWEAMATRFIDRLSDEYIEYLDIIQPIQLAVYEMKLGLSLMLSSLCFTGTVEPYNGKRVMKSIYSFMRFPRGLPSNQMSVGLNNGLAGFSFNDPSADTDCFYPMDVGLLEKLVISSKETVDNDTACIMQLKSALQYNILVRASHGVADSRLMDTSSFLLLDKIFNEFANLWMSMKVQSTTKEDISSQLYKFKPRIFKIEKVIEDDVGKSFDNENSSETELLSEDEATEMSDASKQYDNSEDEWTSIDESMIDQMIHIHNQLFGSPDLVLAPGTFKVSDASRLLSFSGSYNLGLALLKDFGGLLMSSLDAKLAPEHVLRLCLEHDRNWGFPERVAGKYNFYKDSNPSMIANMVKVLDPLRQRVSSLLLDHEEHHVLQKILDIIEMLQNFSTDTPVAKALSGLQILVNKVQTLPEHGSKFSSSEQLETIIELVSSWKKIELDSWSALLDEVQDQYELNCGKLWFPLFAIIRHWHSDSTISSLEDFIHTSSVGEFRKRLELLFSFLGQIRTGACVKVSSPYEMELVKALYNLFGYYVQFLPIILEHIEGCRKKIEMELKEIQKLCRWERVESYCSLENSRRSRLKLRKLIKKYSDLLQQPVLLFFNQEAAKKGSKIQILQSSAEDRFNWFSDWRKSVGSVLQNVCLNGTPEYKRSFSSLKSVEDLISVIQQQESQSQSLSYQEEWKSLSCTVERIYQRACYCDVIWKESKKSQGKRRALSELLKLLETSGLSRHKSIYLEENRKSWWFLQQSDDIQYLLLSQSRLRGVSVDTPSSDVKEFQNLGLGAKRSEAIEYYFKSVKAVLLLQQTCLNSHKDVTREQVERSCSFLNQLIVIQQKQHTAADHFAKHLNHLRSCVSLLEKLYSSSKDSSARNGYESRISCNQEIIYRCMWQQKKIFDSLNTMAQEELILLKSFKNVHLKSCRSIKSEEHWIIEAIETYLPGFQKSKECLDNYLLGQKEVISTPASILQPYVVTEQMKELVSQNFEVINIFKEHLSTLSKRVANQRSIENILLGHFDEVFEKSSIVEEEFRSGLEAVSSISNGENFSEICSRFNEALEDTFGHIFSALGNFNSSCSEHSLPAENIGNVTSWEPLLGLFMKNLSLDTLCDKLLNTISYADKLLYTSGMKLNGNSLSEDRMLSLQVGAYFQQLHVLLDLIKNIGERLLQDVLDFNCSVSVTTNVLATVLANLYSEGFGLPTENPDDDVTDKKDQDVSGTGMGEGVGLNDVSDQMIDEDQLLGANEKASEMDAPNPSKSDKGIEMEQDFDAETYSVSEHSDEEEDNEDEGNEQLESTMGETGAESEVVDEKTWNKEEDECLNKENEKVESGPPVENEDVNSCELRANDELSASGDENGEKDMNEHKERDVEGENNTDPSDAEGDENMTFDKEQEVAEPQSGLKHEESNECPDLEMDEKEEASSVQDDLDEDENSTENGNIEENTADQIDENMTEAETEHETTEMDTEGGDHEENNQLNVMAPRNDASEAGENAQNAESATQPNGGLQSSDSRKTDLGKSWSRSNEIQNDGTSSRSMPSGDGSETDILAADSSSGGRFTDDPLNTQMSQPEASALQKMQPNPYRNVGDALNTWKERAKVSVDLQANNEDVQDEMEDEDAEEYGFVSELDKGSAQALGPATSEQIDTDANGNNFDKDSTAAMKSDISEPMESERQNLETRELSRTSIQKSTADDPVPASNLQNPTEESQEHHNTEDVESTPISDNLVSVNRTYLNEPMRKFEKLSVNDEELGKVNSTEVVSNEVKDSATALWRKYELRTTRLSQELAEQLRLVMEPTLASKLQGDYKTGKRINMKKVIPYIASHYRKDKIWMRRTRPNKRDYQIVIAVDDSRSMSESCCGDIATEALVTVCRAMSQLEMGSLAVASFGKKGNIRLLHDFDQSFTAEAGVQMISNLTFKQENSITDEPVVDLLKYLNDTLDSAVTKARLPSGHNPLQQLVLIIADGRFHEKDKLKRYVRDILSRKRMVAFLLLDSPQESIMELMEASFDGGNIKFSKYLDSFPFPYYIILRNIEALPRTLGDLLRQWFELMQNSGD >KGN45589 pep chromosome:ASM407v2:7:19117342:19118152:1 gene:Csa_7G452930 transcript:KGN45589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPHGEAISRSNNFSKPPRLSSNGLQRTISDISMELGKELAITSDTKQGVLPPISEIEDARCECCGMCEEYTQEYIDRMRDKFLGKWICGLCAEAVEGEVEKHGGSKEAALNAHMSACVRFNKLGRAYPVLFQAEAMREMLKKSRMDGRDGFRAKSLSPRDKAAVQKKGGIGRSSSCIPAIIRSEDLNNLKLQN >KGN43404 pep chromosome:ASM407v2:7:1757834:1761505:1 gene:Csa_7G031650 transcript:KGN43404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGLRSIKLYTYLSLFKAFPSSLSSSALHSSSNQAFFNSSSSSLFSFRTVAATASYVGNKSPTLSRDMSSSSSTLEKVTPHANVQQLELLSGVHDRYDGVIVEMKDPMDSNEFGSLLRASLSQWKQQGKRGIWIKLPIELANLVEVVVKEGFSFHHAEPNYLMLVRWISETTNNLPANASHRVGIGAFVMNSNREVLVVQEISGKFKGTGVWKLPTGVVNEGEDICDAAIREVKEETGVDAEFVEVLAFRQSHSAFFTKSDLFFVCMLRPRSFDIQKQASEIEAAKWMPIDEYADQPFVKENSGFDYVAKVCLAKANNSYNGLSAMPTYSASGKMTFLYSKHEI >KGN43947 pep chromosome:ASM407v2:7:5065385:5071603:-1 gene:Csa_7G074310 transcript:KGN43947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGICTSKPPPKPNPYAPRDPDGRIDPSHTPKSAPTPHRKDDLVAGKQSPFFPFFSPSPSPYFSKKKSQNSPLPGGGPESATSTPGRTPGRFFRRSFAPPSPAKHIRAVLARRLGKKAGSTAAIPEEGDEESGIELDKRFGFSKELTSRLEVGEEVGRGHFGYTCSAKFKKGEHKGQQVAVKVIPKAKMTTAIAIEDVRREVKILRALTGRTNLVQFYDAFEDHDNVYIVMELCEGGELLDRILSRGGKYSEEDAKAVMVQILTVVAFCHLQGVVHRDLKPENFLYTSKDENAQLKAIDFGLSDFVKPDERLNDIVGSAYYVAPEVLHRSYGTEADVWSIGVIAYILLCGSRPFWARTESGIFRAVLKADLSFDEGPWPSLSFEAKDFVKRLLNKDPRKRLTAAQALSHPWIRNHKGAKVPIDILIFKVMRIYMRSSSLRKAALRAVSKTLTVDELSYLKEQFELLEPNKNGFITLETIKMGLAKHATDAMNESRTLDFLANLNTLQYRGMDFDEFCAAALSIHQLEALDRWEQHARCAYEIFEKNGNRAIVIEELASELGLGPAIPLHVVLQDWIRHTDGKLSFLGFVKLLHGVSSRSLAKVS >KGN45232 pep chromosome:ASM407v2:7:17101079:17101630:-1 gene:Csa_7G432130 transcript:KGN45232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINTHPIPPLYNTFFPHSPPPDSQLDGIAAVVGRQVLFGDNRPTPTKSSSSTSATAPTTGQRSYRGVRKRPWGRWSAEIRDRIGRCRHWLGTFDTAEEAARAYDAAARRLRGSKARTNFEMPLVVPMESTSAWSTSSVEVKRNGNKLKKNDRKCSVVTSAAHLFSPGVELDLKLGVKNLITFN >KGN44659 pep chromosome:ASM407v2:7:12963020:12963346:-1 gene:Csa_7G368120 transcript:KGN44659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYVQEACKVTRHQDLIRSLSKFLSTVKLRATKWARTRVSVTIIKIKKVTELPKRLKNNKRMKGRNMTKVWNCVEVFEAAMMSLTGRLGFLED >KGN44674 pep chromosome:ASM407v2:7:13121346:13126230:-1 gene:Csa_7G368750 transcript:KGN44674 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter family protein MADNGISIAGNDGIEVLDEKGSTSIKVQAMQFSYESDSPLFVEFNLQVGSGSRCLLVGANGSGKTTLLKILAGKHMVGGRDVVQVLNGSAFHDTQLVCSGDLAYLGGSWSRTISCAGEVALQGDFSAEHMIFGVEGTDPERRDRLIDLLDIDLRWRMHKVSDGQRRRVQICMGLLHPFKVLLLDEVTVDLDVVARMDLLDFLKEECDQRGATIVYATHIFDGLETWATHLAYIQDGELRKSEKLSKVEELKTCANLLSVVETWLRAETKLEKKKKLPIQPPSNNQKIVSPFGSSPFMSSRHMAYYR >KGN43985 pep chromosome:ASM407v2:7:5296047:5305903:1 gene:Csa_7G075650 transcript:KGN43985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALQIPGTSNGQTKVIREGDNGVAYSWNLKDYKWDKIGEVVDGPDDGGRKPVLDGVEYDYVFDVDIGDGEPIRKLPYNLAEDPYTAADKWLLKEDLPLVYRQQVVDFILQNSGKKNFVPDPSFRDPYTGSSAYVPGGPSNVSAESRKPIFKHIPKKGVLVFDVAQFDGILKKIVEFNNALLADSEKKNYALPELEVSRLSAIVKILKDTSHYHSTKFADADVVLLLNLLRSWPRESLFPVIDMLRMIVLHPDGAILLLKFIDSDGILLELIQKVTMAPVIAANLLTSIRLIANLFKNSGYYNWLQKHRSEILDAFSSCYSSANKAVQLSFSTLILNFSVLLIDEKDLDGQGQVLSAALEIAEEENLEADSKFRALVAIGSLMVEGGDDIKRTALDFDVESIAQKAKISKDAKIAEVGADIELLTKER >KGN44172 pep chromosome:ASM407v2:7:7603632:7603925:1 gene:Csa_7G212630 transcript:KGN44172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFGVVRRRIASGGSNLFSAQYLRGIRPSISAGRVRSVAEKEVVAFSEVLLSLCALSGDGF >KGN44275 pep chromosome:ASM407v2:7:8591412:8591686:-1 gene:Csa_7G237900 transcript:KGN44275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGEVAEAENAKKWRFFRLNSDSYNRRQSAQVDTNSRGHPLPSPTLNCQRHQQQSQPAP >KGN43422 pep chromosome:ASM407v2:7:1847096:1848898:1 gene:Csa_7G033300 transcript:KGN43422 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll A/B binding protein MATSAIQQSAFAGQTALKQSNELVRKVGALGGARFTMRRTVKSAPQSIWYGPDRPKYLGPFSEQTPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGCVFPELLAKNGVKFGESVWFKAGSQIFSEGGLDYLGNPNLIHAQSILAIWACQVVLMGFVEGYRVGGGPLGEGLDPIYPGGAFDPLGLADDPDAFAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPIENLFDHVADPVANNAWAYATNFVPGK >KGN43681 pep chromosome:ASM407v2:7:3416400:3418907:-1 gene:Csa_7G058550 transcript:KGN43681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSKIRRALGAVKDKTSIGLAKVGSSTSLSDLEVAIVKATRHEEYPAEERHVREILSLTCYSRAYISACVNTLSRRLNKTKNWTVALKTLMLIQRLVSEGDPAYEQEIFFSTRRGTRFLNMSDFRDTSQSNSWDYSAFVRTYALYLDERLEFRMQSRRGRRSAFGLDEEDEHHHHHQQQQQHINSSSSNNNEDNNDNDNNDEEDHSKAIIVRATPMRDMTSEQIFSRTQHLQQLLERFLACRPTGAAKNNRVVLVALYPVVRESFQIYYDITEIMGILIDRFMDLNVQDCVKVYEIFCRVGKQFDELEMFYSWCRTIGIARSAEYPEVEKITPKKLEVMDEFIKDRSALAQCQNDREKTQPQLEITEEEHKEEEEEEEVDVNSIKALPPPEDIKEEEITAIEVEEEEKEIKEDEMKKDNDNSMMGDLLNLGEEHAMIREANADKLATALFDGSGPVDSSVKALPWQAFNDDSADWETALVQSASHLPNQKADLGGGFDMLLLDGMYKQTAVKSTMAGSGYGVSGSASSMALGSAGRPAMLALPAPTTPEGSGTSSSSVVSDPFAASVAVAPPPYVQMSEMERKQKLLVEEQLMWQQYARDGRQIQHNPYTGGFTHSY >KGN44209 pep chromosome:ASM407v2:7:7983689:7989331:-1 gene:Csa_7G223380 transcript:KGN44209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSRTVPNGVHPRYNPRSSSSSATFKGCCCCLFLLFSFLALLVLAIVLVVVLALKPKKPQFDLQQVKVQYVGITNPNPTTASLSLNIRMIFTAVNPNKVGIKYEESRFTVMYRGIPLGRASVPGFFQDPHSQRQVDATIAVDRVNLLQADAADLIRDASLNDRVELRILGDVAAKIRLLSFNSPGVQVSVDCAIVISPRKQSLTYKQCGFDGLNV >KGN44684 pep chromosome:ASM407v2:7:13253203:13262816:1 gene:Csa_7G372300 transcript:KGN44684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSLRLFPSCSCSYQLPTNPKPTTLYFINNPTHFLHLKRPNRKQLLASCTSYEVGGGYPDEEFDMQDRRRPIKEVKPKMDTSEYEALLKGGDQVTSVLEEMIVLLEDTNIDETSEEIALQLAAQGVIGKRVDEMESGFMMALDYMIQIAEKDQDDKRKAILEVVKETVLSHLTKKCPPHVQVVGLLCRTPLKDSRHELLRRVAAGGGVFKSKNGTKVHIPSANLNDIANQADDLIETMETRPIVPDRKLLARLVLIREEARNMMGGGILDERNDRGLNTLPESEVNFLTKLVALKPGNVVQEMIRNVMLGKDEGADNSGDNEEDTAGGRRASKGIGGRESVSGRKPLPVRPGMFLETVSKVLGGIYAGSESGVTAQHLEWVHQKTLHILEEIAF >KGN43537 pep chromosome:ASM407v2:7:2552062:2552817:-1 gene:Csa_7G044280 transcript:KGN43537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIFIVTMGHEFPIDIENQEQIIEIKRKIEQFIGIPIESQTLSVYGCELLDGLVMEDYDQFITEGSRIDLSVDQIIAPSNEFPIAVEFSGQRININIDKTETVHSLKQKIQIIYSLPIQTMSLFHSGMELVEDCQNLSEFGIGEFSEVIVFMKTMSRYLSEDSSRRKVSFVVEMSSSLLNAACIPMEMKDSSTVNDVRELLLSGKILPDDEYLFIHKQRIMRDKRSLRWHGVENGDFLYVFKGTVSRGEFY >KGN43215 pep chromosome:ASM407v2:7:541741:545634:1 gene:Csa_7G009110 transcript:KGN43215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTDCTGDAAFDMLQPERDLRLNWEVDLAQNLERYLLQICSGEFQSDEDENHTVNFAEAALLLQGSIQVYSRKVEYLYSLVLRALEFLSERRQQHHLEGNSIEAEQNGSHKIDEGENDLYWVSEDVPVDPKNTLDSTKEDVWLNQTVKPPANLIVLEGDCLDSSDNGELDSYLLATASNIFQDFILLDSSDVKTFEDFMNENNKFGKSHNSARRGSSTRRSCQSQSTMQRSSFGKTQVGDHAAAQSPLVSGSFVHDPLGNSESDDSNGCEDDDDFWKPLNPHEPGNLKIKPFRKVKAFKKNYKKSGKHEFLIALFPMAKLHGPVSQEFAQIWEEQNQGFEAHKESKSVLLYEKLRNSLINEGHKSCDSLSDMEDDNIDNGFEDAMPDVNHPDIGDPNDHFMDEAMCFGNEKHDVAAHFDNGEAYEPEFPDSRSSLEDLCRSHLDALLASIAESEKQTEMATRVSTWKQNIEHNLEDQDKHPPFDIHEYGQAILEELSSDADRGGLVPFSNVVERQEKYNVARSFSALLQLVNNGDVELEKNGVDGESICYTNVNPFYIRLVRHKKQVSRERFLSPCKTNTVKESSVRDKKGRK >KGN45442 pep chromosome:ASM407v2:7:18216201:18216509:-1 gene:Csa_7G448040 transcript:KGN45442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEGNPNLIKFIKESKSPDHSSCNKSRMMSWPTQISKYVQLWTNISIDIYSMISFIKCLLLFRRDHPNDILITRVPSNEKRGKEIAFLSFDKGPSYYAKEKK >KGN43652 pep chromosome:ASM407v2:7:3201207:3202347:1 gene:Csa_7G051320 transcript:KGN43652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGNYKSVEDMLKPNSNAPWGNRLALLLIDIPKLTDYELSNPIQFIKAAQKLIKRKRYSYATFLLDKLMEMVHKLKGPEVAAKYMYKMVRNSSLSISNMIGPKEKMALLGHPAKGVYFILFGIPQSLIITMVSYMENLRIAFGSEKEFIDQEKLTSCMKTAFEHMYKAASVDVSI >KGN44432 pep chromosome:ASM407v2:7:10180811:10181104:-1 gene:Csa_7G290550 transcript:KGN44432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQRFKALMQDKKKVKLREQKELLNKIDQAPISTKKGKVRKTPSEEICEEFERKLEDLSPLEDEVVKPLKKKVTVKKSVLKKQFDKRREEKEKKKGP >KGN43204 pep chromosome:ASM407v2:7:501792:502560:-1 gene:Csa_7G009000 transcript:KGN43204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRLPRIVTGTVTQSLQRSSSTRNGASPRANDVPKGYFAVYIGEEQKKRFVIPLSYLNQPSFQDLLSQAEEEFGYNHPMGGITIPCNEAYFLDLTRKNFSSSSKSAESSNKVSEGYIPVYLGKELKERYVVRISYSNEPSFQKLLSKADEEFRNGTRMGGLTIPCRDKVFADLISFFAEN >KGN43236 pep chromosome:ASM407v2:7:654125:657873:-1 gene:Csa_7G009800 transcript:KGN43236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRKKRKTREAATIHPRNKYAENPPDFALLASLYPSFQPFVSLHPRPRIDWTDFNATRELTRVLLLHDHALHWWIPDGQLCPTVPNRSNYIHWLEDLLSSDVVAKKNSDCGRVRGFDIGTGANCIYPLLGASLLGWSFVGTDVTDVALEWAERNVTSNPHISELIEIRKVDDTSDDLSTELHDSVAVDSECKIFNEMDGREIGPPLPSFLSKEAIHLETQYHGPPILLGVVKDGERFDFCMCNPPFFESMDEAGLNPKTSCGGTPQEMVCPGGERAFISRMIEDSIVLKQTFRWYTSMIGKKSNLSFLISKLWKVGVTVVKTTEFVQGQTCRWGLAWSFMSTARKIISPHVTNKSILSFMLEGLLSQVSAMSILQSVERFLSTGGASCKLNASSFTVDITASEDHCQAILENGACEEVKDASCVALEKSETQLSTDLCFRVSVFQQIPGTLLVKGSLHQKSNPSAGLFSLIFHRLEEFLKAEFCK >KGN45476 pep chromosome:ASM407v2:7:18460139:18460480:-1 gene:Csa_7G448870 transcript:KGN45476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLLLVGSIAKRRRELIRSTVVFVEFLCFWSLYDVAFAFISVAISLSSRILFSGLQSRGLVTTMVLRFPPHPTPHAEETSKLSVIPSTGGPPEWDRHGPSSTRLPTLICTIAN >KGN44561 pep chromosome:ASM407v2:7:11833559:11833798:-1 gene:Csa_7G333920 transcript:KGN44561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLGDPFQNPRRSETFRFCIPHLTLSFHSPSSSQLSALLHVHSNTLPVAAVVAPSILNSPAVFLSLTTFAECRLPSLFL >KGN43495 pep chromosome:ASM407v2:7:2256217:2262114:-1 gene:Csa_7G041890 transcript:KGN43495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGHDSKYFSTTKKGEIPELKEELNSQYKDKRKDAVKKVIAAMTVGKDVSSLFTDVVNCMQTENLELKKLVYLYLINYAKSQPDLAILAVNTFVKDSQDPNPLIRALAVRTMGCIRVDKITEYLCDPLQRCLKDDDPYVRKTAAICVAKLFDINAELVEDRGFLDSLKDLISDNNPMVVANAVAALAEIQEDSSKPIFEITSHTLSKLLTALNECTEWGQVFILDALSRYKTEDAREAENIVERVTPRLQHANCAVVLSAVKMILLQMELITSTDIVRNLCKKMAPPLVTLLSSEPEIQYVALRNINLIVLKRPTILAHEIKVFFCKYNDPIYVKVEKLEIMIKLASDRNIDQVLLEFKEYATEVDVDFVRKAVRAIGRCAIKLERAAERCISVLLELIKIKVNYVVQEAIIVIKDIFRRYPNTYESIIATLCESLDTLDEPEAKASMIWIIGEYAERIDNADELLESFLESFPEEPAQVQLQLLTATVKLFLKKPTEGPQQMIQAVLNNATVETDNPDLRDRAYIYWRLLSTDPEAAKDVVLAEKPVIGDDSNLLDSTLLDELLANIATLSSVYHKPPEAFVTRVKTVSQRIDDDDYPEGSNSGHSEPPANAASGGGASPTTSDAPYSVTKRPVPTLAPAPSSSPPPASIPDLLGDLIGLDNSAIAPVDQSAAPAGSPLPILLTASAGQGLQISAQLIRHDGQIFYSLTFDNSSQMILDGFMIQFNKNTFGLAAAGPLQVPQLQPGSIANTLLPMVVFQNMSQGPPSSLLQVAVKNNQQPVLYFSDKILMHIFFTEDGRMERASFLETWRSLPDSNEVIRDLPTILINNVEAIVERLAATNMFFIAKRKHANQDVFYFSTKIPRGIPFLIELTTVIGSPGLKCAVKTPNIDMAPLFFEALEILLKE >KGN45528 pep chromosome:ASM407v2:7:18766510:18771864:-1 gene:Csa_7G451340 transcript:KGN45528 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-methanol-choline (Gmc) oxidoreductase MALAGTVKLFLFLVLFTLLHLLSSCQGRENWIKSRYPFIKRASSFYRDGHERKGGYDYIIVGGGTAGCPLAATLSQNFSVLLLERGGVPFTNANVSFLGNFHIGLADTSPTSASQAFASTDGVINARARVLGGGSAINAGFYTRASTRFIKRVGWDERLVNESYSWVENRIVHRPELADWQKAFTDSMLDVGISPFNGFTYDHLYGTKVGGTIFDRFGRRHTTAELLASGNPDKLTVLVHATVQRLIFDTTDGKKPKAIGVVFKDDIGNQHEVFLSSNRQSEVIMSSGAIGTPQMLLLSGIGPRADLEKWNISMVLDNEFVGKNMADNPLNAIFVPSNRPVKQSLIQAVGITKRGVYIESSSGFGQSGESIHCHHGLMSAEIGQLSTIPPKQRTPEAIQAYIKSKRDLPHEAFKGGFVLEKIAYPISRGQLSLINTNVDDNPAVTFNYFGHPYDLHRCVEGIRMVAKIVESKCFTNFTQCDEETLDKLLNISVKANINLIPKHTNDTKSLEQFCKDTVITIWHYHGGCLVDKVVSHDLKVLGVTRLRIVDGSTLSESPGTNPQATVMMMGRYMGLKILMDRLGKKGGT >KGN43739 pep chromosome:ASM407v2:7:3799878:3803720:-1 gene:Csa_7G064010 transcript:KGN43739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRNCLRVESGSSHVIFKAASHGFHCYFPSLPIYSNSLLFSLPTHYCPLKTQIQMGFGGLLGFQYGIVQAPLGPDISGPELVAAVANAGGLGLLRAPDWESPDYVRELIRKTRALTDKPFGIGVILAFPHEQNLKAILDEKVAVVQVYWGECSKDLVDQVHSAGVKIIPQVGSVEEASKAVDVGVDAIIVQGREAGGHVIGQEGLISILPRVVEIVGDKDIPVIAAGSISDSRGYVAALALGARGVCLGTRFVATEESNAHPTYKRKLVELEATDYTNIFGRARWPGAPQRVLQTPFYDDWKSLPANENESNQPVIGRSTINGLDIDIRRFAGTVPNATTKGDIESMAMYAGQGVGLIKEILPAGEVVRRLVEGAQHLIRTHLSSFVHKD >KGN44862 pep chromosome:ASM407v2:7:14844306:14849674:1 gene:Csa_7G392350 transcript:KGN44862 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation factor Tu MASVALRNSISKRLLAHSAPLSWCCRGSVSSPSSISELLSRNDGASSSTPSWRSMATFTRTKPHVNVGTIGHVDHGKTTLTAAITKVLAEEGKAKAIAFDEIDKAPEERKRGITIATAHVEYETAKRHYAHVDCPGHADYVKNMITGAAQMDGGILVVSGPDGAMPQTKEHILLARQVGVPSLVCFLNKVDAVDDLELLDLVEMELRELLSFYKFPGDDIPIIRGSALSALQGTNEEIGKQAILKLMDAVDEYIPDPVRQLDKPFLMPIEDVFSIQGRGTVATGRVEQGTIKVGEEVEVLGLSQGPPLKTTVTGVEMFKKILDQGQAGDNVGLLLRGLKREEIQRGQVIAKPGSLKTYKKFEAEIYVLTKEEGGRHTAFMSNYKPQFYMRTADITGRVELPENVKMVMPGDNVTAGFELILPVPLEKGQRFALREGGRTVGAGVVSKVIS >KGN44976 pep chromosome:ASM407v2:7:15499199:15502070:1 gene:Csa_7G405820 transcript:KGN44976 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase MAAGTTATAAAFYVLLILISLYITTSASPTGSVCSSTPDPSYCKSALPNQTGNVYSYGRSSFRKSLSSSQKFLRLVEKHLRSRSSLTVPAVRALEDCLLLAGLNIDYLKTSFQTVNTTSRVLTEMKADDVQSLLSAILTNQQTCLDGIKATAGSWSLKNGLSQPLASDTKLYSLSLAFFTKGWVPKKKKRPTWKAAGRQGGFRNGRMSLKMSSRTQAIYEKATRRNLLQTDDGGDDDQIKVRDIVVVSQDGSGNFTTINEAIAAATNNSAPTDGYFLIFVSAGVYEEYVLVAKNKRYLMMIGDGINQTIVTGNRSVVDGWTTFNSATFAVVGPGFVAVNMTFRNTAGAIKHQAVAVRNGADLSTFYLCSFEAYQDTLYTHSLRQFYRDCDIYGTVDFIFGNAAVVFQNCNIYPRLPMSNQFNAITAQGRTDPNQNTGTSIYNCRITAADDLANNSDAGVKTFLGRPWKEYSRTVYMQSFMDDLINPAGWRAWDGDFALNTSYYAEFGNFGPGSNTSERVTWAGFHLINDTDAGNFTAGNFVLADDWLPQTGVPYDSGLTE >KGN44596 pep chromosome:ASM407v2:7:12275069:12275457:-1 gene:Csa_7G339680 transcript:KGN44596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSWHPTPDGHPTRPSASSEEKNEEDRYKVDDPRGSPMSVGNLEEPIDENHAIVSSFVGLKYYVGILLFVDKDQLELGCAILMHNNVGFVIVVNLANASFL >KGN44801 pep chromosome:ASM407v2:7:14375342:14376328:1 gene:Csa_7G388340 transcript:KGN44801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTKLGRNYLNLCFTKIKNPLSTAQSSPPITHTADRRRQTTRSFSSTAAAFITNYNSLYEITTTTTTNSDSNSPSTPLFGLTNDIGVADPDAYVAVDFITAFTSHRFFFSSPGSSNSIIESTTTTTTESTTTMSLSSEYSARYEGNDDDLMIFNNSHVIPTYSPDPYMDFRRSMQEMMEAREKMTTAVATTTTMKKSSWEFLHELLLCYLALNPKTTHKHILKAFADIATVIKPPLAMKETEEEENVDREKGESMVDDRGAGGGGCECEMSGQQNDRD >KGN45547 pep chromosome:ASM407v2:7:18888498:18893592:1 gene:Csa_7G452020 transcript:KGN45547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIPSHGLRHLSTTRCSFQFHFHKFRSSTSLAASPSSTTSHDDSQRAEQHDPPSSNGFSSKSQSYFPKRGQTLELVCESLAFKGKGLCKVSDTGFVVMCDRVLPGERFVGRVTRRKGNYAEVTKLETLSPHWDLVDAPCEYASYCGGCKTQNLSYEAQLRYKEQQVHELLTHVGKFSQKELEFHIVMKPIVPCEIQFHYRNKMEFSFGSKRWVPKDALKEKQEGDEINALGLHAPGFFDKVLNVDKCLLQSGPANQVLASVQECWRDPLLGLSPYDVHSHKGFLKHLMLRTGRDVNTGLPELMVNFVTSSYKPELLMPLVEKFSDIPEVVSVVNNINSSVGNTSVGEEEHVLYGKSSIRESLRGLTFQISANSFFQTNTHQAEVLYRLIEECAGLKGDGSEIVLDLFCGTGTIGLTLAKRARHVYGYEVVPQAIKDACLNAELNSICNATFVQGDLNKIDQNFGNNFPKPDIIISDPNRPGMHMKLIKFLLKIKTPRIVYVSCNPATCARDLDYLCHGVVEEGIKGCYTLKSLQPVDMFPHTPHIECVCLLELTPDSQMGS >KGN44092 pep chromosome:ASM407v2:7:6639695:6642217:1 gene:Csa_7G183650 transcript:KGN44092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFQLQDFSPDFQTLKIGILGRCRGMSSLTVNCLRLHDSSLVDFFGPHLLELNLFCCSLLSYQFLAVTGKLCPNLRVLVLELVAQDSPEVFNTNLAEMLTRCLFLDSISLKIRGAGDAEANYFRGIEAFLPKTMKSLKLKPLLHQEGICIINKLRDSGNSLITTYSGNFESPKLSSGLMLQCLSLALDVISNELIITVAESLPFLVELHLEDTPNQEQLVHHDLTNRGLQSLSTCHKLISLSLIRGRHNHQLSFKKLNDMGMFLLSEGCRALESVRFCGFSKVSDAGFASIFHSCNRLKKFEIRNSTHFSDLALEGFHAIGCSITELRLLSCNLITCESVKQLAYSTSLEVLDLRGCKSISDSCIDSISTLCNLSSLNLTSTDITDNGLSVLGQGSLPIVRLSLRSCKRVTEEGIYRLFYGGGTISKTLSALDLGHISGITDRAIQITASAGVRITELCIRSCVHVTDSSVEALGMKKKLQGEGKLLRRLDLFNCIGLSIGAWRSFRGPQFGGLQWLGIGNTRLCSNGNVDMVELCLKRPWLTLCLEGCEVGCHDGWQFHRS >KGN45267 pep chromosome:ASM407v2:7:17256195:17257979:-1 gene:Csa_7G432490 transcript:KGN45267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGIIGLVLGLIAVVFVHQATAQTVRVVGDSTGWTVPMNGAAFYSEWASKFNFAIGDYLTFNFGTNMHSVQKVPKEAFEVCDGHNTTHYVITTGPTTLKLDTAGMHYFICTVGNHCFEGQKLAVNVTVTVVPPTDNAMSPSSNAAQPPPTRTPPASHGDACSSTPANSLSSSPPICDGSSSALTPSSSTLLMATLYVTLYAFVL >KGN45583 pep chromosome:ASM407v2:7:19076095:19080367:-1 gene:Csa_7G452870 transcript:KGN45583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPYVLYFKLDGKMMGWWRKIFSWFIILAGLMGTEVFGLVLLSACQIIFANCGDSSIALLWESNQPLTADHKISWLDEYLYDRLVREKREFCLLAALGSKGGLAMTRAIGDH >KGN43467 pep chromosome:ASM407v2:7:2073213:2073986:-1 gene:Csa_7G038180 transcript:KGN43467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTILNILVRGCRGDDENLSVEGGVFRDGVGSPLDGNLYGKLYGNLRKCFMGCDASFVEAFDYYSSLPYLGFLAISRIVGVCRTADIGWFLRPRCVTGFAAILLWDVWNYGNVVKRCGFEPDRDALLRRIFFTLEGRWGSIFA >KGN44460 pep chromosome:ASM407v2:7:10437260:10437609:1 gene:Csa_7G298765 transcript:KGN44460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKRKSIATSLDEVDRTMYASFCSAANSLSQLYTQAMNHQKLSFQAGERHAMVWNFICSPFPLFGFYHVSLFVCMKLIYLDVVFACLFFHLYLF >KGN43875 pep chromosome:ASM407v2:7:4676717:4677246:-1 gene:Csa_7G071640 transcript:KGN43875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSEIYEESYLECYCGVAAKLRMSHTEKGPFRLFYNCPKEISQQCGFFHWADEREPSNDRHANELDLIRNVCIRLTERLDEIVEEHEDEKEEWEREKAELTLKLSTLQTQLDDIHNRVRITNESFSMPPFESLSIRDDDDDNTLVIYTL >KGN43936 pep chromosome:ASM407v2:7:5001675:5002577:1 gene:Csa_7G073720 transcript:KGN43936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPINPNFLELQYNVSKKKFLREPSRLFSFKDRQSSGLRRALQPSSEEIKQIFNKFDTNKDGRISKHEYRGILKALGRGNSMEEVQKIFRAVDSDGDGYINLNEFMEVHRSGGGVQAKEVEFAFKTFDLNGDRKISAEEVMRVLKGLGEKCSIEDCRRMVRAVDSDGDGMVDINEFMTMMTRSAK >KGN45515 pep chromosome:ASM407v2:7:18691159:18692163:1 gene:Csa_7G450720 transcript:KGN45515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDFRSKSCREERMQIERYNENRIAPTNMQDLRSYSVSYASSVQQNQSNKEVKMKKGKSNMGSTSKSWSFKDPELQRKTRVAGYKVYAVEGKMKGSLRKSFRWIKNTYTQVVYGWR >KGN44412 pep chromosome:ASM407v2:7:9959764:9968627:-1 gene:Csa_7G282400 transcript:KGN44412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVIHISNPTQCLLKFKTNLPASEPSSHRPNCSVAGRRKWVVNMTSQIPAHVQETQQSLTGDSFIRPHLRKLSPYQPILPFEVLSTRLGRKPEDIIKLDANENPYGPPPDVLEALGTMKFPHVYPDPESRQLRAALAIDSGLESDYILVGCGADELIDLIMRCVLDPGDKIVDCPPTFTMYEFDAAVNGALVIKVPRKPDFSLNVDLIEDVVRKEKPNVISDEDLLKILDLPVLVVLDEAYIEFSSTESKMQWVKKYENLIVLRTFSKRAGLAGLRVGYGAFPLSIIEYAWRAKQPYNVSVAAEIAACAALQNPSYLEKVKNALVQERERLYNLLTDVPFLNPFPSHSNFILCEVTSGKDAKKLKEDLAKMGVMIRHYDKKELKGYVRVTAGKPEHTDALMDCLRRLS >KGN45260 pep chromosome:ASM407v2:7:17218895:17220001:1 gene:Csa_7G432420 transcript:KGN45260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFKAFLTDNGVNLLEKRFLPALDKMGKICHLYLTRDYVIFLHNLLNGDGIQSIAQFRKEALFDDYRISSQNDDRIAFTVDISLLHRAVRSSVSICSEFGNGPTANRLQIKLVKKLPLNCTQPMPFLTFETKGYKSAVIQDVPISKPMSRAQVLELQTALDMAQDLPQTLVQVPDLNQLQNFVDRMKNVGDLLNVSISKYGDLHVQISTGLITLGAEYRKLFVIGEQARAPAEDQNLSAQTRSTRAILRGDAQSVQVSVKHFAKSLQYHLAKPDCTFYGIAPQGACLTVIFQFFIPGSRQTDKSISLHCRLPVLDPGTS >KGN43256 pep chromosome:ASM407v2:7:783848:787665:1 gene:Csa_7G012940 transcript:KGN43256 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:HPR-A description:hypothetical protein MAKPVQIEVWNPNGKYRVVSTKPMPGTRWINLLIEQDCRVEICTEKKTILSVEDILALIGDKCDGVIGQLTEDWGEVLFSALSRAGGKAFSNMAVGYNNVDVNAANKYGVAVGNTPGVLTETTAELAASLSLAAARRIVEADEFMRAGRYDGWLPNLFVGNLLKGQTVGVIGAGRIGSAYARMMVEGFKMNLIYFDLYQSTRLEKFVTAYGEFLKANGEAPVTWRRASSMDEVLREADVISLHPVLDKTTFHLVNKESLKAMKKDAILINCSRGPVIDEAALVDHLRDNPMFRVGLDVFEDEPYMKPGLADMKNAIIVPHIASASKWTREGMATLAALNVLGKIKGYPVWSDPNRVEPFLDENVSPPAASPSIVNAKALGNA >KGN44371 pep chromosome:ASM407v2:7:9651014:9653961:-1 gene:Csa_7G272130 transcript:KGN44371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKHHKTSPRMASHALFSLRGSHQSFNLSSEPHPSSPLPISSTTNPFPELVSKISTILSSPKWEHSSELCHLSPKLKPHHVVNILQTHKNTDSVLRFFFWISRRKFFKHDMSCFVSMLNRLVRDRLFAPADHVRILMIKSCRNEGEVKRVTQFLSEINSKYDFGYTLCSFTTLLIQLGKFDMVDLARDMYIKMLNSGIRPSLLTFNTMINILCKKGRVQEAKLIMSHIFRYDAYPNAFTYTSLILGHCRNHNLDLAFAMFDRMVKDGCDPNSVTYSTLINGLCSEGRLEEAMDMLEEMVQKGIEPTVYTYTIPLVSLCDAGCSSEAVELLGKMKKRGCVPNIQTFTALISGLSRDGKFEIAIGLYHKMLADGLVPTTVTYNALINQLCVEGRFETAFTIFKWMLSHGSLPSTQTYNEIIKCFCLMGDIQKAMVIFDKMLKAGSSPNVITYNTLIYGYCKQGNLNNAMRLLEIMKGNGLKPDAWTYTELISGFSRGGKLEHATSLFYGMMEHGISPNHVTYTAIIDGYFNLAKVDDALALFWKMVESGNLPSSQTYNVMISGFSKTNSISEAENFCGKMVKQGLLPNVITYTSFIDGLCRNGRTGLAFKIFHEMEKRNYFPNLYTYSSLIYGLCQEGRAEDAESLLDEMEKKGITPDETTFTSLMDGFVALGRIDRAFLLCRRMINVGCRPNYRTFGVLLKGLQKENHSLMEKVVPQNEVTHTCSSDENCISTDIVYNLLARLTHYGCEPNVDTYTTLVKGLCGEGRCYEADQLVVSMQKKGLQPSEEIYRALLIGECKNLKVESALNIFYSMDTLGFQLHLSDYKALICALCKENFIEEAQCIFQTMLEKHWNSDEVAWTVLLDGLLKEGETDLCLKLLHVMESRNCTLNFQTYVMLARELSALDCAIKIPQISQQLGIVKD >KGN43440 pep chromosome:ASM407v2:7:1928641:1928896:1 gene:Csa_7G036450 transcript:KGN43440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPLLILCKKFVELVEILKDGDPSKRDIVVLLLQDMLEVVTRDMMLNEVRLVNIICAI >KGN43278 pep chromosome:ASM407v2:7:960382:962610:-1 gene:Csa_7G017120 transcript:KGN43278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNLVMVCRRIWSTKTFHAAALTVFNAQLQFHRRPVLFNIVFQFKQTCFSSSKANSFQVPEFYSLNKKISYLIRTGRINEARELFDSTEHWNTITWNRMITAYVKRREMLKARQLFEEMPNRDIVSWNLMLSGYISCGGKFVERARNMFDQMPETDCVSWNTMLSGYAKSGMMDKAEELFNEMPERNVVSWNAMVSGYLMNGHVEKAIEFFKLMPKRDSASLRALISGLIQNDKLVEAERILLQYGGNVGKGDLVDAYNTLIAGYGQKGMAYEARKLFDRIPLCCDCGYSRRNVISWNSMIMCYVRAGDIVSARELFDKMVERDTFSWNTMISGYVQILDMKEASNLFSRMPEPDTLSWNMMISGFSEIGSLKLAHDLFKRIPEKSLVSWNSMISGYEKNEDYKGAMNIFLQMQLEGKKPDRHTLSSILSACAGLVDLVLGTQIHQLVTKAFIADLPINNSLVTMYSRCGAIVEARMVFDEMNLQRDVISWNAMIGGYAYHGFATEALQLFDLMKQCNVQPSYITFISVLNACAHAGLIEEGRREFNSMVNTHGIKPQVEHYAALVDIIGRHGQLEEAMSLINSMPCEPDKAVWGALLGACKVHNNVEMARAAAEALMKLQPESSAPYVLLHNMYADVGRWDDAAEMRTMMEKNNVQKDAGYSRVDSYC >KGN43306 pep chromosome:ASM407v2:7:1146390:1146665:1 gene:Csa_7G019860 transcript:KGN43306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAVDIAQVGTSDWSHMKRSYGAVWETDNVPEGALQLRMVVTSGYDGNLVWAKSVLPATWRAGGIYDTGVQINDIAKESCPPWQCGDNPWK >KGN43465 pep chromosome:ASM407v2:7:2070530:2072515:1 gene:Csa_7G038160 transcript:KGN43465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPAANAPAKRKPVFVKVEELKPGTSGHTLTVKVVSSKNVKVVNKGGRSTMLTARPQQLTRISECLVGDETGSIVFTARNDQVDLMKPGNTVTLRNAKIDMFKGSMRLAVDKWGRVEVAEPANFEAKEDNNLSLVEYELVNVEE >KGN44352 pep chromosome:ASM407v2:7:9512113:9514256:-1 gene:Csa_7G268490 transcript:KGN44352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVSRSLLTAAASRSMPGRTKSLAHKTLNPLPVSSSSSRTFPSFTRIVSSLANVESLMPLHTAVASARLKSKIAVDSHCWSWLSEGFATSL >KGN43357 pep chromosome:ASM407v2:7:1449818:1450790:1 gene:Csa_7G026760 transcript:KGN43357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDYTVVCVYSTLRLLFHSVIEILRVINRIVFKSLRKTDAIRSNEFTELRPNFIEKMFEFETTSGGISRSKSFSSFFMIIVVVHLQISSEGSFTREDPGPSPIPPLTERSTGEIAH >KGN43405 pep chromosome:ASM407v2:7:1761901:1764488:-1 gene:Csa_7G031660 transcript:KGN43405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRPFPLATLALPLLLLLIFSPSSADAHNITRILAKHPEFSTFNHYLTITHLAGEINRRLTITVLALDNSAMSALLDKHFSVGTIKNVLSLHVLVDYYGAKKLHQLSKGTTLSSTLFQATGSATGTSGYVNITNMRGGKVGFGSEDNGGDLNSFYVKSVVEMPYNISILQISKVITSADAEAPTAAPVSLNLTEVLPKQGCKAFSDLLIAAGAIETYQSNVDGGLTMFCPTEDALNAFLPKYKNLTAAHKVSLLLYHGMPIYLSLQMFKSNNGVVSTLATDGGAKYDFVIKTDGEDVMVKTKVVTSTVTATLIDSEPLIVYEVDKVLQPKELFKAVPEEEEEAPAPKSSPKKKKTKAPSPKASDGEESEDADSPIGSDESDGDPADQTSEKDGAFGRNGERSMAVVVMLSLWLGVLLV >KGN43645 pep chromosome:ASM407v2:7:3168681:3173581:1 gene:Csa_7G049270 transcript:KGN43645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLDDSSSSDLSIMIDETYEFSAPRFFDFVNGESEEDKCQAELWFDTALTYAPSPCMPKIKTARSVKVENLCDFNQAEEMQKDLEQSGTNSVDIVDPTTSQTVILPSETNKELTPNEPREEKASTQNETTRTCEDERGTSSNGGIHSKDNEQKRSGLSKVQNEACTPLPTSSTYKGEQRTKGATSKKHETARKIASMVKNPSTLKARSHLQLSQTKNVKPNSVKRETNVKDTSGTSSLAQENQAIKRQKLDGGLSRQILNVKPHTLPHKSKVGTSNLCSSVAVKTNKEERKMYVREPAPFVSMAEMMRKFQSSTRDLSLPHDAGSFTQTKPKLTLTRPKVPEFETAQRVRSTKVKSSAELEEEMMAKMPKFKARPLNKKILEAPKVPAVPRSTPQPPEFQEFHLETMARANQHADSASVISTESSRQNNQWKPHLTEPKTPVLHTSLRARPPRAKSFLEIEQEALEKIPKFKARPLDKKIFESKGELGVFCNMKKHVTKPQEFHFATNERIPPAPTVVADLFDKLSISSETRSELPLPRNTRPNPFHLYTEERGAEKERKFFMGLWQKQIEEERAAIPRATPYPYTTDYPVIPPKPEPKHCTKPEPFQLESLVRHEEEMQREMDERRRIEEEETRMRMFKAQPVLKEDPIPLPEKSRKPLTQVQEFNLHVDNRAVDRAEFDQKIKEKEMMYKRYREESDAAKMVEEEKALKQLRRTLVHHARPVPKFDHPFHPQRSVKETTKAKSPNLRVLQRRKERENLIKVAMSSPATQLR >KGN44383 pep chromosome:ASM407v2:7:9770974:9775497:-1 gene:Csa_7G276690 transcript:KGN44383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEDVSISKHPMVNHLDVRNASFSVKRFPRRLFSQFIGLYPILLYAYPSFSAPMMDMQEPDIVRTLKLDSGVRIQEVFEGDGAEAHEGDMVEFNYVCRRSNGYFVHSTVDQFSGESTPVILPLKENQIIEGLKEVLVGMRVGGKRRALIPPSVGYINENLNPIPEEFGPRRSLLSHRNEPLIFEVQLLKVL >KGN45128 pep chromosome:ASM407v2:7:16448014:16454565:1 gene:Csa_7G428160 transcript:KGN45128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHAESSAKVIKNMGPNACQICGDHVGKTVEGEPFVACDVCTFPVCRPCYEYERKDGNQSCPQCKSRYKRHKGSPAVLGDDEAAELDDDDDDAIDLNYISESQKQKQKIAERMMSWQMSYGHAQDLPPPNYDKEVSLNHIPLLTNGQEVFGELSAASPEHHLMASPGHPRGKPIYSLPYAADINQSPNVQGVDPTKEYSSSGLGNVAWKERVDGWKMKQEKNAGPMSIAHAASERGGGDIDACTDVLVDDSLLNDEARQPLSRKVSVPSSRINPYRMVIVLRLVIICFFLHYRITNPVRNAYALWLVSVICEIWFAISWILDQFPKWLPVNRETYLDRLALRYDREGEPSQLAAVDIFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFEALSETSEFARSWVPFCKKYSIEPRAPEWYFAQKIDYLKDKVDPSFVKDRRAMKREYEEFKVRVNGLVSKAQKVPEEGWVMQDGTPWPGNNTRDHPGMIQVFLGQNGGLDTDGNELPRLVYVSREKRPGFQHHKKAGAMNALVRVSAVLTNGPFLLNLDCDHYINNSKALREAMCFMMDPNLGKYVCYVQFPQRFDGIDRNDRYANRNTVFFDINLRGLDGLQGPVYVGTGCVFNRTALYGYEPPLKPKNRKTGFLSSLCGGSRKKKAKSSKKSPDKKKSSKHIDPTVPIFNLDDIEEVVEGAGFDDEKSLLMSQMTLEQRFGQSSVFVASTLMENGGVPQSATPESLLKEAIHVISCGYEDKTDWGSEIGWIYGSVTEDILTGFKMHARGWRSIYCMPQRPAFKGSAPINLSDRLNQVLRWALGSVEILLSRHCPIWYGYGGRLKWLERFAYVNTTIYPITSIPLLMYCTLPAVCLLTNKFIIPQISNIASIWFLALFLSIFATGILEMRWSGVGIDEWWRNEQFWVIGGVSAHLFAVFQGLLKVLAGIDTNFTVTSKASDEDGDYAELYMFKWTTLLIPPTTLLIVNLVGVVAGISYAINSGYQSWGPLFGKLFFAFWVIIHLYPFLKGLMGRQNRTPTIVVVWSILLASIFSLLWVRIDPFTTTVIGPDVEECGINC >KGN44508 pep chromosome:ASM407v2:7:11115029:11115600:-1 gene:Csa_7G321560 transcript:KGN44508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKDEVLEVVVKEALDLENVPPEEVFETLRCNRNQRKEAIIRQMIAIEEMAASTISQALIFMTRS >KGN43648 pep chromosome:ASM407v2:7:3185021:3188347:1 gene:Csa_7G050790 transcript:KGN43648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSSHQSSVFQKICGHSYLTSRLSPNLHSTRLTGVFANGVLENPLKTAYHGTGLAGVSPTSPFLVQAPSEKGAAGFAVDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMLKTGRLSEPYKGITDCFARTIKDEGVIALWRGNTANVIRYFPTQALNFAFKDYFKRLFNFKKDRDGYWKWFAGNLASGGAAGASSLLFVYSLDYARTRLANDAKAAKKGGERQFNGLVDVYKKTLKSDGIAGLYRGFNISCVGIIVYRGLYFGMYDSMKPVVLVGDLQDSFLASFLLGWCITIGAGLASYPIDTVRRRMMMTSGEAVKYNSSLDAFKQIVKNEGTKSLFKGAGANILRAVAGAGVLAGYDKLQLLVLGKKYGSGGGG >KGN43276 pep chromosome:ASM407v2:7:940935:943185:-1 gene:Csa_7G016610 transcript:KGN43276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLINASSAGIVSSSSSFPISSPIRNLPLRSFQVPHASKGNDNESDSQSDSKNTRNLPILSKRHLSLSPLSKDVAMGMVLSAATGRGWTTGSGMEGPPAPAGMEAKSGTENVSTFPWSLFTKSPRRRMLVAFTCNICGQRTTRAINPHAYTDGTVFVQCCGCNAYHKLVDNLNLFHEMKCYINPSFNYGSNGWGDVNFKYLDVEEDGNDDVFPIQ >KGN44900 pep chromosome:ASM407v2:7:15070169:15078212:1 gene:Csa_7G394690 transcript:KGN44900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQRRTRRPLILNSSKTHFSSVFNSLPVAGEHNLSTDSEPPELQLQTGILRFDEDGIQNSPRKLFSFDDSAVVGVSTSVLKRLSIASGSLVLVKNLESKAERVAQAVVLDPSCTNESTSNGKQSSSGHVMLVFPSFSFPQKDQLPVDSGTAYLSPLLAFNLDFHLSCLGSLVNKGQETLASYFQARVNDLTSGEGTVPSVIEVGLKPLATLPLYASHLRVSFVKVPSCGILESLNEISFIEAENSQEVIDSALQKYFEVERYLARGDIFSVQINRNCKSPFCIRCNKSTRERSDDIIYFKVVAMEPSDEPVLRINRTHTALVLGGTVHSAVPPDLLVGLPRTLAPVQANTVKLLASILTPTLCPSPLSSRYRISVLLYGMEGCGKRTVIRYVAQRLGLHVVEFSCHDIMASSEKRAPAALAQAFNMAHRYSPTVLLLRHFDVFRNLGSNDGSPNEQLGIPTEVASVIKEFTEPVSDEEDAHYSGEGNNNLEKSKAFRHPLLLVAAAESCEGLPTSIRRCFSHELKMGPLAEEQRVEILSQCLRGTPELLPDTDVEDFIKDVATQTSGFMPRDLHALVADAGANLLARVNSQTNKDENETLESRLRSQVLTDRSSEEKPLIMKKEDFSSSMDRSKKRNASALGAPKVPNVKWEDVGGLEDVKKSIMDTVQLPLLHKDLFSSGLRKRSGVLLYGPPGTGKTLLAKAVATECSLNFLSVKGPELINMYIGESEKNVRDIFQKARSARPCVIFFDELDSLAPARGVSGDSGGVMDRVVSQMLAEIDGLNDSSQDLFIIGASNRPDLIDPALLRPGRFDKLLYVGVNSEASYRERVVKALTRKFKLHENISLLSIAKKCPPNFTGADMYALCADAWFHAAKRKVISSDSSSSIDGQDDTVIVEHDDFVEVLKELSPSLSMAELKKYEQLRDQFEGAAK >KGN43788 pep chromosome:ASM407v2:7:4124769:4127087:1 gene:Csa_7G067430 transcript:KGN43788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHMEVSILTSPSCLLLILCYSNIFWSLTNPGSVSAIISYENLTFNLTDFGPNDHDIHYEGDTYPSNNVIQLTMNQRDMPLNGSVGRATYRDPFHLWESGHRNLADFTTQFTFTIDSQHSRTYGDGFAFFIAPVESRLPPHSGGGNFGLLSSNKSDPDVVPTANFVAVEFDTYTNAWDQSENHVGVDVDNVKSLSSTSWWWSDIENGGKVKAAISYNSSYHNLTVFLVDERDSEVSPTNSSTFTFNIDLREHLPEWVTIGFSGSTGSFFEIHTISSWSFSSILQVEVNVTTTTEPASSPVNSKKGINMKWFGIIFTVALSLFLILGFVWFGVWMKRTSRRKSMRRNQEEDFENETGPRKISYKDLLAATNKFSDENVLGQGGFGKVYRGFLDNKELDVAVKRITPNNLHQGSREFASEVKTISKLRHKNLVELIGWCCCSKDQEYLIVYKFMPNKSLDFHLFQQNNLLTWDHRYKIAIGLALALHYLQEEQDPYILHRDIKSSNILLDAEFNAKLGDFGLAKLVDHGKQSITTILRGTEGYVAPEYLESSVASKESDIYSFGIVCLEIACGKQALGEAREDGKRRLIKLVEWVWDYYRRSVEEAADPKLRQNFKREEMKQLLIVGLACAQPDFRVRPSIKQVIDMLNFKSPLPNLPLEYPGLSRSAVFLSAEMESLRTSSCLQSGNLHGKSISSKNSTASSTFSNMG >KGN43753 pep chromosome:ASM407v2:7:3879500:3886910:-1 gene:Csa_7G065120 transcript:KGN43753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASISPPTPHQLFFSTLKFQHPTPFLKPYAPSPPSQYHTICHCHNPDSPSPSEPSLPWGWGSALQDLFQTTFRRFDSLVNNRNDGSKDTCPDGEALQGRGGVVGDDKKDVDDDRSWDWDRWRKHFDEVDEQERLVSFLKSRISHAVYAEDYQDAARLKVAIAALATNDTVGRAMSYLHRAIEEERYHDAAFIRDNAGAGLVGWWSGISKDKNNSRGLIIRITAEHGRYVARSYSPRQLATAADGVPLFEIFLRMNKMGEYKQQAVYLKRKGVLSDNSNGPFKGLDSPSVLNPLDPIEEKDDLIIIGGEEAEDGDIRNEDSDIAVGFPVFQNILRDMIPGVKVKVLKLSTPGKVDKDVISKVIEQIIEEEEDEEEEEEEEEDDVESEKDTDFEDLEVEDKIKDDHQEKDAGLDADDGFLENQGRNEVAIKIIVGGLVQKLSGGVSSKNVLRVPAKLDRKGRSSFSFSIENVVNEHDSLGKEIKSLDRKSKPQGQGSIDHVMLDLAKFVGKEKIPLKALKDLSELIKLSISQAQNYQPLSGSTSFNRIEIPASSDPLNGLYIGAHGIYTSEIIHLRRRFGRWQEDGGGDKEPSKLEFYEYVEAWKVIGDPYVPAGKVAFRAKVGKRYQLPHKGIIPEEFGVVARYKGQGRLAEPGFRNPRWVDGELVILDGKYIKGGPVVGFVYWAPEFHFLVFFNRLRLQE >KGN45399 pep chromosome:ASM407v2:7:17885389:17888180:-1 gene:Csa_7G447140 transcript:KGN45399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVHIKWQKECPLLSTTEKRALLSFSFKFLRMNVSDTVGLSHPIGVDESYRPLPSLYFAFSFIWLLSACSWTVNTYKNRHFQTNNLQWSLASVPLLKALQLTLSFLFWYSCFYIQTCSLWMSFGVYVTGVLFQTASVVSFLLISHGYCIMSEHLSVTERRTTASIGCVFYLTLVGYRASIPYFSVLLLLNYFISFYVIFHHIYQNILVLQEQLSFIMEEDVQVMHDAIHMKYKMFKKFQAIMQIVAMAEILIFLNMDDSVEIYWLRLLVREWAQFCIFSYIGWIFRSQDMAPRFSVMPTVKSENSRIVPPIYSIEVDAATYRGFSSHNWHIGVPTSLSRSESANSSIIVIIQHPCAYNANSQSMSNPADEADHS >KGN44333 pep chromosome:ASM407v2:7:9301598:9315298:-1 gene:Csa_7G258840 transcript:KGN44333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGYALGNCDGRTAEACVAGVKATYLLAVSFLEIIRFSSNGGILNGGSNVNASRSAFCCVFEYLKTPNLLPAVSQCLTAIVHRAFETAVLWLEDRISDTGNEAEVRDSTLFAHTCYLIKSMSQRDEHVRDIAVNLLTQLRDKFPQVMWNSSCLDSLLFSMHNDAPSTVVTDPAWVVTVRSLYQRVVREWIVKSLSYAPCTCQGLLQEKLCKANTWQRAQHTPDVISLLSEIRIGTSKNEHWTGIQTANIPAVITAAAAASGADLKLTEAFNLEVLSTGMVSATVKCNHAGEIAGMRRLYNSIGGFQTGVAGLGFGQGLQRLITGALPQQPQNEDDSFNGILIMKFVQSLQQFVSGAEKGCGLDKLKFRETCSQATALLLSNLASESKTNIEGFAQLIRLLCWCPAYISTPDAIETGVFIWTWLVSAAPELGSFVLAELVDAWLWTIDTKRGLFASDVKYSGPAAMLRPHLSPGEPEMQPEIDPVEQIIAHRIWLGFFIDRFEVVRHNSVEQLLLFGRLLQGSTKPPWNFSRHPAATGSFFTLMLLGLKFCSCQAQGNLQNFKTGLELLEDRIYRASLGWFAHEPEWYDVKHVNFAQSEAQSVSIFLHYLSSERGNSLHSDAKMRGRENGISLIDLNDHYHPVWGHLENYAVGREKRRQLLLMLCQHEADRLEVWAQPNIKESTPSRPKLTAEKWIEHARTAFSVDPRIAFSMVSRFPTNAFLRVEMNQLVQLHILDIRSIPEALPYFVTPKAVDENSELLRQLPHWAACSITQALEFLTPAYKGHPRVMAYVLRVLESYPPEKVTFFMPQLVQALRYDEGRLVEGYLLRAAKRSDIFAHILIWHLQGETSLPDSGKDVNSGKNGSFLALLPVVRQHIIDGFTPKALDLFKREFDFFDKVTSISGVLFPLPKDERRAGIRSELEKIEMEGEDLYLPTATNKLVRGIQVDSGIPLQSAAKVPIMVTFNVVDRDGDPNNIKPQACIFKVS >KGN44947 pep chromosome:ASM407v2:7:15372005:15372905:1 gene:Csa_7G398090 transcript:KGN44947 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein induced upon tuberization MARSFSNAKILSAVVSDGFSSLLSSRGYAAAAGSQGVASSAVKGGSVAAARSSNLLKKSGEEKVGTTEKVSWVPDPVTGYYRPENRSDEIDVAELRSILLKNKN >KGN43329 pep chromosome:ASM407v2:7:1281674:1284166:-1 gene:Csa_7G024030 transcript:KGN43329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNLRQKQTESIIRMLNLNQPVTLTGAANEEVYKILIYDTFCRNLLSPLIHVKDLRKHGVTLYFLIDKDRKPVHDVPAVYFLQPTKHNIDRIVADASRSMYDTFFLNFSSSVPRPLLEDLASEALKSDSIQRIAKVHDQYLEFVTLEDNLFSLAQKSSYVQLNDPSAGDREIEEIVERIVGGLFCVLATLAVVPIIRCPRGGPAEMVAMALDQRLRDHLLSKNNLFSESGGFMSSFQRPVLCIFDRNFELSVGIQHDFRYRPLVHDVLGLKLNGLSVKSEKGGMNYELNSSDPFWLANGSLEFPEVAVEIETQLNKYKKDVDEVNRKTGGAAEGEFDGADMIGNTKHLMKAVNSLPELTERKQIIDKHMNIATVLLGEIKERSLDSYAKKESEIMSRGGIDRSELLGVLRGKGTKMDKLRFAIIYLISSENINQSEIEAVEEVLRELEIDKSAFQYVKKIKSLNVPFAAANSATKGNIVNWAEKLYGQSISAMTAGVKNLLSTDRQLALTRTVEALMEGKPNPEIDSYLVLDPRAQRSSAGTSSSHLKGPFKEAIVFMIGGGNYVEYASLQELSHRQPAPKHVVYGTTEILTGEEFVEQLILLGEKMGLGNAAVSSK >KGN45188 pep chromosome:ASM407v2:7:16789119:16790910:-1 gene:Csa_7G430230 transcript:KGN45188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFESNQTNEFIFRSKLPDIHIPNHLPLHDYVFQNLSKFASRPCLINGATGDVYTYHDVQLTARRVAAGLHNLGIKKGDVVMNLLPNSPEFVFTFLGASYRGAIMTAANPFYTAVEIAKQAKAANAKLIVTMACFYDRVKDLGENGVKIVCVDFSAEGCLHFSVLSGADESLMSRVDFSSDDVVALPYSSGTTGLPKGVMLTHKGLITSVAQQVDGQNPNLYYRGDDVILCVLPLFHIYSLNSILLCGLRAGAAIMIMQKFDIVSLFQLIGKYKISIVPIVPPIFLAIAKSAEFEKYDVSSVRVLKSGGAPLGKELVEAVKAKFPAAILAQGYGMTEAGPVLTMSLAFAKEPFQVKFEACGTVVRNAEMKIVDPETGVSLPENSAGEICIRGDQIMKGYLNDLESTKRTIDKEGWLHTGDIGFIDDNNELFIIDRLKELIKFKTFQVAPAELEALLITHPKLRDAAVIGMPDVEAGEVPVAFVVEEKSGASATTTEEEVKEFIAKQVIFYKRLKRVFFVNAIPKAPSGKILRKEIRANLASGAYN >KGN44438 pep chromosome:ASM407v2:7:10207382:10211839:-1 gene:Csa_7G290605 transcript:KGN44438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTDPIQVPITLNGLYHDSGLTSSQILPFLQLFGNLKLPLSPPNGRGITAQEGAEYHQSSDFLYFLFLTMTSS >KGN44499 pep chromosome:ASM407v2:7:11049506:11051005:-1 gene:Csa_7G318990 transcript:KGN44499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSGTNEDPYRWILNGDVEKNTTWKFGAPPNYEAVNKLFEQGRTKIWPPGSLEEEVQNLVKSWEVENINKVIPEDFKIMDPNKVTFSLNGRKPITLEEKRKLGGGYNASLQTSLPAEYRLYDPKQETAETSNKLFTSTFPRGFALEIVQVYTGPPLIVYKFRHWAYMEGPYKGHAPTGELIELYGIGIFELDENKKIVKVEQFYDPAQLLGPLVKGPKLDDSAEKGKELSSCPMLQNLG >KGN44605 pep chromosome:ASM407v2:7:12338981:12352096:-1 gene:Csa_7G341250 transcript:KGN44605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVVDGGVGIGLNTSPRRAAIEKAQAELRQEYDVREERRRELEFLEKGGNPLDFKFGNNTTSVSQSTSLADQLPDQLGNSEAKGSFVLTASPHGDSVESSGIPGPPTTCEPNSADNLLLLRGANELSGGERTSRRPSSKAAVAPSEQSSQLDGSQNNKETEDSAIFKPYARRHRSKSNRDGGRSSSSDIVRSHGSNTLSLATRQETRELKGTLPETCNEKNQALSNPKSSSSNGDNILKMVTVDGRLDMELNDAHDHDTTTATTNGSPPESEFNNSASRCLKDNLHNQQSQVIAQQARTGVGSQGPDVVGEERELVPGIVEHPNSVAAIKVESESTSASVHGCNELTKDSKLPNGDQNGNVVLGKKQLDSVSSSNKNRLGLDVNMDIDMCSNSRKVDLKRNSIEKLSSSDQTSYQIGTEGMLKKEVVASDSTPVTHDGHIVSHLNISSNGSVPRDGRDSHTSRPNLHNEVNIVSDAKEVEQRGKNELRTDEKKNTVSGEDSKECKENLYSEHPEVPLDLSKNEIREHTMPGRNSSALSDGRELKQADKAYEDSILEEARIIEAKHKRIAELSVHTQPLENRGKSHWDFVLEEMAWLANDFMQERLWKTTAASQLCHHAAFAARLRNEKLKNCGQIREVSHSLAKTVMQFWHSVEEPSKEVELQRPEIRISTSLKEYAGRFLKCNSSPCPQHAEAPKTPDRKADSWHLETPSEEKLKEVSLFYTIPIGAMDTYRRSIEALLLQCEKIGSCLQEEVETSFYDTLADNAYDEEGEACMYFESSKSSKFVQKKRKHSTKSYTGRQFEMGGDLPYGRGGANGTQQSMLIGKRPTSLNVGPIPTKRMRTTASRQRVVSPFSGGAAMVLHGQAKTDASSGDTNSFQDDQSTLRGGSQLQKSLEVESVGDVQYDSAETSVKYKKKKKAKHLGSMYDHRWQLDSTVFSEQRDNSKKRLDNHHYESNATSGLHGLHNAKKPKLMKQSLDNTLDNINPVSGSIPSPVASQVSNMSNTNRIIRLIGGRDRSRKPKAVKMSDAQSGSGSPWSLFEDQALVVLVHDLGPNWELVSDAINSTLQFKCIYRKPKECKERHKFVMDKNSGDGADSGEDSGSSQPYPSTLPGIPKGSARQLFQRLQEPMEEDTLKSHFEKIFKIGQKQHYRRSQEPKQIVQPHGSHAIALSQVFPNNLNGVILTPLDLCDEVTSSPDVLPVGYQSPHASGLSISNQGSVGSVLPNPGVKASLPLSSAMVQGTSLAAASGSLNNTRDGRYSVPRTSLPVDEQKRTQQYNQMPSGKNTHQSHLSVPLTHPGNERGVRMLPGANGLGMMCTMTRCMPVSRPGFQGMASSPVLNSGSSSSMVGMSVPANIHTVAGSGQGNSVLKPREALHVMRPVQNTENQRQMMVPELQMPVTGNNRPLNGSSSAFPNQTTPPSIPPYPGHLQSQHQMSPQQSHAHSSPHHPHLQSPNHSIGPQQQYAMRLATERKLHQQRFLQQQQLQQKQQQFSTSSSLTPHVPPQPQLPMTSLNNTPQAHLQTSSPQVSLPPLTSSSPMTPTSSQHQVKHHLPPHGLSRNPGTSGLNNQVVKQRQQSARHHPQQRQQVQSQQQTKIMKGVGRGSMLVHQNITVDSNILNGLNVPSGDQPSEKGEQIMQLMQGQGSYYGSGVNTVQHSKPLVPQSSNHSQIQKNLVCTSGPPLSKSILQMPAHSEKSSQGQVPPVSSCHTSSTSQQDSPASIKASNHPPSQPPQKQVNQTQTSFERSLQQSSQGISDPRMKAQTDLAQADQQPHKQASQVGTDKAMPQTSATSTDTTPTTSVSSQWKPSEPVYDSDVLKSKSQLGLIGSSPLTNFPGGDPLPNNLGLGPRQSSRALPSHGHNAGLQWPQQVPLQQSPNRFIPSQQQEKQQDPSLPQHHQSLQQQAQHQSQHKQAEQGSLYLKSENANME >KGN44904 pep chromosome:ASM407v2:7:15118039:15118560:-1 gene:Csa_7G395220 transcript:KGN44904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLLLQDTGTATATLVTSATALLQKAAQIGATTSSVGVLKSPLMEMAHSDQPVDMVGMNNMGMYGHMMMMKEQDHNCSNNNSSSFVVPEKGQWRRISRFSGGGSGSGEENHQMMTLDLLGERGWRLRHLQGDHESSHHYQGQQEMVPIMKHFDQMQNFHGDSSNVDKSIFDF >KGN45485 pep chromosome:ASM407v2:7:18519588:18525463:-1 gene:Csa_7G449450 transcript:KGN45485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDLEKAIVIMFDETSNVDSNLKLKANEYCDKAKDESAICRVCVEKLCFSNIVQVQFWCLQTLHETIRIRYSWMSLDEKYFIRKSVFSIVCLEGIDENHALRILRGPAFIKNKLAQVLVSLIYLDYPMNWPSVFVDFLSHLRKGPVVIDMFCRVLNTLDDESISMDYPRTPEEVTAAGRIKDAMRAQCVSSLVGAWYDILSMYKNSDQELCASVLDAMRRYISWIDIGLIVNDVILPLLFELTLVDGLLEQLRGAAAGCLLAVVSKRMDHQAKLTLLQSLQISRVFGLVATEDSDSELVSKVASLLTGYAVEVLECFKRLNSEESKSTSLELLNEVLPSVFYVLQKCELDSAFSIVQFLSGYVATMKSLSPLTEKQLLHLSQILEVILAQICYDPVYRHNLDILDKIGQEEEDRMVEFRKDLLVLLRSVGRVAPDVTQLFIRNSMVSAASSSSDRNVEEVEASLTLFFAYGESISDEVMKNGSGLVGELVTMLLSTRFSCHSNRLVALIYLETIFRYIKVVQENSQFIHVVLAAFLDERGIHHPNINVSRRASYLFMRVVKLLKVKLVPYIETILTSLQDTVARFTSSNFASNELSGSEDGSHIFEAIGLLIGMEDVPLEKQSDYLSSLLKPLCQQVEVVLINAKALTPEEATAKIATIQQIIVAINALSKGFNERLVTTSRPAIGLMFKQTLDVLLQVLVAFPKVEPLRTKVLSFIHRMVETLGTSVFPYLPKALEQLLAESEPKELVGFLVLLNQLICKFSTSVHGILEDVFPTIVSRIFNIIPRDSLPSGPGTNIEEIRELQELQRIVYTFLHVITTHDLSSVFLSPKSRSYLEPIMQLLLNTSCNHKDILVRKACVQIFIKLIKDWCARPSGEEKVPGFQSFIIEGFATNCCLYSVLDKSFELHDANSLILLGEIVAAQKVMYEKFGQDFLFHFVSKGFLTAHCPQDLAEQYCQKLQGSDIKALKSFYQSLIESLRVQQNGSLVFR >KGN43830 pep chromosome:ASM407v2:7:4352528:4353019:1 gene:Csa_7G070252 transcript:KGN43830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFVKLMSVFQLFLLAVVVLVLKRCEAQNSAQDYINGHNSARSTVGVGNIVWNTTLAAYAQTYANSRKSDCQLIHSNGPYGENIAKGNNGFSGAAAVKLWVDEKPYYSYSKNACDGGECLHYTQVVWETSYRVGCARVQCNNGWWFISCNYDPPGNWDEERPY >KGN44987 pep chromosome:ASM407v2:7:15555523:15558034:1 gene:Csa_7G405930 transcript:KGN44987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDPGFCGVNCSCDYLVQYPDHQPLHLVPHHVGCSSHPVVTSSSNPVVGSSSNHIVGSSSNPVVGSSSNHIVDSSSNPVVGSSSNHVVGSSSNPVVDSSSSGIDVNGRRVGRKGSSKPKASKKKNGYHHVQGVRRQRLIWTTELHQCFVEIFNRTPCTQLYPRIILEQMSEKYPFVTRENIASHLQKHKTNLIKMKDKENSAKASSKSVPLKIPKESNSQSIHPKTSNSNSTIPNSQLILQSHPNPTNPISSQVLLQSHPNATNPISSQVLLQSHPNSTNPSFGGKTWFQNSQGCGFKQTRKQFEHDQFEHDQFEHDQFEHDQFEHDQFEHDQFEHDQFEHDHFGGKTWFQNSQGCGFKQTRKQFEHDQFEHDQFGLEQFGLEEFELELFELQKFYDSLKRSRNHQVHYQQQQHSFNNNACLSQESPNWALPIGQSSMAPTNDLAVSTSLCPPPISANQISGNDSNVIGFHPIMDFNSFGGWNNTNNDPSLGTDLPNFGFGDYNYENSEPLGFGYPLNLNTINGFQVRGLMWVARLCNLGVDECQLDSEQGLQSMYCPSINPTENDCTVQQCSDSYNHPFVPHTFANELFFRMERDKGAKPP >KGN44239 pep chromosome:ASM407v2:7:8278733:8279131:1 gene:Csa_7G234115 transcript:KGN44239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEIEIVKCECCGLKEECTKDYISEVKANFDAKWLCGLCSQAVGDEILFRPKNNHHSPPQPPPSSTGGIQDAVNAHMLFCRKFKSNPAVRVADGMKQILRRRSSDLSSSQSSSSSSSNSTSSSHMSSFSSLR >KGN43152 pep chromosome:ASM407v2:7:139546:142069:1 gene:Csa_7G004050 transcript:KGN43152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVCCVAARDKTVGVGSGSETQHRNVRHSPSWSFRWDHPGRVVGEEVSLNSISDGVSRNDRPEFKYESSYASEEGSPLEHYRRQTWKNSSVSEGSTTNVRTPTSGRSISRNVSTDVSLEQVKKATECATASTSPAKVSLSIPSTSSLSTSPLSTHSHIPSTGLTSSRLSHCSPGHRLLRQVSGNRIPAYKSPSSYTVSEDRRAIPGSIDSLRGSHGGSSDGWSMNAFSELMATSHRGRWSFGSESFDFAREKMVRSCSLFSPSPSADSQACGICSMLLVERSLWTSQKIIANNELSVVAVLTCGHVYHAECLESMTPEISKYDPACPICSFGEKQTLRMSEKALRGELESKIRNKRLRNRIADSGLDSESAMLDHFINTGQQGKCPKLSSSSSLRSSSGRGFLRRHFSFGSKGGTKALPESNNTAKRKGFLWSRSTKM >KGN43818 pep chromosome:ASM407v2:7:4278044:4291403:-1 gene:Csa_7G069440 transcript:KGN43818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKHHQCLWWFLLLFSIGIMIPYLEGVVINKKNDHQVIKTKTYMTPFFTLKPGHVVERFFYNTNFPEGHIAIKSFDVEVVDEEDNPIPLFETYLHHWGITRYYQHKDSKDPNINTSFTQLQEPNFIIAGNSGVCQKHALPHFFGTGAESRKTSSFLPHPYGIEVGNEKEVPLGYEEKWVLNIHAIDTRGVEDRIGCIECKRHLYNVTKDGLGMALEDDYIGGLRCCYDQTQCKVKKGYENKLGDDQQRNLYVRYTVKWVDWDDDLVIPLKVYIFDITDTWKPLMDSTGAPQQHNCLVEYNVGGTCSTNKVGDECNATKMVRLLSPSSGYIIYGMAHLHVGGLGSVLYGQDGRELCSSSPIYGNGSEIGNEKGYVVGMSTCYPKPGSVKLNNKEMLTLISKYHPSQTHIGVMGLFHIMVAQKLPNSIIQMEPLKQLANDRKKKITM >KGN44050 pep chromosome:ASM407v2:7:6118562:6119493:1 gene:Csa_7G140940 transcript:KGN44050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWAKSRELPMQFLISKEPTHLAESRLALLLSTGDCYVASAKVESSPRSSESKEPKASYPKRLGSLTLYRILARSDLALLLFTGDYGFGRELRVPYENFDNNGTRCILARSGLALLISRGEFIVASVESREFNTQDLTLKEPNTFLLEVAWSPTHSGLKRLGSLTFYLRFLCCFGQESRVLHTVSHIERIQCILAQSDLALLLSKGDYGFRRELRVPHDGSHINAN >KGN44469 pep chromosome:ASM407v2:7:10488745:10491382:-1 gene:Csa_7G299340 transcript:KGN44469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEESICKRIASMSRSAKKSELVRNVEEKRKQQESLIPYVHEDCVSNILIRLPLDSLHRSMFVCKHWFNIICSPTFVETHFHRSESVLIFTAPTRYEETSHHLTPSLPHSGKSNTLSIEAKYMQSSESLSLFHNLEPTSKRFIQFLEFQDGISNVGEYSLSCFGQIRATCNGLILLDNKLKIGGLIVINPVTRKLTALPPGTLNSSHNESYGFAYDNISGRYKVVHLFRDALMYISCEIFILGTENWRAVDGPPFGLFGWFGYKPVEAIGALHWIPQVNHSDCIASLEIENEKFQTIPLPNSCNRYDGIVEIGSSLSYVTHMETHTDIWILKGLSGEIWIKQHSINIGCRMDMVPLLSFRIRGDLIFKSKDGFFYIYDFELRSITKVEDKKRLRVSSDFLFPHVNSMVSWSSS >KGN44382 pep chromosome:ASM407v2:7:9762288:9766011:-1 gene:Csa_7G276680 transcript:KGN44382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHYFGSFKYPLLEETRTQLCSSMNPISKSPCVEVISIKEIKPYGKGLFNIHIRDCKDCPTILMPGNIFILSNVKSYVVSDLERDNNNNAKSWTFATKFWAKGNNLEEEFLSDNDPTRFSVKTWNKDFEIPMDEKTKNKPMFLVILVNVLSNIRIWNALHMIKRTSKHSSASSSMIFNQVLGLKDSCNNLDFSCDACEAEVGMSFSHNDDLFSTLNEPQARAVQRCLEKASCAHKSSIELIWGPPGTGKTKTVAVLLLQFRKNNHRVLTCAPTNTAIMQVASRLLSLVKEMHEKEYGSGELFCNLSDILLIGNETRLKLEECDKYIHLDYRVERLGKCFSQFSGWSHCFASMVDFLQGRCVFDYDEDQKGPKRFKNFIEFVRTQYKTLAYPLKECISILCTHIPKTILLHNFERLGCLMSLMDSLEASLFSNWVVSKKLFSTKLEEKEEVMKNNDEYKKLLKEINDCVLVLNSLKHSLSRLKLPQTSCKRDVEDFCFENASLFFCTVSSSFKLYSRRTMAPLETLVIDEAAQLKECEAAIPLQFPSIKHAILIGDECQLPAMVESKIFTYVNLLLEPHKLLEQEISRRASCVDRHSKEKISVGVVSPYLAQVEAIKENIGRDYSNCSSFSVKVSSVDGFQGGEKDIIIISTVRSNRSSSIGFLSSNQRTNVALTRAR >KGN45510 pep chromosome:ASM407v2:7:18671278:18672594:1 gene:Csa_7G450670 transcript:KGN45510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDKTTRFLAATPLRPPRQPRIAFDRVLLQPLKPPPVPTENKSPPSSIAVGL >KGN44125 pep chromosome:ASM407v2:7:7120289:7123701:-1 gene:Csa_7G197810 transcript:KGN44125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTILRNPFLPPSFFFTIPFILCLNSLLFSSSYSIDDQGRVLLEWKNNLTSPTDVLGSWNPDAATPCSWFGVMCNSNGHVVEIILTSLELLGTLPTNFQALKFLSTLVISDTNITGSIPKEFGDYLELNVLDLSRNCLEGIIPEELCRLSKLQDLILHNNEFENIPTTIGNLTSLVNFQITDNSINGEIPKSIGMLKNLMVFKAGGNLYLEGLLPDEIGNCSSLTMLGLSDTGIYGALPPTIGNLQKIQTIHMYRSKLFESLPEEITNCSELQTLRLYQNGISGKIPRGIGKMKKLRILLLWLNLMDGDIPEGIGNCDELVLLDFSENSLTGPIPKSLGRLKNLADIQLSVNQLTGTIPPEIFNITTLVHVEIDNNRLWGEIPTNVGNLKNLRTFLLWGNNLTGTIPASLSDCSNIILLDLSLNHLIGPIPTGIFAMKELSKLLLLSNNLSGTIPPEIGNCTTLTRLRLSMNKLGGTIPSEMGNLKNLEHLDLGENLLVGGIPSTFSTLEKLESLDLRTNKLTSLPNILPKNLVLLNVSNNMIKGQLKPNIGELLELTKLDLKNNQFYGKIPEEITYCEKIQYLDLSSNFFSGEVPKQLGTFASLEIALNLSYNQFSGQIPNELSGLTKLSVLDLSHNNFSGKLGFLSELENLVTLNISYNHFSGKLPNTPFFQKLPESSVFGNKDLIIVSNGGPNLKDNGRFSSISREAMHIAMPILISISAVLFFLGFYMLIRTHMAHFILFTEGNKWEITLFQKLDFSIDHIIRNLTASNVIGTGSSGAVYKITTPNGETMAVKKMWSAEETGAFSTEIEILGSIRHKNIIRLLGWGSNRNLKILFYDYLPNGNLGSLIHVSEKERAEWEVRYEVLLGVAHALAYLHHDCIPPILHGDVKTMNILLGLDFEPYLADFGIAEIVSTKSGNDSAETPLTRPQLAGSFGYMAPEKGSMMRVTEKSDVYSFGVVIMEVLTGRHPLDPTLPGGVNLVQWVQNHFAADKNRADIFDLKLRGRTDPTINEMIQTLAVALVCASVKADDRPSMKDVVVMLEEIRHSELGRGATESDEAKPGVAVVVEGCLNP >KGN45157 pep chromosome:ASM407v2:7:16587178:16588950:-1 gene:Csa_7G428940 transcript:KGN45157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKTPRVTRNPDLIRGVGKYSRSKMYHKRGLWAIKAKNGGVFPRHDAQPKAAAPAEKPPKFYPADDVKKPLVNKRKAKLTKLRASITPGTVLIILAGRFKGKRVVFLKQLPSGLLLVTGPFKINGVPLRRVNQSYVIATSTKVDISGVNSEKFDDKYFSKEAQKKKKKGEGEFFEAEKEEKSALPAEKKDDQKAVDTPLIKSIEAVPELKAYLGARFSLKAGMKPHELVF >KGN43570 pep chromosome:ASM407v2:7:2714959:2716155:1 gene:Csa_7G045590 transcript:KGN43570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKCCCCCDETTVKKGVWTPEEDQKLIDYVNKYGHWNWRRLPKYAGLLRCGKSCRLRWMNYLRPNIRRGGFSLEEEETIIQMYAQIGGRWSTMAGVMPGRTDNDIKNHWNTVLKRRVMKQQIENKKMLIKLANKQPLPPATAAETNPIDHDVFSREIERSNNNSTLIMDPTAVEISEQIESIVESPTAGHYMDMELVDERLPESCTGDFWTDPLWMDNSFEMAFDNYQHQGLGESINLLNLGLDQYLLQEGIYL >KGN45324 pep chromosome:ASM407v2:7:17492853:17493302:-1 gene:Csa_7G435490 transcript:KGN45324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSIPNMSDVFKTHDPLNIPTNKSLDSDSDSIPVIDLSLPNAPALMNNAFKTCGAFQVLNHGVPLSLLKSMESFINDLFDLPTSQKLKVVRSPESISGFGLVPLSKIYPKRPWGEGFTIIGNPVDHLQKLWPQDCKKYWYYYYYHGQIL >KGN45373 pep chromosome:ASM407v2:7:17764008:17770042:-1 gene:Csa_7G446880 transcript:KGN45373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERIHQWEPEFPAFPYKPYSIQFDFMKALYEYLNKGGISMLESPTGTGKTLSIICGTLQWLADQRKKQNGEIQDGPDKTSPNEIQFNSDDEPDWMRKFVVSQDHRNQEKKNKIKEFGMGLGRHKKEGSKDNHQNSFSQEEEDHFVTREKKNMHTPNDSLEMDDQEFLVEDYESDDEVALSSGKSKRKVSGVSNSSSSDDEEEQEESNKEKLKVYFCSRTHSQLSQFIRELRKTVFASELNVICLGSRKIFCINEEVLKLGSASHINEQCLELQKKKTTETSKAKKLAGAGKMSRTKASSGCPMLRNPKLQKNFRSQISQREALDIEDLIHLGRKVGTCPYYGSRSLVQGADLIVLPYQSLLSKSSRESLGLVLKNSIVIIDEAHNLADSLISMHDSKVSYSQLENVHHHMERYFERFCSLLGPGNRRYIQTLIIVTRALLKLLHIEEASYVEPCQNDSTGKNGALDYSMAINDFLFSLNIDNINFVKLLQYIKESNIMHKVSGYGERTIKPRNDLGIKPSGECYEKESTLSSFRALADMLLSLINFDGDGKMIISKNRPTGLGERGGCIKFVKLRGDKIFSEVVDQAHAVVLAGGTLQPIEETRERLFPWLPPSQLNFFSCSHIVPPESILPMAVSSGPSGQLFDFSYNRRSSSAIVKELGLLLCNIVTVVPEGIVVFFSSFDYEEQVYGLWKTSGILDRIMKKKRIFREPRKNTDVESVLKEYKENIDALSKKDPKQNILSTSGAVLFAVVGGKISEGINLSDGMGRCIVMVGLPYPSPSDIELMERVKHIENLGNSNSIKSSKFYNDVPSGDVETGLEILRSCKRGKEYYENLCMKAVNQSIGRAIRHINDYAAILLVDVRYTSNSSKRSFSHPADKLPKWIKDCLIASTENYGEVHRRLNQFFKVNRKMGR >KGN43653 pep chromosome:ASM407v2:7:3205009:3207393:-1 gene:Csa_7G051330 transcript:KGN43653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVKEKTEERKWKTTKVNPKDHIYIPNFPMELSSYEYDAYFDEYATKTATKPFSQAIPLWEIHVFNYPTTHATCSIIFKVHHSIADGFCLMNTLLSCLKRADDPSLPLTFPSRQRSKQPGNEPKFFRLSHFPARFFSSISNFVLNFGWSIMKNTFVEDDPTPIKPQKDSMQLVKPIAISTMTFSLDQIKQIKNKLNASVNDVLTGIIFLGIRLYMQEHNPESSGANSSALILLNTRKAKAYKSVKEMVKKDSDAPWGNKIAFLPIPIPKLIDSPVVSSTPLEFVEKVKEKIMLQRSPLSVFLAAKVFEILKNVTGPEIGAKLFKRKLKNSSIMISNMIGPVEKMALVNLPVKGLYFTVPGMPQSLMITIVSYMGDLRIVFGGEKCFINQQKLKVCIEDAFQRILAKAVIKQKL >KGN45073 pep chromosome:ASM407v2:7:16087943:16090339:1 gene:Csa_7G420420 transcript:KGN45073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLDVPLRPKRKKVWVDYFVQFRWILVIFIVLPISFSLYFFTYLGDVRSAWKSYKKRQKEHDENVQKVVKRLKQRNPAKDGLICTARKPWIAVGMRNVDYKRARHFEVDLSAFRNILDIDKDRMIAKVEPLVNMGQISRATVPLNLALAVVPELDDLTVGGLINGYGIEGSSHLYGLFSDTVVAYEIVLADGRVVRATKDNEFSDLFYAIPWSQGTIGLLVAAEIKLIHVKEYMKVTYKPFRGTLREIGQAYMDSLAPRDGDQDNPEKVPDFVETMIYTPSEAVVMSGRYASKEEAKKKGSVINQIGWWFKPWFYQHAFTALKKGEFVEYIPTRDYYHRHTRSLYWEGKLILPFADQWWFRLLLGWMMPPKVSLLKATQGEAIRNYYHEMHIIQDMLVPLYKVCDALEWAHREFEVYPVWLCPHRIFKLPVKTMIFPEPGFEFQNRQGDTKYAQMYTDVGLYYAPGPVLRGEAFDGAEAVRRMENWLLENHGFQALYAVSELNEKDFWKMYDAGLYEECRKKYGAVGTFMTVYYKTKKGRKSEKEVREAEQAHLETTYAEMEQPN >KGN43991 pep chromosome:ASM407v2:7:5353484:5353745:1 gene:Csa_7G081690 transcript:KGN43991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPYARHRCRPFDRTGGSDIGYRLVSGTHIALLRCLKRSILSGHVSHNQQPLFV >KGN44599 pep chromosome:ASM407v2:7:12290666:12297111:-1 gene:Csa_7G340200 transcript:KGN44599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFLRLISLLCLPILFAVSVNGSVSEGTEEDFSEDLLLKPLPDRKVLAHFHFQSRAPSSRSNSYGRHHHLFPKAISQLVHKYRIKEMELSFTQGRWRYDHWGGFDLISSSNAKPSGVELWAVFDVLPNEVDATWKNLTHSLSGLFCASINFLESSTSYSAPKWSFHPESENMRYGTLPREAVCTENLTPWLKLLPCRDKSGLSVLMDRPSIYKGYYHSQRLHLLSSEFDSNAVDSAIVLDQTLTVVLQPHNHRGTLGYSTATQLQPSWSLSTIFGRRVIGKCSLARSSNVYIQLDRGLMAELQGMLGEQEMFSIARAGFEGSRSNPAFELSANPDRVHMEMSSRYDKHASVLYMFMVAEKYDDSEPLDLRFTWKIPVAWSIPQAPLHVTRFLLGSGNERGAIALQLKSTKPSDRLMPDTVFADNCSLLVRVFQVVPWYIKVYYHTLHIFINDQPHKINNVIEKMQVSPSKDKVSPGVMEMLLKLPCGLKSAALTIEFDKGFLHIDEYPPDANQGLDIPSAVISFPDFSTSTQFVENNSSKSPILLKWQGQNPILSYTEVLLVPFTTPDFSMPYNVITITCTVLALYFGSLLNVLRRRVGEEERFMKSKATRKLRVLHLVSKLLAKLRGRQWEPSESQSPSSVSNSKSKLLFKVILVAGLAAAWQYYFG >KGN45278 pep chromosome:ASM407v2:7:17295409:17299909:-1 gene:Csa_7G432600 transcript:KGN45278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGTSLHGTLHATIYEIDRLHTGGSSNVFSMLRQNFEEAVGIGKGQTKLYATIDLEKARVGRTRILESDPSNPKWNESFHIYCAHKASNVIFTVKDDNPIGATLIGRAYVPVEDIVDGEEVDKWVPILDENQNPIEEESKIHVKLQYFSVTKDRNWGRGIRSRKFPGVPYTYYSQRQGCKVSLYQDAHVPDNFIPKIPLAGGKNYAPARCWEDIFDAIKNAKHMIYITGWSVYTEIALVRDSRRPKPGGDTMLGELLKNKASEGVRVLMLVWDDRTSVGLLKKDGLMATHDEETERYFQDTDVHCVLCPRNPDDGGSIVQDLQISTMFTHHQKIVVVDSPMPNGDSDKRRIVSFVGGIDLCDGRYDTPFHSLFRTLDTAHHDDFHQPNFTGASITKGGPREPWHDIHSRLEGPIAWDVLFNFEQRWKKQGGKDVLLQLRDLDEIIVPPSPVMYPDDHDTWNVQLFRSIDGGAAFGFPETPEEAARAGLVSGKDNIIDRSIQDAYINAIRRAKNFIYIENQYFLGSCFGWSPDNIKPEDIGALHCIPRELSLKIVSKIKAGERFTVYVVVPMWPEGLPESGSVQAILDWQKRTLEMMYKDVIEALRDQGIEEDPRNYLTFFCLGNREVKRSGEYEPSEAPEEDSDYLRAQQARRFMIYVHTKMMIVDDEYIIVGSANINQRSMDGARDSEIAMGAYQPYHLSAHEPARGQVHGFRMALWYEHLGMLDETFLRPESEECVAKVNRIADKYWDMYSSESLERDLPGHLLRYPIGITSEGEVTELPGFECFPDTKARILGTKSDYLPPILTT >KGN45525 pep chromosome:ASM407v2:7:18748025:18754212:-1 gene:Csa_7G451310 transcript:KGN45525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRLASKLASSTSRKLVCSRVTSSRSYAAKDINFGDGARAAMLQGVSEVAEAVKVTMGPKGRNVIIDTSFGSPKVTKDGVTVAKSIQFKDKAKNVGADLVKQVASATNTAAGDGTTCATVLTQAILTEGCKSIAAGVNVMDLRIGIKKAVDAVISELKSRALMISTPEEITQVATISANGEREIGELIARAMEKVGREGVITVSDGNTLEDELEVVEGMKLGRGFISPYFINDQKSQKCELENPFILIHEKKISDMNLLLRALELAVTNKRALLVVAEDVESDALAMLILNKHRAGLKVCAIKAPGFGENRRASLDDLAILTGGEVITNERGLTLNKVQVEMLGTAKKVTVSLDDTIILHGGGDKKLIEERCEQLRTSIDKSTAMFDKEKAQERLSKLSGGVAVFKVGGVSEAEVGERKDRVTDALNATRAAVEEGIVPGGGVALLHATKVLDELQAQNEDQKRGIEIVQHALRAPTSAIVSNAGYDGALVVGKLLEQDDRNFGFDAAQGEYVDMVKAGIVDPLKVVRTALVDASSVSLLLTTAEAAIVEHPNNTNKLPSRMPAMNDMGF >KGN43865 pep chromosome:ASM407v2:7:4624735:4626752:-1 gene:Csa_7G071540 transcript:KGN43865 gene_biotype:protein_coding transcript_biotype:protein_coding description:Brg-1 associated factor MAFLSTFIAVKPSLLVVKPSPTTSSSNLKHLNQLPLPDLRFPRTVTFALASKQATAPSREPRGITKPRKISPELQALVGAPEISRTQALKVIWAYIKENNLQKPSNKKVIACDEKLKKIFGGKDEVGFLEIAGLISPHFL >KGN45233 pep chromosome:ASM407v2:7:17104004:17106288:-1 gene:Csa_7G432140 transcript:KGN45233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLPSFFRCSSPPHPDFTLFRAPINGGRIRACLSVDLQGPSSSKLQKGELGRSSEEVIDSERKLFVGTYARAPVVLSSGKGCKLYDLDGREYLDMAAGIAVNSLGHGDPDWLQAVIEQANTVSHVSNLFHSIPQVELAKRLVAKSFADRVFFTNSGTEANEAAIKFARKFQRFSHTESNELPPVEFIAFSNCFHGRTMGALALTSKEHYRTPFEPVMPGVSFLPYGDIEAATKLILTGKIAAVFVEPIQGEGGIFSATKEFLLSLRNACNEAGTLLVFDEIQCGLGRNGHLWAHEAYGVYPDIMTLAKPLAGGLPIGAVLVTERVAAAINYGDHGSTFAGSPLVCNAAVAVVDKISDPAFLLSVSRKGEYMKNLLNQKLGGNSHVKEVRGQGLIIGIELDVPAGPLVEACRNSGLLILTAGQGNVVRLVPPLIITEQELEFAANIMLECISVLG >KGN45454 pep chromosome:ASM407v2:7:18290482:18297547:1 gene:Csa_7G448650 transcript:KGN45454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNMFDVQKFWLYQTFARYYVVGRGRKRTLWKVFSIDRMEPSDLNIFEDQSTYTAEECSDLLKRIHQGNRSMGGLKLIAIGYGIVGFIQFLEPYYMLIITERKKIGTMLGAKVYGVAKSMMVIIPNPIVRSKKAYCNTEKRYQKLLTSVDLTKDFFFSYSYNVMRSLQDNLNRNKTDQSIYKSMFVWNEYLTRGIRKQLKNNIWTVALVYGFFKQIKLSVSDRDFDFTLIARRSRHYAGTRFLRRGVNEKGKVANDVETEQVVSESTFQGQTLQISSIVQNRGSIPVFWSQETSLLKIFNPVVILPKQEDYKATKLHFKNLADRYGNPIIVLDLTKTREKKPRETLLHAEYVNAIGCINAERSEENRLKFLQWDLKQHSRRDATTVLSKLQRLTKLALDLNGIFHCQVSRSSPLEGSARPYLEGVNISENNNSGSDDGSGKCNIKIKSVQNGVLRTNCFDCLDRTNIAQYAYGIAALGPQLQTFGYLESPDIDLDDTLARHVMDIYEIMGDKLALQYGGSPAHNKIFSERRGQWKPAIEYVDIMKSVQRFVNNVYMDEEKQNGIDLFLGNPPPQKRTLLLNPEKHSKWRSIMKRSLSDSNIILGSEIPTAQQDSELLHEESQNKGLVELLPEVSTYCRYISPEFPEVVSSSESIASKDEDLEMRTTSRFLTADWYSESLYSCEDLYNKIMNFSEENGEDSSYPMFDFGRWITRGGTFYI >KGN43665 pep chromosome:ASM407v2:7:3302327:3303550:1 gene:Csa_7G051450 transcript:KGN43665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGLLYLGGKQEEDEEESLRSGREQQLFLYSTNNNNNNEEIYSKGLEIWPQQNVENYISFGVVGPTRKNLIINASDHEFVSRLGFSMMRGGSGGGGGMNCQDCGNQAKKDCSHLRCRTCCKSRGFQCQTHVKSTWVPAAKRRERQQQRHQIFQNHRRQQSQANQSDQTTLKRLGENQPLLATPTVTSGLQVAHFPAEFNSPANFRCVKVSAIDNVEEQLAYQTSVNVGGHMFKGILYDHGPESSQNMSIDIGGESSYCHRGEDDSQLLDLVIGASNGSGRVSQSSSSAPFVESSLYPIPINHTYNNAGTQFFPSTRT >KGN44812 pep chromosome:ASM407v2:7:14449144:14454708:-1 gene:Csa_7G388450 transcript:KGN44812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMEKNREARSSSSIVAANGSSRRRSRATAFRDLPEEGQVELQETVRLRDRGGKRDRDREFVSRSKRRREGGNREEEMEEEEGGDTSAEDIVADGDIDEVEDGGGGVSRILSSTTTASSVSNQHQNQKRTSLPPRVVKQQWKVADDAMIGVPVPRKARSASVKRSHDCTVSGNSGVGGAGEDIADDHSHRNQTDSPARSSAEVVSPSTSIISAKKKMKPTGPKTRSMKTSNVSSASAKEGDIEIEIAEVLFGLKKQPHCSKKQEVITKQSSKQETENSSVLRDGSKSSVTSTMANSAQTAFNKSVSLQKNDVISDLSLNVAGEKQKVDSSTLDFAGKGESEKPAEIAIYPSKLEGASEESKPAKEIFTGGDENKGSKKTGLAQEDITSCLKGDVDPEDSPPNNSIPEAVTQKEEKFKFDLMAPPTSPERDGLADMVLDTKPLSLGIEMGKETSNKVENEVEGFKEKEKVINEDKMVTSGTKFEFFKLDLEKPQLDSNNITMKEQSQKQQPKGAASTVEKNEPSTSVRLPIILGGWPTTEIPSVGYLPPFRTVLPVDSIDKSSTKLQNLNFILSHPRPKRCLTHYDIARNIYLHQQFTKTNYFHPAGDASASLVEAKLKNISSKEGMLLSNQLSGNHLDMNLNSVQQREQGEGDLPGNVVNDKSSEAANFADIAKSKQLVFHQKQGVPLGNSMPSSGFIFPIGQHQAPIAQATANQSGSAKSSNNQSTSLFSNPEAGTLVSFPAFPAVSTNMSYSHPNVVSVEAPYLAKLQNNGYPFTFSTPAGTSATYRTNNAQPLPLFNGSFYPSQLFHPSQIQPAQTQSHHQPSGSHKQPQTQPQQWSVHVPGNNVLPSNGMQLKQSTEQHLPLSNHSRKHENETSGEDTTSLSDKRAAPVQKNAYGQNYILPVQALGFTLMPSTTLNNGNSGNYGEKKQSHTQNLKNTVALVPSQGLTMSFASYNGNGTPSNLNFTPISQNTTVYQNLPDIQRTGFQVAPVPQATKQKNHQTSEGRNGVVLSGASDRNTGNSSTKPSTATSGQTLVFGNPSRTLNFMTSSVPVNWPSPSNKSAATTNRPAGSSSGNQQQQPLLQLPQQQHILQQQQAAMGPRMAPRTKVPTNNTLPSSATTKFPCNPPPGFSQPLIQCDNSIQSPAQKNSGRMTASVVPMTSLHLSSSSTPVHKSSIQQKGSSTPQGQTQISFGGGDFKPAYTPMQHIPTSGHSPSSSGKLRNTTSKTNPSVTPTQQKQDESSSTGAGQKSSPVCGRNVPSILNTCPSQLSELKY >KGN45108 pep chromosome:ASM407v2:7:16318375:16319195:1 gene:Csa_7G426490 transcript:KGN45108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLVEILDAGARIVARFHSHCPQTGRMYYHPPANSDDGHHSEEFRLGGGVGDGDAASHQIVPCVAEPTAAAAAAVLVVTVESNEFILYSV >KGN43539 pep chromosome:ASM407v2:7:2560928:2568163:1 gene:Csa_7G044790 transcript:KGN43539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVGRKLFKTKLCVLYQKGYCSRPSCSFAHGNAELRRFAAPSIGRTEYRGNDLRHKLDSRHSPLQERDSRGRHVPREYSSSWSLERHSDRKRRKKEHGDASRDYSGNLRILDRNEEHDRQGKISSVSRDTLEGQLNKIQTDIEMAEQRKHQAEVYMDEKIQEVDSLTSRVQELESQLYKERQECRRIKSKIKKFVKAHNRHSRLQDELSRSQVRLQQLGDQLGSDVNKIGANEEDSSINIVSDGEDPGYHASDPFHDLQRDTSASKRKMHDVQDIAENLKRANESRQRRGRTSSSVSSADKVRGLDSGVAVPLTSMAGHAVDEEVDIDLEINHEEKEPRENSMEAASGSLPFLPPPPPPPIREFSHSKYEGEDQNVDVEVVDEEKEYMDEA >KGN44956 pep chromosome:ASM407v2:7:15405079:15407177:1 gene:Csa_7G398180 transcript:KGN44956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLATFPTSSVVSSSHSNEFINVFYFKSKGTVSFDSHFRVPWRLVRNIQPSLGDPCIKMKSTAIKCVKPTYQSLFEDNSIITDWEDQEGDIEEMDSPWEGAIIYKRNSSVSHVEYCTTLERLGLEKLSTDVSKSRASTMGLRVTKDVKDYPFGTPVQISVDVTRKNKKLRLDGIVKTVITLNCYSCCEPAPECIFSNFSIILSEEPIEEPDVINMGIISGKDMFKTDNGNSDEDDEELIDLDDQLYFPPLNKEIDISKNIRDILHLEITMNVICDPGCKGICLNCGINLNTGSCKCSKQAVKKNDFGPLGDLKRRMQNNS >KGN43797 pep chromosome:ASM407v2:7:4188995:4189300:-1 gene:Csa_7G067520 transcript:KGN43797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDRVMSLVAQILRRSISIVFFRICIRLHVRLQPLPWPQKWTRKTLLAFLGSLLILISNSNFHSIFPISLAAFQLLPMSIPFLQFKIPQIFGTWVFFGLRC >KGN43958 pep chromosome:ASM407v2:7:5161626:5162318:1 gene:Csa_7G074910 transcript:KGN43958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIQRLELCLELLRMAINFVVVVAESVGDVIHRECQPQALPTAGGRVPVPFVGFYP >KGN43671 pep chromosome:ASM407v2:7:3332188:3333211:-1 gene:Csa_7G056500 transcript:KGN43671 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phloem protein 2 MVIAYKFYKYKLDKKTNSNWWWIYPRALSIAWVSDARYWKWINFTEAGEKWDVPELIQVSWFDVRVKISSPILSSRVVYVIYFIVKILPGASGWEVPVTLELKRPNGCKIESKLILNSLKRGEWVEIAAGDLSVDNCSCESGGEIEVGLYQHDGRWKKGLIIKGVEIRPKSCFA >KGN45272 pep chromosome:ASM407v2:7:17268121:17271177:-1 gene:Csa_7G432540 transcript:KGN45272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENEVALQNKENPLEGSKDEVSFQLPAPPSWKKLFSPKKGGAPRKNDIVFIAPTGEEISNRKQLEQYLKSHPGDVAVSDFDWSTGETPRRSTRISEKAKATPPQEEPPKKRARKSPGSKKKEQNETEKSEGEKESEIKDAEMSEKDNTEIEKDNENSKDEEPNKEDETTQKELETAKGEEPKNEDEKEEKETETSKDEEPKKVDETKDREIETTKDEEANKEDVESKETEQKDATETKNGQLDTEDGKKGEDQSRENVPNQEVVTAGEKVTLEVGQDKEENGPETEAEKPIDSCCMKQEKPTLGTSKENGVAEQGNCSGTERPPASEGTITENEDTQKHDGKHHMQGENRGNKNLSEVTAISK >KGN45003 pep chromosome:ASM407v2:7:15656080:15660437:-1 gene:Csa_7G407560 transcript:KGN45003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWLTRFLTAVVFLAIGVVFSPETFGSNSKTFNAPKLSMYLKLAHLLSYSTAWGASLWVTFIGGIIMFKNLPRHQFGNLQSKMFPAYFSMVGICCATSVAAFAYLHPWKSAETSERYQLGFLISAFAFNLANLFVFTPMTIELMKQRHKVERESNIGEEVGWSKNVEVAKVNPKLAAMNKKFGMIHGLSSLANILAFGSLAMHSWYLAGKLNL >KGN43692 pep chromosome:ASM407v2:7:3489026:3495215:1 gene:Csa_7G059150 transcript:KGN43692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLTKVDQGKQQPHAVLFPYPSQGHISPMLKLAKLFHHKGFHITFVNTEYNHRRLLRSRGPNSLDGLPDFHFRAIPDGLPPSDGNSTQHVPSLCYSASRNCLAPLCSLISEINSSGTVPPVSCFHITFVNTEYNHRRLLRSRGPNSLDGLPDFHFRAIPDGLPPSDGNSTQHIPSLCYSASRNCLAPLCSLISEINSSGTVPPVSCIIGDGVMTFTVFAAQKFGIPIASFWTASACGCLGYMQYAKLVEQGLVPFKGIN >KGN44219 pep chromosome:ASM407v2:7:8094622:8095290:1 gene:Csa_7G230940 transcript:KGN44219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKVNRLAAENEVLIISKSSCCLCYAVSVLLRDLGVSPMVYELDQDPEGRDMEKALVRLQGCNTPPVPAVFIAGDLVGSTNELMSLHLSGDLNRMLKPYKAVQEN >KGN44555 pep chromosome:ASM407v2:7:11725534:11745570:1 gene:Csa_7G330390 transcript:KGN44555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGRKRRRSSNFNLAWKKNFDLRWSKLTDTIQPVDWQQIYWETHLQSCLDEAAEIASLPSFSKCIGEIEMSDSILKYIASKESVHFWRAKYRYLSYHCQEFGCYALCLRLQSVLCVSEIYHILRSCRLQTLLLRWIRFPEQVNGLCKLLNQNRETLTSLELIHCKLSSTSVNSICDALIHNRKTHGILHFSINGSRFDETEPVALPSGLVSFFSSGRYLYSFKLCDNDLGKNFGKFVFHTLLDASSCLSVLDLSENKMTGWLSNFNRRSLTGLQASSMVGKSLQSLRVLNLRGNGLKKDDADNLRYALFHIPNLEFLDMSDNPIEDDGIRNLIPYFIEASERNCPFADLNLENCELSCDGVTQLLNVLSTLRKPLRSLSVADNSLGSSVAGALGVFMGKSIQILNVEGIGLGPCGFQDLVEGVTAGSNIVNINISKNRGGIEIATFLLKLLSGASDLVSVNASYNLMPVESLDIIRSALKIAKGKLELLDLRGNNWDDQKAQDSLVAEFQNSVKKILIYSSSPNLDGLYDADP >KGN43270 pep chromosome:ASM407v2:7:892831:893811:-1 gene:Csa_7G014560 transcript:KGN43270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKRNVKLGKAFIIVIGGLVAGAVVGSAVENWLQVEIVPFLSLRSPAAVISEFILFSQFLVSLLELGMTKALD >KGN44641 pep chromosome:ASM407v2:7:12706963:12707211:-1 gene:Csa_7G353520 transcript:KGN44641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCMQESNWKVSNVQFKNIRGTSTTNVAVLLECSKLFPCEGVELRDINLSYGGTNLRNTTIVSSCSNAKIATFGVQNPPPCVV >KGN44223 pep chromosome:ASM407v2:7:8137911:8141606:1 gene:Csa_7G230980 transcript:KGN44223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLIKRILERKKIKERKERKALNFENPPLLFTKIVTALPSQQSFSISPLPLPTPATSAIERRPAKCTATTSCRRLPPHSQVLFSHHAFGFPSFPYAPSPIVALLLFVSIPLVSPHLRLLPQLTLLSASFLSLSSSLSFLLLIFSSLAHGSPSLPKRHFAIAVDGFGGFYRVVQQKLISFWGISHKYQTQVTMEAPAKVFSTEGDALGETWHMVFSTEGKFVTKRNK >KGN44287 pep chromosome:ASM407v2:7:8732528:8736214:-1 gene:Csa_7G239000 transcript:KGN44287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILSPPKLLISSSLPQSQLFHYPIPFHFQQKNPNGINKYFHLERHHHQRLLPLSRALREWQDYEEAVKRKDLAEALRFLESFDRDSAIEPIKDSAPAGSAPSAIRNLRLSGWERDWEVLDTCLNADDMKLVANAYRFLKDRGFLPNFGKCRNIVLEGRRDVTPSVLELTTGLEVSKLSPKKWGLSGSSRYALIAFLGGTSFLLSQDIDIRPNLLALLGLAFLDSILLGGTCLAQISSYWPPYRRRILVHEAGHLLTAYLMGCPIRGVILDPIVAMQMGIQGQAGTQFWDEKMASNLAEGRLDGTSFDRYCMVLFAGIAAEALVYGEAEGGENDENLFRSICVLLQPPLSVAQMSNQARWAVLQSYNLLKWHKHAHQVAVKAMESGSSLSVVIRKIEDALSTN >KGN45055 pep chromosome:ASM407v2:7:16001775:16003639:1 gene:Csa_7G414520 transcript:KGN45055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIRKCKMQSELSGELAKIVALLMVGLVMISKGHSQELKVGFYSETCPLAETIVRTTVAKAVSQNPGMAAGIIRMHFHDCIVLGCDASILLDKTPENPDTEKGVNVGNPLLRGFEIIDDAKFEIETRCPQTVSCADILAFAARDSVATLGQFTYDVPSGRRDSLVSHGANVSDNIPFPTTDIGFLAQHFEERGLSLRDMVALSGAHSIGRTGCPEFTDRLFSSNGTEITDPSLDPTFAATLRQKCPFGSGFDKTADLDNVTPNHLDIQFFENLKNKMGVLSSDQAIATDPLTAAIVSRYQGNRAIWMRDFSAAMVKMGKLLVLTGTQGEIRKECHFRN >KGN45577 pep chromosome:ASM407v2:7:19017912:19020528:-1 gene:Csa_7G452320 transcript:KGN45577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKATRWLKGLLGIKKDKDPSSNSNSNSNSTTLPADNRKDKKRWSFAKSTRDSAQTLPPPLESAWFRSSYISDSDREQNKHAIAVAAATAAAADAAVAAAQAAVAVVRLTSQGRGRASHYITGRDRWAAVKIQTVFRGYLARKALRALKGLVKLQAVVRGFLVRKRAAATLHSMQALFRAQTAVRTQRARRSFNKENRFNPDIRPRKSSERFDETRSELFHSKRLSVASSYETCMNSLDESPKIVEIDTYRTRSRSRRYISTLSECGGDDIAFQSSPIPCSNRPRVVVDCHNNNVLRDFEWCLMGEDCKFPTAHSTPRLSNNSFVSANVPVTPSKSVCGDSFYRPYINYCPNYMANTQSFKAKLRSQSAPKQRPEPGSKKKLSLNEIMAARNSLSSVRMQRPNNQMLQEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEDDEEEETSYGF >KGN45139 pep chromosome:ASM407v2:7:16510493:16511292:1 gene:Csa_7G428270 transcript:KGN45139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASMALAPSLNHSPRFPPWNGSKIVACSNSINPPINSTSFQSSSVAKRCLRCHTVYSDVDNSPSACVFHGHITGERGLFALSPPHQGIDGEWSDGSGVIVYKWNDKSNRPNSGTGNWKRRWSCCSEYDERAPPCRRGWHVSYDDGFTLY >KGN45283 pep chromosome:ASM407v2:7:17316741:17319618:-1 gene:Csa_7G432650 transcript:KGN45283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYFPGLSNQRDSMLGDHKLGSSCQEQQPLCSGNLMMFMNQDPSCRDYSEILSGVSSNYVETVGDRSNVEMAFIPPVVGILDESNFQCQGVSLSLSTHSPSVVSMSSFPHQYQTPAMVSSFINAPPSILEKRQNPKPCISDVKNGMYVALGSGYSMLNSVYIEAAQQLLDEMVSIREALKELKSKKLKASNGLGVDSCRENDGGSNDLTGEMCGNAREASIANPLSDLSPSERQDLKNKNSKLLSLLGEVDRRYKQYYQQLQMLASSFDMVAGRGAAEFYTSLPHQTISCHFRRLQDAINAQIEVTRRALGEQDSLHSGQEGIPRLRFVDQHLRQQRALQHLGVTPHSWRPQRGLPESSVSILRAWLFEHFLHPYPKDSEKLKLARQTGLTRNQVANWFINARVRLWKPMVEEMYKEEFGDSNIDIKSSPENASKASWNNSLFSEDRGGDELHDSTKSVANNGERVLYRQCATDDMINSSNFTMGGGDVSLALELKHCEGDEFGMFGNNNNRMVGSVELEGQDFPCLEPERHHQCRLTSSNMLHDFVV >KGN43874 pep chromosome:ASM407v2:7:4672574:4676485:-1 gene:Csa_7G071630 transcript:KGN43874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLNEIGTLIDNISLNSKFSVTIVHRTAPNSGEAKTLAKPQHRQHTHNIQSVESEKTMAWRSGSLSRTLISNVRSSSSRLSSSAPQLRPPQPPLPAPRRLPGRHFSAASRSLGELGCVQSFLPIYSMTAASCLTSHLTVNVRAFCELSHGT >KGN45051 pep chromosome:ASM407v2:7:15977974:15979336:1 gene:Csa_7G414480 transcript:KGN45051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNPTRRLHEKVALITGAASGIGEETARLFVANGAFVVIADINDELGQKVVTSIGVDRVNFHHCDVRDEKQVEETVSYTIEKHGHLDILVSNAGIVETPSSILELDMSNFDNVISTNVRGVLATIKHAGRAMVKQKIRGSIVCTGSTAALISFNPSLTAYTSSKHAVLGLVRSSCEELGMYGIRVNCVSPHGLATPLACRCLNMEVSEVEEKLSSMVSLKGVVLKASHIAEAVMFLASDESVYISGQNLIVDGGFTAVKPLM >KGN43626 pep chromosome:ASM407v2:7:3020045:3020726:-1 gene:Csa_7G048100 transcript:KGN43626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLELRVHMDCPGCESKVRTSLQNLKGVDSVEIDMSLQKVTVIGWAEQKKVLKVARKNGRRAELWQLPYNPEHDNCSDPYPQHQLNGPIQNFYGPQPTSTYNYYKHGYDSHDQAHHLNYSTHSNIFGRQTGSVFSDENVNNCSIM >KGN45291 pep chromosome:ASM407v2:7:17353770:17356065:-1 gene:Csa_7G433210 transcript:KGN45291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPNRFVPEARGNWPLLPPPYPDPRNQSFYRPTMSGVVSSWRMPSNFGIPPWNNWNIGYEHEFGRSYTPGFNDTRRMLPSGPGIEFREGQLSERWNVDNSNEMKVCRLFQRRKKCAYGDQCRFLHEIPDKTRDSGSSSQNYEVSTVAFGHVMDRGSGFDQLEEVRSKVQTKVVVRGEIPKPLIAKSRPCYPWQTTGRCPYGAGCRFAHGEAELQKLEPCNASQRGSVGGVSGTATTTAAVEAGLRSSREIGTDCKNKGPRINQFFESKESKKLIGIYADWLED >KGN44709 pep chromosome:ASM407v2:7:13489146:13490176:1 gene:Csa_7G373520 transcript:KGN44709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRNRAVDCLVAGFVVMLLSCKSFTFAAESDLSCLRSIKKSFQDPNKYLTSWDFSSRSEGAICRFIGIICWHPDENSVLSINLSNMGLKGQFPTGIKNCTSLTGLDLSFNQISGEIPTNVGSIVPFATTLDLSSNKFTGRIPKSIANISYLNVLKLDHNQLSGQIPPELSLLGRLREFSVASNLLIGAVPKFGGKLGNKADMYANNTGLCGGPLKPCSSTSDKLR >KGN44470 pep chromosome:ASM407v2:7:10515346:10523943:1 gene:Csa_7G302340 transcript:KGN44470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKRRVDIIPCEDVPKVISNYHICVVKMMKFDFDLISRASQMKLIVQFGVGLDGVDVDAATKRGIKVARIPSGVTGNALSCAEMAIYLMLGLLRKQKEMQIAVDHKMLGVPTGDTLLGKTVFIMGFGNIGLELAKRLRPFGVRIIATKRSWTENSSQLNGASEDLVDQKGAHEDIQKFASIADIVVCCLCLNSETVGVVNKSFLSSMRKGSLLVNVARGRLLDYQSTLHSLESGHLGGLGMDVAWTEPFDPNDPILKFNNVICTPHVAGVTEHSYRSMAKVIGDVALQMHAGSPLTGIEFVN >KGN43639 pep chromosome:ASM407v2:7:3118222:3122296:-1 gene:Csa_7G049210 transcript:KGN43639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFNFKINKKNEEISVSERRQRNHKEKGTYSFLKPHPFSLRTPASSSVVESSLVCAIQSQRLPFLLITPTASLLSVSPQSSSVKDSKVRYSSSISSFTYMAASSASACLVGNGMSTHCAKLNVRKFLYNRRFAPSTSLQSLDKRAKAGVVKASMEPRENEGRRDFLKLLLGNVGVGMSTLLGNGRANADDQGVSSSRMSYSRFLEYLDKDRVKKVDLFENGTIAIVEAVSPELGNRVQRVRVQLPGLSQELLQKFREKNIDFAAHNAQEDSGSLLFNLIGNLAFPLILIGGLFLLSRRSSGGMGGPGGPGFPLAFGQSKAKFQMEPNTGVTFDDVAGVDEAKQDFMEVVEFLKKPERFTAVGARIPKGVLLVGPPGTGKTLLAKAIAGEAGVPFFSISGSEFVEMFVGIGASRVRDLFKKAKENAPCIVFVDEIDAVGRQRGTGIGGGNDEREQTLNQLLTEMDGFEGNTGIIVIAATNRADILDSALLRPGRFDRQVTVDVPDVKGRTEILKVHANNKKFDNDVSLDVIAMRTPGFSGADLANLLNEAAILAGRRGKTAISSKEIDDSIDRIVAGMEGTVMTDGKSKSLVAYHEVGHAICGTLTPGHDPVQKVTLVPRGQARGLTWFIPSDDPTLISKQQLFARIVGGLGGRAAEEVIFGEPEVTTGAAGDLQQITGLAKQMVVTFGMSEIGPWSLMDSAAQSADVIMRMMARNSMSEKLAEDIDAAIKRISDEAYEIALAHIRNNREAMDKIVEVLLEKETVTGDEFRAILSEFVEIPVENRVAPATAATPVTV >KGN43290 pep chromosome:ASM407v2:7:1071458:1073055:1 gene:Csa_7G018720 transcript:KGN43290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDTSSKASNKLKIEEEDSDIKVQIWKYIFGFVEMAVIKCAIELKIGDVIESHGDSMTLSQLSSSLNCSPLLLHRILRFLVHRGIFKEEKTTKCYSHTPMSRLLTTTGPNSMAPLHLLESSPVMIAPWHNLSACVKASDHENGSQPFEMAHGMDLWTYAAANPSHSSLINESMACFARLVILPAVLERCGEIFDGVGCLVDVGGGNGTCLSILVKACPWIKGINFDLPHVVSVSKEYEGIQHVGGNMFDSVPKADAAFIMEVLHDWDDEECIKILKNCKEAIPEKTGKVIIVEVVIDEKEEISKYSDARLMMDMIMMAHTIKGKERTNEEWANVLQKAGFSYYTITPTSTIQSVIQAFP >KGN43522 pep chromosome:ASM407v2:7:2455150:2458738:1 gene:Csa_7G043640 transcript:KGN43522 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mate efflux family protein MERNDILEPLVEQSAPKHDAVSGELENILSDTQLRYVVRIRRAAWIELKLLSKLAAPAVFVYLINNLMSMSTRIFSGQLGNLQLAAASLGNNGVQIFAYGLMLGMGSAVETLCGQAYGAGKYEMLGIYLQRSTILLTMVAFLLTLLYIFSKPILLFLGESPEIASSAAIFVYGLIPQIFAYAINFPIQKFLQSQSVVLPSAYISAATLVVHLSLSWVAAYKLGLGLFGASSVLSLSWWIIVTAQFVYILKSERFKETWRGFSSAAFSGLPEFFKLSAASAIMLCLETWYFQILVLVAGLLENPELSLNSLSICTTINGFAFMICVGFNAAISVRVGNELGHKHPKSAAFSVVVVMVISTTISIIIACIVLALRNVISYVFTEGATVAAAVSDLCPFLAITLILNGIQPVLSGVAVGCGWQSFVACVNVCCYYFVGLPLGVLLGFYFKLGAKGIWLGMLSGTAIQTCILSWVTFRTDWNKEVEEAVKRINKWNDTDKTTKNIKIEALK >KGN43970 pep chromosome:ASM407v2:7:5206080:5206916:-1 gene:Csa_7G075035 transcript:KGN43970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQLVPHVASGFAFTLLGLWHTVNTIKTYNLKGSSNFKVRFWYPLDQPFSKFKYLELIFVFSFSIIAIFRQFWDFPFVHLSFKVINLEHASMFLHLAIFSGFTLYNELNRSSNVLSGIDGVFAACVFSQELFLLHFHSADHNGIEGHYHWLLQVIVFASLVSVIVAACCPSNFPAALILSLLVVLQGCWFMNMGFMLWVPNLVPKGCSMRVMDNGKDEMLGAVICASEEADMRARALANLQFSWILAGILIFTGIISIKCTKSENCSSKIQLTDYEQL >KGN43627 pep chromosome:ASM407v2:7:3021693:3026589:-1 gene:Csa_7G048110 transcript:KGN43627 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoenolpyruvate carboxylase 1 MAAVKNLEKMASIDAQLRLLAPSKVSEDDKLVEYDALLLDRFLDILQDLHGEDLRETVQECYEFAAEYERKRDPEKLEELGNALTSLDPGDSIVVAKSFSHMLSLANLAEEVQIAYRRRIKLKKGGFADEANATTESDIEETFKRLLQLNKSPQEVFDALKNQTVDLVFTAHPTQSVRRSLLQKHGRIRNCLTQLYAKDITPDDKQELDEALQREIQAAFRTDEIRRTPPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGIDERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYFSQIEDLMFELSMWRCSSELQTRAEELHRSSRKDAKHYIEFWKQIPPNEPYRVILGDVRDKLYNTRERARHLLSSGMSEIPEDTTFTDVEQFLEPLELCYRSLCACGDRPIADGSLLDFLRQVSTFGLSLVRLDIRQESERHADVIDTITNYLGIGSYKEWSEEQKQEWLLSELSGKRPLFGPDLPKTEETSDVLDTFSVIAELPSDNFGAYIISMATAPSDVLAVELLQRECHVQKPLRVVPLFEKLADLEAAPSAMARLFSIDWYRNRIDGKQEVMIGYSDSGKDAGRLSAAWQLYKAQEELIQVAKQYGVKLTMFHGRGGTVGRGGGPTHLAILSQPPDTIHGSLRVTVQGEVIEQSFGEEHLCFRTLQRFTAATLEHGMNPPVAPKPEWRALLDAMAVVATEKYRSIVFQEPRFVEYFRLATPELEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAFKYAIEKDVKNLHMLQEMYSQWPFFRVTIDLVEMVFAKGDPGIAALYDKLLVSEDLWSFGEQLRANYEETKNLLLQVAKHKDLLEGDPYLRQRLRLRDSYITTLNVCQAYTLKRIRDPNYNVKVRPHLSKEYLESSKSAAELVKLNPQSEYAPGLEDTLILTMKGIAAGMQNTG >KGN43303 pep chromosome:ASM407v2:7:1136392:1137766:1 gene:Csa_7G019830 transcript:KGN43303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWFLSLFFFFLVSFTNACDRCIHRSKATHYYGDSPTSYGGACGYGDMALEFTNGYFSAAVPSLYKQGAGCGACFQVRCKDKRLCNTAGSKVVLTDQNYDSRADFVLSRKAFSAMALKGKGQELLNTGIVDVEYKRITRVGSYEWVSLRRNYGAVWDTSKVPKGALQMRMAFTSGYDGKWVWAEYVLPVDWKVGAIYDTGVQIYDIAKESCPTSQCGDKPWK >KGN44112 pep chromosome:ASM407v2:7:6984792:6985789:1 gene:Csa_7G193720 transcript:KGN44112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDINNTEKSIMHYSSSHNILLVGEGDFSFSACLATAFASASNIIATSLDSRDELVMKYARAARNVKILEELGGTVLHEVDATTMSQHPLLHDMLFDRIVFNFPHAGFVYKESNTAQIELHRNLVRVFLRNAKSMIDVEGEIHITHKTSHPFSKWGIVNLACDEGLCLKESEIFHAWQYPNYENKRGHGQNPDGTFPVGACSTFKFFIDYLL >KGN43406 pep chromosome:ASM407v2:7:1772725:1772987:-1 gene:Csa_7G031670 transcript:KGN43406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAMLGGSTYIVNCHCSPFAYGVESLVVKCWHHQVGDADTATRWSIYMSLLLLSLGHADSNVLGCRSSKETSPVLP >KGN43441 pep chromosome:ASM407v2:7:1932935:1934065:1 gene:Csa_7G037450 transcript:KGN43441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLALLPFFLLLASTIEAQSLFDVTRYGAKPNTDITQAKAKFQASPDAYKAAIRAQDKQKLMDMLTYPTVEETNLKFSFITNSIVKDITSLDSKNFHINLLGCKNVTFQHVTISAPENSPNTDGIHISSSEQINILDSKISTGDDCVSVGDSNKQVTITNVTCGPGHGISVGSLGKYTKEKDVVGVTVKACKLINTTNGVRIKTWPDSAGAFIASDMHFEDIEMQNVSNPVIIDQEYCPWNQCNRKLNFMN >KGN43161 pep chromosome:ASM407v2:7:200702:206314:-1 gene:Csa_7G004140 transcript:KGN43161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDSSPVNFNTGPSSPDDSFSSPIGNTVSSSGDGYRRRSRRRSSTPSEMATPPRQRPRLVSSETTPTAKEPRSRRRGGGRGASGSDVPPVAATPSSTDDIPPSTEPGDGDDMDEDHPTFVWGTNISVDDVKGAIIRFLRHFRDRQASQSEGDFHTEGKYAEVIKRVLENEGDSLDVDAQDLFNYDADLYTKMVRYPLEVLAIFDIVLMEMVPQINPLFEKHIQTRIFNLRTSTSMRNLNPSDIERMVSLKGMIIRCSSIIPEIREAIFRCLVCGYYTDPVSIERGQITEPTICLKEECQARNSMTLVHNRCRFADKQIVRLQETPDEIPEGGTPHTVSLLMHDKLVDTGKPGDRVEVTGIYRAMSVRVGPTQRTVKSLFKTYIDCLHIKKTDKSRMVADLTEAENRLSSNVDDLSFDEEKVEELKELSKKPDIYDRLTRSLAPNIWELDDVKKGLLCQLFGGNALKLASGASFRGDINILLVGDPGTSKSQLLQYIHKLSPRGIYTSGRGSSAVGLTAYVSKDPETGETVLESGALVLSDRGICCIDEFDKMSENARSMLHEVMEQQTVSIAKAGIIASLNARTSVLACANPSGSRYNPRLSVIDNIHLPPTLLSRFDLIYLILDKADEQTDRRLAKHIVALHFDNPEGIEQDFLDLHTLTSYVSYARKNIHPKLSDEAAEELTRGYVELRRRGNFPGSSKKVITATPRQIESLIRLSEALARIRFSEWVEKGDVLESFRLLEVAMQQSATDHSTGTIDMDLITTGVSSSERLRRESLLSATRNIIMEKMQLGGPSMRLSELLDELKKKNPENEVHLNNLRNTVSTLASEGFVEIRGDNIKRI >KGN43742 pep chromosome:ASM407v2:7:3815652:3834040:1 gene:Csa_7G064040 transcript:KGN43742 gene_biotype:protein_coding transcript_biotype:protein_coding description:Minichromosome maintenance protein MCM MDSSLASMEDSPTSPLKAFATFLIRHHSDQLRSIAHSPDPKLHYPLFVEFAELMDDDPPLARLLFSRPTDYLRVFDDAAVWAHMIILGDSKGSMNGVKKDFIHVRINVTGSPLEFPETFPSIGSVRVKHHGVLLTLKGTVIRSGAIKMYEGERWYICRKCKHKFPVYPELETRNSIQLPSFCPSQRSKPCEGKSFECLEGSVVRHDYQEIKIQESTQVLGVGSIPRSVLIILKDDLVDLVKAGDDVIVSGVLSAKWSPDLKDVRCDLDPMLIANHVRRTNELKAEVDIPDDIIMQFTQFWSDFKDTPLKGRNAILRGICPQVFGLFTVKLAVALTLIGGVQHVDISGTKVRGESHLLLVGDPGTGKSQFLKFAAKLSNRSVITTGLGSTSAGLTVAAVKDGGEWMLEAGALVLADGGLCCIDEVDSMREHDRATIHEAMEQQTISVAKAGLVTTLSTRTIVFGATNPKGQYDPEQPLSVNTTLSGPLLSRFDIVLVLLDTKNPEWDAVVSSHILYESEQEKGKRDNDLSNNWPLTMLRRYIQFVKGYFRPVLTQEAEQIISNYYQLQRRSAMDNAARTTVRMLESLIRLAQAHARLMFRNEVTRLDAITAILCIESSMMTSALVDSVGNALHSNFTENPDEEYAKQEILILDKLKSVDE >KGN44798 pep chromosome:ASM407v2:7:14363036:14364273:-1 gene:Csa_7G388310 transcript:KGN44798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLEFGSAMETLVIIEQYRDEFCHRIKPLESGRFGNLTIKDHNRFRFRPTPLEISSIPVYCFSSPKTPPSCAPIPINEKNCVNESIDFDAHCCYKRLSFPELWAGPTYSNSPPASSLPIPKFSIRGNRTVSLELPTNSVEKIHPIVKSAPPSPTRGHTHPSSREPCHGADCATRTLRRILNLDVNSE >KGN45033 pep chromosome:ASM407v2:7:15852664:15856714:1 gene:Csa_7G412840 transcript:KGN45033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSPPFFFLKAPFLFFHRFFSKKFRRAMAAVHRKHQKQPSPTKLPVNGDGKVMIFNVEEGLLKPSSPYFFSYFMLVAFEASGLLRATALLMLYPLIRLVGQELGLKIMVMISFFGVKKESFRVGSSVLPKFFLNDVGLEAFEALRKGKKRIGFSNVFPQVMIESFLRDYLEVEEVVGRELKVFCGYFVGLMDEKVKVSSLLNLINHAQEEEEESDAFDKNGNFIGICGSQKAYDFQLLSPICNEIYTVSEAEKKRWKQLPKDKFPKPLVFHDGRLALNPTPFDTFTLFIWLPFAPVLAFIRIFAYMCLPRTLSYPISALSGLTVTVSNPITKTKSNNNNNQGLLYVCNHRTLLDPLYISGALEISKPTAVTYSLSPISEFLSPIRTVRLTRNRDKDAALMAQLLSKGDGNLIVCPEGTTCREPYLLRFSPLFTEISTKIVPVANDTHVTMFYGTTASGFKCFDPFFFLMNPKPCYVIKRLDMVDGSLLFGSSKDDQNCPSRFDVANFVQNEIGKALRFECTKLTRRDKYLILAGNEGIVHSK >KGN45080 pep chromosome:ASM407v2:7:16153497:16158340:1 gene:Csa_7G420730 transcript:KGN45080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLQQQLLKPSWTSSLFASSTSPRHSLSQPQLLPRTLSSTTPKASLHNSSINRRHFVADTAAAVSLSLSPFIAPVQPAKSEESLSEWERLYLPIDPGVVLLDIAFVPDDMNHGFLLGTRQTILETKDGGRTWAPRSIPSAEEEDFNYRFNSISFKGKEGWIVGKPAILLYTSDAGESWERIPLSAQLPGDMVYIKATGEKSAEMVTDEGAIYVTSNKGYNWKAAVQETVSATLNRTVSSGISGASYYTGTFNTVNRSPDGRYVAVSSRGNFYLTWEPGQPFWQPHNRAIARRIQNMGWRADGGLWLLVRGGGLFLSKGTGISEEFEEVPVQSRGFGILDVGYRSKEEAWAAGGSGVLLKTTNGGRSWTRDKAADNIAANLYSVKFINDKKGFVLGNDGVLLQYLG >KGN43941 pep chromosome:ASM407v2:7:5023114:5035135:-1 gene:Csa_7G073760 transcript:KGN43941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSVFLRSLTCSSLVCNRIFFRSAHRLCPSTLPPRSSFVSRKLHRFNPSFSRRSLLPRQLKLLPAYSQSRSSHFRKQFSSLAPRAVASPPAHSPPEFAEVSDEVAEKLGFEKVSEEFIGECKSKAVLFRHKKTGAEVMSVSNDDENKVFGIVFRTPPSDSTGIPHILEHSVLCGSRKYPVKEPFVELLKGSLHTFLNAFTYPDRTCYPVASTNTKDFYNLVDVYLDAVFFPKCVEDFKTFQQEGWHYELNDPSEDISYKGVVFNEMKGVYSQPDNILGRVTQQALFPDNTYGVDSGGDPRVIPKLTFEEFKEFHSKFYHPGNARIWFYGDDDPVERLRILKDYLDMFDASPVSDQSKIGQQRLFSEPVRIVEKYPSGDGGDLKKKHMVCVNWLLSEKPLDLETELALGFLDHLMLGTPASPLRKILLESGLGEAILGGGIEDELLQPQFSIGLKGVLDDDIPKVEELILNTFKKLAEEGFDNDAVEASMNTIEFSLRENNTGSFPRGLSLMLRSIGKWIYDMNPFEPLKYEEPLKALKARIAAEGPKAVFSPLIEKFILNNPHRVTIEMQPDPEKASRDEATEKEILQKVKESMTEEDLAELARATQELRLKQETPDPPEALKCVPCLCLEDIPKEPTRVPTEIGNVNGVTVLQHDLFTNDVLYSEVVFDMSSLKQELLPLVPLFCQSLLEMGTKDLTFVQLNQLIGRKTGGISVYPFTSSIRGNDKACTHMVVRGKAMSGCAEDLFNLMNCILQEVQFTDQQRFKQFVSQSKSRMENRLRGSGHGIAAARMDAKLNSAGWISEQMGGLSYMEFLQTLEEKVDQNWTEISSSLEEIRQSLLSRKNCLVNITADGKNLIKSEKFIGKFLDLLPNQPIIKNSTWNARLSSDNEAIVIPTQVNYVGKAANIYETGYQLDGSAYVISKFISNTWLWDRVRVSGGAYGGFCDFDSHSGVFSFLSYRDPNLLKTLDVYDGTVDFLRELELDDDTLAKAIIGTIGDVDSYQLPDAKGYSSLLRYLLGITEEERQRRREEILSTSLKDFKNFADALEAVRNKGVVVSVASPEDVETAHGERPGFFQVKKAL >KGN44890 pep chromosome:ASM407v2:7:15016822:15017189:-1 gene:Csa_7G394590 transcript:KGN44890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLNTTSDIMIQYEPIFFFISIPYRNPLKFSSVFLTDSTNPTPQVFDSFVDFYSDFLHTALSLIFRFTFCISIPSPSFVDIPSPTILDEDMRNLCAMHPDFCMR >KGN45256 pep chromosome:ASM407v2:7:17207601:17207927:1 gene:Csa_7G432380 transcript:KGN45256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEELTQLTLRPFHLSNVDAFMLLLWAGNDRVMKFSRCNVFTSNKQAMSSDVGRPICVDGHPVRFVSVYSRSVNCNRAVGNGCSQSVRSNQHCLIWSNELGLEFAKRV >KGN43701 pep chromosome:ASM407v2:7:3563962:3564459:1 gene:Csa_7G061190 transcript:KGN43701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFASPNSSSTSLSLSFLSKTTPPSHQAASRGRFCVSCKASATRERDYYKLLSVSGGCNASPEEIKKAYRAMALRYHPDLVCDPLLKEQSTRMFVQLNAAYKTLSDPVLRRQYDDSLNMGFNTKGFRGDSAVWERQILELKRRSSQRKDRSAPASWAARMQAHSRC >KGN43796 pep chromosome:ASM407v2:7:4178812:4188850:-1 gene:Csa_7G067510 transcript:KGN43796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFGTMLEPVSCCQSSQSQIYGAVKQKNPFRAYSHTVHQLCGPSFNLHYSHSKKVAVPSCTSNYWRNDYSLVPVLFHNGATTLTFKVVGQNGYNWSNRRPKQRERIRTHAALDVAAAVDVINDLGLDTLTFLAVTVVVVPLFRRIKASPILGFFFAGIVLNQFGVIRNIVDVKVLSEWGILFLLFEMGLELSFARLKALARFAFGMGLTQVILSTIAFTAFELPTNGAVGTKILEFLFHARSDLVNIRSVDEAIVIGAALSLSSSAFVLQLLAEKGELATRFGSATLGILLLQDIAVVPLLVILPVLESQNLGTESIWPMLAQESLKALGGLGLLSLGGKLILRRVFEVVAEARSSEAFVALCLLTVAGTSLITQKLGFSDTLGAFLAGAILAETNFRTQIEADIRPFRGLLLGLFFVTTGTSIDMQLLFREWPNVLALLAGLIAIKTLIITAIGPRVGLTTQESVRIGFLLSQGGEFGFVVFSLANRLGVLPLELNKLLIIIVVLSMALTPLLNEAGRKASEFISEKYKTEDKAADTVNFDATEPVVIVGFGQMGQVLANFLSTPLASGLDGNTPGWPYVAFDIDLSVVKTSRKLGFPVLYGDGSRPAVLQSAGISSPKAVMVMFTEKKATIDAVQKLRLAFPAIPIYARAKDVVHLLDLKTAGATDAILEDAETSLQLGSKLLKGLGVMSDQVSFLSQMVRNSMEIQAQDAIDKSNEQELEIMKPLQIRVKDSIESPENELSRLNLKDKTQILNGKEVDQMKQGTVFEKAEDLDGNGVLYCDLDTENNFLEENVVEPPTTHIAATDGVEK >KGN43755 pep chromosome:ASM407v2:7:3894879:3897538:-1 gene:Csa_7G065140 transcript:KGN43755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDTVKAEALQIIGMFQVLPKLVVFDLDYTLWPFYCECRSKREMPSMYPHAKSILYALKDKGIDLAIASRSPTSDIAKTFIGKLGIESMFVAQEIFSSWTHKTDHFQRIHSRTGVPFKSMLFFDDEDRNIETVGKMGVTSILVGNGVNLGALRQGLTSYSQNVNKIEKNKKKWAKYTQNSSSSEKTE >KGN43190 pep chromosome:ASM407v2:7:411098:415125:1 gene:Csa_7G007880 transcript:KGN43190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDTEDARTDNLRNLQCSFGTSSSSALKHHFSMDQLKISQMTCSQGRPQHFQSNFLGDNNRRIGIPPCPNSPQVPPISPYSQIPVSRPMNQHSYNSVPTHSRSLSQPSFFSLDSLPPLSPSPFRDSPSTSNSDQVSADTSMEDRDASSHSLLPPSPYTRANSSKMSDALPPRKAHRRSNSDIPFGLSSMIQSPPVLPFSGSGGLERSTSSKENAGIFKQASQFVKREPSLEKSIDNHMEGMGEKKSEGDTVDDLFSAYMNLDNIDLFNSSVTNDKNGHENREDLDSRGSGTKTGGESSDNEAESSVNESGDNSQMPGLNSSAEKREGIKRTAGGDIAPNNRHYRSISMDSFMGKLQFGDESPKMPPTPPGIRPGQLSSNNLVDGNSTPFSLEFGNGEFSGAELKKIMANDKLAEIALTDPKRAKRILANRQSAARSKERKMRYISELEHKVQTLQTEATTLSAQLTLLQRDSVGLTNQNNELKFRLQAMEQQAQLRDALNEALTAEVQRLKLATTDINAQSHPSNGVMAQSSMNHHGLQLQQHQQQQHMQQNGSAATKPESNQ >KGN43524 pep chromosome:ASM407v2:7:2462818:2465405:1 gene:Csa_7G043660 transcript:KGN43524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERDDILEPLVEKSAAKHEAVSGELENILSDTQLRYVVRIRRAAWIELKLLSKLAAPAVFVYLINNLMSMSTRIFSGQLGNLQLAAASLGNNGIQSFAYGLMLGMGSAVETLCGQAYGAGKYEMLGIYLQRSTILLTMVAFLLTLLYIFSKPILLFLGESPEIASSAAIFVYGLIPQIFAYAINFPIQKFLQSQSVVLPSAYISAATLVVHLSLSWVAAYKLGLGLFGASSVLSLSWWIIVMAQFVYILKSERFKETWRGFSSAAFSGLPEFFKLSAASAIMLCLETWYFQILVLVAGLLENPELALNSLSICTTINALAFMISVGFNAAASVRVGNELGHRHPKSAAFSVVVVMVISTTISIIIACIVLALRNVISYAFTEGATFAAAVSDLCPFLAITLILNGIQSVLSGVAVGCGWQSFVACVNVCCYYFVGLPLGVLLGFYFKLGAKGIWLGMLSGTSIQTCILSWVTFRTDWSKEVRIFI >KGN44974 pep chromosome:ASM407v2:7:15473598:15476458:-1 gene:Csa_7G405310 transcript:KGN44974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALKALFFLLLLGFFPSNSGNPFRRHAHSAHHNYKDALTKSILFFEGQRSGRLPSNQRMSWRRNSGLSDGAAMKVDLVGGYYDAGDNVKFGFPMAFTTTMLSWSVLEFGGLMKGELQNAKQAIRWATDYLLKATVHPDTIYVQVGDANKDHACWERPEDMDTPRSVFKVDKNNPGSEVAAETAAALASASLVFRRSDPIYSKILVRRAMRVFEFADKYRGSYSSGLKKYVCPFYCSYSGYQDELLWGAAWLQRATKNPKYLKYIQVNGQTLGAGEYDNTFGWDNKHVGARILVSKAFLVQKMKSLHDYKGHADNFICSIIPGASFSSTKYTPGGLLFKMSDSNMQYVTSTSFLLLTYAKYLTSAHMVANCGGTTITPKTLRSIAKKQVDYLLGDNPLKMSYMVGYGARYPKRIHHRGSSLPSIGVHPSKIQCSAGFSVMNSAAPNPNVLIGAVVGGPDQNDRFPDQRSDYEQSEPATYINAPLVGSLAYLAHSSGQL >KGN43328 pep chromosome:ASM407v2:7:1274195:1279925:1 gene:Csa_7G024020 transcript:KGN43328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACQVSDGIICSGVGRIVGKKKVIADNTWSFLTLKRRDVCVSSLIESKVLSNRNRVGDWCLSASSQRDDLFTSNVVHRRSASFIVARNQLSSDCEVDSSDAEESLCSEEDDAISKDRNGTAQWKELPHYHQQPLDVKQELFALCGPAIAGQAIEPFAQLLETAYIGRLGALELASAGVSINIFNYISKVFNIPLLSVATSFVAEDISKHAIEDPLSDSLESCTNGKLVARLSERKQLSSVSTALLLAVGIGLFEAFALYFGSGIFLNIMGISSGSSLRVPAQRFLSLRALGAPAVVLYLTLQGVFRGFKDTKTPVLCLGIGNLLAVCLFPILIYYCQLGAIGAAISTVVSQYVIAFLMLWFLNKRAVLLPPKFGALQFGVYMKSGGFLLGRTLSVLTTMTLGTSMAARQGAVAMAAHQICMQVWLAVSLLTDALAASSQAMIASSVSKGDYKTAKEVTGLALKVGLFTGTILFAILGASFGSLATLFTKDADVLGIVRTGVLFVSATQPLNSLAFVFDGLHYGVSDFRYAAFSMMAVGAASSSILLYAPSVLGLRGLWLGLSLFMALRTVAGGFRLLSRNGPWWFLHTNFQNTKVHSTSYLS >KGN45000 pep chromosome:ASM407v2:7:15637312:15641532:1 gene:Csa_7G407530 transcript:KGN45000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHRRTKLLFVVCALCYVLSAIAGKSYYDILQVQKGASDDQIKRAYRKLALKYHPDKNQGNEEANKRFAEISNAYEVLSDGEKRNIYDRYGEEGLKQHAASGGRGGGMNIQDIFSQFFGGGGGMEEEEKIPKGDDVIVELDASLEDLYMGGSLRVWREKNILKPAPGKRRCNCRNEVYHKQIGPGMFQQMTEQVCEQCPNVKFEREGYFVTVDIEKGMQDGQEVTFYEDGEPMIDGEAGDLRFRIHTAPHDVFRRDGNDLHATITITLVQALVGFEKSLKHLDEHLVEIGTKGITKPKEVRKFKGEGMPLHFSTKKGDLYVTYEVLFPTSLTEDQKASIQKILG >KGN44509 pep chromosome:ASM407v2:7:11134688:11137007:-1 gene:Csa_7G322060 transcript:KGN44509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAGQVIKCKAAVAWEAGKPLVIEEVEVAPPQANEVRVKILFTALCHTDVYFWEAKGQTPLFPRIFGHEAGGIVESVGEGVKDLQPGDHVLPVFTGECGDCRHCQSEESNMCDLLRINTDRGVMISDGKTRFSKNGQPIHHFVGTSTFSEYTVVHIGCLAKINPAAPLDKVCVLSCGISTGLGATLNVAKPKKGQSVAIFGLGAVGLAAAEGARISGASRIIGVDLNPARFEEAKKFGCNEFVNPKDHSKPVQEVIAEMTNGGVDRSVECTGSIQAMIAAFECVHDGWGVAVLVGVPNKDDAFKTHPMNLLNERTLKGTFFGNYKPRSDIPGVVEKYLNKELELEKFITHTVSFSEINKAFEYMLKGESIRCIIKMDN >KGN43861 pep chromosome:ASM407v2:7:4606792:4607879:1 gene:Csa_7G071500 transcript:KGN43861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLQANPLFLRKMKQLKWQFRGLVIDSTSQQALNKKDDETETESLPYNSSTWVPHPRTGIYFPQGHEWVMKDIPENAASFPRLCWFRDSDDVDDLNPDKDTSSTS >KGN43567 pep chromosome:ASM407v2:7:2696730:2699525:1 gene:Csa_7G045560 transcript:KGN43567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGLISVDRWSEGSQIYFLTHLHSDHTKGLSSQWSKGPLFCSRLTAKFFPLKFPSFNLSLLRVLEIGLWHSISLVSPSSGSRKVIKVVAIDAHHCPGAVMLLFRGDFGCLLYTGDFRWEMSSERANKGRIALLNALEDNTVDVLYLDNTYCNPSYAFPSREIAARQIVDIIASHPQHDIIIGVNSLGKEDLLVHISRMLGLKVWVWPERLQTMHLLGFNNEFTTKTNLTRVRAVPRYSFSIDTLEGLNQMRPTIGIMPSGLPWVVRPHEGDGIPSGSLLITRYRQSKLNENGPFLIEKQTGKVESVTKLHKYIFSVPYSDHACFSEIQEFIKLICPTTIKGIVSSSSCYIEPLYYFGRLCGAKQPVNKFHHKKERTEKVEKDVDIKFVNWKKGTNAGILNAGVRLGRVSALRRVQRGARLQEDDCPG >KGN45491 pep chromosome:ASM407v2:7:18552827:18554494:-1 gene:Csa_7G450500 transcript:KGN45491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIEETGPNHPSDHILDWLEDSVPFFSSFLDETSNSSSINCYQWWDENQDTGEDLINGCLSNSPTTVVSTRQPNTPTSHSLTPSDLTKKRKAPDDSVHKKSQTHQNPRKNQNNQSKNSADKGSGAVEGVTVMKKSVGNKKNASKSTGNNYNSGSNKEGRWAEQLLNPCANAIVKGDATRVHHLLCVLQELASPTGDANHRLADHGLRALAYHLSSNSSSSSFSSYSSTVAPFTFASTDPRFFQRSLIKFHEVSPWFAFPNNIANSSILHILSEESNRPRNLHILDIGVSHGVQWPTLLEALTRRSGGPPPLIRLTVIAPTIEHDQNTETPFSIGPPGDNISSRLLSFAKSLNINLQINRLDIHSLQSLNSQAINKSRDEILIVCAQFRLHQLKHSAPDERQEFLENLRKMEPKAVILSENNMGCSCSKCGNFEMGFRRGVEYVWKFLDSTSAAFKGRESEERRVMEGEAAKALRNVDGEMNEEKGKWCERMRNVGFERKRFGEDTIDTARASMRRYDNNWEMRMEDKDGCVGLWWKGQPVSFCSFWKLGIKSNAL >KGN45148 pep chromosome:ASM407v2:7:16540234:16542911:-1 gene:Csa_7G428850 transcript:KGN45148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLMDTTTATLRALNPFTPFQLRSSRSLHLLRPRSFSLFTNKHHNLSSSSLRRLLPFCSSSDRVEGSGSLPTGVGEAVNGVSHPKLLQVVLVSPLIPGNTGSIARTCAASAVGLHLVGPLGFKVDDTKLKRAGLDYWPYVVVKIHNTWADFQEYFKKQEGEKRLLAFTKRGTTHHSDFSYKRGDYLLFGSETTGLPPEALEDCKNQPFGGGTLRIPMVETYVRCLNLSVSVGIAIYEASRQLNYEQLQVSSESCTNNEQSIITEDVFA >KGN45246 pep chromosome:ASM407v2:7:17150655:17153296:-1 gene:Csa_7G432270 transcript:KGN45246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNASSMLTQYDIEEVQEHCNKLFSQQEIVSLYQRFCQLDRNAKGFISADEFLSVPEFAMNPLSQRLLKMVDGLNFKDFVAFLSAFSAKASVHHKIEFIFKVYDADCNGKVSFNEIIEVLRDLSGPFISDEQREQVLCQLLQEAGYTRNSQLTLDDFVKVLGNSGIKMDVEVPVD >KGN44540 pep chromosome:ASM407v2:7:11501267:11510786:1 gene:Csa_7G328280 transcript:KGN44540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARARHPPRRKSNRTPSGSGAAQSSPTAPSTPLNGRTQNVRQAQNSSSRTIKKKKRFRPGTVALKEIRNLQKSWNLLIPASCFIRAVKEVSNQLAPQITRWQAEALVALQEAAEDFLVHLFEDTMLCAIHAKRVTIKYNFLVAGLSKKKTPFGQPNLFTIVCVCRGNVHFVCLFTIVSCNIWCSYFCK >KGN44617 pep chromosome:ASM407v2:7:12471933:12473962:-1 gene:Csa_7G343340 transcript:KGN44617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDRECMRIVEICRVAPFPSLCSPRFLPLTIFDIILLQCPSMSRLYFYQNSITNNNNVLQKLKHSLSLILTHYLPLAGNLIWPQHSQKPIIQFLEGDTVSLTIAESSSDHDFHYLSGDGFRLQAKYCHLVPELPVVDNRVAVMALQLTFFPHKGFSIGITTHHGVVDGKTSTSFFKAWAHVCKNNLDIAQPSSIPFYDRTTILNSTSHLEPTSLNKNLNLRLSFQTKPNLFRYTLELRSQDLQKLKKCFGECSSYKATVAYLLVCSAKMKSGLRDGKIYCTFPVDLRSRVHPPLPSNYFGNCIIGRLFSVERKEVLSENGMLVVAKEISKEIQSLVEEGIEKAVEKRVSRSKVSIEKEERYCMPGSPKFEVYSADFGWGKPIKVEFVSMDRTLRPLTDSKNGDGGIEIGLVGERNELEMMVTIFYQGLQPL >KGN44446 pep chromosome:ASM407v2:7:10261281:10262090:1 gene:Csa_7G291170 transcript:KGN44446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPWNGDVGGISVSGIKCGEVETGPLTVPNNHKSSFVRKVLRWSSNDVMRACSLAGNCGLAEQLMQQIIFFIICINLDCNRHPTHLMVLLDQLSQREVSVLAWKY >KGN43212 pep chromosome:ASM407v2:7:536501:536956:1 gene:Csa_7G009080 transcript:KGN43212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLQHLLKRNQGVSAVPKGYCAVYVGESQKKRFVIPITYLNRPCFQQLLCQTEEEFGYYHPMGGLTIHCRDDIFTDLISNMNDL >KGN44048 pep chromosome:ASM407v2:7:6103344:6104004:1 gene:Csa_7G135930 transcript:KGN44048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWVCFDDIRTHSKGLCRSCYQGDFTNYPNFGKHSRVLLCYGFDYRLGFNYTKYEISQLGDEPYDVDEEELISNCMTL >KGN43454 pep chromosome:ASM407v2:7:2010684:2010936:-1 gene:Csa_7G037580 transcript:KGN43454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKAFVFLGLLLAFIAEATVETNGVEDANIVVAMVVMTSVLVAIVVATAEVAHMVHACTGAAGTLVK >KGN43871 pep chromosome:ASM407v2:7:4655110:4658196:1 gene:Csa_7G071600 transcript:KGN43871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHFYLKFRDELLLRRPLRFEIHENGGISPSSSPSISPQLHSSTNGFSGIYYPLLPFPSPANFATSTFSLLPFGIRLFPSPMDSSEYYKPSFPTSKKRDSEEHSLQQATANKRPVLGEITNSFIFSSSQCSFSDQEMADKDLDKEELPEVRSVDCPEKSGSSLGIYNHLRSLEMELNMKFLPNNIEKARNDDSCSTFTRWREILVDWLVEVAEEYKLVSDTLYLTISHVDRYLSWHVVDKSKLQLIGVCCMLIASKHEEISPPHVEDFCYITDNTYTKEQVLNMEREVHRFLACEGAPTVKVFLRIFTKVSLENWKAPDLQFELLCCYLAELSLLDHRCAQILPSKVAASAIFLSRFTIQPEEHPWCLALQRYSGYRASELKECILAIHDLQLNRKGSSLLAIREKYKENKFKCVAELCSPSEIPADYFEDIDQQSFNRFLRT >KGN44193 pep chromosome:ASM407v2:7:7858851:7860005:-1 gene:Csa_7G219780 transcript:KGN44193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEQQLPIRDEAAQTAKIFTAEELQKATNNYSDDRIVGKGGFGTVYKGILPNGAAVAIKKSKIVDKTQTKQFVNEVIVLSQINHRNTVKLLGCCLEEEVPLLVYEFVSNGTLFDHIHKRKSQRSIPWKTRLKIASETAGVLSYLHSSASIPIIHRDVKSTNILLDENFTAKVSDFGASKLVPLDQVDLNTIVQGTLGYLDPEYLQTSQLTEKSDVYSFGVVLAELMTGKAPLSFSRSEEERNLSMHFLIAMKQNRLGEILDKGLGSDVDEEQVKEVASLAKRCLRVKGEERPSMKEVGAELEGLCQMAWGHPWIVDDKSSSVEEEAEVLVIEEHKKEIPFDSFLFPINQMIPKQHPAR >KGN43834 pep chromosome:ASM407v2:7:4367194:4369467:1 gene:Csa_7G070260 transcript:KGN43834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKSKILIVGGTGYIGKFIVEASAKAGNPTYALVRDSTLSDPAKSQIINNFKNLGVKLVSGDLYNHDSLVKAIKEVDVVISTVGAGQLADQEKLIAAIKEAGNVKRFLPSEFGNDVDRGHAVEPAKSAFTVKVQIRRAVEAAKIPYTYVSSNFFASYFLPSLSQPGATTPPRDKVVILGDGNPKSIFNKEDDIGTYTIKAVDDPRTLNKILYIRPSGNTYSFNDLVSLWEKKIGKNLERIYVPEEQVLKNIQEAPVPLNIIISISHSAFVKGDHTNFDIEPSFGVEATQLYPDVKYTTVDEFLNQFV >KGN44563 pep chromosome:ASM407v2:7:11903686:11904135:-1 gene:Csa_7G336420 transcript:KGN44563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPNQIRQSGVSAYVISQVLGSTLAAGTLRLIFNGDQDNFSGTLSSDSYLQTFVIEFIITFYLMLVVSGVATHNRVIGELAGLVVGATVLLNVMFSGYY >KGN43228 pep chromosome:ASM407v2:7:609743:614464:1 gene:Csa_7G009720 transcript:KGN43228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSFRLFFLALLLLASPFLKAATCQSDSDVESSEAVEDVSDLGIVGDEDSQDFGEVNFGPAPGVDTVCVFPKNSARIVPAGEETQLLAGVKNNGESSLNIIAIKASIHYTFDHRMLIQNLTGQVFHNATVTPSTQASYPYIFAVSKYLQPGNFDLVGTIFYEIDQNAYQSIFYNGTIEVVEASGIISMESVFLVSLGIALLVLLVLWIHGQIQQKLSKKPKRAASKVEVGTKTVDTSMDEWLQGTAYTKSGSNKLKKKN >KGN44335 pep chromosome:ASM407v2:7:9339058:9339765:-1 gene:Csa_7G259350 transcript:KGN44335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKITIRNQINERHNGLDLRLSLRPPSGHLSSQPSAAPIGHARPNAVTNMRVTRSLGTRRSSHQRCNSRSPRTTETIEPPYPWSTNRRAMVRTLNDLKSNQILQITGDVQCRQCQVEYTIEYDMDSKFEEIASFVEENKNSFRDRAPQSWMNPNYPTCRFCGHENGARPVIPKQWRKINWLFLLLGEMLGVLNLNHLKYFCSNTYNHRTGAKNRLLYLTYITLCHQVDPSGRFNRV >KGN43503 pep chromosome:ASM407v2:7:2307659:2309980:-1 gene:Csa_7G041970 transcript:KGN43503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIQPSSCNPSLIKLGLALIAITIVGYILGPPLYWHFKEGLAVVTHSSSSSSCPPCFCDCPSHPVISIPEELRNSTFADCVKHDPEVSRDTEKNFADLLLEELKLKEAEALENQRRADVALLEAKKMTSQYQKEADKCNSGMETCEEAREKAEAVLTAQKRLTAMWEQRARQRGWKEGTAKSRTQKQGNIQTA >KGN43663 pep chromosome:ASM407v2:7:3275184:3288114:-1 gene:Csa_7G051430 transcript:KGN43663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGAEPLAVDHDKWRLYEAYNELHGLAQEFHTPFDAPAVLVVGHQTDGKSALVEALMGFQFNHVGGGTKTRRPITLHMKYDPDCETPVCHLVSDDDPTAAIHKSLHEIQAFIEAENMRLESETSQFSAKEIIIKVEYKYCPNLTIIDTPGLIAPAPGRKNRVLQAQARAVESLVRAKMQHREFIILCLEDCSDWSNATTRRVVMQIDPELSRTVIVSTKLDTKIPQFARSSDVEVFLLPPSCALDGIILGDSPFFTSVPSGRVGSAHDSVYKSNDEFKEAIALREKEDIILLEEKLCRPLAEKEKVRIGVSKLRTFLEELLQKRYMDSVPLIISLLDKEYRSTTRKLNEIDQELSNLDEVTLKEKGRTFHDLFLTKLSLLLKGTVVAPPDKFGETLQDERINGGAFVGTDGLQFPQKLIPNAGMRLYGGAQYHRAMAEFRFVVGGTKCPPITREEIVNACGVEDIHDGANYSRTACVIAVAKARDTFEPYLHQLGCRLLHILKRLLPISVYLLQKDGEYLSGHQVFLNRVSTAFNNFAESTEKACREKCMEDLVSTTRYVSWSLHNKNRSGLRHFLDSFCGNDQSIMGGNLASSGLSQDSTFGSLSNERQDNKPRPDVKLSQLASGIDSSSCIQGTETRLVDLLDCTLWNRRLAPSSERIVHALVQQIFHGIREYFLASAELKFNCFLLMPVVDKLPALLREDLESAFENELDNVFDITNLVHSLSQRKRDAEVELRRIKRLKEKFRVVHQQLILQQSKPEMKTREGDTK >KGN44220 pep chromosome:ASM407v2:7:8099126:8100226:-1 gene:Csa_7G230950 transcript:KGN44220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGACATKPKVKADDLAAIPAPEPIDHDIVKNNEEVKFVEEEKKSDQTDEKKKENNEKVVVKEKKPPSLASLLVEKEAAPAVEVSGIPKAEEKPKAKEVAAPTIEAELKKSEEKPKEKDEKSV >KGN45569 pep chromosome:ASM407v2:7:18974309:18974686:1 gene:Csa_7G452240 transcript:KGN45569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQRCGNNVGFVAVFIVLMVVSSAPDLMLITTSHAARLAKIDPPMCPQCMCCSSPPPGFCCDCCSNSQLPP >KGN44091 pep chromosome:ASM407v2:7:6630575:6634641:-1 gene:Csa_7G183150 transcript:KGN44091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYYEPPSFSLGLDLDFDLNPQTPLPDEPSSGSSVGVNISSKQDDGGVVDCIGEIGHDLPRKFKRLKRGPARCSSVSKKRESSPLLSVVDDDIEQFSSQEDCATVSRDHHPSSLFQSVCSSSKAREDKQTVDAPTSVGLEKQNKSLFSNLTISPLRKFQLLESDSDEPSSCDNQSRKGPEVVSSLNKQKATVSLSATVDEKKKSLTASITQKEDLWKDFCQTKSFHLPTPAFDEVCKEFSQLKQDVKAATGLGSSGHISCMDNHTINSSCSSEMMDKLGCPAHDYFFHEDPRIQKLVRNRLPNFLPLGVDGNRGSVIDYMRQFSNGEASTSRPSQVTRKESSKRSTSMSKRSKNIPSKCWANEKAVTPLSSKRAPEWAATQKKKIGNSSRNVKSKQGVPNCELLEDSGNWIDPKSSFNLPKDAGKRRVHAGGQSAGHWYTSPEGKKVYVTKSGEELTGRAAYRFYKQDTGGFKKSKKKAAKKRK >KGN43967 pep chromosome:ASM407v2:7:5187751:5192189:-1 gene:Csa_7G075000 transcript:KGN43967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEKKPLTTATEPPNKQVQEIEEESRVNVEAPSRSSGGGGGGGGWGGWGFSAFSVLSDLQKAAEEISRNAAAAAQTAAKSIVDLKNEDEHGEPSKEKVGDSAEESESEDDNDKLRKSALEKLEKASEDSVFGQGLKVLDTSVENIASGAWKALGSALRGGSDFNSAANIAETIQHQGIPAAAGSVAPSLLERGKALTTKGMEVLELVGRETMDLLITETGIEVEKTSSESEPQAKEDHLEDDEVTFDRCFYIYGGPEQLEELEALSNHYTLLYNRRKGKLSQDQKSVFDGKLKQVQQIFSLGNAIEENSSKSEKGKKLEVGEEGNDEMKSLYDSSVSKAAEMAAGYGSSIAELAVPEIMQRTVDKLESLHSEGVHRVSEMCYFAVSQLLMLGKSIITNANKVEEEEEDDDEDAIKIQWPEDSVEKAEIIRLKALLMIGYVDALSKSFITGLSDVSKAYQAAMSAAPADSHKSPLQISVQDKANAFSEHLQADQTTAFCKIQDGLQYLSYLVLSTSMPAA >KGN44557 pep chromosome:ASM407v2:7:11781733:11786733:1 gene:Csa_7G332900 transcript:KGN44557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRFASGLASKARLANNSARQINSRFNSSRNYAAKHIKFGVEARTLMLRGVEELADAVKVTMGPKGRNVVLEQSFGAPKVTKDGVTVAKSIEFEDKVKNVGASLVKQVANATNDVAGDGTTCATVLTRAIFTEGCKSVASGVNAMDLRRGITMAVDSVITTLKSRARMISTSEEIAQVGTISANGEREIGELIAKALEKVGKEGVITISDGNTLDNELEIVEGMKLDRGYISPYFITNQKNQKCELEDPLILIHEKKISNLNAVVKVLELALKRQRPLLIVAEDVESEALATLILNKLRAGIKVCAIKAPGFGDNRKAGMQDLAILTGAQVITEELSLNLEKVGFESLGSCKKVTVSKDDTVILDGAGDKKAIEEQSDQLRSLIELSTSDYDKEKLQERLAKLSGGVAVLKIGGASEAEVGEKKDRVTDAVNATRAAVEEGIVAGGGVALLYASKELEKLQTANFDQKIGVQIIQNALKMPIYTIASNAGVEGAIVIGKLLQQDNHELGYDAAKGEYVDMIKAGIIDPLKVIRTALVDAASVSSLMTTTEAVVVEQPNDEKEATPSMGGMGY >KGN43925 pep chromosome:ASM407v2:7:4925989:4928628:1 gene:Csa_7G073610 transcript:KGN43925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASFSFSTSQTHPDRISPYSNLNSLRLFSPPSNLPFNRRLNPRNASLTLNIQCISQPKAEVVTKDSWNKMILESGTPVLVEFYASWCGPCRMVHRVIDEIAAEYDGKLKCFVLNTDTDLQIAEDYEIKAVPVVMLFKNGEKCDSVIGTMPKDFYIAAVERVLKQ >KGN45168 pep chromosome:ASM407v2:7:16669708:16670694:1 gene:Csa_7G429540 transcript:KGN45168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIVLNYPLFQVYGLSWVVIISILLLMKAVSVGRRKFSASFQLLFRLAEGFIFIICVAGFITLVAIPHMTIRDIILCILAFLPTGWGLLLLRNRDGVASVHTYSISGLVSICFRVPNTNVVQSSIQQRAASFKICSVFGTEMFSDCDSNFWSESENLEDEED >KGN44419 pep chromosome:ASM407v2:7:10043965:10045146:-1 gene:Csa_7G284940 transcript:KGN44419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLQCSLDRGISCSILLGHSVCLCLSSEFLSFIESAIWTLLTYYTIGFAPAPSRFFKQFLAFFATYQTALSLFRLVAATGRMLVFYCLEVSLLTEVSDCSPFPYRWNVLHLKSDAWNNQV >KGN44339 pep chromosome:ASM407v2:7:9373774:9375465:1 gene:Csa_7G259390 transcript:KGN44339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGLIFINVFLLALFAGTIESRHEPGDHHWRNLMKDKMDDCTETLKVEDGKLFIEPRPQATFHGDVQTKILSKDLEQRPSVSFRPDDTRTKLFVEHIELSPSIKFYPHEIKAKLDKDTDVPPRTLIYLNDIKSNFFVKDIERQLRARFYRDDNKRKLAKDIEPRPNVSFYPDDTKTKLFAEDLEPRPNVSFYPDDETKTKLFAEDVEPRPNVSFYPDDETKTKLFAEDVEPRPNVSFYPDDDTKTKLFVEDVEPRPNVSFYPDDETKTKLFAEDVEPRPNSFFYPDDDIKTKLLVQEIEPRPNVSFYPDDDTKTKLLAEDIEPRPNVSFYPDNLKAKEQLSAHSHHGEAGLQVAQA >KGN43828 pep chromosome:ASM407v2:7:4346494:4346982:1 gene:Csa_7G070240 transcript:KGN43828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKMALATTLSALCIVALALTPIVIAQNSPQDFFDAHNALTLKIISRRRLKLNSFLVGCATVKCKNNWSLVSCNYSPSGNVVGERPY >KGN43175 pep chromosome:ASM407v2:7:306504:314547:1 gene:Csa_7G006250 transcript:KGN43175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFFLSLPPFIRIPSASSSHPMMSEIQKWNVGVFKTWNLKAFPLSPVNSRRRRTLIYAVNQDAEDAFKKTVEVDRLIDTLRNASSIELQKLVLQNVLAFNENFWIRLAARTDTCKSEDDKKDYEELAASVMSIVDHLVHKTKEKIESSTDILKEILKPVVDNVEEIAWPPRDPGALKLMEKEIIHREQEGQLDEGFLAEVSAQLRQAKEDGDKPGLEAMLQKVLQLYASRVLSKRSYAKKGEEVLKAELFLETIIKAPEEEWNKLLINGLTIGKGEVLPNELDSVIKKRIERTLIRTEGGSYQQRVLTEYLKGIQSRSEEITQVLQGKTQ >KGN44886 pep chromosome:ASM407v2:7:15003118:15003554:-1 gene:Csa_7G394060 transcript:KGN44886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIKSLISISLLALLFFSESLSTSAANCRGASNSVTAMLRRNILQTATHEIADSEGKMNVRIKKGGLGRFRPIGAGTGTGTRSSAANRSRVDSFGLGFLLFFFGLVL >KGN43151 pep chromosome:ASM407v2:7:113113:119935:1 gene:Csa_7G004040 transcript:KGN43151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLRAYLNSLLGQRFFPSEFGYDVENVHGIGVVKSIFEAKVKIRRAVEAEGIPYTYVLSYYFNAFCLPRLAQHGATAPPRDKVVIQGDGNPKVIFNKEEDIASYTIKAVDDPTTLNKILYIKPPPNVLSINELVALWESKIGKTLDKTYISEDQLLNNIQEAAYPLNLMLSFDYSIFVKGDHKANLEIEPSIGLEATKLYPDVKYTPVDEYLNQFV >KGN44977 pep chromosome:ASM407v2:7:15502606:15507605:-1 gene:Csa_7G405830 transcript:KGN44977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMESCDCIDAQWPPDELLVKYQYISDVLIALAYFSIPLELIYFVQKSAFFPYRWVLMQFGAFIVLCGATHFINLWTFSMHSKAVAVVMTVAKVACAIVSCATALMLVHIIPDLLSVKTREMILKNKAEQLDREMGLILTQEETGRHVRMLTHEIRSTLNRDTILKTTLVELGKTLGLEECALWMPSRNGLSLQLSHALNYQIPVGTNIPINLPVVNEVFNSNRAICVPYTCQLARVRTSVGGRYLPPEVVAVRVPLLNLSNFQMNNWPDGSSRSYAIMVLILPTDSARKWRDHELELVDVVADQVAVALSHAAILEESMRARDQLVDQNVALDLARREAETAIHARNDFLAVMNHEMRTPMHAIIALSSLLLETELTPEQRVMIETILKSSNLLATLINDVLDLSRLEDGSLVLDMGSFNLHAIFKEALDLVKPIASVKKLSMALILASDLPICAVGDEKRLMQIILNIVGNGVKFTKEGHVSIIASVAKLDSLRDWRPTEFYPMQSDGQFYLRVQVKDSGCGIPPQDIPHLFTRFTQLQTRSNKTNSGVGLGLALCKRFINLMGGHIWIESEGPDKGTTAVFIVKLGICNANPNDLSVKQVVPIVNHRSADLHGQRPIFRETGQVSFSSSRYQRSL >KGN44413 pep chromosome:ASM407v2:7:9979084:9985158:-1 gene:Csa_7G282410 transcript:KGN44413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGLKKHLKRLNAPKHWMLDKLGGAFAPKPSSGPHKSRECLPLILILRNRLKYALTYREVIAILMQRHVLVDGKVRTDKTFPAGFMDVVSIPKTSENFRLLYDTKGRFRLHSVRDEEAKYKLCKVRSVQFGQKGIPYLNTYDGRTIRYPDPLIKANDTIKLDLESNKIADFIKFDVGNVVMVTGGRNRGRVGVIKNREKHKGSFETIHIQDATGHEFATRLGNVFTVGKGTKPWVSLPKGKGIKLSIIEEARKRLASQAAVTA >KGN45463 pep chromosome:ASM407v2:7:18360139:18360432:1 gene:Csa_7G448740 transcript:KGN45463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYVHHKKQTKTTFPAGGSLSRINKIPREYRHFQISQFDKLSHLPSSLQAQENGNKNNPTSPSPSAGRHLPLYIHQQLSLVSQFTRNKRPQHSITIQ >KGN44859 pep chromosome:ASM407v2:7:14803919:14805834:1 gene:Csa_7G392320 transcript:KGN44859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFFRSVFSDDPDPSTASKTEPQSPHKSSFEEGEQSSDSSPLSNPTPVDDAGAWSFGGLIKTLSARSESVIETYRRDLQEFGSGLKKEIEVAHGSLETVGHAFDEFGSSVLKGTAQIIAQGKNAIQAIDQESDSDSSTNQNLSNQRSSNSKPYSRFDAQVRSLQGDTATYCDEPEDLGDYEKWRSQFVLNDKSEEIENLIEENGAIDNIHKKVVPNVVDNETFWFRYFYKVHKLKQAEDVRANLVKRAIAREEEEDLSWDVDDDDDNEGYNEMNAGSKGDTVKNDVSNEDQGKATKGEEVNVEHSTANVEVKDDLPAKEEVGGKESIEVVKELNGGSSVGDDGKREKSSSVEELEGEKKGSDQKVHLEGGSGSNNKDRGLKPMAVEAKSDHGESSKDSDVSIVSTQPSMPEDEDLGWDEIEDLSIIEEKKGVVTQGGITNREEIQKRLSTAEDDEDLDWGTDTE >KGN43349 pep chromosome:ASM407v2:7:1409463:1410155:-1 gene:Csa_7G025210 transcript:KGN43349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFAISNPIQYRLYASGTGGNGVLQVNISFIQQIISVSPLTGRPHEVLHQTPRIPYHNALFYLSLRQLQSPLFYITQILSSLNIRPAASQNMARRIASHILQMPDRNSETNFHILAEVDFIRLIWLPSMGGGGAGAEETVVLDEAPPAVKRGVGVARGERLRSEEKMEELGDCSICLDELSCEKREVMRIPCGHVYHESCIFKWLENHNSCPLCRKPLHHDDEDDEEYSW >KGN44199 pep chromosome:ASM407v2:7:7897035:7897246:-1 gene:Csa_7G220330 transcript:KGN44199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METNSSTVEDDSKSLWQYVIKIKKLSDGEELIYKSESSLAEDSWSRNWVMSKGYS >KGN43321 pep chromosome:ASM407v2:7:1222315:1223175:-1 gene:Csa_7G023950 transcript:KGN43321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASTLNPRNSQHVRSKSENSNPHPIISQVNEQLRRLRPDSEATSSSLCHRLGALQDLYDGVDKLLVLPNSQNALVQQSNEEVFDDLLEGSLMLLDLCDTAKNGLLQTRECIHELESILRRKRGEICTSSSLHKSRKMIKKTIQKALKGMEGEPFHKVHGSLTIVNLIKEVEAITYHSLESLLSFIAGPKLPSKWSCWSSVSMLVQPKRVACISEETDISVVERLDLALSSFTNHQSNKEFQIQDEDMQNLLRECGASIKEVEEELDGLYRFIIKTRVSLLNIFNN >KGN43725 pep chromosome:ASM407v2:7:3695603:3700027:-1 gene:Csa_7G062910 transcript:KGN43725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTKSEYCCCKINKNPTKINGSFKCKCNNHSFKPSSSSSSSGAGVSLRRRRYASQDDESKPEKVLWPSVQPPMRTLSSTASSDGRRTIKRALLCGVTYKNWKHRLQGTVNDVRNMQDLLINHFGYSKQNIRILTEDETKPEQMPTKKNIQNGLKWLVEGCTGGDNLVFYFSGHGLRQPDFDMDELDGYDETICPVDFMEEGMITDNEINATIVSPLKNGVTLHAIVDACHSGTILDLAYVYDRDRDEWLDNRPPSGARKETSGGLAISMSACGDDQFAADTSILTGKTMNGAMTFILIHLVKTFGDLTYGRLLQYMHDTVQRANKQGCFSCSFLRKLLRYKKIQEPQLSSSEVFDVHKKIFTL >KGN43664 pep chromosome:ASM407v2:7:3291683:3298460:1 gene:Csa_7G051440 transcript:KGN43664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALAPVAPWTPEDDILLKNAVEAGASLESLAKGAVQFSRRYTVRELQERWHSLLYDPIVSEDASMSMIDFERSSPLPSKFNKFGNPKETKCIGGKRKYGTVRRRYYTLRRRICNEPFNPMDLGFLVGPSDSNYGVEEPISGNCIPPTSDGFGLQGSELGILQCNFAQNGMNTDDAEHTFHSECQHTVEKHFSRSLENGQEGISHIMGESLPLSANESHVEEMAPSAGFPVHSLFDNDLEVRHSTFGQLSNDQRAMGSELEDNDVFNSPVSDSGASFHNVEYSSPLPGMPIWRNASAPALPIDVGFADKDMPIGDSFDLPDDDGNKNIQNARLAGYDAHSDLKLKIEVQHDHLKSPNATAEVDFAELSNSLLNLSNEDELLFMDVDGKDVIDKSYYDGLSSLLLNSPNEVNHDQTTTGINAETGWPTDALVDPPTACSGKLYEKESHGGVGHLDCSSEAHPSPSASLGSQCPGKGNEPLFCALNTEDPEIPSNDDVFLPPLTPMGSQFQDSTFSSTKDFTYDEKSGETQYLVRERKNHGQPRALHGFPERVEKHLVGGASVNLNKLSHGNSRHLSPVNNISSINVNSDAIQPVVFKEENNEISRVNHLGQNFLNAHVEKPGFDSDNVRRYTPSAACGIKQEPDILATLKDHRLSQEEGTQGVFCAEQDGISSTSDQDDLLSIDSEDDIPHFSDIEAMILDMDLDPEDQELYSSEEVLKYQHVETRKSIIRLEQGANACTQRSIASHGALAVLHGRHSRHFIKKSEVLLGRATEDVIVDIDLGREGSGNKISRRQAIIKIDQDGFFSLKNLGKCSISINSKDVAPGHCLRLNSGCIIEIRAMRFIFESNQTCMKQYLDNIGKMSHKQEFQS >KGN44407 pep chromosome:ASM407v2:7:9920272:9924139:-1 gene:Csa_7G281360 transcript:KGN44407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRRKKKRTAGSEDPSSSSPSSSDDSDSSSMRSRRHYRSDSSRREKDKERESRIRDRERKRKDRNRERDRKRRKKKLRRESKRKKDDYGSESDNESSHSGNSVNTKSDRRKVKIKEPEVILRQLLKDFPDIGNDLKQLLKMIDDGQAVDISGISVKCLTKRLKTLFVSLNLEESQERVFLLPSSVRPTLEVIGPLIEMHMDQKSKPVDDAITLDDKSSPPLNMEATQAREDNMSELAYPDGEASGPKRRMLGPEMPSAELLAAAAKLTEAQTELREVELEEDDELFVGPPPPAMVAEAETANEAERFEEVSRIMDVEGDSTGKCHFWCIQTNALILMPTKLLLD >KGN45024 pep chromosome:ASM407v2:7:15774332:15779674:-1 gene:Csa_7G407770 transcript:KGN45024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPLLLALLLLSGFTPIRPVKSHQESGEWSCESDSDIGIVAEFRPGIITLDGHADDWNDIDGFEFSLLPALDPDEDKEYSGGKMTVKALHDGRDVFFLLQVDGQYRYSKGDSSKCPSVALMFQIGESATYHSMGGCKEGKDTCTNKTCKGYEVDLMHFSIGNAIPGRLYGGNNVDIGTGGDRFGHLVDVYAWNPHCRYLDGIGPSGNDSSAKNDWKGAWWHSSFSHHSGFVEEDSPYSSDNQKGTYYFEFSRPLRTSDRLQQDAQFVIGGSSKMSAAFWYPVDEKPWHGSGHYSIHCDWTSLDFYSSSSKLTTSLHGSGSSSTASIFALLISVISLCLSVVVVYRLFRPQSVAVEYTVLRPQNVALTSMDNNSNL >KGN44131 pep chromosome:ASM407v2:7:7208955:7213467:-1 gene:Csa_7G201830 transcript:KGN44131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKPHKTLPRMCFHSLYFLLGSHQSSNFSSQPHPSSPLSISSNTDPFPELVSKISIILSSPTWERSSELSHLIPKLKPHHVVNLLDTHNNTESVLRFFHWVSRTHFFKHDMSCFVSMLNRLVRDRLFVPADNVRILMIKSCRNEGEVKRVIQVLSEINTTYDFGYTLYSFSTLLIQLGKFDMDGLGRDVYIEMLNSGIRPNLFTFNAMIKILCNKGKVQEAELIMGHIFHYGACPDTFTYTSLIIGHCKNGNLDLAFEMFDRMVKDGCDPNSVTYSALINGLCSEGRLEEAMDMLEEMIDKGIEPTVHAYTIPIVSLCDAGRSCEAVKLLGKMKKRGCGPNVQTYTALISGLSRDGKFEVAIGVYHKMLADGLVPTAVTYSALINQLYVEGRFETALTIFEWMLSHDSLPNTETYNVIIKGFCSIGYIQKATAIFDQMLKAGPSPNVITYNIIIHIYFKQGYMNNAMRLLEMMKGNGLKLDTWTYANLISGFSRGGKLEHAFSLFNEMVEHGISPNVVTYNAIINGYLTVAKVDDALALFWKMVESGNVPSSGTYNMMISGFSKTNRISEAENFCGKMVKQGLLPNVITYTSFIDGLCKNGRTSLAFKIFHEMKKRDYFPNLCTYSSLIDGLCQEGQAEDAERLLDEMEKKGITPDEITLNSLMNGFVALGRIDRAFLLCRRMMGVGCKPNYRSFAILLKGLQKESHSLTEKAVAQHEIMCTCSSDEKCISTGSVYNLLARLADYGCEPNVDTYTTLVRGLCGKGRCYEADQLVESMKKKGLQPSEEIYRALLVGQCKNLEVESALKIFDSMVTTGFQPCLSDYKALICALCKANFRQKAQCMFQTMLKKHWNSDEVVWTVLLDGLLKEGETDLSLELLHVMESRNCTLNFQTRVMLARELSALGCSIEIPQISKQLGIVKEKQVQSKLLMFLEMILLYKKFLKMMHISHSSRDLKCFQSASCTLR >KGN44531 pep chromosome:ASM407v2:7:11434380:11438322:-1 gene:Csa_7G325720 transcript:KGN44531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSAIDALPKGGFSFDLCRRNDMLAKKGFKSPSYLKTGTTIVGLIFEDGVILGADTRATEGPIVADKNCEKIHYMAPNIYCCGAGTAADTEAVTDMVSSQLQLHRYHTGRESRVVTALTLLKKHLFGYQGYVSAALVLGGVDVTGPHLHTIYPHGSTDTLPFATMGSGSLAAMAVFESKYKEGLTRDEGIRLVTEAICSGIFNDLGSGSNVDVCVITKGQKDYLRNHLLPNPRTYVSSKGYSFPKKTEVLLTKIMPLKEKVEIIEGGDAMEE >KGN44271 pep chromosome:ASM407v2:7:8562496:8562807:1 gene:Csa_7G237860 transcript:KGN44271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLFFTPTRCLFQRLGSSSINTTRNKGMANTHSLAKVKEDVSPTIVDACSLRCRQQHRKKCNEKTFVDVEYGSHRRMVIVDASLSMREGCQCKSNADVSVAR >KGN44696 pep chromosome:ASM407v2:7:13359283:13362340:-1 gene:Csa_7G372900 transcript:KGN44696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGIPSVLNVVEDPSGKDFARAVTEAEAITSNNSDTEPFVGMEFESEESVKVFYDAYASRLGFIMRVDAFRRSMRDGAVVWRRLVCNKEGFRKFKPKRSENRKPRAVTREGCKAMVVVKKEKTGKWVVTKFVKDHNHPLIVTPASARRNVLLSHTRDEKDAKIRELTAELQQERKRCAAYQEQLAMILGDMEEHSNHLARNIDNIIQSVRDIESENNAFSNS >KGN43766 pep chromosome:ASM407v2:7:3995961:3996232:1 gene:Csa_7G066230 transcript:KGN43766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRIQSVLPPPYCGQEFGNGQVPNYAYPSMYCRGAPRVGSPPWRPDNKDGHYVFSIGECLTPRCKHLPVL >KGN45473 pep chromosome:ASM407v2:7:18430000:18432001:-1 gene:Csa_7G448840 transcript:KGN45473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFFSWMQTKLNGKQEKKIPDTISTSHHKKQESREEFSDWPHGLLAIGTFGNNEIKDDSDQREDIEEENPSSSEEIVDFSPEEVGKLQKELTKLLSRKPNAEKQVADLPLDRFLNCPSSLEVDRRISNPLSSDSDDKDEDIERTISLIIGKCKDICADSKKKAIGKKSISFLLKKLFVCRTGFSPMPPPSLRETLQESRMEKLLRTILQRKISPQNASRSSSLKRYIEEKQSAKNRNDEEDQKKHAKDGSKWVKTDSEYIVLEI >KGN44593 pep chromosome:ASM407v2:7:12236179:12236961:-1 gene:Csa_7G339650 transcript:KGN44593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRTTGTEKGVLKLIHPGKHVETYTKPILASEVLKKYPKFCITRPDVFKYPWIVVRSDSLLVPGKVFLLVPKRTLHRLLKTNHPPDGSLPSLLSSPLSRSFSRPSLPLRSNAGTTPKHLTHLRRSQSKPLGEVDGIRNRKNAHVEWWLSMLPPHGVGNKRSTVRYSSSHVHDCYKCGSVPSTDMSREDVENDRGGGYRKWKTTTSLRSCMRKPGSAPRLANLKVRFSIPNEDIVEPVAKQRTVIESLSKLAASLMVDVCR >KGN44672 pep chromosome:ASM407v2:7:13096768:13101729:1 gene:Csa_7G368730 transcript:KGN44672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESHSLYLSSSSPSLQSHRAIHKLSSQKPISPPPSLIPFANSKPLTVFNGPRFSSINRTPFPSPTIPSNPPFLFAKTSPFLQLMKPHFPFALTQLLLLTPLPSFALETDLASSDKISLESILVSIDEFFNRYPFFVAGCTFIWLVVIPSIDYYFIRKYKFISAINAFRKIRDEPNAQLLDVREEKSLAVLGSPNLRILNKDVVRVVYSEEDEDGFVKKVKKSFGDDADTIVFVLDNFDGNSMKAAELLVKNGFKEAYAIKDGVRGEKGWLAIQESLLPPSVHMKRRKKGKASPKLGTNGVVQQNGDNEAVPSLKSSNREIQPSHVHVNASSPAESQLKTQSRPSSPYPNYPDLKPPSSPMPSKPGK >KGN44847 pep chromosome:ASM407v2:7:14698194:14700154:1 gene:Csa_7G391240 transcript:KGN44847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSNEVNLNESKRVVPLNTWVLISNFKLAYTILRRADGTFNRELAEYLERKVPANVFPVDGVFSFDHVDRASGLLNRVYQLAPENEAKWGIIDLEKPLSTTKVVPVILFFHGGSFAHSSANSAIYDTFCRRIVSVCKAVVVSVNYRRSPEHRYPCAYEDGWAALKWVKSKTWLQSGKDSKVHVYLAGDSSGGNIAHHVAVRAAEEDIEVLGNILLHPMFGGEKRTESEKKLDGKYFVTIQDRDWYWRAYLPEGEDRDHPACNIFGPKAKSLVGLDFPKSLVVVAGLDLMQDWQLAYVQGLKDSGHNVKLLFLEQATIGFYFLPNNEHFYCLMEEINNFLNP >KGN45099 pep chromosome:ASM407v2:7:16258694:16259567:-1 gene:Csa_7G425910 transcript:KGN45099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIRGYRGMKLCDWVSSDSFLGGLVSIKLCHCEKLEHLPQFDQFPYLKNLYLKDLSNIEYIDDSSPVSSSTTFFPSLEKLRIKKMPKLKGWRRGEIASNYSAQYTASLATALHQLSELWILDCPQLAFIPQHPLLRSLRIRGVGLQVFDRVVRMATNLAADSSSSSTLSKLSSLEIDNIDIKFLPEVLNCNMKDLESLTIRNCKHLLMSSSHLVYEEDGRLLYWKELSSLRRLSFWDIPKLEYLPKGLEYMTAIKTLRLINCEIY >KGN44647 pep chromosome:ASM407v2:7:12851818:12854540:1 gene:Csa_7G360030 transcript:KGN44647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNQFFLNPTGISQSLMHFDSNPSIATWHQQFSSPMDLPTQLPDLTHCSSSSHQSPPDCFLNPVSDQSFQFDSALSSMVSSPAASNSNITNESFAIRELIGKLGGNSERRILELSNSTAAAALPPSSSSVAEFSSDPGFAERAARFSCFGSRSFNGRQLTNEFGNYRSHLSIGNEKLSRVSSSPSLKALGSEMNLQEHKNNSSSQEDESSLSNQDKTITNPRKRKAITKAKLKEPVVEATPEKESPKKLKTVERKENVKTEEDLKKNDENSAEERQTKANSKPPEAPKDYIHVRARRGQATDSHSLAERVRREKISERMKLLQDLVPGCNKVTGKALMLDEIINYVQSLQHQVEFLSMKLASVNTTRVDFNVDSLISSKQMYQSGTSLTHPQISPIDSSTSSFYGHQNSSLPTTSHCSVDPIDSVLCQNLPIQLPPLNSFLQNPSQYPNFGEDELQSIVQMGFVQNQTQEISLQSHNFNLGSDQMKIEL >KGN44397 pep chromosome:ASM407v2:7:9891833:9892893:1 gene:Csa_7G279790 transcript:KGN44397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSGLKCLDSATFYSQEMRVPHAVSDTKEIQRILPKATSLSCVLQTTMALSEIESQEFPAQALTLKEPNAFFLRSGLALLLSSGDGHEAWAKSREFPMQILIFGTLCILARSSLARLRSTGNGHLRVPHAISNNEGIRHILARNDLILLLSIGDYGFKRESRVPYVGSDIDATHFILVKSNLALLLSTSDCIEASILSREFPKRILIPKELDSFWPEMAWLSYFLRVIVM >KGN45415 pep chromosome:ASM407v2:7:18004573:18010551:1 gene:Csa_7G447770 transcript:KGN45415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSVYGDRLTTFEDSEKESEYGYVRKVSGPVVVADGMGGAAMYELVRVGHDNLIGEIIRLEGDSATIQVYEETAGLMVNDPVLRTRKPLSVELGPGILGNIFDGIQRPLKTIAKRSGDVYIPRGVAVPALDKDTLWDFQPTKIGEGDLLTGGDLYATVFENSLMEHRIALPPEAMGKVTYIAAPGQYSLKDTVLELEFQGVRKQFTMLQTWPVRTPRPVASKLAADTPLLTGQRVLDALFPSVLGGTCAIPGAFGCGKTVISQALSKYSNSDTVVYVGCGERGNEMAEVLMDFPQLTMTLPDGREESVMKRTTLVANTSNMPVAAREASIYTGITLAEYFRDMGYNVSMMADSTSRWAEALREISGRLAEMPADSGYPAYLAARLASFYERAGKVKCLGGPERTGSVTIVGAVSPPGGDFSDPVTSATLSIVQVFWGLDKKLAQRKHFPSVNWLISYSKYSSALESFYEKFDPDFISIRTKAREVLQREDDLNEIVQLVGKDALAEGDKITLETAKLLREDYLAQNAFTPYDKFCPFYKSVWMMRNIIHFFNLANQAVERGAGMDGQKITYTLIKHRLGDLFYRLVSQKFEDPAEGEPALIEKFKKLHEDLTNGFRALEDETR >KGN45441 pep chromosome:ASM407v2:7:18212022:18215917:1 gene:Csa_7G448030 transcript:KGN45441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWWRSASFILDKQQAEGASKAPETLSISPPTQSSMADAFQNPNPKISAYYQSRAAHTAVVTSDWLAQAQAAVGFQTDDQIPSETDTRDSESGKPFSVIDEFNNWRKQPDLAEAVAAIRALAAVIRSSQATTMMELEIELKKASDSLKSWDTTSISLTAGCDLFMRYVTRTSALEYEDFKSGKSRLIERAEKFGEISCKARRIIAMLSQDFIFDGCTILVHGFSRVVMEVLRLAAQNKKLFRVFCTEGRPDRTGLRLSNELAKLDVPVKLLIDSAVAYAMDEVDMVLVGADGVVESGGIINMMGTYQIALVAHSMNKPVYVAAESYKFARLYPLDQKDMAPALRPIDFGVPIPSKVEVEKSARDYTPPQYLTLLFTDLGVLSPSVVSDELIQLYL >KGN43285 pep chromosome:ASM407v2:7:1026594:1029285:1 gene:Csa_7G017680 transcript:KGN43285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSLMAASSLTTSFQRKTPIRCSSSSSPALSSQPQSIHFDLKTYWKQLILEINQKLDEAVPLQYPDQIYEAMRYSVLAQGAKRAPPVMCVAACELFGVDRLAAFPTACALEMVHEASLIHDDLPCMDDDPSRRGQPSNHTVYGVDMAILAGDALFPLGFQHIVSHTPFDLVPESRLLRVVAEIARAVGSRGMAAGQFLDLEGGPNSVEFVQEKKFGEMAQCSAVCGGLLAGAEDHEIQRLRRYGRAVGVLYQVVDDILEEQSKKLDYTDESKRSKGKSYVEVYGIEKAKEVAEELRAKAKNELEGFEKYGDQVMPLYSFVDYAADRSFSFETSS >KGN43919 pep chromosome:ASM407v2:7:4899127:4902048:-1 gene:Csa_7G073550 transcript:KGN43919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSDEPLTPAGRLFLRPEINQIIHCLVGLKNSIDVDSVKSQIADSIMIQHPRFSSLLVRDRNGVEYWRRTSIEVDRHVIVVSDPVSDDVGGVNDEKAANEYLADLAISSSMDYSKPLWEIHLLLAHNCAVFRIHHALGDGISLMSLFLTCCRRADDPDALPTIVSDLKAVRTGNRGRRSCGEMMLEFLLTVWFSLLFVLEFIVRALWVCDRKTPISGGDGVELWPRKVATAKFALEDMKAVKKGVPNATINDVLFSVIGAGLSRYLEHRQPKGLKEGLQLTGVAMVNLREQPGLQDLSDMMKGNKGSRWGNKLGILLLPVNYYTKALDPLQYVKRTKKMLDRKKRTFEAHFSYGIGKLVMSFLGPKVACILNYRIVCNTSFTISNVIGPREEITIGGNPVTYIRVTSTSLSHALTMHMMSYAGRAEMQILVAKDIIPDPEFLAECFENALLEMKTAGATLTTK >KGN43815 pep chromosome:ASM407v2:7:4265574:4267640:-1 gene:Csa_7G069170 transcript:KGN43815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVQFDHESKEKIFQIFKEFMASVAKLDELGTLGSQLLSGLRQGLELLRRPSINGTSKLIENVIEMSNTENLRSYIEAGCINTHDGAQSTKKLHTCRVGLDDHLKKARSLIDELERLHNDVNIELETENPLCNSTMSDEDLELDEEEATVPSKKPDANDYAVLMGIVKVMIKKNHIMQEKIISGLSLKSSSGELETYCLMWSLQPYIDDEIMRWAWKLV >KGN43199 pep chromosome:ASM407v2:7:489137:489524:-1 gene:Csa_7G008460 transcript:KGN43199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRLPSIVHAKQSFQRSSTMRNGASPTVVDVPKGYFTVYVGETQKRRFVIPLSCLNQPSFQDLLSQAEEEFGYDHSMGGITIPCSEAIFLSLTQS >KGN44234 pep chromosome:ASM407v2:7:8222446:8222751:-1 gene:Csa_7G232580 transcript:KGN44234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVCRRQAAGSANGRVWLTLSPPSAEAPPPLSKTWQRHWGWERRTLVSWEQQLGDGVEELPLIY >KGN43959 pep chromosome:ASM407v2:7:5164930:5165866:-1 gene:Csa_7G074920 transcript:KGN43959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQIKNNNYLLPLLLLSLFFQLSLSDNTSHKHFVFIHGSCHGAWSWFKLLPLLQSSGHRVTALDLAASGIDHRNPDSVRSISQYFQPLTDFMSALPQHQKVILVGHSLGGLVVSKAMEDFPTKISAAVFVTATMPGPALNISTIYSKVFERNESMMDSVYSYGDGRNRPPTAFLFGSRFLASKVYQRSPAEDLTLATLLMRAVPLFTEKDMSDVLKLSERNYGSVKRVFVVSEMDLVSNIEFQRWMIENNPPDHVVEIEGSDHVVMMSKPFQLCAHLQLLAQPRP >KGN44971 pep chromosome:ASM407v2:7:15459214:15459898:-1 gene:Csa_7G404790 transcript:KGN44971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTQGFNLFHTLFLVLACLCCVNVGATFHDVTGILGLGIHHRYSFHNTIGSGGVGLFSNTGGSTASSVVDIESPAQAPTSEIAPAGLETDPAINNSHDFEGFISKDHDIHWKSGSNIALSHGGVISTGGKIGVKSYSNFAVSHGLQTSSSSRNNKALSSGIEIEKKNVASLGLKAHTRKSNIDFGSSHAFGIQGTK >KGN43784 pep chromosome:ASM407v2:7:4111522:4112272:1 gene:Csa_7G067390 transcript:KGN43784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQWQPTKQNFQYYQISTKLAHIKMTIGIGGGIGIGIGVPGIKVSIGGGIGIGSRKGGCKSHDPSKKWSQVPNAQADQVIINVANFAVAAFNQKYGNKLVFHAVLEAWVVVLPDGKKEYSIELVAKDCLNRCLYFHAIVIEIVGVPPGWNLFSFTQISD >KGN43447 pep chromosome:ASM407v2:7:1969161:1970635:1 gene:Csa_7G037510 transcript:KGN43447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSMIFTVCSALLLLSSSSANAQTASPPSFSPTPAPAPAPDFVNLTDLLTVAGPFHTFLSYLQSTKAIDTFQNQANNTEEGVTIFVPKDSAFSAQKKPSLSNLTADQLKSLILFHGLPHYYSLAEFRNLSLQNPIPTFAGGQYSLNFTDVSGTIHIGSGWTNTKVSSSVHSSDPVAVYQVDKLLLPEAIFGTDIPPTPAPAPAPDIAPAADAPSDVIDGRAAPSSEPKPSSSHRIINWGILIHIVLGISGGFLF >KGN44836 pep chromosome:ASM407v2:7:14623232:14623564:1 gene:Csa_7G390150 transcript:KGN44836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNKSSSPQESSISGVLPRNERRNWAASPSDVLNHFGTSGLSVAIATAVTHLKFTPT >KGN45466 pep chromosome:ASM407v2:7:18373100:18376228:1 gene:Csa_7G448770 transcript:KGN45466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSGNLGTDPSLFDLEEIIDNNGGSDDDYDSDDLECDPSYSIQKRTNSKTSRTSIRKKLKPLDIVDTNLIEDSDIDDVVPNIPIINEKDEKDTREVLSIIKAGGKLEKLKVEQCKSYLRNNGLRLSGTKDVLIQRIKEHLEILNGGGEKKYPEYSFIVNCKGDACMGDIVMFEQKVYEMYNIASRSSTGPSCGTRTVAGRIVKESYGSSKQQHTFTIEVLWSKGEKPLPPLHPLLIKGRNLYRMKTMRQPWEDEDERQKVLMEKHARGSLARSNREARVIEKEKRKTHKENREPRKEGFRNNPSQSNLSSAGTILANSMAKLSVERNVVHQHQERPSFGNLRKATDQTKSHLFIDDHNNRLPFQHQHMVDNTIKNNYYSQPQTKANPPAIFNIHPSIYRNNHAAASVNKVLDNTNHISWDYDHHSRLPMDRMNLYPKVNHSAQEGYKQQQCRYYAVGKCYYRENCKFSHDMTEDFVQRERERLGHRYHMHQNARHCR >KGN45094 pep chromosome:ASM407v2:7:16226106:16230301:1 gene:Csa_7G420870 transcript:KGN45094 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein MAMATCNPTLHSSLTMAHTSSVHPQDPSSLLSFRPIAASSTANIFSLCHPLILQRRGGRSINWVDLKFGRECRDHLVVAALAAEIEVDEDVEENGEEGNGGTATSVLPKIKKGKAALPLKRDRTRSKRFLEIQKLRETKMEYDLKTAISLLKQTSSTKFVETAEAHFRLNIDPKYNDQQLRATVNLPKGTGQTVKVAVLTQGEKLDEAKNAGADLVGGKDLIEQIKGGFMEFDKLIASPDMMPKVASLGKLLGPRGLMPNPKAGTVTTNIPQAIAEFKQGKVEYRADKTGIVHLPFGKADFSEDDLLVNLLSAIKSVEVNKPTGAKGVYWKSAHICSSMGPSIRLNTREMLDYKIPSSNA >KGN43461 pep chromosome:ASM407v2:7:2057183:2058216:-1 gene:Csa_7G037640 transcript:KGN43461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNKSRIKEITISNAQLKSSLLYCTLLIFVKSSTPTSHAVPFITVIANQQIRRSHFFISTFITLLGLCNLPQRRSKLKLNRIGWNALNIATNHSADVTTYLVTWALRT >KGN44058 pep chromosome:ASM407v2:7:6205710:6208911:-1 gene:Csa_7G154490 transcript:KGN44058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSLFSFSPTLHSHFPSSSDFYLPSQFLLTSSFGSRSRRRNVPRFVVRSTHSSAAILKPKRRSRFGRTLSPFDSDEDGYDVDEFSSDGDDDDAWPDNGDFSDVEYDAKRKRAKLQSKTRNNNSQRDNVRHPRESRGIKSSNDGKSFKVKSNHLDFQDITNDTVKHDFNIDEEVCSTNTGRKGKVMTKKSMEIRFPRLAEEIDLDEKWFPLLNYLTTFGLKESHFLQMYERHMPSLQINVYSAQERLEYLLSVGVKQRDVRRILLRQPQILEYTVENNLKSHVAFLLSLGIPTSRVGQIIAAAPSLFSYSVENSLKPTVRYLVEEVGIQEKDLGKVVQLSPQILVQRIDTSWNTRYMFLSKEIGAPRDNVVKMVTKHPQLLHYSINDGLLPRINFLRSIGMRNSEILKVLTSLTQVFSLSLEDNLKPKYMYLINELRNEVKSLTKYPMYLSLSLDQRIRPRHRFLVSLKKAPKGPFPLSSFVPTDECFCEQWAETSLDKYLEFRKRLLLKEFAEKYERRR >KGN44451 pep chromosome:ASM407v2:7:10294923:10309349:1 gene:Csa_7G291710 transcript:KGN44451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQVLEPSLAKGNRVMVFCNTLNSSRAVDHFLGENQISTVNYHGEVPAQKRVENLKKFKSDDADCPTLVCTDLAARGLDLDVDHVIMFDFPSNSIDYLHRTGRTARMGAKGKVTSLVGKKDNILATRIEEAIRKNESLESLTADSVWRDVARNRITEHKTKNAKLIKASTGRSGAKSATSAPKSSSVHSKGEPGKASYSERTRKPGVSVSKPVKSSRNIPRKPSSETKKQVASRKRPGSAIKSSGQKLNVVGFRGRSNQSGNRRSLFIFQRFRSLQWTLPTPQFPHYYGSCNSPASNPHLHWHTRNAWRVSAFGVYGYLNFTKSAFIEHSKKFKPEDMQTNIEGKNCIVTGANSGIGYATAEGLASRGASVYMICRNKERGEAALSEIKSKTGNQNVHLEVCDLSSISDIKSFSSKFISKNVPVHVLVNNAGMLEKNRITTPEGFEFNFAVNVLGTYAMTESLLPLLEKAAPDAKVITVSSGGMYSVPLTNDLQFSEDEFDGVVQYARNKRVQVALTEKWSEMYSKKGIGFYSMHPGWAETPGATKSLPSFSKSLSGKLRTSEEGADTIIWLALQPKEKLEPGAFFFDRMVAPKHLAFAATKSSHTAMGSIYDHLRSLSGLAQ >KGN43193 pep chromosome:ASM407v2:7:436559:438582:1 gene:Csa_7G007910 transcript:KGN43193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRLWISAVHQFFPINVHNYSSKPKFLSTKHQLLSLLNHCSSTNHLFEIHAQILVSGLQNDSFFTTELLRVAALSPSRNLSYGCSLLFHCHFHSATMPWNFIIRGYSSSDSPQEAISLFGEMRRRGVRPNNLTFPFLLKACATLATLQEGKQFHAIAIKCGLDLDVYVRNTLIYFYGSCKRMSGARKVFDEMTERTLVSWNAVITACVENFCFDEAIDYFLKMGNHGFEPDETTMVVILSACAELGNLSLGRWVHSQVVGRGMVLNVQLGTAFVDMYAKSGDVGCARHVFNCLKQKSVWTWSAMILGLAQHGFANEAIELFTNMMSSPIVPNHVTFIGVLCACSHAGLVDKSYHYFNLMERVYGIKPMMIHYGSMVDVLGRAGQVKEAYELIMSMPVEPDPIVWRTLLSACSGRDVNGGAEVAEEARKRLLELEPKRGGNVVMVANKFAELGMWKQAADYRRTMKDRGIKKMAGESCIELGGSLRKFFSGFDSRAAPDGIYDLLDGLNLHMQLTNF >KGN45327 pep chromosome:ASM407v2:7:17511184:17516794:-1 gene:Csa_7G435520 transcript:KGN45327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSYGRLARRALETEMPIMVKIQEIVRGSKNVLSLAQGVVYWQPPQQALKKAAELVWEPSISRYGADEGIPELREALTRKLNEENKLYKSSVMVTAGANQAFVNLVLTLCDAGDSVVMFAPYYFNAYMSFQMTGVTNILVGPGDPKTLHPDPDWLEKTLSEVKPTPKLVTVVNPGNPSGTYIPQPLLKRLANICEQAGSWLVVDNTYEYFMFDGLKHCCVEGNHIVNIFSFSKAYGMMGWRIGYIAYPTEVKGFGDQLLKVQDNIPICAAILSQHLALNSLKLGPEWVTEQVKDLVKCRDIILQALSPLGLDAVKGGEGAIYLWAKLPDEFADDHQVVLWLARRHGIVVIPGSACGSPGNIRISFGGLVEDDCRAAAERLRKGLEELCSAGMVQCEDS >KGN43344 pep chromosome:ASM407v2:7:1366529:1368327:-1 gene:Csa_7G024670 transcript:KGN43344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIPILSLSKSSIKSSSSNSANNSSLLHSQTQTPYQHLRSLFLSLELMGNERTAAVYSSAHTRKPPSPGENTPLLGGGRPRSSQAKTFANVFISIVGAGVLGLPYAFKRTGWVMSLMMLFCIAAVTYYCMMLLVYTRRKLVADGSSEINSFGDLGFTICGSSGRMIVDILIILAQTGFCVGYLVFIGNTMSTLFNSSSKALGSDFLGASPKILYIIGCLPFQLGLNSIKSLTHLAPLSIFADVVDLGAMGVVIVEDVSVFLKNRPPVEAFGGLSVFFYGMGVAAYAFEGIAMILPLESEMKDRDQFGKILGSSMAFIAALYGGFGVLGYFAFGQETSDVITSNMGPGLLSAIVKLGLCINLFFTMPLMMNPAYEIIERRFSRGRYCVWLRWLLVVLATLVAMWVPNFTDFLSLVGSGLCCSLGFVLPAFFHLLVFKEEMGWKGWCVDLFIVVSGIVLGVAGTVSAVEQMYFAKETSSISAYKWK >KGN43333 pep chromosome:ASM407v2:7:1294092:1298600:-1 gene:Csa_7G024070 transcript:KGN43333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGADGDSSEHRVDGDAEEGGVSVAVNIRCSNGSKFSVTTSLDSTVATFKSILAQNCDIPADQQRLIYKGRILKDDQTLVSYGLQADHTIHMVRGFAQASSTPSAPASNVNTRSSDTAPGVTRGVGSNESGAFGNGGLGASLFPGLGFNPLGGGGAGLPEFEQVQQQLTQNPNMMREIMNMPAIQNLMNNPDLMRTLIMSNPQMRDIIDRNPELAHILNDPGILRQTLEAARNPELMREMMRNTDRAMSNIESSPEGFNMLRRMYENVQEPFLNATTMAGNAGNDSSSNPFAALLANQGGAQARNESNNSTTGSETTGNAAPNTNPLPNPWGGTQTTTTQPTPAGDTRAPGIGGLGGAGRTNMDRFAGAVPDPAQLNQFLQNPAISQMMQSLLSNPQYMNQILNLNPQLRSMVDMNPQLREMMQNPEFVRQLTNPEMMQQMLSIQQSLLSTLNRQPSSQDAAQTGAAAGVPNTAGLEMLMNLFGGLGAGGLAAPHNPNVPPEELYATQLSQLQEMGFFDTQENIRALRATSGNVHAAVERLLGNLGQ >KGN43740 pep chromosome:ASM407v2:7:3805062:3808484:-1 gene:Csa_7G064020 transcript:KGN43740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPTTTPLPKSLPHPLFPITSKTPHHRRLSPSARFSKPISAIHAADPSRSSKSSIQVPMKWTLDSWKSKRALQLPEYPDQAALESVLRTLESFPPIVFAGEARSLEDRLAQAAVGKAFLLQGGDCAESFKEFNANNIRDTFRVLLQMSVVLMFGGQMPVIKVGRMAGQFAKPRSDPYEEKDGVKLPSYRGDNINGDSFDKQSRIPDPDRMNRAYCQSVATLNLLRAFATGGYAAMQRVTQWNLDFTEHSEQGDRYRELAHRVDEALGFMAASGLTVDHPIMTSTEFWTSHECLLLPYEQALTREDSTSGLYYDCSAHMLWVGERTRQLDGAHVEFLRGVANPLGIKASDKMDPKELVKLIEILNPTNKPGRIVVIVRMGAENMRVKLPHLIREVRRAGQIVTWVSDPMHGNTIKAPCGLKTRSFDAIRAEVRAFFDVHDQEGSYPGGVHLEMTGQNVTECVGGSRTITYNDLSSRYHTHCDPRLNASQSLELAFIIAERLRRRRLVAGQTLGSV >KGN44256 pep chromosome:ASM407v2:7:8383584:8386373:-1 gene:Csa_7G235750 transcript:KGN44256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNSLLIRGRTGGLTHEWVIQSLIFLILGHFLYSGHTRELNHENQKFSSRPVFTSQRDITTPITTVPTIILSNPTPSTPFINPTSTSDTYSPAMESPKRSSPPSSGASWCIASQNASRKVLQIALDYACGYGGTDCSAIQAGQRCYNPNTIHDHASYAFNSYYQKNPVPNSCNFGGTAVITSTDPSTMACQYTSTSTSSSVLNTTNSKGSTVFGAVPSSPTPSAATCQEINVLQRLLLLTIVSLRLFHINYLYG >KGN44164 pep chromosome:ASM407v2:7:7494670:7497928:1 gene:Csa_7G210580 transcript:KGN44164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METIEEPNIIAKTFLKLDLNQKSSSHPTYSNTFVKSASLDLDQNSCFKPTASQFSGTGLAFERKKPPNLVSLCVGVIGKHLEDIIPDLDVISANFPSDVKQSIAAIARRRELLNDDVIISLVDSSWETLDVSGSEVSDFGLAEIGKTCKSLRAVDISRCNKITAAGVSELVQHCCSLETLRCGGCPRSDYTARRSLDIFKPRLDDIEGDSWEELDTAEIANGAQSLRWLVWPKVDKDSLEIFSTECPRITINPKPSPFGFRGKQVPGEALPNIALDEHTIVDIDPKTWAVGRSTARAPISPSNTSELSLAEKFRLAFVERDTRLAPKRAKNARQHQRRAEREWMTTSTRAKALALASQASKSLQSRT >KGN44958 pep chromosome:ASM407v2:7:15411328:15412440:1 gene:Csa_7G398200 transcript:KGN44958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPDHKTKSSFHLERPDKPANKKNVELGTVENTGVLRLVMWGGAFVFGCLLIASTFKKSKKSISPKTCREGVSNLDVIGGFKESSTRDDDDKARKMEGLRLILSSSTDIISHPCHTSSKMSTNSIEDSVDENLTLTTEPILEKKEEDNIVIIIYEEEEYTNSEESKETATQKYDESSEVPQSFPSTALFDEDDKNRPSSTSSSSSLYSSSKFSIYSII >KGN45109 pep chromosome:ASM407v2:7:16321701:16325393:1 gene:Csa_7G426500 transcript:KGN45109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRKKRIKERKANLTTAASSSIPYATFNHPYSAFAFYSQHCGTPIPAKSFLRHLTSAVSTTHSDSQNNFVKFHCWREVWLQFESKYTEMDSEDDFTFCQVGLPERNDKEAKDLASDIGHITLRDELANGTTSRTRVVWNDKLSNDITSRKQATVGSLDFNVLDMSYSKQSSTLSTEEVPKDAGKVVKNSGKQGIAVRKPAARKKVPFEKGYSQMDWLKLTQTHPDLAGLKGQSNKRLIPMSEVKEHRTEGSMWTVLKGHVYNISPYMKFHPGGADILMKAVGKDCTSLFNKYHAWVNAEFLLEKCLVGTLDDSH >KGN43265 pep chromosome:ASM407v2:7:837711:840726:-1 gene:Csa_7G014510 transcript:KGN43265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKENGLLSSSACLPRFSKSSNFNPQLISLLILCLPSPTFSHPYLPRSVLLSSIFSITVVFSFAFAPIHLEQHMEIVVDILIVGAGICGLTTALGLHRLGIRSLVLESSDDLRVTGYALSIWTNGWKALDAVGIGDSLRQNHDQLDGVITTSIISGDKTSELLFSAPEEGGVRCVRRKFLLECLAKALPSGTIKFSSKVVAIEESGLLKLVHLADGTSIKTKVLIGCDGVKSVVAKWLGFKALAFTGRCAVRGCLQLESNHNFERKVRLYAGEGVRAGIIPCDYKTLYWFFSWTPSADVKEMKRNPVKLKQLLLSMLGEIPEAARAVIEETDVSCFHPVALQYRSPWELMLGNIVKGNVCVAGDALHPMTPDLGQGGCAALEDGVILARCVAEALLKKPSSQEGEKAEREQQKQVEMGLKKYAAERKWRSIELIGTAYMVGKIQQSSGVFAKFIRDKILSKFLAGLLLKNAKFDCGKLTPSL >KGN44655 pep chromosome:ASM407v2:7:12926884:12936917:1 gene:Csa_7G368080 transcript:KGN44655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGCVKLSGILMTNTAAATELDAFYPIRPECQADIPKTRFKIKPGKTLSARRWDAAFSKDGHLDIAKVLRRIHRGGIHPSIKGAVWEFLLGCYDPNSTFEERNGIRRQRREQYGIWKDECQKMVPIIGTGRFITTAIVTEDGRPVEEERSRNLQEIDTVGTSSRSSLDANNSALDKKVTEWKLTLHQIGLDVVRTDRALVYYENEANQAKLWDILAVYAWIDGEVGYIFSRVRQCLKYKSNLQGMNDICSPIIILLENEADAFWCFDHAMRRLRENFRCSTGTIGVQSQLSTLSQVIKIVDPKLHQHLEELDGGEYLFAFRMLMVLFRREFSFVDSLYLWEMMWAMEYNPNMFLSYESGSASKGGAGTSGNDKHLKQFGKFERKNVKMGSNDQQLPLPVFLVASVLETKNKRILKEAKGLDDVVNILGDVTGNLDAKKACNEALKLHKKYLSKIKN >KGN44809 pep chromosome:ASM407v2:7:14435230:14438817:-1 gene:Csa_7G388420 transcript:KGN44809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLKQELLKKRQALATETGGKRVFKRSEIEQRQIQQLREKEKRELEAKALRQSTTSATAAEAVSTSSKSNPLASASTTSSSVATNSTTTTSKSLTDEQNIDNLVLPRQEVIRRLRFLKQPITLFGEDDDARLDRLKFVLKAGLFEVDSDLTEGQTNDFLRDIAELRKRQKTGILSERKRQKKEDGAGEEGDGGGADEESADGGSSGVETDKDLKRMKANFDELCEEDKILVFFKRLLNEWKQELDEMPEAEKRTAKGKSMVATFKQCARYLHPLFKFCRKKVLPDDIRQALLVVVECCMKRDYLAAMDQYIKMAIGNAPWPIGVTMVGIHERSAREKIYTNSVAHIMNDETTRKYLQSIKRLMTFCQRRYPTMPSKAVEFNSLANGSDLQSLLAEERVSGGGKLGSDERLRIMPAPEDS >KGN43268 pep chromosome:ASM407v2:7:862384:865934:1 gene:Csa_7G014540 transcript:KGN43268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVITSTLGTPHIGIICLPQSKEPYHVLQYNTTRLNKSSLFQRLHTRISKRSGHHLYAGRMGFNHAALEQNYQQPSFNDELPPEPFFLSLIKETFWGLRSLLIFLVEQPSQLKYIEWPSFQSTLKTATLALVLVALLIVALSSVDSALSYVLTLILRRTL >KGN43534 pep chromosome:ASM407v2:7:2537058:2537916:1 gene:Csa_7G044250 transcript:KGN43534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENNDHATLQADDSLPENSSAVRDEEQEESGWTDYLEDYFNSEFVERESSFLCSSIGSCSNWDDRNNISYPSYDINYNINIGNVNYDDFPKSNNLTFKKTRTQKIFEDDDSLQDTATSPIHSPKVVDLKVRTSQENQGFDDHITIFANSTGSGRQMGKYYSNKEKGVYSAEKKGLCLFPFSMLLNYHN >KGN43950 pep chromosome:ASM407v2:7:5099534:5105454:-1 gene:Csa_7G074830 transcript:KGN43950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRIRCFLDISIGGDLEGRIVVELYDDVVPKTAENFRALCTGEKGIGPHTGVPLHFKGSCFHRVIKGFMVQGGDISAGDGTGGESIYGEKFDDENFEVKHERKGMLSMANSGPNSNGSQFFITTTRTPHLDGKHVVFGKVLKGMGVVRSIEHVTTGENACPIAEVIISDCGEIPEGADDGISNFFNDGDTFPDWPTDLEQSSDDLEWWVKAVDSVKVFGNEHYKKQDYKMALRKYRKALRYLDICWEKEGIDEEKSSYLRKTKSQIFTNSSACKLKLGDLKGALLDTDFAMRDGDDNVKALFRQGQAHMALNDIDSAVESFKKASDLEPNDAAIKKELAAARKKIADRRNQERKAYSKMFQ >KGN44116 pep chromosome:ASM407v2:7:7009989:7011357:-1 gene:Csa_7G193760 transcript:KGN44116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYKKNDGKLFTFSNKRKEKTNLPSPTISRKRSSPKQSVRVAQELEEFEAMSSSGFRLLTTESSILSHSPKTFFIPFNSHNFPFLRSISFQRLPSKSPSSLSVSASAASSKPLQPIEQLPPKLQDIVKLFQSVQDSRAKYEQLMFYGKNLKPLHPQFKNNSNKVEGCVSQVWVRAYLDSDKNVVYEADSDSVLTKGLAALLVQGLSNRPVDEILRVSPDFVVLLGLQQSLTPSRNNGFLNMLKLMQKKALALLVESEKGNGSAVSSSQTDDSAEKVKPESNTEKSVVDSKLGDKGSQSSDVLGSRGKRIKEILERELNPVELYVEDISYQHAGHAGVRGNDGETHFNLKVVSKEFEGKSLVKRHRLVYNLLQDELQSGLHALSISAKTPDEI >KGN43519 pep chromosome:ASM407v2:7:2436522:2437182:-1 gene:Csa_7G043610 transcript:KGN43519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRRSRRSGNSQSETFHKYLKPGALAQIRNSRMIARFNRVNLVSQIYSAPSSLPIDSALLQVNIVDASPSFAGRAYGPLSLQRKKLLASKSLWFLASSPTNLTPDSPPDPVIEVLGG >KGN43541 pep chromosome:ASM407v2:7:2580443:2580868:-1 gene:Csa_7G044810 transcript:KGN43541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKGVEQEETTQVAVRQRRTTDDGAAGGGDPVRCELCGSRASLYCEADEAYLCGKCDKSVHSANFLALRHVRCLLCNTCQSHTQRYLLGASMEVVLPPSLVSRERNFLNYHCDSDSFVQNCSQVLKTPCLFL >KGN45484 pep chromosome:ASM407v2:7:18518804:18519484:-1 gene:Csa_7G449440 transcript:KGN45484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLIDLCCIYLFGGCLMDESCTLPDKTLSTTESSIIFGRNEDLLIYKIMTWRSCLNVVFIFSRRLSLNPECLSTCTCHI >KGN43241 pep chromosome:ASM407v2:7:705527:705993:1 gene:Csa_7G011820 transcript:KGN43241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEEQKKKKKKEKIKCHLISKLLQSKTQLFNPKKSYPLPLASLEAIILPFPQLQFLLFSNPKLQKKQRLLLTSFFIVTPAGYGGGLILRSRFKSTSSFGGEKLLITGFFLSS >KGN44940 pep chromosome:ASM407v2:7:15307811:15311472:-1 gene:Csa_7G397040 transcript:KGN44940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRASRTVYVGNLPGDIREKEVEDLFYKYGRIAHIDLKVPPRPPGYAFVEFEDAEDAQDAIRGRDGYDFDGHRLRVELAHGGRGHSSSNDRYSSHGGSRGGRGVSRRSDYRVLVTGLPSSASWQDLKDHMRRAGDVCFSQVFRDGSGIVDYTNYDDMKYAIKKLDDSEFRNAFSRAYVRVREYDSKRDLSRSPSRGRSYSRGRSYSRSRSRGRSRSKSHSRSKSPKAKPSQRSPVKSRSRSASPPRSRSKSSSLSGIGCILGMVEMNTGQTSN >KGN45313 pep chromosome:ASM407v2:7:17430498:17433173:1 gene:Csa_7G433910 transcript:KGN45313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNPRQQCSVSSPMAVFAQSSHLLTYLDRSNPQVPNNHNPKTLSFSKNLQTHKHTLRKTQEISVVGAAVSHSAIDQTQNLELRELCLQGNLEQAMKRLESMLELRIEVEEDAYIALLRLCEWRRAPDEGSRVYELVSSSKSCLCVRLGNALLSMFVRFGNLLDAWYVFGKMSERDVFSWNVLVGGYAKAGCFDEALNLYHRMLWAEIRPNVYTFPSVLKTCAGVSDIARGKEIHAHVIRFGFESDVDVGNALITMYVKCGDISNARMLFDKMPKRDRISWNAMISGYFENGGGLEGLELFSMMRELSVDPDLITMTTVASACELLDNERLGRGVHGYVVKSEFGGDISMNNSLIQMYSSLGRLEEAETVFSRMESKDVVSWTAMIASLVSHKLPFKAVETYKMMELEGILPDEITLVSVLSACACIGHLDLGIRLHEIAIKTGLVSHVIVSNSLIDMYSKCKCVDNALEVFRNISGKNVVSWTSLILGLRINNRSFEALLFFRQMKESMKPNSVTLISVLSACARIGALMRGKEIHAHALRTGVGFDGFLPNAILDMYVRCGRKVPALNQFNSQKKDVTAWNILLTGYAQQGQAKLAVELFDKMLELEIHPDEITFISLLCACSKSGMVTEGLEYFNIMKNKYNLTPNLKHYACVVDILGRAGQLDDAYDFIQDMPIRPDAAIWGALLNACRIHRNVELGEIAAKRVFEKDNKSVGYYILLCNLYAGCGNWDKVSKVRSLMRERGLSADPGCSWVEIKGKVHAFLSGDNSHSQSKEINGVLDGFCSKMKENGFGNLKSSFTSEIESSRADIFCGHSERQAIAFGLINTAPGMPIWVTKNLYMCHSCHNMVKFISTIVRREISVRDVEEYHHFKDGVCSCGDEGYWGKPDIG >KGN44395 pep chromosome:ASM407v2:7:9889794:9890795:1 gene:Csa_7G279770 transcript:KGN44395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLRSRVESSPRSSNIQRTQSILARSSLALLPFTGDFNQASSAMQVRGPKRTLHIMAQSDLVLLLSTTGYAFGESVCIVALAESREFNTQDLTLKEPDAFFTKVAWLSYFLRAMSREFSTQFHISKESDAFLPEAAWLSYFIWAIADSSESRDREPRVLHIGFNTEGTPSILALLDFALLPSTCDYYVASTKSRGFPTHFLKSKESDALWHEAAWLSYFRWTMMTSVES >KGN44810 pep chromosome:ASM407v2:7:14439646:14443524:1 gene:Csa_7G388430 transcript:KGN44810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLRRFCCNDLLRFTSVNLDHLTETFNMSFYMTYLARWPDYFHVAEAPGNRIMGYIMGKVEGQGESWHGHVTAVSVSPEYRRQQLAKKLMNLLEDISDKVDKAYFVDLFVRASNTTAIKMYEKLDYIIYRRVLRYYSGEEDGLDMRKALSRDVDKKSIIPLKRPVTPDELEYD >KGN45536 pep chromosome:ASM407v2:7:18820991:18826187:1 gene:Csa_7G451910 transcript:KGN45536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPLLQKWTEESVGSFNVEQACFFSKLTFSWINPLLTLGYSKTLTLEDVPPLDSEDEAELAYQKFSHVWDSLSAEKGCSSSGNLAFQAIKNVHLKENVLIAFYALLKTLSVVVSPLILFAFVNYSNSTEKHLDQGLSIVGFLIVSKMLESFGQRHYFFGSRRSGMKIRSALMVAVYKKLLKLSSLGRTRHSAGEIVNYIAVDAYRMGEFPWWFHLAWSSGLLLILSIVLLFWVVGIGALLGLIPLLICGFLNVPFAKSLQKSQYHFMLAQDERLRSTSEVLNNMKIIKLQSWEEKFQSLIESLREKEFKWLKETQMKKADGSLLYWMAPTIVSAVVFFGCVLFQSAPLNASTIFTVLATLRMMSEPVRMIPEALSILIQVKVSFDRLNAFLLDDELKNDEVIENPSMDKMIEIHNGNFRWDPESVILTLKDVDLDVERGQKVAICGPVGAGKSSLLHAILGEIPKLTGNVQVNGSIAYVSQIAWIQSGTIRDNILNGKPMDTDRYKNAIKACALDEDINSFDHGDLTEIGERGLNMSGGQKQRIQLARAVYNDADIYLLDDPFSAVDAHTATTLFDECVMTALDKKTVVLVTHQVEFLSEVDKILVIEGGEITQSGSYEELLTVGTPFQKLVSAHKDGIIASGTSESENPRDFETIDIVKREKYDKKDANSKRLGGVQLTDEEEKEIGDVGWRPFWDYITVSKASSLVYLSVISLCGFLGFQTASTYWLAIAIELPHISSGTMIGIYAAISLFSAVFVHSRSILTAHFGLRASKAFFYGFTNSIFKAPMTFFDSTPIGRILTRASSDLSIVDFDIPFAAIFVISGALDLVVVIAIVASVTWEVLLVAIPAVIASTYVQSYYLATGTELIRINGTTKAPVMNFASETSLGAVTIRAFDMTEQFIQKFLKLIDTDASLFFNYNATTEWFVLRIETLQNFTLFTVAFLLIKYRPNAPLVLKGITCTFQEGTRVGVVGRTGSGKTTLISALFRLVEPESGRIIIDGIDICSIGLKDLRMKLSIIPQEPTLFRGSIRTNLDPLGLYSDDEIWKALEKCQLKATVSSLPNQLDSSVTDEGGNWSVGQRQLFCLGRVLLKRNKILVLDEATASIDSATDTVLQRIIREEFSECTVVTVAHRVPTVIDSDKVMVLSFGNLVEYEEPSKLMETNSYFSKLVAEYWASCRRDSSHH >KGN45092 pep chromosome:ASM407v2:7:16220436:16221508:1 gene:Csa_7G420850 transcript:KGN45092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCIRKATINDLLAMQACNLFCLPENYQMKYYFYHILSWPQLLYVAEDYNGQIVGYVLAKMEEESNECHGHITSLAVLRTHRKLGLATKLMNAAQNAMEQVYGAEYVSLHVRKSNRAAFKLYTETLGYKIHDIEAKYYADGEDAYDMRKQLKGKQIHGTGHHHHHHHHHHHHHHHGHGHGHQHGGGCCSGGDTGNVTEVKAESKGNASKVDSSKVNEKAG >KGN45356 pep chromosome:ASM407v2:7:17708434:17709411:-1 gene:Csa_7G446730 transcript:KGN45356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKKLQKSLQDYLSKIKKPTPQLQFPNPQTFSSSKSWILHGCKHPKTLSFAIDRKQVDAVGNKEDAAATLADIDRFLFENFRSLYLKDDGDFSDRKVVGGGGGGGGRDCKNHRGRVVSPESPVDSYGGSHRFFFSPDLSGSDLPDDSHTESSENAGSSSSSLIGEDRGKDLKLPSDCIAILRKSPNPSEEFRRSMQEMMDAHLKQHEKVDWEFMEELLDFAAEGRGGAGEATDGEKRENGEENDMKLGE >KGN44570 pep chromosome:ASM407v2:7:11970435:11970761:-1 gene:Csa_7G336490 transcript:KGN44570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLNYQNKKKDGETFPNAHTTLRIVSSYDARSPKAVSMLHWLFLQRRTPGRRLGVIFDALTQHWQTVLADVLTFLRHRCRVIFVVAFTDTQWFSSDARLRRQNLGFL >KGN44011 pep chromosome:ASM407v2:7:5592170:5595214:-1 gene:Csa_7G099280 transcript:KGN44011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSSVRKAPPAEEDEWDTDGFVIPSLGIEEADQTRVGSPKVEIEEPPPKVKEETTIYLGPHGAPPSQSKQDLNPTNRKQRLKQKLKEADRRTTTGRENKLENLRELVGGGKSSTNMAKGSSRDWLDPHCHEAEFEK >KGN45227 pep chromosome:ASM407v2:7:17074625:17075529:1 gene:Csa_7G432080 transcript:KGN45227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPQQRYRGVRQRHWGSWVSEIRHPLLKTRIWLGTFETAEDAARAYDEAARLMCGPKARTNFPYNPNDQQSSSSFSSSSKLLSAALIEKLHKCHLASLQIAKQHVHKQHAGFEPSYLAYSGSPPPIITGATTSQWASDETWVYSNKGDQMEMNNNNNNYNNNIHHQQCQLEPLEDDHIEQMIQELLDLGSFEIIT >KGN43273 pep chromosome:ASM407v2:7:910221:914024:-1 gene:Csa_7G014590 transcript:KGN43273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDHYAILGLPSGEQGAKLTEKEISKAYRAKALELHPDKRPDDPNAHANFQTLKSSYEILKDEKARKLFDDLLRVKREQHRRQSERDSKRQKMMTDLEARERSAFAPDPAAKELEEEEKIARKLKEEIARIRAMHAKKGAPTTFPPKKETGGVGKKSDGDAGPTMDKERMLKVSWEKIGEDYTAEKLREMFSKFGEVEDVVIRHNKKKGSAVIVMSSKDAAVASTRAVLGDLSNPLLVLPLQPVSSVEMPSAERSPEHNRLDNLVGAGYQAFEDSILKKLQKAGEKQKQ >KGN43364 pep chromosome:ASM407v2:7:1480006:1484559:-1 gene:Csa_7G027800 transcript:KGN43364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESASELVPFPLLLTPIESHYRACTIPYRFSSDNPRKPTPIELSWIDVFYNVIPSFKERAASDPTVPDAEAKAEKFAQRYAQILDDLKKDPESHGGPPDCILLCRLREQILRELGFRDIFKRVKDEENAKAITLFAEVIRLNDAIEDDGKRLENLVRGIFAGNIFDLGSAQLAEVFSKDGMSFLASCQNLVPRPWVIDDLDTFKLKWSKKSWKKAVIFVDNSGADIILGILPFARELLRRGTQVVLAANDLPSINDVTYNELIEILSKLKDDHGQLMGVDTSKLLVANSGNDLPVIDLIRVSQELSYLAADADLVVLEGMGRGIETNLYAQFKCDSLKIGMVKHMEVAEFLGGRLYDCVFKYNEVSS >KGN44937 pep chromosome:ASM407v2:7:15296874:15298061:1 gene:Csa_7G397010 transcript:KGN44937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEEKQVIVIGVDDSEYATYALEWTLDHFFSSTPNPPFKLVVVYAKPFPDVFVGVGGPGMIVGSAGSYQFLNEDLKKKAALVIATARGICESKSVNDVKYEVDEGDARYVLCQAVEKHNASMLVVGSHGYGALKRAFLGSVSDYCAHQASCTVMIVKKLKTKEG >KGN43783 pep chromosome:ASM407v2:7:4109434:4110371:-1 gene:Csa_7G067380 transcript:KGN43783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYYCTLSNYIVSVEKDQEIYMHLPAEPPSNHGEQHIPPLSWPTTGRIVLECDLRMKLSIIPQDQTKLFRGSIHKNLDTLGLYSDDDEIWKALEERCQLKATVSSLPNQLDSSATASIDSATDTILQRIIREGVFRMHYCNSSSQSSTVFDNDFGHLVEYEEPSKLMETNSYFSKLVADQYCANYQRETLLITKHNVLETKYFTFLVQL >KGN43964 pep chromosome:ASM407v2:7:5175742:5177694:-1 gene:Csa_7G074970 transcript:KGN43964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKLLLPFFLLIFLSFSTQTQTSFLFHHGHHDNDGHDSQSNKSHFVLVHGACLGAWSWYQVTTFLQTAGHKVTAVDMAAAGIDPTQPESLTSLTDYFQPLLNFTEALQADDKIVLVGHSLGGLGISMAMERFPEKISVAIFVTAAMPGPIIGFQSIEEQKTTLGMYKAFHKGDDTKSEVSKKPPRLFMFSEEELETKLFPLSPPQDLTLARTLVRPQAMFGLLESMKELRLSKENYGSVKRAFIISQNDKMTSKFMVWAMLLLNKPDRVEEVHGSDHMVMTSKPLELAQLLGTIAQDYAAFSSSTSSSTFVRDDL >KGN45225 pep chromosome:ASM407v2:7:17058686:17060984:-1 gene:Csa_7G432060 transcript:KGN45225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLIRPLRKCLQFSSSSSSFSSHKSLTTQLHLQNPIVFPPENHYVFCKTLRHYIADMRRSAFEGNILRLLRNEIRYELDRSPPSQPVTKFGAFTVDERPGEQWIRLEREYEEREKIKIEATMFDQSIPAPKSGGSSSGKDVLLHITTIVHITKGGGSDVLKIICSAWPDSIEIDRLFLRGGEIMPAQPYAGPDFKELDDDLQNSLYEYLEARGVDDQLAVFLHEYMKNKDKTEFIRWMETIKAFIEMK >KGN44313 pep chromosome:ASM407v2:7:9075549:9077271:1 gene:Csa_7G252700 transcript:KGN44313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPENEQQLVSVPPGFRFHPTDEELLYYYLRKKVSYEAIELDVIREVDLNKLEPWDLKDKCRIGSGHQNEWYFFSHKDKKYPTGTRTNRATSAGFWKATGRDKTIHMSSSNSNSKRIIGMRKTLVFYTGRAPHGQKTDWIMHEYRLEHHNPEVQEDGWVVCRVFKKKSQKSEVPEEQQLDYYAHTKLGGSSGSAVGTEMGEPKNNNNHMQEPHNNNDYSFDGCMQLPQLFSPESSTVPTLPAISLNAAGAAVECPQNIWRLSCGVVQHERLNTTDWSFLNRLLALDQQSRSKSTLSDELTISRNFSFPFPYPYHLPSGPDFIKFSK >KGN43962 pep chromosome:ASM407v2:7:5169550:5171458:-1 gene:Csa_7G074950 transcript:KGN43962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHLQEFDQVFIQAPDHRPKLAVSQADGIPTIDLSPIFNDSPPAGSEFPHDLVQQIASACTEWGFFLVVNHGVPPEKRRRMEAAAREFFGQSLEEKRKVRRNEGVATGYFDMELTKNVRDWKEVFDFVVEDPTLIPASSDPDETELTQLINQWPEYPPEFREICEGYVEELEKLGHKLMELIASSLELPAKRFGEYFKGQTSSVRLNHYPLCPSPELALGVGHHKDPGVLTVLAQDHVGGLEVKRKRDGEWIQLKPVPDSYVVNVGDITEVWSNEKYESVEHRATVNSKRDRYSIAFFFYPSHSTIVEPLEELIGPQNPPKYKPYSFGKFLANRKRSNFKKLNVDNVQISDFKITN >KGN45357 pep chromosome:ASM407v2:7:17713134:17713711:1 gene:Csa_7G446750 transcript:KGN45357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCYPTLPFHRPTAFSFASHGRSVVAAVEEAVRQQRSGGGYKCICSPTTHPGSFKCRFHQGDYKWVSRSTTSKAKTNIV >KGN44292 pep chromosome:ASM407v2:7:8780756:8785404:1 gene:Csa_7G239050 transcript:KGN44292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATSLFRSAPAASFPQSSPSFSSPDQSTASCVSLNSLKFQSSIFGAQVSSGSSSLQLRRSHNIQPIKATATELPPTVQKSRSGGKTKVGINGFGRIGRLVLRIATSRDDIDVVAVNDPFVDAKYMAYMFKFDSTHGNFKGTINVVDDSTLEINGKQVKVLSKRDPEEIPWGEFGAEFVVESSGVFTTKNMASAHLKGGARKVVISAPSADAPMFVVGVNETTYKPSMDIVSNASCTTNCLAPLAKVVHEVFGIAEGLMTTVHATTATQKTVDGPSMKDWRGGRGAAQNIIPSSTGAAKAVGKVLPELNGKLTGMAFRVPTPNVSVVDLTCRLEKSASYEDVKATIKYASEGPLKGILGYTDEDVVSNDFVGDSRSSIFDAKAGIGLSDSFMKLISWYDNEWGYSNRVLDLIEHMALVAANK >KGN44658 pep chromosome:ASM407v2:7:12960178:12962757:1 gene:Csa_7G368110 transcript:KGN44658 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAB11G MAANDDQGGEEYLFKIVLIGDSAVGKSNLLSRFARNEFDNNSKATIGVEFQTQVVEIDGKEVKAQIWDTAGQERFRAVTSAYYRGAVGALIVYDITRRTTFDSAKRWLDELGVHCDTATVRMLVGNKCDLENIRDVSVEEGKNLAEEEGLFFMETSALDSTNVRTAFELVIREIYNNVSRKVLNSDSYKAELSENRVSLADGGASSKKGFSCCSQ >KGN44732 pep chromosome:ASM407v2:7:13657373:13658892:-1 gene:Csa_7G375740 transcript:KGN44732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDESEAYPKDHFNGRSRAEEIQRTSSSSSSTTSVHVTALDGLVNVNSLFTIAVFVGLSLTTPGQHSLENSSVCDAGIDVARKLLVFEVVSFSFFLFSSLVAQGLKLAINLLNSKDVDEAFRAHINLKVLRLGMLASAIGSVMGCLFLMLSMVDVIQIRLGMLSCGSKSAVHAVSALLVLVSSALLVYISTAIYAFLH >KGN44917 pep chromosome:ASM407v2:7:15191996:15193544:1 gene:Csa_7G396330 transcript:KGN44917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRASKHNMRMKNASIIFWSWFFFLVYLSRVVVCNNIIDQCQPMVAPDIEVVRFASNFEYLEGEFFLHCALGEGIDSINPNLAFGGPPPIGAQKANLDPVTANIAKEFGYQEIGQLRSVIEAAGGRGIKRPLLNLSKEVFSDIFDEAIGFKLEPRFDPYSNSINFLLAANMFPYTGLVGLVGATPLLLLPQSRKLAASLLGAESGQNAVIRTLLYQRANETVDPYNITVAEFTNLTSTLANKLGKCGLRDEGIMVPLSLGAENRTESNILAADVNSRSYSRTVRELLRILYGSGSESKVGAFFPKGANGLIARSFLIGREDPIM >KGN43751 pep chromosome:ASM407v2:7:3870156:3873246:-1 gene:Csa_7G064610 transcript:KGN43751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSTTIPPFNPPVSFPSNLPRFAPTIRHFPPPSKLRVPTAMASTPFGLAVRSRTEAAPSGTGTDGLCTLTDFVGKGGIGLGDDLVLLLTHIQYACKRIAALVASPFSSDLQNPAGLAVGSERDAPKPLDIVSNEIILSSLRNSGKVAVMASEEDDEPVWIRDDGPFVVVTDPLDGSRNIDASIPTGTIFGVYKRLVELDNLPREEKAVLNSLQSGTKLLAAGYVLYSSATILCASFGSGTHAFTLDHSTGDFILTHPHIKIPPRGQIYSVNDARYFDWPEGLRRYIDTIRQGKGKNPKKYSARYICSLVADLHRTLLYGGVAMNPRDHLRLVYEANPLSLLVEQAGGRGSDGKHRILSLQPGKLHQRLPLFLGSMEDMEELESYGDVQQKVNPGYEV >KGN43953 pep chromosome:ASM407v2:7:5124184:5127208:-1 gene:Csa_7G074860 transcript:KGN43953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTKFLQLCAASTSTTTQTISSTRKTFSHIFQECSNRRALKPGKEAHAHMILSGFTPTVFVTNCLIQMYVKCCALEYAYKVFEEMPQRDIVSWNTMVFGCAGAGRMELAQAVFNSMPHHGDVVSWNSLISGYLQNGDIQKSIAVFLKMRDLGVMFDHTTLAVSLKICSLLEDQVLGIQIHGIAVQMGFDYDVVTGSALVDMYAKCNSLEDSLDVFSELPDKNWISWSAAIAGCVQNDQLLRGLKLFKEMQRKGIGVSQSTYASVFRSCAGLSASRLGTQLHCHALKTDFGSDVIVGTATLDMYAKCDNMSDAYKLFSLLPDHNLQSYNAMIIGYARNEQGFQAFKLFLQLQKNSFSFDEVSLSGALSAAAVIKGHSEGLQLHGLAIKSNLSSNICVANAILDMYGKCGALVEASGLFDEMEIRDPVSWNAIITACEQNESEGKTLSHFGAMLRSKMEPDEFTYGSVLKACAGQRAFSNGMEVHGRIIKSGMGLKMFVGSALVDMYSKCGMMEEAEKIHYRLEEQTMVSWNAIISGFSLQKKSEDSQRFFSHMLEMGVEPDNFTYATVLDTCANLATVGLGKQIHAQMIKLELLSDVYITSTLVDMYSKCGNMHDSLLMFRKAPKRDSVTWNAMICGFAYHGLGEEALELFEHMLHENIKPNHATFVSVLRACSHVGNAKKGLFYFQKMASIYALEPQLEHYSCMVDILGRSGQVEEALRLIQDMPFEADAIIWRTLLSICKIQGNVEVAEKAASSLLKLDPEDSSAYTLLSNIYADAGMWQQVSKIRQTMRSHNLKKEPGCSWIEVKDEVHTFLVCDKAHPKCEMIYSLLDLLICDMRRSGCAPEIDTIQVEEVEENRHQKVKSNGFS >KGN45383 pep chromosome:ASM407v2:7:17805077:17808248:1 gene:Csa_7G446980 transcript:KGN45383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRAMEQEHEGFRSRFFLFRGNHENTGRHAKSLSVESANVFDSVNEDDLSTSRSQGSTPVHNSSEKHQAGPPLAPSNRSERASKPKLSSKDNDLAAEKEAREKMALEMEQMKERFAKLLLGEDMSGGGKGVSSALALSNAITNLAASVFGEQWRLEPMSVERKARWRKEIDLLLSVTDYIVEFVPSQQKSKDGTNMEIMVTRQRNDLHLNIPALRKLDAMLIDCLDNFKDQNEFYYVSRDANDSEKGNNKRNDKWWLPTAKVPPNGLSDMSRKFLQYQKDCVNQVLKAAMAINAQIISEMEIPEDYIESLPKNGRASLGDSIYKSITVEFFDPDQFLSSMDLTSEHKILDLKDRIEASIVIWRRKMNQKDGKSTWGSAVSMEKRELFEERAETILLILKHRFPGIPQSSLDISKIQFNRDVGHAVLESYSRILESLAFTVMSRIEDVLHADGLTQNPSQIATRRKPTSEPPMEKLEELNNGPETPASMTLLDFMGWGQDQNETEMKKESFGNSDDLNLDSDLKQGNKAGNIVTNKKVSYLENLSAVRSPTARH >KGN43557 pep chromosome:ASM407v2:7:2659119:2659773:1 gene:Csa_7G044970 transcript:KGN43557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTLPLTDFIMLLPFCHSRWVLSSLIMIDRGHWINKERLIKFILDSQDTENGGISGRRDDAVDVYHTCFGIAGLSLLEYPGPKAIDPAYALPVDVVNRIFLSKKIVSSKAID >KGN44253 pep chromosome:ASM407v2:7:8369211:8370099:1 gene:Csa_7G235230 transcript:KGN44253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLERYRYEKYWSVIHGVLAIATVLDPRFKMRLIEFYFRQIYGSHYIVEIERVRELCCDLMKVYSSKSSIIEEPPSTSYSTSKRDGSSSKTSLANKHTPMDAKVLENVFHDQDIDDDEPFPTKLDEIR >KGN45046 pep chromosome:ASM407v2:7:15949950:15951825:-1 gene:Csa_7G414430 transcript:KGN45046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFVLLSFAIWLSFVSCLLSSSQARWHHHTKQKHSHRHRHSYISHPPSLSPSPSDSPDDGYYINDTNIFDVRAFGAIGDGIVDDTEAFKMAWDTACQSEDDSVVMLVPYGFSFMIQSTIFTGPCHGYLMLRVDGTLMTPDGPDAWPKNASRRQWLVFYRIDGMSLEGGGVIDGRGQKWWDLPCKPHKGPNGTTLPGPCDSPIAIRFFMSSNLTVHGLKITNSPQFHFRFDGCKTVHIDSIHIKSPGLSPNTDGIHIENTNGVQIYNSVINNGDDCVSIGSGSYDLDIRNITCGPSHGISIGSLGVRNSRACVTNITVRDSVIKDSDNGVRIKTWQGGYGAVRGITFSNIHMDNVRNPIIIDQFYCLTKDCTNQTSAVSVSDIQYTNIKGTYDIRSPPMHFACSDSVPCTNLTLSEIELLPAQGDIVLDPFCWNAYGDLQTLTIPPVSCLQEGLPSSVNFNNDNEYC >KGN45228 pep chromosome:ASM407v2:7:17081344:17084438:-1 gene:Csa_7G432090 transcript:KGN45228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKLGVCAMNLLPMWVFYSLFSIIDARPDLPGFSISSTVFASHSQPISLIVAKMEAQSPGVSEVKVVHHQDLNKRILIALIISSTLLGAILLFLLCFWIYRRKTSNNDDGKCQKNLEASKGTALNPIISRFNSLRLANFKGSVSTIDYKLLEAATDNFSKSNVLGEGGSGHVYKACFNDKLLAAVKRIDNGGLDAEREFENEVNWLSKIRHQNVIKLLGHCIHGETRFLVYEMMQNGSLESQLHGPSHGSALTWHIRMKIAVDVARGLEYLHEHRNPPVVHRDLKSSNILLDSDFNAKLSDFGLTVNLGAQNKNIKLSGTLGYVAPEYLLDGKLTDKSDVYAFGVVLLELLTGKMPVEKMGPTQSQSLVSWAIPQLSDRSKLPKIVDPVIRDTMDLKHLYQVAAVAVLCVQSEPSYRPLVTDVLHSLIPLVPVELGGSLRMTEPLHPKVPPSTS >KGN43397 pep chromosome:ASM407v2:7:1690973:1692623:1 gene:Csa_7G031580 transcript:KGN43397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSSLPAPSEGVLNVILVNTALSISMLKCFVRLILHMVGIRLSWPSTVVPSPDSFESSSELGDPNFGSSWNYLEMFRNRYPRIRFDKVQSSGCREHDCSVCLTQFEPESAINHLSCGHLFHTDCLEKWLDYWNITCPLCRTPLMSEEGKSCFW >KGN44189 pep chromosome:ASM407v2:7:7833392:7833883:-1 gene:Csa_7G219250 transcript:KGN44189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLLPSFLPSNKTPIRALGFLRLHNIALLYFASINSPWIFHFKARSLRSVARASASVLHISLLEMLSDFYLF >KGN44728 pep chromosome:ASM407v2:7:13625880:13629827:-1 gene:Csa_7G374710 transcript:KGN44728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSKTVPSASSIVKPNLSSSLFTHSPTIPSSNPHFSSAVHHKSLNVSPQNFNTPISFQQQLSMFLHNCKTGNITATQAFQFFHLMMYSNPTPPLSSFTHLLSGLAKIKHYSQVFYLYNQMRLSGISPDCCTLNILLNCLCNVNRVEEAMKLYNGMLQVGKRPDVKTYGALLTGLFQGGKVGDAKKLFGVMKVYGMPCKIEKYQACLDLLPRRIIFINSTLACMEQISWRLLTLTSWVDLGSESHVLMDRWEQPLCNV >KGN44280 pep chromosome:ASM407v2:7:8652067:8653571:-1 gene:Csa_7G238440 transcript:KGN44280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEVSSLIRVLAGYKDDDNRTALGNGQDSTALVTRDLLGQSSNLTDSQELDLDLQVPTGWEKRLDLKSGKVYIQRSQTPDSPLNSDSKQIQMINQTESKFQDLNFPPSPSKRTLNLFNETSLDLKLTSSPSSTNYASVCTLDKVKSALERADKELVKKRSSLWKSASSPSYSSSSSSAAAGKEIQEEEAAEIRNSAAPMAVGCPGCLSYVLVMKNNPRCPRCNSVVPLPTIKKPRIDLNMSI >KGN45124 pep chromosome:ASM407v2:7:16418892:16426079:1 gene:Csa_7G428120 transcript:KGN45124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQKQKLNGGLAGRSLVEVTPNPSNGLLSKATDLLEKLFVKLMFDSSKPQHYLSGNFAPVHDETPPITDLPVKGYLPECLNGEFVRVGPNPKFSPVAGYHWFDGDGMIHGLRIKDGKASYVSRYVQTSRLKQEEYFGASKFMKIGDLKGFFGLIMVNMQLLRAKLKVLDVSYGTGTGNTALIYHHGKLLALSEGDKPYVIKVLEDGDLQTLGLLDYDKRLKHTFTAHPKVDPVTGEMFTFGYSHSPPYVTYRVISKDGLMHDPVPITIPAPVMMHDFAITENYAIFMDLPLYFKPKEMVKENKLIFTFDATKKARFGVLPRYAKDDLLIRWFELPNCFIFHNANAWEEEDELVLITCRLENPDLDMVSGSVKEKLENFSNELYEMRFNLKSGLASQRKLSESAVDFPRVNESYTGRKQQYVYGTTLDSIAKVTGIAKFDLHAEPETGKTKIEVGGNVQGLYDLGPGRFGSEAIFVPRVPGTTSEEDDGYLILFVHDENTGKSAVNVVDAKTMSSEPVAVVELPHRVPYGFHAFFVTEEQLQEQGRL >KGN45538 pep chromosome:ASM407v2:7:18837283:18846311:1 gene:Csa_7G451930 transcript:KGN45538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGGNEVVAAPAGPPQPLDWKFSQVFGERTAGEEVQEVDIISAIEFDKSGDHLATGDRGGRVVLFERTDTKDHGGSRRDLERMDNAIIRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIRWCQPANGALFLLSTNDKTIKYWKVQEKKVKKVSTMNVDPSKPAGNGSNASSSNSSSSGQYLANGGSPDRYPSNDLSFPARGIQSLRLPVVTSHETNLVARCRRVYAHAHDYHINSISNNSDGETFISADDLRINLWNLEISNQSFNIVDVKPANMEDLTEVITSAEFHPTHCNMLAYSSSKGSIRLIDLRQSALCDSHSKLFEEQEAPGSRSFFTEIIASISDIKFGKDGRYILSRDYMTLKLWDINMDSGPVAAFQVHEYLRPKLCDLYENDSIFDKFECCLSGDGLRVATGSYSNLFRVFGCISGSAEATTLEASKNPMRRQVQTPSRPTRSLSSSITRVVRRGAESPGIDANGNSFDFTTKLLHLAWHPTENSIACAAANSLYMYYA >KGN45015 pep chromosome:ASM407v2:7:15740220:15746929:1 gene:Csa_7G407680 transcript:KGN45015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMTMVIRIHQLYRPFSLLPLSLSSTSLFSISHSHSLSLRTRRRFHSPPLSTSSFMASSRFRNLVHLNAIVSEDGGSGGGGGGSNGSVSSSSAVASTVDDEDSVLGVGYRLPPAEIRDIVDAPPLPLLSFSPYRDKILFLKRRSLPPLAELAKPEEKLAGIRIDGQCNCRSRISFYTGIGIHQLMPDDSLGPEKEVRGLPNGAKINFVTWSPDGRHLAFTVRVDEDDGSSSKLRVWVADVETGEARPLFQNTDIYVNAVFDNFVWVNDSTLLVCTIPFSRGDPPKKPLVPPGPKVQSNEQKNIIQARTYQDLLKDEYDKDLFDYYATSQLVLGSLEDGTVKEFGTSPPAVYTSLDPSPDHKYILISTIHRPYSFIVPCGRFPNRVAVWTTDGKFVRDLCDLPLAEDIPIAFNSVRKGKRSINWRADKPSTLYWVETQDGGDARVEVSPRDIVYTESAEPLESEQPEILHKLDLRYGGISWCDDSLALVYESWYKTRKIRTWVISPGSKEDNARLLFDRSSEDVYSDPGSPMVRRTPFGTYVIAKLKKENYDGTYVLLNGRGATPEGNIPFIDLFDINTGSKERIWKSDRETYYESVVALMSDQKEGDLNINELKFLTSKESKTENTQYYILRWPGKTASQITKFPHPYPQLASLQKEMIRYERKDGVQLTATLYLPPNYDPAKDGPLPCLIWSYPGEFKSKDAAGQVRGSPNEFAGIGPTSALLWLARRFAILAGPTIPIIGEGNEEANDRYVEQLVGSAEAAVQEVIKRGVAHPSKIAVGGHSYGAFMTANLLAHAPHLFCCGIARSGAYNRTLTPFGFQNEDRTLWEATSTYVEMSPFISANKIKKPILLIHGEEDNNPGTLPMQSDRFFNALKGHGALCRLVVLPFESHGYSSRESIMHVLWETDRWLEKYCSSNASDLGQDGDKNKQEGNGAADSAGKVVAGSGGGDTESSSPDNDGFYSIQRSFL >KGN45241 pep chromosome:ASM407v2:7:17132945:17133229:1 gene:Csa_7G432220 transcript:KGN45241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKPSVRMLRSNCLVSCFRIEDFPPPFLHVHPLALLKAWGTNSCVDIQSSLNSCLSVCNALITMYFKCGSQEGISVFDQSRHNYLESSFSWLCT >KGN43928 pep chromosome:ASM407v2:7:4944887:4946175:-1 gene:Csa_7G073640 transcript:KGN43928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRFFSTSLSLSRSLTRHTKFPNPIPFPNLIKPIQSFHLTPPFFSSVSQIGDALSPTIQPPDEDNRSELLRSLEVLLGSSFRSDRLVYNQSPLVIVLSGPPGVGNDAVIKRLREVREGLHFAVTATTRPMRPGEVDGKDCYFISKEEFSAMMKRNELLEFSFMYGHYKGIPKTQIREFMEKGYDIVLRVEISRAKILRKILRNSAVFVFLMAESEAKLGERSIDRKTGTEESLLVRLPWVRENVKNFDYVVVNTDGKLESAVKLVESIIDAEKAKVRQRRTVV >KGN43672 pep chromosome:ASM407v2:7:3337093:3338382:-1 gene:Csa_7G056510 transcript:KGN43672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLLEEKERVLVKYKYLFDEKEGPMKKYKRISFEKSKRKRKSTKGTEDNSNLVKSE >KGN43174 pep chromosome:ASM407v2:7:302733:305009:1 gene:Csa_7G006240 transcript:KGN43174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEGSISSHLDSGPESKEQVDGLTPEDIAWVDSCLIKEVPDISDGNWNDIKDALLEIIDLYPQGFESSLALSDNVPGASNGDIDVDMLPSNNVKELTFSSRDSDDLMNETRMVPEDHPMNDTGIASEDPQMHHDDIDTSLPFTLVKNPFLPTYKEEVEGNDENNQAGIGHELSEIGSDSPINNIFHVWDLNFPPVEDELVEQLNKALTENSVELVPSMDSNLGVSKDLKEDLLDDLINSISDLSLEQTKY >KGN43589 pep chromosome:ASM407v2:7:2833549:2841345:-1 gene:Csa_7G047250 transcript:KGN43589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWKRSSRNGNLRIWVQVLVGFVVCAAQVLLGITNPGDFSAISSLHTALGLPSLPGWGIGQDPCGDAWQGVVCNDSSIIRIIINAANLGGELGDNLGLFSSIQTIDLSNNHIGGSIPSNLPVTLQNFFLSANQFTGSIPSSLSSLTQLTAMSLNDNKLSGEIPDSFQVISQLVNFDLSNNNLSGPLPPSVSNLLALTTLHLQNNQLSGTLDVLQDLPLKDLNIENNLFSGPIPEKVLSIPNFRKDGNPFNSSVSPTSPPVSPSPPSKPAPAPPVSGGPPVSGAPPSSQQRPKKQADGPSASEESSSGKNKKSTKRVVLITIAVVLSFIILVLACVLFMPRCRRRRRSDSVSKRHQIGAYRGERENVGNQGAMPQTNDQIPKVVPKEPVVRMKQETQTEVQKVPKDNVEREKNMPRMSAIPKKDHHEVDMSTLDVYLMPPPPPPPPPPVEEVTAVPTVPAEVPPLKPLTKNKITLPFARPYTIASLQQYTNSFSQENLLGEGMLGNVYRAHLPSGKVLAVKKLDKRAFSLQKDDEFLELVNNIDRIRHANVVELSGYCAEHGERLLIFEYCSGGTLQDALHSDEEFRKKLSWNARIRMALGAARALEYLHEVCQPPVIHRNFKSANILLDDDLSVRVSDCGLAPLISKGAVSQLSGQLLTAYGYGAPEFESGVYTLESDVYSFGVVMLELLTGRMSYDRTRIRGEQFLVRWAIPQLHDIEALTSMVDPSLNGRYPAKSLSYFADIISKCVQSEPEFRPPMSSVVQDLLNMIRREPQGSGSSEG >KGN45535 pep chromosome:ASM407v2:7:18810758:18814920:1 gene:Csa_7G451410 transcript:KGN45535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHLPYDPYYLYNQPDPTYSERSNINTLFISGLPDDVKAREIHNLFRRRPGFDSCQLKYTGRGNQVVAFATFYNHQSAVTALHALNGVKFDPQSGSVLHIELARSNSRRKHKPGGGAYVVIDKRKKTDANSQETSSDDGGSEPDEPSKKAQQSNEAVVTPANAISAPYEHHEKNDGGPCSTLFIANLGPNCNEDELKEVLCKYPGFNVLKLRAKSGMPVAFADFEDIEQASKVMEELQGSVLPSSDRGGMHIEYARSKMRKS >KGN43528 pep chromosome:ASM407v2:7:2494484:2499704:1 gene:Csa_7G044190 transcript:KGN43528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIFEDDVRQALLQPASAALLSSHSLCSNHHGGNEELERILSDTEISVMDRYRRATWIEIKLLFYLAAPAVFVYIINYTMSTSTQIFSGHLGNLELAASSLGNNGIQVFAYGLMLGMGSAVETLCGQAYGAERFEMLGIYLQRSTILLTITGIFLTIPYIFCKPILLFLGESKDIASAAEIFVYGLIPQIFAYSLNFPIQKFLQAQSIVFPSAYISAGTLVIHMLLSWLTAYKMGMGLLGVSLVLSLSWWIIVVGQFLYIIKSDRCKKTWRGFNVQAFSGLYGFFKLSAASAVMLCLETWYFQILVLLAGLLENPELALDSLSICMNIFGCVYMIAVGFNAAASVRVSNELGSANPKSAAFSVAVVVAISTIISIICALLVIIFRDNISYIFTDGEAVAAAVSDLCPLLAITLILNGIQPVLTGVAVGCGWQAFVAYVNIGCYYIVGVPLGSLLGFYFNFGAKGIWVGLMGGTFMQTLILVWVTWRTDWNKEVEEAIKRLSKWDDTAKPIVE >KGN43563 pep chromosome:ASM407v2:7:2678627:2681841:-1 gene:Csa_7G045520 transcript:KGN43563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDRNSGEGTCLLHNRSSEMVAKRSSVKKLVTISWFAEHLMSFFHLYSFVFLIFVVNCFAKDTLEFKSCISHGSGDTLVSAGSRFELGFFQPYGSSHSRRYLGIWYYKSNPITVVWVANRDRPLPSSDGVLKIEDDGNLKVYDGNQNLYWSTNIGSSVPDQRTLKLMDNGNLVLSYVDQEDLSEHILWQSFDYPTDTFLPGMLMDDNLVLASWKSYDDPAQGNFTFQLDQDGGQYVIWKRSVKFWKSGVSGKFITTDKMPAALLYLLSNFSSKTVPNFSVPHLTSSLYIDTRLVLNSSGQLHYLNWEDHKVWSQIWVEPRDRCSVYNACGDFASCNSECGMACKCLPGFEPTSPGSWNIGDYSGGCIRKSPICSVDADSDTFLSLKMMKAGNPDFQFNAKDDFDCKLECLNNCQCQAYSYLEANITRQSGNYNSACWIWSGDLNNLQDEFDDGRDLNVRVAVRDLESTARNCGTCGTNLIPYPLSTGPKCGDPMYFNFNCNLASGQVNFEAAGGTYKVKFIDSEARKFYIQTKEPGDCGDKNWITKALQLNQSSPFRVTSWCNFKETNLEENFSLKTSNEVEISWEPPLEPICSSTTDCKDWPYSTCNMSKDGNKRCLCITDFHWNGWILNCTTDHNKGKDGKGKTTFSVIIVATSLCMVLLMILSCTVFYIYFSKSGLIERQESRGNSQKDLMLHLYDNERRVKDLIESGRFKEDDTNGIDIPFFDLETILVATDNFSNANKLGQGGFGPVYKMSVALDWDMRFNVILGIARGLLYLHQDSRLRIIHRDLKTSNILLDEEMNPKISDFGLARIFGGKETATNTKRVVGT >KGN43195 pep chromosome:ASM407v2:7:458648:459308:1 gene:Csa_7G007930 transcript:KGN43195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGIGKSNNIRRIVSIRQMLQRWRKKARVTASSRRAGDAPSDVPAGHVAICVGSSCRRFVVRATYLNHPIFQKLLSQAEEEYGFRNQGPLAIPCEESVFEEVLRTVSRSESGRFLNLQDIRRRCHVDSPSGLLRESRPLLFDFADKSVYC >KGN44662 pep chromosome:ASM407v2:7:13006237:13008584:-1 gene:Csa_7G368150 transcript:KGN44662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSNWGLFFSLFLFLLYFSPAFSQTCSSRKFSNNNLYSHCSDLPSLSAFLHWTYDSSNSSLSLAFIAKSTGWIAWAINPTSTGMVGSQALVAYLHAGIPVVRTYNVASYGSIRPSNLSFEVWDTSAQSSAGEFIIFAKLKVPTSATTLNQVWQAGPSVDGTTLAVHPFQPANLNAKGTLGLSGGEVTNNNSGEVDSRTMRKNIHGVLNAVSWGLLFPTGVVIARYLRVFPSADPAWFYLHISCQISAYAIGVAGWGTGMKLGSESEGFVAYGHRNIGIALFSMATLQMFALFLRPKKDHKYRVYWNVYHHSIGYSILILGIINVFKGFNMLNPDRKWKSAYVIVIAVVGVIAIILEAFTWVVVLKRKSSNKSTKPFDTETHQ >KGN44473 pep chromosome:ASM407v2:7:10552730:10554095:-1 gene:Csa_7G302370 transcript:KGN44473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEAEKQSMFNCKKILFACSQAIGNCSIRNFNSVSSSSLQFETVNHYSVLQSTSFQHWFKNWQELRKHKLTASTFAGAIGFWPRRRTQLWLEKLGAIDQFCGNLATCWSNMKEEEALERYKLITGNSVLFPEFQVYGKANSEDDWLAASPDGAIDKMVYGLPSRGVLEIKCPFFNGDMRNASPWSRVPLYCIPQAQGLMEIMDRDWMDFYVWTPNGSSLFRLYRDVEYWDVLKIALSDFWWKHVQPAREMCSKYVVTNPLIELKSLRPSPRHELCSYIVCESKRVVNNSKLLLREFDGRLQT >KGN44065 pep chromosome:ASM407v2:7:6336196:6336530:-1 gene:Csa_7G160510 transcript:KGN44065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAKVSGDNSCERGSSAMRIPNVPPLSPLDHHLEGLVDVDSDESLTGPHMADTTIEKVGILKTPAAKPVELSLHLSALLEKIR >KGN43350 pep chromosome:ASM407v2:7:1413365:1413568:1 gene:Csa_7G025710 transcript:KGN43350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCMNKWVIANKLDKLKFARQKSSYCYFFASASLTSPELCDARLSWTKNGVFTTVVDDFFDTGDLKRN >KGN45483 pep chromosome:ASM407v2:7:18513646:18515467:-1 gene:Csa_7G449430 transcript:KGN45483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFKKLSLFSPKYLMPSCLKPKKLLKGSSSSSSSSSSNGIQTSKKISLRRISSFSDMSVRSSLSVISDLSNSCIGSNLQIFTFNELKELTQCFIKSNYLGEGGFGPVFKGFIGENFKPGLKSQQVAVKILDLDGSQGHREWLAEVFFLGQLRHPNLVNLIGYCLEDEQRLLVYEYMEGGNLEDVLFKGCYVSNLTWLQRMKIALGSAKGLAFLHETEKPIIFRDFKASNILLDSDYNPKLSDFGLAINGIDEDDMHATTRIMGTEGYAAPEYVMTGHLSTMSDVFSFGVFLLELLTGRRAIDNSRPSREQNLVAWGRHLLKDYHKLEKIIDPRLEGQYSNEGSKKLAALAHQCLSHHPKCRPSMSSVVKDLEAILKMKEFLIEPFVYIVPSEDIKELEKPANRDQKGRSYRLKLLSSRSRSRSGAVHSDTTFVENR >KGN43559 pep chromosome:ASM407v2:7:2673683:2674097:1 gene:Csa_7G045480 transcript:KGN43559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKICLLFCLIVGEIFLIQAITTSIATTTELPLSVAPESSEKGTREGSEVAEAPEIRRLGKHHGDKSMAGGGVIIGGLVTAVFAAVFCYIRVTRKRDGVDSVH >KGN45571 pep chromosome:ASM407v2:7:18982383:18988007:1 gene:Csa_7G452260 transcript:KGN45571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYVGNGHNVPSTKICLLFKSCFIFYCLFTILSNSKLASGYAYGARNLASVTPSGFISIDCGANEDYMDNGILYKSDSDFVDTGINQPVSLNISRNLRPQLKNVRSFPEGRRNCYVLKPENGKDNTYLIRASFLYGNYDGKNSTPSFDLYLGSNLWWTVDWDNGYVETLYTPSTDYITVCLFNTSKGVPYISTLELRHLDNTIYRTPARALVTMQRFDIGGRSNLRYPADVYDRIWNPLDVATLNSSATNSSISQGNNDAYKIPDIMLRTAAKEQNATCSLSYFWETQSSSTQFYVYFHFAEIEKLVGKQRRLKVDLTGQRNATTNATLDYLKPLSVSLTGTPDNAGQLQFSISAAAGSDLPPLLNGFEIYAAKDMQNASTVPVEADAMMGVKRAFKLIRNWEGDPCFPSELSWSGLTCSNSSASNILSINLSSSNLTGEIPASIANLQEITSLDLSNNELTGEVPEFLVDLPNLRNLNLTSNKFTGSVPKALLQRAQAGSLTLSVGENPDLCISLKCSDKLKKYLPLIIIACILAVLLPIVVFALVMYRRRRQRENLKREIEERLLKSKNHQVRYSEILLISDNLKTTIGEGGFGKVYYGTLGDKTQVAIKLLSASSRQGSNEFKAEAQILTIVHHRNLVSLIGYCDEAENKALIYEFMSNGNLRKHLSDPNTKALSWMERLQIAVDAAQGLEYLHNGCKPPIIHRDMKTSNILLNERMQAKISDFGLSRVFANESDTHLSTCPAGTFGYVDPLIHLSGNFTKKSDVYSFGVVLFELVTGQPAIIKGEYNKHIVDWAKPFIEEGNIQNIVDPRLEDSAESCSVGKFVELALSCTLPTTPERPDMSDVVSQLIECLKMVQDKMPQVPQMSQIKSHRTEEFSYNSIGSESLFSPR >KGN44198 pep chromosome:ASM407v2:7:7893474:7893911:-1 gene:Csa_7G219830 transcript:KGN44198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLENEMEESRSGTIKISDVSFDALRAFVNYLYTAEACLDEQMAYDLLVLAEKYEVKHLKAHCEKFLISKLNWDNSIMNYVFAHQHNGVHMIDAALSMIIDNMDKLTKRDEYMELVEKDPRLVVEIYEAYMSKQVNTAACKDRSVK >KGN44543 pep chromosome:ASM407v2:7:11540265:11547821:1 gene:Csa_7G328310 transcript:KGN44543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFGIVGRWSLQILNIFFFLKFLCSSSLTILFHLHLEPRPDLRPDSLIPIAICISRTCSTWGTLLYRYEARFGGNVMMGLFGNNNEQLPERRGIVSGFFKSLGKTEYGSRAVRRGRRLGRSTRKRFSCLFFTLATSFLLYLAVFGLKVNFYDIIGDSTSVSEVEEHLVSRDQRPPTSKHRRKQHFPCDVEFAESVAYLVEPEGFMNVTQFSLEFIEREEKELEADLHMPRFGGHQTLEEREISFYATNQKLHCGFIKGPPGYPSTGFDLDEKDDAYMKTCKVAVSSCIFGSSDFLRRPTSKQISEYSKKNVCFVMFVDKQTLSKLSAEGNIPDDKGCIGLWKIVVVSNLPYEDMRRTGKVPKFLSHRLFPSARYSIWLDSKMRLQVDPMLIIEYFLWRKKSEYAISNHYDRHCVWEEVQQNKRLNKYNHTAIDEQFAFYQSDGLVKFDPSDINSGLPSYVPEGSFIVRAHTPMSNLFSCLWFNEVNRFTSRDQLSFAYTYLKLRRTNQGIPFNLNMFKDCERRSLAKLFRHRVLSPTNIDP >KGN43940 pep chromosome:ASM407v2:7:5017492:5019625:-1 gene:Csa_7G073750 transcript:KGN43940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMKKFGGFVFPKAAQNLRNDFVRSLKQKKKKKKKKKKKEEEEEEEEKIPLRRLTNHNRSNKIAGSFFPSPKWFWSGHKSRGRAGGRVETTMDDCKRVVVMTTANNAGCMSKRRRIAGSSESLRPSASRSDLDDRCLWLENATTSLSRLENKNSIGIIAGGEMSDGFSEDQFPVSCCFSNDCRKATAVKDAGRFEDLELQTKSFETVESIRVNGIQRESTPTSNLMGDSDEMDSPATIFLTEKQKPTDRPMKTPPISEIEDFFSEAEKYEQKRFSEKYNFDIIMDVPLEGRYQWIRLKP >KGN44534 pep chromosome:ASM407v2:7:11456879:11458754:1 gene:Csa_7G327730 transcript:KGN44534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQYIQRHHNHNPTHNQCSSAIFKHIKAPVHLVWSLVRSFDRPQRYKPFVSRCVVRGNSLGIGSLREVDVKSGLPATTSTERLELLDDEEHILGVKIVGGDHRLRNYSSIITVHPEAIDGRPGTLVVESFIVDVPEGNTKEETCFFVHSLINCNLKSLADVCERMAVMQDAVEPIHPYQV >KGN45370 pep chromosome:ASM407v2:7:17753728:17754036:1 gene:Csa_7G446850 transcript:KGN45370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNVSPLKRCRFKFFSTVSTFHLRTPTATLTILHSHAHYFTRRASVFLFEIFKATVFVFGFGFTQTLSKLPNSLRSAHSHILPLLTSLRKHLIFASLDCGRL >KGN44903 pep chromosome:ASM407v2:7:15112163:15116800:1 gene:Csa_7G395210 transcript:KGN44903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYRFHRLNPILQSFYSRISPPTHKEFHSIPSFQSPSLHPQFTFLGIYDDPKSKICRRWHLGHSHRHDDDHRFGQEGENIFKLGLGADIGLAVGKAVTGYLSGSTAIIADAAHSVSDVVLSGIALWSFKAGKAPKDKEHPYGHGKFETLGALGISSMLLATAGGIAWHASELLLGLLSAAPEIVNQPFGHESLHNHSHSHGEHHHGIDMDHPILALNMTIISICIKEGLYWITKRAGEKRGSGLMKANAWHHRADAISSVVALIGVGGSILGVKFLDPLAGLVVSGMILKAGLQTGHQSILELVDAAIPADQIDPFKQTILQVEGVKGCHRLRGRRAGSSLYLDVHIEVDPFLSVSAAHSIGENVRHEIHTSHPEVSEVFIHIDPSISHFPPKLSNQQAASAGTSNQSTDFPLTENIEATVSDIVQSKFPENMMVERITPHLLQGKILLQIEVSMPPDLLIRNAMDVAKRAEMEILKADSNIVHVSIQLRLGQQIPQLSH >KGN45145 pep chromosome:ASM407v2:7:16528803:16530298:1 gene:Csa_7G428820 transcript:KGN45145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHKETGCQAPPEAPKLCANNCGFFGSAATMNMCSKCHKDFIMKQEQAKLAASSFGNIVNCGPNDHGKKAVVTSPTKLEIEPAESSIIPTQATCTSSNARSIVEKVKESPNRCSSCRKRVGLTGFNCRCGSLFCAVHRYSDKHNCSFDYQAVGREAIAKANPVVKAEKLDKI >KGN43485 pep chromosome:ASM407v2:7:2174150:2175460:-1 gene:Csa_7G041310 transcript:KGN43485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKPHEIIPFYAALLDACSSTNNLHTLKQIHALTITLHISHHHFIRTKLASTYAACAQLPQATTIFSFATRRPTYLFNTLIRAHSSLRLFSQSLSIFRHMLLSGKSIDRHTLPPVLKSCTGLSSLRLGRQVHGALLINGFSADLPSLNALITMYGKCGDLGVARKVFDGMPERNEVSWSALMAGYGVHGMFGEVFRLFERMVEEGQKPDELTFTSLLTACSHGGLIEKGKEYFGMMRMEFHLRPGLQHYTCMVDLLGRSGQVEEAEKLIMEMEIEPDEALWGAMLSACRIHGKVDVADRVQKRFIKQQ >KGN44273 pep chromosome:ASM407v2:7:8579811:8580583:1 gene:Csa_7G237880 transcript:KGN44273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDSNNKALSLMEPKPSHPLHQIAETPTHKLLLKQWLKEEELIFGRISLKETQIDSVRKEITMLHIFFFVFHSTAILLLFNASTKDFHGVACKRSWIPSLCSLLFSLGIIWAVRYKTDVEAHLEKLLEREKEDRNLLSKCVDELKRKGIEFDLLKEVDALRRAKSLRVEAKAVRKWSSRDFITLFFFSVSCMFLGIIRVVLCNN >KGN43289 pep chromosome:ASM407v2:7:1058493:1060679:-1 gene:Csa_7G017720 transcript:KGN43289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFAPQKSIPKKQEEEEEARVEIWKYIFGFVEMAIVKCAIELRIGDTIESHGSPMTLSQLSTALNCSASLLYRILRFLVRRGIFKQEINEANVISYDQTPLSRLLASSNNNSMAPFLLLESSPVMLAPWHRLSARIKGNGETPFEAAHGKDVWSFAAADPIHNIVINDAMSCTARVLTVPAILEECPQIFEGIGSLVDVGGGNGTCLSMIVKAFPWIKGINFDLPHVISSSQQYIGVEHVGGNMLDSIPKADAAFIMWVLHDWDDETCIKILKNCKGAISEKRGKVIIVEALIEERSEENNNKLGDVGLMLDMVMMAHTKNGKERTSKEWGHVLHQAGFTQYTITPIRAVHSVIQAFL >KGN44471 pep chromosome:ASM407v2:7:10537062:10541963:-1 gene:Csa_7G302350 transcript:KGN44471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLSLLKTAQGHPMLVELKNGETYNGHLVNCDTWMNIHLREVICTSKDGDRFWRMPECYIRGNTIKYLRVPDEVIDKVQEETKSRADRKPLGVGRGRGRGREDGPGVRPAKGMGRGFDDGAKAASGGRGKGGPGGKPGANRVGGRGRG >KGN44363 pep chromosome:ASM407v2:7:9581170:9581394:-1 gene:Csa_7G270570 transcript:KGN44363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGVLRITLESATAMDSWGRSVLSSSEWSMYYFNERKVRYRLRQGTSAAEVEMLWGLGRVAEEAGVIESEDDC >KGN44051 pep chromosome:ASM407v2:7:6131079:6133246:1 gene:Csa_7G145940 transcript:KGN44051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGIGSRLGRVSSRYGPAATVFNGPVRKWKKKWVLASSSSSGLNYQTSSHSQSNAQKLLLCRWTPIHPPTSSEADEATAPPDEPPKRKFRYTPIAVLEEQKSAELRSVKDEVRMKEMDQLAAKTATVGNEALGELNVNEIFKEETQETSKNLNSPRDGSRNNLDLALCLNGQKKVQDSAGKSL >KGN44324 pep chromosome:ASM407v2:7:9190781:9191076:1 gene:Csa_7G253790 transcript:KGN44324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFDGKDRMVDGDENLLPLLEKKLNNGNHTKQIYHILLKAMSISQQANSDDIPTVISMKVTK >KGN44686 pep chromosome:ASM407v2:7:13272780:13275136:1 gene:Csa_7G372320 transcript:KGN44686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGYVGILVSDPWLQNQFTQVELRSLKSHYMSMKRENGRLNLGDLPSKMSRLKVVGENLTEQERASFLQDLYQNQDDEVDYEFFLKIYLKLQAHASARTGSTGAKNSSAFLKAATTTLLHTISESEKASYVAHINNYLSQDKFLKRYLPIDPSTNNLFEIAKDGVLLCKLINVAVPGTIDDRAINTKAVLNPWERNENHTLCLNSAKAIGCTVVNIGTQDFIEGRRHLVLGLISQIIKIQLLADLNLKKTPQLVELVGDSKDVEELMSLPPEKILLRWMNFQLKKGGYNKTVTNFSSDIKV >KGN43338 pep chromosome:ASM407v2:7:1314768:1319551:-1 gene:Csa_7G024120 transcript:KGN43338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLPKRISLTLNLLFIFSVFQVVSLVGLIGVDASNASLTLDGKPTYQCVQCGDGEEQDTGNRISTNEDDKDIDIIAAYSDPSGAFRLGRVKLKDLSASWIWENSLDGNHHQPLSSQSDQLNSRKENKEIMVHSVDDHPPGEGEAEFSRLSSMNPVKLKRQAMRLERRALRAAELIREDKEIDNQMAAAAIERSQNFDTTVKGKYSIWRRDFENPKSDSTLKLMRDQIIMAKAYANIARSKNDTGLYNTLMRHCRESQLAIGEANSDAGLHPSALDRAKAMGHALAMAKDELYDCLTMARKLRAMLQSTEENVNAQKKKSAFLTQLAAKTVPKSLHCLPLQLAGDYFLHGHHLNHNIDREKIENPSLYHYAIFSDNVLATSVVVNSTVLHAKEPEKHVFHIVTDKLNFAAMRMWFLVNSPSKPTIHVQNIDDFKWLNSSYCSVLRQLESARLKEYYFKANHPSSLSVGMDNLKYRNPKYLSMLNHLRFYLPEVYPKLDKILFLDDDIVVQKDLTSLWDIDLKGMVNGAVETCKESFHRFDKYLNFSNPKISENFDPNACGWAFGMNIFDLKEWRKRNMTGIYHYWQDLNEDRTLWKLGSLPPGLITFYNLTYPLDRGWHVLGLGYDPALNVTEIENAAVIHYNGNYKPWLDLAVSKYKSYWSKYVMYGNPYLQLCNISE >KGN45085 pep chromosome:ASM407v2:7:16182351:16186234:1 gene:Csa_7G420780 transcript:KGN45085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSFSSHLLLLLFFLLGFLQKGLSLEYGLTREELAFQDADRVLRLPGQPPVNFKQYAGYVNVNESHGRALFYWFFEAIADPHEKPLLLWLNGGPGCSSIGYGAAEELGPFFPQKGDKPKLKFNPYSWNRAANLLFLESPIGVGFSYSNNTNDIKELGDTITAKDSYAFLVNWFRRFPQFKSHEFYIAGESYAGHYVPQLSELIFDENKKISKKNRINFKGFIIGNALLDDETDQRGMIDYAWDHAVISDKLYKEIKTNCNFSNPAPSNSCDASLDKYFAVYDIIDMYSLYTPMCVEKNTSGGRKPRRFAINGVAPQNGGWHRRPIGYDPCSSDYTEMYLNRPDVQKALHANVTKIPYPWTHCSDNITFWKDAPSSILPIIKKLVAGGLRIWVFSGDTDGRIPVTSTRLTLNKLGLKIKKDWTPWYSHQQVGGWTIEYEGLMFVTVRGAGHEVPQFKPKEALQLIRHFLANHNLPTSSF >KGN43158 pep chromosome:ASM407v2:7:178592:182191:-1 gene:Csa_7G004110 transcript:KGN43158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGREGIQVSDLDLGIAMRIEEKMSVAGFSCSSFVVVIAVLVMVLNGVEVCYGGKTGQFMRKVEKTVDMPLDSDVFAVPPGFNAPQQVHITQGDHEGKAVIVSWVTPNKPGSNEVLYWSEKSKEKKQAFGKVYTYKFYNYTSGYIHHCTIKNLKYDTKYYYEIGIGYSPRTFWFVTPPEVGPDVPYTFGVIGDLGQSFDSNVTLTHYERNPHKGKAVLFVGDLSYADNYPFHDNVRWDTWGRFTERIIAYQPWIWTAGNHEIDFVPEIVSIQMAQVGANLGLGETEPFKPFTNRYHVPYKASGSTAPFWYSIKRGPAYIIVLASYSAYGKYTPQYEWLEAELPKVNRSETPWLIVLMHSPWYNSYNYHYMEGETMRVMYESWFVQYKVDVVFAGHVHAYERSERISNVAYNIVNGHCTPVKDQSAPVYITIGDGGNLEGLATNMTEPQPAYSAYREASFGHAIFDIMNRTHAYFSWSRNQDGYAVEADTHWFLNRHWHPVDESLSVQK >KGN43544 pep chromosome:ASM407v2:7:2601154:2601987:1 gene:Csa_7G044840 transcript:KGN43544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHSKSTIIFCLFLLFPSLVFSEDIVTDSAFLNHICTSFDNYTANSTYASNLNQAFYQLTSNAPPSGFAQVSIGKDLQTQVNGVALCRGDVSVADCRNCVATGSQEIQVRCPLSKGAIIWYDYCLLKYSNTQFFGKIDNRNKFSLINVQSVDDNVTTVFNEEVKSLLTDLAKKVELPNNIPKFYVIGEREIEVLKKKLYGLVQCSRDLSGAACKKCLSDAIGALSSCCDARIGGRVVGASCNFRYEIYPIVDAQR >KGN43347 pep chromosome:ASM407v2:7:1395235:1399753:-1 gene:Csa_7G025190 transcript:KGN43347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAMVKKYQQRFRKFKDEMDRWDELQVRLISQFQNASSIIGRLQLLQDPKNFGSLSGMDGIVEALLAKQMESLQLSFSSIKKTMEELGNIVNSMEKIYRDGKQLVKGGSNQPSIKQLQQKVGLKPSLEDCLNGLMLLCHMHRSEYNLKESIVSALPELFWKARNHGAQDLSSLHQLLVDQPNIKKEEVEFVFDTILVLEA >KGN44393 pep chromosome:ASM407v2:7:9886352:9887067:-1 gene:Csa_7G279750 transcript:KGN44393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLWARMRQKLRGELSSLGRSHITIAPTLQSPVESSIIKTLQARMRQVPSNASSSFDIRKYVENTRLLVEATLQSPVERRRAKPIRARMHRVPSILKLVWSTLDSRLKLQYNCSKKRGDPSCYGLEFIGLHR >KGN43383 pep chromosome:ASM407v2:7:1591963:1594703:1 gene:Csa_7G029970 transcript:KGN43383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHFVLLISRQGKVRLTKWYSPYTQKERSKVIRELSGMILNRGPKLCNFVEWRGLKAVYKRYASLYFCMCIDQEDNELEVLEIIHHYVEILDRYFGSVCELDLIFNFHKAYYILDELLIAGELQESSKKTVARLIAAQDSLVETAKEQASSISNIIAQATK >KGN45355 pep chromosome:ASM407v2:7:17692513:17706447:1 gene:Csa_7G446720 transcript:KGN45355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRYTIRGIDVDFPFDAYDCQLVYMEKVIQSLQEKCNALLESPTGTGKTLCLLCATLAWRKSLGEFSSGRSVSNSQNIEGDPNVLSSQSTSPKHPTIVYTTRTHSQLRQVIQELKKTSYRPKMVVLGSREQLCIHEDVSLLRGRTQNNACRSLCRKSGKRHCKHYNRVSGYVKENPHLGDEPIDIEDLVKIGKSFGPCPYYVSRELHKAVDIMFAPYNYLIDRGYRKSLVLEWKNSVLIFDEAHNLESICADAASFDLTSWLLTACISEAKNCIDLSIKRRDESNDKSGNPDNFAILRALLLKLEKGISDIPIHSKELGFTKPGPYIYELLAELNITHESASKLKGIIEEAAILLEEDKQQKIQNTGCRLENITDILDIVFREKDNAHAKFYQVHVQENEASAMDGLKGKASRTLSWWCFNPGIALEAFPKKEVGSIILTSGTLSPLDSFAQELKLDFPIRLENPHVISSNQIWAGVVSVGPSGCSFNSSYWNRESEAYKLDLGNAIVNFARIVPDGLLVFFPSYYFLDQCISCWKNQSLSSSTTIWERISKHKKPVIEPRQSSLFPSSIEDYMFKLEDTSSSGAVFFAVCRGKVSEGLDFADHAGRAVIITGMPFASRNDPKVRLKRGYLDHQSQSQRGNCQIMTGEDWYTQQAMRAVNQAVGRVIRHRHDYGAIIFCDERFAHSSRQSQISVWIQPHIKCYSKFGDVVYTLTRFFRNDVNRICPKPEDPSAKFKHEGVDTTRLNDVSSAGSSTSVSGDTGEKRSRKSFDDVHLEKLICKKPEGRDCSGKSLSSLFSIKEASHSNSWKDVLPANSPSVTSFNSLSSTVKSSNNLSHREKKVVLSDKKSSQYQSHEVVDLTDFSVMDEHPKKGLLVGCAAEKRKLFSTEHAPANFTRNSLGSSGSIPTESNDLSFKICSVKSEASPSDSRVVQKDIVHSSLPPSKSPTTQKMGSESVKQNEKIVKCVTVQPGDEEAKGSDFLSQVREKLSDREYKEFVGFMKALKTKAMGITHVLQSIVRIFSGPDRLRLRTGFKDYIPAKYHFLYEQLLGTST >KGN44938 pep chromosome:ASM407v2:7:15300805:15301977:1 gene:Csa_7G397020 transcript:KGN44938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATTKKPVMLIGIDDSEYAIGALEWTLNHFFSSTINPPLFKLILLHAKPIPEIYLDISGPGMFMGSAPGLYQVLDQNLKKKAGRIMEKAKEICASRSVRNVEFVVEEGDARNVLCEGVNKYGASMLVVGSHGYGAIKRALLGSVSDYCAHHAQCTITIVKPKPNT >KGN45471 pep chromosome:ASM407v2:7:18421477:18424712:-1 gene:Csa_7G448820 transcript:KGN45471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSFVFSQLLLSFTLVLCFSSLCIHASVHHYSSEKFINKGNAFVVHGGSEGIRSSVVDRSDGDVDSSSNRESYIRFEKITFRRPEEFSNFSSGPLHAIVFEVEDREMVGGSAYGGQRAICCTVDLAKLGVCSEGEVIYRPSSKNSGWPQVYGVSFNTDEQVATLPTKSIHITKTGMYNLYFIHCDPKLQNVVVEGKSIWKNPSGYLPGRMAPLMKFYLYMSFAYVLLGIFWFSQYARFWKEIFPLQNCITVVITLGMFEMAMWYFEYAEFNQSGIRPTGITMWAVTFGVVKRTIARLILLMVSMGYGVVRPTLGGLTSKVLMLGLTFFLASEVLELVENVGAVSDLSGKARLFLVLPVAILDAFFILWIFTSLSATLSKLQARRLSSKLDIYRKFTNALAVTVIVSVGWMCYELYFKSNDIYNEQWQNAWIIPAFWQVLSFSLLCVICALWAPSQNSMRYAYSEDAHEEFDKDDTNLTLIRPSPISSPSKDARSVPDIKPLHGSDNPAGDLEEDKTA >KGN45363 pep chromosome:ASM407v2:7:17732412:17733065:-1 gene:Csa_7G446800 transcript:KGN45363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIRDDLFWRSGNWKDGSFEFLENNKGINFNRVSNENETYLIYFSFNNNYRAESTSVIQTQLRLKEDGNLRMNMNNEDFEHSICPLLEKDNEGCVWKKQHKMPRCRNWLYPNGVAFKTMFVHTLEDTINVSSSSSYKDTNLTRFECEIICIYDCDCIGFGVSKQEDGNGGCEIWKSGAKIIVMDEGEREGWFLNGEESSDPPAPSPHPYPCNYRNGN >KGN44034 pep chromosome:ASM407v2:7:5919022:5919820:-1 gene:Csa_7G122350 transcript:KGN44034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLPKVLLRLDEVYNPLTVVIQGKSNISWLDMQSELLIFEKRLEHQNSQKNHANNDARQPGNSYPHNSNQSNGNSQRGGNNFHNSGSRGPGRGRRNKPTCQVCGKYGHSALNINTPTPCNSNQNPTPFVTTYNTNPFATPETVLSMLLLEMVVSYKFLALGIQIYLMGKAT >KGN44461 pep chromosome:ASM407v2:7:10445661:10445975:1 gene:Csa_7G298770 transcript:KGN44461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKTNSGLKIDDVLDTKKSFVQCIGGSNVSIGVGNRTRKMNNPRRHIRKNIRLVNSRSTERKGEKARYGEDHLWEGAEQGSWQWLNQRGKLNREDEKEEVEGA >KGN43835 pep chromosome:ASM407v2:7:4372080:4372619:1 gene:Csa_7G070510 transcript:KGN43835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLFKYISLSLLLSPLSFIMLSMLPFSSAQDSIQNFVDAHNTARAEVGVGPVHWNETVADYARRYANKRIKDCNLVHSKGPYGENIAWGSRNLAGTVAVRMWVNEKQFYNYETNSCVIGKMCGHYTQVVWRNSVRIGCAKVRCRSGGTFITCNYDPRGNIRGQRPYGEVKHQLRQQKVR >KGN45550 pep chromosome:ASM407v2:7:18910688:18916492:-1 gene:Csa_7G452050 transcript:KGN45550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRLLLLYALLPLLLVHVDGQDQSGFISIDCGIPENSNYTDSRTTLKYVSDAEFIDTGEIHDISPEYSRKLDQSEFNNAQLRNLRSFPEGNRSCYTITQGSGGEKYLIRVSFMYGNYDNINRPPSFDLYLGVDYWDSVRLSNASEIVTKELIHVPTLDYMQICLINIQKGVPFISAIEIRPLDNSTYVTVSGSLMLRQRLDYGSESNRTVRYPNDSYDRIWWSSTIPGLQNISSNSSIQLNKFAIPSIVLETAVTPNHSHQPLNFSWNTRNKRERFYIYMHFADIHKMEKGQFRAFDILVNGLLWYGQLAPDYLSTITVYSGSGTKAANRSKIEISLKRNENSSFPPLINAIEIFVQKQFSQLQTDQAEIEALLNIKLAYNLKNWQGDPCYPQKYAWTSLHCSYISDSPPKIISLNLSSSGLAGGISSYISNLTMLQYLDLSNNHLNGTIPDFLASLPFLTVLNLANNDLSGIIPQTLIERSRNETISLSVEGNPYLCLSEPCSKKKKKEYVIPLAASLGGVTLALLTGGAIVWRQRRRKKKEKQISGSSKQGETSLVPEKTKLTYSEILSITNNFETVIGKGGFGTVYHGYLHSIQVSVKMLSPASVQGYKQFEEEAQLLTTVHHGNLTSVLGYCNEDTHLGLVYEHMENGNLADLLSEKSNRILNWKERLRIALDAAQGLEYLHFGCQPPIIHRDLKSTNILLDKNLHAKLADFGLSKAFHSGDLAQATAAVGTPGYLDPEYTITNKLNEKSDVYSFGVILLEIVTGQPAITKTQDKTHVIKRVISVLKEGEIKNTVDPKIGLDVNNSSIWKSIELAIACVSSSSTERPTMTQVVMELKQCLAILENPQENNGGISSSLVLVPLTSSFRDPQER >KGN43497 pep chromosome:ASM407v2:7:2264472:2270144:1 gene:Csa_7G041910 transcript:KGN43497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDISAMASVHLRAHNLLARNPYGRSCFHRPLAAKLQKNFKIQANIETKVASSRTQRIMEGISVTGEVGGAGGAYSYNALKRLDQIWSRICSDQEIPEAAQEVVRRVSGAFSRSDLEEKAIDTFDVLVCGGTLGVFIATALSLKGLRIGIVERNVLKGREQEWNISRKELLELVEVGVITEDEIEQATAMKFNPNRCAFEGKGEIWVENILNLGVSPEKLIELVKKRFISLGGVIFEGCNVSSICIYDDTTVMQLSDGNILSSQLTIDAMGNFSPVVKQIRRGRKPDGVCLVVGSCARGFRDNSTSDVIYSSSSLRKVGSSEVQLFWEAFPAGSGPVDRTTYMFTYIDPQPGSPKLEELLEEYWDLMPKYQGVSLDDLKILRIIYGVFPTYRNSPLPAAFDRVLQFGDASGIQSPVSFGGFGSLTRHLGRLSTGIYEAVTENFLDSNSLSLLNPYMPNLSSSWLFQRAMSAKQRSNISPYFINELLHVNFQSMQRLGDPVLRPFLQDVVQFVPLTQTLGLVMLTKPQLLPSIFKQVGIPVLLDWFGHFGMLGYYTFLSTFVDPIVRSFLSSLTPKETFEWKRRLEAWKYGAGLDYKL >KGN45201 pep chromosome:ASM407v2:7:16887574:16891429:-1 gene:Csa_7G431340 transcript:KGN45201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGDKKSIIPRQSSYNSLYLNPLTDLRHNRSCSDGGGFRQGKENLTPNTKDKQRDEPAPAVLCDKENVIPANGFCANSKQQFGDFKSFSNGKALKPSSLHLCMQMNEPDKVFASRLWDGTDSEHSSSLKIWDFSDSEAAPASSWSTLPNRSLLCRPLPVDVGRCTCVIVKEKSPDGLHGGAFYSLYTNEGKGRQDRKLAVARHGRRKGRSEYKIAQSARGILCNADDSFVGSMTTNLVGSKYYIWDQGSRLKPIPTQSRSLLGAVTFTPTITTWTGSHRSMKAFIPKHQSMQLKNTNQQVQHIAGLPREWEEEKAKVHQLVSKVPQYNKISKQYELDFRDRGRAVSGLVIQTSVKNFQLTLEENGKQTILQLGRIGKSKYVMDYRYPLTGYQAFCICLASIDSKLCCSV >KGN45057 pep chromosome:ASM407v2:7:16007139:16007849:-1 gene:Csa_7G419530 transcript:KGN45057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSQKFNILSKLSTVIFFLYLSTFASAATLKVGFYRSSCPNAEAIVKKVVNKAISLNPGAAAGLIRLHFHDCFIRGCEGSVLLKSTPGHPTERDHPSNFPSLQGFEIIDEAKAYLESACPNTVSCADILAFAARDSARKVGGISSSFDN >KGN43210 pep chromosome:ASM407v2:7:520253:526574:-1 gene:Csa_7G009060 transcript:KGN43210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLQKGNSVNSVKKVGAGGGGNGLVAIGIDREKGSQNALRWAAEHLIGKGQTVILIHVVHRPSSAAASLIGEAIICNTDGSSTSDSPHIQQLEMQTRDIFLTFHCYCTRKDIQCLDIILEDTDIVKALTEYVSYAAIETLVLGAPSRHGFIRFKSSSMGSSVSKGAPDFCTVYVISKGKVSSVKNASRSAPFTSPLLDRLQKLSKPIVKGSITPRHKFNLRDRTSFKPRSFQDETIKSPYSHGGERTCISKFSGGFSESESDISFISSGRPSTDRSSSVAFDYSDSGPPRFSTSSEHSFTSLPFKPKWADLSNLNDFSSVSDESCRTSCSWSSQNLDEVELEMRRLKLELKQTMEMYSTACKEALTAKQKAMELNNWRREEEQKLEEARLAQEAAMAIAEQERARCRAAMEAADAAKRIAELESHKRANLEMKAVKEAEEMQKALKNLAQSDIRYRRYSIEEVESATEHFAQSRKIGEGGYGPVFRCRLDHTSVAVKVLRPDATQGRTQFQQEIDILSCIRHPNMVLLLGACPEYGILVYEYMSNGSLEDRLFRKGNTPVIPWQLRFRIAAEIATGLLFLHQTKPEPLVHRDLKPANILLDHNYVSKISDVGLARLLPAVAENVTQCYVTSAAGTFCYIDPEYQQTGMLGVKSDVYSLGIMLLQLITAKPAMGLAHHVARSIEKGTFQEFLDPAVVDWPVEQTLCFAKLALECAELRRKDRPDLASVVLPELEKLREFGEEMMEHMESRDSSGSISSFSHPSTEQDVMSDPQLMMISESSKSPSSISSQVEEE >KGN44750 pep chromosome:ASM407v2:7:13845399:13846101:-1 gene:Csa_7G378390 transcript:KGN44750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKGYANLGPFVEALNLFDHEMRVSGAPTNRYTFPFVMKACGANKNSDKGEIVHGHILKCALDLDLSEVQTASKVFDDMPLIYVLIAHLIMQLPSCDYACLCYKIWDYACLCLTKSASQVGFWVHFYNIKIGMEVGYLLGSCLISTYNCDHVNIAKDAFN >KGN43555 pep chromosome:ASM407v2:7:2653900:2657235:1 gene:Csa_7G044950 transcript:KGN43555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRNVRLRFVSSSKTMVAEIMEKTDLASRFVDLVQTKKSFEDIKYWTWSEMLIALKQCQESPSLKNSSIMLKKCIDLLSQKISLADGASSSASSVNSSRFRSSCDSKSTESLKTNYASTTWWFEDLLFFSTECLEMFVQSMVSHEFDQVLLSKFLIHFQKSKFYNATSDVKMKVIESVIDMLDTLDENVVSLKALFDILRVSLGLNINKGSKNRLEAMIGSKLGHATLDNLLVPSPYGANYLYDVNLVLRLFKAFLSGGINQASPSQLAKAANLMDSYMAEVAPDPCLKSSKFLALAKVIPDSARKSYDEMYYAIDLYFEMHVGTSEEEKEKLCCALNHKKLSYEVRIHLSKNPKFPAKSTPPSPESQQPEAENLLQNINYSKSLISWPHNLTEGINSDEKEDKSSEQIVLYHGKFNLPADNEKFKVHLEGMQWRVLELEKLCRKMQNQMRKILKSRVASSYCQVKSLPKLCS >KGN44040 pep chromosome:ASM407v2:7:5992107:5992550:1 gene:Csa_7G129390 transcript:KGN44040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECETKVEEEATKEFEKESNKNTMLEEKMPNGKKEGGQVYAKMLLRAQVEKRRKGKLGSRHHPYGEEEEVLGPKRRQPFKAEEFNDILSYAHRLVLYVIRKALQGQTPQTSRSSTSPTSPTYNSSKKTLLAYFESK >KGN44651 pep chromosome:ASM407v2:7:12902880:12909586:1 gene:Csa_7G363050 transcript:KGN44651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRTPNYEFQEWWNKQRERNHDEHLLEKSDYLSTSPAFLSVEIRSSGSPGDRMVDKDRARTRSARQISWVWLLKFQQIAGSLASITNGVVYLIRTANRRISSPDSPADSASSRLYRIIKVFLIVVLLLLVFELVAYFNGWHFSPPSVSSASAEVLGMIGFLYANWLQIRANYLAPPLQYLTNLCIVLFLIQSVDRLLLMFGCFWIKFRRLKPVAAFEYSSSDENAASPEDYPMVLVQIPMCNEREVYQQSIAAVCIQDWPKDKMLVQVLDDSDELDVQQLIKAEVQKWQQRGVRILYRHRLIRTGYKAGNLKSAMGCDYVKDYEFVAIFDADFQPGPDFLKKTIPHFKGNDELALVQTRWSFVNKDENLLTRLQNINLSFHFEVEQQVNGMFINFFGFNGTAGVWRIKALEECGGWLERTTVEDMDVAVRAHLCGWKFIYLNDVKCLCELPESYEAYKKQQHRWHSGPMQLFRLCFSDILKSKVSWKKKANLIFLFFLLRKLVLPFYSFTLFCIILPLTMFLPEAHLPAWVVCYVPGIMSILNILPAPRSFPFLVPYLLFENTMSVTKFNAMISGLFRFGSSYEWIVTKKLGRSSENNLVAFEKELEPLVEGTSLHRSSSESGLQELTKLEISKKAGKHKRNRLYRKELALALILLTASARSLLSAQGIHFYFLLFQGITFLVVGLDLIGEQVS >KGN43715 pep chromosome:ASM407v2:7:3634570:3637539:-1 gene:Csa_7G062810 transcript:KGN43715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQFKAVLHLFFIFLIFHLAIDVASSIQQQQREGELEALLQWKFSLKNSSQALLPSWELLPFPNPSPCNWEGITCNNAQLVNHIILKNIGLIGTLEHFNFSSFPNLLTLDLYGNQLFGTIPPSISKLPELIKLNLSNNGFEGGIPKEIGGLAKLISLSFSRNLLSGSIPLTIQNLRSLSVLNLGSNHLSGSIPSKLGKLRFLVELRLHLNNLTGLIPPSLGDISGLKVLSLYGNQLSGVLPKEINKLTNLTHFFLSNNTISGSLPQTLCHGGLLHCFCASNNNFSGSVPEGLKNCTSLTRVRLDRNKFHGNISEDFGIYPNLDYIDLSYNDFYGEVSPKWARCRLLKSLKISDNQISGEIPAELGESSPLHFLDLSSNNLAGQIPKEVGNLKSLIYLNLSSNKLSGDIPLEIGTLPDLSYIDLADNKLSGSIPKQIADLSKLLYLNLRSNSFGGNVPIEFGNLASLQLLLDLSHNTLSGAIPPQLANLVKLEVLNLSHNHLSGSIPSAFDQMRSLRLVDLSYNDLEGPIPESKAFEEASAESFENNKALCGNQTSLKNCPVHVKDKKAAISSLALILILSFSVLVIGLWISIGFVCALKRSERRKKVEVRDLHNGDLFSIWSYDGKLVYGDISEATEGFDDKHCIGVGGHGSVYKAKLSTGQVVAVKKLHSVHHSKLENQRASESEISALTKIRHRNIVKLYGFCFHSRQSLLVYEYLERGNLANMLSNEELAKELNWMRRINVVKGIANALNYMHHDCVPPIIHRDISSNNILLDTNHEAHISDFGTARLVDIGSTTWTATAGTYGYIAPELAYTTKVTPKCDVYSFGVVTLETIMGHHPGELIYALSTTLSSLESLNNVESFQLKDIIDKRLPIPTAQVAEEILTMTKLALACINVNPQFRPTMKNAAQDLSTPRPALLDLFSSITLGRLVNLDDSQEGKNPFDST >KGN45599 pep chromosome:ASM407v2:7:19200360:19202030:-1 gene:Csa_7G453510 transcript:KGN45599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIHYVNEVNLVAIFLTLQLSTIWAETADNARSAARTFVLGGLSEKHHGVSNFWVADRINDIDLEALRILSVCYERAKEILQQNRKLMDAVVDGLIQKKSLSKQEFLRLVKLHGSIKPMSPSIIDLRIAKRAKFDEEMKKNQKKIPVGSNSS >KGN45041 pep chromosome:ASM407v2:7:15927031:15929132:1 gene:Csa_7G414390 transcript:KGN45041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDFKFILLLLLFVCNLFLQSYSLNVEERREDYKNWLSWNLQNYKKKASLVDRSTVKLGRSYNSGGVLDDKLKKAEMNKVRIIVSQDGTGDFRTVGEALNSIPKPNSKRVILVINPGVYSEKIIIPKSLPFVTFLGNVIDDQPTITGNDTASMTGEDGKPLGTLKSATVAVNANYFVAINMKFENRAMHEIGSVRGQGVALRISGTKAAFHNCSFYGDQDTLYDHKGLHYFNNCYIQGSVDFIFGYGRSFYEKCYLKSITKKVASMTAQKGLKGSMESGFSFKDSVVTGSGQIYLGRAWGDYSRVVFSYTFMDNIVLPQGWNDWGSQKRHLTVYYGEYKCSGPGADLKGRVQWAHNLTDEEAQPFIGTHYVDADSWLLSPYSS >KGN43720 pep chromosome:ASM407v2:7:3673734:3675569:1 gene:Csa_7G062860 transcript:KGN43720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSFSSQAFKTPASFGPKSKQRPDSTSLCIAQSLLDLSSQGRLPEALSYLDRLAQRGVRLPTGIFVDLLRLCAKAKYFKGGKCVHLHLKHTGFKRPTTIVANHLIGMYFECGRDVEARKVFDKMSVRNLYSWNHMLAGYAKLGDVNNARKLFDRMMEKDVVSWNTIVLAYAKQGCFNEAIGLYRDFRRLDMGFNAFSFAGVLILCVKLKELQLAKQVHGQVLVAGFLSNLVLSSSIVDAYAKCGEMRCARTLFDEMLVKDIHAWTTIVSGYAKWGDMNSASELFHQMPEKNPVSWSALISGYARNSLGHEALDYFTKMMKFGINPEQYTFSSCLCACASIAALKHGKQVHGYLIRTYFRCNTIVVSSLIDMYSKCGMLEASCCVFHLMGNKQDVVVWNTMISALAQNGHGEKAMQMFNDMVESGLKPDRITFIVILSACSHSGLVQEGLRFFKAMTYDHGVFPDQEHYSCLIDLLGRAGCFVELVNELENMSCKPDDRVWSALLGVCRIHNNIELGRKVAERVIELKPQSSAAYVSLASLYAFLGKWESVEKVRELMDEKFIRKERGISWIDVGNKTHSFIASDRLHPLKEEIYLLLEQLARHTEEDFLTI >KGN43893 pep chromosome:ASM407v2:7:4772509:4775131:1 gene:Csa_7G072800 transcript:KGN43893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSNNLIFFLLLIFLALLRSISAVEYTVTNNASGTPGGARFDSIIGANYSRQTLVAATALIWNIFQQSTAADRKHVEKISLFIDKNLDGVALNMNNEIHVSANYISSYSGDLKREITGLLYHEMTNIWQWNGNLKAPSGLIEGIADYVRLKSGYIPGTWVEPGGGNWWDEGYDVTARFLDYLERSVRSGLVAELNRKMRNGYSDDYFRQLMGKPVDELWAEYKTKAKFGNVDNKCNSFEIEVSGGVIIGDEDGFVQKLPSKTDLQGEKSTIGRAQQLKYRSAKLAKLLVGLGRFDFLDVFFPLVAFNCPLVRGCFKFKAYLIVHSPYLKQRKLSIPSTSQTSTASNTVIFFFITSLALLHTVSGVEYTVIGGTPGGNRFDSPNRIGVLPSNIDRRQWFYLEHFSAKHLCRPEECAECHPVDR >KGN43948 pep chromosome:ASM407v2:7:5080943:5092983:-1 gene:Csa_7G074810 transcript:KGN43948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSKGKKNAKTLSRLSNSNHSQSPVSRFTIPPVSQVSEDEFLSSIEEASSKYPFLIGKSAFVGRLIKDPVQSTACKVWLSESSMLASSFTQGAIVSVALSSEGGNFPLSSLADECGMHFGVDYGNSIIHEAGNYFALARIFSSGKELNDGVQLSTNLSFTLGCPTIGRVVFISPLKTHLCNDPLNDNGKLKSTEVNFLRIYNCKELFLDLASSTNVSTKDNLFPSSTIYSRKVHGRSESGNLTSPSTMRSASPKCDEVVSNLPSPFVHSLIESLGDDTVRKTLQTIASNELYKRCVLRGNLVTIPVLSDLCTFHVRGAKGLSGYDDSYDSVNSGSDDHFQHYSSDEYANCAFNIDQLTKVFINVQSTTVSETNQEIFPSNVEPQNLNIRAKVKPKVRKLGGLSKEYSVLKDIIISSSLNSTMSSLGFRTTKGVLLHGPPGTGKTSLAQLSAHDAGVNLFYLNGPEIISQYHGESEQALHDVFEEASQAAPAVVLIDELDAIAPARKDGGEELSQRIVATLLNLMDGIKRSGGPLVIASTNRPDSIEPALRRPGRLDREIEIGVPSPNQRLDILHTILSEMEHSLSVVQVQHLAMVTHGFVGADLAALCNEAALVCIRRYQKFKVSSDYHSFGRSVIAEEQHKFNEVAHKANDDHMISEPVLLQDEGSISGVCQNLVSSSISEHTFTSDPLTCVSSNEVVADSEDSFNSSEIKCKLKVVFEDFEIARMKVRPSAMREVILEVPKVKWEDIGGQMEVKAQLMETVEWPQKHQDAFKRIGTRPPAGVLLFGPPGCSKTLMARAVASEAGLNFLAVKGPELFSKWVGESEKAVRSLFAKARANAPSIVFFDEIDGLAVIRGKESDGVSVSDRVMSQLLVELDGLHQRVGVTVIAATNRPDKIDPALLRPGRFDRLLYVGPPNESEREEIFRIHLCKVPCSPDVSTRKLASLSQGCTGADISLICRESALLALEENLEASVISMQHLETAARHVKPSETAPYRELSSRFQRLVCSSSQEVNVVCQQSRSNWFSFWPLVKSAVLLFSRFRHMLEGVK >KGN44312 pep chromosome:ASM407v2:7:9055196:9061958:1 gene:Csa_7G252690 transcript:KGN44312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYALAPVINIRPWSFGFVTTASSIRYLSRSLNLSTFRRYVPYSSVGKLTKATGDASFRRVEDEDEEEEVFQVLTAVTSDYNDIVIVDTPKSRMLLLDSSYNVHSILYKEQMWTGSYWDEFASLPAIIPEGPIAILGLGGGTTAHLMLASWPSLQLEGWEIDGILIDKARNFLGLSDLEKHSADGGILNIHIGDALDPSVRISGGYAGIVIDLFSNGKVLPQLQQVGLWLDLKDRLMVGGRIMINCGGNVVHVTENGMCSEVSSTDCFLPHISVIEALSEVFPKQVCHDIKLYLEKAELLCTSSSCEN >KGN43678 pep chromosome:ASM407v2:7:3391930:3408648:1 gene:Csa_7G058530 transcript:KGN43678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNGTSKPDSSSYSSSSSDPDAEESRISRFKNRVHLRRFLRRRRKVTNGRAFRSHTKLGSAEDFAGIAILTLIRARMDFKDRWLACVSFGEQTFRTGISDHTKEPAWNSEKKLLLEKDGPHIARISVFETNRISRNNLVGFCEIDLLEFLSQESDSDIEELELLDPSPPNAVVGKISVSCSVEDPIETEKRFAKRILSIVDYNEDGELSFPEFSDLMDAFGNQVAASKKEELFKAADKNGDGVVTLDELAALLAAQQEKEPLMNRCPVCGETLEVSDKLNNMIHLTLCFDEGTGNQVMTGGFLTDKQAAYGWMFKLSEWAHFSSYDVGLNSGSSASHIVVYDRQKKRLVEEIIDGKIVLLMMAIYQSKVGLTLMDKAQFGVFCPRTQTHTNTPIRLAIKIYMPRGINEVNPVLFCFIQSFCSFDLNVHSLMG >KGN43712 pep chromosome:ASM407v2:7:3607548:3610295:1 gene:Csa_7G062780 transcript:KGN43712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLSVPDQLPPVAEDCDRLHSAFQGWGTDEGAIVSILAHRNAKQRSLIRQTYAETYGEDLLKALDKELSSDFERAVLLWTFHPAERDALLANEAIRKLKHFVVLEIACTRTPRDLLLVKEEYHARFKRSIEEDVAHYTTGDFRRLLVPLVTAYRYGGPEVNATLATSEAKILHDKITEKAYNDEELIRIISTRSKAQLNATFNHYNDQFGNAISKDLKTDPNDNYLKLLRSAIKCLTWSEKYFEKVLRLAIKGLGTDEEALTRVVVTRAEVDMKRIAEEYYRRNSVPLGQAIKGDTSGDYESMLLALIGKESN >KGN44745 pep chromosome:ASM407v2:7:13766440:13769271:-1 gene:Csa_7G376360 transcript:KGN44745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQMNFVSNWEGVESVPESYVWPQEKRPGIALKKTIPVLDFATQDRALLFQKILDSTHEFGVFQVINHGVSKKLVEETMSVFKEFHSMPPQGKRREVSKDNKSCKVFTSSNNYENEQTHLWRDCLSLTCYPDLHQNIHSWPQNPPKLREVVGAYCIAMEKFSREIIDLISEGLGLGQGYFEGEMSSYRRLTANHYPICPNPSLTMGLNQHCDRDLMTILFQDVSGLQVFKDGHWISIDPIDDAFVVNFGYLLEVISNGKLKAVEHRVVTNAEASRQSFGYVIFPEKEMIIEPAKCLINEANPPHYRSFKVEDFNRHFFAVSNNNEETMKFILA >KGN45567 pep chromosome:ASM407v2:7:18963177:18967090:-1 gene:Csa_7G452220 transcript:KGN45567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSTYLLIFIFLSGVALLNLVRAQGQTGFISLDCGLPPNTNYVEPKTTLRFTSDAPYISSGQSKSLSSTYNEYLHQQYLHVRSFPQGRRNCYNISVQKNTNYLMRASFFYGNYDGLNQLPKFDLYFGDSFWKTVNFTDENLDTTIDSIHVTLNNHVQICLVNTNTGIPFISTLEFRPLPNNAYKTLTRSLLLYYRLDTGTISNQTYRFPSDIYDRFWPPFNWPEWTSISTTLMIDSTDDSYEPGSAVMGTAAVRIDTEKTLDIWWEPEDVNTQFYVYMHFAEVENLEAPQTRGFNINYNGSLWYGPLITEHLTTQTVYSISPLKTPKKKHLFSLIPIENSTHPPIINAMEIYSVIDMSELTSDQGDVDAITSIKSTYGIVKDWAGDPCVPRAYPWEGIDCTKTNETAPRILSLNLSSSGLTGEISQSIENLQMLEILDLSNNNLTGNIPDFLSSLSNLKVLKLDNNKLAGSVPSELLKKMDDGSLSLSFQGNPNLVCTSDSCKSKKKKTSIVIPIVASVGGFIGLVAVSIIVLLIVKSRKKQQNKTVVPKVDPSGPSRPNDQISDQFLETRRRQFTYSEVLRMTNHFERVLGKGGFGIVYYGTIDNTQVAVKMISQASGLGYQQFQAEVTLLLRVHHKNLTSLVGYMNEGDRLGLIYEFMAKGNLAEHLSETSSYVLSWQDRLRIALDAAQGLEYLHDGCKPPIIHRDVKTANILLTENFQAKLADFGLSKSFPVDANKTNNYMSTVVAGTPGYLDPDYYLSNRLTEKSDVYSFGVALLEIISCRPVISRSEENAHISKWVNSMVAQGDINGIMDERLGGSYDGNSVWKAVEVALNCVSGNSGRRPTMNHVVGELKSCLAMELERTPESGGFNSTNSVNMMSIVMDYSEATPMAR >KGN44134 pep chromosome:ASM407v2:7:7227564:7228877:1 gene:Csa_7G201860 transcript:KGN44134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNEDDIEIFKVDKVQEGLGASALSDESPSNWYTIVLQQVSVYGVAVGYCVSASLLSIINKWAVMKFPYPGALTALQYFTSAAGVFVCGCAGFIEHDRLDLLTMWRFLPAAIIFYLSLFTNSELLLHANVDTFIVFRSVVPIFVAIGETLFLHQPWPSMKTWLSLATILGGSILYVLTDYQFTLTAYFWALAYLISMSIDFVYIKHVVMTIGLNTWGLVLYNNLEALLLFPLELFIMGELKKIKQEISDESDWHSFEVVLPVGLSCLFGLSISFFGFSCRRAISATGFTVLGIVNKLLTVVINLVIWDKHSTFIGTVGLLICMSGGILYQQSTSSKPKAATKEVRVEEAVDEEQQKLLEMQSVSNSGSAENKVSHGRS >KGN43356 pep chromosome:ASM407v2:7:1445480:1446172:1 gene:Csa_7G026750 transcript:KGN43356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFAISNPIQYRLYASGTGGNGVLQVNISFIQQIISVSPLTGRPHEVLHQTPRIPYHNALFYLSLRQLQSPLFYITQILSSLNIRPAASQNMARRIASHILQMPDRNSETNFHILAEVDFIRLIWLPSMGGGGAGAEETVVLDEAPPAVKRGVGVARGERLRSEEKMEELGDCSICLDELSCEKREVMRIPCGHVYHESCIFKWLENHNSCPLCRKPLHHDDDDEEEYSW >KGN44211 pep chromosome:ASM407v2:7:8017378:8017614:1 gene:Csa_7G224880 transcript:KGN44211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAVLYKKTAIENRFGWFRRRETDHRLQQLEPIGQTVGWFWSVRFCPAEMKRSEIAGLFLMFLDQNEGQKALEIEFLG >KGN45177 pep chromosome:ASM407v2:7:16723991:16724685:-1 gene:Csa_7G429630 transcript:KGN45177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANTHSQPTFPLHLCFFFLILLMFLSFSWYSNYESAVEDVFDQLKLLLIVSPLLLLLLVHWLSNTKSGHLPSLIPLPEKDSLHRAGGTPWGVGFLLVLLLFMVSYQSYFQERWFPLLSR >KGN44376 pep chromosome:ASM407v2:7:9703782:9704084:-1 gene:Csa_7G274160 transcript:KGN44376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLTLSLCANFSLQCRLSTNHNLPLSTPFPSSNSNHHFPLSTSPSSLKLSSSRPSFSFLLNSSDSETALIDSDPADSQTLEIPSPPNREEIFAVVMVSL >KGN44622 pep chromosome:ASM407v2:7:12517659:12517844:-1 gene:Csa_7G349870 transcript:KGN44622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGIPISTSRPTSKRTLPLPRWDWQNQPINITTSAKTLPDRGFADSRKTSEKASSDVWSKR >KGN44169 pep chromosome:ASM407v2:7:7587726:7591486:1 gene:Csa_7G212110 transcript:KGN44169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLKKSVIKIAYTRDHANLSLLQRSFIPFLYMASSLYNLALSLRHRFYLYGILRKHRLPVPVISVGNLTWGGNGKTPMVEFIALWLAASGISPLILNRGYAGGDEAKMLRRHLAGSSVKVGVGADRRATAAWFFNKYGYVEFQSSTMAEKYCLKQMGNLPKSEKIGAVILDDGMQHWSLHHDLEIVMFNGITFLGNGQLLPLGPLRETLAALKRADVAIIHHANLVSAQNIEDIVIRLQKIKDTLTVVFTEMCPAYFFEVTTINSKLSLETLSKNVVVCVSAIGSADAFVQTMQKIGAYHVDRLDFSDHHVFQDRDIALIKVKLEELEKKFASKPVVVVTEKDYDRDPMIFKCLHPYRVFALCSHLQITGSNGCSEDSFKKMLEMAVRVELSSLMRCIEQNHVQFFVFFDFVG >KGN45472 pep chromosome:ASM407v2:7:18426347:18428826:-1 gene:Csa_7G448830 transcript:KGN45472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWASAEDIYLSTSLANYLDKKVLVLLRDGRKLLGILRSFDQFANAVLEGSCERVIVGDLYSDIPLGLYVIRGENVVLLGELDLEKEELPPHMTHVSAVEIKRAQKAEREATDLKGTIRKRLEFLDLD >KGN44665 pep chromosome:ASM407v2:7:13038984:13041941:-1 gene:Csa_7G368170 transcript:KGN44665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKSVKLQRMAFYLVENGLFSWLCSIISTSSRRLTEDQKSIFPKQLALVLEVVNNVISFRNICEWLQKDALEQLMEFSSNIFKILVGGEQLLLIEGALVNQILQIITSVLRISQKRKIFQPHFTFSIEGLFHIYQAVHKLDCTRLGSNSASGLKMILMNMPQISLLRMDPKRCSGFLSWAVSTALEFDSRMIAKESHLGLISESDEEHFDESLTSKLLRWLSASAILGKVSLKFDCMHLRTSERLSGTLYSLLEHVKNTRDDNSLQEFGCEGLLAANIFYLQQHLQSSFMVLPVVISALCLLLFDALISADLFHSEGADLAQHLSKIRCPEEVNPAWRWTFYQPWKDYSLELTNLQKMDEVHACQTLQLVISNILSKKPLDLQVLLPQDIEISRVFEWERNLIRTQDSNPQQKKVSL >KGN43336 pep chromosome:ASM407v2:7:1308331:1308747:1 gene:Csa_7G024110 transcript:KGN43336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQATWITRCPSRKIKKVGHYSLSKLQLVVDSVQGADGSIQIGTFYESFPQLNNPSFPDTDHCSSTMAVSALPEQGNIPTATTINTSNGGHVLMLHVKRTQRF >KGN43932 pep chromosome:ASM407v2:7:4975987:4978608:1 gene:Csa_7G073680 transcript:KGN43932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPWIGETLQLYSQDPNIFFSQKQRRYGEIFKTNILGCPCVMLASAAAARFVLVTNAHLFRPTYPKSKETMIGPAALFFHQGNYHSNLRKLVLNSLSLERLRTLVPCIEAAAISATDSWAAAGHVINTFLEMKKYSFEVGIIAVFGKLEDEYKEKLKQNYCILDKGYNCFPTRLPGTAYSKALSARKKLREILGEIIMERREKRVTERDLVGHLLNFRDENGENLSEDQIADNIIGVLFAAQDTTATVLTWILKYLHDNFKLFEAVKAEQMEIYRRNGEGKMPLSWSQIKDMPFTHRVVLESLRMASIISFTFREAVVDVEYKGYLIPKGWKVMPLFRNIHHNHEYFPDPHIFDPSRFEVAPRANTFMPFGNGVHSCPGNELAKLEILILLHHLITKFRWEVEGSQSGVEYGPFPMPVQGLPARFFKQTTTNQYPFLS >KGN44242 pep chromosome:ASM407v2:7:8296448:8298912:1 gene:Csa_7G234140 transcript:KGN44242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQEQPRRQETQDPIKYGDVFNITGDLASKVIPPVDADMMQTAETIVFGRTQKGGPAATMQSAATRNELSGFVGHEDVSDAARDQGVAVKETDIPGSRIITETVAGQIVGQFVEPTSFFGAAAVMQNAVTIGQALEATAHTAGDKPVDQSDAAAIQAAEVRATGSNVVIPGGLAASAQSAASFNAGVSKDEDKIKLNDTLMDAAMRLPADKVVTKQDAEGVMSAELRNNPRLAAHPGGVADSITEAARLNENVNNERSCGESDD >KGN45532 pep chromosome:ASM407v2:7:18799900:18803154:1 gene:Csa_7G451380 transcript:KGN45532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEEGLPKLYANKPTKAQIKQFQERHKAGDASSSASSNMASASSSPPPPQPPKESFARRYKFLWPMLLTVNLAVGAYVFMRTKKQDEHVAEEEAAPDSAKTTKIAAPVVEESLARPVVVEPVKVREPIPVDQQRELFKWILEEKRKIKPKDREEKKRIDEEKAILKEFIRAKSIPSI >KGN43573 pep chromosome:ASM407v2:7:2747305:2748806:-1 gene:Csa_7G046120 transcript:KGN43573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPCCDKNGLKKGPWTPEEDQKLVNYIQIHGPGNWRNLPKNAGLQRCGKSCRLRWTNYLRPDIKRGRFSFEEEETIIQLHSVLGNKWSAIAARLPGRTDNEIKNYWNTHIRKRLLRMGIDPVTHAPRIDLLDLSSILSAAIRSHSLLSLSTLLNNHQTTATLNPESLRLIPTLLGLKQQDPNAHNLLLQAQAQAQIQAQMDSLSQLLQPNDNVNNTNSSSIMPISSTFVDCPNTSQENLNFLPTLLNCGEDVLMNQPNYIYGGNGSNPTASEILDISNNNAQNLGFDSVKSSPTPLNSSSTYLNNSSSNEDEKDSFCSNFLQFEIPEGLDFADFV >KGN44316 pep chromosome:ASM407v2:7:9112626:9114067:-1 gene:Csa_7G253710 transcript:KGN44316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAHFSKKSSVSSINLSPNLAYTTELSSYEAACKADADLQSFDSTLQARTHQAINTIAVGVEVRALSFDSLKEITECLLEMNQEVVKVILSCKKDIWKNQELFELVEEYFENSLQSLDFCTELDKCLKKARDSHLLIVMAIERFEEEVKMVDGNGYVRTLQELKNFKAAGDPFTNEFFQIFNAVYRHQIGMLEKLVIRKNKLDKKLKSMSTWRKVSSMIFIATFATVLICSIVAAAMAAPPVAAAMAAAASIPVGSVGKWIDSLWKNYEAALKGQKEVVSSMQVGTYLAIKDMDNIRVLIDKLTMEIESLLKKADFAIEEEAVKLGVEEMKKKLGQFMKNVEDLGVQADSCSRDIRRARTVVLQRIIKHPNN >KGN43888 pep chromosome:ASM407v2:7:4754361:4756606:1 gene:Csa_7G072750 transcript:KGN43888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLPHVFLVSFPGQGHINPMLRLGKILAASGLLVTFSTTAYLGQDMKKAGSISDTPTPLGRGFLRFEFFDDGRIHDDSARSTTPLSFDQYMPQLQRVGSISLLHILKNQTKENRPPVSCVIGNPFVPWVCDVADELGIASAVFWVQSCAVFSIYYHHFNGSIPFPSETQPDVEVKIPSLPLLKHDEIPSFLLPDKPLHVIGKAILGQFWNLSKPFCILIDTFEELESEIVDFMSKKFPIKTVGPLFKHCGEIKTKISGDCLKIDDCMEWLDSKPKGSVIYVSFGSVVYLKQEQVDEIAYGLVDSGFYFLWVLKPPASSFGVKRHILPNQIMEEASKRGKIVQWSPQEQILSHPSVGCFMTHCGWNSTVEAISSGVPMVAFPQWGDQLTNAKFLVDVLGVGIRLPHGGTPEDKLIKRDEIKKCLKESMEGPKAVQIRQNALERKIAAEKAVADGGSSDRNIKYFIDEIGKRSLVCGSNLQV >KGN44698 pep chromosome:ASM407v2:7:13366407:13366715:1 gene:Csa_7G372920 transcript:KGN44698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRNLQTSPHIFFSSSSSSKIHGKLLPNFILHRRAMAFSPFKSIPNSPILLHYASHSPSSPKLRDNTTHAPRRQELTDPFCPNGRASASAFDRDGHDGEADS >KGN44924 pep chromosome:ASM407v2:7:15218285:15219111:1 gene:Csa_7G396390 transcript:KGN44924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVETRRSSFSKRSLSSPHASPPPSGPPNSKRSKVVEASSSTEDVQSAPPVDPLIPVGESGVEPVDPVIQSADPFDTDSLKVNNVCDEAVPENSHDLQAEGQAIMPPQPLGDVAADAEKSKAVVASMLNRTKKRTMRMPKSNSKPAWGKLLSQCSQVESCSNS >KGN45565 pep chromosome:ASM407v2:7:18951904:18956509:-1 gene:Csa_7G452200 transcript:KGN45565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAMEMSGLHSLFGLLLCSLTLLLLVQAQDQSGFISLDCGTPEGTSYTETTTTIDYVSDAPYINSGVSGSVASVYGNTFQQQMRKLRSFPQGIRNCYNVSVKKDTKYLIRASFLYGNYDGLNSLPMFDLYIGNSLWETINVTKVGTNVLKDLIHITSSNKVHICLINTGNGVPFISALEFRPLLNVTYQTAAGSLSLDYRLDVGSTGNQTYRFPFDVYDRMWAPFNFKEWTQVSTNRTVDETDHNNHQPPSIVMQTASSRLNASDPLEIWWDTEDSSEYYAFIHVAEVEELRGNQSRGFNIMHNGDLFYGPVIPSYLSTLTIFGNKPLDAADRHTFSFTPIENATLPPIVNAFEVYIVKDISELEADKGDVEAITNIKSTYGVKKDWQADPCMPMGYPWSGLNCSNEAAPRIISLNLSASGLNGEISSYISSLTMLQTLDLSNNNLTGHVPDFLSSLSHLKTLNLGNNKLSGPIPAELLKRSNDGSLSLSVGGNQNLEGCASDPCPKNEEKKNNIIIPIVASIGGFLVVVTIVAITFWIIKSRKKQQGKNVVSVVDKSGTNSPLGTSLEVRSRQFTYSEVVKMTNNFKKVLGKGGFGEVYYGVIDEIEVAVKMLSLSSSQGYRQFQAEVTLLMRVHHRNLTSLVGYLNEENHLGLIYEYMANGDLAEHLSERSVRIISWEDRLRIAMDAAQGLEYLHYGCKPPIVHRDVKTTNILLTDNFQGKLADFGLSKSFPTDGNTHMSTVVAGTPGYLDPEYYVSNRLTEKSDVYSFGIALLEIISCKPVISRTGDTPHIAKWVTSLLALGDIQSIVDPRLEGQYERNSVWKTVEVAMACVAANSSRRPTMSDVVAELKDCLATALSRNHENGSLESTNFGERRSISIGINASDSSPVAR >KGN44864 pep chromosome:ASM407v2:7:14853713:14855198:1 gene:Csa_7G392370 transcript:KGN44864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDETSSSSICTIKMNINCCQKCPLKLERKLLKSNGVESVAINQHKGLVTVIGDIDPIVLLQKIQAMGKEAKLWFFQKESDRDDCSKSALKIEHDSIESDSNNEDDNKLFDWHSQHKCVTETIQMKEATSSDVHSCSYSQSLPPPLFSNVHAYPHSRSLLPAMSSNVHVYSYSRSLPQFGYQTGWPYQQSVPGHTFTPHSSYLQPHPPPPAYHYFQHRSPPRDNPMVHYTDYRDNYRF >KGN45163 pep chromosome:ASM407v2:7:16623108:16626664:-1 gene:Csa_7G429490 transcript:KGN45163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVDATVAVSTSSNQQGSSLCEECKSNPSKYKCPACSIRSCSLNCVNAHKRRSGCTGKRKQTQFVPLSQFNDSILLSDYNLLEEVKRMAESAQRLRKKLCPYTHAYFRLPFHLKSLRAAASNRRTKIMFLPTGMTKRENNQTRYDKREKTIFWTMEWRFNSTDIVLVDHEVNENSKLSTILENHLRPCPWKTQLQKFYEQLDCLKFFVRTYPKGATSSFCELDSTLPIRQLFSNLAFVEYPVIYVVLPSQTPNFEVVKTANPVSRNLEGPNALKNDLASHEGVCFRVEEIEEDENSCNPQVLDLMKVSTSSPHCKVSPRNLHGATHSYSTGLVGKQEVGNSPKSSSQAREPGVVKELEFDFEQDLIDAYSNIMAQINPDDFLDWDGDFSKEVEMEGSGELLGDAFTVEELEEGEIME >KGN44152 pep chromosome:ASM407v2:7:7372539:7386244:-1 gene:Csa_7G207145 transcript:KGN44152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWVKGQRLTETKDLYLVEVGVYCSFNQLLEYGFYHADPHPGNLLRTSDGKLAYLDFGMMGEFKQELRDGFIEACLHLVNRDFDALAKDFVTLGLLPPTADRQAVTQALTAVFQNAVSKGVRSISFGDLLGNLGTTMYKFKFRIPSYFSLVIRSLAVLEGIAVSFDPNYKVLGSTYPWIARKVLTGNSPKLKSSLISLLYKEGVFRIDRLESLITESLRARMDKSVIKDVENQSNRVVVKEVLSFALSEKGSFLRDLLLQELAKGLDALGLATLDSINSMTAARIPFGSSISVSMMTKEDVNNLRTLGRLILLLSGSQENDSSQIILQGDNKNQLTGLDEASVRELLSVLSVILELPPESQQQILNLPVEVGRRLISRVTARTLRRLWS >KGN43852 pep chromosome:ASM407v2:7:4536644:4538392:-1 gene:Csa_7G071410 transcript:KGN43852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQLINGSFIANHEDQEEEEEEATFMAQLLFNTKANSPPDPFMATLSYDQTPSSSTFNYSLSQESSDEILFPNNNHYYYSNPMNNNNSNSSNYFSLGELGTYYSNDNGSDFHVQVPEDSMNVEVSENNLQPCFEEAELIKLPEEEAAHYHIDQINCKKRSRGEFGDHVQKGRNERSKKAQKLTSSTNTTEEDGNAGLSRQSTSTYCSEDESNASLDQNGGANNSRSSLNGANKSRASRGSATDPQSLYARKRRERINERLRILQTLVPNGTKVDISTMLEEAVQYVKFLQLQIKLLSSDDLWMYAPIAYNGMDIGLNPTTPKTAKEKEL >KGN44574 pep chromosome:ASM407v2:7:12018678:12021391:1 gene:Csa_7G336530 transcript:KGN44574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILKLFSLCISLFLLIRAPVRCDSIHFPSSSSSSSSSSSSSSFSSDVQLLLGKIRASLEGDTQNLLLSSWNYSVPLCQWRGLKWVFTTGTPLVCTAASSPQWSNLTLFKDPSLHVLSLQLPSANLTGSLPKELGEFTMLQSLYLSINSLTGTIPLELGYSSSLSDIDLSSNLLTGVLPPSIWNLCDKLVSVRLHGNSLSGSLPEPALPNSTCRNLEALDLGNNQISGTFPEFVSRFPGLKELDLGKNLLSGQIPQSLGQLELEKLNLSNNNFSGILPVFSNSKFGVEAFEGNSPGLCGEPLKSCAVPSHLSSGAIAGLVIGLMTGTVVLASLLIGYMQNKKKKSSSESEDENDEGEDEENGGSVGAGGEGKLILFEGGENLTLDDVLNATGQVMEKTSYGTIYKAKLADGGTIALRLLREGSCKDRNSCLSVIKQLGKIRHENLIPLRAFYQGKRGEKLLIYDYLSIRTLHDFLHESRAGKPVLNWARRHKIALGIARGLAHLHTGLEVPITHGNIRSKNVLVDDHSFAVRLTEFGLDKLMIPSVADEIVSLAKSDGYKAPELQRMKKCNSRTDVYAFGILLLEILIGKKPGKSGRNGEFVDLPSIVKVAVLEETTMDVFDVEVLKGIRSPMEDGIVQALKLAMGCCAPVASVRPSIDEVVKQLEENRPRNRSALYSPTETRSENGTPF >KGN44268 pep chromosome:ASM407v2:7:8523317:8524826:-1 gene:Csa_7G237830 transcript:KGN44268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMNNNMETPEVCNGEGDQEDEISLPGFRFHPTDEELVSFYLRRKVEKRPLSIELIKQIDIYKHNPWDLPYGSSCSKMGLGDKEWYFYCKRGRKYKNSIRPNRVTGSGFWKATGIDKGVYSNGGEGNECIGLKKTLVYYRGSAGKGSKTDWMMHEFRLPPPNNPTAATTNNPKPNNFAQEAEIWTLCRIFKRNVSGRRYGTSGSNNWNNKQLAAVSTTAIKNPIIDDQKNIRLCSNNNIVDQIIVPPPASEKGNNNNNNNGEISYINFSSCDSSMIIQTEKKPLLLDPNNYLNNNHHHHHQEWNTNNNSYDQYSSCSSSGIISPSIPYPTNQQLDINDFFTNSNWDELRSMVDML >KGN44716 pep chromosome:ASM407v2:7:13552567:13560122:1 gene:Csa_7G374580 transcript:KGN44716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSRISVIPLLFFFFLLANSAPITHLPGFSGSLPSKHYSGYVEINKEHGRNLFYYFVESERNPVEDPVVLWLNGGPGCSSFDGFVYEHGPFNFEAASTPGGLPTLHLNPYSWSKVSNIIYLDSPAGVGFSYSKNESDYTTGDVQTALDSHKFLLEWFKLFPQFLPNPFYIAGESYAGIYVPTLATQVFKGLETGVKPILNFKGYLVGNGVADDLIDGNALVPFAHGMGLISDELFQAVEETCKGNYYEPSDNACRDKLDRVDELIDDLNIYNILEPCYHAPEKIRTVNIELPSSFRLLGETERPLAVRKRMFGRAWPLRAPVRAGIVPSWSKLLDSLEVPCTSDEVATAWLNNEAVRKAIHADTSLSGTWELCTDRLDFDHDAGSMIPFHRNLTLKGYRALIYSGDHDMCVPFTGSEAWVRSLGYKVNDPWRPWMSNEQVAGYLRGYENNLIFLTVKGSGHTVPEYKPREALDFYQRFLAGEAI >KGN45113 pep chromosome:ASM407v2:7:16339429:16339997:-1 gene:Csa_7G426540 transcript:KGN45113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMTPLLRNVAEQLAKSIWIDLMLGSRKKGDGQPPVSADMYQSFVTEDSPECSASKLRHFAAVLSTMKIKRNMETICPMLMTTGTGKEDHKYKLIE >KGN43754 pep chromosome:ASM407v2:7:3888459:3893073:-1 gene:Csa_7G065130 transcript:KGN43754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENNNILITDDAANGKLNDGKDATTNNASVCGYDSLHQLLAANLKPHIFQEVSRLLLGLNCGKPLQTISLAEPVNSLSLEHDFDLQAFRFHADKESVREPRIVRVGLIQNSIALPTTAPFLDQKRAILEKVKPIIDAAGASGVNILCLQEAWMMPFAFCTREKRWCEFAEPIDGESTRFLQDLAIRYNMVIVSSILERDVSHGDTLWNTAVVIGNHGNIIGKHRKNHIPRVGDFNESTYYMEGNTGHPVFETAYGKIGINICYGRHHPLNWLAFGLNGAEIVFNPSATVGELSEPMWPIEARNAAIANSYFVGSINRVGTEIFPNPFTSGDGKPQHTDFGHFYGSSHFSAPDASCTPSLSRFRDGLLISDMDLNLCRQLKDKWGFRMTARYELYAKMLAQYLKPDFEPQVISDPLLHKKKAS >KGN43817 pep chromosome:ASM407v2:7:4274212:4277549:1 gene:Csa_7G069190 transcript:KGN43817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTATNADRKRWSPLTFSRSFQRENGTERSGIPRNKNENPTEESQYGVIIASVARFLLAHSSFAVPRHRFSKFLQFLHSLYLISFSINRLSPSPSFPLHSFSLTFSLRIFHPFSRAFTIHDELISVSGFLMAALPRFFLSTLFLLLVLRPSYGALHSSPHLNPTNPKSVSSIETMVDGFTKDLKEMIKKGLGFRGDDFKVSGVDFKDARAGNSVAYEFELEIDNQVFPLKFLENAQQWDYVDLPIFQIQEQSQDEDKNLLAQKRNLGSDLPVLAPFQLAGPMELWIQDADGMRVSLPHDVDAGVLRKVVLADGAVVTVTGARSVSLRQPLDLPLPLNRTTPGFATGLVALAEQLRHTSRSQSTPLLSLRIVGPTSLTSSPSSTNKLKLKRLAPGLVELSSPIQAIQSPSPVHLQPNAPTILTPKAFTTLWPITSINGSNSKLVGFETLLTSLLGPKANGKGSFKLLKANVSAQTTVRIGFGVDKKLEEGDGIDMEGFPEWRTKPEAVRLHFEVLATLDGHGCT >KGN45367 pep chromosome:ASM407v2:7:17742397:17744121:1 gene:Csa_7G446825 transcript:KGN45367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYYASLLQSCVVRKAIEPGKQLHARICQVGISFNPLLATKLVNLYCICNSLTNAHLLFDRISKRNLFLWNVMIRGYAWNGPYELAISLYYQMRDYGLVPDKFTFPFVLKACSALSAMEEGKKIHKDVIRSGLESDVFVGAALIDMYAKCGCVESARQVFDKIDERDVVCWNSMLATYSQNGQPDESLALCRVMAFNGLKPTEGTFVISIAASADNGLLPQGKELHGYSWRHGFESNDKVKTALMDMYAKSGSVNVARSLFELLEEKRVVSWNAMITGYAMHGHANEALDLFKEMKGKVLPDHITFVGVLAACSHGGLLNEGKMHFRSMISDFNIWPTVQHYTCMIDLLGHCGRLEEAYKLIMEMRVEPDAGVWGALLHSCKIHGNVEMGELALEKLVELEPDDGGNYVILSNMYAQAGKWDGVARLRDLMMNKGLKKSIACSWIEVGNKVHAFLSEDTSHPKSEAIYAELKRTGKLMKEAGYAPQVGSVFHDVEDDEKVDMVSCHSERLAIAFGLISTSAGTKLLIIKNLRICEDCHVAIKFISKITEREITIRDVNRYHHFKDGVCSCGDFW >KGN45354 pep chromosome:ASM407v2:7:17685920:17691540:-1 gene:Csa_7G446710 transcript:KGN45354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVVGFDFGNESCIVAVARQRGIDVVLNDESKRETPAIVSFGDKQRFIGTAGAASIMMNIKNSVSQVKRLIGRKFSDPGLQKDLQSLPFSVSEGPDGFPLVHVRYLGELKTFTPTQLLGMLFSNLKGIAETNLNAAVVDCCIGIPVYFTDLQRRAVLDAATVAGLHPLRLIHETTATALAYGIYKTDLPENDQLNVAFVDIGHASMQVCIAGFKKGQLKVLAHSSDQSLGGRDFDEVLFHHFAAKFKDEYKIDVYQNARACLRLRIACEKLKKVLSANPVAPLNIECLMDEKDVKGIIKRDEFEQISIPILERVKGPLEQALAEAGLTIENIHVVEVVGSGSRVPAIIKILTDFFKKEPRRTMNASECVARGSALQCAILSPTFKVREFQVNEHFPFNIALSWKGAASDSQNGAVDNQQSTVVFPKGNPIPSVKALTFYRSGTFSVDVHYTDSDQQAKISTYTIGPFQSSKGGRSKVKVKVRLNLHGIVSVESATLLEEEDVDIPVTREQPAKMETDEAPAETAAPPSSNENDVNMQDAKGTTDAGAENGSAESEHSVQMETDSKVEAQKKKVKKTNIPVVEMIYGGLAAADVQKAVEKEFEMALQDRVMEETKEKKNAVEAYVYEMRNKLHDKYQDFVTESQREELSAKLQEVEDWLYEDGEDETKGVYIAKLDELKKQGDPIEERYKEHMERGSVIDQLVYCINSYREAAMSADPKFDHIDISEKQKVLNECVEAEAWLREKKQHQDSLPKHATPVLYSADVRKKAEAVDRLCRPVMTKPKPAAPETPPPAPQGSEQQQGDASAASNASASPNRKAGDETEVSSASTEPMETEKPEHTSGA >KGN45308 pep chromosome:ASM407v2:7:17406331:17406660:1 gene:Csa_7G433370 transcript:KGN45308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSFSLLPESFMLESAAGAGKERILPSCFYTGNVLPSPPLLIINFLVIEISRLHSIYKLSCILFTLYKYSRDGRWKFEPQNHEVINTYFMASRFMLVLASSLFTITIGL >KGN43581 pep chromosome:ASM407v2:7:2780282:2781280:1 gene:Csa_7G046690 transcript:KGN43581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYLNRVGMAASVAVAQGHTEIGHKWKSGLKSLRQGRRLLSSGENSADLRPLSSLVGSEGSGSVRSCDVDERIAQSEDSLRRVMYLNCWGQG >KGN43186 pep chromosome:ASM407v2:7:383351:386826:-1 gene:Csa_7G007840 transcript:KGN43186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGIVWLLRAAWFAGILPIIIAFLPFSRLNWFRAILLGFAKRGKILQSSSQKFTVPQKFFCHFYVLAVIWTTLLLGTTWTYAYVSTPEISEPFNFPGITSQLTGGSSLFPWQKSHSSRREHGFLVWKAVFLLLLMEVQVLRRLYETIYVFNYSPSARMHIFGYLTGLFFYTAAPLSLCSSCIPEVYHFAASGLAQFIVQGKRPMPDVEISPLDAVIPLSYLGWRQWIGAALFFWGWIHQQRCHQILGSLRVRREQSEEYRIPHGDWFEVVSSPHYLAEIVIYGGLVVASGGEDFTIWLLFGFVVVNLAFAAAETHRWYFRKFDNYPRNRFAIIPYVY >KGN44097 pep chromosome:ASM407v2:7:6698824:6700383:1 gene:Csa_7G185170 transcript:KGN44097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEKYEKLPLAREGCLTLKQKDNDGSKRRKVFRIQARTEDWWMESRGSFMSRTIGCICKGRRKTNSKSPRARIRRIIGIESEEEASLWIHAFCLSAGRAPSFRLFLLLVFSKFIPRSVGWADLLFQLLHLQLKPSLVVRSISRSWFSFLAGCGLGGNPRDRGRRLWARREPPRPWFVATCSNPLSYRPHPDQCGELSFLLSFSNSLSLYALPLSFIFVNLHTRLTGRVEGAKQGGSIPLAMPTERVNRLISGLDPAPHSLQGSVSKGLTISQV >KGN44302 pep chromosome:ASM407v2:7:8842344:8848116:-1 gene:Csa_7G239640 transcript:KGN44302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLSRPTNLGCFTASSSASLFPGLDVGTKTKTGALRFEETKERIKKLFKNVELSISAYDTAWVAMVPSPNSLNKPLFPECINWVLDHQNPDGSWGILHDHQLVMKATLLSTLACVLTLKRWDIGDDHMSKALSFIKSNIASATDENQRSPVGFDIIFPGMIEYAKDLNLNLPLASMNVDALVQKKELELRSCCSNSEGGKAYLAYVSEGIGKLQDWEMVMRYQRKNGSLFSSPSTTAVAFMHRNDDGCFNYLRSVLQKFHSSVPAIYPLDIYARLHMVDSLQKLGIDGHFKDEIRSVLDETYSCWMQGEENIFLDASTCAMAFRMLRVEGYDVSSDQLTQFSEGLFSNCLGGHLKDFSASLELFKASQIIIYPDEFILENINSWTSRFLNHGLSSGSVHSDRTERLVKQEAVNAFEFPYNSTLERLSNKRALESYSGDIVRISKTAYACLNFGHQDFLELAVEDFNTLQGIHRKELKELEKWVIENKLDKLKFARQKLAYCYFSAAATLTSPELCDARLSWAKNGVLTTVVDDFFDVGGSEEELVNLIQLVEKWDASGETGYCSKEVEIIFLALHSTICEIGKKALPWQGRSVMRNVIDIWLALLESMRKEAEWLKNKVVPSLDEYMENGYVSFALGPIVLPTLYFVGPKLPEEIVGNCEYKKLFKLMSTSGRLLNDTRTFDRESSEGKLNALSLYMISAGGKLTKEEATEAMKGDVDRTRRELLRLVLQENSTIPRACKDLFWKMSCVVHLFYRKDDGFTSHELMNSAKALFEQPMVLDELLNK >KGN44373 pep chromosome:ASM407v2:7:9683647:9686074:1 gene:Csa_7G273640 transcript:KGN44373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEILESCVPPGFRFHPTEEELVGYYLKRKINSQKIDLDVIIDIDLYKMEPWDIQANCKFGYDEQKEWYFFSHKDRKYPTGSRTNRATSAGFWKATGRDKAVLSKNRVIGMRKTLVFYKGRAPNGRKTDWIMHEYRLQTSELAPIQEEGWVVCRAFKKPSPNQNQVIGPWNSYQHQALYYDLKNNNNNNSQFARSSPSFSDAMTPHTHMLNSSDQHLSNFHQSYGSDLHHKQQNYQFVQLPNLESPTLSTSFGTNETKINNNNDNNPNGPCLEWNVDLDDFLSSSQIVEMSPSSYPNLPQTFVDFCNDI >KGN43148 pep chromosome:ASM407v2:7:103243:103743:-1 gene:Csa_7G004025 transcript:KGN43148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTLRKEAAQKRKMTTTTEDRGKKASSYSSLSELDSPRKSYTKVLTNSSSSDSGSPKILPSSNKKEETPTGAFDSLDWTKTVVITRRYFHDDWKKIMAKLQEQLDLNITYKPFHAEKVAVTIEDKNLVNLLCKNRGWTTVGKYYVKFEASNIAKHASPKCLPSYGG >KGN43257 pep chromosome:ASM407v2:7:788293:789980:1 gene:Csa_7G012950 transcript:KGN43257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSKKIVLRSSDGETFDVDEIVAVESQTIKHMIEDDCADTVIPLPNVTSAILSKVIEYCKMHVETDDKDSKVIDDTLKTWDAEFVKVDQNTLFDLILAANYLNIKSLLDLTCQTVADMIKGKTPEEIRKTFNIKNDFTPEEEEEVRRENQWAFE >KGN44241 pep chromosome:ASM407v2:7:8294293:8295680:1 gene:Csa_7G234130 transcript:KGN44241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPVAKAASLAHIARESSNIHRLSQFYKEMFGFEEIESPDFGELKVIWLNLPSAFQLHLIQRDPNSKLPEGPWSATSPVADPSHLPRGHHICFSVPISNFDSVVHALKEKGIQTFEKTLPNGKVKQVFFFDPDGNGLEIASRED >KGN44340 pep chromosome:ASM407v2:7:9393364:9395153:1 gene:Csa_7G262890 transcript:KGN44340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIQPTFGITLILLLLFFNGIESRYEPGGQWKNVIEDDSLPVVSQEKEDCFKYKSLKNENTFFNDTKPRPSITFYPNDESKDRFFTKDIEPRPSATFYPNDESKDRFFTKDIEPRPSATFYPNDDTKNKLFTKDIEPRPSATFYPNDDTKNKLFTKDIEPRPSATFYPNDDTKNKLFTKDIEPRPSATFYPNDDTKNKLFTKDIEPRPSATFYPNDDTKNKLFTKDIEPRPSATFYPNDDTKNKLFTKDIEPRPSATFYPNDDTKNKLFTKDIEPRPSATFYPNDDTKNKLFTKDIEPRPSATFYPNDDTKNKLFTKDIEPRPSATFYPNDDTKNKLFTKDIEPRPSATFYPNDDTNKKFFTKDIEPRPSVTFYPNNDSKNKLFIKNIESRLSTTE >KGN44334 pep chromosome:ASM407v2:7:9320624:9324841:-1 gene:Csa_7G258850 transcript:KGN44334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALIELCDLIAQSPALFSEKLSWICSRCPPSEAILAGSPAISRSQLNAVLAVARLLSKCPDSVGLRPKSVVLEFLRSIPLSFSLSFWPQSYGNDAIASFFNEFLNYTSKACELSTDFATEVSGFSSEVVLSAINDCSEGSAISRAFLVALSKSFLPIIPSDADKLVSCILDRFLISEAAPGTPREHNQANSEPSSSQSSPLSVSHQPSNGGLSPGNENGQVSGSLSSGASRITDDATSASSRGSGMMNGNSILWRSGLEQFSEGGGVAFVRQQVALFEDESIENLEKQEIAFKLMTHILDNSSFDGRLWEQMRALAKKQLQTLPTFLKIKKRDWSEQGSVLKARINTKLLVYQAAARLKMKTVASLDFDGKPAKKLIFETFALLMDAADACLLSVWRKLRICEELFGSLLTGLAQIAVARGGQPLRVLLIRLKPLVLTVCVQADTWGTNQGAMFESVLTTCCEIIESCWTKDRAPVDTFIMGLATSIRDRNDSEEQDDKEKQGVPMQLNVIRLLAKMTVAVNKSEIVDMILPLFIESLEEGDASTPGLLRLQLLDAVSRMATLGFEKSYRETIVLMTRSYLSKLSSIGSSESRTVAPEATTERVEILPAGFLHIANGLKSAKLRLEYRHRLLSLCSDVGLAAESKSGRSGADFLGPLLPAVAEICSDFDPTMNIEPSLLKLFRNLWFYIALFGLAPPIQKSHLQTKSVSTMLNSVGSTAIALQAVSGPYLWNTQWSSAVQLIARGTPPLVVSSVKWLEDELELNALHNPGSRRGSGNEKAALAQRAALSAALGGRVDVAAMSTISGKFLTSHDLNCFNIDGMTVILQGNV >KGN44667 pep chromosome:ASM407v2:7:13046669:13047151:1 gene:Csa_7G368190 transcript:KGN44667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSVPPLTTSSDATSAKEVPSNGCLKGNARGFGGMSTRGLGGVSAQPVALLPMALPTFVCALFGLPSHTHTLATHSMWQLLSCTPPLRMSCTHAPQQVRAIPTYSPLSTAFKKVSADTLVLPNVPHPTTYFLGAMSCSASLKVEAFTHPSYSTTVIRWGS >KGN45315 pep chromosome:ASM407v2:7:17434392:17436423:1 gene:Csa_7G433930 transcript:KGN45315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEPASDHSTIRNRWLRRLPATLRLKTSVFAEISGAVGDLGTYIPIVLTLTLVSHLDLGTTLIFTALYNIVTGLLFGIPMPVQPMKSIAAVAVAESTHLTLPQIAAAGLSTAAVLLFLGATGLMSVLYRYLPLPVVRGIQLSQGLSFAFTAIKYIRYNQDLVTSKTGEPRSWLGFDGLVIALISCLFLILTTGAGDSYKEEPSSSEPLRGSESRSGRRIRRLRILSMIPAALIVFLFGFLICFLRDLSVLKYLKFGPSKLHILRITWEDWKIGFVRAAIPQIPLSVLNSVIAVCKLSADLFPDREVSAMNVSVSVGIMNFIGCWFGAMPVCHGAGGLAGQYRFGGRSGASVVFLGIGKLVLGLAFGNSFAQVLSQFPIGVLGVLLLFAGIELAMASKDMNSKEESFVMLVCAAVSLTGSSAALGFGVGIVLFLLLKLREFDCSSSSSCLGFQWMKPKSNAVEDEATHFLA >KGN45326 pep chromosome:ASM407v2:7:17506055:17510092:-1 gene:Csa_7G435510 transcript:KGN45326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNTLVGFQDHLKLAREYALEGLYDTSIIFFDGVIAQINKHLSTVDDPLMRAKWMTVKKALSEEIEVVKQLDAERKAFKETPMGRRAASPPIHAKSSFVFQPLDEYPTSSAPPMDDPDVWRPPSRDSSSRRPARAGQVGMRKSPQDGAWARGSTTRPNTTARGAKAGGSSRANSGVRASTAGKKSSGATGKSSKSDSANGDDDGKSKKGQYEGPDPDLAAMLERDVLETSPGVRWDDVAGLSEAKRLLEEAVVLPLWMPEYFQGIRRPWKGVLMFGPPGTGKTLLAKAVATECGTTFFNVSSATLASKWRGESERMVRCLFDLARAYAPSTIFIDEIDSLCNARGASGEHESSRRVKSELLVQVDGVNNSSSGEDGSRKIVMVLAATNFPWDIDEALRRRLEKRIYIPLPNFESRKELIRINLKTVEVAPDVNIDDVARRTEGYSGDDLTNVCRDASLNGMRRKIAGKTRDEIRNMAKDDISKDPVAMCDFEEALKKVQRSVSAADIERHEKWFSEFGSA >KGN43749 pep chromosome:ASM407v2:7:3855455:3862884:-1 gene:Csa_7G064590 transcript:KGN43749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNFPSLPVKRAAAYISATGMGGDTHVGVSNGSSKSKRSKQPPPLIIPPGHVAFRMLCHASRIGGVIGKSGFVIKQLQQSTGVKIRVEEAPSESPDRVVTVIGSPALTSRVFLEQNSGASGEEVEASKAQEGLLKVFERILEVAAEIEGVEVGAEVGVVYCRLLADVAQVGSVIGKGGKVVEKIRKESGCRIRVLTDKLPACAGPSDEMIEIEGDVWAIKKALLAVSRRLQDCPPSEKRTVRPAEAVIHETLPDLHMDHILQRNSVLPILPSSSNIFASGIHSLSIDADMLPPVDTNVAQQDVVFKILCANDRIGGVIGKGGTIVRALQNESGATVSVGPSVTGCDERLISITASENIESRYSPAQKAVVLVFSRSVDVAIEKWQESSSKGSPVVARLVVPSNQVGCVLGKGGVIISEIRKVTGTNIRIISSDQVPNCAAESDEIVQISGEFSNVQDALYNVTGRLRDNLFSSVLSNSGTRNGGGTSVYPETSPYGRVRDTAPLVRSTPVGTSHGSFMQHSTAQSSDDLGLSHSLDSPSSPGLWPPQSLSGISSRAISDAGRGLPSHRSGVQLGSGNKTAIVTNTTVEIVVPDDVISLVYGENGTNLTRLRQISGAKVIVHEPDPITSDRLIVISGTPDETQAAQSLLHAFILTGSS >KGN45132 pep chromosome:ASM407v2:7:16477689:16477892:-1 gene:Csa_7G428200 transcript:KGN45132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVASYVSYKHGFLLFRTDHTTCAMHVPVLQPAPSTLRLLHFAKKSSPLCSSVPLAVRFSPSSIRKN >KGN43219 pep chromosome:ASM407v2:7:569927:570466:1 gene:Csa_7G009150 transcript:KGN43219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIRLPEIILHAKQITHRAAAPSHRQVGYEVTKHRSSFSDVPKGHFVVYVGEEEEDRKRFVVPLSYLKNPLFQELLSKAADEFGFDNHFGGITIPCAQDQFLGLTSRLNRLIS >KGN44594 pep chromosome:ASM407v2:7:12249025:12257000:1 gene:Csa_7G339660 transcript:KGN44594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFHLLWVGFFLCCEFWVLSLSDQDGFISLSCGATTTFTDSSNILWIPDVDYISSGNTSIIDNGKAGSFSSDHVRFFPIPRARNCYKLPLKNGSSSVLIRAKFVYKNYDKVEKPPAFFVSLGTAITTIVNLTFHDPWTEEFVWPVVNKETVSFCLHSIPHGGSPLISSIELRPLPQGAYEDDGLLQSQALRKLYRINCGYTNGSLRYPIDPYDRIWGTDRNFKPFHVSSGFKVEANFDVIEVKEAPPAAVVETARVLTRRKELSYNLPLEKEEGDYYVILYFGGILAVHPSFDVLINGRVIESNYTFEKGEIRALYIIQHQIKNLIITLKSVKFYPQINAIEVYQIVHVPLEASSTTVSALEVINQSIGLNLEWEDDPCSPRTWDHVGCEGNLVTSLELSNINLRTISPTFGDILDLKILDLHNTSLSGEIQNLGSLTHLENLNLSFNKLTSFGSDLKNLSNLKFLDLQNNSLQGIVPDGLGELEDLQLLNLENNRLEGTLPLSLNKGSLEIRTIGNPCLSFSTMTCNDVSSNNNNPAIETPQVTIVPEKKKKKEEMSSHNNNYHLPIIIIIVSALAAALLVLITLSLSLLLYMRNIHSQKHTASQLTYSTKAAMELRNWNSAKIFSYKEIKSATNNFKEVIGRGSFGSVYLGKLPDGKLVAVKVRFDKTQLGTESFINEVHLLSQIRHQNLVCLEGFCNESKRQILVYEYLPGGSLADHIYGKNKKIVSLSWIRRLKVAVDAAKGLDYLHNGSEPRIIHRDVKCSNILLDMEMNAKVCDFGLSKQISHPDATHVTTVVKGTAGYLDPEYYSTQQLTEKSDVYSFGVVLLELICGREPLSRTGTPDSFNLVLWAKPYLQAGGFEIVDENLRGSFDVESMKKAALVAIRCVERDASQRPNIGQVLADLKQAYDAQLAYLSTFDH >KGN44135 pep chromosome:ASM407v2:7:7232906:7238931:1 gene:Csa_7G201870 transcript:KGN44135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVNASAEDQSHKAHRSRQSGPNAKKKSVNDKGKKEEVSENDRKRNPKAFAFNSSVKAKRLQARSVEKEQRRLHVPVIDRCYGEPAPYVIVVQGPPQVGKSLLIKSLVKHYTKHNLPDVRGPITIVSGKQRRLQFVECPNEINGMIDAAKFADLTLLLIDGAYGFEMETFEFLNILHNHGLPKVMGVLTHLDKFKDAKKLRKTKQRLKHRFWTEIRTGAKLFYLSGLVHGKYPKREVHNLARFISVMKFQPLSWRTNHPYVLVDRFEDVTPPERVHTNNKCDRNITLYGYLRGCNLKYGTKVHIAGVGDFELASVTNLADPCPLPSAAKKKGLRDKEKLFYAPMSGLGDLLYDKDAVYININDHFVQYSKVDDDKDGYSGKGKDQDVGEVLVKSLQSTKYSVDEKLEKSFISLFGRKPDNSSGARSDTNNTLENSNGIHEIESSEKYQPGSQEVDRLGVAHDADDSESSDEDDLIKRKAKFESVGTDEEEYNDLLDENSPVEDHMKEHVEFHEGRFRRKAVFGNDVESDDLMDSDEEGNDGDDSDINDEKMSDYDEDDEQDDAGMGNTSKWKEPLSERTRSRQHVNLMKLVYGKSTDISTTSSNEAHDTSDEENDGGDFFTPVGRINKNDSEVVDGENANSEDCSKHFKISNDLDIESIRDRFVTGDWSKAALRNKSSEVIENDDSVFADFEDLETGEKYESFHAENTTDATVAEDGSDKEDEANGSDYHDKMKEEIEIRKQRNKAELDNIDEAFRLKIEGFQSGTYVRLEVHGVSCEMVEHFDPCQPILVGGIGPGEDDAGYMQVKHIFL >KGN44807 pep chromosome:ASM407v2:7:14417430:14420312:-1 gene:Csa_7G388400 transcript:KGN44807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGGTQPYKPYRHLKTLTAHTRAVSCVKFSNDGTLLASASLDKTLIIWSSSSLTLRHRLVGHSEGISDLAWSSDSHYICSASDDRTLRIWDARSPTGECVKTLRGHSDFVFCVNFNPQSNLIVSGSFDETIRIWEVKTGKCLHVIRAHSMPVTSVHFNRDGSLIVSGSHDGSCKIWDASSGTCLKTLIDDKVPAVSFAKFSPNGKFILVATLNDTLKLWNYSAGKFLKIYTGHVNRVHCVVSTFSVTNGKYIVSGSEDKCVYIWDLQQKTMIQKLEGHTDVVISVSCHPTENKIASAGLDGDRSVRVWVQDP >KGN43213 pep chromosome:ASM407v2:7:538597:538887:1 gene:Csa_7G009090 transcript:KGN43213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYSLGIICMNAISLMMGLGSRVELQAIWQAKPRGSLLSLSFTGPNWLISSPPLVAQELRRTEIFHLVYI >KGN44635 pep chromosome:ASM407v2:7:12638668:12643086:1 gene:Csa_7G352480 transcript:KGN44635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLGDSLCFCKGVGKSERTKATIFSAKGPAMARISANGPSGTAFLIHRSLLLTTHVNLPSVSAAEGCEIRLQNGVAATLVPHRFFVTSSVLDLTIVGLDAVDGDSNSQQLQHLKICSKPNLELGSTVYLLGYSEKDELIISEGKVVIATDNLIKLSTDGVTWSPGSAGFDAQGNLAFMVCDPMKLATSPNTKSSSTSSSTSSSWKKDVPMQFGIPLPIICGWLNQHWEGSLDELNKPKLQLIRLMSSGQKSDHSSSFTLRQVFKPMETNDEETPSPSNVVSKTRDLPGPSYSTTTNTIKEEAPMNNLHVNHVQGIPTPEIYESPKLISVPVRKRETTPTQLLNINFPPRISTAVIMTHPTRQTPPLSSDENSTKDVSQHNQLRQGKTMDRKIADPIENGEEVASTNSVNGALSEVQSCSSPVEVSGMQDEYSSEGETTMYSAETAESRNYTSPREGHFQQVGRSQSCVNYNRWGSVQSNPMARRTMLENQRSFRNGRKMYSQGAASYRSNDYYSPTVSSIMKKRNSSEQVNRPRQSTAAAHSSPRWMF >KGN44817 pep chromosome:ASM407v2:7:14508851:14510066:1 gene:Csa_7G389490 transcript:KGN44817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSIYQGLQSCLVEPRVLRLKLSPSKSYSSPSPAEEQDSDHSVTHSDPQIQTHLKMEQNQQNHTARGNGVGGWSFLQAPSGVDGHPPENRYAPTMALSPKSLEMCTESLGSETGSDGGSETGSDEKMSLFSPDETETSPSLASGRKSVRLSRLAKKLAKPSYPPPLTTMSGSMGVKVKPYREGGRLVLKAVSIPSTKACFEVERGGGRLRLRLLEHCFLLKSLREGEEEVEEREEEEVESGGRLRKGRRCKEGGGGRKELVSWEPFLVST >KGN45406 pep chromosome:ASM407v2:7:17954619:17956626:-1 gene:Csa_7G447450 transcript:KGN45406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKLVKAFCNILGIDIVNVGRLNLLKLAPGGHLGRFVIWTKTAFENLDSIYGSFEKFYEKKKGYVLPRAKMVTADLARIINYDENLLKSLSSMLKLNPYAKTTGECLYWLRHNVRVKKEKLDKRSIIPKEEAATVEAAGKAWY >KGN44230 pep chromosome:ASM407v2:7:8204651:8211617:1 gene:Csa_7G232540 transcript:KGN44230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRTESVNKVGPNRTIVPPALLQRFFIRRFSLFPLSPSSHLPHLTVDLPHGSSLIAVYRFPVSLSTMASHSPNLECRMYEAKYPEVDMAVMIQVKNIADMGAYVSLLEYNNIEGMILFSELSRRRIRSVSSLIKVGRIEPVMVLRVDKEKGYIDLSKRRVSEEDIQACEERYNKSKLVHSIMRHVAETMNIDLEDLYIHVGWPLYRKYGHAFEAFKIIVTDPDSVLNSLTREVKEAGPDGQEETKIVPAMSEDVKDALIKNIRRRMTPQPLKVRADIEMKCFQFDGVLHIKEAMRKAEAAGNDDCPVKIKLVAPPLYVLTTQTLDKEQGITVLEKAIAACTEAIEHHKGKLVVKETPRAVSERDDKLLAEHMAKLRQDNEEISGDEDSEEEEDTGMGEVDVENAGPGITE >KGN43744 pep chromosome:ASM407v2:7:3835234:3836139:1 gene:Csa_7G064050 transcript:KGN43744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRSFLVLLLVLALVASSATAGHHHSHPKSPAPAPSPAVVEAPSVPPSSISGTPVEAPAPAANGAGLVSGSMAVSVGIFFAAALFV >KGN43342 pep chromosome:ASM407v2:7:1352254:1361288:1 gene:Csa_7G024160 transcript:KGN43342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAQNPAPNVDLFDAYFRRADLDRDGRISGAEAVSFFQGSGLPKQVLAQIWALSDPRQIGFLGRAEFYNALRLVTVAQSKRELTPDIVKAALFSPAAAKIPAPQINFNAQPASQFNSTAAVPSPQSGIVAQTPSPGSGANAPPVSSRESQSVRPSLAAPNSAFRPAQGFPGVGAVSGPPPTNSNISNDWVSERASGVQGTPSQPPNRGLSPAGTQVGFGQSSAGLTASLPPRPQSAPGVTPATPSPLESKVQGITGNGTASGSYFGRDAFGATPISSKQDVPAGNKTSTSVAVPVSPVTQPIVRASSLDSLQSSFMKPPLANQAQRNQAFGKSNQQTVPQSGSSAFLAGSQNSVSGQSQRPWPRMTQTDVQKYTKVFVEVDKDRDGKITGQEARNLFLSWRLPREVLKQVWDLSDQDNDSMLSVREFCIALYLLERHREGHVLPAMLPSNIMFDFSSNGHPVTPAASNYSNAGWRPPTAGFQQHQGVPGSGNVQGAPTVGVRPPIPATASPVEGEQQTSQPKSKVPVLEKNLISQLSTEEQNSLNSKFQEAADAEKKVEELEKEILESRQKIEYYRTKMQELVLYKSRCDNRLNEISERVSSDKREVESLAKKYEEKYKQSGDVASRLTVEEATFRDIQEKKMELYQAIVKMEQDGSADGVLQARADRIQSDIEELVKSLNERCKSYGLRAKPITLSELPFGWQPGLQVGAADWDEDWDKFEDEGFSVVKELTLDVQNVIAPPKQKSKSVQKGKVDSQNVTPAADDDTKDGDSGPNADTKRDKPPSMDETAVENGSAHDNKSEDGSVKSAPNSPFTAKSAPNSPFAPKSSPGSPFAPKSAPGSPFASSIIGSPKEYMDSHFGKTAGFDSSPRDKDTLSDHGGAGSVFSGDKSYDEPAWGPFDANDDIDSVWGFNAGGSTKTDNDVNRDNYFFDSGDLGLNPIRTDPFQAKRSTFAFDESVPSTPLFNSGNSPHNYHEGSEAGFDSFSRFDTSSVHDSGFFPPRDTFSRFDSMRSSRDFDQGSGFSSFGQFDTTHNSRDFDQGGPSSLTRFDSMRSTKDFDQGFPSLSRFDSMQSSKDFDQGFPSFSRFDSMRSSKDFDQGHGFPSFDDPDPFGSTAPFRASLDNQTPKKGSDNWSAF >KGN43631 pep chromosome:ASM407v2:7:3067276:3069947:-1 gene:Csa_7G048640 transcript:KGN43631 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/leucine rich repeat protein MARTSINDLPDVLVSNILALVSDTRTRNSLSLVCRKFLSLERATRFSLSLRGNARDLYGIPTCFRSVTHLDLSLLSPWGHAFLCSSPDPDLLAHRLRGLFPLVTSLTVYARTPTTLQILARQWPELRHVKLVRWHQRPQSAPGEDLAPIFEHCRSLSTLDLSEFYYWIEDIPPVLVANPLTARSISKLNLMTTSLTDGFKSTDIETITEACPNLSQLLMACTFDPRYFGFVGDETLSAIATNCPRLSLLHLADTSTLASVRGDPSADGFTPEDARISTATLIELFSGLPLLEDLVLDVAKNVRDSGPALEVLNTKCRRLRSLKLGQFHGICMAIDSRLDGIALCQGLESLSITNCADLTNMRLIEVGRGCVRLSKFEVKGCKKITVKGLRTMVSLLKRTLVDVKISCCENLNTKASLRALEPIQDRISRLHVDCVWKDVEECELEYDTASSSNIDPDEVDELTLPSHNADTSSSTDGLLEDGNYGGYTRKRKRSRYSTDADCSLSIQCSGNDLWGKRWDRLEYLSLWIGVGDFLSPLETVGLDDCPVLQEIQIKVEGDCRRRHKPMDTFGLSILGQYPQLAKMKLDCSDTTGYALTCPSGQMDLTLWERFFLNGIGSLGLTELDYWPPQDRSFNQRSLSHPAAGLLAECLTLRKLFIHGTAYEHFMNFLLNIPYLRDVQLRLDYYPAPENDMSTEMRAGSCSRFEAALNSRQIPD >KGN44991 pep chromosome:ASM407v2:7:15583060:15583955:1 gene:Csa_7G405960 transcript:KGN44991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMERIWEKQRGESNNSKENTVKLGSAEAEQCLEIGHRRTEGTAGQRMAAEGKGRRMHRTREARVEEGIEKWGVAVGEMEAEGTGAGEGIRQPAAAAGARVEEGIEKWGVAVGEMEAEGTGAGEGIRQPAAAAGVDMGCHKLSTWNSRPDPWPRRWRKKGGRIW >KGN45186 pep chromosome:ASM407v2:7:16759023:16762052:-1 gene:Csa_7G430210 transcript:KGN45186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLKLLFLFLLMLVSLTLPTVGGKTTLHRHRHRRRRLEVHSHLKKLNKPAVKSIKSPDGDIIDCVRMAHQPAFDHPLLKNHTIQMRPTFHPEGGILSDSKVSIKGSKSEDITQLWHLKGKCPKGTIPIRRTKKEDILRGNSVKSYGKKKPYATVKPNSIEVDLNGQNGHQHAIIYVEGGQYYGAKATINVWSPKIQQTNEFSLSQIWILGGTFGQDLNSIEAGWQVSPDLYGDNNTRLFTYWTSDAYQATGCYNLLCSGFVQINNEIAMGASIFPISSYKSSQYDISLLIWKDPKEGNWWMQFGNKYVLGYWPAFLFSYLTDSASMIEWGGEVVNSESDGQHTSTQMGSGHFPGEGFGKAGYFRNIQIVGESNSLRAPEDIGIFTEQPSCYDVQNGKSDDWGNYFFYGGPGRNPNCP >KGN45513 pep chromosome:ASM407v2:7:18682844:18684462:1 gene:Csa_7G450700 transcript:KGN45513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVHSSNRDTDSEFDHSSSQPRLYNPYKDLQVPYRNFQLPTSPEFLFDEEARRQRRSWGENLTFYTGCGYLAGAVGGASTGLVSGVKSFESGDTMKLRINRILNSSGHSGRLWGNRLGVIGLLYAGLESGIEAVRDTDDVWNCVAAGLGTGALYRAARGVRSAAVAGAVGGVVVGLAVTGKQMLKRKSML >KGN45287 pep chromosome:ASM407v2:7:17347587:17347995:-1 gene:Csa_7G433180 transcript:KGN45287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLQRSVVSFRRQGSSGVVWDDKFIAEELRKAGKDDGESSAGPELRTARNIPPIKTNDPTRSNHAEGRGFRSKETKRRRRRRWNRRRLEFLLVGSAVLSGNQTGRNRERLWPEGADHGRF >KGN44961 pep chromosome:ASM407v2:7:15421703:15422604:-1 gene:Csa_7G398720 transcript:KGN44961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRNFSLIQILLLVFACQCCAVIFDDVTGTQNLLKGIVPKANKQFLRPAESPRHLGFSTLPNIGGRVNDIKANVDLNENGGSVFDVTKHGAKGDGETDDAHAFMTTWIAACRNTVGPTKFLIPQGTFLVGPVTFAGPCKSFPITLENQGTVKATTDISAYSSPEWFSIEDITGFILTGSGVFDGQGLSVWPYNDCKKNNLCQLLPIVTIFLPFILNYKCFTL >KGN45171 pep chromosome:ASM407v2:7:16695841:16698553:1 gene:Csa_7G429570 transcript:KGN45171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHEGKADEQLFQLLANLLHQVESLTNQEEVELRTKIEALGLEVTKVPSKSLKQLDELEIAKELDKLSEKLDNVDEMISSAMAADPQVQSLLSDTADIWMPVITASADERRNFTPSVGENSETEANCES >KGN44221 pep chromosome:ASM407v2:7:8129513:8129779:1 gene:Csa_7G230960 transcript:KGN44221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHPNSTNARLDQSMTATQMKKGEAEQNDVDANRLICKLIDLDSVNVGGQIGVRCACATALSSGKRQQMAAESGSDKVGGL >KGN45204 pep chromosome:ASM407v2:7:16907266:16907727:1 gene:Csa_7G431365 transcript:KGN45204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTALGPFPVRLEPFFFSLLTFSSIGYFALSCSNKARSSVLIISSACSIGVGGTNELLSPSALIKEFLISSKEALGGRGSSLCSTFDLFLSKACCLASSSARSLAILSDMSSSISSSGSSLSSGIGCITTWYSFLGCGRFPNPRLRFLLKSACL >KGN44830 pep chromosome:ASM407v2:7:14588078:14588769:-1 gene:Csa_7G390090 transcript:KGN44830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIFSLYIPIFMAYTASVFPFSFASYPNDFPTHLISSISAAPEFSPSPAPASDISPLFPTPGDATLPPSSLPTIPSSPSPPNPDFMDAAPAPEMPLSPSQSLPFSTAAALNSGGWCCSVFVALTTTLVAELRR >KGN43694 pep chromosome:ASM407v2:7:3501837:3502253:-1 gene:Csa_7G059160 transcript:KGN43694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSKLKVKGLLNHKKLATLVDDPHLLGNYIEEELEQVIQVAAVLCTQSSPVELPKMSEVLQMLEGDGLAERWQEWQKEESSRQNFNNMVHSYHRSRSPQFIVSISHFAPDVVVWSKMMIVFYNCLYIFSIIFLAFLLL >KGN44838 pep chromosome:ASM407v2:7:14635770:14640395:1 gene:Csa_7G390170 transcript:KGN44838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGSRGGERKESSNQEEEDSVKLFVGQVPKHMTEPQLLTMFQEFALVDEVNIIRDKTTRASRGCCFVICPSRQEADKAVNACHNKKTLPGASSPLQVKYADGELERLEHKLFIGMLPKNVSEDEVSGLFSQYGTIKDLQILRGSQQTSKGCAFLKYETKDQAVAALEAINGKCKMEGSSVPLVVKWADTEKERQARKAQKAQSQATNVLNADSQHPSLFGAMPLGYVPPYNGYGYQAPGSYGLMQYHLPPMQNQSGFPNMIPQLNQGNAMRGIPPDLGPGMATRNYAMPPASYSAYPGVPALQHPMAYPGGMMSPGVVSSSPGPGPFTGGKNSPTSSMGKGSGGQIEGPPGANLFIYHIPQEFGDRELANSFRAFGRVLSAKVFVDKTSGVSKCFGFVSYDSAEAAQSAISTMNGCQLGGKKLKVQLKRDNKQSKPY >KGN43990 pep chromosome:ASM407v2:7:5340775:5344297:-1 gene:Csa_7G081680 transcript:KGN43990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPKGDNVVVSNMKLERMLSMKGGKGETSYANNSQAQAQHARSMLHLLKETLDGVHLNSPEEPFVVVDLGCSCGSNTIYIIDVIIKHIIKRFEALAVDPPEFTAFFSDLPGNDFNTLFQLLPPLATYGGSMEECLAADNHRSYFAAGVPGSFYRRLFPARSIDLFHSAFSLHWLSQVPETVVDGRSMAYNRGRVFIHGANEAAAEAYRKQFQTDLAGFLWARAQELKRGGSMFLVCLGRTSLDPTDQGGAGLLFGTHFQDAWDDLVQEGLISNEKRDSFNIPVYAPSLQDFKEVVEADGSFSINKLEVFKGGSPLVVNQPDDAAEVGRALANSCRSVSGVLVDAHIGDRLSEELFYRVERRATNHAKDLLEKLQFFHIVASLSLA >KGN45457 pep chromosome:ASM407v2:7:18312256:18313093:1 gene:Csa_7G448680 transcript:KGN45457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKAGTLTKLKSAIKRWPSITKLGRSSSSVSVAAVSDGANVGENINSKELRTVYVGKSRRPYFVSLDVVTHPLFQELVDKSSSFDEDGELVVSCEVVMFEHLLWMLENAATQLGSTEELVEFYTC >KGN43220 pep chromosome:ASM407v2:7:570933:572716:1 gene:Csa_7G009160 transcript:KGN43220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFGYVYPAYECFKTVERRPLEIFQLLFWCHYWIIVALLTVFERVGDPLISWLPLYNEAKLAFFIYLWHPKTKGATYMFDVVLQPFISKHEAKIDRCLVELRLKTADIAALFWHKTTSCSQTTLLDLLRNVSWMPTSQTCHNQHWHNLKKDETELVGKTTKCVSTMENRVAADDSKKKVSYDEQLSKSKRRKWRVFNFICVK >KGN43506 pep chromosome:ASM407v2:7:2327191:2329088:-1 gene:Csa_7G042990 transcript:KGN43506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNAPFIVNIDCDMYVNNPNVVVEAMCILLGAEEQESIFVQFPQIFYNQPKDDPFGCQLNTLFQTLLRGMAGIQGPLYCGCNCFHRRKTIYTLNSSPNKTGKIEENYGESEELTKSANEILRGVQANGRTHTTIDLSTSIQSAYQVASADYENNTAWGLKVGWLYESMTEDILTGIKIHSKGWKSVLLQPNPPAFLGLAPTGGPDALTQRKRWVTGSLEIMVRKNTPLLAFFLTRLTLRQCLAYTYFLIRGLYAIPQLVYAILPAYAILTNSHFLPSVQDTALLATFVPMFILYHSHSICVYLQCGLSVRAWWNNVKMEIIATTSSCVFGILSLVLRLFGISEAVFEVTPKGQSNNNVDDGNVGKFVFNESPLFIIGTAMVLLQLMALGSKLLAGILQPPSSSDGRRGSGIGEILGCVWVLMTLSPFLRGLFAKGKYGIPFPTICKSASLILLFVPFYKWL >KGN45310 pep chromosome:ASM407v2:7:17411773:17415727:1 gene:Csa_7G433390 transcript:KGN45310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGKGAKGLIMGKSAANNKDKDKKKPVSRSSRAGLQFPVGRVHRQLKSRVAANGRVGATAAVYTAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKSSKE >KGN44703 pep chromosome:ASM407v2:7:13418918:13419695:1 gene:Csa_7G373460 transcript:KGN44703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSSSSTPPCGACKFLRRKCVNGCIFAPYFRHELGASHFSAIHKVFGASNASKLLAHLPVSHRCEAAVTISYEAQARLQDPIYGCVSHIIALQQQVMNLRAQVAYLREQAAQILLNNYTTRNPTELLYEKSPFCYSDDPFLDLQSWLLAESCSATAPELEPAAVPYFEMSVVDSKLAGDFCC >KGN43995 pep chromosome:ASM407v2:7:5412651:5415093:1 gene:Csa_7G084710 transcript:KGN43995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYTLESWTKEYNEALKLSEDINGMISERSSLAASGPEAQRHASAIRRKITILGTRLDTLQSQLPKLQGKQPIPEKEMNRRRDMIGNLRSKAKQMASTLNMSNFANRDSLLGPEIKPADVVNRTEGLDNRGLVGFQRQIMREQDEGLEKLEGTIISTKHIALAVNEELNLHTRLIDDLDEHVDVTDSRLRRVQKRLAILNKQIKGGCTCMSMILSVVGIVVLIAVIWLLVKYL >KGN43302 pep chromosome:ASM407v2:7:1130245:1132009:1 gene:Csa_7G019330 transcript:KGN43302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALCLALLFFLVSSASAAATCNRCVHQSKAAYYYDDTPIPYGACGYGALAFELSNGYAAGVVPSLYKQGAGCGSCFQVRCKDRRFCSSVGTKVVATDQNYDNRYDFVLSKKAFSSMALKNKTSQLLNLGTVDVEYKRVEIAEVGSDDWESMKKNYGAIWDINKQLERALQLKIVVTSENKRIENLYWAVNDLPEDWENGEIYDTGIQINNIANETCPRNQCGDFPWK >KGN43759 pep chromosome:ASM407v2:7:3930497:3933920:-1 gene:Csa_7G065180 transcript:KGN43759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHNGGGGNRAEALRWLSIAEKLLAARDFYGTRSFAIRARESDPIVLEAADRVIAVADTLLAAEGRINNQYDWYSILQISQPTQSIELIATQYRRLALLLHPEANRVAFADHAFRLVSDAWCVLSNPLRKALYDNDYLMCSNKFSPGTTGSSQKPKSPPQQPQFPQPVRTSPRKETRMTVEEPQHQERPPPPPPPERQQPPPPPPQPPAQPPQPPPTQLSPHRPQQQEDPQQQHFVRKTPKSMNAKVTVEEERPNVSDVNESTPEATQQHIDSNPPSREAESTLPTESTLPTESNIPSFWTACPYCYNLYEYPKGYEDCVLRCQNCSKAFQALVIPSPPVADSASTFCCWGFFPLGVSPNAKGAVGSVAWSPFSAMFSCPLPGKVGIKSGKTRNVKPIKKSTPKFFCEEDDIYVEVSEPSDSSDEEWGRISKKKKPKRGLGSRIDKRAHSESSLKGNTGNVGNGTTDEVVNMNGQFGEQNGLVRFGLSNSTKAEPSKKAVAAVGGTGKKHKGKGPKELGKLDLNVEFSNEVEEPATGVSGEHGEDNIEGIGFFEGLDEFLSSLPILNAVADDKVKAS >KGN44306 pep chromosome:ASM407v2:7:8933445:8963564:1 gene:Csa_7G251650 transcript:KGN44306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHLFISDEEFSRHSDDAAFLAEKADAFIQGLRSELETVRAQADAASITAEQTCSLLDQKFLSLSAEFSDLQSQNAQLQTTLELRLSELAEVKSQKHQLNLLSIGKDGEIERLNTELSELHKSKRQLMELIEHKDLEIGEKDSTIKSYLDKIVNLSETAAQREARISEVDMELVRSRADFARLTQELCSSRRMASENEERLCAEISTVNKLVELYKESSEEWSKKATELEGVVKALETHLNQIESDYKEKLVKEESQRIHLEEEATNLKVKLEKCEAEIELSRKKNELTLFPLGSFSPDVLINPKENSDVVGGNHNFGPMIPVGVSGTALAASLLRDGWSLAKMYAKYQETVDALRHEQMGRKDAEAVLQKVLYELEDKAEVILEERAEHERMIESYSLLNQKLQNSISEQEILEKTLQELKADLKRHERDYLLIHRENIDLSRQVTILLKECRDVQLRCGYVGNDVPKNISNPTSFEINMESDADRVISEYLLTFKDINGLVEQNVQLRSLVRKLSVQLQDTELDFKEKLEAELKRKTQEAASRVEAVLQKVEEQGQMIESLHASVAMYKRLYEEEHKRNLHLPLSAGVALDFGRKELEFVSKDSQEARKADHEQAAKRIRYLEEELEKSR >KGN44913 pep chromosome:ASM407v2:7:15176218:15177956:1 gene:Csa_7G395800 transcript:KGN44913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIKVHGSPISTATARVLVCLYEKDLDYEFVLVDMKNNEHKKHPFLSINPFGQVPGFQDGDLTIFESRAITQYLAKTYANKGNQLIPNDPKKAAIVSTWIEVEGHHYDPPGVALSFEHLVKPLFGLGETDPAAVAENEAKLGKVLDIYENQLSKNKFLAGDEFSLADLHHLPNVSYLLATPSKKLFESRPRVNAWVTEIIARPSWAKVVALRNQS >KGN43733 pep chromosome:ASM407v2:7:3757164:3757756:1 gene:Csa_7G063970 transcript:KGN43733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYGISQDEKPHAICFPIPAQGHITPMLNLAKLLHHRGFHITFVNTEYNHRRLLRSRGPNSLDGLSDFQFKTIPDGLPYSEANSTQDSSAICESINKTCLSPFCDLISQINLNASTSNATPQVSCVVSDAIALFSVSAAKQFKIPIALFFTASACSYFGYLQYPNLMKQGLVPLRVTVS >KGN44547 pep chromosome:ASM407v2:7:11621791:11625743:-1 gene:Csa_7G329330 transcript:KGN44547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLYEENPGESRKGLEGEDLYEELWKACAGPLVEVPVDGERVFYFPQGHMEQLEESTNQELNHQIPHFDLPPKILCRVVNIRLLAEKETDEVYAQITLYPEADQSEPQSADPEPPERTRQTVHSFCKILTASDTSTHGGFSVLRKHATECLPPLDMSQSTPTQELAAKDLHGYEWKFKHIFRGQPRRHLLTTGWSTFVTSKRLVAGDAFVFLRGDNGELRVGVRRQARQQSLMPSSVISSHSMHLGVLATASHAVRTQTYFVVYYKPRTSQFIISLNKYLETVKNGYEVGMRFKMRFEGEESPERRFTGTIVGVGDMSPQWSDSKWRSLKIQWDEPATIQRPERVSPWEIEPFVPSASLNFTHPAIKSKRARPVEIPPPEVTSGSAPSGFWLQGSTISHEISQLSGTNEVQSSNNRVVWALGQRKLDSNSSHCNPVANVEGIWPSPPLNISLNLYPDSTFERELVQQKHPLSSPYSSSVTSKPSSDLIQPDQLEKGSKPDISLGCRIFGIDLKNNCSIVPTLERRSSCLMMVTDGAKEPVVTAVVTPQVDAGNLSQPSKEQQLSTELLTKGTQTKHISNLSSRTRTKVQMQGVAVGRAVDLTTLEGYEDLIDELENVFEIKGELRGINKWSIVFTDDENDMMLVGDDPWPEFCKMVKRIFICSSEEVKKMSRESKIVSPSSLDSLDSERKTES >KGN44935 pep chromosome:ASM407v2:7:15292167:15292546:1 gene:Csa_7G396500 transcript:KGN44935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGTYRVSPLEIYNQVWIREKHKEAGNKLAKELEKLDSSINYGSKKASSKEGRLSSLSVMNCFLECKGVRCQMLRQEISDILILMETRRSSFC >KGN44098 pep chromosome:ASM407v2:7:6715791:6719305:1 gene:Csa_7G186170 transcript:KGN44098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPRQRNTGNGFRSGSMGVGLASSRISPEGSVRGHGGYGNDYRNFNHPSGFGRGQGYPKSYQSSQSLPPPRRGGGSVDIFMEAGRLAAEYLVSQGLLPSSVLSGKWQGGSLRRDSSEFQELGPLREEGRTSTAPPPHSGYVGPDSGSGRRHPNDEYSSTPSRNHLRGRRRSTSFRSTGSDWIGQDYSRSNNCNDRGRASPDTEAYDDTDNLYAYGNQQQTGEEVGTELQDLKSSKLEQKGDTPEDSGPELVKYPLPDDAGSKANNSAVGKDPPSEPKLAKDSDDLSNVDLGSEEVKHSTNINETEKHCVAEKLSGQNEAGDGDSLVKQETDLLAFCRFTKFPTKTRSALAYKVSKADPITTVSEHPSVINTNRDSETSIDCSPSSCALSGAVSAKKLDVENLNSKRSKPEAVEKAGTMEELCPRYSEKAGSLTSQSFQHGPFWNESKEESCQSPAGVGRSDLMFEERGQKRSLDESDVGEGNKKPREWIPLMTSKEDESFDLLKFDKTKVSSEESKPACDNEVVVAADCVNSVDGFHFIKGGGEQCVDYAQEKQLFPNSFKICDLNLMEASDIHDNHENNPLLIFPSISETKREIAPVDIDLSISNATEFGQNSVVAGGKEIEIIDLEDDSTAEVDKTFHNAERKSETVFNGLDGFPNNAQNSGDMPDVQDGYGLMISELLGAEFSNCASVQGDINSIHNEMPLSNGEGTLADDDLIYMSLGEIPLSMPEF >KGN43146 pep chromosome:ASM407v2:7:69608:72693:1 gene:Csa_7G002520 transcript:KGN43146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKSKILIVGGSGYVGKFMVEASAKAGHPTYVLLRHSTLSNHSKSHIVNNFKTLGVHFLFGDLYDHESLVKAIKEVDVVISTVGHDQLPDQCTLISAIKEVGHIKRFFPSEFGNDVDRVRGVEPAKSAFAAKAKVRRALEASRIPYTIVSSNFFDDWFLSSLAQPEPSTPPFPPRDRVFIIGDGNPKAIFNKEEDIATYTIRTVDDPRTLNKIVYVRPPKNIYSFNDLVSLWENKIGKTLQKIYIPEAQVLKNISEAEYPLNMRLALYHSVFVKGDQTYFDIDPSIGVEATALYPDIKYTTVDQFLNKFV >KGN45045 pep chromosome:ASM407v2:7:15942758:15943267:-1 gene:Csa_7G414420 transcript:KGN45045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGKLQPSPDTTAEDSLEDEEMERFFALVRSLKEMRDQRRKELNIGQEEGEEEIAAGEKRRKRMRAAEMVENRSTWIPKFEREDFDEEFQALSTLPPNPCCNLVKRDTTAASTTTTAPMKNKKKVKNGDVSLDLNLAL >KGN44905 pep chromosome:ASM407v2:7:15119838:15120260:-1 gene:Csa_7G395230 transcript:KGN44905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLCRRDSFITHRAFCNALTEESNKLKQGILNNNNNNNNIEPISIISTPKLPHFGTSIMPEFNPYDQKNPFKTLPQELNNSTPTTTTGAPGGLFMVGPRSNNNSSSFSSLKLSSTTSSRFSCLYDSKNGCLQVRAKQRRN >KGN44069 pep chromosome:ASM407v2:7:6391666:6391969:-1 gene:Csa_7G162530 transcript:KGN44069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRKATAMPHMKSATTSVKVRQGYFSGRRSLPRRKIFPPTDVMERFDDADVKEGFNGTNVAVGLCDVGVAVGFCGANVAESFYDAGVV >KGN44573 pep chromosome:ASM407v2:7:12011872:12013955:1 gene:Csa_7G336520 transcript:KGN44573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSTIYNPNRTFNFSPQPPLILSKPFTSCKTPRDLKQLHAIFIKTGQIQDPLTAAEVIKFCAFSSRDIDYARAVFRQMPEPNCFCWNTILRVLAETNDEHLQSEALMLFSAMLCDGRVKPNRFTFPSVLKACARASRLREGKQIHGLIVKFGFHEDEFVISNLVRMYVMCAVMEDAYSLFCKNVVDFDGSCQMELDKRKQDEAINLFQEMQSSNIDPNYVTLVSVLPAIARIGALELGKWIHLYAGKNKIEIDDVLGSALVDMYSKCGSIDEALQVFETLPKRNAITWSAIIGAFAMHGRAEDAIIHFHLMGKAGVTPNDVAYIGILSACSHAGLVEEGRSFFSHMVKVVGLQPRIEHYGCMVDLLGRAGHLEEAEELIRNMPIEPDDVIWKALLGACKMHKNLKMGERVAETLMELAPHDSGSYVALSNLYASLGNWEAVARVRLKMKGMDIRKDPGCSWIEIHGIIHEFLVEDDSHSKAKEIQAMLGEMSMKLRSNGYRPNTLEVFLNTDEQERARALQYHSEKIAVAFGLISTAPQHPLKIVKNLRICEDCHASLKLISLIYKRQIIVRDRKRFHQFEHGSCSCMDYW >KGN43177 pep chromosome:ASM407v2:7:331949:332537:1 gene:Csa_7G006270 transcript:KGN43177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKRITEDERKRLFQKWGIALNSKRRRLQLMSQLWTDPKNMNHVTESAAIVAKLVKFAEQGQALKGNFGLSFITPPQKSRSFSWRNNRTSLI >KGN43189 pep chromosome:ASM407v2:7:410556:411030:1 gene:Csa_7G007870 transcript:KGN43189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIGVLSGGRSSSSPWRERNFIEIEREIAGVFSGFPPENLFKALPQEVLLTTVKCPLSSMKSRCFTFERFIEWVIDRGCDWSSVFVAV >KGN44906 pep chromosome:ASM407v2:7:15121166:15122002:-1 gene:Csa_7G395240 transcript:KGN44906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIANITSENHHANLSSANSTMEDQLEEVEQNNNNNQHQLLNHFLYGSPNGDQTNSHGSSNSLDHHQQRVKKKRNLPGTPDPNAEVIALSPTTLMARNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLRQRTGAEVKKRVYVCPEPTCVHHNPARALGDLTGIKKHFSRKHGEKKWKCEKCSKKYAVQSDLKAHQKTCGTREYKCDCGTLFSRFFSKSYHL >KGN45101 pep chromosome:ASM407v2:7:16263128:16264206:-1 gene:Csa_7G425930 transcript:KGN45101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSILFNVAANVITKLGSSALRELGSLWGVNDELGKLQNILSAIKAVLLDAEEQQSVSHAVKDWISKLRDVFYDVDDLIDEFSYETLRRQVLTKDRTITKQVCIFFSKSNQVSFGHKMSQKIKQVREKLDAIANDKTQLHLSVRMRETRDDELRKMRETCSFIPKGEVIGRDDDKKAIIDFLLDTNTMEDNVEVNR >KGN45385 pep chromosome:ASM407v2:7:17810321:17815401:-1 gene:Csa_7G447000 transcript:KGN45385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVMSDNHASRRLKTLPSSPALSLTLAGVFGNAAPMDVEADTTGRREDSCSDNSEPAGSRSAEDLGVDPDDEDEDKLQGNTKKRKNRHTSEQIREMEMLFKESPHPDEKQRQQLSEKLGLSCKQIKFWFQNRRTQIKAIHERHENALLKGEMEKLREENQAMREMISKSSCTKGCCSASTNSLDAIFTTSDQQQQQLVTEIARLKAEVERLRTALDKYAPAGTENNKEEGGIERPGRNLEKSKSIFGLEKGRVMLIGKRAIEEVVKMGDSDEPLWVRSVETGRELLNYDVYMKELAVGNERGKREVEASRETGVVFADLHRLVQSFMDVVQWKEMFPSMISKASTMEVVFNGDGNNRDGAVQLMFAELQMLTPTIPPREIFFIRSCKQLSPGKWVVADVSIDKVGDHVDSSSSRCRKRPSGCIIQDQSDGHCKVTWVEHWECHKIGLHTIYRTIVNSGLIFGATHWMSTLQMHCEWQVFFMATNVPMKDSTGITTVGGRKSVLRLAQRMTSSIYQAIGASNSHTWTKVQSKIGETIRIASRKNLKNPHEPTGLILCAVASIWLPVSPKLLFEFLIDEARRPEWDVMLSSGQAEMLANFAKGQNRGNAVTIQAVKSDETNKWILQDSLTNEYESTVVYAQVDMNGMKSVMAGFDSGNITTLPTGFSILPDGHPTRPLVISSSKEERETRGGSLLTVASQILVSPSPTAETTSQSVEYVNNIMSHTLENIKASLQGEDD >KGN43833 pep chromosome:ASM407v2:7:4365052:4365543:1 gene:Csa_7G070255 transcript:KGN43833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLNLSCILFCVLSCFTMLPSSLAQDSPQDYVNSHNHARAAVGVGPIKWDEKVANYSRYYANKRMNDCRLVHSNGPYGENIAWGSPDLSGINAVKLWVDEKQYYNYNSNSCASGKVCGHYTQVVWKNSMRLGCAKVKCKNKGGAFIVCNYDPRGNIVGQRPY >KGN45548 pep chromosome:ASM407v2:7:18893975:18897813:-1 gene:Csa_7G452030 transcript:KGN45548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGGKDEEKLLSPMFPRLHVNDTEKGGPRAPPRNKMALYEQLTIPTQRFTSGSASATPLPSGTPATPTSPSHFAGQKRGIFSSSSKCSVQSHQAEKLHSYGSRGVVQSNEAKLLKTSLVATRSLSSNPQPNLVTKNKVSILKNFSSKDEEFRIPASDRERMSSPSTSSSAQLGIACEPQGNIAVTNLISRKYVGQEGKDNPNLTKTTRDPEERSAFIPSATGKPLLEAEYKDFEKAKLPHPSMAKESWTSVSTLNRLFGANVRGHPKGLAEQSSEAVQDKVGCSRVAGLENSFMEPYASLVDSTSAPNISPDVVVELIGEKQFWKARKAIVHQQRIFAVQVFELHRLIEVQKLIAGSPHILLEDYLDNPPSTFSAVKNKLTECAQQLAPSSTVKENHQQANLVLNRKCADKNLLAKLPFPSFNKDNSKLVLAQQTSNELRVKDVQPQTPTAAAPKSNPWCLNQPTPGNQWLVPVMSPSEGLVYKPYSGPCPPSAQFMTPMYGNFGTMSLNTGSGARDFYPPAYAVPASHHQGFGYFPGSIPLNQPYFSPYGIPVTNKSMSGSVPDQVSLSSKVKSKEQENQISTGDVNCLTHQENSCEMPSQTSHSMPFNVEKFHGSKGSELLGSTASSPSERGNGDVLPLFPTEPPAVEESSPNPEMNENKSRAIRVVPHHPRSATESAARIFQLIQEERNQL >KGN45572 pep chromosome:ASM407v2:7:18989357:18993746:1 gene:Csa_7G452270 transcript:KGN45572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGADTVPFPRQMRSLRSFPQGIRNCYNVSIVNGTKYLIRASFLYENYDGLNILPAFDIYIGNSLWERVNFTDIHIEPSFELIHITSSNEVHMCLINIGNGVPIISSLEFRPLLNITYQTASRSLSLQSRFDFGSSDDKEYRYPIDVYDRIWSTINYYGQEPVKASATTGAVEENNYKVPSIVMKTASAIKDIRLNTKNSSQYYVFMHFSEVVELQPNQSRVFNITHNENFFYGPLIPSYLSTQTVSNKDPFDASNLHLFSFISTNNATLPPIINAFEIYYAKDIIELETNRGDVNAITKIKSTYGIKRDWQGDPCVPMEYPWSGLNCSNATAPRIIYLNLSASGLTGEISSYISNLTMLQTLDLSHNELTGELPDFLTNFPNLRVLILTRNKLTGSVPEVLLQRAEAKSLTLRLFPLLFILRAEHLKRSIQERLLKSKNQQVHYSEILVITDNLKTSIGEGGFGKVYLGVLSDKIQVAVKLLSASSRQGTKEFKAEAEILTIVHHRNLVSLIGYCDEAENKALIYEFMANGNLRKHLSDSSTTVLNWKQRLQIALDAAQGLEYLHNCCKPPILHRDMKTSNILLNEKMQAKISDFGLSRIFANENDTHLATRPAGTFGYVDPTIHLCGNFSKKSDVYSFGIVLFELITGKPVIIKSNTESEIHIVDWAKPSILEGNSQSIVDQRLQGCIEICSATKFMELALCCTLSTSAQRPQISDVVKQLIECQEMAQNRTTSHRPPINPNFSYTSIGSDSILSPR >KGN44759 pep chromosome:ASM407v2:7:13919112:13923316:1 gene:Csa_7G378480 transcript:KGN44759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSTQTFQPRVMSIKLWPPSQSTRFMLVERIIKNLTTPSIFSRKYGLLSKEEAEEDAKQVEDMAFVTANQHFEKEPDGDGSSAVQIYAKESSRLMLDILKRGPRVKEDGEVLISEKSTTRGTVFDISGGRRAFIDAEEAEVLLEPLKDPGNLFTKICFSNRSFGLDAARVAEPILFSIKDRLTEVDLSDFIAGRSEGDALEVMNIFSAALEGCDLRYLDLSNNAMGEKGVRAFGLLLRSQKNLEELYLMNDGISEEAARAVRELIPSTDKLRILQFHNNMTGDEGAISISEIVKSSPALEDFRCSSTRVGSEGGVALAEAIGTCTRLKKLDLRDNMFGVEAGVALSKSISSFPGLTEIYLSYLNLEDEGAEALANALKDSAPSLEVLEVAGNDITAKGAVSIAACVATKQFLSKLYLAENELKDDGVILIGKALQDGHGQLSEVDFSTNSIRRAGARFVAQILVQKPGFKLLNINANYISEEGIDEVKEIFKNSPNMLGSLDENDPDGEDYDEDAEENGDHDDELESKLKGLDIKQEE >KGN45161 pep chromosome:ASM407v2:7:16609254:16612216:1 gene:Csa_7G428980 transcript:KGN45161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFCDLQSLSSPFSSHPTIPRSPNFKPIFSFHCSSASSSSSSPFTEKHSVKRYQRDDWLYKYQSDQPSVTSSCSIPYDSESIRQNDIAMQLPELKKLLEVLREKRVSNGCDDGKCGPGDVFLVGTGPGDPELLTLKAVKVIQSADLLLYDRLVSNDVLELVGPDARLLYVGKTAGYHSRTQEEIHELLLNFAEAGATVVRLKGGDPLVFGRGGEEMDFLQQQGIQVKIVPGITAASGIAAELGIPLTHRGVATSVRFLTGHSRKGGTDPLYVAENAADPDSTLVVYMGLSTLPSLALKLMHHGLPPDTPAAAVERGTTPQQRTVFAQLKDLADEIKAAELVSPTLIVIGRVVSLSPHWSLSSNEASSLVEA >KGN44416 pep chromosome:ASM407v2:7:10011327:10014952:1 gene:Csa_7G284420 transcript:KGN44416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRLVQILYTLFSFFFISNFPIVKSELSTTQYATMVELSRLLGFWGVNKEPNPCLWKWIGCNSDNSSVTQILLSGSSLSSDNFLPVVCQIDTLLELDVSQNKLNRIPEQFIKDCGGISGLSKLNFSNNGLDGSLPRFVGFKRLEILDLSINFMNGTVGLQLDELVNLKCLNLSSNSFSGPVPTKIGKNNSLEQLQLSKNKFQGTISEVITNYTNLTFIDLSANDLSGSLPLQIGRLSKLEFLILSANDFHGEIPESVSRISSLVRLAAHQNSFTGNIPNGITNYVKNLDLSYNNMTGSIPVGLLSKPQLETVDLSQNKLVGPIPGDFSSSSNLVRLRLGSNMLDGTIPKTFGNLQKLMYMELDNNKLTGVIPDELGACKSLLLLNLAHNNLWGRLPTQFGHLQGLQALILESNNLSGEFPLEIMQLKNLTVLNIGWNSLNGSIPSSISVLQKLVKMNLQGNYFSGVIPDTIGSMSSLLELQLGRNQLASPIPKMPENLDIALNLSNNHFEGLIPNSFRGLIKLVVLDLSNNRFSGKIPSFLVQLLSLTELNLSNNQLSGVIPPFRNWVSLGIKGNPNLINESTFDTPSFEKKVKPRKPIVVSIIVVVVAFFISSALVFFIIFMWRRNWKGNTNESQVEDAPMTTVIQGKLLSLSVIHRSNIDFAEAMKAVSEPSNISVKTRFSAYYKVVMPCESIYFVKKLKWSDKICQPESHDKFGKQLEVLGRLSNSNIMTPLAYALTTESAYLFFEYAPKGTLFDVLHGCPGNILDWSARYSIAIGAAQGLTFLHGCASGPVLLLDLSSKSIFLKSLKEPQIGDIELCKVIDPLKSTGSVSMVAGSVGYIPPEYAYTMKVSSAGNVYSFGVVLLELLSGKTAVSEGAELAKTVLSYHSKQHQKWELQILDNSISKTSSYVQSQMGAVLKVAVSCVSPSPEDRPKMKTVLRMLLNAR >KGN43508 pep chromosome:ASM407v2:7:2332200:2334528:1 gene:Csa_7G043010 transcript:KGN43508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFSILRSSISSRSFLKTPSIFSTPNKLTSSLSRFSVRSMASSSPFKKIQIQRDGTEFDAYVVGRDDAPAIVVLQEWWGVDYEIKNHAVKISELGSGFKALIPDLYRGKVGLDVAEAQHLMDGLDWQGAVKDIHASVNWLKANGSKKVGVTGYCMGGALSIASSVLIPDVDAVVSFYGVPSSELADPAQAKAPIQAHFGELDSFVGFSDLTAAKKLEEKLKESGIPYEVHIYKGSGHAFMNRSDEGVKRRKNMGMNDEDDNAVELAWSRFQSWMSKYLSA >KGN45019 pep chromosome:ASM407v2:7:15756947:15758008:1 gene:Csa_7G407720 transcript:KGN45019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQDWVLVKALGEGSCGLVCLAKQITKEESDLHYYFAVKRASLRYNSSSLLWEEHVLKHFTDCPEIVQYLGSEVTGGGDFLDDKELYNLKLEYAAGGTLADLIKQRNKLPEDEVKKYLQMILKGLSCIHRKGFVHVDLKPDNILAFPQSDGKMKLKIADFGLAERSCKRGEDDQEDRGSKYYSGALKVRATHRYMSPESIVFSEINGLHDIWSLGCTLVQMVSGERVWNDCKSYEELITKLLISEEIPTIPEELSKQGKDFLEKCFVRNYEQRWTADMLLQHPYLNEENKDTKNGDEKLKLPKAIVFLPHQFFQSKTDMLLQQTKNGDEKLKPSKAIFLPHQFFKSKAQSCNN >KGN44483 pep chromosome:ASM407v2:7:10660489:10667772:-1 gene:Csa_7G307400 transcript:KGN44483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSFVAETQPKDPSSLHLPSLVSSSSLPLTLKFVDVSYRVKMADKSSGNAIGRILGCGSSDGSPPPVQERSILHGVTGMVSPGEILAILGPSGSGKSTLLNALAGRLQGNGLTGSVLANGRKLTKSVLRRTGFVTQDDVLYPHLTVRETLIFCSLLRLPLSISRQEKIVVAESVIAELGLTKCQDTIIGNAFIRGVSGGERKRVSIGHEMLINPSLLILDEPTSGLDSTAAHRLVTTMAALAHKGKTIVTSIHQPSSRVYQTFDSVLVLSEGRCLYYGKGSEAMSYFESIGFTPSFPMNPADFLLDLANGVYQIDNTSEVEKPNMKQTLITSYNTLLAPRVKAACMETSMMLETMTREQKSSTNTWKASVLLWCNQLSILLQRNLKERKHETFNALRVFQVITAAMLAGLMWWHSDFRDIQDRLGLLFFISIFWGVFPSFNAVFAFPQERAIFLKERASGMYTLSSYFMARIIGDLPMELILPTIFLTVSYWMTELKPELSAFLLTLLVLLGYVLVSQGLGLALGAAIMDAKQASTIVTVTMLAFVLTGGFYVHKVPTGMAWIKYISTTYYSYRLFINVQYGTGDKIWSLLGCSRHGTEKVSSCKFVEEDVAGQISPALSIGALLFMFVGYRLLAYLALRRIK >KGN44705 pep chromosome:ASM407v2:7:13448988:13453421:1 gene:Csa_7G373480 transcript:KGN44705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEDCTTSSLNQGVENLLAPPGFISRRSFRLKKVEQNANDDSIKTKKTEKGTLSKTSDVEMVEAACRQRPWILFDQNKEDSLEFESTEHESIPPQSDLPKGVAYGCPECSNCLKVTARWRPDDARSDILEEAAVFYPTEEEFADTLHYVERIRSRAESCGICRIVPPPSWLPPCLLKEKEIWENSPFLAHYQRIDGFQKTFARDQFSNHCGDMKNKRRKLDYECGNRCLMDPDESCSYKQGQNSEHGQEFTLKVFKSYADDFKSQYFRSGNKDTNTETKSSMLGEQWEPLVDQVEGEYRRILENPTEQIEVLYGDSSLSSHLLGSRFPSSSSPLNEPGHADHMDSGWKLNNLPRLPGSLLSLDSFETSSILSPRLCVGMCFSTAPWRVEEHHLPLLCYLHLGAPKIWYGIPGRYIDKFDEVMKSLPEHFVGRQRSHRGMVVNQPSIATLKREGIPIYRCIQNPGEFVLVFPGACHSGFNCGFSVTEEANFAPLDWLPHGYNATELYSVERRKTLISFDRLLLGAAIEAVKAQWELSLCRNETKDNLRWKDACGKHGILAQTFKSRIRSESLRREYLATALQMREVTSSFDDIRKRECSICLYDLHLSAAGCSCSGDRYSCLVHAKQLCSCAWGNKFFVVRYQMSNLNLLLDALEGKLSAVYKWAKENLGLAVHSYKNSSLQSQPADTRQSSQGSQSEDAESPSTFNSSINRIKAEIKARLLQAKTLKYRKEIGKVTESVDTVKDNGIVANLDMRTLAEQSVSKLQPVSPNEVKGKESTSTPAVVLNERGDDLIFSLNLESFATLPESSESDEDWSDSDF >KGN45059 pep chromosome:ASM407v2:7:16017804:16019501:-1 gene:Csa_7G419550 transcript:KGN45059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLGCDASVLLESTPGNPSEKYHVANFPTLRGFEVIDEAKAKIEAVCPNTVSCADVLAFAARDSANKVGGINYAVPAGRRDGFISRKEDANALPGFTFHAERLASEFGKRGLSVGEMVTLSGAHSIGIAHCPTFVGRLYSFNTTHAQDPSLDPSYADYLKSKCPQPSSSGDDGSQQPDVDLDFSTPHRLDNRYYIELKNHRGLLISDQTLLSSSLTSKMVLRNAHHGSKWATKFGKAMVKMGKIDVLTGSKGEIRRQCSFVN >KGN45131 pep chromosome:ASM407v2:7:16474043:16477665:-1 gene:Csa_7G428190 transcript:KGN45131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAGRMRSIIPQFNQLLKSESQAQRSALTRALLCPTTANSEISRNYATSSKKSEAKVKVPLVLFGGTGNYASALYLAAVKANSLDKAEKELVDFAEALKRSATFSQFISDPTVHKDTKVKVISDVCADAKFSEIMKNFLAVLAENGRLKYVDGIAKKFQELTMAHRGEVKAIVSTVIPLPAEEEKELKETLQYIIGEGKKVKLEQKIDPSILGGIVVEFGEKVFDMSIKTRAKQMERFLREPVNFNSL >KGN44692 pep chromosome:ASM407v2:7:13329407:13344626:-1 gene:Csa_7G372870 transcript:KGN44692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGARLCGLLGELGYEGAQALDPDSFEWPFQYDDARSILDWICSSLRPSNVLSHSELSQYGQFLEEGKLLEGEDLDSAYDSISAFSSRRDNQDALFGGEEGLKEIREATVAYKSEALQLQRQLSHLQSQYDMLTSQASTLTQGRRARVAATSSVNGQLTSIDDSISARNLEMNAVLGRIASTAQELAHYHSGDEDGIYLAYSDFHPYLVGDSSCIKELNQWFSKQLDTGPYRLVAEEGKSKCSWVSLDDMSNILVRDLETSHHQRVSELQRLRSIFGTSERQWVEAQVENAKQQAILMVLKSQVTSDEAHIHLDLHSLRRKHSELVGELSNLYDKEEKLLSETIPDLCWELAQLQDTYILQGDYDLKVMRQEFYIDRQKVFISHLVNQLARHQFLKIACQVEKKNMLGAYSLLKVIESELQAYLSATKGRVGRCLALIQAASDVQEQGAVDDRDSFLHGVRDLLSIHSNIQAGVSTYVSAPGIIQQISHLHSDLRTLQSDLENSLPGDRNRCINDLCSLIQSLQQLLFASSTTAQPLLTPRALMKELDEMEKINAKLSSAVEEVTLEHCKKNEIVKHHSQEVGLQRRVFVDFFCNPERLRSQVRELTARVRAMQA >KGN43429 pep chromosome:ASM407v2:7:1868796:1870683:1 gene:Csa_7G033370 transcript:KGN43429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSPGHSPRHISSPSPSTVSENAIQTLQSSSSITTPQSSRKHPKVLDEDSYVEAIEKIIERDYFPDISKLRDRLDWLEAIKSADPILIRDAQLKIMERRGQKVKRLNPDGKSQTPGSTFMRSFTPFDEFEGKTPKTPGFGGSGVVGVTEEGGSDGKVVDESLSLDEFFRQYTSEDNFSFSKILEKDNRKRKERYAYLTEGEKDDVKSIEDVKRDRITDGYGTSDQPPSTLEGWKYTAKNLLMYHPSDRGEAPLTEEERAVRLKGLTKEINRSSTRFHGKLMDSRPKDDGSVEVIYAPVAGTTPHPVLDRDGDRLKKYDLEDLRKTPNPFYVESGKRAENGYSFVRTPSPAPGVDESPFITWGEIEGTPLRLDPEDTPIDIGGSVDGPRYNIPCPAARDEKAHSLSREAARKLREKSKMFQKPPLPSPVRGGSASPSVKRTLSPAAQKFVRNAIAKSSSSFDETLRASYRGGSPSAATPKSGRSLSRFARDGSFGSRSPSVKEGSNPAW >KGN44163 pep chromosome:ASM407v2:7:7481520:7487202:-1 gene:Csa_7G210080 transcript:KGN44163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKSLQGGGQNLPTDVTQVIDQLERHCLAPDGSLVSKPAHYDLQLAREEMSRERLRYLEAMAIYCEAIAMVEEYQQAVSMANLGGVRDVQALYPQLGLKNSPQVYETLEHRMVVAEASQRLRLPLISKDGEIHEEEIEKLSRSSLDSTSTGVTISSSTNSTNYASASSTGSIVNNSLSVSSTDTAEPGVGGVPNRFLGITPAFLWQTQLHHTPSTDMAEYQMALSREIDARLKTKCDKVADAFIMDDIESSSGHHSSSARLPERVKLIIEEIEREEAALRQELYSADRKFAEYYNVLEQILGVLIKLVKDLKLQHQHKYDDLQKTWLCKRCETMNAKLSVLEHVLLLETYTQESIPALHKIRKYLVEATEEASISYNKAVTRLREYQGVDPHFDTIARQYHDIVMKLDNMQWTIHQVEMDLKRLPDQSST >KGN45096 pep chromosome:ASM407v2:7:16247369:16250149:-1 gene:Csa_7G420890 transcript:KGN45096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAILYNVTADIIFKLGSSALQELGLLWGVNDELDKLKHSLSAIQAVLLDAEEQQSKSLAVKAWVSRLKDALYEIDDLVDESSYETLRRQVLAKDQRKRKLVRILFSKFKSNWKIDHKIKDIRQRLQSINDDKNQFSFSEHVIEKRDDEELRKRRETYSYILEEEVIGHPSNPEKLDQSSSLIQIGREIVSKLKGVPLTIRTIGGLLKDNKSKRVWLSFKDNELHRILGQGQDNLKEVRLILELSYKYLPANLKQCFLYCALFPKDYEIKTHELILMWSAQGFIQPNGSKDNSLIDIGNDYFMELLSRSFFQEVTKNERGDIIACKMHDLMHDLACWIADNECNVINIGTRHFSWKDQYSHKDQLLRSLSKVTNLRTFFMLDSANDLKWEFTKILHDHLQLRALYFKNLKNAMIVLEFTGKLKHLRYLSIMDSFILNLPDSITELYNLETLILRNSSFKMLPDNIGNLINLKHLDLSNNRNLKFLPDSISDLCKLEELILHGCLRLEEFPEDTKKLINLKHLSICGCLSLTYLPKRLGELSDLQILRFQINRID >KGN44119 pep chromosome:ASM407v2:7:7042957:7046817:1 gene:Csa_7G195280 transcript:KGN44119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQRHSKNNNDLAFFTYDEKRKLGYGTQKERLGKDSIKPFDVCCLCLKPFIDPMCCQKGHTFCKECILECLLSQKKDNQRKLAAYTAQQKQEKEEAEEKLMQQKVRELDAFDQQNHGAVPQYNDRNQNQDKNGFHGANSVKVTSYEEEALRTMKAFWLPSATPEAPVKAGAPPSSTFCPEGNEKLKLKSLFSIHFTEDNSEKKKSKSYDVTYICPSCKVTLTNTMALVALGTCGHVFCKKCADKFMAVDKVCLVCNKGCKVRNLVNLEKGGTGFAGHGDALEARDFKHLGSGSGLGLVRPAMKT >KGN44894 pep chromosome:ASM407v2:7:15031225:15032904:-1 gene:Csa_7G394630 transcript:KGN44894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISFSRLGSSIPFSGLFRQLEQEMETVIRVLQPGPLGIIEHKFSAEEMRRAQSTVREAVNNWRRNTIIEKQSSISSNHS >KGN44668 pep chromosome:ASM407v2:7:13048978:13058873:-1 gene:Csa_7G368200 transcript:KGN44668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDARNANLEAKLKELLFKINSLEVKICSDATKEFIKLLTGDNGCKLLNLYAKTSPKCSELLDAWKLQRGKAGMPYIFSLVSAILSHPDGIYRVNDLERLSTSRVLDMLARSLVEECLGDINSELGSQEVKRQNAALLLMSSIVRRGSRLASQVAKNFDFKLRAFSKLTEFRQKPSQKRSKHSSRKLFVGFAMSFLEVGKPELLRWVLQQREVYAGVLRGLANDDEETITYVLSTLRDKVLVDESLVPPGLRSVLFGSVTLEQLATICERENGGLAAETAYQVLTMVCTDPCNGLMPSLKRCPNPLKGNPKRLLDLMKKLKATGVIYHRDLLLAIIRGQPAFCSTYLEEFPYNLEDFLSSNWFSVVSLIVKLVSSVSSGLSTESIVSQSDDTTLFDSTYLKSILRCLSSRPFNRSTINKGLLHSNILVKHGTLRLLLEALKLVDSLFVVLNKASSINTEKMLYWLSLKQELENEVQILLPDPQVLLTLLSSLASQSRVQGVNLKRTSGLERSFHGVKKLKTTSPDRDTDIIVIGVVSNPDIDEKMGDICTVETSEPERELMISVAELWDLDPLSALVEVKDAEMYFVSKLLNGLTIYHRRLPHTLEGSFEFFINLLGNPLLLPTILQHSLLSLLIEYIPSSSVRSTHFRTPPGMYKHLQPFITLFIRSPDNGIRDKAYYLAQASILSTGALDQNVYEGGSWFLFLSNYDRETSFMELGKESSENLIYTVISFLCDAISTVGNNLFKYWGIVKSYTDQLKGAKDVSPNFSPIVICVLQKCLRLLNSDSVTFTQLDKAAISNYVSSTLKYLLQTQVDAQLLASAIESILSKRFDDHGPLDVESGSSNCEWRPLKNLLLFSRRISTMPREDVFADHCHLMNDEEKNCNMEFDKIEASSLGFSTFLKKTPFHVLFPAIMCTHHSNSLVLLKIQDFLLLKLSELTFDHLLLPYLQLVLFWMYQIRISYRFKPLVELEKLSQICIVLVQNILAKLLATRTQSVAVGDYKGSLLRLEVQDVAETIFSHPAVISSLSCSLNCPGNLVFDAIDLNLESLVQLSRKSVNILDHHIVNLLTTSCEYLITSCDDQDSTFRGVVETFNVFIQRLLSEFRDRFDIFTETMDPIPLLPLFFALHSLNHFIFPFDLLELVIWILKRVNTNGFVVQKSEMTQIHGLSFGFGIAVIAFKDVTGYLQLPLSKRLPYHLLRKMDEKDVCNIIDEIYTKTNVFAVHYKSEFADACLLEVVKAICAKKSMLCEYFDQIHLATFRSIMNMPSELISYCFDRTNKEKAKLLFFLTEASSLHLSIFGHSIVDIMDRHSRHMDNEMEDKLLILLPSSLTYLNSVVAKFGKKCCYNSKVISSAYSRILFRKWKIFVTNSTFDEEFGDLIPSTTRDFIDLVNNSLLGKAVGMLRHCFALNGDLVTVKMRLKVFNYIFPASCSTDEVLGFEVDELDSYSPNQVFNFLSKVVSKISFCRVLLFPEGCGIQSFSGEDESTEQSSARRSNNEESSRLQYLNTLVGIWQWIVKRFAFISDIYEKEMGKSRLFRYLELFLLNNILELSTEMHGALVKQPSIPFLEQLMRFSLLYRFEDPTTVNILYSILDLLSDGKFAVDAYLQLLLAHSQFAPTIQSTPKPSHSIETFLRPMSSILRSLVIPSSSQRETNFKQDSKATQTDLKRLVIVKLVHILVLMKVCHGGYGKDDTINFRELYALLLSSYGATVSETDSTILMTLNDIETIIGSDAKNQVQMDFLWGNAVLGVSKERLLEQEPSSNISNDAEAVKERHRNQFRENLPVDPRICVSTVLWFPYDRTESDEESRLKKYRVKDLDDLFKGHYHGTEPERYDPIYVLRFSIHALSMGYIEALEFATLGLLAVAFVSLSSANDKLRKLGYGTLGALKNTVENGKRRKGTTRLRLLLTYVQNGIEEPWQRIPSIIALFAAEASFILLEPSHHHYAAISKFLVRSTRLNSKSIPLFKNFLWSSSVNFKSERLWMLRLVYVGINVDDDARLYIKNSIHEDLQSFYVSSLSDNESKELILQLFTILA >KGN44827 pep chromosome:ASM407v2:7:14569991:14574372:-1 gene:Csa_7G390060 transcript:KGN44827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKPVKYFVVDAFTESTFKGNPAAVCLLEEERDEKWLKDLAAEFNISQTCYLIPLNNEQQTDDSIKPPKFSLRWFTPVAEVELCGHATLAAAHILFSTGLVNSKIIEFSTLSGILTAKKVPDVKLLEVSNVHNNGETHDSYFIELDLPAIQTVDLDSAADVSSISKALNVASIVDIKLCNVKFNNLMVVLPSDKEVVDFQPNYDEIQKFPGTGLIITGASSAESEFDFYTRYFCPKFGIYEDPVCGSAHCALAVYWAKKLGKSDFVAYMASPRSGILHIHLDEQEQRVQLRGKAITIMEGAVLV >KGN44228 pep chromosome:ASM407v2:7:8183403:8184050:-1 gene:Csa_7G232520 transcript:KGN44228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGVVAASPSTIACPMIVSYNTRGVGRGEAGGATLRGRGLKRQKTGLLIIDDNDFVTEDVVSVGSLGRVISNWVEIGTRSVFAIELDLCSGLMVWFPGGAVGYFVVLGFWLFVVNGGEVGEARVSGGVGEERGGGGRGILGGEVGLLSSGTRAKGMGPWNHTADKIV >KGN45047 pep chromosome:ASM407v2:7:15962073:15964283:1 gene:Csa_7G414440 transcript:KGN45047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAEAEVVVCSKSLPVLEVPYFGKETCLVDEIESIDGGISIDPTLDRVRASESVCSSSQSDKPMKKITDSEVDTANGREFPSLRSGSFADIGPRRYMEDEHIRIDDLSSHLGSLFKFPKPSAFYGVFDGHGGPEAAAYIRKNVLRLFFEDVSFPQIPDIDEVLPGEIETCLRKAFLLADRALADDSSVSSSSGTTALTALVLGRLLMVANAGDCRAVLSRNGEAVDMSQDHRPVYSLEKQRVEELGGYVDGGYLNGVLSVSRALGDWDMKLPDGTPSPLIAEPECRQMVLTEEDEFLIIACDGIWDVMSSQQAVNVVRQGLQRHDDPERCARDLVLQALRLDSFDNLTVVVVCFSSFHPGNSPLPQQQKLRYCSLSAQALCSLQKWLDNSGCQ >KGN43703 pep chromosome:ASM407v2:7:3576075:3576350:1 gene:Csa_7G061700 transcript:KGN43703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVKREVKSYSSFESTELRVERIGSNRRRVGAEDGGRGKLGGEAAWGPVRARARHQSSWVTIVRCGVQLQSGSSRGVRGGRDGEMEREKGK >KGN45590 pep chromosome:ASM407v2:7:19118460:19125479:-1 gene:Csa_7G452940 transcript:KGN45590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSCKDGNKHGIDNGKYVRYTPEQVEALERLYHECPKPSSIRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKEASRLQAVNRKLTAMNKLLMEENDRLQKQVSQLVYENGYFRQHTQSTTLGAKDTSCEPVVTSGQHNLTSQHPPRDASPAGLLSIAEETLTEFLSKATGTAVEWVQMPGMKPGPDSIGIVAISHGCPGVAARACGLVGLEPTRVAEILKDQPSWFRDCRAVDVLNVLPTANGGTIELLYMQLYAPTTLAPARDFWLLRYTSVLEDGSLVICERSLKNTQNGPTMPPVQHFVRAEMLPSGYLIRPCEGGGSIIHIVDHMDLEPWSVPEVLRPLYESSTVLAQKMTMAALRQLRQIAHEVSQSNVTGWGRRPAALRSLGQRLTRGFNEALNGFNDEGWSVMGNDGMDDVTILVNSSPDKLMGLNISFANGFSAISNAVLCARASMLLQNVPPAILLRFLREHRSEWADNNIDAYSAAAVKVGPWSLPGSRVGSFGNQVILPLAHTIEHEEFLEVIKLEGIGHTPEDAMMPREMFLLQLCSGMDENAIGTCAELIFAPIDASFADDAPLLPSGFRIIPLDSGKEASSPNRTLDLASALEIGPAGNRTPNDSVNSGCTRSVMTIAFEFAFESHMQEHVASMARQYVRSIISSVQRVALALSPSHLSSHTGLRSPLGTPEAQTLARWICNSYRCYLGVELLKSGNEGGELVLKTLWHHSDAIMCCSLKALPVFTFANQAGLDMLETTLVALQDITLEKIFDDHGKKTLCSEFPQIMQQGFACLQGGICLSSMGRPVSYERAVAWKVLNEEENAHCICFMFVNWSFV >KGN45469 pep chromosome:ASM407v2:7:18400715:18406166:-1 gene:Csa_7G448800 transcript:KGN45469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHQRLKQQQQQALMQQALLQQQSLYHPGLLAHPQLEPIPSGNLPPGFDPSTCRSVYVGNVHTQVTEPLLQEVFGSTGLVESCKLVRKEKSSYGFIHYFDRRSAAMAILSLNGRHLFGQPIKVNWAYASGQREDTSGHFNIFVGDLSPEVTDSTLFACFSVFSSCSDARVMWDQKTGRSRGFGFVSFRNQQDAQSAINDLTGKWLGSRQIRCNWATKGAGSNDDKQSSDVKSIAELTNGSSEDGKETVSSDAPENNPQYTTVYVGNLAPEATQVDLHRHFHSLGAGVIEEVRIQRDKGFGFVRYSTHAEAALAIQMGNTQSFLCGKQIKCSWGSKPTPPGTISNPLPPPAAAPMGLSTSDLLAYERQLAMSKMGGVHALMHPQAPHPLKQAAMGMGAAGSSQAIYDGGFQNVAAAQQLMYYQ >KGN43571 pep chromosome:ASM407v2:7:2733784:2736847:1 gene:Csa_7G046100 transcript:KGN43571 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome b6-f complex iron-sulfur subunit MASSTLSSATPSQLCASRSALFCPSQALLRKPKNTQMGAKGKVVKISCQATSIPADRVPDMDKRKTMNLLLLGAIGLPTATMLYPYTYFFVPPGTGGAGGGIVAKDAFGNDVFADEWLKAHGPGDRTLTQGLKGDPTYLVVEKDKTLATFGINAVCTHLGCVVPWNAAENKFICPCHGSQYNDQGRVVRGPAPLSLALVHADVDEGKVVFVPWVETDFRTGENPWWA >KGN44808 pep chromosome:ASM407v2:7:14421405:14428879:-1 gene:Csa_7G388410 transcript:KGN44808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALFQVQTSVPSSMAKRPCPSQNPRIPTFTQMDLLLEAFLGLSDSSSICIDFSFERLLDSLPSDLDQTRLIDRALQMGTYLLEAGKRSARKRATKHNSVVWALPPDLTIKVFSMLDAQSLCFATATCSMFHKCAMDPSCYSNIDLTTVSPRVNNAVVSTMIHRAGNSLQSLKLGIVPGPTSSPGSCQPLVYNVSSFSWNDKRSRQGKESSVLTRSCLNPLGGASTPGMLLKTLHLYNIERMDSPSLRAALSTCPSLLDLEIVGLHVELRQTLMSVIENCPLIERLFFESSKTGRDDSLKSPTCVDLVQKCPRLVSLALRGFKLHDYKVRILVKGLRRLKYVDFSTSYSITGSFLRNLGNNIGGNLLEVLILRDCMHLKEMEVARFMTSLLAGDFKFLRHLDISNREGLASEDDWYERCYNSSIIPIEQVVKERPDVCLLADFPSEGSYFEIEQMLESEVNSDTSLPTQLSSDTSDSMFISSSESSYNSDQGSGNEDGRDAGYVIFEESSDEVDYLAL >KGN43679 pep chromosome:ASM407v2:7:3401403:3401756:-1 gene:Csa_7G058535 transcript:KGN43679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKDVVSQGFLFFPLLSGDFGYNQVVDSPEELGSLEDSLKEERLSLKAEFAKLIRKENLLDASHFQYMFLSTFSAYHQVLNQVFKVFQSTEHGLLTKSYLLSKSKHLRSKGGGSTLL >KGN45465 pep chromosome:ASM407v2:7:18367517:18371879:1 gene:Csa_7G448760 transcript:KGN45465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKLTMIARVTDGLPLAEGLDDGRDMQDAEFYKQQVKALFKNLSRGQNEASRMSVETGPYVFHYIIEGRVCYLTMCDRAYPKKLAFQYLEDLKNEFERVNGAQIETAARPYAFIKFDTYIQKTKKLYQDTRTQRNIAKLNDELYEVHQIMTRNVQEVLGVGEKLDQVSQMSSRLTSESRIYADKARDLNRQALIRKWAPVAIVFGVVFLLFWFKSKIW >KGN45249 pep chromosome:ASM407v2:7:17163787:17168199:-1 gene:Csa_7G432310 transcript:KGN45249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYWKVMAVKWLSLTLLTTVMILVSDLQVCNGCVEEERLSLLRIKSMFLSYKNNSIDHYSENYDDDPFVSWDGSNCCNWDRVQCDTSGTYVLGLLLDSLLPFHYHFRLEGNDYPLLNLSLFQNFKELKTLDLAYNGFTDFTENQGFNNFSSFNKLETLNLSVTHFGNKILSSLNGLNSLKTLRLSGNRLNGSMTLLGLKNLTLLDLSFNDWKVFPRLQGLRNLRELDLSSNEMQGFRGFSRLNKLEILNVEDNNFNNSIFSSLKGLISLKILSLGGFCEANNLTELKLRNNQIKGELSECVGNFTKLKVVDISYNEFSGKIPTTISKLTSMEYLSLEENDFEGTFSFSSLANHSNLRHFHLLGGNNIQVETEELHEWQPKFQLETLSMPSCNLNDQTASKFPTFLLSQHKLKYLDLSHNHLVGPFPFWLLHNNSALNSLDLRNNSLSGPLQLSTKNHTRLRHLQISSNNFSGQLPTHLGLLLPQVDHFDISKNSFEGNLPSSVEQMKMLCWLDASNNNFSGDLQISMFDNTFSLQFLLLANNFFSGNIEDAWKIKKYLLALDISNNMISGKIPTWIGSLEGLQYVQMSRNHFVGELPIQICSLFELTMLDVAQNQLVGEIPLTCFNSSSLVYLYMRKNEFSKPIPQGLLSSTASILKVIDLSYNNFSGYIPKWFNMFTSLQVLLLKGNELEGPIPTQLCQITKISIMDLSNNKLSGSIPSCFNNITFGDIKVNQTDNPNFSDLEVASDTTSDVDTDNGCGNINIYSRICYMFNTYASTVQVEVDFTTKHRYESYKGNILNYMSGLDLSSNQLTGDIPLQIGDLVQIHALNLSYNKLVGNIPKVFSNLKQLESLDISNNLLSGHIPSELATLDYLSIFDVSYNNLSGMIPTAPHFTYPPSSFYGNPNLCGSYIENKCSSPALPRDNQLYEKLELEIDDGGLIDLEALFWSFAASYMILLLGFVAVLWINLQWRQRWFYFIEDCCYFFCKLT >KGN43730 pep chromosome:ASM407v2:7:3736149:3738637:-1 gene:Csa_7G063940 transcript:KGN43730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIFSSSFSIFSVMIHHHCGSYLSRILITSVHFYSTFTTSPPTIPLISLLRQCKTLINAKLAHQQIFVHGFTEMFSYAVGAYIECGASAEAVSLLQRLIPSHSTVFWWNALIRRSVKLGLLDDTLGFYCQMQRLGWLPDHYTFPFVLKACGEIPSLRHGASVHAIVCANGLGSNVFICNSIVAMYGRCGALDDAHQMFDEVLERKIEDIVSWNSILAAYVQGGQSRTALRIAFRMGNHYSLKLRPDAITLVNILPACASVFALQHGKQVHGFSVRNGLVDDVFVGNALVSMYAKCSKMNEANKVFEGIKKKDVVSWNAMVTGYSQIGSFDSALSLFKMMQEEDIKLDVITWSAVIAGYAQKGHGFEALDVFRQMQLYGLEPNVVTLASLLSGCASVGALLYGKQTHAYVIKNILNLNWNDKEDDLLVLNGLIDMYAKCKSYRVARSIFDSIEGKDKNVVTWTVMIGGYAQHGEANDALKLFAQIFKQKTSLKPNAFTLSCALMACARLGELRLGRQLHAYALRNENESEVLYVGNCLIDMYSKSGDIDAARAVFDNMKLRNVVSWTSLMTGYGMHGRGEEALHLFDQMQKLGFAVDGITFLVVLYACSHSGMVDQGMIYFHDMVKGFGITPGAEHYACMVDLLGRAGRLNEAMELIKNMSMEPTAVVWVALLSASRIHANIELGEYAASKLTELGAENDGSYTLLSNLYANARRWKDVARIRSLMKHTGIRKRPGCSWIQGKKSTTTFFVGDRSHPESEQIYNLLLDLIKRIKDMGPRTANSDTQEFAHLR >KGN43963 pep chromosome:ASM407v2:7:5172450:5175504:-1 gene:Csa_7G074960 transcript:KGN43963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLEDFIQAPEHRPKLALSHADGIPTIDLSPIFNSLPGSDFPHDLVHQIASACTEWGFFLVVNHGVPPEKRHRIEAAAREFFGQSLEEKRKVRRSEGLVTGYFDSELTKNVRDWKEVFDLVVEDPTIVPASPESDDEELTQWTNQWPEYPPEFRESCKEYVEELEKLGHKLMELLALSLGLSAKRFQHYFKQQTSFLRINHYPPCPSPELTLGVGRHKDPGVLTVLAQDDVGGLEVKRKRDGEWIRVKPVPDSFVVNIGEITQVWSNEKYESVEHRVMVNSEKDRYSIPFFFNPSHSTIVEPLKELVDSQNPPKYKSYSYGKFLTNRQRSNFKKLNTDNIQISDFKITN >KGN44851 pep chromosome:ASM407v2:7:14742260:14746261:-1 gene:Csa_7G391280 transcript:KGN44851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMISSKPIEYPTSAAHLRTLRTTREGYQSATLAVDGHTVILRMQRSLNFAGQLVKRRGFSTNSEKIVAAVLFERLPVVIPKIDPVIYAFTDFQFRWQQQYRRKYPDEFLNKADGRGKGDYQIDYVPAPRITEADKTNDRKSLKRALDRRLYLLLYGPSIGAPDEKPIWHFPEKAYETEETLRKCAESALKSVLGDLSQTYFVGNAPMGHMVVPPTETAPVPTLKRFFFKSQVVAADKFDIGKCEDFVWVTKDELLEHFPDQAEFFKKMIIS >KGN44912 pep chromosome:ASM407v2:7:15169716:15172231:1 gene:Csa_7G395790 transcript:KGN44912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLSAFPSPPWPNPNPNSNPSPNPNPNPNPNPPSAVADSSSSERFDSDSVSSVDPTGLSPAVEPPASQPSLLHLSFNQDHGCFAAGTDRGFRIYNCDPFREIFRRDFDRGGGVGVVEMLFRCNILALVGGGPDPQYPPNKVMIWDDHQSRCIGELSFRSAVRGVRLQRDRIIVILEQKVFVYNFADLKLLHQIETIANPKGLCAVSQLSTSLVLVCPGLQKGQVRVEHYASRRTKFIMAHDSRIACFALTTNGQLLATASTKGTLVRIFNTFDGNLLQEVRRGADRAEIYSLAFSSTAQWLAVSSDKGTVHVFSLKVNSGSLGNDMSHQNDSSLSVAPSGSSFSFIKGVLPKYFKSEWSVAQFRLHEGSQYVVAFGHQKNTVVILGMDGSFYRCQFDSVNGGEMTQLEYHNFLMPEEAF >KGN43436 pep chromosome:ASM407v2:7:1902943:1905210:1 gene:Csa_7G033440 transcript:KGN43436 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polygalacturonase MSSSTISRSTTFILFLFIGLITNAQAADFHVKRYGARANGNSDDSQAIMKAWKDACSSTKPSKIVIPGGRYVVDSMKFQGPCLAPIHVQVEGRLQAPTNIKKMRNDASWIVFQYINGLTLSGKGTFDGRGSLAWKQNQCASSGKCGSLPISLRFYSLNNSLIKDITSTDSKFFHVNVHNCRNLTLQNINIDAPGDSPNTDGIHIGGSSGVTIHNARIKTGDDCVSIGDGSQQIKVEKVTCGPGHGISIGSLGKYKNEKPVSGITVRDCTITNTMFGVRIKSWPASTKGIASNMQFESIVMNNVGTPILIDQQYCPYGTCNRQPAIAGSVVPPACTRAFAA >KGN45025 pep chromosome:ASM407v2:7:15781954:15801291:1 gene:Csa_7G407780 transcript:KGN45025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFKATAVCFAILIYSISAASADSIHGCGGFVEASSSLIKSRKPNDGKLDYSHITVELRTVDGLVKDRTQCAPNGYYFIPVYDKGSFVITINGPEGWSWNPDKVPVLVDDSGCNGNEDINFRFTGFTLSGRVTGAVGGESCSNLKGGPANVNVELLSSNGDVVSSALTSQEGNYLFSNIIPGRYNLRASHSDIKVEARGSTEVELGFGNSIVNDFFYVSGYDVSGSVVAQGNPILGVHFYLFSDDVKEVDCPQGPGNAPGQRKALCHAVSDADGVFRFQAIPCGRYELLPYYKGENTIFDVSPSIISVNVEHQHTTISQKFQVTGFSVGGRVVDANDAGVEGVKIIVDGHERAVTDKEGFYKLDQVTSNHYTIEARKKHFKFNKLENYMVLPNMISVADIKATLYDVCGVVKTIGDGYKSKVALTHGPENVKPQVKQTDESGKFCFEVPPGDYRLSAMAISPESAPGLLFSPSYVDVTVKSPLLNVAFSQALVNILGSVTCKERCGSSVSITFQRLAGNHISEKKTISLTDESNAFQIQDVMPGKYRIEVSHSSIQGGVGKDDWCWERNSIEVDVGIEDVHGIEFIQKGYWVNVISTHDVDVYISQMNGPPMNLKIKKGSQYICVESPGVHEIQFSDSCISFGSSSAKIDTLNLEPIYLRGEKYLLKGKINVDPVSLGVYELPENILLNVVDAGGSVVGNTEAKLTSDANNQPNFALYEYSVWASAGEELTFVPLDTRNQERKILFYPRQHHVLVKNDGCQDSIPTFFGRLGLYIEGSVSPPLSGVHIRIIAAGDSSIASLKNGELVLETATDIDGSFVGGPLYDDITYSVEARKSGFHLERVGPYSFSCQKLGQISVKIHARDNSEEPIPSVLLSLSGQNGYRNNSVSSAGGVFLFNDLFPGTFYLRPLLKEYAFSPAAQTIELDSGESREVNFQATRVAYSAIGVVTLLSGQPKEGVSVEARSETKGYYEETKTDASGNYRLRGLLPDTTYIIKVVQREDQNRARIERASPGAITVEVGSEDVKGLDFLVFERPELTILSGHVEGKKLEGLKSDLQVEIKSASETSKVESVFPLPLSNFFQVKGLPKGKYLVQLRSIEPLGTIKFESSILEADLEGNTQINVGPLKYKFEEYHHKQDLTAAPVLPLVSGILVILLFVSLPRIKDFYHQATVGTSSASGFAVTLKKEARKPVLRKKTY >KGN43930 pep chromosome:ASM407v2:7:4959236:4961375:1 gene:Csa_7G073660 transcript:KGN43930 gene_biotype:protein_coding transcript_biotype:protein_coding description:Caffeoyl-CoA O-methyltransferase MSSSHRKTNRDLTGKSTKQKLHHSPTMASTTNGQEDQNQAGRHQEVGHKSLLQSDALYQYILETSVYPREPESMKELRDLTAKHPWNIMTTSADEGQFLNMLLKLINAKKTMEIGVYTGYSLLATALALPEDGTILAMDINRENYELGLPVIEKAGVAHKIEFKEGPALPVLDDMVADKKNHGSYDFIFVDADKDNYINYHKRLIDLVKVGGVIGYDNTLWNGSVVAPPDAPMRKYVRYYRDFVLELNKALAADPRIEICMLPVGDGITLCRRIN >KGN44485 pep chromosome:ASM407v2:7:10750451:10757864:-1 gene:Csa_7G308910 transcript:KGN44485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGFGLRNVSSHCSISEMDDYDLSRLLDKPKLNIERQRSFDERSLSELSIGLARGGLDNFESSYSPGGRSGFDTPASSSRNSFEPHPMIAEAWEALRRSMVYFRGQPVGTIAAYDHASEEVLNYDQVFVRDFVPSALAFLMNGEPDIVKNFLLKTLQLQGWEKRIDRFKLGEGAMPASFKVLHDPVRKTDTVAADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDLSLAETSECQKGMRLILTLCLSEGFDTFPTLLCADGCSMIDRRMGIYGYPIEIQALFFMALRCALAMLKHDAEGKECIERIVKRLHALSYHMRSYFWLDFQQLNDIYRYKTEEYSHTAVNKFNVIPDSIPEWLFDFMPTRGGYFVGNVSPARMDFRWFALGNCVAILGSLATPEQSMAIMDLIESRWEELVGEMPLKISYPAIESHEWRIITGCDPKNTRWSYHNGGSWPVLLWLLTAACIKTGRPQIARRAIELAESRLLKDSWPEYYDGKLGRYIGKQARKYQTWSIAGYLVAKMMLEDPSHLGMISLEEDKQMKPLIKRSSSWTC >KGN45531 pep chromosome:ASM407v2:7:18796222:18799187:-1 gene:Csa_7G451370 transcript:KGN45531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALHSYLSRFFPNFPFRITNLSEGDIPMLLLCSFFLFFTFFVLVLSFFLYKRVKKIEFGQHQQLISNPIEPEKIDIGNSVADCGNGTDRTCLTHSLLFEILPPDSPKWASFFVEGRCDDLDLKSDGLNKEFGDSGQEQGGKRKKKKAKKKRANLQDGDENEKWGTDVGTGSEQELTLLYPFTSSTSVIQRKIKRQYDELMKCQESKELTLAQVRQFANCLINARSKLQHKADVIHRKFTITKALLYKADRSSFDRLQQQIYKLELEQKRLEEDTFVYNWLQQQLKLSPAYKKMLEIGTCMELMAKSEKPTENIDSEFTDMSFEELLAQEKKDSFWQRNGKLRSCSS >KGN44829 pep chromosome:ASM407v2:7:14586794:14587048:1 gene:Csa_7G390080 transcript:KGN44829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEFSVTLLKIFQAIKEQNLWNVPIWMPLSTSLDGEKKRSVLGWEEKQKNWIEMQKEEDEENRRYDKMNK >KGN43433 pep chromosome:ASM407v2:7:1887471:1889171:1 gene:Csa_7G033410 transcript:KGN43433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIKLQSFFSVLILFSLCLSASAQTCRSYNGFTNNEVFAACVDHPVLNSFLHWTLIQSNNTLRIAFRRPNTGPNQWIAWAINRQRLNMFGSQALVAYRNSSGIAHAYTSDVNSPTPTLQESSISFQVPQLRATYVNQEMTIFATIILGDNETTINQVWQEGPLAGGSPASHAITDANRASRTTLDLLTGSSTAAADDVLKKRNTHGVLNAVSWGTMMPMGAIFARYLKVFKGADPAWFYLHVGCQASAYAVGVAGWATGIKLGSDSLGIEYNTHRNIGITLFVFGTLQVFALLLRPNKDHKYRIYWNIYHHSIGYSVIVLSIINVFKGLDILNPDGKWKRAYTGILIFLGAVAVVLEVITWIICIKRKRSNSDKFPHNVNGVNGINGNATREENRV >KGN43895 pep chromosome:ASM407v2:7:4778825:4780943:1 gene:Csa_7G072820 transcript:KGN43895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLHASASLSLATRDVSFARTAPKAFPLHRSPLPNLFRIGTTFATGSPLVLSKPTGQKKHALKQNSVSIRCEQSTQQSNLDVWLGRFAMVGFAIAISVEIATGKGLLENFGVTSPLPSVALAVTALVGVLTAVFIFQSATKN >KGN44434 pep chromosome:ASM407v2:7:10194068:10194322:1 gene:Csa_7G290570 transcript:KGN44434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYEAAGKEARPTKMRSSKNLRRRRSGSSLGGPFVEEANAAASRGCLQFCYRKRMGMGWVNRSWLRKVVPPRSSPEMIRLRVET >KGN45372 pep chromosome:ASM407v2:7:17760947:17762121:-1 gene:Csa_7G446870 transcript:KGN45372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLKKTIHKTNNLFHKTLENFKNLFFKGFQKLQKPTSLITLSCSKGKPQHTHPTDRLYIDFYDEWQSTLQKAAKRSIDKGSMIASKENVKQEDTNVAIQSPPRSKQEKAVKEKKKPGASHLRKGDVKNNSTRSNGLVEKMKELEMLDRSDMEQELDIEEAIHYYSRLRSPVYLEIVDKFFMDMHSEISVPEPSARSVNSSKRRIGSMRL >KGN44115 pep chromosome:ASM407v2:7:7004661:7006058:1 gene:Csa_7G193750 transcript:KGN44115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRLYPPPPPPPPSPPTSIAPPASSPSSGAALPTTRPSPTSAAVAQASTPTQASSSREAELASLDQIIVQNRATKPHTPLLNTNHTDSSKYRKPQLLQQARPRQTNPIIWCFAFLCLAFSLLLIFLGIATLIIFLVIRPRNPLFDIPNASLSTIYFDAPEYLNGDFTILANFTNPNHRVDVRYENADIELFFGDRLIATQAIQPFSQRKNEIRLQPVHLTSSLVFLPQNFGLVLRRQVQNNKVVYNIRGTFRVKASVGIIHYSFWLHSRCQLVMTSPPTGILVARSCKTKR >KGN45137 pep chromosome:ASM407v2:7:16492457:16494532:-1 gene:Csa_7G428250 transcript:KGN45137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFFSYFKDLVGREVTVELKNDLAIRGTLHSVDQYLNIKLENTRVVDQEKYPHMLSVRNCFIRGSVVRYVQLPPDGVDVELLHDATRREARGG >KGN45437 pep chromosome:ASM407v2:7:18173531:18178588:1 gene:Csa_7G447990 transcript:KGN45437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKETDAMEQPIAAGAGVSNSSDLQKTQKSSKKKLLIVTLCSTLLIVGAVIGIVAGTTKSSSKYSGESHAIVKSTCSSTLYPDMCYSAISRSEGAMLKVKNQKDVIEISINITVKAVEENYFRVKKLCGLKNISHRERIALHDCLETIDETLDELHKAIVDLNEYPNKKSLNQHADDLKTLLSSAITNQETCLDGFSHDDADKNLRDKLKEGQMEVEHMCSNALAMIKNMTDTDIANYEAKMGITKNRKLMAEEDDNNNDDGIQWPEWLSAGDRRLLQSSSVTPNVVVAADGSGNFRTVAAAVAAAPVRSSKRYVIRIKAGVYRENVEVPKKKTNIMFIGDGRRNTIITGSRNVVDGSTTFNSATMAAVGEGFLARDITFQNTAGPSKHQAVALRVGADLSAFYQCDMLAYQDTLYVHSNRQFYINCLVSGTVDFIFGNAAAIFQDCDIHARKPNSGQKNMVTAQGRSDPNQNTGIVIQKSRIGATSDLRPVQKSFPTFLGRPWKEYSRTVIMQCTISDVIDPKGWHEWSGSFALNTLFYGEYQNTGAGASTGGRVTWKGFRVIRSATEAESFTAGKFIGGGSWLSSTGFPFSLGL >KGN43280 pep chromosome:ASM407v2:7:979446:979728:-1 gene:Csa_7G017140 transcript:KGN43280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLFFLYCSLALTTIDCLCKKLYSEYSNGGINPNLNLKPPAMAMDSVKMVTMNIEREGREEAQ >KGN43576 pep chromosome:ASM407v2:7:2766011:2766458:1 gene:Csa_7G046640 transcript:KGN43576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSTRALVVAATVGVVEALKDQGICRWNHLLRSAHHYARNHVRSISQAKKLSSAVPSANRFQQSEESLRTVMYLSCWGPNN >KGN44820 pep chromosome:ASM407v2:7:14538951:14540923:1 gene:Csa_7G390010 transcript:KGN44820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATARTVKDVSPHEFVKAYAAHLKRSGKVELPPWADIVKTARFKELAPYDADWYYVRAASMARKIYLRGGLGVGAFKRIYNGSKRNGSRPPHFCESSGAIVRHILQQLQEMNIVDVDPKGGRRITSSGRRDLDQVAGRIVVAP >KGN43838 pep chromosome:ASM407v2:7:4416418:4419831:-1 gene:Csa_7G070780 transcript:KGN43838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPAVLSPPSLFSIPQSQLPFSTSPTSLLSHPIHISYTLLRATRRTSNFSQGVDNFVDDRRNWNRSDFDLIGGEEEEEEEEDEDEEDEEDRSLDLLVRFVENIFRKSSRRARKAVRSVLPPSIPTKLVAFSVNGVLMLAFLWVLKAFLEVICTLGTAVFVSILIIRGVWIGILYLQDTRSHRLGQLDDDQHHAWTGAQPAS >KGN44818 pep chromosome:ASM407v2:7:14522300:14532537:-1 gene:Csa_7G389500 transcript:KGN44818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKTGVRPSVRIVVAGDRGTGKSSLIAAAATESFPDNVPSVLPPTHLPADFYADGVPLTIIDSSSSMDNKSKLYEELKRADAVLLTYACDQPMTLNRLTSYWLNELRQLEVKAPVILVGCKLDLRDEHHPMSMEDIVAPIMKQFREIETCIECSAATLLQVPEVFYYAQRAVLHPTAPLFDLERQSLKPRCKNALRRVFTLCDRDMDGALSDEELNEFQVKCFNAPLQPAEIVGVKRIVQENLPSGVNDRGLTLPGFLFLHALFIEKGRLETTWAVLRKFGYDDDLNLSGDYLPVPSKQAPDQSMELSNEALDFLRGVFQLLDTDNDGALRPTELEELFSTAPESPWDEPPYKDSAERTALGNLTLNGFLSQWALMTILDPPRSLANLIYIGYGGDPAKALRVTRRRLVDRKKQKTERNVFQCFVFGPKKAGKSAILNTLIRRPYSKNYSSTTEDGYVMNMLERVQGGQKTLILREIPEDGVHKFLSNEECLAGCDVAVFVYDSSDEDSWNRSRELLVEVARKGEVSGFGVPCIVTAAKDDLDPSPLAVQDSVRVCQGLGIEAPIPVSSKSGDLSNIFNKILTAAEHPHANIPETERGRNRKRYYKLFNRSLIFVSVGAAVGVIGLAACRAYAARKNTSN >KGN43173 pep chromosome:ASM407v2:7:296745:302250:-1 gene:Csa_7G006230 transcript:KGN43173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDSRSRSRSRSRSPLDRKIRSDRFSYRDAPYRRESRRGFSRDNLCKNCKRPGHFARECPNVAICHNCGLPGHIASECTTKSLCWNCREPGHMASSCPNEGICHTCGKAGHRARDCTAPPMPPGDLRLCNNCYKQGHIAADCTNEKACNNCRKTGHLARDCPNDPICNLCNVSGHVARQCPKSNVLGDRGDRGISSGGGSGRGSGSGYRDVVCRNCQQLGHMSRDCMGPLMICHNCGGRGHLAYECPSGRFMDRFPRRY >KGN44090 pep chromosome:ASM407v2:7:6613378:6613586:1 gene:Csa_7G181650 transcript:KGN44090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDSESEMERIEERTPLKPEGVDELPAEEFQMAIEFAYTRKEEDDVESKIKIGGSKNEN >KGN43642 pep chromosome:ASM407v2:7:3138422:3149000:1 gene:Csa_7G049240 transcript:KGN43642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRYVYINDNEPSNDLYCDNRISNRKYTLLNFLPKNLWEQFSRFMNQYFLLIACLQLWPLITPVNPASTWGPLIFIFAVSATKEAWDDYNRYLSDKKANEKEVWVVKQGTRKIIQAQDIHVGNLVWLRENDEVPSDLVLIGTSDPQGICYIETSALDGETDLKTRVIPSACMGIDFDLLNKIKGVIECPKPDKDIRRFDANIRLFPPFIDNDVCPLTIKNTILQSCYLRNTDWVCGVAVYTGNETKLGMSRGVPEPKLTAMDAMIDKLTGAIFVFQLVVVVVLGIAGNVWKDSEARKLWYVQHPEEGPWYELLVIPLRFELLCSIMIPISIKVSLDLVKSLYAKFIDWDYEMIDCESGIPSHATNTAISEDLGQVEYILTDKTGTLTENKMIFRRCCINGIFYGNENGDALKDKKLVNAIANSSPDVLRFLTIMAICNTVVPTKSKSGNILYKAQSQDEDALVNAAAYLHMVFVNKSATILEIQFNGMLNRYELLDTLEFTSERKRMSVVVKDCQNGKIVLMSKGADEAILPYAYAGQQTRTFIEAVDQYAQLGLRTLCLAWRELEEDEYREWAFMFKEANSTLVDREWRLAEVCQRLERNFEVLGVTAIEDRLQDGVPETIETLRRAGINFWMLTGDKQNTAIQIALLCNFISPEPKGQLLLIDGKTEDEVCRSLERVVLTMKTTTSEPKDVAFVVDGWALEIALKNYRRAFTELAILSRTAICCRVTPSQKAQLVELLKSCDYRTLAIGDGGNDVRMIQQADIGVGISGREGLQAARAADYSIGKFRFLKRLILVHGRYSYNRTAFLSQYSFYKSLLICFIQISFSFISGVSGTSLFNSVSLMAYNVFYTSIPVLVSVLDKDLSEETVMQHPQILFYCQAGRILNPSTFAGWFGRSLFHAVVVFVISIHAYANEKSEMVEVSMVALSGCIWLQAFVVTLETNSFTILQHLAIWGNLAAFYVINWIFSAIPSSGMYTIMFRLCGQPSYWITIFLIVGVGMGPLLAIKYFRYTYRPSKINTLQQAERLGGPILSLKNIEHQPRPIEKEVSPISITQPKNRNTVYEPLLSDSPTATRRSLASSSSSFDFFQTPPPSSYSRNKDN >KGN43776 pep chromosome:ASM407v2:7:4061481:4069388:-1 gene:Csa_7G066330 transcript:KGN43776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGEEGEIWDSYRVTAGHFPDDADIGIYDGFVVTGSCSDAHSNDPWICQLLLLLKKLNALKKKVLGICFGHQILCRALGGKTGRATSGWDIGIRAIHVSQSSSKALSSLKIPLTLSIIECHRDEVRELPLKAEVIGWSEKTRIEMFKYGDHMMGIQGHPEYTMDILLHLIDRLVQHNLIMSLGEIGPKRWAESLVFESSFSFSSLYLSPPSPSSSVTSARYNTHTVQQLPPGWKIEPCL >KGN43883 pep chromosome:ASM407v2:7:4733259:4734055:-1 gene:Csa_7G072210 transcript:KGN43883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLRALFRPSVILRTSPSCTDPSGEILYFSIFHVLKSTLALSNASEPVSQQKNEKGSNRWFTLPPYTATVNGSILGNRIMNGGGQAELGASTAAITALKWVIRCCPELPRSLVQKLFRLRKVRRGSSMIENSEYNLEARDQLKRPRTF >KGN45199 pep chromosome:ASM407v2:7:16870183:16873074:1 gene:Csa_7G431320 transcript:KGN45199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISKSLHFPLSLLLLLLLPLLSIGVDARSSSFNLGNGDNHEKGLLQLFQNFPWKEHGEAVVNCIFQKPKITKGITTLEMKQRDYCSGKITDWEKIFQNRIILDAINVNSLFSHFKSAIFPGQTHQLSDSQIPISSGARLQTLNYIVTVGIGGQNSTLIVDTGSDLTWVQCLPCRLCYNQQEPLFNPSNSSSFLSLPCNSPTCVALQPTAGSSGLCSNKNSTSCDYQIDYGDGSYSRGELGFEKLTLGKTEIDNFIFGCGRNNKGLFGGASGLMGLARSELSLVSQTSSLFGSVFSYCLPTTGVGSSGSLTLGGADFSNFKNISPISYTRMIQNPQMSNFYFLNLTGISIGGVNLNVPRLSSNEGVLSLLDSGTVITRLSPSIYKAFKAEFEKQFSGYRTTPGFSILNTCFNLTGYEEVNIPTVKFIFEGNAEMIVDVEGVFYFVKSDASQICLAFASLGYEDQTMIIGNYQQKNQRVIYNSKESKVGFAGEPCSF >KGN45062 pep chromosome:ASM407v2:7:16031191:16033699:1 gene:Csa_7G419580 transcript:KGN45062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRCQFWLPKKNRFCAVAPINDSKFCGNHSSRADGDMVPCPVDPSHSVLRENLQGHVKRCPLLKQTQSLAGQPFYQKGINAGEEDSMSSNGPHSGLSDSISSEMKRNIVYGMSGDQFHRLLGKIRALHDLICKDIQDSYKIKEACNMWIKGEIDRKIPFQEKHVLQQASILGNLDEFGVLSNYDGGEQREGDRSYGNDANVVPAVVEFGAGRGYLTQMLADCYGIKRVFLVERKSYKLKADRSLRQKESLILERLRIDIEDLNLNAVESLRHNPYLAIGKHLCGPATDLALRCCLTKQPNHAVTERCRDKSKLRGLAIATCCHHLCQWNHYTNKRYLLELGITKEEFLAITWFTSWAVDANHSEDISDVTDSKTFFQSSENESDGMIGCMVKDIVKSMNPVERAVLGFMCKEIIDMGRLMWLKECGLETQLVKYVPSTISPENHLLIAKCSRHICAT >KGN43319 pep chromosome:ASM407v2:7:1216424:1217272:-1 gene:Csa_7G023930 transcript:KGN43319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSFAINSKKTLHVRSNSLPSKPHPIVNQVDEHLCRLKSSEATSSTSSLCHRLNSLQDLHDCIDKLLLLPFTQQTLVNESDNKWTDDFLEGSLKVLELCDIAKDALLQTKECVRELESVLRRRRDEAVISRDLQKCLSSRKMIKKAVQKALKGIKSNCSQQSEETSATVSLLKEVEAITFSTIESVLSFIAGPKLPSRWSFVSKLVQSKRVANKDEDAYENEVEMVDATLSAIASHTTDKSVNLQDQLRKIESSIRDLEEDLESLQRHLIKNRVSLLNILNH >KGN44879 pep chromosome:ASM407v2:7:14980604:14983819:-1 gene:Csa_7G393990 transcript:KGN44879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHSNGKHEHNNRSKSKFMNNNKHNNIKRLGGKGLSLEAFANAKSTTDYYNPALIKKQREFYKNAKHVNKYKKLVKHQNQPNERPSSSTVTLVEGENETKFENQTNDRCGKERNKGVPSLQALYERKHEEKEKARMEKEAIIAAKKEERERAKARRNSTREKMFKRTQKGQPVMKYRIEHLLETIGASTNH >KGN43598 pep chromosome:ASM407v2:7:2865275:2867857:1 gene:Csa_7G047330 transcript:KGN43598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAINSFVAQRLLPYTKIEKPHLISVVHFTKSSRKFDPSDNLILSTVRRRRWGVRSVREDQELVGLEENRSEDEEHSLSLDGSEKIEAYSSSSSSSFSEDNGADEVLKGFSGRAVNATIVLGFGTLLVTKLLTIDHELWHGWTLYEVLRYAPEHNWIAYEEALKTNPVLAKMMISGIVYFLGDWIAQCYEGKPLFEFDRARMFRSGLVGFSLHGSLSHYYYQFCEILFPFKDWWVVLVKVAFDQTVWSGVWNSIYYVVLGILRSESMTDIYGELKSTFWPMLTAGWKLWPFAHLITYGVVPVEQRLLWVDSVELIWVTILSTYSNEKSEERISDASTGENEASPSSQSDKDQT >KGN44327 pep chromosome:ASM407v2:7:9208095:9210881:1 gene:Csa_7G253820 transcript:KGN44327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRQHETSSISYHSAPPPTNKPKRNYYAFACSTMASMASVLLGYDIGVMSGAVIFIQKDFQISDVKLEILVGIISLYAIIGTAAAGRISDWIGRRYTMGLAAAFFFVGAILMGLSTNYSFLMFGRFFAGIGIGFASLIAPVYTTEISPAASRGCFTSFPEIFINVGILLGYVSNFAFSKLPTHLSWRFMLGIGAIPSIILAIVVLIMPESPRWLVMKGRISDAKRILDRTSVSIEESQQRLLDIKLAAGIPLNFSGTDHDPNLIPPLSNSTTKGESVWKELFIHPTPPVRHILIAAIGLHFFQQASGNDGVVLYSPRIFEKAGITSSDHKLLATVAVGIVKTAFILVATFFLDRMGRRPCILTSVAGQTVSLATLGFSLTIINNSHEKVKWAIVLCIAMVLSNVSFFSIGLGPMASVYTSEIFPLRLRALGVSVAIMANRITSGVVTMTFLSLYHAVTIGGAFFLFAGISAVSWLFFYVVFPETRGQNLEDVEKLFGNFPWRMKKSKDTTNIEVELRG >KGN43445 pep chromosome:ASM407v2:7:1955375:1956610:-1 gene:Csa_7G037490 transcript:KGN43445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVEIVSPNATLLEDTTTVKETVTKEEVEEQSSPDTTSKENVEEKEESVKVDEVVDEKSSDDSEAVVPNEKVEATAEEEEEEDEVVILTDDDEVEELEYNEEAAEVAKEENDKPDESSVVPEAKAVVSEEAEEEDEKKDEAAEEKKE >KGN45468 pep chromosome:ASM407v2:7:18385610:18399541:-1 gene:Csa_7G448790 transcript:KGN45468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEMDVSPSYFDPEDLTIRERFRRYRKRSSNISPHKEVIPSTINESRILYDGQGFHSPTNAALLLENFQEEAESLVGDCLEATPLKESSASKRRLSIDSQEISVVSLGPDSVRLSLKACRHENDPLSNSGDTTYNFFASLMDSSIQGLMSIPDLILRFESSCRIVSESIRYGSNTQHRFIEDKLMRQKAQLLVDEAASWSLLWYLYGKGNISFSITIYKFYFVFMSTNMCFLFHASTKETPKDLIVFPPTSHLEACQFVSEDHTAQLCLRIVEWLEGLASKALDLESKIRGSHVGTYLPCSGVWHNTQWSLKKGISNTNAIHHLDFDAPTREHAHQLPDDRKQDESLLEDSWTLIKAGRMKEACDLCRSAGQPWRAATLCPFGGLEHFPSIDALVRNGKNRTLQAIELESGIGHQWRLWKWASYCASEKIAEVDGGKYEAAVYAVQCGNLKRVLPICTDWESACWAMAKSWLDVQVDLELTRSHGKMDLSKSIMDTVDGSPGQSDRTSQVSDGPESWPLPVLSQQPRQISVLLQKLHSGDMVHENVNRGCKEQQRQIQMILMLGDIPRLLDLIWSWIAPSEQDQDVFRPHGDPQMIRFGAHLVLVLRFLLAEEMKDIFREKIMNVGDLILHMYAMFLFSMQHEELVGVYASQLASHRCIDLFVHMMELRLNSSVQVKYKIFLSAIEYLPFSPDNDSKGSFEEIIESVLLRSRDTKIGSSDKLSDAVEQQRLQSLQKAMVVQWLCFTPPSTIANVKDVSTKLLLRALAHSNILFREFALISMWRIPSMPNGAHKLLSLLAEPLRQHSETFSALEDNGVLENLKEFQDWSEYFSCDATYRNWLKIELENNEAPSMDLSMEEKQRSIVAANETLDSSLSLLLRKESPWLGFAEDHLFESMEPVYLELHATVMLCLPSGECLCPEAATCTTLTSALYSSVTEQIILNRQLVVNVSIASGEGFCIEIVLRCLAAPGDGLGHREVNDGGILGCVMAAGFKGELRGFQAGVTLEVLRLDALYSNEDGSLKDPAAYIVQGLCRRCCLPEVILRCMQVSVALMELGFEPKCHDNLIELVGSSESGFSDLFSQQQFEEFLILEREYTLRKMEVGELSS >KGN44892 pep chromosome:ASM407v2:7:15027587:15027983:1 gene:Csa_7G394610 transcript:KGN44892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMNAVKGLVAEKPVVIFSRSQCSMSYTVKTLISSFGANPTVYELDEIPNGHQIETLLLQLGCQPCVPAIFIGQKLIGGARELMSLQVRNELMPLLMSARAIWV >KGN44842 pep chromosome:ASM407v2:7:14660579:14662701:-1 gene:Csa_7G390210 transcript:KGN44842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPFFSSFQNPSHFSFFPLNLALNILHSFRPLSTSSSPGKPKWNSLSNVFISNPTLLILQSCSSMFQLKQIQAHITCTGLMNQIFPASRLLAFCALSDSGDIHYAHLIFDQTELPNCFFWNTMIKGYCKANHPSMGFSFFRQMIRNRAEFDSGSFVFALKACGQFAEKIVGMAVHSVIWKRGFDSDLFVQNGLIQNYVETGCLGFARQMFDESSVKDVVTWTTMINGYARNNWLDEAIALFNSMLSSDVKPNEVTMIALLSACSQKGDSEMGKTLHEHIRRKDITCSLNLLNAMLDMYVKCGCLTTAREIFNNMERRDVFSWTSLLNGYAKNGDLGSARKLFDEMPERNIVSWNAMIAGYSQNSQPMEALELFHNMVDVVGLVPTEDTLVCVLSASGQLGCLEMGQEIHCNHVNKIGIQVSLILKNAVMDMYAKCGSIDAAAKLFHSMPEKNLVSWNSMISAYASYGHAKKALTLFDQMIGSGLKPDHITFIGVLSACSYGGFVSEGQAHFESMENCFGVEPKREHYACMVDLLSRVGLLKEAYELISRMPMEASEGGWGALLDACRKHGNVEMAKLAGEKLLELDPEDSGIYSLLANICADGKKWKDVRMVRRMMRERGVKKVPGHSLIEIEGKFHEFLVADTSHTRSSEIYRVVNELLLLSSLIIDFEPLEND >KGN43144 pep chromosome:ASM407v2:7:6422:8535:1 gene:Csa_7G000020 transcript:KGN43144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSLKQQRPETTWTPKQNKLFEKALALYDKDTPERWQNIATAVGGKSADEVQRHYEILLEDLRRIESGRVPIPNYRRTSNRDEELRLLKYLKLQ >KGN44331 pep chromosome:ASM407v2:7:9283998:9286495:1 gene:Csa_7G257840 transcript:KGN44331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGKGEVSATSGLLFPLIESVEKPKRNRFSYVCATIASMSSVLLGYDIGVMSGATIYIQEDFKLSDVQVEILVGIVSFYATFGSAAAGRTSDMFGRRYTMALSAAFFFLGAILMGFAPNYGLLMAGRFVAGIGIGYSSLIASVYTTEVSPASARGFLSSFPELFLNVGILLGYVSNYAFSSLPLQLGWRFMLGIGFVPSVFLAVLVILVMPESPRWLVMQGRLGEAKQVLIRTSDSIEESFQRLDDIKAAVGISASCIDDVVDIPKQISHGSGVWKEFLYPTPAIRHILITAIGVHVFQEATGANAAVLYSPRIFEKAGISSSDQKLLATVAVGVVKTAFILVATVLFDRVGRRPLILMSLGGMAASLITLGVGLTIIERSQEESTWLVGLCVAMVLTDVAFFSMGIGPMCYVSSELFPLRLRAQGMSLGMVVNNVMSGTVSITFLSLYNAITIGGAFFLYAGIAIVGWVFFYVLFPETRGHNLEHVEKLFGNLLWKFSPKKYDDSFVDDVETTQNA >KGN45504 pep chromosome:ASM407v2:7:18621471:18624367:1 gene:Csa_7G450620 transcript:KGN45504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGGAVHNRSVEDVFSDFRGRRNGVIKALTVDVEEFYQQCDPEKENLCLYGFPNEVWEVNLPAEEVPPELPEPALGINFARDGMQERDWLALVAVHSDSWLLSVAYYFGARFGFDKSDRRRLFNMINDLPTVFEVVTGIAKKQVKEKSSTANGSKSKSSFKSREAEMQGMYARQSQAREEVETLYEEDEDEHGDTLCGACGENYASDEFWICCDICEKWFHGKCVRITPAKAEHIKQYKCPSCSNKRSRI >KGN43981 pep chromosome:ASM407v2:7:5264348:5268527:1 gene:Csa_7G075610 transcript:KGN43981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMVKGNKQQISDGDAQISLKQEILQLEEQLQSQFATRHALEKAINFQPLSLYSATEDAIPEAEMELIKQIAVLELEVVYLEKYLLSLYRRTFNQQVSSFSTMDDRLESYIEPNNVIEGEHSCIHSDHIGSPETLFDNQSKGRNVVEEPENLSHLHRSNSSLSQRSLGSSRNYSLSKSMAKAVDSYHSFPLSMLEQSRIDVPSSTSLGEHLGACLSIRVDESPNWLSEEMIKSISAIYRELAEPPLMNHNNPSPISPLSSMYELSSQDFGSMRNYEKSLNSHFENPFHTEEFIAPYDTMLKVQWISRERKNDSDINHMLQGFRSLIFRLKEVKLKAMKHDEKLAFWINVHNTLVMHAYLQYGISKHCLKRISLILKAAYNIGGHIISVDKIQSSILGCRLPRSGQWLHLFLSSKTKFKVNDVQKSFPINHPEPRLYFALCCGSHSDPAVRIYTAKRVNEELEVAKEEYILSNLRVHKGQKILLPKIVESFAKDSGLCLEDLENTVECLRSKRRINDIQQRQRKKLWKSIGWIPHNFTFSFLLPNELSCQSLSR >KGN44463 pep chromosome:ASM407v2:7:10446247:10446734:1 gene:Csa_7G298790 transcript:KGN44463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRLAGEICGDHAVEENQKSMRMEVLVMAVEIELRRLEALKMAEDVDLGDLFSSRLIGLERNMDDDVHLRPKEKSWVLVVGLSFHNGPIMMIG >KGN43782 pep chromosome:ASM407v2:7:4106507:4107189:1 gene:Csa_7G067370 transcript:KGN43782 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phloem filament protein MAGILAGLDGGLGFGFSPFSLKIGGGIDLGSKRPGGCKSHDPSKKWVICPNDQVVEAAKFAVAEFNKKYGNNLVFQVVLEAWVFTNPCGKKEYSIELVVIDGCLNRVLKFHAVVTETPCAARKRTLVSFDQIVD >KGN44526 pep chromosome:ASM407v2:7:11360951:11371857:1 gene:Csa_7G325180 transcript:KGN44526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQEEEDLRAALRMSMQQHSPPEPKRSKPRDSPVGPPTSSSEESPEVKSRRLQRELMAAAAEKRMLASKSYSEAVGSSSKSVKKGKDSSVKDENLGKELLETEANQLFSMVFGDEVSKEILAQWSNQGIRFSPDPETSMGLVQHEGGPCGVLATIQAFVLKNILFFPDEFGKVASNMAQNLGSSRLSSSECVASNNFAALTEDVKRRALIRSMSEILFLCGANRNAVIATLSVPGNSVVGSGHIAENETNAFEGLSIESGLDLQKVLRVTTCTSQESALQRLGAALPVFQSRMGALLFLISALLSRGLDMVQADRDDPSLPLVTAPFGHASQEIVNLLLCGQAVPNVFDGKMDLGGGMSLKGISKSVEVGFLTLLESLNFCKVGQYLKCPKWPIWVVGSESHYTVLFAFDTSVQDENELEERESQIRKAFDGQDQSGGGGFISVEGFHQVLREVNIKLQPEKLDHLCSTGFIVWSEFWQAILELDKNFGGLKDSTGSMGKKVFDLYHFNGIAKSDLNGNQIACGGETPIQRPRLTKLKVSVPPRWTPEEFMADVPVSSASAGNESASKDVEVSKPEPSQHAPLVDCIRTRWPRAVCSWAGDPPSIV >KGN44714 pep chromosome:ASM407v2:7:13521314:13528014:-1 gene:Csa_7G374560 transcript:KGN44714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESEDKKFGRGPRELTGAVDLISHYKLLPHHDFFCKKSLPLSISDTHYLHNVVGDTEIRKGEGMQLNQLIQNTSYPRETNARIQPFDQDILIEAFQLRETGPVDLPSAEKGVPTIPGKSKSESKDKDRKHKKHKDRDKEKDREHKKHKHRHKDRSKDKDKDKKKEKSGHQDSGADHSKKHHEKKRKHDGEDDINDIHRHKKSKHKASKIEEMGVIKSSF >KGN43243 pep chromosome:ASM407v2:7:711687:716769:-1 gene:Csa_7G011830 transcript:KGN43243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLAWHDAGTYDVVTKIGGPNGSIRNEEEFSHGSNNGLKKAIDFCEEVKSKHPKITYADLYQLAGVVAVEVTGGPTIDFVPGRKDSNICPKEGQLPDAKKGAPHLRDIFYRMGLSDKDIVALSGGHTLGRAHPERSGFDGPWTEDPLKFDNSYFVELLKGEAEGLLKLPTDKALLEDPEFRQYVELYAKDEDAFFKDYAASHKKLSELGFTPGSAKAIVKDSIVLAQGAVGVAVAAAVVILSYLYEVRKKSK >KGN44177 pep chromosome:ASM407v2:7:7613477:7625403:-1 gene:Csa_7G212660 transcript:KGN44177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQGSNFTLRNSYCIEPTSAEVFNRMNDLHSPRIFHCTFGSFFLECCFPPPPRCGAKNIARPRRRSLMKPGPFFLKKKKSYVLRPQSAGFGSFFLVLCFPPPHDARVKESYETWTLLFKEGLFDFVGVQTPEDILNAKRLIFPGVGAFAPAMDVLNSKGMAEALCTYIENDRPFLGICLGLQLLFESSEENGPVKGLGLIPGVVGRFDSSNGFRVPHIGWNALEISEDSEILDEISNRHVYFVHSYRAMPSDKNKDWISSTCSYGDKFIASVRRGNVHAVQFHPEKSGDVGLSVLRRFLFPKSTITKKPTEGKASRLAKRVIACLDVRANDQGDLVVTKGDQYDVREQTEENEVRNLGKPVELAGQYYKDGADEVSFLNITGFRDFPLGDLPMLQVLRYTSENVFVPLTVGGGIRDFKDANGRHYSSLEVASEYFRSGADKISIGSDAVYAAEEYLRTGVKTGNSSLEQISKVYGNQVRMERSMHGTSVQLMEVEKVDLLELMS >KGN44985 pep chromosome:ASM407v2:7:15544131:15545988:-1 gene:Csa_7G405910 transcript:KGN44985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRRLNIPNNLYPFQYKVLVVDYDYTHLLALDNTFTSLGYQVLTSQNPEHAMAMILNGNTRIDIIVCGIPCSNIGAFNLIRAAKWEFNIPVVLMLSDLNDKVTMREIANGAAQCVLAKLPYTDCQALKNLWQYVASTRSDDYYSSVYVPQIESTSRIEPSFNVVRDTPTTYGREPGSSSNPNTSLDKSHYHVTTEYGGGFNNCSDPNLDLKKREENSEGLDNDHHHHTIVDRKRRFNWTEEYHRIFLDGIDDITLKAISKVVPANITKYMHDRGVSQIQREHVASHLQKYRLNIKKDTHGSGDFANSDVVTNKQTIPKAQNVQQETPPITQPSNEIDNWWDTLEDLAIGLENKSTSSIWPDFNDELFKENNIIPTWRMENFYQPPQLGDQEK >KGN45530 pep chromosome:ASM407v2:7:18791543:18795341:1 gene:Csa_7G451360 transcript:KGN45530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKVGKVPLPSLNLSTLFLLLLLISSVHSFYLPGVAPRDFQTGDILPVKVNKLSSTKTQLPYDYYYLNYCKPKKITNNAENLGEVLRGDRIENSVYTFKMREEQSCTVVCRVTLDADSAKNFKEKIDDKYRANMILDNLPVAVLRQRRDGNPSTTYEHGFLVGFKGNYAGSKEEKYFINNHLSFRVMFHKDPDTDLARIVGFEVTPNSINHEYKEWNEKNPQLLTCNKDTKNLIQGSTVPQEVDTNKEIVFTYDVSFKESDIKWASRWDTYLLMNDDQIHWFSIINSLMIVLFLSGMVAMIMMRTLYRDIANYNQLDAQDEAQEETGWKLVHGDVFRPPINSGLLCVYIGTGVQIFGMTLVTMIFALLGFLSPSNRGGLMTAMVLLWVFMGLFAGYSSARLYKMFRGTEWKKITLKTAFMFPGILFSIFFVLNALIWGEQSSGAVPFGTMFALFCLWFGISVPLVFVGSYLGFKKPAIEDPVKTNKIPRQIPDQAWYMKPVFSILIGGILPFGAVFIELFFILTSIWLNQFYYIFGFLFIVFVILLITCAEITIVLCYFQLCSEDYHWWWRSYLTAGSSALYLFFYSVFYFFSKLEITKFVSGILYFGYMVIVSYAFFVLTGTIGFYACFWFVRKIYSSVKID >KGN45467 pep chromosome:ASM407v2:7:18376797:18384846:-1 gene:Csa_7G448780 transcript:KGN45467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSFKISQKGKRFHPKPFITQSGSTVLDDDDSKDGSRVVLKSESSLARKLEGEENERNGEVNGVTGSSLGRLIPENGVSFTLNLFQDGYSIGKPSEIEPTHLSTLQDNSKLLPYDRKSENLFSAIECGRLPGDILDDIPCKYFDGTIVCEVRDFRGRPPGQGPGAQSTDGLPIVNKIHLRMSLENVVKDIPLISDNSWTYGDLMEVESRILKALQPQLNLNPAPTFDRLCNSPVPMKLNFSQYSERRKRLRQLSEVSISSNSRYGKKICLDRVPENFNTRLGDSGAVSGNLNAHDNVAGQNMILNEMMASRPKNFTSDSTLPAQSAVSVSQSRYSMGSGTPRGMLDQAAGSVLNPSGVSPSGQDMISYVDNLNPNVSLHAKRETQDGQMSPLSSFNKRPRASLMGIDGIQQHPLASMESPQGSDMNWKSMLQQQAIARGMQYSNPGVQKFSPQMFEGVLNQDSVQIPFATGQSAMRYGAKEEQFDSEKMDGSDPSRNKTDMQMMETENHLDPQHQRVQQRPPPQAFIRSNLSQPPWNNFGQHVEKEARKEDQLSKRKSVQSPHVSAGAMAQPSLSKSGEFSSGGSGGPHYGVPGNISALASAQKDKPGINPVSHVGGTPSLTSSANDSMQRQHQAQAAAKRRSNSLPKTPAISAVGSPASVGNMSVPLNANSPSVGTPPFADQSMIERFSKIEMVTSRHKLNLKKSNTNDYPIRKSSTYSAHNVATLLATSSINDGLKDDAGLRKMSKSLIGGSLNACKRRVLTFMLQDRTPPGMDSYVTRLRSRVILSEKPNDGTVAITYEDIDDSVFLAIEDCLPTLPNTLLADLLAGQLSSLMVHEGYDLIEDIIQLRPTRINPSANNQTNAAGHPHINPAAEMQTYGEAFPSQTSNEVPKPSGSGNASLLNASHNLLGNARMLPPGNPQAMQMSQGILAGVSLPARPQQVEAQASMQQQQQQQQPQPSQLQNQQSLTQPQHQQFQRQVMLGPNPLSHLNAIGQNPNVQLGTNMVNKSSIPLHLLQQQQQQQQSQMQRKMMIGTVGMGNMNNNMLGNLGSSIGVGATRGIGGTGLQAPMGSIPAMGNAGQNPMNLTQASSFNNALNQQFRAGTLTPAQAQAYKFRMAQNRGMLGAASQSAITGIPGARQMHPSSGGLSMLGQTLNRASLTPMQRAVVSMGPPKLVTGMNPYMNQQQQQQLQQQIQQQQQQQKQPPQQQQLQPQQLQHPETTTPLQAVVSPQQVGSPSTMGVQQLNQQQQQQQTASPQQMNQRTPMSPQQMSSGTIHALSAGNPEVCPASPQLSSQTLGSVSSIANSPMDMQGVNKSNSECVTVELPDHWYVVEFSPVLSL >KGN44201 pep chromosome:ASM407v2:7:7911099:7918085:1 gene:Csa_7G221330 transcript:KGN44201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLPQFLYHQRDQSRYISPPPPPPPPSASLPPHPFFPEDPSFPFPPNHHHLLHNHPDQPLDFPLPPPPPSSYRHHPIHPPPSPQPPLAYNPSQPHFVVDNHLPINDDPFRSPPRRREFQRSPPLSGRISFDGGFHRDFVDLNHPYHDSRFDVSDPSRVTVDNRPPLPHSPIDFEHGMGHREIDHRSGIPYPPPDMFRYNSGNCSRRGADYDDSYQPNPREEVLRGRGEENYCHHDHHKEDSNISFMECGASRSPLSRDKFTSGSFDKHRYGSNYEKESFRSRRNGNVVGKNQRWVHSKQTFRNMHNSYLDGSNDRHGDRTDFRNISGKHGHSNAELGKYYYDNKGGMEGYNEYTSTPRKQVQKKSAFLRIQMANPCHSNRESEQLRDSEYFDEKSSFLRGKSQVRSLCYRMDSGKKREGSPMELDVSFKSNSLVAKAIVAPTQSTPISDVETRHVNEKTDSTNSHLTGQNKVDSGANDVTNLVTCPPDIKNELKDLEEKATGPLVGNGSNNLTDASSVKGSYSLRKTNVERPSQGKVSDVEGKNVSGKAAMVRTMKKKKVVRKVVKKVASSQLGLQTRKGADDPPVKVGSLTNIPPDVTGSGKDLEVSENKISTSGKNSDRGFVSKAFQPDMSGSLDNRKGDQSVLPVASKKCQANTVMGMECVPADKSNKNRLGSPLNSLTKEGRGTSDHLETNSSFIAIPPLLNSSKNLLLQNGHNKLDFGISKGIEDSSFENVSGSKTTMVFLGGSQSGSLSPNDPNLLDDLVNENNLTVRIDTPMDFDNGVTQFEDNTPLSETFDVDGICKRLYTNKVTRPLETDVVGVSAGKVTIKNPLVGVNPEASEIQVDSLNLEVDNSDQHIKRNSNDCHQCTNILGGEVFNCERVGISRVQECVGSSSVSLGFSLEECSSKVKDPTLSGEGEKLLSKVSELDFAGPNDIDQEINSENLCESFNSEGHWPSEQDISGLGSKLMWENPTTFGEGANLDYTSLGKLPKNKLLMGFDVDNTGTEVSLKTRKKQKICIDSPVLSCPSGEINDGAAITFISSLSDQLNSNGELMEGKKVAVSTVDAFFTASTVSTDCLKGMSMVLDDIPTKETAIEVNIERNPLECLLKYEQLEKNPCSIQVSTVSKCQSLSPSLGYEQGVTGATLMATNQSDDMDVVTDRRKELNIHAAEGQSTICNKTEQWDSPSEVPSSQTLDRPNPESVKSSSNVGQDNLHHIEISFDEKGYPAANSDREIKGSMFDTRGHLGSSEASNVPEMHKLSCEASFSHTDSKMDCADDEKVKKKSNVENELKASTDTPFSQPLAVHRKLGCTNSVNILSTGNILPQALEALKNGLQADNNSSNSCKKEQKMSYYKSQAFPAKSFSTYPASKNLTSAAYSKKPRSWHRNVNSPSPALGNKPSLSSIPPQGQLHGGGGMLQSTSYIRKGNSLVRKPSPVGARVLGSHDLSSSSLDQHDCWPGVKSNNKVEVTNSCFHSKARGTDANVYKTYPPRLSSGSESPNYSVPVGDCAPSPCHETESNLMKSKHVSDLSKSVGDSLKILPAPKSQVVTADKKENLAEMKNTNSVSLVLKKMVYVKRKSNQLVATSNPCDLSTKNSETTCSLGSDGYYKRKKNQLIRAPSECQTKQTLLPTEDISKPGAQSSYGDGDARNFNKRRQFKALLETHGPSKSSLVWTLCSSVAAGNGAGNLQNHKMAPRLFPWKRTYWKMFKLNASTQRNSSTIVRKLLLLRNRNTVYKRSKHGFSLRKSKVLSVGRSSLKWSKSIEKHSKKANEEATRAVADADRKKRERNWDPSVSSDTLGGSQFSCKSVGYNFYEIVF >KGN44140 pep chromosome:ASM407v2:7:7280968:7284106:-1 gene:Csa_7G201920 transcript:KGN44140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDLETRRIRNICILAHVDHGKTTLADHLIAASGGGLIHPKMAGRLRFMDYLDEEQRRAITMKSSSIGLRYKEYSINLIDSPGHMDFCSEVSTAARLSDGALVLVDAVEGVHIQTHAVLRQAWIEKLAPCLVLNKIDRLICELKLSPMEAYTRLLRIVHEVNGIMSGYKSEKYLSDVDSILAGSSGELGANVSALKKALWGPRYFNPKTKMIVGKKAMAGGSKARPMFVQFVLERLWEVYGAALETDGNKEVLQKVNSTFNLTIPARELSNKDPKVVLQAIMSRWLPLSDAILSMVVNCMPDPIAAQSFRISRLIPKRDIIDTGVDTNVLTEADLVKRSIEACDSRPEAPFVAFVSKMFAVPSKILPRSHGETTSVFTDDGGDGESDECFLAFARVFSGFLFSGQRVFVLSALYDPTKGESMHKHIQEAELHSIYLMMGQGLKPVTSVKAGNLVAIRGLSHHILKTATLSSTRNCWPFSSMAFQVAPTLRVALEPSDPGDIGALLKGLRLLNRADPFVEVTVSARGEHVLAAAGEVHLERCIKDLKDRFARVSLEVSPPLVSYKETIEGEASSVLDYFKVLSESTDCVTKKTPNGRCIVRVQVLKLPPALAKVLDENSDVLGDIVGVKLGQNYKNLETKRSSLMENENPTEVVKKLIADAACTDLSSKDDHEGSRVDKHNALWSKLLKRIWALGPQQIGPNILISPDPKVKDPDGSVLIRGSPHVSQRLGFVDDSLNGNLDPKTSLEGDMSSAASPEGTQTQCMEAASLENSVLSGFQLATSAGPLCDEPMWGLAFIVDVSISSLSGNSDESESPFQPDNNAIFSGQVMTTVKDACRAAVLQKKPRLVEAMYFCELNTPTEYLGPMYAVLARRRARVLKEEMQEGSPLFTVHAYVPVSESFGFADELRRWTSGAASALLVLSHWEELCEDPFFIPKTEEELEEFGDGSSVLPNTARKLIDTVRRRKGLPVEEKVVQHATKQRTLARKV >KGN44355 pep chromosome:ASM407v2:7:9518052:9518543:1 gene:Csa_7G268520 transcript:KGN44355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFTASFSESQLTWEMEIRPPFVVIVGLVIPPLSSLVQLDITNNLATVSNSWEDEHGWNISYRRNLKEEEFEDWLTLTNLLSTVNPTRDKWTWNVDKNGNFSFYSLLDAI >KGN45545 pep chromosome:ASM407v2:7:18879496:18880735:1 gene:Csa_7G452000 transcript:KGN45545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTEKLLNQIMELKFTSKSLQRQARKCEKEEKSEKLKIKKAMEKGNVDGARIYAENAIRKRTEQMNYLRLSSRLDAVVARLDTQAKMTTINKSMASIVKSLESTLATGNLQKMSETMDQFEKQFVNMEVQAEFMENAMAGSTSLSTPEGEVNSLMQQVADDYGLEVSVGLPQPASHAVPTKETEKVDEDDLTRRLAELKARG >KGN43293 pep chromosome:ASM407v2:7:1086935:1088584:1 gene:Csa_7G018750 transcript:KGN43293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGTSQEGSKRPISKPPPLSSPTNDPNNIINESSSSSIHPSLNPLTESSSSSSSSSPLSSQPEESHTPTHVSKAVQIPTFVSEKLRRVDLKHSIRLFTPQEIASATKNFSPLMLIEEGVCFKMYSAKLEDGQFVAVKVQTKQFSSEDLLREIEMLCGLKHENIVKILGCCNREEIRAVVYNHRLKGNLMQNLKKLKWTDRVKVAIGVAKALKYLHHSCSPSIIHRNVKSSNILLSDHSQPQLSNFGEAMVRPAVQEDSTQVDIGKFSYLAPEYLMFGKVNEKVDVYSYGVMLLELITGKLAVQTEPTNRRSLVFWARSLLSCNLAEHLIDPYLKEDYNHDVMEMMMIIARLCLLHSSSRRPTMETIVKLFEEPEYLKKMQRGKEDLLTVLTPKAEKGLWKNEESALQDTTKTEQNYGFSDNLNVT >KGN44600 pep chromosome:ASM407v2:7:12305641:12307290:-1 gene:Csa_7G341200 transcript:KGN44600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTLQKLTTIHLFCPSLSTFAPFLASQDNPIDIGSIAAIFGLDPSSLKLNGHFLSRGRDLISSVTWNSLLSFFSTKRLPIGSSQHEALLVDGKLSKIGAKRVHGSREFVSGDHYEADEEYGDVNVGRIKPEGNLVKSKKMKFMDLGTKHMDSPSSKFSPNSCKRKQQMEEVILLKKLKLNETKSGFDGLSDGGVSDTPNVGQRMTYSCSFNSNMKRMREEETLVSVLCKRSR >KGN45013 pep chromosome:ASM407v2:7:15734543:15735481:1 gene:Csa_7G407660 transcript:KGN45013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSICAPSTSQDPDCIPSYSLCLNYVLLGSPYGILFDPNLKFVRDSFFPNSISILQFRKIHPFIKMNPSSSQIFMVVALPYTPNSSSPLDLCFDAINTSGSRNRMIDDVKKKMKRMQSNRESARRSRMRKQKRFENLTREVRELQIVNSRIVESVNGREEAMVEIESMNNFLRVEAIEMTCRLRALDLMLQIQDDANVVVVDVRDPWLEPWQLNQQSQPPPPLMAAYYDMFLV >KGN44264 pep chromosome:ASM407v2:7:8473099:8473928:-1 gene:Csa_7G237300 transcript:KGN44264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQYRNQEISMGYPAPPPSYAAGEQAKEGKSGPYVAAPPPVGYPVRDGPQYPHQTNFDAQTQSRGDGFWKGCCAALCCCWVLDCIF >KGN43155 pep chromosome:ASM407v2:7:149676:150491:1 gene:Csa_7G004080 transcript:KGN43155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKNRRLKDGADKGAAAGDHCPSTVFVNNFPYSFTNSQLEETFSDVGPVRRCFMVTQKGSTEHRGFGFVQL >KGN43887 pep chromosome:ASM407v2:7:4750136:4751554:1 gene:Csa_7G072740 transcript:KGN43887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKQFPSHVFLVCYPGQGHINPTLRLAKKLAVEGLLVTLCTAAHFRETLQKAGSIRGGDQLTPVGNGFIRFEFFEDGIIEINPKDMSLDRFIAQLELSGRLSLVDLIKKQTAENRPVSFMVLNPFFPWTYDVAEELQIPYAVLWVQSCAVFSIYYHYFHKSVPFPTEIDPTVDVQLPILPRLKNDEIPSFLHPKKTYGILGKAMLSQFGKLSLAFCVLIDTFEELEKEIINYMSKIIPLKPIGPLFLISQKLETEVSLDCLKAEDCMDWLNSKPPQSVVYVSFGSVVFLKQEQIDEIAYGLCNSGFSFLWVLKPPSEFFGKQRHSLPEEVAEKIGERGKIVQWSSQERVLSHESVGCFVTHCGWNSSVEAVANGVPVVAFPQWGDQVTNAKFLVEEYGVGVSLSRGAEVNELITRDEIERCLSDVMTGGSTGDNVFRQNALKWKKMAAAAVADGGSSARNFQDFVDNIRQK >KGN45210 pep chromosome:ASM407v2:7:16962831:16966579:1 gene:Csa_7G431420 transcript:KGN45210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPDPEDEIKDEKNPRPLDEDDIALLKTYGLGPYSTYIKKVEKEIKDMAKKVNDLCGIKESDTGLAAPSQWDLVSDKQMMQEEQPLQVARCTKIINPNSEDAKYVINVKQIAKFVVGLGDKVSPTDIEEGMRVGVDRNKYQIQIPLPPKIDPSVTMMTVEEKPDVTYNDVGGCKEQIEKMREVVELPMLHPEKFVKLGIDPPKGVLCYGPPGTGKTLLARAVANRTDACFIRVIGSELVQKYVGEGARMVRELFQMARSKKACIVFFDEVDAIGGARFDDGVGGDNEVQRTMLEIVNQLDGFDARGNIKVLMATNRPDTLDPALLRPGRLDRKVEFGLPDLESRTQIFKIHTRTMNCERDIRFELLARLCPNSTGADIRSVCTEAGMYAIRARRKTVTEKDFLDAVNKVIKGYQKFSATPKYMVYN >KGN45364 pep chromosome:ASM407v2:7:17733371:17733641:-1 gene:Csa_7G446810 transcript:KGN45364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESSQVQSTMAYNVLTQGQELRSQSGLPIQTLQYLPTILLRWASSSTPTAA >KGN45176 pep chromosome:ASM407v2:7:16722303:16723410:-1 gene:Csa_7G429620 transcript:KGN45176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSATITATAAAERHTYWCHECDMSVTLVSPSSSSSSSSSSSSSSSLVCPHCLTDFIEHMDFTIPTSSSSISDNPNSSSPPTDSDPSSFVFVDPLPITSDDNYLLNSPQFLRLFQHLADSSESDFVPSVPFNPFTPIKASVMAIPTIKVTSALLDEDPVLICAICKDQFLLEVEAKQLPCSHLYHPDCILPWLSNHDSCPLCRFKLPSDDPSDRVRCRTSALLRARDLMHQEDSYGLRTTLELMARRHSSISSEGIHVDSFQSPTQFGVAMMESGEQTDSVETVSSVATDDGIVIVNSNGDENGFLGMDGPITEDAGTVVQDIGVSPSSFVSA >KGN44738 pep chromosome:ASM407v2:7:13697017:13708585:-1 gene:Csa_7G375800 transcript:KGN44738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTPGGDDAIDIDRTTLLLEPENNTEGGLSVPGKDKPVFRPPERRSHLGLDVLANAKRGGSNDNGFKIPQQSIASFVSSMEEEDTIESSGVTDSGKEAIPRSHSVKNRNYREIASNDSNEGNTLAEDRITGSSFKSRNSNETSDSSVTTMSSKSTHASRYRSPRQDYDNHDRERKEFDNDSRSNNRRARHGHGDGDEPYYGRSRYQRDFGRENERKRSRYESSRRTPGRSDWDDGRWEWEETPRRDGRSEETPRRDGRSNSSRHYQPSPSPMYVGASPDARLVSPWFGGNTPNSTGSSASPWDQISPSPVPVRASGSSVRSSSTSYLSKTHHLKFSSRSSPLAEDSQQDSQADKSELNGSKHEISENMRLEMEYNSDRAWYDRDEGNTMFDADSSSFFFGDDAAFQKKEAELAKRLVRRDGTKMTLAQSKKLSQLTADNAQWEDRQLLRSGAVRGTEVQTEFDDEEERKVILLVHDTKPPFLDGRVVFTKQAEPIMPIKDPTSDMAIISRKGSSLVREIHEKQNMNKSRQRFWELAGSKLGDILGVEKTAEQIDADTAAVGDEGEVDFKEDAKFAQHMKKGEAVSEFAKSKTLAQQRQYLPIYSVRDELLQVIRENQVVVVVGETGSGKTTQLTQYLFEDGYTTNGIVGCTQPRRVAAMSVAKRVSEEMECDLGDKVGYAIRFEDVTGPSTIIKYMTDGVLLRETLKDSDLEKYRVIVMDEAHERSLSTDVLFGILKKVVAQRRDFKLIVTSATLNAQKFSNFFGSVPIFHIPGRTFPVNTLYSKTPCEDYVEAAVKQAMTIHITSPPGDILIFMTGQDEIEAACFALAERIEQLISSTKKGVPKLLILPIYSQLPADLQAKIFQKAEDGARKCIVATNIAETSLTVDGIFYVIDTGYGKMKVYNPRMGMDALQVFPVSRAAADQRAGRAGRTGPGTCYRLYTESAYLNEMLPSPVPEIQRTNLGNVVLLLKSLKVENLLDFDFMDPPPQDNILNSMYQLWVLGALNNVGGLTDLGWKMVEFPLDPPLAKMLLMGEQLGCLDEVLTIVSMLSVPSVFFRPKDRVEESDAARERFFIPESDHLTLYNVYQQWKQHQYRGDWCNDHFLHVKGLRKAREVRSQLLDILKTLKIPLTSCWPDTDLVRKAICSAYFHNAARLKGVGEYVNCRNGMPCHLHPSSALYGMGCTPDYVVYHELILTTKEYMQCATAVEPQWLAELGPMFFSVKESDTSLLEHKKRQKEEKTAMEQEMESLRKIQVESEKENKEREKEKRRKQQQQISMPGFRQGSGTYLRPKKLGL >KGN44113 pep chromosome:ASM407v2:7:6989568:6991002:1 gene:Csa_7G193730 transcript:KGN44113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALDKTLQESHINFTTPTTSYLCVSISHQKPTSFHFFSKTLSQALLCLSTPGNPSHVRLLTPARSGSNDVVTRYKNARLNLTILNGLGASVLHGVDATKMKYHTDLHMRKFDRIIFNFPHAGFFGRGDSHLMIRMHNRLVRHFVKNASRMLRVNGEIHVDHKTKPPFSDWNIVQLAYQNSLTLIGCADFNIQDYPGYHNKRGQGNRCDCPFFLGECSTFNFSINHSAKRTPRLLHINNTLDIQRNLPYPEIPISSHSHLPYPTSFESSY >KGN43786 pep chromosome:ASM407v2:7:4119365:4121401:-1 gene:Csa_7G067410 transcript:KGN43786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQNNLLIHLFFLVPFATSLSFNFTSFNQGNADMIYDRTFPTNQVIELTGDSSNNNMNFVGRATYSQPLHLWDEGSGNMSSFQTHFSFAINSRGRANYGDGLTFFFAPNGSILQANISRGSGLGIGYDPELWNGTATFFAVEFDIYSNNFDPPFEHVGIDINSMKSIAYSRWKCDIMGGKRNDVWINYDSDTHNLSVVFSGFENNNTLLQHLHHVVDLRLNLPEWVTFGFSASTGYEYATHSVYSWSFHSTLELTLEPTFTTDPNSVASAPSPGPSLPPNNNDGSTSKTGLEIGLGIAGGVIFVGGLVIVWIIVWKKMAAMKNIEEEIMLDDSEFEKGKGPRRFLYKELARATNNFKEDKKLGEGGFGGVYKGFLRELNCNVAVKRISKGSKQGIKEYASEVKIISQLRHRNLVQLIGWCHEKDELLLVYEFMPNGSLDTHLFKPNNFLTWELRYKIGQGIASALLYLHEEWEMCVLHRDIKSSNVMLDLNYNAKLGDFGLARLVNHGKGSQTTALAGTLGYLAPECATTGRATKETDVYSFGIVALEIACGRMPFNPNVEEEKMVMVEWVWKLYGCGKVLDAIDSKLRKEIRSFGDEEKMMECLMVVGLWCAHPDSNARPSIRQAINVLNFEAPLPILPSHLPAPTYDFRPIASSSTSSSTTQSGVASLASNSSNL >KGN43150 pep chromosome:ASM407v2:7:107465:107749:1 gene:Csa_7G004035 transcript:KGN43150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEYASKNVKNMSPNTGQHVGNHVERRILNAKVYRRWERAIPDASMDNVRIGSFLTSSFNVGSATFRRGVGKVSLTIFFRRRGMVQKSDTVLRR >KGN44556 pep chromosome:ASM407v2:7:11752536:11766985:1 gene:Csa_7G330400 transcript:KGN44556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEALELARAKDTKERMAGVERLYELLEASRKSLNSAETTSLVDCCLDLLKDNNFRVSQGALQALASAAVLSGDHLKLHFNALVPAAVERLGDAKQPVREAARRLLLTLMEISSPTIIVERAGSYAWSHKSWRIREEFARTVTSSIGLFASTELTLQRAVLPSILQMLNDPNPGVREAAIVCIEEMYTQAGPQLRDELQRHHLPTYMVKDINARLEKITPQVRSSEGLTGSFAVGDMKPVNISSKKNSPKAKSSNREVSLFGGESDVTEKQIDPVKVYSEKELIREIEKIASILVPDKDWSIRIAAMQRVEGLVSGGAADYPSFKGLLKQLVGPLSGQLSDRRSSIVKQACHLLCFLSKELLGDFEACAEMFIPVLFKLVVITVLVIAESADNCIKTMLRNCKVSRVLPRIADSAKSDRNAVLRARCCEYSLLILEHWADAPEIQRSADLYEDLIRCCVADAMSEVRATARVLYRMFAKTWPERSKRLFSSFDLVIQRLINEEDGGIHRRHASPSVRDRGTMMSVNSQTSTGSSLPGYGTSAIVAMDRSSSLSSGTSLSTGLLSQSKTSVDGSERSLESVLHSSKQKVNAIESMLRGLDLSEKHNGNLRSSSLDLGVDPPSSRDPPFPQALPASNHFSNSSTADLTASNTNKVRSRQGGLGLSDIITQIQASKGSGKLSHRSNVVNEPLSTFSSYPAKRVVDRHQERGFVEENSDIREVKRYITPQTEKHYLDVSYRDGNYKDSHNSYIPNFQRPLLRKNAAGRMSATRRRSFDDSQLPLGEMSSYVDSPASLSDALSEGLNPSSDWCTRVGTFNYLQSLLQQGPKGIQEVLQNFEKVMKLFFQHLDDPHHKVAQAALSTLADIIPTCRKPFESYMERILPHVFSRLIDPKELVRQPCSTTLEIVSKTYSTDSLLPALLRSLDEQRSPKAKLAVIEFAINSFNKHVVNSDGFSNNGILKLWLAKLTPLVYDKNTKLKEAAITCIISVYSHFEPAAVLNYILSLSVEEQNSLRRALKQYTPRIEVDLMNFLQNKKERQRPKSLYDPSDVVGTSSEEGYVSMSKKSQFFGRYSAGSLDDESGRKWNMNQESTLVTRSIGQATSDELRENLYHNFDSGSSNDVINMKTKDVHYLENSTQQNLGSRTSLVDNVDNSVNIDDLSSLHLVNGENDDDHLGITENIAYNDEAALELESHQHKTVTVNTMVDTGPSIPQILHLISTGNSESPSASKCSALQQLIETSISSDPSIWTKYFNQILTVTLEVLDNSDFSVRELALSLITEMIKNQRDSMEDSVEIVIEKLLHVTNDIIPKVSNDAEHCLTIVLSQYDPFRCLSVIAPLLVTEDEKTLVTCINCLTKLVGRLSQEELMSQLPTFLPALFEAFGHQSADVRKTVVFCLVDIYIMLGKQFLPHLEGLNSTQLRLVTIYANRISQARTGTTIDGNHD >KGN43221 pep chromosome:ASM407v2:7:576486:579599:1 gene:Csa_7G009170 transcript:KGN43221 gene_biotype:protein_coding transcript_biotype:protein_coding description:EF-Hand containing protein MDPLPSSPSSSSKPQVLDGSEIMELVANNHLFSSFVDHKFHDLDTDKDGKLSLQELHPAVADIGAALGLPPQGTSLDSDNIYSQVLNEFTHGSRDKVSKTEFKEVLSDILLGMAAGLKRDPIVILRMDGEDLLEFINSSAYEPEMVATFSEINLPEGSLQDYIVKAFEDLTVEQGMPPPSDSWVMSDIIEPALESCAAGENWDKPVSQEIFLLAFKRAAVHIAQRLKEQPVIVAHSENTFDGSSIRRLLSNKFELDKSLNAALQTVPRDKTGKLPKEHLQLALDLVAPLAGLPPLGALDEMDKLLLDVFKMVDADDGKVVKEEEFKKLLTEILGAVMLQLEGNPISVSSNSVVHEPLACSSTLLTPPS >KGN43955 pep chromosome:ASM407v2:7:5139117:5141811:1 gene:Csa_7G074880 transcript:KGN43955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRSALTVGPGMDIPIMHDSDRYELVRDIGSGNFGIARLMRDKQTGELVAVKYIERGEKIDANVKREIINHRSLRHPNIVRFKEVILTPTHLAIVMEYASGGELFERICNAGRFSEDEARFFFQQLISGVSYCHAMQVCHRDLKLENTLLDGSPAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLKKEYDGKIADVWSCGVTLYVMLVGAYPFEDPEDPKNFRKTIQRILNVQYSIPDYVLISPECRHLISRIFVADPTKRITIPEIRNHEWFLKNLPADLVDENTINSQYEEPDQPMQTSEEIMQIIAEAGLPAAGTQSLNQYLTGSLDIDDDMEDDEESDADLDLDIDSSGEVVYAM >KGN44437 pep chromosome:ASM407v2:7:10206717:10207346:-1 gene:Csa_7G290600 transcript:KGN44437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLACLVCNSVESPSHSFRSYSVSSSDNEGRCSAMANCLIWRPSFPPTHHPSYAASAKVTPQPTMSDSDVMDGTPRLVRSRAVRRDLVRDWNFDEVVVVQH >KGN44564 pep chromosome:ASM407v2:7:11906789:11907937:-1 gene:Csa_7G336430 transcript:KGN44564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGISFKDQLLVFLAVSTLALVCSKPLSPWEIHIKNGLSDGQALFVHCKSKDSDLGERTLSTGAEFKWNFKVNIWDTTLFWCYLRKPKGDQVRFEAFWVEKKTRWLRVKCDGNICNWIAEDTGIYLKDNSTNEDERIHYWKFRETK >KGN43747 pep chromosome:ASM407v2:7:3841144:3845451:-1 gene:Csa_7G064570 transcript:KGN43747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIPAHVAFIMDGNRRYAKKKKLAEGAGHRIGYLALTFMLKYCYELGVKYVTIYAFSIDNFRRSPEEVQGVMDLMLEKVELLIREESLVNQYGVRLRFIGNLRLLSAPVRDAIERAMEATRNNNKAELSICIAYTSTDEIVHAVERSCEEKWNEMNSKTANGVGYGFGKLGVANNGDNSITLADVEKHMYTAATPDPDILIRTSGEARLSNFLLWQTSSCYLYSPSALWPEINFCIKVLVLLLSVIIFRYHQYNFERSSLCSDMSSKFGHWRTLLLQLFRKTDDSSSTYRRRRVSEPLQASSSSSSSSVAMVPFGSTTYMSSLLRSYCCRPSWMRREKEESKDEDRPSISTFFFFVPPNWAKWIFGALLSLLVPNWNKLQRIEDEAEMVIEEAENVAEVVEKVAELTEKVSTKICEKLPEKSKLKEAAEVVESYSKEIAHDAHLTQDILHKVEEWKLKVDKSKIDTNEPNKEEIRQIRANK >KGN44450 pep chromosome:ASM407v2:7:10283951:10286851:1 gene:Csa_7G291700 transcript:KGN44450 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dead box ATP-dependent RNA helicase MGGTGRTLLSLSVSTNLLISSRLSPPKCLPLLKIPKPFRNFSGFRPISSATAATTSTESTETIQVIEPLKHSQLLERLRTRHLKESAPKTKPTRNTLSQSVGSAEDEMKKSEKKKKKLDESFEELGLNEEVMGAVREMGIQVPSEIQCIGIPAVLEGKSVILGSHTGSGKTLAYLLPLVQLLRRDEELFGRLMKPRRPRAVVLCPTRELSEQVFRVSKSISHHARFRSTMVSGGGRLRPQEDSLSNPIDMVVGTPGRVLQHIEAGNMVYGDIKYLVLDEADTMFDHGFGPDIRKFIGPLKHRASSHDDQGFQTILVTATMTKAVQKLIDEEFQGIVHLRTSTLHKKIASARHDFIKLSGSENKLEALLQV >KGN45214 pep chromosome:ASM407v2:7:16998807:17000475:-1 gene:Csa_7G431950 transcript:KGN45214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGEAKSGGASGGAGGFRSRMEHYLYSGDKKHVAAGIVIFGIIFGIPWALMNRASIRYAQALSCLPLAIGTRWIRI >KGN44569 pep chromosome:ASM407v2:7:11952541:11953136:-1 gene:Csa_7G336480 transcript:KGN44569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLFIALLLALVATHALARPITPGTTFDDQKNFLTYGGLGGYSGIGSNGLPFGGMGGVIGGTGPGGLGGGLGGATGIGGLGGPGGGAAGGLGSGAGGGVGIGGGSGLTNP >KGN44126 pep chromosome:ASM407v2:7:7132265:7140637:-1 gene:Csa_7G198310 transcript:KGN44126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAPLLLSFSLFLLPLLFFAFIFTRTPNPHFTPPIKLPKSYPIVGSFFALFANRHRRLQWLSDVLQISPAATFTLHRLFGQRQFFTANPAVVQHILKTKFHIYQKGDSFRSIFTDFLGDGIFNADGESWKFQRQVSSHEFTTKSLRKFVETVVDAELSDRLVPILHTAASSCCVLDLQDVLQRFAFDNVCKIAFGYDPAYLSPSFVQSKFAKAFEEAVRISSLRIQSLIPNVWKLKKFLNIGSEKQLRIAVAEVRGYANKIINDKKAELKANSSIDAVDLLSRFLTSGHSNHNFNIDIIISFILAGQDTTSAALTWLFWLLAKHPQVETRIFEEISQKSEHLFGYDEVKDLVYTHAALCESMRLYPPVPVDGKQAAADDVLPDGTVVRKGERVAYHPYAMGRMEGIWGKDWAEFRPERWLESGGDEAGAVKWRFVGRDNYTYPVFQAGPRICLGKEMAFMQMKRIVAGIVKRFRVVPAAEEGVEPRFVQYMTAKMEGGFPVRIKVREGSE >KGN43695 pep chromosome:ASM407v2:7:3508961:3511254:1 gene:Csa_7G059660 transcript:KGN43695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLTKVNQGKHQPHAVFVPYPSQGHISPMLKLAKLFHHKGFHITFVNTEYNHRRLLRSRGPNSLDGLPDFHFRAIPDGLPPSDGNSTQHVPSLCYSTSRNCLAPFCSLISEINSSGTVPPVSCIIGDGIMTFTVFAAQEFGIPTAAFWTASACGCLGYMQYAKLVEQGLVPFKDENFMTNGDLEETIEWIPPMEKISLRDIPSFIRTTDKDDIMLNFFIEQFETFPKANAIIINTFDSLEHHVLEALSSKLPPIYPIGPINSLVAELIKDDKVKDIRSNLWDEQSECMKWLDSQQPNSVVYVNFGSVTVMSPQHLVEFAWGLANSEKPFLWIVRPDLVEGETALLPAEFLVETKERGMLADWCNQEEVLKHSSVGGFLTHSGWNSTMESIVGGVAMISWPFFAEQQTNCRYCKTEWGNGLEIDSNVRREDVEKVVRELMEGEKGEDMKRNAKEWKRKAEEACKIGGSSPTNLDRVISEILSSKEKSNLNSQN >KGN45299 pep chromosome:ASM407v2:7:17381639:17384413:1 gene:Csa_7G433290 transcript:KGN45299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKIRRARSLSLEKSRTSPYPVSQNHARSCKNANSLESAQNVKEWEEARCPICMEHPHNAVLLKCSSYDRGCRPYMCNNSYRHSNCFEQFCKLHGQIPLITELQEIPFTHVVSHRQMVECPPSGQYGHGRNQLASEFVCPLCRGSIYGYIIVEPARQYMNSKVRSCSSEICDFSGTYSELRKHARSEHPYVRPSEVDPVRCRDWVRWQHERDFEDVLSLVQSNYAVDSDGETFTRAFRFWTSSFVTDMYDSLMDLLSDSMLDALRDLESLQNRMDNVQRDLNSNISTIVGNNSSLETRPYSQYARRRDFGSSPSHHMPRATQLHGQNQRQRVVESMSQSRRLRWRSQTSFDRHQRSFEGTSHTPEPRRQHGSMYKSSPRASRLRWRNQRWSSSSSSNRNER >KGN43722 pep chromosome:ASM407v2:7:3679274:3685204:-1 gene:Csa_7G062880 transcript:KGN43722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARALSTITSTLGGFQLWNEIDDSDHWQKGIYYALSASYALISLIALVQLIRIQIRVPEFEWTTQKGFHLMNFIVNGLRAILFGLYKSVFLIKPKVLEMVIMEIPGLLFFSTYTLLVLFWAEIYHQARSLPISKLKPTYCIVNGVMYIIQICIWIIVMLEHSPGAVIVAKLFFSVVSFSAALGFLIYGGRLFVMLRQFPIESRGRQKKLYEVGCVTTICFSCFFIRCFVLALSAFDKDADLDVLDHPILNLIYYMLVEVVPSALVLFILRKLPPRRISDRYHPIE >KGN44746 pep chromosome:ASM407v2:7:13776214:13778880:-1 gene:Csa_7G376370 transcript:KGN44746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVSKEKPKEMVMKSPPRLFRRKKWTKFDRNVAFYFLSLHLLCILAPFYFSWTCLLLALGLSYLTGMGISVSYHRNLAHRSFKLPKWLEYSLAYIATHAIQGDPIDWVSTHRCHHRFVDSARDPHSPIYGFWFSQMTWFFDSYNLTRKVCPNYFNNYQKVERNIFMFYMKHGYPDNVTDLEKQKFYRFIHKTYWLHPLALSLLLYAIGGLPFIIWGMCVRFVYSLHVTSMVNSVCHIWGNQPWNTGDLSKNNWMVALLTFGEGWHNNHHAFEYSARHGHEWWQIDYGWYLIRFLQVIGLATNVKLPSEKQKLAALNKSKPIFLKLMID >KGN43235 pep chromosome:ASM407v2:7:645261:649628:-1 gene:Csa_7G009790 transcript:KGN43235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGVEVVDGLHDGEKKFVGDGVSRDKVDETVVVGSHESKDTEGEDVFEEALDGKDHLIEQSPKYGSVNGNIAEEEEINGFTSGVTSNHPNGAHDEEKFEEAIEASRVNENPLVEEQDVNSDKETECLDGKLVDNAVVASIIDERGTEEEAVTSELNETKDDELDFSRNDSKINTLENGASPEVVVLKDGDEDDLKYGSKSTKSENNDSNDLNVTLSSDDELVNKSADLVGGTNLDSTSEFLTENRDHVELNGKSLGTEFSNHVEKTEEPLNVPVVDLDNLDITNAEPRDDSLHVDLELPNNESEDIKEATTSIEPKKDDNKNEESSSACMTTTNQDHRNEEVTTADENHRMEEVKNDSIGKDSEKQSRESHELNGTTSDDQHEPVGENEISLETVKDISASEKIADEKIEKIQDRESDVKVKEDNTSRHQHPVDSSNNGPDILGVEKTGSKDKVGQDKTQVNRDTETQPASIIASSSGKSTNPTPPARPAGLGRAAPLLEPAPRVVQPPRVNGTVSHVQMQQIDDPVNGDAEENDDTREQLQMIRVKFLRLAHRLGQTPHNVVVAQVLYRLGLAEQLRGRNGGRVGAFSFDRASAMAEQLEAAGQEPLDFSCTIMVLGKTGVGKSATINSIFDEVKFSTDAFQMGTKKVQDVVGTVQGIRVRVIDTPGLLSSWSDQRQNEKILLSVKRFIKKTPPDIVLYLDRLDMQTRDFSDMPLLRTITEIFGPSIWFNAIVVLTHAASAPPDGPNGTASSYDMFVTQRSHVVQQAIRQAAGDMRLMNPVSLVENHSACRTNRAGQRVLPNGQVWKPHLLLLSFASKILAEANTLLKLQDSPPGRPFTPRSKSPPLPFLLSSLLQSRPQVKLPEEQFGDDDGLEDDLDESSDSENESEYDELPPFKRLTKAQVAKLSKAQKKAYFDELEYREKLFMKKQLKEEKRRRKMMKKMAAEAKDQRSDGSENVEEDAGGAASVPVPMPDLALPASFDSDNPTHRYRYLDSSNQWLIRPVLETHGWDHDVGYEGINAEKLFVVKDTIPISFSGQVTKDKKDANVQIEMTSSIKHGETKASSIGFDMQTVGKDLAYTLRGETTFINFRKNKAIAGLSLALLGDALSAGFKVEDKLIANKRFRLVVTGGAMTGRGDVAYGGSLEAQLRDKDYPLGRSLSTLGLSVMDWHGDLAIGCNVQSQVPVGRSTNLIARVNLNNRGAGQVSFRLNSSEQLQIAIVGLLPLLRKLLGCYQYWQDGQ >KGN43515 pep chromosome:ASM407v2:7:2383028:2387095:-1 gene:Csa_7G043570 transcript:KGN43515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKNSFLCSLTFLFLVLSFSCINGEDPYRFLNWNITYGDIWPLGVKQQGILINGQFPGPQIEAVTNENLIINVFNSLDEPFLISWNGIQQRRNSWQDGVYGTNCPIPPGQNFTYVLQVKDQIGSYFYFPSLALHKAAGGFGGFKIQSRSIIPIPFPTPAGEFTVLAGDWYSKNHTDLRAILDGGSDLPSPDGLLINGRGLNGNTFTVEQASVSGPPPGGPTFQIDWSLEQARSLRRNLTANGPRPNPQGSYHYRLINVTRTIRLHSTAPIINGKQRYAVNSVSFIPADTPLKLADYFKISGVFSLGSIPDYPTGGDAYLQTSVMNADFRGYAEVVFENPEDVVQSWHVDGHNFFVVGMDGGQWIPASRLTYNLRDTISRCTVQVYPKSWTAVYMPLDNVGMWNIRSENWARQYLGQQLYLRVYSPVNSWRDEYPIPSNALKCGRAIGLNTPPL >KGN45521 pep chromosome:ASM407v2:7:18721870:18724458:-1 gene:Csa_7G450780 transcript:KGN45521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYKGIYHFFYQYNPKGAVWGNIVWAHSTSTDLINWEPHDHAIFPSQPSDINGCWSGSATILPGEKPAILYTGINPKNQQVQNLAVPKNLSDPYLREWVKSPKNPLMAPTPQNHINVSSFRDPTTAWLGRDGEWRVIIGSKIDARGLAIMYRSKDFVRWNQVDHPLRYKDDMGMWECPDFFPVAKTGRTGVEMKVSGSHVKHILKVSLDDTKHDVYTVGTYNWKKDIYIPNKGSIEGYNGLRYDYGKYYASKTFFDSQKKRRVLWGWVNESSSVDDDIKKGWSGVQAIPRAIWLDASGKQLIQWPIVEIQKLRNNKVKLTNEVLKKGSTIEVKGVTAAQADVEISFKVENLKKAEILKPEWKDPQLLCSQKGTSSTTEGGSVGPFGLLVLASNDLKEYTSVFFTVFKKPHKYVVLMCSDQSRSSLHSNNDKTTYGAFLDVDPTRENISLRSLIDHSIVESFGIKGKGCITARVYPTLAIGDNVSLYAFNNGTDSVTITKLTAWSMKKAHIN >KGN44597 pep chromosome:ASM407v2:7:12279658:12283470:-1 gene:Csa_7G339690 transcript:KGN44597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFHEIHSWTLSGIVRAFLDLAVVYFLLCVSATMFIPSKILKVVGFCLPCPCTGFYGNHNTNLCFHKLVVNWPKRKIYLVLDLVKNMFPFDLILMDDEKVGNSNRNLLRENGISRLQSEVCCSTAPRLQNLVDNDGEHDGKGKKIMYQKPRTKIRRRRRATIDNGKLSKGVCEENETRKGREFVALVERQDFITDDGNESNHLDLGQRIWQGFESSGSLGENSYMNKGSSTVGQGTSKAEERAIIRNEASTIRLLELALEEERTARASLFVELEEERAAAATAADEAIAMITRLQNEKASFEMEARQYHREVEEKFSYDEEKMNILREILVKRDIDYHVLEKEIEAYRQMDFAEEELLKGNQDFILDEHTELSATAHYSNGDPPIVYPIGNAVSLSRRAKLNELRDNSLLSDHIAIDAAPHCGGFEKSFLSRGALQNLEHITHAVNDLGDSILDMEIDVQDIHVIDEKLHMEGTKVERRKFDN >KGN45032 pep chromosome:ASM407v2:7:15841642:15843356:1 gene:Csa_7G407840 transcript:KGN45032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVAREVEALQRSHSVKGIGIGIDIMGPCFAEISACMIICGLDNKMVIVQFGSIQVMGQFLSQLIEYCGTQSNTQHTNVSRILSTCFGIFFLCHNFIENLNYFLMVRD >KGN43575 pep chromosome:ASM407v2:7:2762319:2762555:1 gene:Csa_7G046140 transcript:KGN43575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEQQQYTTNFNSNSSIKTNFSIYPNHTSSKSSSSSSKYPTKFSHNGSVSQGEKDKIKKAEESLRTVMYLSCWGPNS >KGN43763 pep chromosome:ASM407v2:7:3984620:3987824:-1 gene:Csa_7G066210 transcript:KGN43763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIEKEVLRSGTGPKPAAGQLVTVHCTGFGKNGNLSEKFWSTKDPGQKPFSFKIGQGAVIKGWDEGVLGMQVGEVARLRCSPDYAYGPSGFPAWGIQPNSVLVFEIEVLSAQ >KGN44035 pep chromosome:ASM407v2:7:5933356:5934516:1 gene:Csa_7G122360 transcript:KGN44035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIIYNVFTVLPADFKLFPGEVERVHFNCFPSIGEIKVDGFMVKQNSNFYHLPDTLLTKLTSRLEVMQFLHVKARPLNGFIEPCIPKNADCSPNLNYVTYPTERRGYLGTACKSKIRINGKKHTRKRFQRQNCLSRLVGRGILAWNTKRKRKITNKKQVLEHMEKNSDTEGLIKDVKNHNGLIGTLSYHCVSIDEVRTTKQRLASSSSVEAATDSELSSEAMSVSSIIKRYFSSYDRESSISNQSRYDITRSQPGEYLKMKNMGRGPSSLPLKVPFKPCPQKDERSKLGKRLVMASYNLGISPIGGRPEISLCNAKGKKFQEMMTLAKSSCFEISDSEN >KGN45306 pep chromosome:ASM407v2:7:17403255:17405023:1 gene:Csa_7G433350 transcript:KGN45306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLRKGDQVEVLNKKEVSSGSWSCAEILSGNGRSYSVKFLSSDEAVEKVPRKAIRPCPPPFQGSNDWDAGDLAEVFHNSLWKHAKIITIVGVNSYIVRILGSPLDIMVGSSNLRMRQAWHDGRWILLGKSMEESGSLSRNRQIEPNMVRRKNRQLVAGPAGSRKRLLPSEFINHEVFVQKRKVAENVVRCLPSIAITTNMYSTQELNTVRLSSNLPTENTGVTTGDAGLREGTLVPGTSTHIHADSCTSSVGSNIFTDDFFNVPFVSVARRVKKVEDTDYCSDAESTTGRGDEEEEPCSYEEVLVRSHRSELSVFRSFIRALYASGPLSWEDEGQVSNIRASLHISNDEYLMELRNLMSANTRATI >KGN45082 pep chromosome:ASM407v2:7:16163415:16164993:-1 gene:Csa_7G420750 transcript:KGN45082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNVPKTKKTYCKSKECRKHTLHKVTQYKKGKDSLAAQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLRLQCQGCKHVSQHPIKRCKHFEIGGDKKGKGTSLF >KGN43370 pep chromosome:ASM407v2:7:1504540:1504853:-1 gene:Csa_7G027860 transcript:KGN43370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFWRERWSSLAFPLKKPEKTQKLPLDMSFNFYSPHSFAQFLKLLGSRNSNALLGSVTDSLVCAEKS >KGN43565 pep chromosome:ASM407v2:7:2687685:2690057:1 gene:Csa_7G045540 transcript:KGN43565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIFSCFHAHNHNHKVKKMGQQSPEAMQKSLQDLSQSNVSKNAPQSTTPNQLLIKTGNAKQDINRAYHMTSIIENSRMANETCRLKKSQSLGSMPYGDGLAGADNDTEEGRVLSCDRSQCRLEISDSEKARGLGISDRFKDTVASDSIRANSGAVIKEEIFSIDDPACREMEGGDNAGSMLSFDGDNRNYTPSTTQMIVKSCSMPNFDASSPVSGGSPCKDFLPPSRSSDDLQLFVPRHGEISLHEMEVQVNGSQSREDIVHENEKIYYENFSDDGKDSYHDVERDWKTSVVDEINPREALQEESESPVYYLNELPTNDFRMKRIEEWVSDLQHCNSCDETTEVYESAVNEVKRDSSIETGSSVGRVDSKVTAGMEAAKRYISSMNAAATTAQLANHGLVVIPFLSAFGSLKVLNLPANSIGKITAGALPRGLHSLNLSKNNIANIEGLRELTRLRMLDLSYNRICRIGHGLASCSSLKELYLAGNKISDVEGLHRLLKLCILDLRFNKISTAKSLGQLAANYNSLQVISLEGNPAQKNVGDDQLKKQLQSLLPHLVYYNRQPTKGGTLKDGTDRSVRLGISSHQLEHGARPDHKSVRKIAHSHRSQGFVSPRRSKLRHGNVHALPPTGSKVNGSTRQHHRLEMSSRRLEYKSDSLMRRSRSEGTLADL >KGN44422 pep chromosome:ASM407v2:7:10058212:10058766:1 gene:Csa_7G290460 transcript:KGN44422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPNALFYFFSNFHQFSSSLIDPSPHFPLIANTSFVSSFLSSLSLLPIVASKSLHLFLVVALPLLPSAPPTILPTCSKTSLSTSFLAIILQQTRLADEITASDYHRPCRCYCHSLSHPRQTSSLRYQKFPQSPYRLGLLRSHKTPQDLQRLFFPYTSFFPFGPLPLSFTTFLSSSFSTFDIPPI >KGN44626 pep chromosome:ASM407v2:7:12564276:12566063:-1 gene:Csa_7G351890 transcript:KGN44626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADYFMHAVQYDAYGGGAAALKHREVGVPSPKKNELVVTVEAASINPFDWKVQKGMLRPLLPSKFPFIPGTDVAGEVVAVGVGVNKFKAGDKVLAMINPFNGGGLAEFSIVKDSITAHRPPEISASEAAGLPVAGLTAHQALTHLDEVSGRQPNILVTAASGGVGHYAVQLAKLRNAHVTATCGARNIKLVKGLGADEVLDYTTPEGASLSSPSQRKYDIVVHCATGIPWSRFEPNLSPSGKVIDITPGFGTLLSYGLQMVSFSKKKLVPLLLNPKGKDLEYLVKLMKEGKLKTVIDSKYPLSEAEKAWAKSIEGHATGKIIVEP >KGN44973 pep chromosome:ASM407v2:7:15467631:15468061:-1 gene:Csa_7G404810 transcript:KGN44973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFFKKEEYHYFSFERTRAITSRSPQPPPPLHKKSPYEGEIQHLRRSKNNCDHTLIFECSVNSAAAPNVREAKTTINSKQAAQMYGGINIVDFWTKKPATTTGEFRRLY >KGN43785 pep chromosome:ASM407v2:7:4115966:4118216:-1 gene:Csa_7G067400 transcript:KGN43785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIISISSYLLPLFFFFIYILSFFSTLTLANSLAFNFSSFDSSNTHIFYEKAFPSNRTIKLTGETVNKNQNFTGRATYFKPFHLWDKPSGNLSSFQTHFSFAIDSEGAERYGDGLTFFFAPNNSRLDAEISKGSGLGIGYNPSLTNLTYSSFFAIEFDIFSNFFDPPQKVEHVGIDINSMSSVAYSIWKCDIKSGRRTDVWINYDSATLNLSITFTGYENNKTILQRLNHDVDFRLTLPEWVTFGFSAATGTLYATHNIYSWDFKSTLNLNSDSNLAPSPGQGSKVGLVVGVGVGVGVVFLVCGLIIVWICFLKKRKKRMKMNWEEDVVLDDSEFEKGKGPRKFSYSELARATNNFWEDEKLGEGGFGGVYKGFLRDLNSYVAVKKVSKGSRQGIKEYASEVKIISQLRHRNLVQLIGWCHERGELLLVYEFMSNGSLDAHLFKENNFLTWEHRYKVAQGIASALLYLHEEWEKCVIHRDIKSSNVMLDSDFNAKLGDFGLARLVDHAIGSQTTVLAGTMGYMAPECAISGRASKESDVFSFGIVALEIACGRRPYNPNVEEAKMVMVEWVWELYGNGRLLEAADTKLHGSFENEPQQRQQIECLMVVGLWCAHPDINCRPSIRQAIHVMNFEASLPVLPLQFPTLAYHHHPLSVNRPIISSSFSSTQDSVVSQSTGNGFNSTNVLTTSQETTTSSTSFSASTSLLNTR >KGN43924 pep chromosome:ASM407v2:7:4919989:4925471:1 gene:Csa_7G073600 transcript:KGN43924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRTFARRAASSSSSPSNSWLSLFPQLLLPRKREFCIAVLPDGVDRSSDNFARNSGAMDGFISELRSHINKVLAGGGPEAVKRNKSRNKLLPRERIDRLLDPGSSFLELSQLAGHELYDEPLPSAGIITGIGPVHGRLCMFVANDPTVKGGTYYPITVKKHLRAQEIAAKCNLPCIYLVDSGGAFLPKQAEVFPDRDNFGRIFYNQAVMSAQGLPQIALVLGSCTAGGAYIPAMADESVMVKGNGTIFLAGPPLVKAATGEEVSAENLGGASVHCKTSGVSDYFAQDEMHALALGRSIVKNLHMAGKEGLINGQQNINQGFKEPLYDVRELRSIAPTDHKQSFDIRSVIARIVDGSEFDEFKKLYGTTLVTGFARIYGQPVGIIGNNGILFNESALKGAHFIELCTQRNIPLVFLQNITGFMVGSRSEANGIAKSGAKMVMAVSCAKVPKVTILVGGSFGAGNYAMCGRAYSPDFLFLWPNARISVMGGAQAAGVLSQIEKSNKKKQGIQWDKEEEERFKAKVIEAYEKEGSSYYSTARLWDDGIIDPADTRKIIGLCVSASRNRAPEDTKFGVFRM >KGN43180 pep chromosome:ASM407v2:7:341997:347210:-1 gene:Csa_7G006300 transcript:KGN43180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIFLKISDKYLKVSAQRPHKIHSVNITYSSIYFAYEYFRVSKSAKSDAHHPNRSKLSPLRSSSHRRSFHRRLIAPFWGTEDLLSIYVLFSAVLVMNQFYALGFFTTRFGAFRRLINRRGTLYRLYKMYFDGYGYRGTSFEQSYQCFSTSFIDKPHLETGDRIIMPPSALDRLASLQIDYPMLFELRNDAVERVSHCGVLEFVAEEGMIYMPYWMMENMVLQEGDLVRVKNVTLPKGTYVKLQPHTKDFLDISNPKAILETTLRNFSCLTTGDSIMVAYNNKKYYIDIVETKPSNAISIIETDCEVDFAPPLDYKEPEKLVAPTKGKEQVAEAPDSEAEPKFSAFTGTGRRLDGKSSNHQPQPISSSRSADAKQSGGSSRYGHAQQSAGSSSSVNGIRQSQGKLVFGQNANRNPKETPKEASKESKKDEAEKDNPKFQPFAGKRYSLRG >KGN43208 pep chromosome:ASM407v2:7:515850:516652:-1 gene:Csa_7G009040 transcript:KGN43208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRLPSIVLAKPSLRRSTSSGNRATPKSLDVPKGCFTVYVGEEQKKRFVISLSYLNHPLFQDLLSQAEEEFGYDYAMGGITIPCNEDTFVNLIHSLNDS >KGN45432 pep chromosome:ASM407v2:7:18128802:18131504:1 gene:Csa_7G447940 transcript:KGN45432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWRWRELISFIILCILWSKPICIQGTTNPTDASALRVLYISLDSPSRLTQWNANGGDPCGQSWKGITCSDSRVTEINLSGLGLSGSLGYQLGSMTSVTNLDVSNNNFGGEIVYNLPPNLKRLNLGRNNFNKAIPYSISLTTSLQYLNISHNQLQDPLMDVYGQLTSLSILDLSFNAMSGNLPQSFSSLSGISSMYLQNNRFTGTIDVLATLPLDNLNVENNRFTGWIPEPLKNINLQKNGNSWNTGPAPRPPPGAPPASRRN >KGN43318 pep chromosome:ASM407v2:7:1213901:1214752:-1 gene:Csa_7G023920 transcript:KGN43318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSFSVNSKKSFHVRSNSLPSKPHPVVDEVSEHLCRLKASEEATSSSSSLCQKLDGLQDLHDCIDKLLLLPLTHQALIDNKSVDDLLEGSLKILDVCALAKDVLSQMKESAHELESALRRRKDGMVDIQKYLNSRKMVKKAIHMTLKGMKKTNFQKSDESSEIVSLLKEAETVTYNSIESLLLFVAGPKLPSKMSKWSLVSMLMQPKRVACKDDSTDANEVEMVDAALNSITNRKSDCFAQGEDVQNSLRKLELCIHDIEEDLESLYRRLVKNRVSFLNILNH >KGN44118 pep chromosome:ASM407v2:7:7023786:7031650:-1 gene:Csa_7G195270 transcript:KGN44118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSASVVDLIEASSGVHFSGRLLDRKQQNGHTRSLISETENFNKQPFVIGVAGGAASGKTTVCDMIIHQLHDQRVVLVNQDSFYHNLTSEELMQVHEYNFDHPDAFDTEELLSSMDKLRHGEPVDIPKYDFKSYKNNVFPPRRVNPSDVIILEGILVFHDPRVRELMNMKIFVDTDADVRLARRIRRDTVEKARDIAAVLDQYSKFVKPAFDDFILPTKKYADIIIPRGGDNHVAIDLIVQHIRTKLGQHDLCKIYPNLYVIQSTFQIRGMHTLIRDAKTTKHDFVFYADRLIRLVVEHGLGHLPFTEKQVTTPTGSVYSGVDFCKRLCGVSVIRSGESMENALRACCKGIKIGKILIHREGDNGQQLIYEKLPSDISNRHVLLLDPILGTGNSAVQAISLLISKGVPEPNIIFLNLISAPQGVHVVCKRFPRIKIVTSEIEIGLNQDFRVVPGMGEFGDRYFGTDDEEQMRPLHNSCSFK >KGN43335 pep chromosome:ASM407v2:7:1303410:1304285:1 gene:Csa_7G024090 transcript:KGN43335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPHFNSLSITTTTSSPIDLTIIPFQPSSTSSFDDSSLPNPRRPRKKRSRTQTHDHHHYSTLLTTTSKSKYTKKPDPNAPKITRPCTECGKKFWSDKALFGHMRCHPERQWRGIIPPVNYRRPVSASPNFSPNVEHSSNSFTEEDQDIANSLLMLANGPNFSISRVSDSRFECSSCKKVFGSHQALGGHRASHKNVRGCFAMARSDEEETEDEITLFGDFGRHERSFNLENEMSMMILGTENHEKRFQWENNAATDQERFVNLDLNLPAPLEDDSSSSYSSSLTLDLRLGL >KGN44472 pep chromosome:ASM407v2:7:10551029:10552421:-1 gene:Csa_7G302360 transcript:KGN44472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSKGPWASSLIAVHRFLVVVSPNTKGNSSLFTLPSLSFPSPSLSIYIFFCKCHFLIFELSPTLSTMASHSSNLECRIYEAKYPEVDMVVMIQVKNIADMGAYVSLLEYNNIEGIGKKIRRLHLTCFYEFYWKL >KGN45554 pep chromosome:ASM407v2:7:18921704:18923747:-1 gene:Csa_7G452090 transcript:KGN45554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSSLLAFLCLAVFINGCLSQTDQFPWSWGFQGSEARQQHRFHSPKACHLENLRAEEPARRIEAEAGYTELWEPNNEEFQCAGVNMVRHTIRPKGLLLPGFTNAPKLIFVVQGTGIRGVAMPGCPETYETDLRRSQSSGNFRDQHQKIREFREGDLLVVPAGVSHWMYNRGQSDLILIVFVDTRNVANQIDPFARKFYLSGRPEMMRRGEREGRSFRESTGEKSGNVFSGFADEFLEEALQIDSGLVRRLKGESDERDRIVFAEEDFDVLMPEKDDQERSRGRYIEKESENGFEETVCTLRLKHNIGRSEHADVFNPRGGRLSTANFNNLPFLRQVRLSAERGVLYSNAISAPHYTVNAHTVAYATRGSARVQVVDNYGQAVFDGEVREGQVLVIPQNFVVMTRASERGFEWIAFKTNDNAITNLLAGRVSQMRLLPLGVLSNMYRISREEAQRLKYGQPEMRIFSPGRSQGRRE >KGN45053 pep chromosome:ASM407v2:7:15990158:15991343:1 gene:Csa_7G414500 transcript:KGN45053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNQRLNGKVALITGGASGIGEETARVFAENGAIVVIADIQDELGEKVAREIGENKASFHHCDVRNEEDVEKTVKFTVEKHGVLDILFSNAAVMGPLTGILELNMEEFENTMRSNVKGVTATIKHAAGEMVKRKTRGSIICTASVAATLGGVGPFGYTVAKNAVVGVVKAACGELGKYGIRVNGVSPYGVATPMTCGSYNMSVEEAEEGTSALANLKGIVLNCRHVAEAVLFLASDESVYVSGHNLAVDGGFTVVCAAANSNPTL >KGN44095 pep chromosome:ASM407v2:7:6679888:6692885:-1 gene:Csa_7G184660 transcript:KGN44095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHHFIGPEHLSIALLATDDDGSIQLILRSLGVNVTQLVDAAISRLKGELAKDGREPSSALQWVPKKSTSKKGLPTKVSQKEKENSALARFCVDLTARASEGFIDPIFGRDSEVERVVEILCRRTKNNPILIGESGVGKTAIAEGLALSIAQADAPFVLLNKQVMSLDIGLLMSGAKERGELEARVTSLINEITESGNIILFIDEVHSLAELGASGGGSKGSGLNFANMLKPSLGRGELQCIASTTIGEYTKQFEKDKALARRFQPVLIEEPSQENAVRMLLSIREKYEAHHNCRFTLEAINAAVYLSARYISDRYLPDKAIDLIDEAGSRARMEAYKKRKELQTSILSKSPDDYWQEIKAIQAMHDMNLANKLNDGEVQSSDTSGNNALESTFSSISDNYEPVVVGPDDIAAVTSLWSGIPVQQLTIDESILLMGLDEQLKKRVVGQDEAVSAISRAVKRSRVGLKDPNRPIAVLLFCGPTGVGKTELTKVLARCYFGSEAAMLRLDMSEYMERHSVSKLIGSPPGYLGYGDGGTLTEAIRRKPFTVVLLDEIEKAHPDVFNIVLQLFEDGHLTDSQGRRVSFKNALIVMTSNIGSTSIIKGRHHSIGGFFSSEDETSSSYAGMKTLVTEELKGYFRPELLNRIDEIVVFQPLQKTQMLEILNLMLQEIKERLMLLRIDLELSESVIDLICQVGYDKAYGARPLRRAVTMIVEDPLSEALLYEDPKPGDTFVIDLDSTGNPFVKNQSNTAFPLV >KGN44319 pep chromosome:ASM407v2:7:9146382:9150029:-1 gene:Csa_7G253740 transcript:KGN44319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYISEKGWNSIIPLHLRSESGSHFCIFSRAKGSRYGPGNTPVYLNVYDLTTVNGCVYWAGVGIFHSGIQVHGVEYAFGAHDYPTSGVFEVEPRRCPGFKFRKSIFMGTTCLDPIQFREFMERNSANYNGDTYHLIVKNCNHFCEDICYKLTGKHIPKWVNRLARIGYLCNCMLPKALKTSSVPNDSNFQGYESEKKQLRSSFGCFTSISMHQREVSISSLFLHSHYKGCLPPWEMKGSRSRSMKEG >KGN43586 pep chromosome:ASM407v2:7:2819294:2819500:-1 gene:Csa_7G047225 transcript:KGN43586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCFCFLVDQTRQVRRSKPAAGFCSRCGGGASVADMKTATRFCFVPFYWRSWRAIICTFCGAIIRSYR >KGN44036 pep chromosome:ASM407v2:7:5935166:5935477:1 gene:Csa_7G122370 transcript:KGN44036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRACSPVLICICMPCRKLCTKYTGLGIEVVYAVMYRVHDFVVPNKTLLFCICLSHLSKEFSLPFKLVAAGASIVHHLPLCTIAISSPSVDLCCCNRSKIFFTL >KGN44072 pep chromosome:ASM407v2:7:6407282:6411874:-1 gene:Csa_7G162560 transcript:KGN44072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVPAKLVDVYLDLRKTIEEQVKGYTEPNAEMLLPDLHPMERHVFTLVLDLNETLVYSDWTRERGWQTFKRPGVDSFLEHLAQFYEIVIYSDQSNMYVDPVIERLDPKHCIRYRLSRAATKYENGKHYRDLSKLNRDPRKIIYLSGHASDSSLQPENSVPIKPWKCETDDTALLDFIPFLEFVARNSPADIRQVLESYKGCDIPTEFIRRSKEHQRRIQEQKQQGRIWKR >KGN45251 pep chromosome:ASM407v2:7:17177660:17182625:-1 gene:Csa_7G432330 transcript:KGN45251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHNFQISIECEEEERLGLLGIKSFFLSNDNTFKNYNNPFDSWVGANCCNWDRVKCNNDDDLTSTAHVIELFLYDLLSYDPNNNSPTSLLNASLFQDLKQLKTLDLSYNGFSRFTANQGLNKLETLNLTRNYFDNQIIPSLSGVPSMNKLVLEANLLKGSITLLGLENLRVLDLSYNRLNMVPEMRGLDGFSSLNKLEILHLQDNNFNNSIFSSLKGLISLKILSLDGNEDLGGIIPTEDLKNLKVLNLSHNQFNGSLPIHGFCEANNLIELKLRNNQIKGELSECVGNFTKLKVVDISYNEFSGKIPTTISKLTSMEYLSLEENDFEGTFSFSSLANHSNLRHFHLLGGNNIQVETEELHEWQPKFQLETLSMPSCNLNDQTASKFPTFLLSQHKLKYLDLSHNHLVGPFPFWLLHNNSALNSLDLRNNSLSGPLQLSTRNHTSLRHLQISSNNFSGQLPTHLGLLLPQVDHFDISKNSFEGNLPSSVEQMKMLCWLDASNNKFSGDLHISIFDNTSSLQFLLLANNFFSGNIEDAWKNKRNLTALDISNNMISGKIPTWIGSLEGLQYVQLSRNRFAGELPIQICSLFGLTLLDIAENQLVGEIPVTCFNSSSLVYLYMRKNEFSKPIPQGLLSSTASILKVIDLSYNNFSGYIPKWFNMFTSLQVLLLKGNELEGPIPTQLCQITKISIMDLSNNKLNGTIPSCFNNITFGDIKVSQMDIPSFSDLVVTTDTSDIDTDNGCGNVNIYSRICYMFNTYSSTVQVEVDFTTKHRYESYKGNILNYMSGLDLSSNQLTGDIPLQIGDLVQIHALNLSYNKLVGNIPKVFSNLKQLESLDISNNLLSGHIPSELATLDYLSIFDVSYNNLSGMIPIAPHFTYPPSSFYGNPNLCGSYIENKCSSPALPRDNQLYEKLELEIDDGGLIDLEALFWSFAASYMILLLGFVAVLWINLQWRQRCPLSSNTRWKVFPIQTLQPPMVQPNPFKAYCTK >KGN43443 pep chromosome:ASM407v2:7:1940261:1941864:1 gene:Csa_7G037470 transcript:KGN43443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEAAATATARHTSLHIAMYPWFALGHLTPFLHLSNKLAKKGHKISFFIPTKTLPKFEPLNLFPNLITFIPVIVPHVHGLPHGAETTCDVPYPLHNLIMTSMDLTQPQITLLLQTLKPHLILFDFTHWLPKLASQLGIKSIHYCVTSAAMIAYTLTPSRQFYKNELTEEDLMKPPVGYPSSTINLHPHEARVFASKRKWKFGSDVLFYDRQFVSFSDCDAIGFRTCHEIEGDFVNYLQFEFRKPVLLTGSVLPETLNPEALEEKWESWLLGFKEGSVVYCAFGSECTLQMEQFQELLMGFELLDMPFLAALKPPFGAETVEAALPEGFAKRVGGRGVVYGGWIQQERILEHPSVGCFVTHCGSNSLKEALVNKCQLVLLPQVGDQIINARMMGNNLRVGVEVEKRQEDGWFTKESVCKAVKIVMDEDNEIGKEVRTNHSKIRDLLLKKDLEESYIDSFSYNICDLVASMEVTSKNM >KGN45523 pep chromosome:ASM407v2:7:18733662:18736934:1 gene:Csa_7G450800 transcript:KGN45523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMKGNFLSQQQQQQIVVMDENLSNLTSASGEATASVSSANKSEFPNQYFAPQTTQQQQPPPPKKKRNLPGNPDPDAEVIALSPKTLMATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLKQRSNKEIIKKKVYVCPEVSCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKKYAVQSDWKAHSKICGTKEYRCDCGTLFSRRDSFITHRAFCDALADESARSAMALNPLLSSYNHNNNNSNSQDHQFCNNLALKRDFDDTNNSNNNNHLRVEIPPWLQPSSDHLMVGSGGQGENNDETVNPNPSSNSSSRGCGANRRSVGVGVGTPNNPNHPCELYQSSSHISATALLQKAAQMGATMSSTTTTSGSFPRPHNLLHVSTGNFGEIGLWSGDVEIGRGGGGGGGGGGGGAVSCSSSSCTDYGNKAAASASATASASASASTTFLHDIINNSLSSPSPSHPNFLQQHNSSFPDTAFAAMHHHQHHHNVPIIPTTAPASGGRSDGLTRDFLGLRPLSHGDILSLTGFGNCIVPNSSNLHPQIQKPWQG >KGN43216 pep chromosome:ASM407v2:7:547922:548245:1 gene:Csa_7G009120 transcript:KGN43216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLRRLLRRSSMNGNQRVSMVPKGYCAVYVGENQKKRFVIPITYLNQPCFQDLLNQTTEEFEYYHPMGGLTFHCSDDIFADLISHLNEQL >KGN43271 pep chromosome:ASM407v2:7:893851:895491:-1 gene:Csa_7G014570 transcript:KGN43271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSAHSHFDVSHSFRPSVRPNPLSGGAAFSTPPAHCLGSSFLGKLTPCEGVSISHIFQTPAMTATLVQAGSRADDSAPFEMSVDNALKVEAAYDMLLMRSLTQRRAGKVASSRILYADVKHIKEPKTRLMPQWLQSTRKNTRFSIETLTISDFGIQAVVYGALVLTYVNGAAIPTMMTYDGADVP >KGN45431 pep chromosome:ASM407v2:7:18116651:18118395:-1 gene:Csa_7G447930 transcript:KGN45431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESRISFHSQILTFLLIFSASSALPNSSQQDPDYLKFVSNATEFPEVDYYDYIIVGGGTAGCPLAATLSSKFRVLVLERGGVPHGNSNLMSQEGFLTTLMDDVHSRNSPVQAFTSEEGVPNARGRILGGSSAINAGFYSRADQAFFKNSQLKWDLAIVNQSYEWVEKEIVFKPNLKNWQSAVRDGMVEAGIIPYNGFSLDHVMGTKIGGSTFDELGRRHSAADLLSHATPFNIKVAIYANVERILLASTVKRRPKAIGVLYRDQIGTYHHAMLHARGEVFLSAGAIGSPQLLLLSGIGSRPYLSSWGIPVAHHLPYVGHYLYDNPRNGISILAPMPLEQSLIQVAGITESGTYLEAASNVIPFTSPPRSFFIRTPSPPVYLTVATLMEKITGPVASGSMRLASTDVRVNPVVRFNYFGNAVDLERCVNGTRKIGEILRSQAMEGFKFRDWFGRKEFRYVGPAYPEKEDEDGLVLREFCRQSVSTIWHYHGGCVAGKVVDSNYRVIGMEALRVVDGSIFGVSPGTNPQATVMMLGRHVGLQIINQRSAVTTHRVVY >KGN43513 pep chromosome:ASM407v2:7:2366812:2367433:-1 gene:Csa_7G043060 transcript:KGN43513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQNNIPSILIFCLAASISMTLLLSAEAKRTRFTIYLQDYAFGPNTTFFPIAGLPGSTLNFTDFGTLFVTDDSITTISNEGAPEIGRAQGIYVVTDKGGKNLLVLLSFVFTGGAFNGSSIEIQGTSRQFELIRELPVIAGTGKFRLARGYIRTDNFFFDPERGYSVIQVNVTLV >KGN45222 pep chromosome:ASM407v2:7:17052735:17054904:-1 gene:Csa_7G432030 transcript:KGN45222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSADEVAVVAEAPAPALGEPMDIETALQIVLRKSLAHGGLVRGLHESAKAIEKHAAQLCVLGEDCNQPDYVKLVKALCAEHNCNLMTVPSAKTLGEWAGLCKIDSEGKARKVVGCSCVVVKDFGEDHEALHIVQKHVSSN >KGN44720 pep chromosome:ASM407v2:7:13584811:13585757:1 gene:Csa_7G374630 transcript:KGN44720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRDPDPGNPSGVRLIFPDYPYAEDGLEIWTAIKTWVKSFSSIFYKDDDSVHSDEELQAWWSEIRNVGHGDKPHKSGWYEMATLPDLVEALTTLIWTATGLHAAVNSGQYAYASYPLNRPTLCYKFIPSEGTVEYAEFLSDPDKYYLEMIPGKFETALAAALTEVLSHHAMDELYLGRSSYNWTDNAKARHSFSEFSKELKNIENRIEERNRDPNRKNRSGPAKIPYKLLLPDTSNFIPKGGIRGKGIPNSISI >KGN44014 pep chromosome:ASM407v2:7:5647882:5649912:1 gene:Csa_7G103290 transcript:KGN44014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHYASSTSGSNSFGSRGAGLSLSPLSAIENLETPPIRSPQIYGTPMKVDEEVIVMDGILISSIHGEAKTVRSPLDSGSGGGGKNQYRSDICRYWEDSGTCRFGNKCQFAHGKEDLRPGRLPVRTKTKFSETYGSKFRNNHSLTGIAATTTTQSNSNLVDTITKTELSKRGLTPTSSTLKGHTNNNPTLISTISIINWSPEDDGIKIAVPGTESTKREDVNQHIHEVLYGSTTERTKKRLPVFVQICSEELQEE >KGN44121 pep chromosome:ASM407v2:7:7073689:7085571:1 gene:Csa_7G197290 transcript:KGN44121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQDQLSFMNSKLISPNKNVRGLKGLFSICNNDQPSSEEVINDHELAQRKAEEAASRRNQAAEWLRQMDHGASGVLSKESSEEEFCLALRNGLILCNVLNKVNPGAVLKVVESPVVTVQSTEGAAQSAIQYFENMRNFLEAVKDMKLLTFEASDLEKGGTSGKVVECILCLKGYYEWKQAGGIGVWRYGGTVRITSLARSSPCITESESADESVDESVDESVDESVDESVDESVDESVDESVDESVDESVDESVDESVDESVDESVDESVDESDSSQFEQLLDFLHLSNEVSVEEFRTCSALAFLFDRFGLKLLQAYLRKSDGIEDLPLNAMVIDTLLNKIVKDFSALLVSQGTQLGLSLKKILKSDLRSLSKSEFIQAISRYINQRANMASSDFSKFCVCGGKSEVIQRVPADHDDQIQGLKSEFEETKLEVKNIQSQWNEEVERLQHHLKGLEMASSSYHKVLEENRILYNQVQDLKGTIRVYCRVRPFLPGQSNQHSTVDYIGENGNIMIANPLKQGKEARRVFSFNKVYGTNVTQEKIYIDTQPLIRSVLDGFNVCIFAYGQTGSGKTYTMSGPDLMTEDTWGVNYRALNDLFQISNARLDVMKYEVGVQMIEIYNEQVRDLLVSDGSNRRYPFKQQNIRNNSQLSGLNVPDASWVPVTCTQDVLSLMRIGQKNRAIGATALNERSSRSHSVLTIHVLGRDLVSGSILRGCLHLVDLAGSERVDKSEAVGDRLKEAQHINKSLSALGDVISALAQKSAHIPYRNSKLTQLLQDSLGGQAKTLMFVHINPEVDALGETISTLKFAERVASIELGAARCNKENGQIRELKDEISNLKSTLERKDAELEQLKSGSARAFVEIQKPRAASPLRVLRHGTSGGAKPENCQPPLNDAKTFEARSYSSGKQRRPRFPSTFTEKDAIKMPLLAEERSTTSSSSGNPRSPSPPIRRSISTDRGAFIRSKVKTETNENQPIAKPSFPTRVHVNKSMASVPAIDNRGRVNISCQEHENLSDALVGIQKVMASTKKKQLVCQENNEDEQQVKQSLNTMHGGARRSRNEGKSKAKQQQLPGAAAKINNQKHPENVVTTFLTDINAAGKMEDARKSDFSEMENEHFLAGLPHDGALKVKKTRQNFPRNSQNLEPPPRMTVSGVESLLTTSKVENGNRNQTEVSEKSMSEFRRSRSTPRGKFLVIP >KGN44357 pep chromosome:ASM407v2:7:9532244:9532852:-1 gene:Csa_7G269030 transcript:KGN44357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISKKNMLVFVGVLLISTTLIEITKATTTRTRDYGPLDQGVEIVCSPEDPRVFKLHYANDESSEYNSVDDCKTLKSKTNFNGQSPNMAPQSSQSPNMAPQSSQGV >KGN43897 pep chromosome:ASM407v2:7:4790105:4791443:-1 gene:Csa_7G072840 transcript:KGN43897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILPSSFLSLLLLLSLSSSVQSLTSPSDVFALKAFKSAIKPSSIPPWSCLASWNFSTDPCALPRRTFFSCGLLCNSAATRVTQLTLDPAGYSGTLSPLISKLTYLTVLDLSDNSFSGFIPSAISSLSNLQILTLRSNSFSGSIPPSISNLKSLESLDFSHNSLTGNLPKSLHFLSLLRRLDLSFNQLTGSLPKLPPNLLELALKRNSLSGYLDKSSFSDSTQLEVIELSENYLAGTLPSWFFLLPSLQQINLANNSLTHIEISPATAGGSDLVAIDLGFNRIEGNVPVNFVTYPALSSLSLRYNRLRGVIPLEFSKKKTMKRLYLDGNFLTGKPPAAFFSGGEDPVFGSLGDNCLQGCPQSSQLCAPSQKPNAVCKEAYGNGRGRGKPMT >KGN44283 pep chromosome:ASM407v2:7:8695801:8698617:1 gene:Csa_7G238960 transcript:KGN44283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSERKSKEKRKHRKSVSSSDDDKRSKRPRAAEDEERESRRSDKREKRKEKKSHKHSKRHSEKKSKDLHKGKHHKGDHLSRAKIQELSKEDYFSKNNEFATWLKDVKNVYFSDLSSESARELFSDFVELWNDRKLESRYYEGISSGPRTSHNWKIKG >KGN44408 pep chromosome:ASM407v2:7:9932527:9937377:1 gene:Csa_7G281370 transcript:KGN44408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVVLMKEIEETAGRLGIDLSQVDFDAIRLPPGEDFGIISDDEEVLQEESLEFDSGFGNIIVVDNLPVVPPEKFEKLEGVVRKIFGQIGVIKDDGLWMPVDRTTQKTLGYCFIEYGTPQEAELAKEKTDGYKLDRAHIFTVNMFEDFDRFMKVPDEWAPPEVNPYTPGENLQQWLTDEKARDQFVIRAGSDTEVFWNDARHLKPEPVYKRTFWTESFVQWSSLGTYLATIHRQGAAVWGGAGTFNRLMRFAHQQVKLIDFSPGEKYLVTYSSHEPSNPRDANRIVINIFDVRTGKGMRDFKGSPDDFAIGGTGGVAGVSWPVFRWGGGKDDKYFARIGKNVISVYETETFSLIDKKSLKVENVMDFCWSPTDPIIALFVPELGGGNQPARVSLVQIPSKEELRQKNLFSVSDCKMYWQSNGDYLAVKVDRYTKTKKSTYTGFELFRIKERDIPIEVLELENKNDKIIAFAWEPKGHRFAVIHGDNPRPDVSFYSMRSTNNSGRVSKLATLKGKQANALFWSPAGRFIILAGLKGFNGQFEFYNVDELETMATAEHFMATDIEWDPTGRYVATAVTSVHEMENGFNIWSFNGKLLYRILKDHFFQFAWRPRPPSFLSPEKEEEIAKNLKKYSKKYEAEDQDVSMLLSEQERERRRMLKDEWDKWVNEWKRLHEEEKLLRQKLRDGEASDEEEEYEAKEVEVEEILDVSEEVLSFDFGQ >KGN44932 pep chromosome:ASM407v2:7:15279527:15283615:-1 gene:Csa_7G396470 transcript:KGN44932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFCKKYEEYLRGQEKKLKLPGFHFKRLKKILKNCSRDFQSRHRHGDGTCSAVAIHTCPDQCSVCDGTFFPFLLNEMSAIVGGFNQRAQKLLELHLASGFRKYLLWFKGKLESDHAVLVQEGKELVNYALMNAIAVRKILKKYDKIHYSKQGQTFKSQAQSKHIEILQSPWLSELIAFHINLKETKHKSKRISSAFEECSLAITDGKPSLTCELFDSVKLDIDLTCSICLEIVFDPVSLTCGHIFCYMCACSAASVTIVDGLKSANAKAKCPLCREARVYEGAVHLEELNILLSQSCPEYWEKRLETERAERVQQAKDHWESMSRAFMGV >KGN45141 pep chromosome:ASM407v2:7:16513769:16517919:1 gene:Csa_7G428290 transcript:KGN45141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRVLCKYFAHGACLKGEYCEFSHDWTAPPSNVCTYYQKGRCAFGSRCRYDHVKVSHAESCSNVQQSHGSESVSVAHSQLSRPLSPFSGSTSELASSEIPSLPLREEAAWSGEFVRPDGLDDWVNGEARVVGPADIPICSFAAAGGDCPRGENCPHIHGDLCPTCGKQCLHPFRTDEREEHLKACEKKQKHLESLKHSQDIECSVCLERVLSKTETAERKFGILSECDHPFCISCIRNWRNSSSTSGMDINSALRACPICRKLSYFVIPSVIWYSSKEEKQEIVDSYKSRLRSIDCKHFNFGNGNCPFGSSCFYKHLVIPGSYTWKYHRPPPRQPQSWRTPPSRSNIVDMDAFFEMFEDLEDLDFSDEDLDFEYLTLLEMALMQLGCEANSSSDEES >KGN45316 pep chromosome:ASM407v2:7:17438229:17442096:1 gene:Csa_7G433940 transcript:KGN45316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLVSLFFFVWGLQLFGELSSSFAQSESVDIGVVEDRTDLISNETPTYNYERYDEVQKQCKSVLSSAAELSSDTTRFIKMKEQLQFVNGDWWQDGGKYPLMPFKNVTVFSEDKYYMYNGMDSTNAEIPSKLVSFWVTDIDPAHQTKKSVSVSGLLLMGITMDTAFDRWSSEHSHYEFWPGRSELTLPFQGIYTESKKNGGERVLCLLGSGMLPSRDQESNDPWSWAKDSNFERHQMPLLQDDQILLVLHYPMKYTLTSRVVQGEMKSLNLKSNSKYFDDIHISSQLGDANYDFTSEKVVKKACTPYPYNDDFMKKNITTYRGSSFCRVLHEMTSIQAFTILPNWQCNSTDEFCRKLGPFLSDTVINSTDGGFKDVRLYMQDVKCKMQGSSQSGISVSVSAVFRAVSPSENLYTAGRRSALNNMTMVSEGLWKSSSGQLCMVGCVGLTNADKTSCDSRICLYIPISFSLKQRSILVGSISSMNDKPTYFPLSFEKLLRPTELWNHFRESRPSYSYTKIASAGALLEKTEPFSFRTVIKKSLLRYPKLEDTETYELSESFLLEDLTLHVPAAPNSALGSQASRTFVQMDIISVGSFLGRDWSRLNSSYSDVEAPYHVMPEFTEKQLLVNVSALLSISEQTNSNFSALFVEGIYDPLVGKMYLIGCRDVRSSWKVMFDSMDLEDGLDCQIEVVVSYPPTTAQWLINPTAQISISSQRTEDNSFYFSPIKIETMPIMYRRQRQDILSRKSVEGILRVLTLSLAIGCILSQIFYINHNLESVPFISLVTLGVQSLGYTLPLVTGAEALFKRRGSESNDESYDLENNLWFLVIDYIVKLQVVFSLLLTLRLCQKVWKSRIKLLRQAPLEPLRVPSDKWVLVATFFIHLIGYIAVLIVHTARTTEIRVKSYLIPNRASSSHMMQGWEKDLQEYVGLVQDFFLLPQVIGNLLWQIDCKPLKKFYFIGITLVRLLPHIYDFIRAPTVNPYFVQEYDFVNPSMDFYSRFGDVAIPLIALILAVVVYIQQRWNYEKLSQKLIVGRIRLLPSASRMYQRLPSKSYEAELASAENSNTKDEDIE >KGN43281 pep chromosome:ASM407v2:7:984603:988906:-1 gene:Csa_7G017150 transcript:KGN43281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTLKKSFKGNVSFKHTRKISAGGAGSEINHEELPILLNHQTTDHSRCLRDRHPVNDSDTSDRTEVILKIDGGGSSSAVSRSLDSAGNNGGNVWRESRYDFWNNDEIGIGESARMGDNSGVDRNEGFEFVQTGYGMEDPPTKLIGDFLCKQKIEGETTLDMDLEMEELKPNRIVPPLAESPLSQTSKDLKVSFQHDSTEISSNDQSIRRRNRDSNDLKEESKGGQSPRQQPHHERLGSPTISGVQNESLAEAMRCASNLSFHSELSFQRKSNLLRAKTKSRLIDPPAEPDRLSGLIPKSGQLRSGFLGKIEDDDDDPFLEDDLPDEFKRGNFSALTVLQWVSLIIITAALICTLSVPYLREKSLWELDIWKWEVMIFILICGRLVSGWGIRIGVFFIERNFLLRKRVLYFVYGVRKPVQNCLWLGLVLIAWHLLFNKRVEKQTNTSILNYVSRVLVCLLISTLIWLVKTLMVKVLASSFHVSTYFDRIQESLFNQYVIETLSGPPLVEIRKNEEEEERIADEVQKLQNAGIIIPPDLKAATFASIKSGREIGSGRTHKSFCAKSCKLSRALTKNRNDGITIDHLHKLSTKNVSAWNMKRLLNIVRYGSISTLDEQIRGPCLDDESTTEIKSEREAKAAAKKIFQNVAHRGYKYIYLDDLVRFMREDEVLKTMSLFEGAAENQRISKSALKNWVVNAFRERRALALTLNDTKTAVDKLHHMVNVIFGILILILWLIVLGIASSKFFIFLSSQIVVVAFIFGNTCKTIFEAIIFLFVMHPFDVGDRCEIDGMQMVVEEMNILTTVFLRYDNLKVIIPNSVLATKLIHNFYRSPDMGESIEFLVHIATPAEKITAMKHRIISYIEGNKEHWYPAPMIVFKDIDGLNKVKLAVWLSHRMNHQDSGERWARRSVLVEEVVKVCQELDIQYRLLPIDINIRSLPSSAPSIGFPSNWTSPAS >KGN44476 pep chromosome:ASM407v2:7:10575481:10576408:-1 gene:Csa_7G304870 transcript:KGN44476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSMASLLVAILIVFLTFPSSISGDYGYYFSPQPPPLLIYYTPLPPIYKSSPPLLSFYSPPPPLLYPSSPPPVYKFSPPPVYPYPPYSVYKSPPPPTYPSPPPPVYKSPPPPVYSSPPPPVHDHSPPPPVYSSPPPPVYKSPPPPIYSSPPPPVHDHSPPPPVYSSPPPPVYKSPPPPIYSSPPPPVHDHSPPPPVYSSPPPPVYKSPPPPIYSSPPPPVHDHSPPPPVYSSPPPPVYKSPPPPIYSSPPPPVHDHSPPPPVYSSPPPPVYKSPPPPIYSSPPPPVHDHSPPPPVYSSPPPPVY >KGN43814 pep chromosome:ASM407v2:7:4260700:4264573:1 gene:Csa_7G069160 transcript:KGN43814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTLLLRRWGTAASPFLPYSIIRTFRSDAALEAIARAAQDRVPNLVLYNYPSFSGAFSALFAHLYHTRLRLPSLILPFSSVAPLRVEDLYVDGLERCYFLDFLGSKGFAAAISRRPTCEVLCFDHRKSSLPHITPMEDRPKNLSIRINLEKSSSTAVYEYFSSRLVDMETSCGPVADLLELKDRSRIEMVLKYIEDGDLRRWNLPDIRAFNIGLSEWRSKLNCITNPYMYEQLLEMNSLELIAKGTDFIASRENAANKILDKSFKIRLGRGLYGECLAVRADGNSNLSDEIGKQLSMRSVAAGLRPIGAVIYMQRNNLKMCLRTTDDATDTSEVSKAYGGGGSPRSSSFMIRMDEYNAWRLVNSS >KGN44426 pep chromosome:ASM407v2:7:10103493:10103951:1 gene:Csa_7G290490 transcript:KGN44426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSSDPRILELNLRRLLCKPTSRAADHRLRPPVFVYHTCALLLNHRRRWFVVDKTHRYSLRSRPASDALAQTFAITFLSVFYKFQR >KGN43594 pep chromosome:ASM407v2:7:2858548:2858886:1 gene:Csa_7G047300 transcript:KGN43594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTCYQTRVSTEIRRSVIHVASANDTSKRPRRQRQGDHIANVGMEVSPCGGVALGEWRYESAEAESGEGKRTVEIDERRGGVWMQLIMVSGSRLWVRKGEHVGKVEPDLKGF >KGN45331 pep chromosome:ASM407v2:7:17537472:17539172:-1 gene:Csa_7G440550 transcript:KGN45331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKESLGFEITELRLGLGLPGNSNKNEKKRAFDDIVNGDHEPTRDNDDNRVGRKNSNTTSKGQVVGWPPVCSYRKRNSFGEKESSSLLHENENSKMYVKISMDGAPFLRKLDLGSHKGYSDLALALEKLFACFGTGKTLKHGESCDYVPIYEDKDGDWMLVGDVPWEMFIESCKRLRIMKRSEAKGFGLQFKDL >KGN44386 pep chromosome:ASM407v2:7:9799891:9804043:-1 gene:Csa_7G278210 transcript:KGN44386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEFRSKFLEVYSLLKSELLHDPAFEFTDDSRQWVERMLDYNVPGGKLNRGLSVIDSYKLLKQGGKLTEDEVFLASALGWCIEWLQAYFLILDDIMDNAVTRRGQPCWFRVPKVGMVAVNDGTMLRNHISRILKNHFKEKPYYVDLMELFNEVEFQTASGQMIDLITTLEGEKDLSKYSLPLHHRIVQYKTAYYSFYLPVACALLMAGENLENHNDVKNILIDMGTYFQVQDDYLDCFGLPDVIGKIGTDIEDFKCSWLVVKALELSDEKQKSLLHENYGKADTDCVARVKELYKALNLEGVFSEYERKSYEKLLKSIEVHPSDAVQAVLKSFLAKIYKRQK >KGN45450 pep chromosome:ASM407v2:7:18257282:18259905:1 gene:Csa_7G448120 transcript:KGN45450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVLEFQTPFSHRFCCKQICTLYTLKRFCFFLLFLAIFSIIAIAIAALPVIFLLKPREPIFSLHSVRLDWYNITIRSGSPILSSVFTLTLNSQNPNKLGIKYSPSRLLLIYDESAVIGTIRVPEVFQPARSGERIVRTRLLLHQLDVDLLETTREFVEMKIIGDVGVELFVLHMKVIKMKVALKCDVDIDYRKLNFREEILGNGESVGKALDSIPSNSKTMSSKCGIAFYL >KGN44130 pep chromosome:ASM407v2:7:7195504:7195937:-1 gene:Csa_7G200830 transcript:KGN44130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQGWFTQGGSSGSDNQLKTSSSLLADWNSYAESQAADDSTFNLGIGLDLESAVRSANETVSGTFSVNNLLGALIHGDKCWINLLQCFYLVIAVTFYREHNFAG >KGN45243 pep chromosome:ASM407v2:7:17133936:17136186:-1 gene:Csa_7G432240 transcript:KGN45243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMDNKTRYVELQSQIDVQDPRSPDLKLSNFPNDEEGLIGSKALNGFTDGKDDLFDDLDFDVDRHPLITGEPRGESRISGAVFNLTTSIIGAGIMALPATMKVLGVGLGFVLIVLIGILSEFSVELLVRFLVISKSSSYGEVVQCAFGRSMKVLTEICIIVNNAGVLVVYLIIMGDVMSGSVRHIGVFDQWLGHGFWDHRKLLVLVVLVIFLAPLCALNKIDSLSLTSAASVALAVVFVIVACAIALIKLVEGKIEPPRMSPDFGSKQAILDLLVVVPIMTNAYVCHFNVPPIYNELEERSPQKMNTVGRVTTVICIVVYALTAISGYLLFGNDTESDVLTNFDRDLGIRFSSALNYIVRIGYILHLVLVFPVIHFSLRQTVDTLIFEGSAPLSESRNRSLTLTIVLLALIYIGSTMIPNIWTAFKFTGATTAVSLGFIFPSIIALKLSKKGGQGSLNATEKLLSWSMLGLAIIVGIVGLIGNIYSLSNQSE >KGN45154 pep chromosome:ASM407v2:7:16567052:16569983:-1 gene:Csa_7G428910 transcript:KGN45154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTETNTYILCFIFCFISTLLLQYLFHRSKTTKSSSNLRRLPPSPPSIPILGHLHLLSPSLHKSFTALSSKFGPLLCLQLGAVRCIVVSSASLATEVFKTQDLAFSSRPKFAFSDETPYGSSGFFAAPYGDYWRFMKKLTMTELLAPKQVERSRAVRYEEVLRFLRKMVAAANNNQLVDVGAELMKLTNNSICRMMMSIRCADDSDESEKIRQLVKDTMEVGAKVAFGDVVGWPLKRVAFWVYGKQAIDVTMRYDAILEKALKQHEERGKVEGFDREDRDLMDIILKVHQDSQAEFKITRTNVKAFLLDLFVGGTGTSTEVMQWIIAELINHPKELKKLREEILSVVGDSRLVQENDVPHMPYLQAVVKEGLRMYPAVPVAMRSCPQSCKINGYDIPENTMVGVNLFAIMRDPNSWEDPNEFRPERFISQAKEGDSMKQIQYEIKGQNFNFVPFGGGRRGCPGSTLAFTTSTVVIAAMVQCFDWKVDGKEEKKANMEIGSGLGLPMAHPLNCVPVVKFNPFASD >KGN43651 pep chromosome:ASM407v2:7:3198584:3200453:1 gene:Csa_7G051310 transcript:KGN43651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQFKKEEEEELLEPVSPTAQCFNSSALSISVIAVLEFEIPIDEVQIMCYAKDLIPLNPLFSSIMVDDINGERKWKKVEVNLEEHILVPTFPSNLSVVDDINYDAYFNKYITNLGAQELAKNKPLWEIHIIKYPTSNAAANLILKFHHSLGDGYSVMGLLISSMKRADNPSLPLTFPSRKINSDDHNNKNLRHVLNYVPSFMNSVLDFGWSIIKSSVLEDDLTPIRSGGDGVEFRPVAICTISFSLHQIKQIKSKLRVSTLQLFS >KGN44254 pep chromosome:ASM407v2:7:8370393:8371170:1 gene:Csa_7G235240 transcript:KGN44254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNLVGMGNGIGEWGWGRGVTSLAPPCLVDISSGSWRQGWHRRRMTGDKWWASLILECMQRLNGVENTLTTLNSLNATSTNIEVTDAHLTTLEETRYLPTPYSLTL >KGN45557 pep chromosome:ASM407v2:7:18931665:18936009:-1 gene:Csa_7G452120 transcript:KGN45557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMWMVGLKFSCQRLVLKELAVGKHSASSSSRICNQPESNSKGVMMDTRTKSLLFCLALIHAIQAQDQSGFISLDCGLPANSSYTTNLTYISDAAYINSGETENIDLYKNSYEQQLWTVRSFPNGTRNCYNISNITDGTKYLIRASFLYGNYDGIRSPPIFDLYFGDSLWVTVNITSETYTFNYEIIHVPSTNKVQICLINKEAGTPFISALEFRPLPDHIYSIGSGSLLLAFRYDIGSTSNIPYRFPYDVFDRIWPPINNDKYYDRLSTSLTVDVNQSENQPPAIVMETTIVPKNASRPFFFIWETGDENIQYYAYLYFAELVKLKPKQFRGFNISHNGNYWEGPIVPDYLSTSSIYNIKPLDPGKHHNLTLTQIENSTLPPIFNAVEIYSNIEILELESDQGDVDAIKKIKSTYKVINDWEGDPCIPRTYPWSGIGCSDESSPRIISLNLSSSNLTGFISTDILDLTALQILDLSNNDLTGKVPDLSKLSKLEVLNLENNNLSCPIPPELIRRFNDSLLSLSVKCNNEIVVEKKEKNKVVIPVVASIGGLLIIAIIAGIVFWIARSKRKQEGNDAVEVHRPETNTNVGDSSLETRIRQFTYSEVVRVTNNFVRILGRGSFGAVYHGMIDDIQVAVKMLAPSAIQSHDQFKAEECILYLYLVATLLNVQHRNLTKLEGYLSEGTHLGLIFEYMANGSIAQHLYEISSSVLSWEDRLRIAMDAAQGLEYLHNGCKQPIIHGNVKPTNILLTEKFQAKLSDFGVFKSYSTNDNTSYIDPEYKTSNRLSQKSDVYSFGLTLLEIVCCKPVISKSKGQDSIHIIKWVGHMVAQGDFRNIADKRLKGEYNITSVRKAVEVAMACASVNSERRPTMNQVVAELKSCLAIELSRTPENQAPHSIESTEMTSMYMVLPPQTGPMAR >KGN45598 pep chromosome:ASM407v2:7:19197611:19198315:1 gene:Csa_7G453500 transcript:KGN45598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTTYTPPFSAYMLVISTAVMVAATAFQFKVGDEIGWQLPPTNDSEFYVYWASINRFQIGDSLSFEYKNDSVLMVEKWDYYHCNSSDPILGFNNGKGVIKLNRAGAFYFISGFSDHCRNGQRLLVRVMLPHDLIVASPPQSTADDAPSPSFTNDGAPLPVTAPVVFFPMAAIVEMLLISHPLN >KGN44400 pep chromosome:ASM407v2:7:9896720:9897079:-1 gene:Csa_7G279820 transcript:KGN44400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWVLSLLEIRGELSTLDQSHNTIAGRKYESQAALSQNASGSFGIKNCMGNSRLLVEATLHSPIECRRAKLLWARKCQVLSISELRKKLQLLLGEPSRFGPECVGFLRYQKLHGCSLDS >KGN43881 pep chromosome:ASM407v2:7:4720329:4722307:-1 gene:Csa_7G071700 transcript:KGN43881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVERERRITTGFAARDPSGFLSPYTYELRETGPEDVYIRVICCGLCHTDVHQIKNDLGVSNYPMVPGHEVVGEVMEIGENVKKFKVKDIVGVGCIVGSCKTCERCETDREQYCNNKIWTNNDVYTDGRPTQGGFATAMVVDQRFVVKVPDGMAPEQAAGLLCAGVTAYSPLNHFGLNKSGLRGGILGLGGIGHMGVKLAKALGHHVTVISSSNKKREEALEHLGADDYLVSSDEARMKQAVDSLDYIIDTVPAFHPIELYLSLLKLDGKLILLGVINKPLQFVSPMVILGRKTITGSFIGSMKETEEILELFKERELSSTVEVVKMDYINKAIERLEKNDVRYRFVVDVEGSKF >KGN43934 pep chromosome:ASM407v2:7:4991912:4992682:1 gene:Csa_7G073700 transcript:KGN43934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDHPPFSPAFRRITEEQELSVIVDALTQVVSGAPSSALSFHHDHFLRVLFPPINPTPPFSSSSEFDTCPLCKINGCLGCHFFSAPASTTTTTANAANKNNNSGRRVKRLKKNYRGVRQRPWGKWAAEIRDPIRAARVWLGTFNTAEDAARAYDEAAIKFRGPRAKLNFPFPDYSLSSTFHSSPPPASTTTSASASFSPAAPPPPPLLPTSTTTSSSMKIEITQNNIPFPEFFINDEDGDVQRYLFDYDNQSRSL >KGN45440 pep chromosome:ASM407v2:7:18194737:18204785:-1 gene:Csa_7G448020 transcript:KGN45440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPDKTLTDILGNWKSWIPWRSESANVSRAFWMPDQSCRVCYDCDSQFTLINRRHHCRLCGRVFCAKCTANSILAPSGDPRIPREERERIRVCNYCFKQWNQGIAASDHEIRVLNQDISSSPSAASLSSPRSSGTADSSITFASVPYSFEANQQALEHSGLSPQHSPVILTGRDQQGESALGRSIDILSSIGDTPQNYSMNRSAGKDDYDGVYKSDTEASHSPQAISYFGQPEPEEIGIEHGPHNEQLDGENNDVRSLSSSPLQESFYSQGLEGISDLDQKEETNFIYGEDPGHSSLEFTDDVQSEPVDFESNGLLWIPPEPEDEEDERETFYDDDDEGHDAGEWGYFRASSSFGSGEYRSKDRSSEEHKNVMKNVVDGHFRALVAQLLQVENLPLGEISDKESWLEIITSLSWEAATLLKPDMSRCGEMDPGGYVKVKCIASGLRRNSMVVKGVVCKKNVAHRRMISKIEKTRLLILGGALEYQRVSNHLSSFDTLLQQEIDHLKMAVAKIDAQRPDVLLVEKSVSRFAQEYLLAKDISLVLNIKRPLLERIARCTGAQIVPSIDQLSSPKLGYCELFHVERFTEDLTSSGSMGKRSVKTLMFFEGCPKPLGCTILLRGADMDELKKAKRVIQYGVFAAYHLALETSFLADERASLPELPLNSPITVAIPVKSSVVERSISMVPDFSLPGYQGQPPSLPIEEPQRSKSLPSSDLILSSNSTIPFVENGPSSQLSQPSSSAANSTAIFSSHPVPWTIGSESYDDEPSSYSTIKERNALGTRGEMEESSANGYQKQEPGSVKLLGNDKFSIDSEDFHNSVVSSQPTGSEPLNVQQNIQNQQELGTFKEELGTGKDDFPTASSAHQSILVSSSSRCILKGSVCERSHLFRIKYYGTFDKPLGRFLRDKLFNQAYRCDSCDMPAEAHVHCYTHRQGTLTISVRKLPELLLPGEREGKIWMWHRCLRCPRNNGFPPATRRVVMSDAAWGLSFGKFLELSFSNHIAASRVASCGHSLHRDCLRFYGYGRMIACFRYTSTDVHSVYLPPSKLDFNYEDQEWIQKEKDEVVNQAELLFSEVLNTLRQIAEKISGARTINSTRKMELRRQIAGLEAILQKEKAKFEESLHKTMNGEGRQGQGAVDILEINYLRRKLLIQAYVWDQQLIQAANLENGNSAGSFVAESEERLVVDSEKLSEISTNSKLVEDLKSSDSHLAYQQCNEGPSNGKEFVTNLAQPDIQVEEVGDSDADKGEEHLCSTNISDPSETLEPKTYLQAAQADGEFSRMVSLSDTLEAAWTGDNGSVVGITKNNSLILSNSTLEDSSGIDITISNDHSEDQNVDRVTHAISQSLPSKALDDTEDFEGYLDTASSNFYYLFNENFLASGQKLEALAKHNPVFLSSFWELEFQGGARLFLPLGVSETVVPVYDDEPSSIIAYALMSPEYHSQLIDEAEKVRDGGDSLPSLSYTDSFFQSSDDFSFDTSKSLGPSDDTISSISGPRTSISLDPLSYPKSLNPRIFFEEYGPHGGVKYSVTCYYAKRFEALRRICCSELDFVKSLSRCKKWGAQGGKSNVFFAKTLDDRFIIKQVTKTELESFIKFAPEYFKYLSESIGTRSPTCLAKILGIYQVTAKHLKGGKESKMDVLVMENLLFRRNVTRLYDLKGSSRSRYNADSTGNNKVLLDQNLIETMCTSPIFVGNKAKRLLERAVWNDTSFLASIGVMDYSLLVGVDEEKHELVIGIIDFMRQYTWDKHLETWVKATGILGGAKNSSPTVISPKEYKKRFRKAMTTYFLMVPDQWFPLSIVPSQSQSDFGDENMPDGKPDAVSVS >KGN45262 pep chromosome:ASM407v2:7:17228097:17240009:1 gene:Csa_7G432440 transcript:KGN45262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNNGQIAPPGTGGSSIPPPPAAQPSYTVLPSPAEAEAKLEEKARKWQQLNSKRYSDKRKFGFVETQKEDMPREHVRKIIRDHGDMSSKKYRHDKRVYLGALKFVPHAVYKLLENMPMPWEQVRDVKVLYHITGAITFVNEIPWVVEPIYLAQWGTMWIMMRREKRDRRHFKRMRFPPFDDEEPPLDYADNLLDVDPLEPIQLELDEEEDSAVYTWFYDHKPLVKTKLINGPSYRKWHLSLPIMATLHRLAGQLLSDLIDRNYFYLFDMESFFTAKALNMCIPGGPKFEPLYRDMEKGDEDWNEFNDINKLIIRSPLRTEYRIAFPHLYNNRPRKVKLGLYHTPMVMYIKTEDPDLPAFYYDPLIHPITSTNKDRRDKRTYDDEDDDDFELPEGVEPFLKDTQLYTDTTAAGISLLFAPRPFNMRSGRTRRAEDIPLVSEWYKEHCPPSYPVKVRVSYQKLLKCFVLNELHHRPPKAQKKKHLFRSLQATKFFQTTELDWVEAGLQVCKQGYNMLNLLIHRKNLNYLHLDYNFNLKPVKTLTTKERKKSRFGNAFHLCREILRLTKLVVDANVQFRLGNVDAFQLADGLQYIFSHVGQLTGMYRYKYRLMRQIRMCKDLKHLIYYRFNTGPVGKGPGCGFWAPMWRVWLFFLRGIVPLLERWLGNLLARQFEGRHSKGVAKTVTKQRVESHFDLELRAAVMHDVLDAMPEGIKQNKARTILQHLSEAWRCWKANIPWKVPGLPVPIENMILRYVKSKADWWTNVAHYNRERIRRGATVDKTVCRKNLGRLTRLWLKAEQERQHNYLKDGPYVTPEEAVAIYSTTVHWLESRKFSPIPFPPLSYKHDTKLLILALERLKESYSVAVRLNQLQREELGLIEQAYDNPHEALSRIKRHLLTQRAFKEVGIEFMDLYSYLIPVYEIEPLEKITDAYLDQYLWYEGDKRHLFPNWIKPADSEPPPLLVYKWCQGINNLQGIWDTSDGQCVVMLQTKFEKFFEKIDLTMLNRLLRLVLDHNIADYVTAKNNVVLSYKDMSHTNSYGLIRGLQFASFVVQYYGLVLDLLLLGLTRASEIAGPPQMPNEFITYWDTEVETKHPIRLYSRYIDKVHILFRFSHEEARDLIQRYLTEHPDPNNENMVGYNNKKCWPRDARMRLMKHDVNLGRSVFWDMKNRLPRSITTLEWENSFVSVYSKDNPNLLFSMCGFEVRILPKIRMTQEAFSNTKDGVWNLQNEQTKERTAVAFLRVDDEHMKVFENRVRQILMSSGSTTFTKIVNKWNTALIGLMTYFREATVHTQELLDLLVKCENKIQTRIKIGLNSKMPSRFPPVIFYTPKEIGGLGMLSMGHILIPQSDLRYSQQTDVGVTHFRSGMSHEEDQLIPNLYRYIQPWESEFIDSQRVWAEYALKRQEAQAQNRRLTLEDLEDSWDRGIPRINTLFQKDRHTLAYDKGWRVRTDFKQYQVLKQNPFWWTHQRHDGKLWNLNNYRTDVIQALGGVEGILEHTLFKGTYFPTWEGLFWEKASGFEESMKYKKLTNAQRSGLNQIPNRRFTLWWSPTINRANVYVGFQVQLDLTGIFMHGKIPTLKISLIQIFRAHLWQKIHESVVMDLCQVLDQELDALEIETVQKETIHPRKSYKMNSSCADILLFAAHRWPMSKPSLVAESKDVFDQKPSNKYWIDVQLRWGDYDSHDIERYTRAKFMDYTTDNMSIYPSPTGVMIGIDLAYNLHSAFGNWFPGSKPLLAQAMNKIMKSNPALYVLRERIRKGLQLYSSEPTEPYLSSQNYGEIFSNQIIWFVDDTNVYRVTIHKTFEGNLTTKPINGAIFIFNPRTGQLFLKVIHTSVWAGQKRLGQLAKWKTAEEVAALVRSLPVEEQPKQIIVTRKGMLDPLEVHLLDFPNIVIKGSELQLPFQACLKIEKFGDLILKATEPQMVLFNIYDDWLKSISSYTAFSRLILILRALHVNNEKAKMLLKPDKTIITEPHHIWPSLTDDQWMKVEVALRDLILSDYAKKNNVNTSALTQSEIRDIILGAEITPPSQQRQQIAEIEKQAKEASQLTAVTTRTTNVHGDELIVTTTSPYEQAAFGSKTDWRVRAISATNLYLRVNHIYVNSEDIKETGYTYIMPKNILKKFICIADLRTQIAGYLYGISPPDNPQVKEIRCIVMPPQWGTHQQVNLPTALPEHDFLNDLEPLGWMHTQPNELPQLSPQDLTNHAKVLENNKQWDGEKCIILTCSFTPGSCSLTAYKLTPSGYEWGRVNKDTGSNPHGYLPTHYEKVQMLLSDRFFGFYMIPDNGPWNYNFMGVKHTAGMKYGVKLGTPREYYHEDHRPTHFLEFSNLEEGETAEGDREDTFT >KGN45480 pep chromosome:ASM407v2:7:18487801:18490381:1 gene:Csa_7G448910 transcript:KGN45480 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilase family protein MANFHLPSSSFFFFFLFCFFPLIFSRSLENQETYIVHVSKSEKPSLFSSHHHWHSSILESLSSSPHPTKLLYNYERAANGFSARITTVQAEELRRVPGIISVIPDQIRQLHTTRTPHFLGLADNLGLWADTNYADDVIIGVLDTGIWPERPSFSDEGLSPVPARWKGTCDTGEGVSAFACNRKIIGARAYFYGYESNLRGSLKVSSDFKSARDTEGHGTHTASTAAGSFVNNASFFQYARGEARGMASRARIAAYKICWEFGCYDSDILAAMDQAISDGVDVISLSVGSSGRAPAYYRDSIAIGAFGAMQHGVVVSCSAGNSGPGPYTAVNIAPWILTVGASTIDREFLADVILGDGRVFSGVSLYSGDPLGDSKLQLVYGGDCGSRYCYSGSLDSSKVAGKIVVCDRGGNARVAKGGAVKSAGGLGMVLANTEENGEELLADSHLIPGTMVGAIAGNKLRDYIHTDPNPTATIVFRGTVIGDSPPAPRVAAFSSRGPNYRTAEILKPDVIAPGVNILAGWSGYSSPTGLNIDPRRVEFNIISGTSMSCPHVSGVAALLRKAFPTWSPAAIKSALITTSYSLDSSGKPIKDLSTSEESNPFVHGAGHINPNQALNPGLIYDLTPQDYVSFLCSIGYDSKQIAVFVKGSSYFQLCEHKLTNPGNLNYPSFSVVFDEEEVVKYTRTVTNVGDETEVVYEVKVEAPQGVVISVVPNKLEFNKEKTTQSYEITFTKINGFKESASFGSIQWGDGIHSVRSPIAVSFKTGGSIASM >KGN43530 pep chromosome:ASM407v2:7:2506266:2511701:1 gene:Csa_7G044210 transcript:KGN43530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHFVPLSLLFVFHAFIWLFHLTPPIYCQIPSILNIAAVFTFDSVIGRAAKPAMEAAIYDINADPNILNATKLKFFMENSNCSGFLGSVQALQVLEKEIVAMIGPQSSVVAHVISQIVNGLQIPLVSYAATDPTLSTLQLPFFLRTTISDSYQMAAMADLIDYYGWKEVIVIFLDDDYGRNGISFLGDELQKKMCRISHAFPLPSLDNLSKITQILNNSKLLGPRVYVVHVGPDPQLRIFTIAHKLGMLSSNYVWFATDWLSTTLDSSSPITNGASLDMLNGVVGLRPHTPESKGKRDLWDRLRKMQPKGLTNSALNVYGLYAYDSVWVVAKAVDKFLKENGNIITFSPTGKVLGSNESGIQLGNVKVFDRGSDLLKILMQTDYNGLSGRIQFGEDRSVVNGSYDVININQRKMNLVGHWSNDLRFHPNLDQKLEKVVWPGGKEEIPRGWVIADSGKPLRIAFPRRASFVDFVTQLNNTNIVRGYVIDIFKEALKFVPYEVPYKFVPFGDGKVNPSYDELVQSVANNVFDAAVGDIAIVTNRTKVVDFSQPYTTTGLIIVAPVEDSKSSAWVFLKPFTVEMWCATAGSFVVIGIVIWMLEHRINDHFRGPPKRQIITMCLFSISTLFKANQEATISPLSRLVMLVWLFLLLVITSSYTASLTSILTLQQLWSPIRGIDDLVASNLPIGYQVGSFAYDYLTQSLFIPSSRLQRLNSSEDYEKALRLGPKGGGVAAIIDELPYLELFLSKTKEFGIIGQPFTRSGWGFAFQRGSRLAVDMSTAILKLSESGKLQEIHDSWFCKLGCPGNRGGKSEPDQLHLISFWGLYLLCGIISVAALFLFLLRLIRQYIRYIRHHRRRHSEEVTPFPVPSNTSCTQRIQNFINFIDEKEEAIKSFFGASHGAQNGNQLHNHSQKAKEKADSEIQIGPTTMGMNRG >KGN43538 pep chromosome:ASM407v2:7:2557556:2559346:1 gene:Csa_7G044780 transcript:KGN43538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITPKLFFLLLLQLIFVSTSLSNIARANELAVGFYKNCCPGVEYIVAKTVAQYVKKQPAIAASLLRIHFHDCFVRGCDGSVLLNSGPNNQAEKDAIPNLSLRGYNVVDAVKAAVEKQCPGVVSCADILALIARDAVRMSGGPAWEVPTGRRDGTVSISREALINLPPPFANISSLKSSFQSKGLSLKDLVVLSGAHTIGVSHCTSFSNRLYNFTGKGDADPKLDKYYAAALKIKCKPNDQKKIVEMDPGSFKTFDQSYYTLVSKRRGLFQSDSALLDDPDTKAYVQFQSSTRGSTFAADFAKSMINMGNIGVLTGTDGEIRRRCGFVN >KGN44061 pep chromosome:ASM407v2:7:6279026:6280388:1 gene:Csa_7G157500 transcript:KGN44061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSHISSEDERQMILEVRNRSFVPRGPTTMSKLASDYSRIQRERRSKCVYNHHVARKGYVNLVNEINMTCDVSYRSTLWKEAWKGNNNNDYFDDATRDCVSRIDALVATHKDEDILTKVLGSKEYGGRVRGVGGFVSQSQYFKIVKGKEKMISPEVEICHKEDDSRSKSYKKSLNHSRSSIKIVSIDLNANEELGNTPSNEGVEIINSVVSFQILML >KGN45434 pep chromosome:ASM407v2:7:18147000:18149959:-1 gene:Csa_7G447960 transcript:KGN45434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRARLVVFPIRGRNWCFSRSIKHFLHWNFGTMRARLVVFPIRGRNWCFSRSIEPTVSDSSSAQTPSTFKDLWTKISSSSSSKSDALSIANASNNAEIVTDFISSKMNKAWTALEKAPDGSFKNKLHGIGLKLLSRVKPSEIFLKSITKDVTSVEITYPSSLNPRLVRRRLRHIAFRGTVIHRKYFYSSVSLLPLASAFTILPLPNIPFFWVLFRTYSHWRALQGSEKLLQLVSDRSYPGNSSSDGKKNEDKIQQYSGPALDMQPSEKLDKFLSQMEASGDITAIKDICKMFDLNIANVLKYKDAL >KGN43842 pep chromosome:ASM407v2:7:4440640:4442573:-1 gene:Csa_7G070820 transcript:KGN43842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHGHNLFVSSDGSVESGWTVYLENSSSSYFSRRIDDSNFDAHKDFYNDDDDDEDEEVDLSMVSDASSGPQTFPEHNNEELVNFPQNYENPPPYFCAKTAGRSLLKTGRKMKGSGERRRQEQTTSFLDDTATSDPNFNFNNNSENSSYQNQFNTIRKSSPSGNQRQKNQWFKGKRSGLR >KGN45543 pep chromosome:ASM407v2:7:18871074:18878982:-1 gene:Csa_7G451970 transcript:KGN45543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVHPEEQNVFEVCLVVPKRKAKKEDATCDCVEVLENAFLKVGFMIERIDGVTDEFMKLAAPLKLLGKAAARLEMKKRTHIGMDLLFELDEVDAFVRQPDGSLFSWCERFRCYHHLIYGIVNENQSAVTLKCDEEEFQWKVGESLVRTLESKKIVKQIFPLHDEIRRKKLLGNWALNWWDFTGQPIDEVYSYFGPKIALYFAFLGMYTRWMLFPAALGLILQLVEFGSMRLLVLPIFFISIILWAIMFSQFWRRKNSALIARWQINYTFGGDPACRLSGVDSSLQIPVELIEDQEMDKRKEKEAFQRIEWFGRLRRFRNDAIVILSIICLQLPFELAYAHCYEVIQSDAIKFGLTVLYLFAIQYFTRLGAKMSMKLINCENYENNEKRADSLVYKIFGLYFMQSYIGVFYHALLHRNFATLRQVLIQRLLISEVLENLLENSLPYLKYSYRKYKVRSKKRREKGSSQGKIQFTSRAEKEYLKPSYSASIGVELEDGLFDDCLELALQFGMIMMFACAFPLAFAFAALNNITEIRTDALKLLAMYKRPFPRAATTIGAWLNIFQFLIVMSICTNCALLVWLYDQEGKWKIEPGLAAILVMEHVLLLLKFGFSRLVPEEPAWVKANRVKKATQAQDICSKQLLRTISGGEKALNYVKKTE >KGN43527 pep chromosome:ASM407v2:7:2487617:2487949:1 gene:Csa_7G043690 transcript:KGN43527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDNIDNGFEDAMPDVNQPDIGDPNNHFMDEATCFENEKHDAAAHFDNGEAYEPEFPDSRSSLEDLCRSHLVSDICGLIT >KGN43826 pep chromosome:ASM407v2:7:4340971:4341486:1 gene:Csa_7G070232 transcript:KGN43826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFANILSTLCLLGLTLTLTLTLTLASTAPSLAKSSPKNYIDAHNAVRAAVGVEPLHWNSTLADYAQNYANTKIATCQMEHSGGPYGENLAEGNEVMTAETAVSLWADEKKHYDYNSNTCSNDPSNCLHYTQLVWSNTKSVGCAQVKCQNNWVFLICSYYPPGNYNGQRPY >KGN43444 pep chromosome:ASM407v2:7:1945220:1947872:-1 gene:Csa_7G037480 transcript:KGN43444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGGGVDGGGRVGAVVDGDRREGNSYGFSMIYSLLRTPFSILSCFSQPHVNGVDGMWVSGEFPRISEVNHLMQSNLWRKVKRDKWENSGMGDQEND >KGN43721 pep chromosome:ASM407v2:7:3677814:3678116:-1 gene:Csa_7G062870 transcript:KGN43721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKACIHSKEEEEMKSILGNLKAGRLIDARGLIFLLGSLHWPLAPSFNSNMYNIAGAMWWLSRLQTANVELD >KGN45377 pep chromosome:ASM407v2:7:17783106:17785966:1 gene:Csa_7G446920 transcript:KGN45377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRRRILLKVIILGDSGVGKTSLMNQYVNRKFSNQYKATIGADFLTKEVQLDDRLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNVMKSFDNLNNWREEFLIQASPSDPENFPFVVLGNKVDVDGGNSRVVSEKKAKAWCASKGNIPYFETSAKEGLNVDAAFQCIAKNALKNEPEEEVYLPDTIDVAGGRQQRSTGCEC >KGN44162 pep chromosome:ASM407v2:7:7470844:7472231:-1 gene:Csa_7G209580 transcript:KGN44162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVELDFQIPSAFDPFADAKDSDAPGTKEYVHIRVQQRNGKKCLTTVQGLKKEFSYEKILKDVKKEFCCNGNVVQDKELGKIIQLQGDQRKNVSQFLVQAGLVKKDQIKIHGF >KGN43908 pep chromosome:ASM407v2:7:4859194:4859469:1 gene:Csa_7G073440 transcript:KGN43908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGSGGGNVGSMSGKGAARGGGSGEGRVNESESEGESEEGEEGEEPTARGESGWSRSGSGGGEGLFVDGRVEEDELGVGGGFHGGWDLEN >KGN44630 pep chromosome:ASM407v2:7:12604886:12605723:-1 gene:Csa_7G352430 transcript:KGN44630 gene_biotype:protein_coding transcript_biotype:protein_coding description:Genomic DNA, chromosome 3, P1 clone: MJL12 MSTEEEKLSPSSIFLSQISQFCSLIISHPLYFSYFLFFSPYILKVLSFFSPLLSVTFLLLLLPFLFTFFSHSHQNQDHDQLFLLDEWYNNFFNIIQFPLLEEAQEPEIKKEINQEETKDRHDHHCDIIENGISTRNISKEEKVGTNCSIVKSVMECKVFEDEEKMDLLWEKYEDKELVVVIKEEVNKKNRCISKKKDLRSLVNQQKEMEELEDQEEEEEENGKICCLQALKFSTSKMRFGMGKKNGLKKISKAFKGLKFLHQLTTNGKNKTHS >KGN44763 pep chromosome:ASM407v2:7:13953930:13956845:-1 gene:Csa_7G378520 transcript:KGN44763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKKMGFEETELRLGLPGNNNIGSSELGEVAARKRGFAETVSSETISKVDLKLNLSSKETVGVGDDDLVADSNPSNKDKAVLTADPAKPPAKAQVVGWPPVRSFRKNNMLAFVKVSMDGAPYLRKVDLKMYKSYKQLSDALAAMFGSFTTIGNCGSQEMKDFMNESKLMDLLSGSDYVPTYEDKDGDWMLVGDVPWEMFVESCKRLRIMKGKEAIGLAPRAMEKCKNRS >KGN43920 pep chromosome:ASM407v2:7:4905771:4906682:1 gene:Csa_7G073560 transcript:KGN43920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPIESVQCFGRKKTAVAVTYCKRGRGLIKINGCPIELVEPEILRFKAYEPILLLGRHRFSGVDMRIRVKGGGHTSQIYAIRQSIAKALVAFYQKYVDEQSKKEIKDILVRYDRTLLVADPRRCEPKKFGGRGARARFQKSYR >KGN43451 pep chromosome:ASM407v2:7:1994081:1994553:-1 gene:Csa_7G037550 transcript:KGN43451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYSNSKHFPFVTTLVFSIVVVLVLSPKVAARDLLSDGRGSSADTPGCGNYYRCGGYGRGGGGNGCSHGCCGFNRDGRCIQCCNYANEVTNVNP >KGN43239 pep chromosome:ASM407v2:7:684022:684456:-1 gene:Csa_7G011800 transcript:KGN43239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRPLWNAASQILMALGYILLAIAIPGSLYIGSIVVGICYGVRLAITVPMASEVFGLKNYGMIYNVLILNLPLGSFLFSGLLAGILYDMEATTTKTGGNTCVGAHCYRLVFVVMACSCAIGFAMDSFLAFRTKRLYSKMRALKR >KGN44945 pep chromosome:ASM407v2:7:15339017:15346811:-1 gene:Csa_7G397580 transcript:KGN44945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVRQKKRQEKEKSTGKKKHSKVFLKKNKSNDKRRLNRRGPQLAPSIRREVGQVKEDTESDNYEVSDCSEGETFPGDVYEYEEAAPEEESRKNHRYDTVDNYDYELPDHFKDEDVSSDDEEIDGRNGKGNLTEDSDDDNSKKDDGSHARMLQSITGMPREAFEGKKKSKIVISEAYQESEYNPSRDVLDGNGRISIEDLLNPLQGKPGYSMLRKRIHQTEKKSMALQAPLPKADQEKVERKVAYEQSKKEVSKWEPIVKKNREASTLYLGEDVDLGYSTVGAIASEFKPRTEIEKKIASLVHDGKIMEAHKNDGSKLLELNKVSFEDEKDRQNRLAKMRSLLFRHEMKAKHIKKIKSKTYHRLLKKDRVKEMSVQIEMDPDAAKDLAMKQEFKRAEERMTLKHKNSSRWAKRILSRGLNAQDEGTRAAIAEQLHQHANLTRKMHTLKDSSSSSDESSDEEYSDDQSADESNSRASKLLEKAKEKTLKALEDGEEAPNSGLLALPFMVRGMKKREEAAAEEAKLAIQEFESLSKQLNNSEIENMDTETTNGRRTFGSMKKSAPEPRKKTKSEYYDDTEDEDDTQAGEAVGYDGDNNKSSLFADANIDSDILCEDSKTHQNSVFKSFDETVRDPGPKTTYEVAIFASGTWKKAKDLEKRVDSKPSPIVSSKLEFQGQVTKETMQDVDDQSDSDQELMVDGVLSSANNESYELPSQSDLIRQAFAGDDVEEEFERQKEEILNEENPEPEKPVLLPGWGQWTHVQKKKGLPSWMLKEHELANKKRQEALKNRKDANLKHVIISEKLDKKAEKLYTKTLPFPYTEKDVFEHSIRMPIGPDFNPTSVIGALNRPEVVKKSGVIIKPIEFEEVDPHQKVEEHKQKGQKQKRKNGKTNHGKSAKKMKKVGA >KGN43409 pep chromosome:ASM407v2:7:1782728:1784991:1 gene:Csa_7G031700 transcript:KGN43409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAINQKPRPKDAALKRFKLVVFVTLLGLLLFMILTETNLSWNFAAWSSDDIDEDPYLGFGDSNVELRLPKQSQLSIRLEKRNRLPPRNLDLYPKLANNHIAIVLYVHNRPQYLRVVVDSLAKVIGISETLLIVSHDGYFEEMDKLVQSIRFCQVKQIFAPYSPHLFPNSFPGVSPADCKGKDDPKAVHCRGNPDQYGNHRLPKIVSLKHHWWWMMNTVWDGLKETQGMSGHILFIEEDHFILPNAYRNLQLLVTLKPKKCPECYSVNLAPSDVKSRGEGSNFLIAERMGNIGYAFNRTVWRKIYKQAKEFCFFDEYNWDITMWATVYPSFGKPVYSLRGPWASAVHFGKCGLHQGHGDNDICIDNGVLNIDVKDIDKVANINSEWRVDIFRDQPGYGAGFRGWGGWGDERDRQLCLNFARMYHSMSNGPNISHS >KGN43374 pep chromosome:ASM407v2:7:1542696:1545460:1 gene:Csa_7G029390 transcript:KGN43374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDARCRSTKPTTVKAKPTTKTVDGDSTKASDALALPLYITNAVFFTLFFSVVYYLLSRWREKIRNSVPLHFVTPSEIVSLLALVASFVYLIGFFGIDFVQSLFLRPSGDVWTTDEDDERSIVKDDSRKVPCGAALDSSVPHQVPSPVLSVLKSVDPLPVPIKLNEEDEEIVKSVVIGTTPSYSLEAKLGDCGRAAAIRREALQRITSKSLSGLPLDGFDYASILGQCCEMPIGYVQIPVGIAGPLLLDGREFSVPMATTEGCLVASTNRGCKAIHLSGGANSVLLRDGMTRAPVVRFGTAKRAAQLKMFLEEPENFETLALVFNKSSRFARLQNIKCAIAGKNLYTRFSCSTGDAMGMNMVSKGVQNVLDFLQEDFPDMDVIGISGNFCSDKKPAAVNWIEGRGKSVVCEAVIKGDVVRKVLKTNVEALVELNMLKNLAGSAMAGALGGFNAHASNIVSAIYIATGQDPAQNVESSHCITMMEAVNDGRDLHVSVTMPSIEVGTVGGGTQLASQSACLNLLGVKGANRESPGSNSRLLATIVAGSVLAGELSLMAALAAGQLVKSHLKYNRSSRDIANVSSS >KGN43800 pep chromosome:ASM407v2:7:4197509:4198276:-1 gene:Csa_7G067550 transcript:KGN43800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQNFDDCTSGYYMGMSLRITDPIQTRSNSPTSVKQIDLNFKVEVKCQHFQAGEEDTTFATTFLSEHIIAHQPFPALQLPVSIFKHGDKTLKRLLFQKFQMFRAIINIELVTDQIIKHWVKKVEDDKENNSGVFEEIYPLEITIEVLVLQMIDAVDQPQETIDQPQVRMVPTSDSAMKSMLKRVENEEIMKVGDYKSISCVICLEKISKEEKGSEGVVLQMPCLHMFHEECIRKWLKTSHYCPTCRFSMTINNN >KGN44368 pep chromosome:ASM407v2:7:9639456:9643069:-1 gene:Csa_7G272110 transcript:KGN44368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASTDSNIHHQSATKLIPIRQQPQPEELQTSLSLVPSDPRHSPDVPRSNSVQVQESPTESASSQETWPIGDGVMVKKMENGKADNDFVEQSVIHHLSTADKISLRDIARDRIEVIAEKMHHLPEDFLENLKNGLRIILDGNVGAQQRDEIFMLQKLVQSRTDLTGKTLIRAHRVQLEILVAINTGIQAFLHPNISLSQTTLIEVFVYKRCRNIACQNQLPADDCTCEICTTRNGFCNLCMCVICNKFDFEVNTCRWIGCDLCSHWSHTDCAIRDGKICMGSLVRIGTARSEMHFKCPACHRTSELLGWVRDVFQHCAPSWDQESLMKELDFVSRIFRGSEDLGGRKLFWKCEELKEKIKSGGLESAAACRAILMFFQENETDSMSSIENGEGGRLEAPQEACNRITEVVQEVIKKMEIVANEKMRSWKKARMDVEAFNLEVEDKAKEAEEIKLDRQRKKLQIEELEKIVRLKCAEADMFQLKANEAKREAERLQRIALAKTDKSEEEYASNYLKQRLNEAEAEKQYLLEKIKLQESSRPSQRSGGADPSQMLMYSKIQDLLYNASKPDSAK >KGN43704 pep chromosome:ASM407v2:7:3580327:3582324:1 gene:Csa_7G061710 transcript:KGN43704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPLMSFMIIVLLFTVVNGQGTRIGFYSSSCPQAESIVASTVRSHFQSDPKIAPGLLRMHFHDCFVRGCDASVLLAGSNSERTALPNLSLNGFEVIDDAKSQLEAACPGVTSGIRWGVPTGRRDGTISVASEANNLPGFTDSIEAQKKQFTDKGLNTQDLVTLVGGHTIGTTQCQFFRYRLFNFTAAGGPDPTMDPAFVTQMQALCPQNGDGTRRVALDTGSVGRFDTTFFSNLRNGRGVLESDQKLWTDASTRTFVQRYLGLRGVLGLTFNLEFGKSMVKMSNIEVKTGNQGEIRKVCSAVN >KGN43384 pep chromosome:ASM407v2:7:1596077:1606325:-1 gene:Csa_7G029980 transcript:KGN43384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFKNRKSSLLSKIFPLELLPCSTCTYVREEDQKMDEKREIEEPPSCNFSSSAHQINPTEKRTPIEVFQVPASSGDRQSKGEATHEPDEPKSSLSSFRPAASMEASKKWMAFESENFDITKTYTKNFGGSFRSYSTKILETNMTKRTAEENKIDRLSSLQLTYVISDATKPDYPIMFASNRFLAMTGYTLDEVIGRNCRFLQGPETDKNEVAKIRHAIRKGNSYCGKLLNYKKNGTPFWNLLTVGPVKDSHGRIIRFIGMQVEIAKDIEGKEKTVRSMSITEVQAERAIRSIVEVDIVKSLRSHWHDADTKHQEPEKTNADYASSKALDKNFTTADNQKARFKERTLGSAVEREEKTVVETYLFKPKDGDHVAKRERDIRQGTELATTLERIKKIFFITNPRLPDNPIIFASHRFLDSTEYTLEEVLGRNFCFLQGPETDQATVSKINDAIEEQREITLQIINYTKSGKKFSNLFHLQPMCDQMKGELQYFIGVQIHQKPSRNRLFDRTEHGSAKLAKAVAENVVKAVRELPDANLKPTNFWAIYCQPVLPRPHKKYSPSWIAIQKITSHGENVGLHHFKPIKPLGFGDIGSVHLVELKGTGELFAMKAIEKSVILNRNKVHRACMEREIISLLDHPFMPTLYSSFQTSTHIFLIMDFCPGGELFTFLDKQPMKMFKEEAARFYAAEVVIALEYLHCLGIIYRDLKPENILLQKDGHIILADFDLSFKTSNIQTIESSPPRKKTRRHKSLPMFVVEPMVELNSFIGTEEYIAPEIIMGAGHGSSIDWWTLGILLYEMLYGRTPFKGKNRNKTFANILFKDLTFPISIQVSLAAKQLIDALLQRDPARRLGSRTGSDEIKRHPFFRQVNWPKIRTMTPPSPEVALQIIERDPKANDLNWEDGMLSHSMGSANIF >KGN43758 pep chromosome:ASM407v2:7:3922457:3927365:-1 gene:Csa_7G065170 transcript:KGN43758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEYDVIVLGTGLKECILSGLLSVDGLKVLHMDRNDYYGGASTSLNLIQLWKRFRGNDKPPEQLGLSKEYNVDMIPKFMMANGGLVRVLIHTDVTKYLHFKAVDGSFVYNKGKVYKVPATDVEALKSPLMGLFEKRRARKFFIYVQDYDESDPKSHEGLDLSKVTARELITKYGLEDDTIDFIGHALALHFDDSYLNGPASDFVKRMKLYAESLARFQGGSPYIYPLYGLGELPQAFARLSAVYGGTYMLNKPECKVEFAGDGKAYGVTSEGETAKCKKVVCDPSYLPDKVKKVGKVARAVCIMSHPIPDTNDSHSAQVILPQKQLGRKSDMYLFCCSYAHNVAPKGKFIAFVSTEAETDNPEVELKPGIDLLGPVDEIFYETYDRYVPINNHETDNCFISTSYDGSTHFESTVTDVLAMYSKITGKAVDLSVDLSAASAASEE >KGN44590 pep chromosome:ASM407v2:7:12202869:12208652:1 gene:Csa_7G339130 transcript:KGN44590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEYQMLDDVDADAFLCCVCLDLLYKPIVLPCGHISCFWCVHKCMNGFRESHCPICRRSYYHFPTICEILHQLILKIYPASYKRRESQILEVEKKIGFFSPQFDSLACGSQAGMKVEHLEDSANGELNTNTKNDDAVAELILEENSDVVSSTSVVSLNSLQDPCAQKTQNQEKISVADVLCQACTQLLFRPVVMNCGHVFCESCINSQVETLECQVCQSLQPRGFRNVCLELDQFLKEKFPEEYSIRRDSVQLKLANSMKHDNPTSCSNEEGKKGEYLPRWGDVASKVHTFIGCDYCGMFPLIGDRYKCKDCLEASGFDLCGDCYNTRSKRPGRFNQQHRPEHRFQLVHPSMFQNMTEG >KGN44669 pep chromosome:ASM407v2:7:13061713:13077920:1 gene:Csa_7G368210 transcript:KGN44669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLRAFRPSSEKIVKIQMHPTHPWLVTADASDHVSVWNWEHRQVIYELKAGGIDQRRLVGAKLEKLAEGDLDSKGKPAEAIRGGSVKQVNFYDDDVRFWQLWRNRSAAAEAPSAVNQVTSALSTPAPSTKGRHFLVICCENKAIFLDLVTMRGRDVPKQDLDNKSLLCMEFLSRSSGGDGPLVAFGGSDGVIRVLSMLTWKLVRRYTGGHKGSISCLMTFMASSGEALLVSGASDGLLVLWSADNSQDSRELVPKLSLKAHDGGVVAVELSRVIGGAPQLITIGADKTLAIWDTISFKELRRIKPVPKLACHSVASWCHPRAPNLDILTCVKDSHIWAIEHPTYSALTRPLCELSSLVPPQVLAPNKKVRVYCMIAHPLQPHLVATGTNIGVIISELDARSLPAVAPLPTPSGGREHSAVYIVERELKLLNFQLSHTTNPSLGNNGSLSEGGRLKGDELLQVKQVKKHISTPVPHDAYSVLSISSSGKYLAIIWPDIPYFSIYKVSDWSIVDSGSARLLAWDTCRDRFALLESAIPPRFPTIPKGGSSRRAKEAAAAAAQAAAAAASAASSASVQVRILLDDGTSNILMRSIGSRSEPVVGLHGGALLGVAYRTSRRISPVAATAISTMPLSGFGNSGVSSFTSFDDGFSSLKSSAETTPPNFQLYSWETFQPVGGLLPQPEWTAWDQTVEYCAFAYQHYIVISSLRPQYRYLGDVAIPHATGAVWHRRQLFVATPTTIECVFVDCGVAPIDIETRRMKEEMKLKDAQAKAIAEHGELALITVDGPQTATQERITLRPPMLQVVRLASYQQAPSVPPFLSLPKQSKADADDSMMQKDFEERKANEIAVGGGGVSVAVTRFPAEQKRPVGPLVVVGVRDGVLWLIDRYMSAHALSLNHPGIRCRCLAAYGDAVSAVKWASRLGREHHDDLAQFMLGMGYAAEALHLPGISKRLEFDLAMQGNDLKRALQCLLTMSNSRDMGQDNAGLDLNDILSLTTKKEDMVETFQGIVKFAKEFLDLIDAADATGQADIAREALKRLAAAGSLKGALQGHEIRGLALRLANHGELTRLSGLVNNLISVGSGREAAFAAAVLGDNALMEKAWQDTGMLAEAVLHAHAHGRPTLKSLVESWNKMLQKEMEHTSSEKTDATAAFFASLEEPKLTSLADAGKKPPIEILPPGMPTLSSSILGPKKPTPGAQGALQQPAKQLMLEAPPANPQPPPDGTSTQSEPNEQTAGGNALTSTTATDTSPTTPAENGPTTSNGSEPSDIQLASSNTTPPVETQIPTPSVNDTIHPEAILESPEVQNSSVPISSFTNDAPPPSEAPSEVPELQNTPLPNVSQI >KGN44678 pep chromosome:ASM407v2:7:13193956:13194231:1 gene:Csa_7G370760 transcript:KGN44678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKREALAISMCSNSGGLVGWCRPRRRSRRRRSSTIRLGNRRRGFCLGSRVVVGPFRMLKRLIMELAPNEKLIEAYYSLLPFLRPTLFPLS >KGN45294 pep chromosome:ASM407v2:7:17368332:17369093:-1 gene:Csa_7G433240 transcript:KGN45294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHQDLSHKADEIVGQAQVKRDEMMNQPTTQDQSSAAQTATDLKDQAASFLQQTGEQVKNMAQGAAEAVKNTLGMNTDNTSNTNTHNPANNSANNPTNNPANNPTSNPTSNPTSNPSTRI >KGN43532 pep chromosome:ASM407v2:7:2518279:2520419:1 gene:Csa_7G044230 transcript:KGN43532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAFLKLIDVLLFLIFLILAILSPLIDFQLIFPHTLFPDFLIDLKTSYTRQYGDYLMAESPPFLVGLVWLELFFQWPIMLLNLYAFLASKPWYNTTCLIYGVSVVSSMSAVLGEMVGSNRASTTLLTIYYPFLGLGVLAMLRGLVPCSSKAAITGTRPSNGRKKRA >KGN45029 pep chromosome:ASM407v2:7:15832337:15835526:1 gene:Csa_7G407820 transcript:KGN45029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVVAADSLRYPFLDASDSKSCLGTFMELLEVDQHFSSQFDVFETSSPSLSSSLISNPENLEIWNQWPTTPNYSSSISSTSSEIVNGELTTEPNLEGGEQKQDQQPTVKADKQLKTKKRSPKKKGAEPRFAFMTKSEVDHLEDGYRWRKYGQKAVKNSPHPRSYYRCTSVACNVKKRVERCLQDPSIVVTTYEGQHTHPSPIMARSTFFPPPISATLYNDYSIQNSHNSNVMSHSIAWCHH >KGN45334 pep chromosome:ASM407v2:7:17549740:17553870:-1 gene:Csa_7G440572 transcript:KGN45334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNLLPSQKKKMRQKQRKAEARAKKEADVKNEETNNSGVSKSGKRHVKPVDTDPHGEKLVQVEDPLLEATKYLKLLQKHSPDFLDTHVLSFEVNIRRQKILLAFQAVKQLLRLDVEHPDSHRCLIKFFCKVDSMPAPTTDAEKLVWSVLDAERPLISQVHGRSLMEANEVFLEKHKDSLMHRAAVAEMLNLLEPQRKSEAIKLIEESTNGIVPRNGALGPIKEWTLKECIAVHKLLETVLIDHAAASRWKTRCLELFPYSTYFEGSLSSAVPNSVNNQIFKDAERVGANQSANSISDNGKIDGFKELTI >KGN43156 pep chromosome:ASM407v2:7:152434:165375:1 gene:Csa_7G004090 transcript:KGN43156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICSTSQSDRSLASNSTLVHLSIENDPNSNFSISSLGSLLSENKFAPLEIGVSLQTSNYSTEVQFLFQDDGSNDRNKEGGHQLMVLEENNDLPLPNDKATEIESATKLEQVATLLNHFPLSKEVVLAFLEYNLCIRVVTRKGKLQGKGEASLSSKKLTREVKALLGNWESAVAEDANRAIQLKNGLSFEGRKITVKHAMHRAPLEQRRSKENQVAGSTLAANEEGDTSKMEEHPTTKDKGTSKRDVQPINEERDTSKRAEQTISNSEGKERHLSARKLAPLSSYLEDKEGHSGKQRIARTVVIGGLLDGDMAEDVHRQVRDVGGVCSIVYPLPRKEVEQHGILRDGCKMDVSAVLFDSVKSARAAVAILHQKEMKGGVVWARQLGGEGSKTQKWKVIVRNLPFKAKEKEIKNTFSSAGFVWDVMMPQNSDTGLSKGFAFVKFTCKQDAESAIQKFNGKKFGQRTIAVDWAVPKKIYSSGGGATAPVDSDDEDQTERDREGSISGSDSRDENTGHNESESSSEDSEKEDISSEVDFEGETEIARKVLETLISSSAKEALPSLTDGNPPSKVNKEPDFDSSKKSSDMSDKVSNEPGKLSESKTSILKQTDEEDLKRTVYIGNLPFDIDNEEVKQRFSGFGEVLSFVPVLHQVTKRPKGTGFLKFKTADAANVAVSSANAASGVGIFLKGRQLKVLNALDKKSAQDKELEKSKNDNHDHRNLYLAQEGIILEGTPAAEGVSASDMEKRQRLEKKRTTKLQSPNFHVSRTRLVIHNLPKSMKEKELHKLCIEAVTSRATKQKPVIRQIKFLKDVKKGKMLTKNHSCGVAFIEFSEHEHALVALRVLNNNPETFGPINRPIVEFAIDNVQTLKLRKAKLQAWSQDNNIANIPKARQRKDDSDTNARDIHSNENNSRKRKAIGNNHLVKAQNRNEDENDNHVSNNVMQDNRDRKKRKTRPDFGNTNESQKQKPGRSSMPEKSSKRPASMDSEKKIEVSQEADVQHKKKVKHQVEQQQRKRPKKNKEPIGRDIVDKLDVLIEQYQSKFLQQRSDRTDGEKKGTKQVRRWFQS >KGN43700 pep chromosome:ASM407v2:7:3552337:3556585:1 gene:Csa_7G060690 transcript:KGN43700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRIVSDEGTRQLEKGNDMAPPSKVGVPPRKNAWEEFNCVVKETFFSDQPLRHFKDQPKRKKAALFVQGLFPVFQWGRGYNLSKFKGDLIAGLTIASLCIPQDIGYAKLANLPAENGLYSSFVPPLVYAVMGSSRDIAIGPVAVVSLLLGTLLQQVYDPVKQSEQYKRLAFTATFFAGVTQLALGFLRLGFLIDFLSHAAIVGFMGGAAVTIALQQLKGLLGISKFTKKTDIISVMRSVWSNVNHGWNWQTILIGVSFLAFLLATKYIGKKYKKLFWIPAMAPLTSVILSTFFVYITRADKHGVAIVKHIEKGINPPSLDEIFFHGENLTKGFKIGVVAGLIGLTEAVAIARTFADLKDYEIDGNKEMMALGTMNIAGSMTSCYVATGSFSRSAVNYMAGCYTPMSNIVMACVVLLTLEVITPLFKYTPNAILASIIICAVIGLIDIDAVILLWKIDKFDFIACMGAFLGVVFDSVEIGLLIAVSLSLFKILLQVTRPRIALLGKLPKIPIFRNILQYPGAKKIAGVLMVRVDSSIYFSNANYVKERILRWLADETEKLEDQSMPIKVVVVDMSPVNDIDTSGIHALEGLHSHLHKKEIGLALANPGPVIMEKLVAAEFDMLIGEDNIFLSVNEAIKIYAPNAVLDP >KGN44158 pep chromosome:ASM407v2:7:7420793:7435803:-1 gene:Csa_7G209540 transcript:KGN44158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPTSSLSVYSLFVFQPSIVHGVDAKQEGYTSDSLDFYVDKKLDLGTSWICLFLEARRIANGADGFESAQAVSYVNLDNWLLLSISVCAFAAACALGGNTYTMEGAIIRRVIPSDNSCLFNAVGYVMDHDKLKATELRQVIAATVASDPTRYSEAFLGKPNEEYCSWILDSEKWGGAIELSILSEYYGREIAAYDIQTARCDLYGQEKRYSERVLLIYDGLHYDALVMSPAEDAPEEFDQTIFSVNRDRTIGPIEEQALHFVKDQQRKRRFTDTANFTLRCGVCQIGVIGQAEAVEHAKATGHVNFQEYR >KGN44776 pep chromosome:ASM407v2:7:14118117:14121984:1 gene:Csa_7G380130 transcript:KGN44776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKIKINLNLHLLLLFLITAVCLALASKDPELKQCKHQCKVQRQFDEQQKRDCERSCDEYYKMKKEKGRNYESEEEEEEEEEVENPYVFDDEHFVGQIETGEGKIKVLQKFTQRSHLLRGIENFRVSIVEANPSTFVVPTHFDAEIILFVAQGRGTITVIKEKRGSFELKCGDVFRIPSGAPFYFINKDEHRKLKIVKLLQSTSVPGHFQTFQPAGGENPESFYTAFSWDLLEAAFKIPRDKLERFFKQQKPGTIIKASREQIRSLSRHEEIIPKIWPFSEGETERPFNLLKQHPCQSNKFGRLFEAYPDEFSQLRDLGVAIAFANITEGSMVAPHYNSKSMKIAVVLDGQGGFQMACPHLSSSSRRSGRWSEREEERKGERTYQKIRGRLSRGVVFVVPAGHPFSVFASPNHSLQIVCFEVNAYGNTKYFLAGKENIVNKMESIARELGFNTPGREVERMFKQQEEEFFFPGPNQQEHEWADA >KGN44529 pep chromosome:ASM407v2:7:11404237:11413192:1 gene:Csa_7G325700 transcript:KGN44529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEHEGWAQPPSGLLPNGLLPDEAATVMRMLDSERWSKAEERTAELIACIQPNPPSEERRNAVADYVQRLIMKCFPCQVFTFGSVPLKTYLPDGDIDLTAFSKNQNLKETWAHQVRDMLESEEKNENAEFRVKEVQYIKAEVKIIKCLVENIVVDISFDQLGGLCTLCFLEEVDHLINQNHLFKRSIILIKAWCYYESRILGAHHGLISTYALETLVLYIFHVFNNSFAGPLEVLYRFLEFFSKFDWDNFCVSLWGPVPISSLPDVTAEPPRKDGGELLLSKLFLEACSAVYAVFPGGQENQGQPFVSKHFNVIDPLRVNNNLGRSVSKGNFFRIRSAFAFGAKRLARLFECPREDILAELNQFFLNTWERHGSGQRPDVPKTDLKYLRLSNSEHLHGSENLRNKTNSKRNENPSVRETQDVVAHGSYTVNSVQGNSPLESAFRNDTTTTSRNQAQRSSGSSNNSRSSDHSRKEMNYNHGNLIDRSQRYPKPENHVNDLQGRFLFARTRSSPELTDTYSEVSSPSRRNRVPESGKAPSNRTDANRRKNLESDNVETHLRSSTDEPSISRHIPTRQSIDATGDSNSGSNSYQDESGPGTVGEDFASISGTLAMHQEEQDLVNLMASSTAHNFSGQVHLPLNLTTGHLPLPLPSSVLAPMGYAPRNLGGMLPTNIPLIETPWGANMHFPQGFVPSLLTHYFPGMGLTTSSEDGIESGNENFSSVEMNSREGDQDFWHEQDRNSTVGFDHDNGGFEGPQSDDKQQSTSGGFNFSPSSRMSVSGSTSVAHRKHAKENRVAMKDGNANAYQDERENEACYDDRPSSFRPSTGVAHTSGLRNKIATESSWDELSSRASKSSREKRGWKSNTFDLPSHGKGKNVSEHSSTVTDEDSRDWNHVSTVVSELTEVSGGPQSLVSMHATRNQITGLEPPHTAGSDPLIPLAPVLLGPGSRQRPVDSSSGVVPFAFYPTGPPVPFVTMLPVYNFPSETGTSDASTSHFSEDSLDNADSSQSTDLSEAHNKSDVLTLTNPIRGPSFIESLEPKPDILNSDFASHWQNLQYGRFCQNSRHPSPVIYPSPVVVPPVYLQGRFPWDGPGRPLSANMNLFTLGYGSRLVPVAPLQSVSNRPNIYQHYIDEMPRHRSGTGTYLPNPKASARERQNARRGNFSYERSDSHGERDGNWNITSKSRASGRRGQVDKPNSRLDRLSASENRVERAWSSHRHDSLPYQSQNGPIRSNSTQSGSTSMAYGMYPLPGMNPGVVSSNGPSMPSVVMLYPLDHNGNYASPAEQLEFGSLGPVGFANLNDVSQMNEGGRMSRAFEDQRFHGSSNQRAPLEEPPSPHLQR >KGN43326 pep chromosome:ASM407v2:7:1258431:1262635:1 gene:Csa_7G024000 transcript:KGN43326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAALLKSSASELDLDRPNIEDYLPSGSSIQQPTAKLRLRDLLDISPTLTEAAGAIVDDSFTRCFKSNPPEPWNWNIYLFPLWCCGVVIRYLFLFPARVLILTIGWIIFLSTFIPVNLLLKGHPKLRAKLERFLVELICSFFVASWTGVVKYHGPRPSIRPKQVFVANHTSMIDFIVLEQMTAFAVIMQKHPGWVGLLQSTILESIGCIWFNRTELKDREIVAKKLNDHVQGADNNPLLIFPEGTCVNNHYSVMFKKGAFELGCSVCPIAIKYNKIFVDAFWNSRKQSFTMHLLQLMTSWAVVCDVWYLEPQVLKPGETPIEFAERVRDIICARAGLKKVPWDGYLKHSRPSPKYRERKQQSFAESVLQLLDNK >KGN44769 pep chromosome:ASM407v2:7:14024497:14030974:1 gene:Csa_7G378580 transcript:KGN44769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKKNPLVFLDVSIGGEPVQRIIIELFANVVPKTADNFRALCTGEKGIGKTTEKPLHYKGTFFHRIIKGFMAQGGDFSRGNGTGGESVYGGKFSDENFKLKHDGPGILSMANSGPNTNGSQFFITFKPQPHLDGKHVVFGKVVMGMDVVKKIEQIGTADGKPGEPVKIVNCGEPSEIKDEAVVKKEKEKKKKLNKIKSSESSSDDQGRGRQKKSSKDRRKKRKRYSSSDSYSSDTESDSYSSDSDSSLSDSSSSSDGKYRKRRSKRTAKPQHGRKRKGRKREKRGRLGKRSKRKAKWSSGSSTDTGSESTSDSSSSSDGERVDHRATTRRKIKNSKHSETKSKGITDEKESLNPVTETTVEKQKSQDLNLLQEEGELSPKHDDIPNNNHKTETEKLERSPNQRPVSDGSNSSRSTTPERPRNIPRSNLTRSPVKTFGNPGMKYNEWNRPRSSRSPVRSPIRKAADSSVSNHGQTSSRSHSPNGTPKRVRKGRGFTEQYSFVRRYRTPSPERPRNYGGRNNYGRSHNGYSSYRNKRDWSPDRRYRSPPRGRSPSRYRRRSRSVSRSPGSYRGRYRDRSKSRSPVRSSSPLEKRTQISDRLKSRLGPKSKFSPEKETSQSRNYNRNRSLSRSISPDKHRTAAASPSRSRSSSLSGQKGLVSYGNGSPES >KGN44314 pep chromosome:ASM407v2:7:9084657:9092695:1 gene:Csa_7G253200 transcript:KGN44314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYVLVTGGVVSGLGKGVTASSIGVLLKACGLRVTSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFCDITLTRDNNITTGKIFQSVIDKERRGDYLGKTVQVVPHITDAIQEWIERVAMIPVDGKEGPADVCVIELGGTIGDIESMPFIEALGQFSYHVGPGNFCLVHVSLVPVLNVVGEQKTKPTQHSVRGLRGLGLVPNILACRSTKADNIITLYDVPNIWHIPLLLRDQKAHTALLKGLNLHSVAGEPDLDGWTTRTRLYDKLHDSVKIAMVGKYTGLSDSYLSVLKALLHASVASNRKLVVEWVPAGDLEDISATEAPEVYAAAWELLKGADGVLVPGGFGDRGVQGKILAAKYARENGIPFLGICLGMQIAVIEFARSVLGIHDSNSTEFDPETTNPCVVFMPEGSKTHMGGTMRLGSRRTYFTVMDCKSAQLYGNVKFVDERHRHRYEVNPEMVCQLENAGLSFVGSDETGRRMEIVELSGHPYFVGVQFHPEFKSRPGKPSPLFLGLIKAACGQLEAFLQNNGNVIQFSADTIINGHAKTKIKIVQNGDTPKSSNGYLNAAYGNSVVCPLKDVSHCL >KGN44452 pep chromosome:ASM407v2:7:10318965:10326218:-1 gene:Csa_7G291720 transcript:KGN44452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKSKGITKGNLPEELKKLLRAVASEWGDKIEEMEEGLEVSRLTGAMTNEVYEMKWMSSRSGDEPRKVVVRVYGEGTEIFFNRDDEIRTFECVSKHGRGPRLLGRFSHGRIEEFINAKTLSARDLRDPKISARIASKLREFHNLDMPTPITVVLWDRMRNWLKEAKRLSSPHEMEEFCLEKLDEEIDLLQRELSKDSQPIGFCHNDLQYGNIMMDEDTSSLTLIDYEYASYNPIAYDIANHFCEMAADYHSKTPHILDYTSYPDVEERKRFVSAYLGTSGENDHKEEEVEKLLEDAERYTLANHLFWGLWAIISSHVNKIDFDYFEYAKQRFDQFWLRKSELLVS >KGN45392 pep chromosome:ASM407v2:7:17860835:17861119:-1 gene:Csa_7G447070 transcript:KGN45392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCLGILFGCKRSRTPTDYLLSALLLIVILSSLRLLCYLVSRFLKRRRNNQHPDPTSEVQPQTDVAHLQQPTPMPDNKVLTPADVLPLAAFSRK >KGN44149 pep chromosome:ASM407v2:7:7351957:7352733:1 gene:Csa_7G207000 transcript:KGN44149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSFLYTLSTWAFEELSGFIRIMIVGLESQLSITRDQRSGLTRSGQQSGLLIKDVDCGSMINDQFNDRDQRKKIKDKGSGYGDWQQLTSSECHWSLALWPNCWPLAVPGGWLLSVPDH >KGN43471 pep chromosome:ASM407v2:7:2091323:2091703:1 gene:Csa_7G038950 transcript:KGN43471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIPSILHESGIAHPFLLCRFESAPPVPALFKIPLVLVISTLIVIVLVLRISVLIAVIVLGISKPIVVLIVLVLVLLLLISRFNKIVHRKPRFVIPLVLIAFSSENLLFHVGNRPSVFIGIGITAV >KGN43732 pep chromosome:ASM407v2:7:3749560:3750646:1 gene:Csa_7G063960 transcript:KGN43732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYGISRNEKPHAICFPFPAQGHITPILNLAKLLHHRGFHITFVNTEYNHRRLLRSRGPNSLNGLPDFQFKTIPDGLPYSEANSTQDIPALCESINKTCLAPFCDLISQINLNASTSSNAIPQVSCVVSDAAAFFSFSAAKQFKIPFALFYTASACSYLGFLQYPKLMKEGLVPLKGSSYLAQNNRSK >KGN44648 pep chromosome:ASM407v2:7:12878904:12879230:-1 gene:Csa_7G361530 transcript:KGN44648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMSLERGSVRGRANSISSRGNHPSSYSNLAPSPMSADQYAMNLGFTTVTISRARSSDIQIGYNSTESSTPPRPSTNLIRPSGEVIQTRPSASSLSNRDSSPPPTTYS >KGN43170 pep chromosome:ASM407v2:7:266032:268808:1 gene:Csa_7G004720 transcript:KGN43170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFLNPLSLFFFVLSLLLFVLFLRRLHRKLRYPPGPTGLPIIGNMLMMDQLTHRGLARLATIYGGLFHLRLGVLHMVVVSTPDMAREFLQVQDVAFANRPANVAISYLTYDRADMAFANYGPFWRQMRKICVIKLFSRRRAESWASVRDEVDTLVEIVSRKIQQPVNIGDLVFSLTRNITYKAAFGSSSHEGQDEFVKILQEFSKLFGAFNIADFLPWLGWIHAREFNERLAKARRDLDVFIDSIIDEHLEKKMKKLKGEKVDDEEDTDMVDELMAFLVDDSSSNEFDDSQSVLKLTRDHIKALIMDVMFGGTETVASVIEWAMAELMKNPEELNKVQQELTLVVGLDRNVHESDLENLPYLKFVVKETLRLHPPIPLLLHETAVDSSVSGYFIPTGSRVWINAWAIGRDRTAWKEPDRFWPGRFQNDAAPDFKGSDFEFIPFGSGRRSCPGMQLGLYACEMAVANLVHCFSWELPGGMTADELDMNDSFGLTAPRAIRLVAVPSLRLNSPKEETGKCIE >KGN44496 pep chromosome:ASM407v2:7:11017412:11019556:-1 gene:Csa_7G318960 transcript:KGN44496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTASSSSFSLITVPFLPNPFRQSTSKIQITPSPRFCKMRFQPFVLPRRRSLILRCARIESKGLSLGFRAPNFELPEPLTGKVWKLEDFEPYPALLVMFICNHCPFVIHLKKDIVKLSNFYMKKGLAVVAISSNSVTTHPQDGPEFMAEDAKAFSYPFPYLYDESQEVARDFSAVCTPEFFLFKKDGRRPFELVYHGQFDDSRPSNNKPITGRDLSLALDCVLSGQPVSSVQKPSVGCSIKWHP >KGN44612 pep chromosome:ASM407v2:7:12405725:12409751:1 gene:Csa_7G342800 transcript:KGN44612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRLTVDKVNAAVYDMATYAEANAQLIGIPKKKLAENLWEKALELRDIATTNAVKGKYFFLETDMKGPSLKLDNTGKAILTVLRHLGRISETRIGHQRPVEENPLYNSSNPFLKDLSADEYNRLFWRRLDNLRMLSYMACL >KGN44186 pep chromosome:ASM407v2:7:7812307:7813382:1 gene:Csa_7G219220 transcript:KGN44186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLITSQIHWIWHNLNFGVYQLADWTFTNHSCRLVVSSHCLFIPWSFDHKQPAYPNRLKRSDPKNKPRRRHKRAEKTQIFFGKNGTNLDRWCRKKKKRKEKEKEKKPSSTALPPLGFWSFHSSCIFSTPHFLSLLKYFQTPVVEERILLPV >KGN44123 pep chromosome:ASM407v2:7:7111305:7112022:1 gene:Csa_7G197550 transcript:KGN44123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCMLKHFLIRGGLPPVGDLGRSSRQVQPIFICHIAKELIFVLALVTKGIGGEVAQKAIKLVFEDDKESGEGMKVALSKVSMDRLFVEASKQWMRGQDAPRETRKSRIVRWLQYRGFDWDVTKTILKKLQTKYPP >KGN44286 pep chromosome:ASM407v2:7:8731451:8732525:-1 gene:Csa_7G238990 transcript:KGN44286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPVTIPDSGVFVFRRMLTKGPENTSQIVGNAQGFIIPSEQFARSSFNIIYLSFNTPEYSGSLGVHAKHIGHENREEMTVVGGTGSFAFAQGVAIFLQTERQTFNSDTSYHLKLQLQFPK >KGN44795 pep chromosome:ASM407v2:7:14341902:14343139:1 gene:Csa_7G387790 transcript:KGN44795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLIEGLPHDVALRCLAFVPFYLHATLEQVCHSWRDAICSGEIYKVRSECGTAEDLLFVCCHDEENKWQFYDPIENFWVTLPELPGGRKHYFGVVSTHQKLFILGGLLINAIDPSIDEDFSCNEVWSFNPMTRKWSIQAPMHEARSLFACGILDGMIIVVGGMNKKFESTPKAEMYDPVKDVWIQLPDLPRICDSGICMGVVVGRKMHFIYKGLPIVQTFDTVEWRWTIEDYNWFSHIWLMTADRDRIYIMSQGYIFLQIGQDSKVVISADQFNLNDGMGMICFRGELYVIGGTLYTDRDYEYLSDVHVLTLSSDFRTCWITIAPMSRGYGSVLGCAALRV >KGN43945 pep chromosome:ASM407v2:7:5060149:5060729:-1 gene:Csa_7G074290 transcript:KGN43945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKENAGKDKERKELSQIEAKQVAAGQWPSSDNYLSRTSPVPPSSNGVSS >KGN43502 pep chromosome:ASM407v2:7:2302069:2307361:1 gene:Csa_7G041960 transcript:KGN43502 gene_biotype:protein_coding transcript_biotype:protein_coding description:13-hydroperoxide lyase MTSGGMPSIPSSISPPPVSLPLRNIPGSYGLPLLGSIGDRLDYYWFQGPDKFFRTRMEKNRSTVFRTNVPPSFPFISADPRVVAVLDCKSFAHLFDMEIVEKNNVLVGDFMPSISFTGNMRVCAYLDTSEPNHSKVKNFITDILRRSSRIWISELESNLSTMWDGIELEMAKNKQSGFRNFLQPALFNFFSKTLAGADTAKSPEVAKSGYIDVIIWLGLQLVPTIHIGVLQPLEEIFLHSFRLPFFPIASRYQRLYDFFQKEGAEVVERGVTEFGLTKEEAIHNLIFTMGFNAYGGFSLFFPVLLDRILNDKTGLQQRILKEVRSKSSSGLTFESVKEMDLIYSIVYETLRLDPPVPSQYARARKDFKLTSYNSTYNIKKGELLCGYQPLVMRDPEVFDEPEAFNPDRFRGEKGAALLDYLFWSNGPQTGTPSEKNKQCAGKDLVVLTGVVFVAYIFKRYDSIAGEGGSITAFQRAN >KGN44453 pep chromosome:ASM407v2:7:10334970:10336945:1 gene:Csa_7G291730 transcript:KGN44453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILLIQHLPHLHSTVAKREQFYDNFDSKLRPFPHLSSFKFNSKPSLRCFCSKDQSTHEAFQGFSALPRDTPWDNDTVWSTMALYIFSLHIPLSLGSLSLVSKLMHVPILDPQTKALSRLAIQTLEFILTLLLLKLTAKPNYRFRYFFRDNELCNKRNWIFASAFGVGFLFSLVFLTSLLAESVIGPKAVSNLVLKEILDCSNISRTACFIAYCVVTPLLEETVYRGFLLASISSEMQWQQAVVISSAVFSAAHLSVENSLQLFIIGCVLGCSYCWTGNLRSSILIHSLYNAMSLLLTYLS >KGN44492 pep chromosome:ASM407v2:7:10931948:10941613:1 gene:Csa_7G314940 transcript:KGN44492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLVSYGNANRDTEQALIALKKGSQLLKYGRKGKPKFCPFRLSNDELSLIWISTTGEKSLKLASVSRIIPGQRTAVFQRYLRPEKDYLSFSLIYNNGKRSLDLICKDKVEAEIWIAGLKALIGSGDGGRSKIDGWSDGGLYQDDNSDLTSSSPSDSYNSVARDISSPEVCTTFSPNKSPTSVRSENSTRSHVPINQTNMQAKGSSSDIFRVSVSSAPSSSSHGSTPDDCDALGDIFVWGEVISDNFMKPGADRASNVSSRTDVLLPKPLESNIVLDAQHIACGVRHSAIVTRQGEVFTWGEETGGRLGLGMGKDVTQPRLVEALAATTVDLVACGEFHTCAVTMDGELYTWGDGVHNAGLLGNGTDVSHWMPKRISGILEGLQVAYVACGPWHTALISSTGQLFTFGDGTFGVLGHGDKKSISYPREVDSLTGLRTIAVACGVWHTAAVVEVIMTQSSTSIPSGKLFTWGDGDKNRLGHGDKEPRLKPTCVPALIDYNFHKIACGHSITIGLTTSGQVFTVGSSVYGQLGNPHADGKQPCLVEDKLLGESAEEVACGAYHVMVLTSKNEVYTWGKGANGRLGHGDVEDRKSPTLVESLKDKHVKIIACGSNYSAAICLHKSLSGTEQSQCSACRQAFGFTRKRHNCYNCGLVHCHSCSSKKALRAALAPTPRKSYRVCDSCYAKLSKVSESGSNYRKNAVPRLSGENRDKLDKSDTRPPKTALSNMDLIKQLDSKAAKQGKRTDTFAVVRPTQAHSLLQLKDGPIPNTVDIRRLAPKPIPMANGVNSRSVSPLSRRSSPPRSGTPVPTASGLSFSKGIADSLKKTNELLNQEVLMLRAQVESLRKRCELQELELRKSEKKTEEAIAVAAEESAKSKAAKEVITSLTAQIKHMAERLPDGVKMGLSGINDSENMRSLFVPNGMEQNGVHHLASNGERLSESDSHSSLSLASSVATDYSLSNGIQGLANSSGEFPASNETNSSEPGRFTADGIDDDPDVRLSYGHRGVWESRRSSSMSEGADNSGPLLDSESNARSRNSALPGNDNQVEAEWIEQYEPGVYITLTALRNGTRDLKRVRFSRRRFGEHQAESWWSENRDKVYEKYNVRGTEKSSISPSPP >KGN45002 pep chromosome:ASM407v2:7:15648764:15652266:1 gene:Csa_7G407550 transcript:KGN45002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDSFCLNPGIHGITSSLSLNAALDVRVNPSQVSTAVRSSSSSVVEKSSKTITPSPSSSSSASSSSFLKFSLKYPLQSLWSRGGENGNSRRGGLALDDAVLVESEVDRRVVPEEESENVATGSEWRSGNWVMKILRVRSLWREDEKQGSGEDELGSEREEDRVVEDRETSCDEEEFCDTCKIVEEEDEKEIEFDKHSFSRLLRRVSLAEARLYAQMSYLGCLAYSISEIKPKNLLRYYGLRYITSSIEKRELALKTEKTQEPDESKEAEKDINNDVDCEEGQKKDGISASTAYEIAASAASYLHSRTIKILPFRSSKTEDSLEASQNNDDMMNSDMVSLMATTDSVTAVVAAKEEVKQAVADNLNSTRSSPCEWYVCDDVESSTRFFVIQGSESLASWQANLLFEPIDFEGLGVLVHRGIYEAAKGMYEQMLPDVLEHLKSHGDRATFRFTGHSLGGSLALLVNLMLLIRNEVPVSSLLPVITFGAPSIMCGGDRLLHKLGLPRNHLQAVTLHRDIVPRAFSCQYPNHVAELLKAVNGNFRNHPCLRNQVSFKSYIDFSKLLYAPMGELLILQPDEKFSPSHDLLPSGSGLYLLSCPQSDANDAEKELRAAQMVFLNTPHPLETLSDRSAYGSGGTIQRDHDMNSYLKSVRGVIRQELNRIRKARRQHRRKVWWALVSPGKVDLGIVVGRPTISINLGQDQFNFSGILQTGRESLRRFSRLVASQHMNLLVVLLLPARMLFFEVNRVVG >KGN45498 pep chromosome:ASM407v2:7:18577872:18580699:-1 gene:Csa_7G450570 transcript:KGN45498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLFGSRKTPAELLRENKRMLDKSIREIERERQGLQSQEKKLIVEIKKNAKQGQMGAVKIMAKDLIRTRHQIEKFYKLKSQLQGVALRIQTLKSTQAMGDAMKGVTKAMGQMNRQMNLPALQKIMQEFERQNERMEMTSEVMADALDDALEGDEEEEETDELVSQVLDEIGIDINSELVNAPSASVSVSTANNRVAQAEAEDTGIDSDLQARLDNLRKM >KGN43868 pep chromosome:ASM407v2:7:4639371:4640654:1 gene:Csa_7G071570 transcript:KGN43868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTHLLLLGLFFCFLLLSASFMDTAMADSDRCTRPCRKRCSKAKLKRRCMKYCRICCSKCKCVPGGYGKRHCPCYSHVHTIRGRAKCP >KGN44231 pep chromosome:ASM407v2:7:8212110:8218395:1 gene:Csa_7G232550 transcript:KGN44231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNCSNALSANLGNTRFILWVEPKALLIIVTSDARQYSLTQAQSDRSPLQPFNFSFSIVSFAKPWFLSFHHCFLLTMGTIGDNWGDDCSVIKDKGEISYIDYEDDQSVCSYNPIEEGPIIVSVPFAFVNGKPRSVFVGETVADSITIKNTTDESVDLWAVNIYASNPENSFTLSLMEPPGPNADIEIVQAFLESFSLEDRMIHPDDTLTIWLSCKPKEIGLHTTIVHFDLGNERIERVSFLLADDKISQSLVPRKPYSRDRRRRHEAVDSYIPGTRPTRTQGRGIKNFLLQYEIPSKIRVELRRKEIPSAVQEGLKRDTYIPYFMTLLNMEEIQLEEDMRAYDMELVTMKRKGYNFLSLEVPGLAERRPSLVHGDYILVKMPFGHTNDSVSAYQGYIHHVEADEVYLKFAPEFHINHRDGNQYNVQFTYNRINMRRFYQAVDAADSLAKEFLFPYEFSERRCINTTPLVPLTHNINEEQMRCVQMILGCKGAPPYLVHGPPGTGKTQTLVEAILQLYTTRKNARMLVCAPSNSAADHILEKLLNQEGVEIRNNDVFRLNASTRQYDEIKPDILPYCFFDEQIFRCPPRNALVRYRIIVSTYMSTSLLYAEDIKRGHFSHIFLDEAGQASEPESIIPVSNLCLKKTVVILAGDPMQLGPVVYSKEAEIYGLGKSYLERLFECEYYSTGDENYVIKLLRNYRCHPDILHLPSTLFYGGELIACKDENSLLMDTADILKVLPNKEFPVLFFGIQGCDEREGNNPSWFNRIEVSKVVEIVRKLADGGNLTEENIGVITPYRQQVLKIRKAFDSLDMIDIKVGSVEQFQGQERQVIIVSTVRSTIKHNEFDKTYCLGFLSNPRRFNVAVTRAISLLVIIGNPHIINQDVYWNKLLWQCVDKESYQGCPLPERQDLTDEVQQCTNQEGQSSGFEEAGQNQELQEPAVALVTEFSEPVVDEAEWSDGWK >KGN43669 pep chromosome:ASM407v2:7:3327070:3328824:-1 gene:Csa_7G056480 transcript:KGN43669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRLYKFWWTKLLLLELWLLITSSIENEIDFMMMMDTHNILEIGVALRHLFAGMHMHAQKDGESHAHIVVHLCTWLISIIKLHGYPSGQTVKQFLRHPFSHFESSRDFRFTVVKNVLEILASAAMAD >KGN43469 pep chromosome:ASM407v2:7:2080654:2089143:-1 gene:Csa_7G038690 transcript:KGN43469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARDPNGSAGGGASNNTQREEATLKVPSKDPKKKDEKKDEDLSEEDLALKQQLELYVERVQDPDPGLQKVALESMRQEIRTSTSSMTSVPKPLKFLRPHYGTLKAYYETMADTDLKKYMADILSVLALTMSAEGERESLKYRLLGSEGDIGSWGHEYVRNLAGEIAQEYTKRQAEEAPIDDLMELVQEIVAFHMKHNAEPEAVDLLMEVEDLDLLVEHVDSTNFKRTCIYLTSSAKYLPGPDDMLALDIAYMIYLKFEEYTNALQIALFLDNLQYVRQIYQSCDDLQRKKQFSYILARQGTCFELDEEMCADDDDREALQEIINNSKLSEGYLTLARDIEVMEPKSPEDIYKAHLLDGRASAGASVDSARQNLAATFVNAFVNAGFGQDKLMTVTPDASSGASTGNWLFKNKEHGKMSAAASLGMILLWDVDAGLSQIDKFLHSNDNHVVAGALLGVGIVNCAIKNDCDPALALLIEYVDKEDASTRIGAIMGLGITYAGTQNEQLRRKLTPILSDSRASLDVIAFTSLSLGLIYLGSCNEEVAQAIIFTLMDRNENELGDALGRLLPLSLGLLYLGKQESVEATAEVSKTFNEKIRKYCDMTLLSCAYAGTGNVLKVQNLLGHCSQHLEKGETHQGAAVLGIAMVAMAEELGLEMAIRSLEHLLQYGEQNIRRAVPLALGLLCISNPKVNVMDTLSRLSHDTDSEVAMAAVISLGLIGAGTNNARIAGMLRNLSSYYYKDASLLFCVRIAQGLVHLGKGLLTLNPYHSDRFLLAPTALAGLIITLHACLDMKAIILGKYHYVLYYLVLAMQPRMLLTVDENLKPLSVPVRVGQAVDVVGQAGRPKTITGFQTHSTPVLLAAGDRAELATEKYIPLSPILEGFVVLQENPEYREEQ >KGN43779 pep chromosome:ASM407v2:7:4097976:4098693:1 gene:Csa_7G067340 transcript:KGN43779 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phloem filament protein MSSLPSICSKRWIKFPNVLIPCLQAIAEHGIEEFKKKHEVSLIYKNVVEGWYKELDDHGNTIRYRLHIQAYDCIRRLLKFEAVLLQQHAQNNEKSTITLESFEQIISYL >KGN44154 pep chromosome:ASM407v2:7:7390699:7390956:1 gene:Csa_7G207520 transcript:KGN44154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSKISRQMELVLMNLPQREEEKRGDDRRRPSQIAVGRSFRKKIRGNWNSQGRGMDHLVFQTPRFQKPIQEVHDSEFFFIQKRRR >KGN45269 pep chromosome:ASM407v2:7:17260741:17261924:-1 gene:Csa_7G432510 transcript:KGN45269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGIGFVLSFIALVFVHHAAAQKVHVVGDATGWTIPPDTTFYSGWAEKNTFAVGDSLSFKFPTGSHDVLKVSKESFEACSTDKGIGSPLTTGPATVKLDTAGEHYFICSVGKHCLGGQKLSVTVGGSATPGDAASPPSNSTEEPSKTLAPADSPSSSVPEGDESPANSPSSSAPNSSESSADSPSSSVPKDAESAKAPAPSSSTAVMATIYVTLSAIVMNLLF >KGN43339 pep chromosome:ASM407v2:7:1322734:1326878:-1 gene:Csa_7G024130 transcript:KGN43339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNSDPTVTNRLKPNNHHARQLTLNKQIVFQSVVIFFSPHQVSFLLKFLRLILPATDISAYFPLNSCSLDYICDNWVITELIGQEMYSTTGHFSSVISQTTSLTTSSTISNISKNSQFSAASGDEVFPHGQILPSSNLREYSLAELKAATKNFRAEALLGEGGFGKVYKGWLEEKGLGRKGNSMVIAVKKLKSDSVQGLEEWQSEVGFLGRLSHPNLVKLLGYCWEDHELLLTYEFMQKGSLENHLFGRGSAVTPLGWDTRLKIAIGAARGLAFLHTSDKQVIYRDFKASNILLDGSYTAKLSDFGLAKLGPSESKSHLTTRVMGTHGYAAPEYVTTGHLYVKSDVYGFGVVLIEMLTGLRALDENRPTGQEHLTEWIKPFLSERRKLKNVMDFRLEGKYPSRSAFQVAQLALQCIEQEQKNRPSMKEVVETLEQIETVNEKLIETGNRASRLASNRNAHQPLHHNSPLHMKQYGGHANQTPPRCR >KGN44995 pep chromosome:ASM407v2:7:15603663:15604244:1 gene:Csa_7G406990 transcript:KGN44995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNHNPSSILKLFGFPLVEHDDNPPETPDGAGGADTTISEDDRKFRCQFCRRVFANSQALGGHQNAHKRERQRAKRALYFHAAALRPPSLYSSVFPSNIGGDGGASRILHRNNNSNGYFTETAAYGGVSASSGRWMFGNSSEGNMENSGCKLGGEKGLCVDLHLKLSPSNSST >KGN45072 pep chromosome:ASM407v2:7:16083879:16084702:-1 gene:Csa_7G420170 transcript:KGN45072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSIFSSIQFRLLPSPNPRQPQHNLHIFHQIYLPPQITHRFSSIKTNSILPTSLILGNSTIPPSQSGDISVLLPISGVLLIAYLLANFIFPEFIMKSYRSDDENDGKGGGGR >KGN43612 pep chromosome:ASM407v2:7:2944200:2944963:1 gene:Csa_7G047960 transcript:KGN43612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNQHSYCSYFALLFLFLAFAYSHSPFLSYEALKSGQFTGRSLLQAKKSCPVDMEGQNYTILTSKCKGPKYPAALCCEALLEFCCGFVDELNDMTNNCAETMFSYINLYGQYPPGLFANQCKEGKDGLSCDNALKAQAEKAQIKASSAASSLLTPRRLPSLALASTFILYLLL >KGN45502 pep chromosome:ASM407v2:7:18603523:18606969:-1 gene:Csa_7G450600 transcript:KGN45502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTCIACSKQLNNGSLHQQEGEDSIATPRTKQTIKALTAQIKDIALKASGAYKNCKPCSGSSSDNRKYKYAESDSASDSARFHCSYKRTGSSNSTPRQWGKEMEGRLKALSSGEGTPASGSGRTEIVFMEEDEPKEWVAQVEPGVLITFVSFPQGGNDLKRIRFSRELFNKWQAQRWWAENYEKVMELYNVQRFNSQAVPLPPSPPRSEDEDSKIQSAKDSPATPPLTNERLPQITNRPLGNSSSESFDHRPNQPPRCYDLGGLASSIKPSSTNDAKTETSSVDGSVRTSEGDQSEDLSVSNASDLETEWIEEDEPGVYITIRALPGGSRELRRIRFSREKFGEMHARLWWEENRARIQEQYL >KGN43400 pep chromosome:ASM407v2:7:1719689:1725122:1 gene:Csa_7G031610 transcript:KGN43400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEASRVFNSSLLPNFRPLQNTLSTKPHTATFRRHSINCSVSTTDGARVAATGPIPWGCEIDSLENASALQKWLSESGLPDQKMSIQRVNVGERGLVALKNVRKGEKLLFVPPSLVISAESEWSCPEAGEVLKRNSVPDWPLIATYLISEASLMKSSRWNNYISALPRQPYSLLYWTREELDRYLEASEIRERAIERITNVVGTYNDLSIRVFSKHPELFPEEVFNIETFKWSFGILFSRLVRLPSMDGKVALVPWADMLNHNCEVETFLDYDKASQGVVFTTDRAYQPGEQVFISYGKKSNGELLLSYGFVPKEGSNPSDSVELLLSLKKSDKCYKEKLEALKKHGLRASQCFPIQVTGWPLELKAFAYLAVSPPSLSNQFDEMAAAASNKSTAKKDLNYPDIEEEALQFILDSCETSISKYNKFLQASGSMDLDVTSPKQLNRRVFLKQLAVDLCTSERRILFRSQYILRRRLRDLRSGELRALKLFRGFGKLFK >KGN44857 pep chromosome:ASM407v2:7:14789184:14793435:1 gene:Csa_7G392300 transcript:KGN44857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVFSLALSFCSIFLFAIAEASRCSIIGVPLVRNISELPQDNYGRGGLSHITVAGSLLHGLKEVEVWLQTFSPGSHTPIHRHSCEEVFVVLKGTGTLYFAPSSHEKCPGTPKEFPIYSNSTFLIPVNDAHQVGNTNEHEDLQMLVIISRPPAKVFIYDDWFMPHTAARLKFPYYWDEQCFEAPPVKDEL >KGN43819 pep chromosome:ASM407v2:7:4295112:4298576:1 gene:Csa_7G069690 transcript:KGN43819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNSPFSSGHRPLLLAHFLLLFLFVSSFSLSYGDELQPLLDLKSAFSSSSSSSLAFSSWIKGKDVCSSFHGIVCNSNGFVVEINLPAQNLSRIIPFDSICSLKSLEKLSFGFNFLYGKVSDGLRNCSKLKYLDLGENFFSGEVPDLSSLVGLRFLSLNNSGFSGDFPWKSLVNLTDLEFLSLGDNTFNPTTSFPLAILELKNLHWLYLSNCTIYGEIPSRIGNLSLLENLELSQNKLTGEIPYEIVNLKNLWQLELHENSLTGKLPVGLGNLTGLRNFDASSNNLEGDLMELRSLTNLKSLQLFENRFSGTIPEEFGDFKDLIELSLYRNNLIGSLPQRIGSWAAFVFIDVSENFLSGPIPPDMCKQGRMTDLLMLQNNFIGGIPESYTNCKSLNRFRVNNNSLSGVVPTGIWSLPNLSIIDLSMNQFEGPVTSDIGKAKALAQLFLSNNRFSGNLPAELGEASSLVSIKLDSNQFVGPIPESLGKLKDLSSLALNDNKFSGNIPSSLGSCTSLSTIDLSMNSFSGRISENLGYLPILNSLNLSSNELSGEIPTSFSKLKLSSFDLSNNRLIGQVPDSLAIQAFDESFMGNPGLCSESIKYLSSCSPTSRSSSSHLTSLLSCTIAGILLLIVSFLCLLFVKWKRNKDGKHLLNSKSWDMKLFHMVRFTEKEIIDSINSHNLIGKGGSGNVYKVVLSNGKELAVKHIWQSSSRDQANSGTSATMLTKRKTRSSEYDAEVATLSSVRHNNVVKLYCSISSEDSNLLVYEYLPNGSLWDQLHTSRKIEMGWQIRYAIAVGAARGLEYLHHGCDRPVIHRDVKSSNILLDSDWKPRIADFGLAKILQDGNGHGVGDSSHVIAGTLGYIAPEYAYTCKINEKSDVYSFGVVLMELATGKQPNEAEFGENKDIVQWAHSRMRELKGNLKEMVDPSISEAQVENAVKVLRIALRCTAKIPSTRPSMKMVVHMLEEAEPCNFIDIVVKKECEN >KGN44546 pep chromosome:ASM407v2:7:11600974:11602872:1 gene:Csa_7G328830 transcript:KGN44546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFLSLIQELNQGKQLANQLRTHLHPSSSSHGILLIDKILRSYENALLALSGGAAASVNSAIAPVNAAVQDGDVSKKRKVMAKWSEQVKVSSASAVEGPGCDGFSWRKYGQKDILGSKFPRSYFRCSHRFTQGCLATKQVQKSDNDPTIYEVTYKGRHTCNKALHSTNTPQEHQNTFLQHPIPPKQEDKPLQQLHDPLCFMFSSDPIRVKSEDLEYANGGLFQPLRTPSPMFGSEVQDDLSPFRESECSPTFESNDMFGLWCDFETEFVSIPSSMTNISIGDLEECFSFDNLEMFC >KGN43984 pep chromosome:ASM407v2:7:5286284:5295509:1 gene:Csa_7G075640 transcript:KGN43984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METGHSGYKLRCELTGHEDDVRGICVCGNEGIATSSRDKTVRFWNSDGRKYVESKILLGHTSFVGPLAWISPDEEFPEGGIVSGGMDTLVIVWDLRTGEKVQTLKGHQQQVTGITLDNGDIVSSSVDCTLRRWRNGQVLEFWGAHNAAIQSVIKLPSGVLVTGSSDASLKLWRGKTCLKTLLGHTDTVRSLSVMSDLGVLSASHDGSIRLWALSGETLMEMVGDTSIVYSVDSHASEVIVSGSEDCSAKIWKDGICV >KGN43684 pep chromosome:ASM407v2:7:3432952:3436637:-1 gene:Csa_7G058580 transcript:KGN43684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHIGEEVKNKQVIFRDYVRGFPKESDFIITSATIRLKLPQGSNGLLLKTLYLSCDPYMRWLMEFQPAQNSFSPGSLIYGFGVAKVLESAHSGFSEGDLVWGILKWEEYSVMEEPGKLIKIQHTDVPLSYYTGILGMPGITAYFGFHDICSPKKGEYVYVSAASGAVGQLVGQLAKLMGCYVVGSAGSREKIELLKNKFGFDEVFNYKEEQDLDAALKRCFPEGIDIYFENVGGKMLDAVLLNMRPHGRIAVCGMISQYNLDQSEGVHNLLQLAIQRIRMEGFGAPDYFHLNAKFLEAMLPYIREGKISYVEDTVHGLESGPAALIGLFSGRNVGKQVVAISTE >KGN44828 pep chromosome:ASM407v2:7:14575877:14580986:-1 gene:Csa_7G390070 transcript:KGN44828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKSVKYFVVDAFTDSAFKGNPAAVCLLEEERDDKWLADLAAELNICQTCYLIPVNEEEKEEIDDSINPPKFRLRWFNPVDEVKQLCGHATLAAAHILFSTGLVNSNIIEFSTLSGILTAKKVPDVKLLEVSSNALLNSGESQDSYFIEMDFPAIPTVELNSAVDVSLISKALNGASIVDIKTCNMKPNRLLVVLPSEKDVVDFQPNYDEIRKCPGSGLIISGLAPAESKFDFYTRHFAPKVGIDEDPVCGSAHCALAVYWANKLGKSDFVAFMASPRSGILHIHLDDEKQRVMLRGKAITTVEGFVLV >KGN45539 pep chromosome:ASM407v2:7:18847802:18857608:-1 gene:Csa_7G451940 transcript:KGN45539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKVSVKWQKELFRDVEIDTSLPPYVFKGQLFELTGVPPERQKIMVKGGILKDDADWSTVGVKEGQKLMMMGTADEIVKAPEKGPVFIEDLPEDEQVIAVGHSAGLFNLGNTCYMNSTLQCLHSVPELKSALIKYSNAGRSDVDPTSHMLTIATRDLFSELDRSVKAVAPMQFWMVLRKKYPQFGQLQNSTFMQQDAEECWTQLLYTLSQSLRSAGSSEIPDSVKNLFGIDLVSRIHCQESGEESSEAESVYSLKCHISQEVNHLSEGLKHGLKSEIDKVSPSLGRSAIFIRESRINSLPRYLTIQFVRFFWKRESNQKAKILRKVDYPLELDVFDLCSDDLRKQLEGPRLLLRNEEGKKLGLKPKEKASDSADKDVKMSDAEGTSTGSEAQSSVTPQEDSPSSDKETQMTGIYDLVAVLTHKGRSADSGHYVAWVKQESGKWIEYDDDNPIAQREEDILKLSGGGDWHMAYICMYKARVVPK >KGN44846 pep chromosome:ASM407v2:7:14678382:14680024:-1 gene:Csa_7G390740 transcript:KGN44846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLVKPDVDEVEFSFMKGENCTATFCLTNLMHTMSVAVCLSTSNPSVFSFSQDFSIIPPLSSSSYTISCKSSDKLPLSTPPDKISVRSAMLPIGKAHTDDLRRLFSKPGRHVFKDASLLISFVGFDVVEFLISNHKRIPDLRSLLNKAISGCSKSQLTALMEPAVSSGKLGLVSVLIDAGVDVNVKDCLKQSMLSSAVRTGKIDIVKRLIDSHCKIDFSVDLVLHIAAAMNHVDLIELLRENFPDIPVNSVDSDGRTPIHTAAAHGHVEVISFLASVGGDVEAVDRTKWTPLHFAAAGGHLEAVEYLLNCSNVKYAVNSDGRTAFALASENGHTDLFDSLRLDDALHRTARAGDVRGLRSCVAAGAKVNGKDQNGWTALHRAAFKGRVECVKALLEVGAEADAVDNAGYTPLRCAVEAGQEEVARLLLDSGAKPISSKI >KGN43812 pep chromosome:ASM407v2:7:4248325:4251001:1 gene:Csa_7G069140 transcript:KGN43812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAVLANHEPSWPTSKRNGSGGGTFMARVPFSNPKSKSNMKKRKTNGEINNFHQMGEEMGNVTTLSPSDNGSSIDRYHGSSNFEYSQYVSFNITSCSGRDLFELRKRLLGELEQVRRIKSRIESGNISSGPNYLKKSSKTKGLNKRPKVLPSFGKDLQVPNSFEVGNLMKTCAQILNKLMKQKYGLIFNKPVDVVGLGLHDYYDIIKHPMDLGTVKSTLSKNLYDSPLDFAEDVRLTFNNAMRYNPKGHEVHILAEQWLVKFEEMFLPVSRKLGALKQPDPYEEELQSSSWNHVEEVENVNFNSNGNKPVEVVVPSSLTKPPSVQSPVRTPSPVRAPQVKPVKQPKPKAKDPNKRDMSLEEKHRLGIGLQGLPPEKMDQVIQIVKKRSGHLRQDGDEIELDIEAVDTETLWELDRLVTNWKKMMSKVKRQALINDNTNADSNKENNEISSVNEMMNEVKTEAKKLRKGDVGEEDVDIGDEVIPMGGFPPVEIERDAAARASSSSDSSSSSGSDDSSSSSGSDSDGSSSDSDSDGDGQS >KGN44844 pep chromosome:ASM407v2:7:14669025:14670464:-1 gene:Csa_7G390230 transcript:KGN44844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSASTKGGRGKPKATKSVSRSQKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLSAVLEYLAAEVLELAGNAARDNKKNRIVPRHIQLAVRNDEELSKLLGDVTIANGGVLPNIHQTLLPKKAGSGKGDIGSASQEF >KGN45060 pep chromosome:ASM407v2:7:16021383:16022839:-1 gene:Csa_7G419560 transcript:KGN45060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSSPPLKLFQALFSKLLCIIFFFSLSTFATTSLRVGFYSSSCPDAEAIVEDAVDKAVSRNPGIAAGLIRMHFHDCFVRGCDASVLLESTPGNPSEKYHVANFPTLRGFEVIDEAKAKIEAVCPNTVSCADVLAFAARDSANKVGGINYAVPAGRRDGFISRKEDANALPGFTFHAERLASEFGKRGLSVEEMVTLSGAHSIGIAHCPTFVGRLYSFNTTHAQDPSLDPSYADYLKSKCPQPSSSGDDGSQQPDVDLDFSTPHRLDNRYYIELKNHRGLLISDQTLLSSSLTSKMVLRNAHYGSKWATKFGKAMVKMGKIDVLTGSKGEIRRQCSFVN >KGN43372 pep chromosome:ASM407v2:7:1507709:1514385:1 gene:Csa_7G027880 transcript:KGN43372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIAGTPWVRIKAFPILNPPILHRSNFYFGVRCIPKFRRSFSVSASVDKVDGGDVRVRFAPSPTGNLHVGGARTALFNYLFARANGGKFVLRIEDTDLERSTRQSEEAVLRDLSWLGLDWDEGPNVGGEYGPYRQSERNALYKQYAEKLLESGQVYRCFCSNEELEKMKEVAKLKQLPPVYMGKWASATNEEVREELEKGTPYTFRFRVPQEGSLKINDLIRGEVSWNLDTLGDFVIMRSNGQPVYNFCVTVDDATMAISHVIRAEEHLPNTLRQALIYKALGFPMPYFAHVSLILAPDRSKLSKRHGATSVGQFREMGYLPEAMVNYLALLGWGDGTENEFFTLEQLVEKFSISRVNKSGAVFDSTKLRWMNGQHLRALPSEELTKLIGERWKSTGILTESEGPFIEESVQLLKDAIDLVTDADKALSNLLSYPLHTTLTSSEAKPLVEDKLSEFSASLITAYDSGEILNALEEGPSGWQKWVKSFGKSLKRKGKSLFMPLRLLLTGKIHGPDMGASIVLLHKARSSGVVAPQAGFVPLNDRFEILRQIDWDAVTKDSPLVESPAPAAVPN >KGN44005 pep chromosome:ASM407v2:7:5558307:5558993:-1 gene:Csa_7G098730 transcript:KGN44005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQNGVVQFQQYQKTSIGDQKKTKPTSHQTLKKVTKLLFSISLFSFFITNLPFHFHVISSHFFNQPIDKNSMFLLCNALLVFLANYSGLFKSLSSSSSSSPKHLDTNFRFFDFGLDLLQKPSSIHSETPEEKKDDALQNPPNLEQQQQQAEVLGETLFGSETGELVEMIQEEEEEDEENCGVMSDEELNRKFDEFIKRMKEEIILDDAPRTLVVV >KGN44056 pep chromosome:ASM407v2:7:6168832:6172349:-1 gene:Csa_7G145990 transcript:KGN44056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALVADLFALLKIFLSVLASLLYSYFISSKLPKGLPRLLSLLPILSLFTLLPLLVSSILFAAIVSSIVTWLTSFKLLLFSFHSGPLVSDPPLPFLLFVSLAFFPIRIKKKESQKPIDSPKLPINFPAKVFLFTVLMAVEDSLPPYMKLCFNCVALYFFIDIVVGFFNTIARWVFGIEFEEPSNEPYLSTSLQDFWGRRWNILVSNLLRLTVFIPVRSAMIDVAGRRRSAAVGVFAVFVVSGLMHELLFYYVNRESPSWEVTGFFVLQGVCVLLEFQLKMAVGEKFRMHYAVCWVLTMGFVVVTASWLFFPPVLRNGTVARLTGDCRAVLELVNDLVKFVLKSSS >KGN44330 pep chromosome:ASM407v2:7:9255812:9257865:1 gene:Csa_7G257340 transcript:KGN44330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLESKQTKPTPHHHPSHFLSQQPNMGGRKDEISTTSGLQVPLIKSLHPSKKPKKRNHFAFLCSIVASMSSILVGYDIGVMSGAAIYIQQDFNISDVQVEILVGIISLFSIIGAAVAGITSDWLGRRYTIVLSAALFFFGAVLKGFAPNYPFLMFGRFVAGVAVGSASLIASVYTAEVAPTSSRGCLYTFPEVFVNVGILIGYVSNFAFSKFPTNLGWRFMLGLGIIPSMLLSIVVILIMPESPRWLVMQGRINEAKQVLIRTSDSIEESIQRLADIKTVVGIPTSCDEDVVQVPKRKTHGSGVWKELFLHPTPAVVHILITAVGVNFFAEATGMNVVVSYSPRIFEKAGISSTDHKLLTTMGVGITKTVFVLIATGMFDRIGRRPLILTSIAGKTISLIVLGVGMTIIDKSKEENTWVVGLCVAMVLTDVSFYSIGMGPMCYVVSEIFPLKLRSQGVSVAMITNRIMDSIVGMTFLSLYTAITIGGTFFLYGAFGVVGFIFFYVVLPETRGIELEELEGLFGNFLWKFSNNNRP >KGN43622 pep chromosome:ASM407v2:7:2998188:2999450:1 gene:Csa_7G048060 transcript:KGN43622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKIPVNVPTSAEDSDFTCGTASFSDVFFRYLEDGETSSSGSFCNSDDEDEQNSFDLKESKAFWNSQDELLQTTLRRTTSVESRLRRATAMILREISMESTICECGTSPSGSCRNCWQREICNRLRITGLNCAVCKSKWRSSSDIPSGEHSYLEVLDNSNSRRGEVRVVIELNFRAEFEMARANEEYNKLIRRLPEVFVGKEERLWSLIKILCTAAKRCTKEKKMHLAPWRKQKYMQSKWVGRRERERAATVPLPVSFRERPAKSKASMLTFDLVDNLGGLHCTAVEVV >KGN44734 pep chromosome:ASM407v2:7:13673005:13673945:-1 gene:Csa_7G375760 transcript:KGN44734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSRFLNLLLLLCFAAATAEVASARRPGFLFSRTTGRCTAQFWSSRSEAWPRMAPESATVAKIFGSRAHERYGSEMTLMEAAAGAGDEEEEVFGRVVKEATAALLNSYTRRRVFPYSAWEVKTLFIKALVSKEAAVLQSQRFAFANESCN >KGN44789 pep chromosome:ASM407v2:7:14293391:14301413:1 gene:Csa_7G387730 transcript:KGN44789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAATMSNSTSLSEEAASVSSTTRIHPDFTSLNPLLPSFSDIHHPPQKPKKKRSLPGNPDPDADVIALSPKTLLATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLKQRNNKEVKKKAYVCPEPSCVHHHPSRALGDLTGIKKHYCRKHGEKKWKCDKCSKVYAVQSDWKAHSKTCGTREYRCDCGTLFSRKDSFITHRAFCDALAEESARLSANQLAMAAAVAAAAVSGATDVNINSSSATAAVQSLFPYGNHHHQYSSPSLSSLPMASQTHVSLNPWEHHQNPNNPNHNLQIIKPEDSNSHPPHNSHNFHQIPNFALSNSASSNNNNNNPFGLIQEHQHHHQQQQKVPSSSTSSMITSPFRNLHVSVHQGSNAATSAHLSATALLQKAATVGVSASKSGHHQAESAGHITHFNMPNIPEFGPPNHIDSLSQLGPDYATWQKTDRLTRDFLGLTGDGNGGAGGGSAGDGVVQARIGKSKWQ >KGN43683 pep chromosome:ASM407v2:7:3432080:3432436:1 gene:Csa_7G058570 transcript:KGN43683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDFDEFSRILHNRVSFPFQRSPNEVPFIEIRVGRPQNFGYSVDILLLWEATDIISENHRFVPHFVADMHHCTFPIGSQCVKFDTAEASSYLSISYEMIVKNEKVYFLFKYTKMIFYM >KGN44150 pep chromosome:ASM407v2:7:7352852:7353195:-1 gene:Csa_7G207010 transcript:KGN44150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWAPYFFSNPFNGVCDGSTSESQSPASDPQSQMSNWLLFINALSGHQSTILDLHQQSAVVGLVPIAGQNSAVPVHH >KGN44899 pep chromosome:ASM407v2:7:15062891:15069124:1 gene:Csa_7G394680 transcript:KGN44899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARSSGGSSHSHGYTNRLATEHSPYLLQHAHNPVNWYPWGEEAFAEAQKRNVPIFLSIGYSTCHWCHVMEVESFENKEVAKLLNDWFVSIKVDREERPDVDKVYMTYVQALYSGGGWPLSVFLSPDLKPLMGGTYFPPDDKYGRPGFKTVLRKVKDAWDNKRDVLVKSGTFAIEQLSEALATTASSNKLPEELPQNALHLCAEQLSQSYDPNFGGFGSAPKFPRPVEAQLMLYYAKRLEESGKSDEAEEILNMVIFGLQCMARGGIHDHVGGGFHRYSVDECWHVPHFEKMLYDQGQITNVYLDAFSITKDVFYSWVSRDVLDYLRRDMIGTQGEIYSAEDADSAESEGATRKKEGAFYVWTRKEIDDILGEHADFFKEHYYIKPSGNCDLSRMSDPHDEFKGKNVLIEMKSVSEMASNHSMPVEKYLEILGECRQKLFEVRERRPKPHLDDKVIVSWNGLTISSFARASKILRNEKEGTRFYFPVVGCDPKEYFDVAEKAALFIKTKLYDEQTHRLQHSFRNGPSKAPGFLDDYAFLIGGLLDLYEYGGGLNWLVWAIELQATQDELFLDREGGGYYNTTGEDKSVILRVKEDHDGAEPSGNSVSAINLVRLSSLVSGSRSNYYRQNAEHLLAVFEKRLKEMAVAVPLLCCAAGMFSIPSRKQVVLVGHKNSTQFETFLAAAHASYDPNRTVIHVDPTDDTELQFWEENNRSIAVMAKNNFAADKVVALVCQNFTCKAPITDPGSLEAMLAEKPS >KGN45175 pep chromosome:ASM407v2:7:16712186:16716094:1 gene:Csa_7G429610 transcript:KGN45175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALATNMRRNACVVTNWLVASGKLNFLSVGSENPSFSIIRKMNGLRIFGNQLEASRTYATSCAKLSDEIQKENSDSNMLVDSFGRMHTYLRISLTERCNLRCQYCMPAEGVDLTSSPKLLTRNEIIRLANLFVSSGVNKIRLTGGEPTIRKDIEDICFELSNLKGLKTLAMTTNGIVLARKLPKLKECGLSAINISLDTLVPAKFEFMTRRKGHEKVMESINAAVDLGYNPVKVNCVIMRGFNDDEICNFVELTREKPINIRFIEFMPFDGNVWNVKKLVPYSEILQTVAKNFTNLTRLGDHPTETAKNFRIDGHQGSVSFITSMTEHFCAGCNRLRLLADGNFKVCLFGPSEVSLRDPLRQGADDNELREIIRAAVRRKKASHAGMFDIAKTANRPMIHIGG >KGN45462 pep chromosome:ASM407v2:7:18350339:18359344:1 gene:Csa_7G448730 transcript:KGN45462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPETPLDFAVFQLSPRRSRCELFVSSHGNTEKLASGSVKPFVTQLKVAEEQFAHAVQAIKLEVERGGNGDAWFTKGTLERFVRFVSTPEILELVNTFDAEMSQLEAARRIYSQGEGDRHSGTSGGDGTGAGSTDETKKELLKAIDVRLLAVRQDLVTAATRALAAGFNPSTVSDLQLFADQFGAHRLTEACSSFLSLSRRRPELVNTWTPGMDDRAVRSSCGSDMSIDDPTEDPIGRHNKPQYQTENKHDPQSGTTSRTEEQSSHVDESKPTTCQPAKSSATVPSRRNVKDETLLENLEKEKNGEETPTELKSTPVGPPARRLSVQDRINLFENKQKENTGGSGGGKPVSGKPLELRRLSSDVSSAPSAVEKAVLRRWSGVSDMSIDFSNEKKDIESPLCTPSSSSISDTKSNVFSSATEIESEKRLADLESKTGLEKRGSLVRVGDDESKQQGEEQNPFESYTGKEAWASSSQAQFRSISGGADPVGLNDRGVSKGSVKNLSSSDDKSKGFKGVLVTETQGKSSVDRAEIDGAKNQVASQVDGFAKKTGDDATDGRLGNKMDDSRSRDHLAYPLRPRDSRGHSRSFSNQFESGGIKLESSSTQYMEVDGGQLPHQRRSFKPEPEAVASKNLASSDTYNLKVEDFGVQKMKLQKPERSRQAEKSQVGREESSSLHERSKLDMIGKSGTDGQESTPTISSIPGERVQRGRQTKGNQELNDELKMKANELEKLFAEHKLRVPGEHSSSARRNNTADVQLEQAISSQHRTPSALDTAPPPAQMVERSGVIESTGSSNKMENVYTTPAKLINNHDFSDDSRGKFYNKYMQKRDAKLREEWSSKRAEKEAKMKAMQDSLEKSKAEMRVKFSGFVDRQDSVASARRRAEKLRSFNNRSQTRDQLQINSIQSEDDGDFPEVLEQKLNGNDRLHSDSYISDSASRSNQNKKALPGRNLSSTPRPTGATAPPRSVGKVSHSSSGRRRGQTENLLAQSVPNFSELRKENTKPSERKSTTRPLVRNYSRGKTSNEEPVIKEEKPRIAQSSRKNSASAIDFKDILPLNTDNVVLAPLLLDEEQNDESIYDKYLKGIDSKPFLRKGNGIGPGAGTSIAKLKASMESETSKDDEDYDEVAFEGSEIMPKQEEEEEGHEKMEMKLAHMDNGKLRLSQESGRSSNSGSEIENSMRSHSHSRVDHSTISELPSMLPSFHKAGLLQDSPGESPLAWNSRMHHPFAYPHEASDIDAYMDSPIGSPASWNSHNITQAETDVARMRKKWGSAQKPSLIATSSSQPRKDMAKGFKRLLKFGRKSRGTESMVDWISATTSEGDDDTEDGRDPASRSSEDLRKSRMGFSEGHDDGFNENELYCEQVQELHSSIPAPPANFKLREDHMSGSSLKAPRSFFSLSTFRSKGTDATSR >KGN44780 pep chromosome:ASM407v2:7:14171047:14173721:1 gene:Csa_7G387150 transcript:KGN44780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRAMPWSDDEEDNSSSLSQSDSDGDEDNGETKASFRVKAGRSSKEKDTEVKPGGKRKSVAVDFDTLQRHGYRGGPSVLKVPPPKENEKQDWSWSNGRETRENRENEESYEERQRTRAALENGEQLLTAQTRKEKEKEKEKEREKEKEKKNVSFSQKEKRKRELGQASRGKNYVEEEKRMLRESGIYSGFDT >KGN44370 pep chromosome:ASM407v2:7:9647275:9648286:1 gene:Csa_7G272120 transcript:KGN44370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEFPSSNLVSPGIDLASWISISTCLPALFSKFKPRERELESKSLEVDEPFGSKCIIEASTLLDSNSRLRSSFLSLLCLFFCNCLNLSCNSAMEVPTMVGSLLCLPIGEYTQGEFKLQLLIVCEVYIVMEINVYL >KGN43905 pep chromosome:ASM407v2:7:4848557:4850438:1 gene:Csa_7G073410 transcript:KGN43905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMATTSAFAFLSIFNLLLFSTFSALALDIGIGIGIGSNGKTPPPSESPDCNTPPPPPPPPLPPPPPPPTLPPPPPMPKPPRKQPPSTSPTKPPALRFESERIRIAYFVIKDYKSRIENDPLQVKKTWVGTDVCHYTGFSCDVVPNYGKQRGVSGLSFNNFNFSGRRLSLDGLVEKLPDLTFFHANSNFFFSTIPKLISTVNFFYELDLSNNKFTGPFPSEVLGAVNLTFLDIRFNNYYGPIRSELFDMDIITAIFLNNNKFNQDIPANLGNTPARYLTFTSNELTGPIPKSIGIGKTKKNLIEVLFSDNKLSGCLPMEIGLLENTVLFNASKNCLTGPIPYSFCCLTKMEILNFFGNNLYGAIPEDVCKLPNLQKFNLSNNFITQVGPVCRSLIWKNILDVSGNCILGLPKQRPEKECTNFFSKAHSCPDEKSMKIIPCKATDYYQSHPPPPRKLTEMRTTAALIPN >KGN43359 pep chromosome:ASM407v2:7:1455202:1459646:-1 gene:Csa_7G027260 transcript:KGN43359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGDIRVQVVTCSVTLPSSLVTLPHRSSSRLSYSYHLPLGLKSKVKQIKATSADAGHSQPPSSSERRNPLSLFLDVPRTVWRQTLRPLSNFGFGQRSIWEGGVGLFLVSGAILLTLSLAWLRGFQLRSKFRKYLAVFEFAQASGISVGTPVRIRGVTVGNVIRVNPSLRCIETVVEVEDDKIIIPRNSLVEVNQSGLLMETMIDITPRDPIPVPSAGPLDPECIQEGLILCDKQKIKGYQGVSLDALVGIFTRLGREAEEIGLTNTFLLAQRVALVIEEAKPLLLKIQAMAEDVQPLLAEVRDSGLLKEVESLTRSLSHATEDLRSVQASILTPENTELLQKSIYTLIHTLKNVESLSSEVLGFTGDEATKRNLKLLIRSLSRLL >KGN43583 pep chromosome:ASM407v2:7:2797376:2802529:-1 gene:Csa_7G046710 transcript:KGN43583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIFSFFSRASRAFHGSPAYSKLLLISTLSGGGLLAYADSQSDVGGSVEESPKKRVLVLGTGWAGTSFLKDLDASKYDVQVVSPQNYFSFTPLLPSVTCGSVEARSIVEPVRNIVKKRKGEIKFWEAECLKIDAANKKVFCQSNVDNNLVGNREFALEYDYLVIAMGAQVNTFNTPGVKENCHFLKEVEDAQKIRRGVIDCFEMAVIPSLSEEERRRNLHFVIVGGGPTGVEFAAELHDFFEEDLVNLYPSVKDLVKISVIQSGDHILNAFDERISSFAEQKFLRDGIDVYTGCRVVSVSDKEIEMKVKSTGESCSMPHGLIIWSTGIMTRPVVKDFMEQIGQGSRRILATDEWLQVKGAQNVYAIGDCATIDQRKIMEDIATIFKAADKDNSGTLTVTEFQDVLDDILIRYPQVEIFLRSKHLRDVKDLLRDSQGHENEIDIEGFKSALSIADTQMKSLPATAQVAAQQGAYLSRCFNRRDYCTENPEGPRRFKSSGRHQFLPFRYKHLGQFAPLGGEQAAAELPGDWVSMGHSTQWLWYSVYASKQVSWRTRYLVVSDWTRKFIFGRDSSRI >KGN43918 pep chromosome:ASM407v2:7:4891078:4896686:-1 gene:Csa_7G073540 transcript:KGN43918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIAPAAAPSIDRDAASVDAVQPSSSSTDSVPGNEANLSTSFPGPKYDDDEEEEDVCRICRNPRDADNPLSYPCACSGSIKFVHQDCLLQWLNHSNARQCEVCKHAFSFSPVYAENAPSRLPFQEFIFGIAMKACHVLQFFLRLSFVLSVWLLIIPFITFWIWRLAFVRSFGEAQRLFLSHLSATVVLTDCLHGFLLSASIVFIFLGATSLRDYFRHLRELGGQDGEREDDADRNGARAVRRPPGQANRNFAGDANGEDAGGAPVLAGAGQMIRRNAENVAARWEMQAARLEAHVEQMFDVDDADGAEDVPFDELVGMQGPVFHLVENAFTVLASNMIFLGVVIFVPFTLGRIILHYVSWLFSSASGPVFSTMMPLTESALSLANITLKNALTAVANLSSDGKESGLLDQVAEMLKVNSSTLSDVSNNITAPLSVDLLKGAATGGSRLSDVTTLAVGYIFIFSLVFFYLGTIALIRYTRGEPLTMGRLYGIASIAEAIPSLLRQFMAAMRHLMTMVKVAFLLVIELGVFPLMCGWWLDICTVRMFGKSMAQRVQFFSISPLASSLVHWAVGIVYMLQISIFVNLLRGVLRSGVLYFLRDPADPNYNPFRDLIDDPMHKHARRVLLSIAVYGSLIVMLVFLPVKLAMRMVPSIFPLDISVSDPFTEIPADMLLFQICIPFAIEHFKLRTTIKSLLHCWFTVVGWALGLTDYLLPRTEENVGQENGNGEPGLQEELQVVHLGGQDQALVPHAAANDPNQVPTSGNSSNEEYDNEEQTDSERYSFALRIVLLLVVAWMTLLVFNSALIVVPTSLGRALFNAIPLLPITHGIKCNDMYAFVIGSYVIWTAIAGARYSIEYVRARRVTVLLGQIWKWFAIVVKSSALLSIWIFLIPVLIGLLFELLVIVPMRVPVDESPVFLLYQDWALGLIFLKIWTRLVMLDHMIPLVDDSWRVKFERVREDGFSRLQGLWVLREIVVPIIMKLLTALCVPYVLARGVFPVFGYPLIVNSAVYRFAWIGCLCVSVLYFCAKRFHVWFTNLHNSIRDDRYLIGRRLHNFGEDSEEKQIDVGTLLEIQNAHLLGTGHAAVAGEGLRLRRVVGN >KGN43983 pep chromosome:ASM407v2:7:5274402:5285297:1 gene:Csa_7G075630 transcript:KGN43983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSLGPIIIHMVCGLWTMPLVPSSGTRLKFSSMECTCSSLGSPALTTVPSSLVRTAFLEKSVLNAQPLKLSNKKTFPLPGRLKFLHTRAQASSSTSNFSSGAAPTISKKEDSKNEDLVFVAGATGKVGSRTVRELLKLGFRVRAGVRSSQKAETLIESVKKINLDEAVEKLETVVCDLEKPNQIGAAIGNASIVICCIGASEKEIFDITGPYRIDYLATKNLVEAATVVKVKHFVLLTSLGTNKIGFPAAILNLFWGVLLWKRKAEEALIASGLPYTIVRPGGMERPTDAFKETHNTTLSPEDTLFGGLVSNLQVAELLACIAKNPGLSYYKVLEVIAETTAPLISLEDLLKKIPSKVANVFPEKEYGAAQTVDSSPKQSSIAKEKESAEENVTEQPPSQSVISEQLSITKEKESAVANATKQSSSPYIAYEDLKPPTSPTPAAPVGKKDSNVVEGVASSAQTSSVEASSEIAEANPPPAPAPEKAVTSKPLSPYTAYEDLKPPTSPSPSVPSLSFSSASTSNGPPQPATYAINSTLAIPEAEDSKSEAHLPKPKKQPLSPFTMYEDLKPPASPTPSL >KGN43354 pep chromosome:ASM407v2:7:1433285:1439484:1 gene:Csa_7G026240 transcript:KGN43354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTCRGSFKGNIFQGYSQPEDSSTPNSKRNTNTSADHSPSSISTNNLISQEFAKENKIKSKDNDCNPTFLSPTKKDYTMRKSAENQAYYVLGHKTANIRDLYTLGRKLGQGQFGTTYLCTEITTGIEYACKSISKRKLIAKEDVEDVRREIQIMHHLAGHKNIVTIKGAYEDSLYVHIVMELCSGGELFDRIIQRGHYSERKAAELTRIIVGVVETCHSLGVMHRDLKPENFLLVNKDDDFSLKAIDFGLSVFFKPGQIFTDVVGSPYYVAPEVLLKHYGPAADVWTAGVILYILLSGVPPFWAETQQGIFDAVLKGHIDFDSDPWPLISDSAKDLIRKMLCSRPSDRLTAHEVLCHPWICENGVAPDRALDPAVLSRLKQFSAMNKLKKMALRVIAESLSEEEIAGLREMFTAMDTDNSGAITFDELKAGLRRYGSTLKDIEIRDLMDAADIDNSGTIDYGEFIAATIHLNKLEREEHLVAAFRYFDKDGSGYITVDELQQACAEHNMTDVYLEDIIREVDQDNDGRIDYGEFVAMMQKGNAGIGRRTMRNSLNLSMRDGPGAL >KGN44761 pep chromosome:ASM407v2:7:13936456:13942143:1 gene:Csa_7G378500 transcript:KGN44761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSMAIPLLSSTIVFPFSTKSQLPLNQTLFSINASSSNSHCSWKWRTQLLLHQPSLPISTRSRKNVLPALSDDDSEVSTQSSETENETELAKKWREIHGSGDWADLLDPMNPILRSELIRYGEMAQACYDSFVYDPYSKYCGTSRYPLESFFQSLGMENEGYQVTRFLYATGNIQMPNVFIKPRFPELWSKHANWIGYVAVSDDETSKRLGRRDIVVAWRGTVTKLEWVEDLTDYLTPISAKNIRCHDPRVMVESGFLDLYTDKEDGCEFCKFSAREQILAEMKRLLEKFDGEEMSITITGHSLGSALAMISAYDIAEMGLNKTSDGGNAHVSVFSFAGPRVGNVQFRERLNNLGVKVLRVVNIHDVVPKSPGFFFNENLPSWVLKMIERLPFTYVHVGVLLQLDHLDSPYLRRSTSPGCSHNLEAYLHLLDGYQGKGMKFERAVGRDPALVNKSCDFLEDKYVVPPMWRQDQNKGMIYVDGRWVFADRSDIDGHPKDTHHHLKKIGLFSNKD >KGN45335 pep chromosome:ASM407v2:7:17555799:17557346:-1 gene:Csa_7G440575 transcript:KGN45335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFATVLKRSPRQHSCGFCSYWHRLVCKFGDYFDIAFLVILFHQNILMVTVFCIQHYDRRGQCDIALSKIDEAIKHTPTVIDLYSVKSRILKHAGDAVASAALADEARCMDLADRYINSDCVKRMLQADQVALAEKTAVLFTKDGDQHNNLHDMQCMWYELASGESYFRQGDLGRALKNFLAVEKHYADITEDQFDFHSYCLRKMTLRAYVDMLRFQDRLHSEPYFQKAAIGAIR >KGN43417 pep chromosome:ASM407v2:7:1819347:1823445:1 gene:Csa_7G032270 transcript:KGN43417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVNKEEALKAKEVAEKRFGKRDFNGAKNYALKAKTLFPEMDGISQMVATFDVYVASEIRCNGEVDYYSILGLKPSANKEAIKKQYKKMAVLLHPDKNKTVGADGAFKLVSEAWALLSDNSKRNAYDIKRTSQLGSGVNHQPNLSSPHASAATSFNNYANMSMSHGRLDTFWTVCTSCKVQYEYLRKYVNKKLRCKNCRGVFIAVETGAAPVNGSFPYCSWSNVAGNRYGSHGFEGVTYIPGDTSFYTGHGYEYVSNVSFQWNSSSGVYTQTLGPNGPSSVPIDNVGQTNGHFSMSAVKDKARVNGKRATKNKLANMNASTPSSCIEVLGCDFNAADKRRKVVADASLRNGYVEKGPLPASDSGLANGNATVKHEPVVSSPTELSAKRNPVPPAFDARKLLIEKARTVIRKKLEEMRISSANAAAHEKSKTGPQVSMVGKTGRAPKTTNSDVSGRWLEKDRAGPISINVPDSDFHDFDKDRSEECFKAKQIWALYDEEDGMPRLYCLIREIISVKPFKILISYLNSKTDTEFGSVNWLEYGFTKSCGNFRAWNSDVVEHINIFSHLLSREKAGRGGCIRIYPRSGDIWAVYRNWSSNWDRSTPDEVRHRYEMVEVLDDYSEELGCCICPLVKLTGFKTVYQRNADKDAIRWIPRKEMVRFSHQVPSYLLKGEANNLPEHCWDLDPAATPDELLHTATENEGLTETQLS >KGN43998 pep chromosome:ASM407v2:7:5432643:5432838:1 gene:Csa_7G087710 transcript:KGN43998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIIHLNAEELRCSEAPLAVWKPFVGWKSFVVPALCCVELECGDESRVSTNVET >KGN45400 pep chromosome:ASM407v2:7:17893373:17894226:1 gene:Csa_7G447150 transcript:KGN45400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPKNSNPQNKTTSSLPTTPASKRPRDCNKHPVFRGVRKRSWGKWVSEIRQPRKNSRIWLGTFPTPEMAARAHDAAALCIKGDSAILNYPELADILPRPASLMPQDVQAAAAKAAAMVHLNSAGLPSEEEELSEIVELPNIEDDFCTESLNEFKLVTESWEWWESVAMPLAAEFGSGYFSGQTTAEEICYPSSFYGVLWD >KGN45553 pep chromosome:ASM407v2:7:18919660:18920117:-1 gene:Csa_7G452080 transcript:KGN45553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIKLTYGVNKYWQADPFLPKAYPWSGLICDNETAPKNNHCRDLSSSDLTGEISPYIFNLSMLQTLDLSSNSLSGNVPDFLANMKSLKVM >KGN44082 pep chromosome:ASM407v2:7:6511384:6511596:-1 gene:Csa_7G176610 transcript:KGN44082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLTQPSSDDEANEEEAMMRIVNDRDNVANPSIEREIRETSPYLDPLHHNNVNYEEATTPLPSSQVIFPV >KGN44481 pep chromosome:ASM407v2:7:10644006:10645445:1 gene:Csa_7G306890 transcript:KGN44481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSWPKDLMMLVILLERKISNAKNGGGLALAKLKDANIDQMGPDYPMKESTHVPDFHPWNSEVFNLLFYPVELLELLLSSSQFSQLSLNWCYPCPTQAIDEIFLDLDALRKRMFGVWKEWHAVASWTWNAQDETCGICRMAFDGCCPDCKLPGDDCPLIWGACNHAFHLHCILKWVNSQTSQAHCPMCRREWQFKG >KGN45497 pep chromosome:ASM407v2:7:18577167:18577683:1 gene:Csa_7G450560 transcript:KGN45497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVLFICSGIAIICSLSIALLFFNLTMILGWFNCCCRSPEMTNVKNIKYEDSNLMKISTIMYKKENKSEETNITSECALCLSPYEDGECIRELLACNHVFHASCIDIWLYSHSNCPLCRTPLGRVACINGELTSGVVVAISNSLQIETSTHGSQREASPENMV >KGN43393 pep chromosome:ASM407v2:7:1674040:1674445:1 gene:Csa_7G031540 transcript:KGN43393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVFSTWKYLFLLLAFGYLTNMAAPNSQFPVYILSRARKFDVQDMAVALESPKGI >KGN44353 pep chromosome:ASM407v2:7:9514514:9514702:1 gene:Csa_7G268500 transcript:KGN44353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQCGLIQIPTRKHKPKPKPKLKTQRLINRNSEMIQVTLAITKVQSFPQREAYLGIPPCEWR >KGN44101 pep chromosome:ASM407v2:7:6757348:6763389:-1 gene:Csa_7G186690 transcript:KGN44101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGFEGPGTSDEIRESFENSEDERRLSKIGNLKKKAINASNKFTHSLKKRGKRKIDYRIPSVPIEDVRDAKEESAVHELRQKLLERNLLPVRLDDYHTLLRFLKAREFNMEKTIRMWEEMLNWRKEYGADTILEDFEFEELEEVLQYYPQGYHGVDKEGRPVYIERLGKAHPSRLMHITTIDRYLKYHVQEFERALHEKFPACTIASKRRICSTTTILDVQGLGMKNFSRTSANLLAAMTKIDSSYYPETLHRMYIVNAGSGFKKMLWPAAQKFLDVKTVSKIQVLESKSIGKLLEVIDSDQLPDFLGGSCTCSGVEGGCLRSNKGPWNDLDIMKVVHNAGATFVRQGPKVGKNEAKCDSRVQMPSMKGRNSDTSLADSGSDLDDPYSPLGRAGSLVTCLAPVHEEHRTADPNAYYSCDDFLPASSVADSAEGIGGSQGPSLEIMENGNFLNNESSNTEDVIENEHEILKQKLEKRNVQSMGRVLISFWVKLVAVVRSLQFQFWKRQNNIYPSNTVHITNNNAAAIQVVNEEDFVGPCLQRLERLEKIFVELSNKPAKIPLEKEHLLTESLDRIKSVEFDLEKTKRALHATVLKQLEAGELLEKLRDSQCQQRRFLC >KGN43450 pep chromosome:ASM407v2:7:1990087:1991138:-1 gene:Csa_7G037540 transcript:KGN43450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSKPFILLGLLFSIVILSSEDVNTVTARDVKFSEFYFISTPLLDDDTYCNNKKLLWKLMNFMTKSTAMVLEEDKLDSSNNASMVAGTVVVAASVVVASIAARLAGEAVVDDVEPQAKGIPTKRILLLQIMALISQVDMYRHSDKIGWSTLNM >KGN45174 pep chromosome:ASM407v2:7:16712163:16712468:-1 gene:Csa_7G429600 transcript:KGN45174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESIAVFFRLRRLKKTMVIQLNPEAEPEKNDGNRRDRPLIPVRFLRLFGGVKGQKFMAFGLSDSESVKFDYFDLCCKFFPVGFVHRCHRDFGLNLFEEKIS >KGN44611 pep chromosome:ASM407v2:7:12399462:12400464:1 gene:Csa_7G342790 transcript:KGN44611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKLIEASLKQQKRLESISLHVPTYYQILPDRVSALNLNTSLCSEAKASGTELGSREAENVISVLPKEKKVSSPPLWYITIDELNSLSS >KGN43764 pep chromosome:ASM407v2:7:3988427:3990030:-1 gene:Csa_7G066220 transcript:KGN43764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLARFNRPITYAFDNISWNSKTHLVINFPTTQILRVISYSSFFAMVILTFPCIVSILGQETGPSEFFSVPDMVDSEKLDLFFRDLGHEGFSNNGHKVLILSSAETNGLIQIRVLDGDEHKLNIVVDSDFDRTGLFSDDSFDFVLSWGFMDSDFIDRILKIGGIVAFPLVNNNDPSSHFEKKPNYKPVFLNRYTSIIVAMEKTVMADKLVYTSASRRRLLKSSLPTRNAALRDLEDVTKPNELGRKIKYLPDVSKLEETSSSVTSRQEMLLE >KGN43214 pep chromosome:ASM407v2:7:538911:539494:1 gene:Csa_7G009100 transcript:KGN43214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIRLPGVVNAKQILHRIRNSDSIPKGHLAVYVGETQRKRFVVPVSYLSHPSFQTLLSQAEEEFGFHHPMGGLTIPCREEAFLNLTQSLNGS >KGN43789 pep chromosome:ASM407v2:7:4127819:4136699:-1 gene:Csa_7G067440 transcript:KGN43789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGQLVKGVILDDSVLFINSNAHNPNHSLRPTSDALLRHLRYSMFRTGISSRLDSSDCKDIIKEKAESHSIHCFSLSAFLTEDDINEIMLSWGDIRNSILYVISSEKKDDINHLIDQGWLVVVLNVQDDSACENLGTVCISKLEELPLSICRLNRKAVDCSILVVGYTMKLSRELDFSKRGAFPLYPTDNGLIFMPLTFDLPLSSQLSEVDVILHKATDEILYVELSNSSDLSNKITYSSRMQELQRYIEVHPDLCVIDPLNNIKPVLDRLEIQQILLGLEALKPKGCIIRGPYFLKVGNFNEDNLVQKLSEAKLSLPCIVKPQVACGVSDAHKMAIIFDVEDLKNLDVPLPAIIQEYVDHSSTLYKFYALGEKIFYAVKKSTPNRSILMNLRQGVGPLVFDSLKSLPIANESQQHLDGKSSDTNNKDLNFELVENAANWLRRVLDLSIFGFDVVVEDKSGDHVIVDVNYLPSFKEVPDDIAIPAFWEAIKNKYESIKNSSS >KGN44294 pep chromosome:ASM407v2:7:8804945:8805634:1 gene:Csa_7G239560 transcript:KGN44294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRLYRYMCISPPIFAGFAFVYFEDERDAEDAIRGLDNLPFGYDRRRLSVEWARGERGRHRDGSKSMANQRPTKTLFVINFDPIRTRVRDIERHFEPYGKVLNVRIRRNFAFVQFETQEDATKALECTHMRYFPPLYLYFPSN >KGN43286 pep chromosome:ASM407v2:7:1031585:1033853:1 gene:Csa_7G017690 transcript:KGN43286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFSFGSLLILSAIFRFVLILYGEWQDANMEVRYTDVDYIVFSDAASLMASGKSPYLRSTYRYSPLLAFLLIPNTIFHRCWGKFLFSAADLLVGYFIRTILKKRGVPENLCIGSVMVWLFNPFTFTIGTRGNCEPLVCAMVLKILLCLMNGQLLQAAFWYGLVVHFRIYPIIYALPILLILNQNVFKSGLNPALQKWSKGDETAPQSNLPSRLAHIFNPLFLLRSIMTKERIIFGLISGSIFIFCTVVFYYLYGWEFLHEALLYHLTRTDPRHNFSIYFYHIYLHHEHEFSVVEKLISFLPQLVVQLVLVLSFAQDLPFCWFAQTVAFVAFNKVITAQYFVWFFCLLPLILPWSKMKLKWKGVLSVSIWTGAQLHWLMWGYLLEFKGKNVLIQLWIASILFLAANTTALSLIIHQHKPSTLFVLPETAVDNAKKSKKCK >KGN43157 pep chromosome:ASM407v2:7:171693:176873:1 gene:Csa_7G004100 transcript:KGN43157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPKAPRQTLDSYTVKRINKTIKAGDCVLMRPSEPSKPSYVAKIEKIEADSRGANVKVHVRWYYRPEESIGGRRQFHGSKELFLSDHFDVQSADTIEGKCTVHTFKNYTKLDAVGNDDYFCRFDYNSTTGAFNPDRVAVYCKCEMPYNPDDLMVQCENCSDWFHPACIEMTTEEAKKLDHFYCESCSSEGQKKLQNSQSTSKVAETKVDTKRRRR >KGN44205 pep chromosome:ASM407v2:7:7939179:7939744:1 gene:Csa_7G221860 transcript:KGN44205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKTNTVASASSAVAISSEVKLLSSLFTHSPAVLSSNPQISSANNPKSLHASPERISFQHGIPMFLHKCKTGSISVTQAHQFFDLMMRSIFSFNRLLAGLAKIEHYSQVSRPWRGL >KGN43699 pep chromosome:ASM407v2:7:3535789:3539664:-1 gene:Csa_7G060190 transcript:KGN43699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRFSRFSRFQSIDPYYSHCPSLLLTDAETSIILPKPLSFPFQSFVDEVDDFDFAFDLLSHRPLPPPPFHVFDSFTDLVRIDQTPSFSSYSRVRRVERSSDEVLLRRLSDRVSELEARFDRLSSARVSGYGDRKYTWTKEIKEVEKNGVDRKYKLVAEIKDGKKNKEGKNGGVLQNYKWSAEIKGKDERDPIRKYTVEVSSGNGSESTEKKEEKKKKGKKVGSETRVVEIEDTNDQGAVVLRQAFARRTRVVENKKGKKKELSPQDAAVIIQINFREYLVHRSKVLRALRDLSVAKTKLKEIREAFNNFAYTQRLARDAEERQRFSEKIIVLLLTVDAIEGVDVMVRTAKKSMVIELEAMLDVVDPQPAKRSISFRRRTFDMPSGSINKEIAAGVAQVVQLIDEAENSVNAFETSE >KGN45058 pep chromosome:ASM407v2:7:16013800:16015233:-1 gene:Csa_7G419540 transcript:KGN45058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSSSSHKLFQALFSKLLCIIFFFSLSTFASTSLRVGFYSSSCPDAETIVEDAVDKAVSRNPGIAAESTPGNPSEKYHVANFPTLRGFEVIDEAKAKIEAVCPNTVSCADVLAFAARDSANKVGGINYAVPAGRRDGFISRKEDANALPGFTFHAERLASEFGKRGLSVEEMVTLSGAHSIGIAHCPTFVGRLYSFNTTHAQDPSLDPSYADYLKSKCPQPSSSGDDGSQQPDVDLDFSTPHRLDNRYYIELKNHRGLLISDQTLLSSSLTSKMVLRNAHHGSKWATKFGKAMVKMGKIDVLTGSKGEIRRQCSFVN >KGN43623 pep chromosome:ASM407v2:7:3005692:3006477:1 gene:Csa_7G048070 transcript:KGN43623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPNFQFSASSSTAAKIERRIIEKNRRNQMKNLCDQLKSLVPQQDSKEVSLALPDQIDVAIKYIKDLEKRVNSAKEKKNRLQGKNKSAINMDSSSSSSSSSSSPQLKINQMGKSLEIILSSGNDNQYLLCETLRILEEEGTEVVSASFSVSGNSVFHTIHAQLGDSMVEFGMTKATERLTRLVYRSNSDVELQKEEKQWWKEFPSENWEF >KGN44876 pep chromosome:ASM407v2:7:14948260:14955483:-1 gene:Csa_7G393470 transcript:KGN44876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSYFGNTVMKLALKEDPEEHRIALFILLLCACIVIGHLLEKSRWINESVTAIFIGLFTGTLILVTTKGRNSHILVFSEELFFIYVLPPIIFNAGFQVKKKQFFRNFLMIMLFGAVGTVISFFIISLGSLHLFKKFDIGFLDVGDYFALGAILSATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVLFKAFQRFNLSDVTSSNAIQLLGNFFYLFFTSTLLGVIVGLSSAYIIKKLYFGRHSTDREVALMILMPYLSYMIAELCYLSGILTMFFCGIVMSHYTWHNVTESSRVTTKHTFATLSFVSEIFIFLYVGMDALDIEKWKVVGQRPWTSAGVSLILLGLVLVARAAFVFPLSFISNLTRKSQTDKVGFKQQVTIWWAGLMRGAVSVALAYNQFTRSGHTQLQGNAIMITSTITIVLITNVVFGLLTQPIVRHMLSLHDKQIDGIMLADSPLKSLNDPLMPSVYDSESDIGGTNIPRPTSLRMLLTTPTHSVHYYWRMFDDRYMRPVFGGRGFVPFIPGSPTERYIH >KGN45138 pep chromosome:ASM407v2:7:16506333:16509412:1 gene:Csa_7G428260 transcript:KGN45138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDPNYSSNSFNFIHLPPSNFLLSQCRRPTPPPPPSSPPQALPLLNTLSPETADHPSSSTTTRSYDDESAVLALDISLSCPSSDLDSFSPDPGTGKIIDGDVSDHPLPISGKGQYWIPTPSQILIGPTQFSCPLCSKAFNRYNNLQMHMWGHGSQYRKGPESLKGTQPTAMLRLPCYCCAVGCKHNIDNPRSRPLKDFRTLQTHYKRKHGIKPFTCRKCTKAFAVKGDWRTHEKNCGKIWYCFCGSDFKHKRSLKDHIKAFGRGHGALGLDYFDDDNEPSPELDEDHLFVRLR >KGN44559 pep chromosome:ASM407v2:7:11813223:11813699:-1 gene:Csa_7G333900 transcript:KGN44559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNIHHSSKYKIIYSLLLVLSQTLTLPSLCLETKDNVFPAFIRWHVTVINRLNASKGMLVHCRSQDDDLGLQTLPPTANITWSFEANFFHSTLFWCRLQKGGGGGHGSVRAAFKVFWHDVRLFDKCGWKNCIWMAKDDGIYIRNFAKEIDQLSYTWEG >KGN43481 pep chromosome:ASM407v2:7:2148350:2151769:-1 gene:Csa_7G039780 transcript:KGN43481 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-methyltransferase MELEGGNMVEMEGDELMEAHGQICKHIFNFINSMSLKCAIQLGIPDAIHSHGPSPLPLSRLVSSLQLHPNKTQFIYRLMRLLTHSGFFVLQEEGYVLTTSSHLLLKDNSCTLSPFLLSMLQPVFVEPSHFLSAWFRTDDQTPFETAHGKSFWEFVGNKRKDGDTFNAGMASDARLVMSVLMGKHTSVFEGVESLVDVGGGTGTMAKAIAKAFPQIECTVLDLPQVVAELKPDIPNFKYVEGDMFDAIPPADALLLKVANILTKPLSAHSFIPLRVKLGVTHAADFGLRGILRLLTKKTHKTVIKQFNLKNVIK >KGN43938 pep chromosome:ASM407v2:7:5006139:5007082:1 gene:Csa_7G073735 transcript:KGN43938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRPSKLWVFLILIVPLGSAAKDFDIEGSFDLAGSTAKDFDIEGGFDLAGSAAKDFDIEDIFDLERSAAKDFDIESSFDLEGSSAKDFDIEGSFDLAGSTAKDFDIEGSFDLAGSTAKDFDIEGSFDLAGSTAKDFDIEGSFDLAGSTAKDFDIEDIFDLERSAAKDFDIEGSFDLEGAAKDFDIEGSFDLEGAAKDFDIEGSFDLEGAAKDFDIEGSFDLEGAAKDFDIEGSFDLEGAAKDFDIEDIFDLERSAAKDFDIEGSFDLEGAAKDFDIGGSFDLEGAAKDFDIESFDLGGSTN >KGN43535 pep chromosome:ASM407v2:7:2545674:2547994:-1 gene:Csa_7G044260 transcript:KGN43535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEFNVQIPSAFDPFADANAEDSGAGAKEYVHVRIQQRNGRKSLTTVQGLKKEFSYNKILKDLKKEFCCNGTVVQDPELGQVIQLQGDQRKNVSTFLVQAGIVKKENIKIHGF >KGN43713 pep chromosome:ASM407v2:7:3611205:3615451:1 gene:Csa_7G062790 transcript:KGN43713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKGKGTDRWSGAVANLTEMTSNLDSLQKLLLKKAVYVDDETFARASLCSEQARTIKVLEQRVETLERELDAAITSAAHARSEKRQAEAAQRAAEVHVQEVTKELENTTKVFQLHMDELRAKQEEINKRDKDIKLLEAIIQTLGGRE >KGN45307 pep chromosome:ASM407v2:7:17405243:17406091:1 gene:Csa_7G433360 transcript:KGN45307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAAYGARQLRKYLAPFLGGSSSDRLRSTLPLTPPRGASIRLDYSQKHKKGTGAMVVMFFACPHRTAILRTLNRDSFDLSYSSDQLVKQLGKEKKNSS >KGN45323 pep chromosome:ASM407v2:7:17491453:17492103:-1 gene:Csa_7G435480 transcript:KGN45323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCITCSDLVEEYNKEMKSLCGKLLWLTLGELGITPEDIYWAGPDGDFKTNNQAIRMNSYPVCPEPDDLIGLPPHSDTSALTILYQTTKGLQVSMEGKGWVDVEPINGALVVQVGDMLHILTNGMYPPSVHQAVVNQTSDRISTAYFFGPPPKGEVSPLKKLVTPTQPLRYPTVTWADYLRKKYVLYEKALPSIRLSAPAPTGLSNGNDQNLVKVG >KGN43414 pep chromosome:ASM407v2:7:1807424:1808038:-1 gene:Csa_7G032240 transcript:KGN43414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVISASLLSAMVFYSSLLPFLHSLNLYISSTIHKNYMFLLCNGLLVFIVRNSGLIGNTSDERSSGNEALGAATMADGNRKIESKVEIEEERGNGLVVNEDKIENLITDNEVEEERFMSYEEEEEEEIDEELNKKCEEFIRKMKAGIKFESQQLFIL >KGN45395 pep chromosome:ASM407v2:7:17872702:17874101:1 gene:Csa_7G447100 transcript:KGN45395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWFTIGSFQDSLSLRSFKAYLAEFISTLLFVFAGVGSAIAYNKITSSGALDPAGLVGVAVCHGFALFVAVSVGANISGGHVNPAVTFGLLLGDQISLITTIFYWIAQLLGSIVACYLLKYVTGGLAVPVHSVAAGIGATEGVVTEIVTTFGLVYTVYATAADPKKGSLGTIAPIAIGLIVGANILAAGPFSGGSMNPARSFGPAVVSGDFHDNWIYWVGPLVGGGLAGLIYFYAFMAYGPSPIPNDF >KGN45120 pep chromosome:ASM407v2:7:16389950:16393966:1 gene:Csa_7G427100 transcript:KGN45120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSKDGDVSCSPSWSPSVNWTVTSGCLENTVAYESFYSPINDDETVESDPKPPLILRCPSSESGPCEITLHFAEKHEIQQVYVRSTARVYEMYHVTNSQDENEYFCTVRCGAALRDEEVLHTDGIESVSAHLHGSNGVVAEANSQRESNLNTNEDEWVEVKAPDGPTLVHKSDSSTSESVANSVMIRQDFYEATAEITNANPCTSLTIRLLSLQNKSLVYVDEIYVFANPVDLEEEGPPENSAQNSQSSLMSMLVPTLLQLSKTTGSSKNNDGRNSNPEGVHLLPKIGPEPLNSTNSVTGLQQQEEKESERSVRQPEVHLQVPVKDKMHNENEPLHRIENILGQLVSRMDRIENCFLRFEENMLKPINSIDGRLKQVEQQLELLTKESHGSEWPSCYRMSAPSFSANGSSSNSFYNSGNDHPSCGPIEPDRKEIHSVSSPIPLDIPNSVDSSLLRPSLVVTAPEFSNIDDGDQESDVATAPEFSNGNDEDQENQIREVPVDVCKPKPSIDDALASALAQFTLSSSSISTPEHSETVAVKPPDLPNEDGNNHKKSLSSNLSTSEIDHTSCSHEIDDIQCTKNLASASLSSANGWNLSPRHNCFAKIGDGDGEQVLEGPECMYEKVSSEVETALDEQSVQGMEALGNVEVVDETIEDFDSETSIPIHPFPHHTGNDSDKTNVDSNADANTIEVTKGSRDIDIVHDVLGFSRDMSIVNFEIPILDVSFTSNADSSSHNNLKELLGNTTELSNGASCPKESDDVTSFGEQGELILVEEEGQENACSTKSELILVEEEGQENASSTNGPISVDMNYYTIMSDPVITADGVNLKDYYNKTVIWNLI >KGN45422 pep chromosome:ASM407v2:7:18062009:18062398:-1 gene:Csa_7G447840 transcript:KGN45422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLILADGNGSQIGVVAVYLRLRDDHSIDLQKEGLEKKAKQKIGMKMEIHWSSELDEHETDLYTVLSVIVPLPMRNFEETS >KGN44303 pep chromosome:ASM407v2:7:8874803:8877023:1 gene:Csa_7G240140 transcript:KGN44303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASSMPSLSSEALIKFRLGKQPHKEFNDHSIKDGMRASGLELHRNTASSSNMINRTMNEMQMEVQRRLHEQLEVQRHLQLRIEAQGKYMQSILEKACQTLAGENMAASGGYKAMGGIPNNNNNQQGIADMTGAGGGIKDFGSPLGFPSFQDLNLYGGDQLDLQQNVDGFMSHNDSLLCLGKKKSRPTPSTPNYGGSSGKGALDWPDDLRLQDIGMAPPTSCVGQQEDDFKGIGGTLVAGRDHLEEMYEAKPVVSDDEGMGDHKKYDVNNNNNNSNNSSIKLQRPSPRRVPLSVDRANHPLITTAPQSTHSPFG >KGN45140 pep chromosome:ASM407v2:7:16511515:16512596:-1 gene:Csa_7G428280 transcript:KGN45140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAIAPLAFLTSTGTTAPKPPQASSLPKPQIPILQSKPPLSSTAPISIAATAIAVAAILSTATPSLADTGAAFNVYYGTAASAANYGGLGGNANKKDTAEYIYDVPEGWKERLVSKVEKGTNGTDSEFYNPKKRSEKEYLTFLAGIRQLGPKDVILNNLALSDVNLQDQIASAESFLAEEKKDENGQVYYVYEIDGAGTHSLISVTCAKNKLYAHFVNAPTPEWNRDQDMLRHVHDSFKTVGSY >KGN44188 pep chromosome:ASM407v2:7:7829276:7830287:1 gene:Csa_7G219240 transcript:KGN44188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSIWDSPSHAKAEHTDGYGAYKICACRSCGEKAEGIDCLVCDSCEEIYHISCIKPPVKEIPLKSWYCATCIASGFSLRHDNCVVCDRLNTPTTLANGVDGILETSEHNHFDGDENPKYCMDDGTEQLKDGKDLGPCKICGNEVEGDEKYIICSHLFCPHKCYHTRCLTKKQLKSYDACWYCPSCLCRACLINQDDDKIVLCDGCDHGFHIYCMRPPLAAIPKGKWFCSKCAAGIQAIRSVKMAYENFENKQSKRGKDTCGNSGKKRINGGDEESDIGRGGMDMLLTAAKTLNYEEGLANL >KGN44607 pep chromosome:ASM407v2:7:12368163:12371484:-1 gene:Csa_7G342750 transcript:KGN44607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANPLPPPINHPNPTNNRLYLRRQQRTHPKFQSPAPSSSSSSSSSTTTTADGKLMKISPHEGRMDVAKLKAKEASERKEEVNRKIASQKAISVILRREATKAVIERKRGPNNSKKLLPRTVLEALHDRITTLRWESALKVFELLREQLWYRPYAGMYIKLIVMLGKCKQQEKAYELFQEMIEEGCEVSHESYTALLSAYSRSGLLDEAFSILNEMKNSPDCQPDVHTYSILIKSCLQVFAFNKAQTLLSDMVTRGIKPNTITYNTFIDAYGKAKMFAEMESILVDMLNDDGCKPDVWTMNSTLRAFGRSGQLETMEKCYEKFQEAGIQPSIQTFNILLDSYGKAESYEKMSAVMEYMQKYHYSWTIVTYNIVIDAFGRAGNLKQMEHLFRLMRSERIKPSCVTLCSLVRAYGQAGKREKIDSVLNLVENSDIMLDTVFYNCLVDAYGRLECFAEMKKVLGMMEQRGCKPDKTTYRTMARAYSDGGMANHAKEIQELITTAEPSKRTRPDL >KGN43181 pep chromosome:ASM407v2:7:354533:354826:1 gene:Csa_7G007300 transcript:KGN43181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRFLRTLHNLRKHLYLPSSCTALFLRLVLPCSLLCPVLLAVNAPPFNASFVQCLNHSLLHSSLCRGVHSVLCPMICCNAHSMLPCSLLPVLITVSV >KGN43184 pep chromosome:ASM407v2:7:374208:376911:1 gene:Csa_7G007820 transcript:KGN43184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEITLNNGFKMPKMGLGVWRMEKGEIKYLFLNAIQIGYRHFDCAADYKSEPEIGEALAEAIESGLVKREELFITSKLWNSDHGHVLEACKDSLKKLQLQYLDLYLVHFPVATKHTGVGNTSSALGKDGINYDIFLTRDCLAYSKVKPAVNQIETHPYFQRESLVKFCQKHGICVTAHTPLGGAAANPEFFGATYNCLEDPLLQELAEKYGRSPAQIALRWGIQRDTAVIPKTSKLKRLEENLQVFDFELKEEDMDLIKNIDKKYRTNLISTLAWGMDIYA >KGN43561 pep chromosome:ASM407v2:7:2676346:2677032:-1 gene:Csa_7G045500 transcript:KGN43561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERHIRRFLNKLSFASIAIATLTLIILFLQTPQTCIPPNSPSKPHLKFPKSSCDSTPRELVSIDKKNKRLWSSNDWKKKLSSFIHFFQSIQDLGLLHNHTKVICVSAGAGHEVMALSQMGVHDVTGVELIDSPPLVSRADPHNLPFFDHVFDLAFTAHLAEALFPSRFVSEMERAVRPDGVCVIVVEECGDYEVKEIVGLFMKSRFVNSINVTLTGLKMTRILMKRTS >KGN43337 pep chromosome:ASM407v2:7:1308308:1313507:-1 gene:Csa_7G024100 transcript:KGN43337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMDRAAKLSKAIYLNSNNPNLAWLLFKRILSSPIPASSSFFKPSLQSVPAIARILITAKMHPQIDHLHQLLLSQHRDFAHPSGFSLVRTLADLGLLENAISQFRSLRDRFPHDPPPISFYNLLFRCSLKESRVDCVIWLYKDMAVARVKPQTYTFNLLISALCEMGYLENAREVFDKMSEKGCKPNEFSLGILVRGYCRAGLHSHGIDLLDEMRSSGALPNRVAYNTVISSLCGEGQTVEAEKLVEKMREVGLSPDIVTFNCRIAALCKSGQILEASRIFRDMQIDEEMGLPKPNTVTYNLMLEGFCSEGMFEEARAIFDSMKNSETLSLRSYNIWMLGLVRSGKLLEAHLILNEMAEKNIKPNLYSYNILVHGLCKYGMFSDARSILGLMRESGVAPDTVTYSTLLHGYCRRGKILEANYVLREMIQVGCFPNMYTCNILLHSLWKEGRASEAEDLLQMMNERGYGLDNVTCNTMINGLCKAGNLDKAIEIVSGMWTRGSASLGNLGNSFIDLFDIRNNGKKCLPDSITYATIIGGLCKVGRVDEAKKKLLEMIGKKLSPDSLIFDTFIYNYCKQGKLSSAFRVLKEMEKKGCNKSLRTYNSLIQGLGSENQIFEIYGLMDEMKERGIFPNVYTYNNIISCLSEGGKLKDATCLLDEMLQKGISPNIYTFRILIGAFFKACDFGAAQELFEIALSLCGHKESLYSFMFNELLAGGETLKAKELFEAALDRSLALKNFLYRDLIEKLCKDGKLDDASFILHKMMDKQYSFDPASFMPVIDELGKRGSKHAADEFAERMMEMASETDFNEHENKNIRGRLNNNDESDWQKIVHRNDGSGIAQKTLKRVLKGWGQGSIATSQPQKFSTRDHWDGDA >KGN43509 pep chromosome:ASM407v2:7:2339908:2343087:1 gene:Csa_7G043020 transcript:KGN43509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPSPPPLQFPVNLNSTLPHPRNSPPPPPPPPPAAHRPFFDEMNFFPSDDKSRVLSASHSNLTPTKLPFNVNTGLNLLTTNSCSDQSMVDDGVSPNPEEKRVKNERAVLQAELERINSENLRLKDMLNQVTSNYQTLQMQFNTLIQTQKTEDVGDPIEENPDGSGGGGNNNNNNNTNISNKLVPRQFMDLGLATNTENDEASMSSSEGRSGERSRSPGNTGEVASSKRQSPDQSSNWGSNNNNNNNKVPKFSSSSGKEVDQTEATMRKARVSVRARSEAPMITDGCQWRKYGQKMAKGNPCPRAYYRCTMALGCPVRKQVQRCAEDKTILITTYEGNHNHPLPPAAMAMASTTSSAARMLLSGSMSSADGLMNSNFLARTLLPCSSSMATISASAPFPTVTLDLTQTPNPLFQRPATGHFPIPFAAAAPPQTFPQIFGHALYNQSKFSGLQMSKDMEAPQPPPPPQNPFTDTLSAAGAAIASDPNFIAALATAMTSLIGGSHHQKENGNGNSNVDNKTSSNSQQ >KGN44861 pep chromosome:ASM407v2:7:14832968:14842687:-1 gene:Csa_7G392340 transcript:KGN44861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPWGGISCCLSAAALYLLGRSSGRDAELLKSVTRVNQLKELAQLLEAEHLLPLVVAISGRVSSDTPINCEFSGLRGVIVEETAEQHFLKHNDAGSWIQDSALMLSMSKEVPWYLDDGTGRAFVLGARNATNFILPVVSEVFEESGRSLMRGTLDYLQGLKMLGVKRIERVLPTGTSLTVVGEAAKDDIGTIRIQRPHKGPFYVSPKTIDQLISNLGKWARWYKYASMGLSIFGLYLVTKHVILYLMERRRRWELQKRVLAAAAKRSSQENEGEIEKASNGTDGTKRDRSMPDLCVICLERDYNAVFVPCGHMCCCVACCSHLTNCPLCRRRIELVVKTFRH >KGN43529 pep chromosome:ASM407v2:7:2500712:2503884:-1 gene:Csa_7G044200 transcript:KGN43529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSSPPSLQPPTSIHSPNNFRSTFLGEKNGFCVSAMPACHIGLLRKTIECKESRIGKQPIQVPSSVTITLQGQDLKVKGPLGELSILYPREVKIEREDSGIIRVKKALETRRANQMHGLFRTLTDNMVVGVSKGFEKKLQLIGVGYRAMVEGKDLVLNLGFSHPVRMAIPDGIQIKVEENTRITVSGYDKCAIGQFAASIRQWRPPEPYKGKGVKYADEIVRRKEGKAGKKK >KGN43569 pep chromosome:ASM407v2:7:2705469:2708221:1 gene:Csa_7G045580 transcript:KGN43569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESRDALWWFIFYVFFLIFFQPSVAIDTISLNDSISGDKTIVSSKENFKLGFFTPVISRFVMDVSGQAKQFTWLESSKNWNLFWGQPRQQCEVYALCGAFGRCTENTSPICSCVDGFEPNSNLEWDLKEYSGGCRRKTKLKCENPVSNGGRDRFLLMSSMKLPDLSEFVPVGNGGDCESLCLNKCSCVAYSYQNGQCETWSGDLLDLRQLSQTDPSARPLYLKLAASEFSSRKRNTGMIIGVAVGAAVGLVIVLAVLAFILLRRRRIVGKGKTVEEQSEDGTIKFFPSLVAKVMTEEGDILGLLDPKLQENADVKEVTKVCRVACWCIQDEEVQRPSMSNIVQILEDVLEVNKPPMPRSLLAFSDSQEHLVFFTESSSSSSSNQNSKTNSWTPSSQTKSSTSTTPS >KGN43650 pep chromosome:ASM407v2:7:3193751:3197475:1 gene:Csa_7G051300 transcript:KGN43650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEDEFLEPMSPTAQCLNTSSLSISVIAVLEFEIPIDEAKIICYAKDFIPLNSLFSSITVNDINGERKWKKVEVKVEEQIVVATPPSDLSIELNDAFFNEYITKLSVQELDQNKPLWEIHILNCPTSTAAANLILKFHHSLGDGYSVMGLLLSTMKRADNPSLPLTFPFNGKMINSKKLGQISSGVSHFLLSSINSVLDFGLSLIKSSVLEDELTPIRSGGDGVEFKPTEIWTITFSLHQIKQIKSKLRVTVNDVVAGMLFLGIRLYMEETHPDSTKSNSTALVLLNTRMFGTYKCMEDMLNPNSNTPWGNRFGFLHIDIPKLTDFNLSNPLQFVQAAQKLIKRKRDSSAVFLVDKLMEIIHKFRGSEVASKYVYKTIKNSSLSITNLIGPKEKMTLTGHPVKGMYFTVVGIPQSLKISVISYMENLRIAFGGEKEFIDQEKLTSCMKKAFDHIHKASIDVSI >KGN44804 pep chromosome:ASM407v2:7:14393505:14394994:-1 gene:Csa_7G388370 transcript:KGN44804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWFKSFLISPFKKLWDRLHSPHKSNRKGIYILYKDVKSCPCEDVQMLWSILVQSASPPNSPLPS >KGN44212 pep chromosome:ASM407v2:7:8029815:8035630:-1 gene:Csa_7G224890 transcript:KGN44212 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lupus la ribonucleoprotein MAQHSSSSQSDLSLSRNSSLSRLNAQAPEFVPTRPSTRSDLQPLPPPPKQSPRILIPSPPPPPPPAMMHGYPPPPPGSPFHVPIQTTPVPVPTHVVSIQNHPYHPHHRHHHHSHHVPVLYHPHNPQYYADNGGFHDPDTPVVQPAQKPLVESDYAAPSRSKLTDEVSQKLLNQVEYYFSDLNLATTDHLMRFVNKDPDGYVPISVVASFKKIKALINSHFQLANILRNSSKLLVSEDGKKVKRKQPFSESDMEELQSRIVIAENLPEDHCHQNLMKIFSAVGSVKNIRTCQPQISDSGASSTSKSAKADGMHYSNKVYLFAAAWVIRDFCCNPFSPLTSSIAGTMHDFLKVSAVRIFHNFHNDWFQIKWGTPVQTHNS >KGN44592 pep chromosome:ASM407v2:7:12235341:12235676:1 gene:Csa_7G339640 transcript:KGN44592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSRHRTNGAQVLSSSPNVVFLAMPPTTNLSFVGLGAATWDAGGLLIAALAQVHHGSFPTEGGELWAWCCYAG >KGN43660 pep chromosome:ASM407v2:7:3258641:3261200:1 gene:Csa_7G051400 transcript:KGN43660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEANKNLHVLVLTYPTQGHVNPMLQFCKSLSSKGVDTTVAVTKFIFNTFNPKSDASNFIQWDTISDGFDEGGFSAATSIEDYLETMKKAGSKTLIELIQRHQDRGHPIDAVVYDALMPWALDIAKSFNLTAATFFTMPCSVNLIYYYVDRGLVRLPVPEDSYPVCLPSLPPLMPPDMPSFIYVPDSYPQYLYLLLNQMPNIEGADYILVNSIHEFEPLETDAMSKIGPTLLTIGPTIPSYYIDKSNENDKKYELDLFKIEPKEASSTREWLKTKPKGSVIYVSFGSMAKLNTTQMVELAAGLVESNYYFIWVVRASEEEKLPKGFAPEKGLVLRWSSQLEVLSNEAIGSFFTHSGWNSTLESLCLGVPMVAMPQWTDQPTTGKYVADVWKVGVRVKVGEDGIVGKDEIKACVKAVMEGDRAIEFKQNALKWKQLGLGALREGGSSSKHIDEFISGLRDKIIPSV >KGN44701 pep chromosome:ASM407v2:7:13387293:13396469:1 gene:Csa_7G372950 transcript:KGN44701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPKSNHETFSQKLYQTFKNHKRFTKPKLARSDFTIVHYAGDVSLSLFYRNLILCLLGPRLFNFVFNRFLKLKKSSRILLDIRLKFFDLLALLKSKDSLVTTLKKLKGQSILFGLFQVLYQSDQFLDKNKDYVVSEHQDLLSASKCAFVGGLFSPHPEETAKSSKFSSIGSRFKLQLQQLMETLNSTQPHYIRCVKPNTVLQPAIFENATVMQQLRSGGVLEAIRIKCAGYPTHRTFSEFLSRFGILAPEVLEGDYEEKAACEKILEKMGLKGYLIGQSKIFLRGNLMAELDARRTGIHCAAAVVIQKHARARVDRRKYIAMRRACIRLQSYWRGVLARESYEIRRREAAAVKIQKNIRAYLARNLHVKTRISTVVIQAGMRAMVARSEYRHTRQVKAVKVIQSYWRQYRTSPKYNTVRKSSTSSQCGSNSKTSGEGLKKQRMTNLEETEEDLVLPTLLDNGRDTIDETIEMIAKESRVSPQEIEEAYFIIKEPSSPVKDADKVATLRAEVANLKAMLVAERQRANECERNYVVTQKANEEGRKKLKGTERKVRQLQDYINRMIHCMSNQISEMKMIVGTSSSDASNSFLNEVLTDATSSCSDSSSEDFTFPVPSPSVPTFSSFGTNTFQLIVQDISAAEIPGSESDREGGFSDYF >KGN44394 pep chromosome:ASM407v2:7:9887094:9887809:1 gene:Csa_7G279760 transcript:KGN44394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSESRDGLALLLSTGECIVASIESREFPTQALKLKEPNAFSPDVGWLSYFLRAMFGSTTFYMRMYCGFGRELKVSHETLDTKETQHIFARSGLALLLSTGDGHRLLCGYGQESRVSHTIFNIEGIPTHSGPKWLDSPTFYGRLWLQARVESSPQWF >KGN45172 pep chromosome:ASM407v2:7:16699065:16701278:-1 gene:Csa_7G429580 transcript:KGN45172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVFAAPPSQLNLTSRRSSIHRAFLPSYIASIQFHSNASPFPSFRYRKNIIGLELRQRSIAASKSTDGNSIKEENSSSKASSDDAQGPPFLTILAGFFVLSLILWIFSSAVTSLLGLVVKLISAK >KGN44380 pep chromosome:ASM407v2:7:9747278:9749972:-1 gene:Csa_7G275430 transcript:KGN44380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGHKGTILRIMTYISRPLPLLLKGSVYVVTLLVFQRCLEDGPDVAERGPESGFPTGRYLQTHILNIHHFRKRGDEYGGLKRTEGSTERRELVTVLFDDLVDIQNFVSDDTFLVRDSNSSNYSIYLDIENQIFEMDSFRTLLIKIIYLRKLGDENFILDSTRIFMEAFHLFLFDGIFFSSECILIFGLILLLMIDSTFDKKDIPWLYFISSTSLVMSITTLLFRWREEPMISFSGNFQTNNFSENFQFLILLCSTLCIPLFVEYIECTKMAITKFLLFRWNNERKQNPSNCIILVHYIYILLTISKN >KGN44981 pep chromosome:ASM407v2:7:15526343:15528339:-1 gene:Csa_7G405870 transcript:KGN44981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRYFIDLMLEQVNKGNKFDDHLFSKRAWKNMISLFNAKFRFEYEKDVLKNRHKTLRNLYKAVKNLLDQRGFSWDEVRQMVTADNNVWDEYVKAHPEAGSFRIKTIPYYNDLCIIYGNATVEQQGICLQESSHSGENEAMYTKPLGTASEGDGESLQEIMIGEDYKISLPGNVTDDIPQHGSNITATTTICRSRTYWQPPMDRFFIDLMLEQVQKGNQIDGVFRKQSWADMIASFNAKFGFNYDVDVLKNRYKTLRRQYNVINNLLDLDGFLWDETRQMITADDYVWQDYIKGHTDARQFMTRPVPYYKDLCVICDPSYGERDCLSGQGIEQHHDAEDEKLSNGFQSPVSPVSVEEQASVKESTHLGSKNKRELESMSSFDYNKKLRGEDESMASALREMVSVVSSLSDKKKNDDNSSSISIERVIEAIQSLPNMDEDLVLDACDLLEDERKAKTFIALDVKLRRKWLIRKLRPQG >KGN43767 pep chromosome:ASM407v2:7:4000669:4001408:1 gene:Csa_7G066240 transcript:KGN43767 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystatin domain containing protein MISTLLANVGQHDPILHVTSKDTKGDHQSEWISIRAIEDPYVQEVAKFAMREFEKEKMARFQYKRLLSCWIKEEMGGIKYRLVLEVIKEEKLEGKYEAVVWEASGRLIIRKLKLISFMPSMHGAA >KGN45270 pep chromosome:ASM407v2:7:17263427:17265608:-1 gene:Csa_7G432520 transcript:KGN45270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARFAFVLPFALFLFLQYSAAQTVYTVGDSVGWTVPANGEVFYKTWAADKIFYVGDSLVFNFTTDKDEVARVTKMGFDMCSDDNEIGDSIETGPATISLLTPGEYFFISSEDRHCQQGQKLAINVTAAPGPRSPPSSNVPPQTPAPKRAPVTHVVGDTAGWGIPKGGAVFYSNWAAGKSFLAGDSLVFNFATPDDDVVRVSKQSFDLCNDDGEIGEDIDHGPATIPLLTPGEYYFISNEDGHCQQGQKLAINVTAAPGTMAPPSSNPPPSTPRPAPVTHIVGDSVGWTTPPGGAAFYVNWTTGKTFAVGDSIVFNFTTEVHDVERVPKASFDICSDDNEIGETIESGPATVVLTTPGEHYYISTENQDCQLGQKLAINVVATRSTGPVTSVSTPPTSGPTAGGSPFGTGAGQPKSSANTIAAAVSATVFGLALSFF >KGN45298 pep chromosome:ASM407v2:7:17376244:17380233:1 gene:Csa_7G433280 transcript:KGN45298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGELATEKHVQYILSVEKKKDDFQSVVMEHLRMNGAYWGLTALDILGKLDTVDADEVVSWVMSCQHESGGFSGNVGHDPHILYTLSAVQVLALFDKLDVLDVDKVTNYVVGLQNEDGSFSGDIWGEVDSRFSYIAILCLSLLHQLDKINVEKAVNFVVSCKNMDGGFGCTPGGESHSGQIFCCVGALALTGSLHHVDKDLLGWWLCERQVKSGGLNGRPEKLPDVCYSWWVLSSLIMIDRVHWISKEKLIKFILDCQDTENGGISDRPDDAVDVYHTYFGIAGLSLLEYPSLKPIDPAYALPVDVVNRIRLGKRHAI >KGN44884 pep chromosome:ASM407v2:7:14999262:14999579:-1 gene:Csa_7G394040 transcript:KGN44884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLKTLVSIVFIALFILLSQTPSTSSAVLHTIAFDSLTEINYFHRNLLQAMDRHQADGRRRTRRTVVRDQAQAPSPSTANRSQTLSLGAGSVLGLFIVVALFV >KGN45562 pep chromosome:ASM407v2:7:18943521:18946077:-1 gene:Csa_7G452170 transcript:KGN45562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIAMSRWFLFSLFALLVQAQDQSGFLSLDCGLPANSSGYREPWTKIDYMSDADYINTGESRSVSSEFTIYERQLWHLRSFPHEIRNCYNISINKGTKYLVRATFLYGNYDGLNNIPKFDLYVGDTLWRTVDDSYYIDIIHVPSTDKLQICLINIDQGIPFISALEFRQLPDYTYPTVSGSLYNYCRLDMGSTTDRQYRFPYDDYDRVWNAYNGDDYTQISTINTLKSDNYYSYNPAAIVMQSAATPKNGSKYLNYSWNSSKESDQFYVYMHFAELEKLQSNQFRGFNITYNGEYWDGPIVPDYLSTTTIYNIKPSVMSSLQHQLSFFPIENSSLPPIINGLEIYLVMEISELETNSGDVDAISNVRSTYGVKKNWQGDPCVPRGYPWSGLNCSFDLVPRIISLNLSSSALKGEISPDIIGLPMLQTLDLSNNYLAGEVPNFLIQLSHLQYLNLDNNNLTGSLPPELTKRQKNGSLTLSIDGNPNLCTLEPCTKMTPERKKSNNNIIIPIVASVGGLLALLIIAAIIYLISKSKKKQQDKNVSSKKDPAKTNTHLGSSLEKRRHQFTYAEVVVMTNNFERILGKGGFGMVYYGVLDDTQVAVKMISPSAVQGYHQFQAEA >KGN44819 pep chromosome:ASM407v2:7:14534493:14537512:1 gene:Csa_7G390000 transcript:KGN44819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRSFRPSLATAAARRFSGEASMAASENTALEGAAGTRVVSGKGGGRDTLGRRLMSLIFPKRSAVTAIRKWQEEGRTVRKYELNRNVRELRKLKRYKHALEVCEWMTLQKDMRLVPGDYAVHLDLICKIRGLNRAEKFFEDLPDKIREQSVCTSLLHAYVQNNLSEKAEALMEKMSECGFLKSPLSFNHMLSLHISNKQLEKVPALIEGLKKNTKPDVVTYNLLLNVCTLQNDTEAAENIFLEMKKTKIQPDWVSFSTLANLYCKNQLTEKAAATLKEMEKMAFKSNRLSLSSLLSLYTNLGDKNEVYRIWKKLKSSFRKMSDREYMCMISSLVKLNELEEAEKLYTEWESVSGTRDTRVSNVMLGAYIKKNQIEQAESFYNRMLQKGTVPSYTTWELLTWGYLKENQMEKVLHFFRKAVNRVKKWNADERLVKGVCKKLEEQGNINGVEQLLLILRNAGHVDTEIYNSLLRTYAKAGKMPLIVAERMERDNVQLNDETRELLRLTSKMCVSEVSSTLYDKTDQMDSIQSA >KGN43353 pep chromosome:ASM407v2:7:1423674:1429748:1 gene:Csa_7G025740 transcript:KGN43353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVEGRRVCQEFSAGYYGLCAVGGMLSAGTTHLAITPLDVLKVNMQVNPIKYSGISSGFSILWREQGPSSLWRGWSGKLFGYGVQGGFKFGLYEYFKKFYSDLLEGHSRSSIYFLSSASAQVFADIALCPFEAVKVRVQAQPYYAKGLADGFPKLYYSEGLSGFYRGLFPLWGRNLPFSMIMFSTFEHSVNFIYQNIIKRRKEDCSRTQQLGVTCLAGYTAGAVGTFVSNPADNIVSSLYNKKADNVLQAVKKIGFGNLFIRSLPVRITIVGPLVTLQWFFYDTIKVLSGLPTSGGINNKKLEEAKLST >KGN43477 pep chromosome:ASM407v2:7:2122640:2126111:1 gene:Csa_7G039250 transcript:KGN43477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKSLAKSKRAHSQHHTKKYHSNHKQKPFNGTTNESLKNPLPNDNLPSNWDRYGQNTSTAEATPAPLPDVILPKSKGADYRHLIAEARSQMQSCTSTDVFPSLDDVFPGELSGGGSAMLAARGEGLLSWVEDDSFIVDETATSIPEASFLSLNLHMLADQLQKLNVAQRLFIEEDILPSELMQPSECQEAERNIDSSVTEKGNVEDRVQDAIVASSSSYFGSNQQDPTFNPSPTLSNQVQYNACPIELEISSQTKDPKYTDQANTKFTTENSNKKLTKLEATTAEAELDMLLNSFSDTIDLGTTAASSSSRIDEVSKPSFHLPNKGPYSTKKPPIASELDDALDELLQDTSYLTSHIEKPINTHIQSLSLHSGTNSIAKDDFDSWIDSI >KGN44285 pep chromosome:ASM407v2:7:8709499:8726680:-1 gene:Csa_7G238980 transcript:KGN44285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLLHRRDSTPASIKWHNKFEENLEQWPHLNELVQCYSTDWVKDENKYGHYETIGPVSFQNQIYEGPDTDIETEMRLTYARRTKPDDTTEDDVPSTSGRPESTTYDPLLSNVPKQIGPSPLPAYEPAFDWENERSMTFGQRIPETPVTHGLKISVKVLSLSLQAGLVEPFYGTICLYNRERREKLSEDFHFRIAPKEMQDPKISFEPRGIFYLEAPSASVCLFIQLEKHATEEGGVTASVYSRKEPVHLNEREKQKLQVWSQIMPYRESFAWAIVSLFDNSTGAASAGSASPSSPLAPSITGSSSHEGVFEPSTKVTVDGKLGYSSGSSVVVEISNLNKVKEGYTEDALQDPKHKVHKPVKGVLRLEIEKHQISHADNENMSESGSVISDSVDMVDRLVDSTFKKFPNNGSDSHHLSGSSKLNFPVGKEFSGNGSFSHENVDTNADDFHAFDFRVMMRNEPFLQLFHCLYVYPLTVSLSRKRNLFIRVELREDDSDPRRQPLEAMYPVELGASLQKWAHTQVAVGARVACYHDEIKLSLPATWTPKHHLLFTFFNIDMQAKLEAPKPVPIGYASLPLSTHAQLRSEISLPVMRELVPHYLQDTNRERLDYLEDGKNIFKLRLRLCSSLYPINERIRDFFLEYDRHTLRTSPPWGSELLEAINSLKNVDSTALLQFLHPILNMLLHLIGNGGETLQVAAFRAMVNIVTRVQQESAEDGERNHFLVNYVDYAFDDFGGRQPPVYPGLSTVWGSLARSKAKGYRVGPVYDDVLAMAWFFLELIVKSMALEKTRLFYHSLPLGEDIPPMQLKEGVFRCIMQLYDCLLTEVHERCKKGLSLAKRLNSSLAFFCYDLLSIIEPRQVFDLVSLYLDKFSGVCQSVLHDCKLTFLQIICDHDLFVEMPGRDPSDRNYLSSVLIQELFLTWDHDDLPLRAKAARILVVLLCKHEFDARYQKPEDKLYIAQLYFPLIGQILDEMPVFYNLNAIEKREVLIVILQIVRNLDDTSLVKAWQQSIARTRLFFKLMEECLILFEHRKPADGVLMGSSSRSPAAVGDGPGSPKYSDRLSPAINNYLSEASRQEFRPQGTPDNGYLWQRVNSQLSSPNQPYSLREALAQAQSSRIGASAQALRESLHPVLRQKLELWEENLSAAVSLQVLEITEKFSSMASSHSIATDYGKLDCITSIFMSFFSKNQPLAFYKALFPVFNSVFDLHGATLMARENDRFLKQVTFHLLRLAVFRNDSIRKRAVTGLQILVRSSFCHFMQTARLRVMLIITLSELMSDVQVTQMKANGTLEESGEAQRLRKSLEDMADESKSSSLLNECGLPENALVIIPEASADNRWSWSELKYLSDSLLLALDASLEHALLASVMSMDRYAAAEGFYKLAMAFAPVPDLHIMWLLHLCDAHQEMQSWAEAAQCAVAVAAVVMQALVARNDGVWSRDHVTALRRICPMVSSEITSEASAAEVEGYGASKLTVDSAVKYLQLANKLFSQAELYHFCASILELVIPVYKSRRSYGQLAKCHTLLTNIYESILEQESSPIPFTDATYYRVGFYGEKFGKLDRKEYVYREPRDVRLGDIMEKLSHVYESRMDGSHTLHIIPDSRQVKAEELQPGVCYLQITAVDPVIEDEDLGSRRERIISLSTGSVRARVFDRFLFDTPFTKNGRTQGGLEDQWKRRTVLQTEGSFPALVNRLVVTKSESLEFSPVENAIGMIETRTAALRNELEEPRSSEGDQLPRLQSLQRILQGSVAVQVNSGVLSVCTAFLSGEPATRLRSQELQQLIAALLEFMAVCKRAIRVHFRLIGEEDQEFHTQLVNGFQSLTAELSHYIPAILSEL >KGN43993 pep chromosome:ASM407v2:7:5371932:5373373:-1 gene:Csa_7G081710 transcript:KGN43993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLCPELGVKGYIDHKDVVVSDLEDRREELISYLRQDILILGGVFLKSQEINWAKYKIDIVRTMTLSGLSLKIFRKKYFDDTSFHISIPTRNQDTFIRRGYYGGHVDVYKPVGLDLDYYDVNSLYPFVMKSYPMPSGVPVWVNNLSGGVDLDTLFGFIEAYVVCPSSIERPFLPFKEKSGTLIFPTGKFIGVYYSEEFKFARTLGYEIIPLRGYFFEKKKSPFEGVINDLHSSRLEAKKRADAPMSWIYKILIHSLYGRLGINPESIITEICNQKHGRPRVESTPDVRCSYSCCYKSLCSDIHYPYISRPDCYYTDTDSIILGSPLPEDLISTTELVSDDTRIVKHKGPAKDFVTPEFFEQLLADPSFSKEIAHQANF >KGN44784 pep chromosome:ASM407v2:7:14217660:14226237:1 gene:Csa_7G387680 transcript:KGN44784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTSRTNSRRMYSWWWDSHISPKNSKWLQENLTDMDVKVKQMIKLLEEDADSFARRAEMYYKKRPELMKLVEEFYRAYRALAERYDNATGVLRQAHRTMAEAFPNQVPFDDSPAGSGNECDPRTPEMPPPIRALFDPDELQKDGLGLSPQSGAGRRNGAFTEESNLVTGRRGLKQFNDIFGSGEGRAKKGLNFHDMEENERNGGNNHKVSTTEAEILALKEALAKLEAEKEAGLLQYQQSLDKLSNLQSEVSRAQEDSERLNDRASKAEIEAQNLREALSKIESEQEASLMKYQQCLDKISGLESTIFDIQKGAEELTERAGKAEKEAESLKQGLAEVGAEKEAVLVQYRESSEMILKLQEKLLHAEESSRRYNELADKAESELIILKQTIEKLTEEKEAAAVQYIQCLEKISSLEYRLSCAEEEAERLHREIDDGVLKLRSAEEKCLSLETSNVALQSELESLVLKMGSQNQELTENQKELGRLWNCIQDEHLRFVEAETAFQTLQDLHSQTEEELRSLAAELQNRSQILKNLEIQNQTLIAEVQEVKNENGKLDELNMSSAMSIKNLQDELSSLREKISKLEAEVEHRTNERNALQQEIYCLKEEINDLNKKNAAIMEQVESTGYSLDCFGTSVKELQDEYSKIKETCETEKNEKVALLEKLIILEKLVEKNAFLENSISDMSVDLEETKERVKMLEESCQSLLGEKSTLSSEKVALSSQLLITTKNLEELSEKNLLLENSFSDAIAELEALKLKSKDLEDSCQLLGQQKSDLVTERESLLCQLDTTNNTLEDLDKRYRESVEKHSVVANERESAFCEILKLKAHLDAEKQAHTSSIEISKKQLAGVESQMHLLHEECDQWKKEYENETDKALHSQFVIFILQHCMQDMKDNNLSLLQESQKLFEASERSKEAISELELKDIERLGEVKSFIEKNKLLRTGLQQVLRTLDIHAYPEFDQEIEQDQTLLNHIFVKIQEKQNSLSEIYDEYYQLLIEKSITEKFLLQLKNEAANILIERDTLDQEHKFQSEEILILQSRILQLNEELGLKVIEANQKEQALKTEMENVCRNLHNVEESYQVLQVENSKALDEKTYLANEVLGLEKQRCQLEEENSEMFDETIFQSQLFFICKDIISEMLEEMRKLAEFRDKLQFINNDLEERVKEMERKLGHEQTINLELAMFLERSRSEAENYLTEKNTLDQEHRNQSERYLTLLAEMQKLLELNEELRLKIVEGNHKEEALTTEMEHVCKKLQNLEEDYQFLQDESCKANEEKLSFSKEILELRKEKEELEEVNISMFTERLFQSELSFVYKDAVVENLAELRKLTESLDELHCRNNDLELRLEETLAKLGAVQTNNLELMNSLEKSQCEAENYLMERNTLDQELSNQSELNSALQSKMEKLLELNEDMGLKLIESNQKEELLMTEKENVCKKLQDLEGAYQILHAENYKALEKEKSLTNEILGLRKDKHELEDENINMFGETIFQSQLSFVYKDIVSENLQELRNFVVCMNNLQSTNKDLEERVKLMEGKLRDEQTKSFELIESLERSECEILKLETMISLKENEKLELHQMKINEVKSWEKQAATFFGELQIAAICQSIFEGKIHELAEACENLQDRNTSKDVEIELLKEKVSSSEGENGRMKTQLAAYVPAIQTLRDSISSLEKHAISPTRTQKVDEQEVKESSSLNPQHPESFQQPDDDEVQNDGSVELQDLNRRIQAIEVAFEVFESQTTLEKFNTNAKLERAMKEVEDLKSGRERSRVTKDKSTHHGYNRSHSKSEISEAGNEVLTKDILLDRVSDHSSYGNSRRETAVAGDRMLHLWESTDQDGSYNRAVGKAPMIASSSSEYHRVGSTRRRSSKHPSNESLVEKELGVDKLEISRRHSELPQEGNKRRILERLDSDAQKLANLQITVQDLKKKMDVTEKSKVEKGIEYDTVKEQVEEAEEAITKLYEMNVKLTKNVQDSFMAADVGSSTLEPEDNDIVQSRRISEQARRGSEKIGRLQLELKKLQFLIMKLDGERETKGKSKVSDRSPRVLLRDYLYGGTRTKQKQKKKKAPFCGCVRPPTKGD >KGN44619 pep chromosome:ASM407v2:7:12485248:12492365:-1 gene:Csa_7G343850 transcript:KGN44619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENTKLSLALFATLLLTNAIIVRANSNENGVMNNILSVIETSCAITLYPQLCHSTISSILGTSNLLSLKDIVELSLSVAMDAAKLNNENIKKLSMSGNIVGKRDRIALHDCVKTTDRTIYELDKAIQDFSEYPNKKSLASYADDLKTFLSSAITNQVTCLDGLSHDKTEKRVLRLIENTHNQVTKLCSNALALVKKLTTDVALTDEKSLVVHDFPYKITSISSQIDDPKIVLFSNQEDGESRRREDLENGIKWPEWMSIQDQMLLDSSSEEAADVVVAADGTGNYKTVSEAVKAAPSKNSRYIIKIKAGVYRENVDVPSSKRNIMFWGDGRSNTIITADRSHGSGWSTFNSATVVAVGDGFLARDITFQNTAGSANGQAVALRVGSDHSAFYRCSMLAYQDTLYVHSNRQFFVKCVVAGTVDFIFGNAAAVIQNSDLTPRKPGPNQNNMVTAQSRTDLNQNTGIVIQKCRIKATSDLEPVIKEFPSFLGRPWEEYARVVVMQTSITNVIDKEGWSTWNGDIKKPYFAEYDNNGAGADTSGRVSWSLVINEAEAKTFTAEPFIDGAGWLPSTGFPYQLGLYN >KGN45470 pep chromosome:ASM407v2:7:18408423:18411214:-1 gene:Csa_7G448810 transcript:KGN45470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNTSDAGGGGRLSDRQQLAKRLEKSNFAQTCNLLSQFLKEKRTLVDLSADMAPRKKPRDELEKAKPPLPLPPSTANTDNSSDASRQQKDTLPKFVGFPSSGFFSNAINKGEFRKPATPEPTTAQMTIFYAGKVLVYDDFPNQRAKEIMALADKGSRGSTTTAAASPAAVTPNRFFSALEKSNSNSPTCEPNVVSKTPAPVKAAPEQQLKPQTEVKKSSDLPIARRASLHRFLEKRKDRAVIRAPYQVNQQPESASKASGSNSASEPEPVSMPVPELEPEAEAEEGFPRHLDLNL >KGN44874 pep chromosome:ASM407v2:7:14937706:14942299:1 gene:Csa_7G393450 transcript:KGN44874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKPVKYFVVDAFTDSAFKGNQAAVCLLEKERDEKWLQDLAVEFNISETCYLIRINDEEGTDDSLKPPLFSLRWFTTVAEVELCGHATLAAAHVLFSTGLVNSSIIEFSTRSGILTAKKVPDVKQLGFSNVHNNGKSEDSFFIELDFPAIPTLELNSTADVSLISKVLNVASIVDIKMCNIDFSDLLVVLSSEKDVVDFQPNIDEIRKLPGNGGVIITGASPTESKFDFYSRYFCPNFGINEDPVCGSAHCALAVYWAKKLGKSDFVAYMASPRSGVLDVHLDDQKQKVLLRGKAITTMEGVVLV >KGN44310 pep chromosome:ASM407v2:7:9031688:9039096:1 gene:Csa_7G252670 transcript:KGN44310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSFEWIESSGQQVCTPVRMKRKIRSKRYEFVGWGSRPLIEFLESVGKDIKEKISRHDVTSIINEYVNINNLLHPSKKKRILCDDRLHSIFGRKTIGRIKIHDMLEPHFAENQHESDDDFSYSSDETENLFSVLKRDNGITPGRKLSQKTRVHVNPNSSFAAVVPGNINLVYLRKSLVEDLLKDHETFESKLIGSFVRIKSDPHDYLQKNTHQLVQVIGLKKISISGDLGPGFLLHVSNVMKDVSISMLSDENFSEEECKDLDLRIKNGLVKRLTIVHLDEIRCDLDKGNGKSQEAINEVSIAEEPAGSISFGFK >KGN44103 pep chromosome:ASM407v2:7:6829213:6839066:-1 gene:Csa_7G188690 transcript:KGN44103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSAAGMYMAFSPSPSAPHSPHLPGLRSPSSASAAAILEQEKYLSELLAERQKLSPFMPVLPNSYRLLNQEILRVTTLLGKASVLGQSGLEHASPLASGGIFSNGGADMSAWPSRFQSEMSGLLQASSAQNWLTSQGSSSGLIVKRTIRVDVPVETFPNYNFVGRLLGPRGNSLKRVEASTDCRVLIRGRGSIKDPAREEMMRGKPGYEHLNEPLHILVEAELPVEIIDARLMQAREILEDLLKPMEESHDFYKKQQLRELAMLNGTLREEGSPMSSSVSPFHNSLGMKRAKTRG >KGN43860 pep chromosome:ASM407v2:7:4603832:4604057:1 gene:Csa_7G071490 transcript:KGN43860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVLGFDWWVSEKQLRRVNGGGGEAVENTLRTEKRGFWTVKFLPQKWDPNVE >KGN44516 pep chromosome:ASM407v2:7:11238204:11241289:-1 gene:Csa_7G323110 transcript:KGN44516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYSSEEESDFSDSEINDYAEKPYEQLRTGKLVVKTANGILRCPFCMGKKKQDYKYKDLLQHASGVSKGSKNRNAKQKANHLALAKYLENELASEADQTQRPTPPTPISQDSEQELYVWPWMGVIVNIEAGEDRNTVCDSAYWIKKFAKYRPLDVYIFWNDNEPKAQAIVEFNNDWNGFVNATDFEKLFETNDHSKRNWKTKTGSSLDIYGWCARADDYNSNEPIGEFLRQRGKLRTVSDIVNEATQSRNTVVENLTHKIDLKNENLEELHCEYNEKTMSLSRMLAEKDQLHHAFVEETRKTQRLARNNVQRILEEQENLHQELEAKKKKLDSWSKQLNKREALTELERQKLDEEKKKNDMRNNSLQLASMEQRRADENVLRLVEEQKREKEEALSKILQLEKQLDAKQKLEMEIQELKGKLQVMKHLEDQDDEGVQQKMKEMGDDLDQKVEDLNDLQELNRTLVTKERESNDELQEARKELISGLQDQSSNARVNIGIKRMGDIDIKPFQNTCKHKFSPDEAMVQASTLCSLWQDNLTDPNWHPFKVVTIDGDSQWLKTG >KGN43921 pep chromosome:ASM407v2:7:4910907:4915265:1 gene:Csa_7G073570 transcript:KGN43921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFRNFEDIPPGEGPMAKAQGNFTLTRQPSIYSLTFDEFQNTWNGLGKDVGSMNMDELLKNIWTAEESQAVTSAGAATGGAGITNGGNLQRQGSLTLPRTISQKTVDEVWKDLSKENTSVNEGNGIEPMPARRQPTLGEVTLEEFLARAGVVREEPPHIEERPFNCGFYGGLSREDNNGSLALGMFMGNQITENKNMVSNQNQNPVFLGTGVVRSSQPQQQQQPLFPKPANVTFASSMNLVNNPQLTNGSGTNLVVAPKPPLHDALIQGTGIGAIGLGTRGVTVASRSPTSTISSDVITKSSIEASSFSPVPFSFGRGRRSSGALEKVVERRQRRMIKNRESAARSRARKQAYTLELEAEVAKLKEMNQELQKKQREIMETQKNQVLEKMKYQLGGKRFCLRRTLTGPW >KGN43209 pep chromosome:ASM407v2:7:518490:518759:-1 gene:Csa_7G009050 transcript:KGN43209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRILQLLRRASTSTKEGVAVVPKGYCAVYVGEIQKKRFVIPITYLNQPCFQILLSQAEEEFGYYHPMGGLTIQCREDIFTNLISQLNRP >KGN43223 pep chromosome:ASM407v2:7:581770:585783:-1 gene:Csa_7G009190 transcript:KGN43223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLPKDIPSSAENYMDSQTLTKLPISSILIIIAMQTEALPLVEKFQLSEDQKSVFPKEVPWVRYQGIYRNLQINLIWPGKDLALGVDSVGTISASLVTYASIQALHPDLIINAGTAGGFKAKGASIGDVFLVSECAFHDRRIPIPVFDLYGVGLKQAWKTPNLHKELDLKVGKLSTGDSLDMSAQDESSIVANDATVKDMEGAAVAYVADIFKVPAIFLKAVTDIVDGEKPTAEEFLQNLATVSAALDQAVTKVIDFISGKCIHEL >KGN44441 pep chromosome:ASM407v2:7:10232616:10234497:1 gene:Csa_7G291120 transcript:KGN44441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYISTTCVDSNEKISIGVVSPYSAQVAAIEHKLGRNYNKCNSFQVRVSSVDGFQGGEEDIIIISTVRSNRSSSIGFLSSNQRTNVALTRARYCLWILGNFNTLSKSDSVWEDLVFDAKNRGCFFNAKEDKDLANVMSSCKMDIEESIDDLQITNLMIKHENEPDMDMKTVYEGPITRSWARKQQLTLPSIYNLESH >KGN43892 pep chromosome:ASM407v2:7:4771681:4772001:1 gene:Csa_7G072790 transcript:KGN43892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHIWQWNGKGAAPGWLIEGFADYIRLQSGYIPSHWVPPGGGSNYTDSYDKTARFMDYLEKRTSGFVSKLNQKLRDGFSLDYFVELQGKTVDELWAEYKAAFGNRD >KGN45600 pep chromosome:ASM407v2:7:19209184:19220257:-1 gene:Csa_7G453520 transcript:KGN45600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACERFLTLSSPFSSARLGTLKPRTWRRPHPSISSQISTPSDSPTDEHNDSKKKNKLNFLQISVTLSILSTSLPMSSALAAAASKEVKERRRGPKRSSAKKAESLSPQELLSWSQGLPAISNRIPYTELLDLKREGKVKHVIKNPNGFLRLRSELVMVILEDSRVLRTVLPSVESNRRFWVLWNELGIDSVCVNAYTPPIKPPELPTPYLGFLARVPFFMFYFGQPKKESKRVAQLRRLRDEVKMETTTELTKMRQENEKIEKAMKMQKKQEERRIKRETRRKKQVESLREARKISENMGMIWEELANSPNVAFALGLVFFVIFYRTVVLSYRRQKKDYEDRLKIEEAEAEERKKMLELETDLEVTEGEDDDIEQGKGEQNPYLKMATQFMKSGARVRRAHGKRLPQYLEKGVNVKFEDVAGLGKIRLELEEIVKFFTQGEMYRRRGVKIPGGILLSGPPGVGKTLLAKAVAGEAGVNFFSISASQFVEIYVGVGASRVRALYQEAKENAPSVVFIDELDAVGRERGLIKGSGGQERDATLNQLLVCLDGFEGRGEVITIASTNRSDILDPALVRPGRFDRKIYIPKPGLIGRMEILKVHARKKPMAEDVDYMAVASMTDGMVGAELANIVEVAALNMIREGRTEITTDDLLQAAQIEERGLLDRKERSPDTWKQVAINEAAMAVVAVNFPDLENIEFVTIAPRSGRELGYVRMKMNAMKYNEGMLTRQSLLDHITVQLAPRAADELWHGEDQAPNHQGSSTMFASQTANISLSVMTALRPFTKVLWRAKDSSLFQ >KGN45091 pep chromosome:ASM407v2:7:16215200:16219724:1 gene:Csa_7G420840 transcript:KGN45091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPPPTADRSSARRRSDVDAKPVHSLSHDILCIIFSFLDLFDLVRCLGVCKSWNYAIYKSEILRTFCLRYQKQEMNSASTSEVSFSLEKPLLECLEEIAMERHKLALEKGRIRVSQWIGHSVRVEQCRMKMGLILTGVGDKVMRLWSPENFRCLEEYSVPEKMPLVDFDFDVGKIVGLIGRQLCIWSRSGKRSIFPSRECTFEKGLCMRYFDAEAVVGCEDGTAHVFDMYSRRCSRIIRMLPGPVTCLCVNDDQLMFGGSLLGNIGVSGVRSDQRVVMLRSRNTVGIRTLCYNASSRLVFAGSTAGHVYCWDLRTMKSLWESRVSPNVIYSLQHLQNDRSSLAVGGIDGILRILDQNTGTVQSCCMMDSRLLSTHQDGLGIVEERRGKRLSDETPIDTIDRRNRPSITSLAVGMNKIVTTHNDKFIKLWKFQS >KGN44348 pep chromosome:ASM407v2:7:9478314:9482411:-1 gene:Csa_7G267960 transcript:KGN44348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSELKERHVAAIETVNSLKERLKQKRHSLLDTNVAGYARTLGRTPVSFGATDLVCCRTLQGHTGKVYSLDWTSERNRIVSASQDGRLIVWNALTSQKTHAIKLPCPWVMTCAFSPSGQSVAGGGLDSVCSIFNLNSPTDRDGNLPVTRTLCGHKGYISSCQYVPDEDTHLITGSGDQTCVLWDITTGLRTSVFGGEFQSGHAADVSSISISGSNSRTFISGSCDATARLWDTRVASRAVQTFHGHEGDVSAVKFFPDGNRFGTGSDDGTCRLFDIRTGHELQVYHQLHNDNTNSHVTSIAFSISGRLLFAGYKNGDCYVWDTLLAKMVLNLGKLQNSHEGRITCLGLSADGSALCTGSWDSNLKIWAFGGHRKVT >KGN43252 pep chromosome:ASM407v2:7:747633:749134:-1 gene:Csa_7G012410 transcript:KGN43252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLDHLSDYFDCSSHGHKHKKRKQLQTVELKIRIDCEGCERKVKRALEGMKGVKQVDVDRKANKATVVGYVEPSKVVARVAHRTGKKAELWPYVPYDVVAHPYAPGVYDKKAPAGYVRKADDPNVYQLARASSTEVRYTTAFSDENPAACAVM >KGN44184 pep chromosome:ASM407v2:7:7788896:7792047:1 gene:Csa_7G219200 transcript:KGN44184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRIKRVPTVVSNYQEDETENVSRSTGCGRNCLNKCCIEGAKIPLYAFKKLNKISGSKGLCCEYENSVPPVAFLDSLLLGEWEDRMQRGLFRYDVTACETKVIPGKYGFIAQLNEGRHLKKRPTEFRVDKVLQPFDGNKFNFTKVGQEEVLFRFEANENGNTQFIPNDAIDLENSPSVVAINVSPIEYGHVLLIPRILDCLPQRIDRESFLLALHMATEAGNTYFRLGYNSLGAFATINHLHFQAYYLGVPFPIEKAPTKKIMTLKDGVIISELLKYPVRGLVFEGGNTLQSLSNSVSDACICLQENNIPYNILIADCGQRIFLLPQCYAEKQALGEVSAELLDTQVNPAVWEISGHMVLKRKKDYEEASEENAWRLLAVVSLSEERFQEVCALIFEVIDTVDAENTEGSSSMPEEHDDGCLKEVEARNNTTRPLPMTGTKECLV >KGN44265 pep chromosome:ASM407v2:7:8487138:8488898:1 gene:Csa_7G237310 transcript:KGN44265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEARHLNLFHPQLIGGNRELVNLIEGDANMYNSTGMGYGGVPLVSGTTSTAETLLPAYNSVIVDSSVSPKTAAVSAAMKSDSGLTYNYTLPLPRKRARECMNINPFASYPSAPTSKSCGSFSFLGEDISLQIHQQQLDIDRLISQHLEKVRSEVEERRKRQARRIIEAIEVGVMKKLKSKEEEIEKMGKLNWALEERVKSLCIENQLWRDMAQTNEAAVNALRNNLEQVLCQVNEDRTHVGGGGIEDPTTALVDDAQSSCGSNEGEGEERGGWRVVKAKTVKRNSNNGGGGEDTGSRKSRMCRNCGKEESSVLLLPCRHLCLCTTIIRGIGGSVHVSGGIMWGRMRSDRCEEKADT >KGN44577 pep chromosome:ASM407v2:7:12050190:12052240:-1 gene:Csa_7G336560 transcript:KGN44577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKLVALMAIIRGPLPAMTAARLLQKTADHTKWVGAFHQKQDFIDVVEAIFRGAMKGKLIVNCPLPPERIPKYQLFYKDV >KGN44418 pep chromosome:ASM407v2:7:10031049:10036696:-1 gene:Csa_7G284440 transcript:KGN44418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQTSLSLHRSPPFSPSHSFLPFGSLQLKPHNLLLQEIVHHFDVPILQTVRKGCRLNVRRFSKLQMFQRELAMESQSSAMFENCQPQKGLALFLSAFSSGQSLWLVSAEAALAGEDMKTNTVYEIGELFELGIQLSYLLLLLGLLGVGTFFVIRQVLVRRELDLSAKELQEQVRSGDASAMEYFELGAVMLRRKFYPAATKFLLQAIDKWDGDDQDLAQVYNALGVSYVRDDKLDKGIAQFETAVKLQPGYVTAWNNLGDAYEKKKEYKSALKAFEEVLLFDPNNKLARPRRDALKERVDMYKGVPVKSKNR >KGN44553 pep chromosome:ASM407v2:7:11699604:11705249:1 gene:Csa_7G329380 transcript:KGN44553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEIQRRKVRSNTEKPFPGCLGRMVNLFDLSAGVSRNKLLTDKPHRDVSLLPRSHSDAAIISSPSHDSQLEDGLEHSIGQANRTPMKMLIDHEMSKDAESKIAPPNVVAKLMGLDTLPEQVGSAANKTPSRASLSTAKESRLALECTELVDNRLEKGSLCQIHQSSVDVYGMWQQCLKTDNDREKLHYGSFDKNFDEKKMALVRQKFTEAKRLATDEKLRQSKEFQDALEVLSSNKELFVKFLQEPNSLFSQHSFQLRSLPTSPEKKCITILRPSKLVGSENISETGIRCEKQVKKPAQVSHSTGCDKSSNARALSNQKFDEYVQPTRIVVLKPNIGKNHGVKTAVPQQPCLSPNKTNGNFFEEVEDAVVPESREAIEISEQLSEDQMGHQRDETLISSLFSNGYTGDESSLYKSENEYAVGVLSDLELMSPSSRHSWDYVNKFDSPYSISSISRVSYSPESSVCREAKKRLSERWSLMASNANSQEPRHVRRSSSTLGEMLFLSDPKNSIEPIDKITNEEEERREFASCVSTDLQEDNRNSPRSLQRSKSAPVSPLMSSARLGFDASNLATSDVTPEKVSPTKAKSSFKGKISSLFFSRSKKLTKEKRNASQCKEGLDTSVPETLGASLPPGRIGDASCVNNSRFEECSSSALCESSGTSPDLTSKLGTVSLEAGLPFSRHLMPGNTSENPDYSSPCSVLEPPFDEDGIMHSSFGHMKSNSRGIHVSTKSSLIDKSPPIESISRTLTWEDAYSDNTEPYLFKPTSACEDREEEEQKWLGLVRSLFSAAGLDDSVHHNSFFSRWHSLKYPLDPSLRNNFANLSDKEPEQEAKRRQSRSNWKLIFDSVNAVLVEITGFRSEMSTISISSNWVHADAPSQPLVDIVWDRLKDWLSDETQCVGCEIGDSNSLVVERVVGKEVVGKGWIHQLQEELDNLGKEIEGKLLEELVEETLLDLTGSCP >KGN44243 pep chromosome:ASM407v2:7:8301163:8301890:1 gene:Csa_7G234150 transcript:KGN44243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNLKFLNNLYSFFSKLKFSPPPPVIASHTPPSDCYFTSNPISSTTADDCDDFFSTSSDADDSISDDLAALLASRRFFFSSPGRSNSIFEYSSCSRRQQPHDVLVSEGHRIRKYSMDPYADFRRSMQEMVEARELEDVRSDSEFLRELLSCYLRLNPKNTHKFIVKAFSDLVLSLLASSSPTPAPASIARRKVVTSR >KGN44457 pep chromosome:ASM407v2:7:10403859:10405785:-1 gene:Csa_7G293750 transcript:KGN44457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVANEDFQHILRVLNTNVDGKQKIMFALTSIKGIGRRFANIVCKKADVDMNKRAGELTAAELDNLMVVVANPRQFKIPDWFLNRQKDYKDGKYSQVVSNALDMKLRDDLERLKKIRNHRGLRHYWGLRVRGQHTKTTGRRGKTVGVSKKR >KGN45580 pep chromosome:ASM407v2:7:19040682:19058765:1 gene:Csa_7G452350 transcript:KGN45580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELCKLTHYVESFVDVSRTPSQQATSLETITSLVKNNVLTIETLVREMGMYLTITDNIIRGRGILLLGELLACLASKPLDSATIHSLIAFFTERLADWKALRGALVGCLALMRRKTNVGSISQNDAKSVAQSYFQNLQVQSLGQHDRKLSFELLACLLEHYPDAVVSLGDDLVYGICEAIDGEKDPHCLLLTFRIVELVAKLFPDPTGALASSSSDLFEFLGCYFPIHFTHGKEEDIDVRRNDLSHALMRAFSSTPLFEPFAIPLLLEKLSSSLPLAKIDSLKYLSDCTVKYGADRMKKHSEAIWSSVKEIIFTSIGQPNLSINTESLNSPSFQENEMTTEALRLLQKMVVASNGLFLTLIINDEDVKDIFNILNIYTCYKDFPLQSRQRLNAVGHILYTSASASVASCDHVFESYFHRLLDFMGISVDQYHNDKISPIRNLNFGALYLCIEVIAACRNLIVSSDENTCSVKEKSYSMLQIFSCSVVQLLSSTFSGIVKRDLHDAEFYCAVKGLLNLSTFPVGSSPVSRVIFEDILLEFMSFITVNFKFGSLWNHALKALQHIGSFVDKYPGSVESQSYMHIVVEKIALMFSPHDEVLPLMLKLEMAVDIGRTGRSYMLKIVGGIEETIFYNLSEVYVYGNSKSVEIVLSLLDCYSTKILPWFDEAGDFEEVILRFALNIWDQIEKCSTFSTSMDKCIQVLLDATMMALKLSVRSCSKESQNIIVQKAFNVLLTSSFSPLKVTLSNTIPVQMEGLQFLQQKDNPTSRDEWILSLFASVTIALRPQVHVPDVRLIIRLLMLSTTRGCVPAAQALGSMINKLSVKSDKVEVSSYVSLEEAIDIIFKTEFRCLHNESTGDGSEMFLTDLCSSIEKSSLLQVHAVVGLSWIGKGLLLCGHDKVRDITMVFLQLLVSKSRTDASPLQQFKLEKDNETSLDFAVMKGAAEAFHILMSDSEACLNRKFHAIVRPLYKQRFFSTMMPIFQTLVSKSDTSLSRYMLYQAYAHVISDTPLTAILSDAKKFIPMLLDGLLTLSVNGINKDVVYSLLLVLSGILMDKNGQEAVTENAHKIVDCLAGLTDFSHMMLVRETAIQCLVAVSELPHARIYPMRRQVLHVISKALDDPKRSVRQEAVRCRQAWASIASRSLHF >KGN43456 pep chromosome:ASM407v2:7:2021483:2022548:-1 gene:Csa_7G037600 transcript:KGN43456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFLIPLSQNQSLTIHTNMSSKAFVFLGLLLAFVLLLSSEVAARDLAETSSKTDNEATVETNGVEDAKYGRGGYDRGYGGGHDRGYGGGRGGYGRGHYGGRGGYGGGRGGYGRGCRYGRCGHKCCSYAGEVVEGAKP >KGN43272 pep chromosome:ASM407v2:7:900473:907400:1 gene:Csa_7G014580 transcript:KGN43272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTKKEYNFQENPSDPSLHLLAAVHISPRLRFLFQIRRSENNSDLSMGVEQNNHDAEEGTLEIGMEYRTVSGVAGPLVILDKVKGPKFQEIVNIRLGDGSTRRGQVLEVDGEKAVVQVFEGTSGIDNKYTTVQFTGEVLKTPVSLDMLGRIFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKRLEKSNDLLEGGEEDNFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRKGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLYNRQIYPPINVLPSLSRLMKSAIGEGMTRRDHADVSNQLYANYAIGKDVQAMKAVVGEEALSSEDLLYLEFLDKFERKFVTQGAYDTRNIFQSLDLAWTLLRIFPRELLHRIPAKTLDLFYSRESAN >KGN43706 pep chromosome:ASM407v2:7:3585911:3586211:1 gene:Csa_7G061730 transcript:KGN43706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELTLFFILTISMTMELNQLWIDSGSEDETSVRVKRLEKDMCWRSRLVFFRGVATVVTKLFNIVELDVAVFEKKDYQQWLDYQADGESL >KGN44290 pep chromosome:ASM407v2:7:8770688:8777334:-1 gene:Csa_7G239030 transcript:KGN44290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIGFKYWDDCVDPQDMEAMWSYPQVCAEWLDAGESKTQKVHLSRDPDGQPYLTQTEMKAVADIVVHRHFGSNVDSDMICALAELESDRQPLATRYDKKNKESTLGIMQITLKTAEWLVSELRYQSYGLEGNPEVLSKPFVSVYFGAAYLKWLSNFEQKERSEEFVVRAYRGGTKKATHKTTLPYWKRYLSVKESLPSRKHINEVSTSTTSPPSASGNTEGAAITYTFWDCRATPEDMEEMWNNPDVQKEWTKSGEKKGNVRFSHDLKKRPYVSRVELKAIAEIILSKHFSTKGVQPTVLCALAEVVSMRFINGVGARPGIMGIDYSTAFWLYMELSYRAYRLDSTDDLTKPFVSMYFGAAYLAWLSDYEGRERTRQFVVQAYIAGPQNVDLPETGPLWLKFEEALSNYEDNKSGAQGSCSIM >KGN43975 pep chromosome:ASM407v2:7:5217293:5217644:-1 gene:Csa_7G075560 transcript:KGN43975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDSERITSLGLLGSSIAIALFCLVGSWMDIWRRSVVVIVGKKRLEELDRNTSRNLASWFMHISTVGEIFIKSEIGKEKKKKEIKL >KGN45378 pep chromosome:ASM407v2:7:17787523:17789510:1 gene:Csa_7G446930 transcript:KGN45378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSTKSESDVTSLAPSSPSRSPKRPTYYVQSPSRCSNDGDKSSSMHTTPIYNNSPIESPSHPSFGRHSRNSSASRFSGIFRSSSGRKGSKKQISNEKGWPECNVIMEEGPYDDLEDKALSRRFQVLIALLSFIALFTLFCLIIWGASRPFKAQISVKSLAVHNFYVGEGSDSTGVPTKLLTLNSTLRLSVYNPATIFGIHVTSTPIDLIYSEIVVASGQLKKYYQPRNSHRRVSVNLEGIKVPMYGAASTLTVPPTSSPVPMTLAFKIRSRGYVVGQLVKTTHIKQISCPVGIDSTSNKAIVFKKNSCTYE >KGN45103 pep chromosome:ASM407v2:7:16275020:16285712:1 gene:Csa_7G425950 transcript:KGN45103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSHSSILLLILILLPLALSQQEAIQAIIHRLDSKALSPSIQEAAAKALLRRLLPTHVDSFEFQIVSRDVCGGGSCFLISNFKSSSRNGAEILIRGTTAVEITSGLYWYLKYWCGAHVSWDKTGGVQLASIPKPGSLPFLKGNGVVIKRPVPWNYYQNVVTSSYSYVWWDWERWEKEIDWMALHGINLPLAFTGQESIWRNVFRDFNLAVKDLDNFFGGPAFLAWARMGNLHGWGGPLSKNWLDQQLALQKQILSRMRELGMTPVLPSFSGNVPAGLVEIFPSANITKLGNWNSIDADPSTCCTYLLNPSDPLFVKIGEAFIRQQIKEYGDVTNIYSCDTFNENTPPTNDTSYISSLGASVYKAMVKADKDAVWLMQGWLFYSDSDFWKPDQMKALLHSVPFGKMIVLDLFADVKPIWKSSSQFYGTPYVWCMLHNFGGNIEMYGILDAISSGPVDALASENSTMVGVGMCMEGIEHNPVVYELMSEMAFRSKKVQVQEWLKTYSRCRYGKADHYVDAAWNILYHTIYNCTDGIANHNTDFIVKLPDWDPSSTFDLKKPPHLWYSTQEVINALQLLVNVDDNLVHSATYRYDLVDLTRQVLGKLANEEYLKAVTAFRRQNVKAQNLHSKRFIQLIRDIDKLLASNSNFLLGTWLESAKKLYEWNARTQVTMWYDNTKVNQSKLHDYANKYWSGLLEGYYLPRALTYFYYLSKSLRKNESFHLEDWRREWILFSNKWQAASELYPVKAEGNAVAISKALYEKYFD >KGN45184 pep chromosome:ASM407v2:7:16751149:16751867:-1 gene:Csa_7G430190 transcript:KGN45184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEANNNNRAGGEIRKVHIIYFLSRMGHVEQPHLIRVHHLAGGSAGVYLRDVKRWLGELRGKEMAEAFSWSYKR >KGN43619 pep chromosome:ASM407v2:7:2985786:2986937:1 gene:Csa_7G048030 transcript:KGN43619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVPLGPGKFYGSSLPRPRYYSDVKFNDERVDPPTPVLDPLLSWANEAHWSMGGLSFNRLRLQGRIEGNVHKLRTEREKVAKKKLKAQKLDSAPKRSDPDAGADAHRQLKKARSVTPPPAPIATKRRRLMVLFEDEDDIGMEKEEIGVVKRRLVKKLGDDFDRVAAESKRSQLKGLKDRSSEINGVNESVMKIVEEINNENTKVKKTNIERKGKTGGNVESVSVSGSRSSPRLANKRALN >KGN44731 pep chromosome:ASM407v2:7:13650828:13652098:-1 gene:Csa_7G375730 transcript:KGN44731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFPSPPPPPPPPPSSPSLPWKTRLLVSLSSVCGDLATRPNGTVNRRLFRLFDFKSPPNPVKPIHGVLSFDVIVDSSRNLSVRVFTPSSDVASLPILIFFHGGGFALLSNSSFSYVAVCRRFARRLPAIVLSVDYRLSPEHRFPSQYDDGFDVLRFLDHESNTIGLLPPNADLSKCFLAGDSAGANLAHHVAVRFCRQRSQFERARVVGLVSIQPFFGGEERTEAEIQLDPGYIVSIARTDWLWRAFLPEGADRDHGAANVSGENAEEISELEEFPATLVFVGGFDPLKDWQRRYYDWLKKNGKIVELIEYPNMIHAFYLFPEISESSVLMNEVREFVSKCMEK >KGN45417 pep chromosome:ASM407v2:7:18021750:18027133:1 gene:Csa_7G447790 transcript:KGN45417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTNFSPKRPPDAISDPSLSAGRSVRPRQLPPPPPLPPPVSTTAPATLGLDTTTRDAPVLKPSSPSDTLFRLLCPASKVSSILRHLRDIPGARIHVDEPLPSCEECVLVILAGSPSKPAHTNPGNDREFREHDVHRNVSSDTVAGDSDERSQAQQALLRTFESIVRMNEDSGENQEIQKKNADSAPNDRISGGETDGLVVCRLLAPSHQVGRVLGRGGKTVEKIRQESMAHVKIFPKDQNPACASPQDELIQISGNFSAVMKALSSVSSCLQDSPRVDSSNSSSTKSLGPTSHASSMSVQDEEPSPRRRYGSHHNADYRSRSYSSIPGHENAGAGPRAAMEEDVVFRLLCQPDKVGSLIGKGGTVVRALQNETGASIKIVDTPDLDERLVVISARETLEQTYSPAQEAVIRAHCRIAEIGYEPGAAVVARLLVHGQQIGYLVGRGGHIINDMRRGTGTSIQIFPRDQIQNGGPMSDEVVQVIGNLPSVQDALFHITNRIRDTFFPMRPHVPNFNNHPPYLSPHPETPPPLFRPGSNAHSPGYYPSQAGGLRGTERPPYHSHPLDHQPAYPHNVSFGGGNNMDGVPYPHGMERPGPGSFERPSPRSWTSQVSSEIPKGPTDGFGMVSRNEPYGSGGPHFMGGTSMEMVIPQTLICHIYGENNNNIAHVQQISGAMLVVHDAKPGMFDGKVIMSGTPDQIRAAQRLVHAFILCGKTQS >KGN43385 pep chromosome:ASM407v2:7:1609886:1615153:1 gene:Csa_7G029990 transcript:KGN43385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTGGNSLPSGADGVKRKVSYFYDPEIGNYYYGQGHPMKPHRIRMTHALLAHYGLLQHMQVFKPTLAKDKDLCRFHADDYVSFLRNITPETQQDQLRQLKRFNVGEDCPVFDGLYSFCQTYAGGSVGGAVKLNHNQCDIAVNWAGGLHHAKKCEASGFCYVNDIVLAILELLKQHERVLYVDIDIHHGDGVEEAFYTTDRVMTVSFHKFGDYFPGTGDIRDIGYGKGKYYSLNVPLDDGIDDESYHSLFKPIMGKVMEIFKPGAVVLQCGADSLSGDRLGCFNLSIKGHAECVKYMRSFNVPLLLLGGGGYTIRNVARCWCYETGVALGMDVDNKMPQHEYYEYFGPDYTLHVAPSNMENKNSRKILEDIRVNLLGYLSKLQHAPSVQFQERPPDTEFQEADEDDDNGDERWDEDSAMDIDCDRKQLPSRVKAESYDTEIKMEDVAETKGFERPATGTVLDMFDY >KGN44618 pep chromosome:ASM407v2:7:12479674:12479892:-1 gene:Csa_7G343350 transcript:KGN44618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVSRGAATSNDVRMVAGSGGARMVASSSGVRIVGCKKRKMKMRKIATTFIWWVGRRGRGKLGGLRSVRRG >KGN44627 pep chromosome:ASM407v2:7:12574759:12579549:-1 gene:Csa_7G351910 transcript:KGN44627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSRLMQAVLYEAYGGGSAALKHAEVPIPKPKTDEVLVKVEAVSLNPIDWKIQKGLIRPFFPRKFPHIPGTDVAGEVVEVGAGVKSLKAGDKVVTYLSHATGGGLAEYAVGKESVTVYRPPEVTAADGAALPVAALTAHQALTQVAGVKLDGSGPQSNILITAASGGVGHYAVQLAKLGNTHVTATCGARNIEFVKSLGADEVIDYRTPEGAALKSPSGKKYDAVVHCASGIPWSVFEPNLSPNGKVIDITPTPAALATFAFKKLTFSKKQLVPLLMSFKSENLSYLINLIKERKLKTVIDSRYPLSKAEDAWAKSMDGHATGKIIVEP >KGN44109 pep chromosome:ASM407v2:7:6959006:6961879:1 gene:Csa_7G192210 transcript:KGN44109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPKIPSRKCSPDFPSGAQHAKILGMLYAIDVEGLFGLVAWWLGVCSFKAKYLHNPSVGFIRNFLLLIVGESRKHMK >KGN44725 pep chromosome:ASM407v2:7:13618429:13619103:1 gene:Csa_7G374680 transcript:KGN44725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHVSHQNFSTFYHPIGFPFLNSVPKRLRRRIWGFHLVRRLGMNGSVSTSCTTSSSCSFIGLLDWIIGTSRPIHSRRGLS >KGN43452 pep chromosome:ASM407v2:7:2006009:2006896:-1 gene:Csa_7G037560 transcript:KGN43452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKSFILLGLLFAVVLFSSEAITVTARDAKLKEATMETGEVDNVERHSYGGAHSRNLAECLHGCNRGCCECFRGRCIRCCSFAGEAGIVDGKPQAKPNN >KGN44057 pep chromosome:ASM407v2:7:6181826:6182266:-1 gene:Csa_7G146490 transcript:KGN44057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYKHIQTVYPGEDEYDITGPNDTQIVLYDENLASKRKIKVEMPVQKLDAQETEKSEGSKNTELPVEESDESDEIYLNGSNITFVIIVNYL >KGN44071 pep chromosome:ASM407v2:7:6398512:6402063:-1 gene:Csa_7G162550 transcript:KGN44071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRFLFLLLSSAAAHSGHSDDDDSAAGDSLSPSPNLRSKPLILVKITCLILIFFGTFIPGISPCFFKWNDGFLLLGTQFAGGVFFGTAMMHFLSDANETFRDLTDNAYPFAFMLACLGFLMTMAADCVISYLYRKPTADSSTDVELRGAATSPSKFQVQNGSNGHHTHPHQALTTMGSFGDSILLIVALCFHSVFEGIAIGVAETKADAWKALWTISLHKVFAAIAMGIALLRMIPNRPLLSSAAYSFAFAISSPIGIAIGIIIDATTQGAVADWIFAISMGLACGVFIYVSINHLLSKGYTPRDSVLVDNPNYKFLAVLLGIGVIAIVMIWDT >KGN43183 pep chromosome:ASM407v2:7:364409:369720:1 gene:Csa_7G007810 transcript:KGN43183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITLNNGFQMPVMGLGVWRMEKHKIKDLILNAIQLGYRHFDCAADYKSEAEVGDALAEALESGVVKREELFITSKLWNSDHGHVVEACKDSLKKLRLQYLDLYLVHFPIAIKHTGVGNTRSEKDEDGVLDIDTTISLETTWHAMEDLVSADLVRSIGISNYDIFLTRDCLAYSKVKPAVNQIETHPYFQRESLVKFCQKHGICVTAHTPLGGAAANTQRFGTLSCLEDPVVEELAEKYGRSPAQIVLRWGIQRNSTVIPKTSKLNRLEENLQVFDFELKEEDMDLIKSIDKKYRTNLTPARSWGIDLYA >KGN43196 pep chromosome:ASM407v2:7:468933:469266:-1 gene:Csa_7G008430 transcript:KGN43196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPKPTALKQIVKRCSSLGRKQDPTATPPAYDGVPKGHFAVYVGQNRSRYVVPISLLTHPDFQCLLRLAEEEFGFRHHMGLTIPCEEVVFRSLTAALK >KGN43857 pep chromosome:ASM407v2:7:4593843:4595628:-1 gene:Csa_7G071460 transcript:KGN43857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHSNVWNSHPKNYGPGSRTCRVCGNPHGLIRKYGLMCCRQCFRSNAKEIGFIKYGLKYIDQVNHRPYPLADMSNANSNRRFKSRQLDKFLLLHYHLGTVGGGVLVYLV >KGN44544 pep chromosome:ASM407v2:7:11550054:11551310:-1 gene:Csa_7G328320 transcript:KGN44544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGDPTTKEWMTCQTKNHWVESDPIKRVSMLPPLSPLASLPFPPSSTSSSPFPHPFSTHPATICFRSSSSLSQWKQKTAKSDPIQKLNTNLPTKYTFVEQQRRLCFPDAGRRAFWSINGPRILQVGYEDEENPKVMEAYERVGSLLVNSGGDEEPHD >KGN43735 pep chromosome:ASM407v2:7:3770184:3770827:-1 gene:Csa_7G063990 transcript:KGN43735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSISQTKKPHAVCIPYPAQGHITPMLMLAKLLHHKGFYITFVNTEYNHRRLLNSRGPNSLDGLQGFTFRTIPDGLPYSDANCTQDLPALCESTSKNCLAPFCHLISKLNSIAASPSSSMPPVSCVVGDAVMSFSMLAANEFNIPYALLWTSSACGYLGYLKFSDLIKQGLIPLKGSMISFLLLLC >KGN44479 pep chromosome:ASM407v2:7:10604668:10604917:-1 gene:Csa_7G305630 transcript:KGN44479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGIKTTVEKEILEHISIMETPKKAWDTFASLFSKKNDARLQVLENELLLIAQREMTINHFTKN >KGN45386 pep chromosome:ASM407v2:7:17821436:17824070:1 gene:Csa_7G447010 transcript:KGN45386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIVATLPPPLLAPRKSFTILNISQKLSVFSTANGRSGNVVVKAVGGSSESSTSLDIVKSVRNVWDQPEDRLALFGLGFAAVATAWTATNVVTAIDKLPLLPGVLEFIGALVSWWFVYRYLLFKPNREELLQIINKSILDVFGQ >KGN44548 pep chromosome:ASM407v2:7:11637191:11645880:1 gene:Csa_7G329340 transcript:KGN44548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRRFGAVFPSSRRLFCSNSDHDLASTIAEFNKEMESVFGEPPLSGISDSSSSNFTAEKSEIVSQMDENTSALTHVGGGGEAQMVDVSPKKSTDRIAVASCNVILGKKVFDLVLANQMSKGDVLSVAKIAGISGAKHTSTLIPLCHNITLTHVRVDLHLNPKNYSVDIEGEAASTGKTGVEMEAMTAVTIAGLTVYDMCKAASKDIQITNVRLESKTGGKSGQWSREEVGCLKPSDDIKKNLSV >KGN44777 pep chromosome:ASM407v2:7:14125738:14128128:1 gene:Csa_7G380140 transcript:KGN44777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFLRGCNCKMLHLIMKSSFRPAVYSPSALYCLVHGANHSIFGVCKCTLNSNCQSFRAFVSSTSSSNVEPIVLGLKNKCIIDIKLLSTLSERILVQARDPAKLSMDIQTAIEEQRLNDTWKLYQQHMQMEGFPRKSVVNKLLTCFAETLEIQWLEKAYDLVEQAFAEGKQNLLEKDPLIYLSYSLAKLGLPIPASTILRNLIKMEHLLPVAAWSAILAHMSQTGPGAFLAAELILEIGYLFQDGRVDPRKKCNAPLIAMKPNSTAFNIALSGCVLFGTTRKAEELLDMMPRIGVKVDTNLLMVMVHIYERNGRREELKKLQRHIDEAHNLSDVQFRQFYSCLLTCHLKFGDLESASNMVLGMLRKAKIAKNSVATATLACNTAENHIKPSSGKDSEKNFICQNDGLKDKISNGKSIFFDDFVLDKNFLKLDIEAKEILRTLLTKLQLQVELVTTERGILQPTEAILVKLVRAFLEAGKTKDLAQFLIKAEREESPVSNDDSVLVHVINACISLGWLDQAHDLLDEMHLAGVRTGSSVYGSLLKAYCKANRTREVASLLRDARKAGIQLDSSCYDALINSRVLQNDNKGALKFFQEMKEAKIPRSGHQEFRRLVEKSAENDEAGLMAKLLQEIKDGQRVDYGLHDWNNVIHFFCKKRLMQDAEKALKKMRSLGHCPNAQTFHSMVTGYAAIGGKYVEVTELWGEMKSIASASFLKFDQELLDSVLYTFVRGGFFARANEVVEVMEKDKMFIDKYKYRTLFLKYHRTLYKGKAPKFQTEAQLRKRETTLAFKKWVGLD >KGN43300 pep chromosome:ASM407v2:7:1123720:1124989:1 gene:Csa_7G018820 transcript:KGN43300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFVCLLLFFLTSFANACDRCVHQSKAAYYSNDSPLSSGACGYGSLALGLFNGHLAAGVPSLYKEGVRCGACYQIRCKDKKVCSRRGTKVILTDQNVQTNRTDFVLSKKAFSAMAQKGHDKTILRHGTLDIEYKSFVKNNTEKT >KGN44322 pep chromosome:ASM407v2:7:9161349:9165879:-1 gene:Csa_7G253770 transcript:KGN44322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSAQRRINLIHRHFHTPPSQFINTLNLAQTNGGNQLQTGVAQPVIVGGMVLDIHAIPSISAVPRSTTPGKINYILGGVARNVAECMSKLGANPFLISVVGHDMAGNLLFENWRLAGLSTQGIRKHKDIGTAVVCAVVDFHGELAAAVASVEAIEKFLTPEWIEQFKCNIRDAPVLMVDANLNALALEVSCQMAAEYNTPVWFEPVSVAKSRRIASVVKYISFTSPNEDELIAMANALSGQDLFSPIKQDNSITIESFFEELKSAVWVLLEKGIKVVVLTVGSRGVFVCSKGQPSFTKISSEEELNKYKSSSQLFRTLATSCPPNMFPVSPVTEKSSVLFAIHFPALPASVVRLTGCGDCLVGGMLTSICAGLNIYQSTAIGIATAKATVETEHNVPHEFHAAKIADDARLVYTAGRLVFHGPMP >KGN43269 pep chromosome:ASM407v2:7:886667:891067:1 gene:Csa_7G014550 transcript:KGN43269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSELMNFLRACFQSSSDRHGAGEIRGRQEGLLWYKDSGQHLNGEFSMSVIQANNLLEDQSQIESGSLSLQESGPRGTFVGVYDGHGGPETSRYICDHLFQHLKRFTSEQDLMSADVIRKAFQATEEGFLSIVRSQWPTNPQIAAVGSCCLVGVICDGTLYVANLGDSRAVLGRAVKATGEVLAIQLSTEHNVSNESVRQELQSLHPDDPQVVVLRHNVWRVKGIIQISRSIGDVYLKKAEFNREPLYAKFRVQEPFRTPFLSAEPAISEIQLKPDDQFVIFASDGLWEHLSNQEAVDIVHSHKQSGSAKRLVRAALQIAAKKREMRYSDLKKIGRGVRRHFHDDITVVVVFLDTNLLFKASSMKSMSVSLRGGGLNRLPNSLSPVTTPV >KGN43714 pep chromosome:ASM407v2:7:3622375:3633210:1 gene:Csa_7G062800 transcript:KGN43714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDNPSRPNLKRRFFEDEDDDSLKSTAQKRVRFPKGRKVKSGDAFLDKGKAEENPDDLTDPRLAAKERAKRRNQMTADLFSEENRGIVNDISAAEVTYEENENFDADGIQLEPFNLDKEREEGYFDAAGNFVEYVNDKEIKDAWLDSVDIDPKYTGKRSTVINYEDDVQELSSEEVGKIKRRIADVLEPGETVLQALRRLKGNSKDRKEKMSDEIKHIFDKLTEDAMKLMDNGEYNVYHEKQEVFEREAEGYEKLARAKEGSSMSLHHGNSDANKGNGLLSDVQDPGVGSLFTNQPEVEITSSGTDTYDMFADEDEHADPSSNENLVADGNGIKQQIPSNLNPNSESEASQNDYVYDESSGYYYSSSLGYYYDPSTGLFCSATSGRWYSYNEETGAYDELHEASAPNAN >KGN43996 pep chromosome:ASM407v2:7:5415974:5418713:-1 gene:Csa_7G085210 transcript:KGN43996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLVTSLIFAVIGVITCFCTLICCNRGASTNLFHLTLIITATICCWMMWAIVYLAQMKPLIVPILNDSE >KGN43710 pep chromosome:ASM407v2:7:3595111:3599150:1 gene:Csa_7G062760 transcript:KGN43710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGDAHFPQSSPNSKKSKPKKKKRGGTKKKMTSEQIAAFKYVTEWAYLDQSNSLASSAAASVVDDFGVQKTVGKGGEKVVFELHSHSKCSDGFLTPSKLVERAHGNGVKVLALTDHDTMSGIPEAVEAARRFGIKIIPGVEISTIFSNGGDSESEEPVHILAYYSSCGPAKIEKLEKFLENIREGRFLRAKNMVSKLNELKLPLKWDHVAKITGKGVAPGRLHVARALVEAGYVENLKQAFSRYLFDGGPAYSTGSEPCAAEAIQLIHDTGGMAVLAHPWALKNPVAVIRRLKDAGLHGLEVYRSDGRLAAYSDLADNYGLLKLGGSDFHGRGGHSESEVGSVNLPVLAMHDFLKAARPVWCSAIRDILESYVEEPSESNLAKITRFGRTRVLKGGSSPGSGNDLIERCLTLWLTNEEKQNDEFEAIRLKLSHISINQEVQVP >KGN43726 pep chromosome:ASM407v2:7:3712304:3716968:1 gene:Csa_7G062920 transcript:KGN43726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASPSSNMPPVSCIVSDAVMSFSMLAANEFKIPYAFLWTASACGYLGYFQYEHLIKQGLIPLKDMNQKTEQFPISRRSRNSMMESVSQTEKPHAVCIPYPVQGHITPMLMLAKLLHHRGFYITFVNTDYNHRRLLQSRGPNSLDGLQDFKFRTIPDGLPYSDANCTQDIPALCESTSKNCLAPFCDLISQLNSMAASPSSNMPPVSCIVSDAVMSFSMLAANEFKIPYAFLWTASACGYLGYFQYEHLIKQGLIPLKGMVSF >KGN43514 pep chromosome:ASM407v2:7:2377266:2378521:-1 gene:Csa_7G043560 transcript:KGN43514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSQKWFSLGLLTLCIVLHLSAIVLGDDKLNKNGFRDDDDCRWGRRCGGRFGGRGRGGFGGGRGGGFGGGRGGGLGGGRGGGLGGGSGGGFGGGGGVGGGAGGGVGGGGGFGGGGGGGLGGGSGHGGGFGGGVGGGAGGGVGGGGGFGGGGGGGVGGGSGHGGGIGAGGGVGGGVGGGAGGGGGGGGGGGGGGGIGGGHGGGFGAGGGVGGGVGGGAGGGGGGGGGGGGGGGIGGGSGHGGGFGAGGGVGGGIGGGAGGGGGGGGGGGGGGGLGGGSGHGGGFGGGGGLGGGAGGGGGIGGGAGGGHGGGIGIGIGIGVGIGGGSGHGVGVGSGSGGGGGGH >KGN43989 pep chromosome:ASM407v2:7:5330789:5333572:-1 gene:Csa_7G076680 transcript:KGN43989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTLLLQLLWLTLILNTGHCSSLSDERFSKEEHYEWFKDYSHFRHLILPLLKPDSSVLELGSGNSKLSEELYNDGITDITCIDLSAVAVEKMQRRLRLKGMKEIKVLEADMLDMPFGNECFDVVVEKGTMDVLFVDGGDPWNPQPSTRAKVTAVLEGVHRVLKKDGIFVSITFGQPHFRRPLFNAPEFTWSFECSTFGDGFHYFLYTLCKGRRLPSDKGEGERFDEPSVCLLQDELEGEDYMFRTDVDELNC >KGN44153 pep chromosome:ASM407v2:7:7388293:7389118:-1 gene:Csa_7G207270 transcript:KGN44153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLIPPSYLDELSLLQDQIAPFSSQLAFDTIEQELNIPLDELFSEISPEPTAAASLGQVYQARLRRNGQVVAVKVQRPGVQAAIALDILILRYLAAVFRKVGKLNTDLQVW >KGN43666 pep chromosome:ASM407v2:7:3307321:3307915:1 gene:Csa_7G056450 transcript:KGN43666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWENPIKLSALVILATVISGSRAAEGLGNGSMPMNVTFLTFSELPQRNAGERRNSSSNSTTVEVSKQNGGGGGGGYGWGWGSGGGGGGGGGGGGGGGGGWGWGGGGGGWWKWGCGGQPKSERVGRRDDNGGSRNVKGKRMYSEKDYRMGEFAQCMVKGRCRGMRLDCPLHCGGPCFYDCRYMCKAHCNRRP >KGN44814 pep chromosome:ASM407v2:7:14486477:14489552:-1 gene:Csa_7G389460 transcript:KGN44814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASELEVEDVCSDIYINLGLKSLRKGIRKNPRVLTLLSSLLERSVKKNELLMEATQVKDARTMFHGLRAPTLSIRCYIDRIFKYFGCSPSCFVIANIYVDRFLKCTEIQLTSLNVHRLLITSIMLAAKFIDDSFFNNAYYAKVGGVSTAEINKLEMKFLFSIDFRLQVNIQTFSRYCYQLEKECTETRQIERSIRACRIKENWSNKDEKSCASTTAR >KGN44474 pep chromosome:ASM407v2:7:10558635:10559037:-1 gene:Csa_7G302870 transcript:KGN44474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVLLGLVPQLNEGFTQLDEKSKVDHDAWSINCIQYWRGCSDLCSRLSAEEDSLWMIDQTNVCAILARQEEDTSHLETWNVDSDFGNKSFH >KGN44281 pep chromosome:ASM407v2:7:8677744:8690516:1 gene:Csa_7G238940 transcript:KGN44281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMADTNYLSEEEKLEEADEGFQRSGVFVELKTYCLELLQLLQWPKQRSSSIPSLFELLRKTPTISLQHCFDYVLFPLLLLLDAAVVDRSQQKVDSGENNMMSVSHELPHRVSDSVAEGVLQCLEELLKKCRLGSVEQMVVVLKKLTCGALLSPLEASEEFREGIIKCFKAIFMNLYPCSNDACSCKQISGSPALAENREFQGHLDVFSEESKPNECLLEFLRSETASAAVGHWLSLLLKAADIEATRGHLGSSKIRIEAFMTLRILVAKVGTADALAFFLPGVVSQFSKVLRASKTSLSGAAGNTEATNQAIRGLAEYLMIVLENEANKSSLVMFMDFQSEIIMEKGKKAQYILEELRQLPDKVRSGSIMVGECSSAVVAKKTTYESGSKETMSADYLKGNNSFHVDRTKEWVAQTSTHVDKLLRATFPYICMHLVKKVRLGILAAIEGLLSRCSCTLKESRSMLLECLCTLAIDESEDVSFTAQEFLEYLFWITGNHQLQHDVAKIFVRLVEKLPNVVLGTDEKFALSHARQLLVVAYYSGPQLIIDHLIHSPVTAVRFLDVFAVCLNQNSVYACSIGKFLSARPSSLGYLHSLTELKVGTNLISDCLSIMNTASPAVSELTMVQEKDIQQRNHVLPRMPPWFNGIGNQKLYEALGGVLRLVGLSLASDNKGEGSLSVTIDIPLGNLQKLVSELRKKEYSEENWEYWYRRTGSGQLVRQASTAVCILNEMIFGVSEHSVDYFSSMFQRARMHRKVTNDYECVTTNEACWKISPEKIRAQLIDCIGRILHEYLSPEIWDLPTQHKYSPMHSAGEDDISLHFFRDTAMLHQVIIEGIGIFSMCLGKYFSSCGFLHSSLYLLLENLISSNAEVRSTSDAILHVLSSSSGYPTVRNLVLENADYVIDSICRQLRHLDLNPHVPNVLAAILSYIGIAHEILPLLEEPMHKVSSELEILGRHQHPNLTGPFLKAVAEIARVSKHESNSLPSKAASYTSHVKSLISKGEKQAGGVSRSCHDDDINISSLESEWENILFKLNDSRRYRRTVGSIAGSCIVTAIPLLASQKQATCLVALDIVEYGVAALAKVEEAYKHEKDIKEAIEETLHSHSFYRLLDTLDVSEEGSDENRLLPAMNKIWPFLVACIQNKNPVAARRCLNVISSSVQICGGDFFTRRFHTDGSHFWKLLTSSPFLRKQNVREEKAVLQLPYRNTYISSEDSVAEGSNLKVQVALLNMIADLSRNRRSASALEVVLKKISGLVAGVAFSGVVGLREASLNALGGLASIDPDLIWLLVADVYYSIKKDVPLPPSSEFPEVSRLLPPPSSPKGYLYVLYGGQSYGFDIEVSSVEIVFKKLQSNIFTC >KGN45066 pep chromosome:ASM407v2:7:16052173:16053010:1 gene:Csa_7G419620 transcript:KGN45066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFGCCEEDAIQKAADNSNTYQVKTSAAPDMVARLHSTRVLGTFGYHAPE >KGN44093 pep chromosome:ASM407v2:7:6660499:6661836:1 gene:Csa_7G184150 transcript:KGN44093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMESSTLFKLGNGSSFTVWSNRWFDSLTLNFCFPKVVRRLLSYTTDLLRSLTQRIFCCTLGPFLFCLVLIYLWVGGFFIHCNMALIYLGSLCKEGAETIFEIFCQRLRLRSFGLGIIKGYFMSKETGWLDCFDIDNRNTAAGASYARNLKPFLCRTLFELACHA >KGN43314 pep chromosome:ASM407v2:7:1201910:1202764:-1 gene:Csa_7G021920 transcript:KGN43314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSFAANKKKSFHIRSNSLPSKPHPVVDEVDEHLCRLKSSEATSTSSSLCQKFDGLQDLHDCIDKLLLLPLTRLALVENKSIDDQLEGSLKLLDLCAMAKDALFQTKESAHELESTLRRRRGDVTVGDVQKYLNSRKMIKKEIHKTLKGMERSSSQKSDESSEIVSMLKEAETVTYNNIESLLSFIAGSKLPSKISKWSFVSKFMQPKKVVSKEDDTSGNEVEMVDAALYSITSHKSDCIVQIEDVQILLRKLESSIQDLEEDLESLYRHLIKNRVAFLNILNH >KGN43937 pep chromosome:ASM407v2:7:5004696:5007497:-1 gene:Csa_7G073730 transcript:KGN43937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLMAVRRARTPIIVSSFKVRYPLSSCFTFTFRNQTETLIKTLSTSAIPNDFSNFPSSPQQPSSSSPSYRQPQWGSPSQVNPPSENFNHQSFSEFQNRDYAQQGSHGNQLNYRSQHQSPQPNPGFSRQGQSYSQVGKTNSWNPPNQSSQYQNPSQPPPNQSFPQYQNPSQPPPNQSYPQYQNPSQPNAPNQRYPQYQNPSQPPPNQSYPQYQNPSQPPPNQSYPQYQNPSQPPPNQSYPQYQNPSQPPPNQSYPQYQNPSQPPPNQSYPQYQNPSQPNAPNQRYPQYQNPSQSNPPNQSYPQYQNPSQSNPPNQSYPQYQNPSQSNPPNQSYPQYQNPSQSNPPNQSYPQYQNPSQTNPPNQSYSQYQNPSQPNAPNQRYPQYQNPSQPNPPNQSHPQYQNPSQSNPPNQSYPQYQNPSQPNPPNFNYQQQRGPNQWNNQNQEHPQFGRPEHRNPQAENSNQLNNQAGIQRDGTQNQAPNALVSPIDELRRFCGEGKLKEAVELLKQGVKADVDCFHLLFELCGKSKSFDNAKVVHDYFLQSSCRSDLQLNNEVLEMYGRCGSMSDARRVFDHMPDRSIDSWHLMMKGYADNGLGDEGLELFENMKNLGLQPNSQTFLYVMSACASANAVEEGFLYFESMKNDYHITPDTNHYLGLLGILGEPGHIHEAFEYVEKLPMEPTVEVWETLKNYARIHGDVDLEDYAEELIVDLDPTKAVSNKISTPPPKKRSAISMLDGKNRIVEFRNPTLYKDDEKLKALKAMKEQGYVPDTRYVLHDIDQEAKEQALLYHSERLAIAYGLISTPARTPLRIIKNLRICGDCHNAIKIMSRIVGRELIVRDNKRFHHFKDGKCSCGDYW >KGN43617 pep chromosome:ASM407v2:7:2962016:2980680:1 gene:Csa_7G048010 transcript:KGN43617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESLLGGGGESSGSSTSRRPHVRFRRRSDAIAYGSAYQRAAALVDLAEDGVGIPEKILDQSNFQSAAKLYFIFIKFDWIWSLNFFALIVLNFFEKPLWCFKYDTHSCNDREYFFLGQLPYLTAVESLIYEAVTLIILMIHTFFPISYEGAQLYWTNLLNQLKVICITILVADFLVYTLYLSPVAFDYLPFRAAPYIRVVFFIMNIRHLRESIIILAGMLGTYLNVLALWFLFLLFSSWLAYVIFEDTQQGKLIFTSFGATLYQMFILFTTSNNPNVWIPAYKASRWYCLFFVLYVLLGVYFVTNLILAVVYDSFKSQLAKQISEMDRLRKSILAKAFSLMDTNNVGYINKEQCLMLLEELNRYRSLPKISRDDYELIFDELDDSRDFKINMNEFDDLCNAIALKFQKEDIPSWFEGYPSVYHSGSSKKLKAFVRSPKFGYAVSFILVLNLVAVIIETTLDIENNVGQKAWQELEFVFGWIYVVEMALKIYAYGFMNYWRDGQNQFDFLVTWIIVIGETITFVAPSGLTFLSNGEWIRYLLIARMLRLIRLLMHVRQYRAFLATFLTLIPSLMPYLGTIFCVLCLYCTLGVQVFGGIVNAGNPTLSKTELDDDDYLLFNFNDYPNGMVTLFNLLVMGNWQDWMQSYRELTGSIWSLVYFISFYLITVLLLLNLVVAFVLEAFFAELDIESSENGEEQDQDKDSRKDRPRFVGTKTRSRKVDILLHHMLSAELDDKDSD >KGN44406 pep chromosome:ASM407v2:7:9912318:9918086:-1 gene:Csa_7G280860 transcript:KGN44406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLDITLRKALDDKIKFKEEQEQFKVELRGMREAAKWRKSQGISMEGDEELLANMDDEVTAEPKRDEWMTNLPPERKPGMTMQSSRFSKSSKESRGDTSVWTDTPSDRAQKAKMSYLEAYNEAAALASNEEAKKYSAESALVDKYNQEKRSKSLVEKHREGVKSRGKKKLKQVVEKEDEWVGKHPWKPWDREKDLTAGRKSINFDPENMAQGLGSRFSSGSYQRNFL >KGN45220 pep chromosome:ASM407v2:7:17032848:17037232:-1 gene:Csa_7G432010 transcript:KGN45220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVDTPSSHSSTVCVTGAGGFIASWIVKLLLHKGYFVRGTLRNPEDPKNGHLRELEGAEERLSLYKADLLDLESLKAAIDGCDGVFHTASPVTDDPEQMVEPAVNGTKNVIIAAAEANVRRVVFTSSIGAVYMDPNRGPDDIVDESCWSDLEFCKNTKNWYCYGKAVAEQAAWEVAKEKGVDLVVVNPVLVLGPLLQSTINASIIHILKYLTGSAKTYANSVQAYVHVKDVALAHILVYETPSAAGRYLCAESVLHRGEVVEILAKLFPEYPVPTKCSDEVNPRKKAYKFSNKKLKELGLEFTPAKQCLYDTVKSLQEKGHLPIPTSTQPPDSIQIQS >KGN43304 pep chromosome:ASM407v2:7:1141151:1142538:1 gene:Csa_7G019840 transcript:KGN43304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYWFLIFLFLLVSSTTASFPPCNRCLHQSKAAYYYEDSPTSYGGACGYGNLALEISQGYFAAAVPSLYKGGAGCGACYQVRCKDTYLCNTAGTKIVLTDQNNDNRTDIVLSKKAFSAMALKGKAQQLLNTGLVDIEYKRCGLITGSTKNYILNPQKVGLPKWRPMKRNYGGIWDINGVPKGGLQLRMVVTSRYDNGKWIWAGSVLPSDWKNGEIYDTGVQINDIAYEYCPPWQCGGDGQWK >KGN45332 pep chromosome:ASM407v2:7:17545530:17546669:-1 gene:Csa_7G440560 transcript:KGN45332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEEMNPHFLHSDPIIEEDNIISTKLDVHAKGCNCTSLVTRLSDLDMNHHVNNASYINFILEGTPGWVLESHWLSALTIKYLKECGVGKKLQSLSMPIQNDTVSNGNYLVRENFIKLHHSVLSEGIELVRATTLWTPYSI >KGN44018 pep chromosome:ASM407v2:7:5706416:5708841:-1 gene:Csa_7G104800 transcript:KGN44018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEGEGGFGEGVDQIDQFHRNEAISAVADDGFLGEDEDEYEDLYNDVNVGEGFLQSLRKSDDLGFKREEEPKMEPPAPVPPSSAASIPGIGGGATEVTGLGDAGGRTVSERVTEGYNQIPDLRTNEMAIRGGVGSGPPVGTGVGIRVELGQGSKAIELEERSSNNVAGHQAPPQPQPQPQPQPQHHHQPPQSGVLGNPGSVENEGLLRQGGGVNVNGVGGNGFGNIGSAGGGGGGTILFVGDLHWWTTDAELEVELCKYGPLKEVKFYDEKASGKSKGYCQVEFYDSSAATACKEGMNGHIFNGRPCVVAYASPFSVKKMGEAQVSRNQQIAQATNPQARRAPNEAVGKIGGNSIATGGNYQGGDNNRGSGRGNWGRSNAHGMGGRGPAGQMRGRGGGMGGRGIMGNGGNGFGQGIGATPPLLHPQSMMGQGFDPSFGAPMGRMGTYGGFPGAPAPPFSGILSSFPPVGGVGLPGVAPHVNPAFFGRGMPMNGMGMMPTSGVDGPNMGMWSDPSMGGWGSEEQGGGRAGESSYGEEAGSDQHYGEGSHERGPWANSAKEKDRGSERDWSQSSDRRYRDDRDVGYDRERSKEKDPGPDHDWPDRRPREDRDIGRERDKDRDRERDRERSRDYERGHHERDRERERDRDRDRYKDDRDKYSDHHRYRDREPEHDEDWERGRSSRTHSKSRLSQEEENRSRSRDADYGKRRRLTSE >KGN44307 pep chromosome:ASM407v2:7:8969335:8994483:1 gene:Csa_7G252150 transcript:KGN44307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEVNFVRAERNKFELEIGFAKEKLDSFMKEFEQQRVEMNGVLARNVEFSQLIVDYQRKLREVSESLHSADEQSRKLSIEVSVLKSEKDLLSNAEKRAQDEIQKLSERLFRVQTSLDTIRSVEEVHEEVRVVERRKLEEHAKQLEREWAEAKKELQEERDNVRTLTLDREKTLKNAMSHVEEMGKELANALHATAAAEARAAVAEAKLSDLEKKICASDNQVIELDDRSELSSRPPNQVATDLRRAEAEIQKFKEEAQACKDHMLQYKSIAQVNEEAVKQMECAHETFKIEAEKMKKSLEVELLQLRERIAELENESVLKSQEIASAASLKEEAIASSLAEIKNLNEENTAKTSKIQEMEIQISYLKEDLERQQQKWRTAQANYERQVILQSETIQELTKTSQALAAVQEEAAELRKLAEAYKTENEELKAKWEGGRVALEDLKNKADKAYSELNEQNKILHAQLEAFHIRLVEKDQKLAGVPSESNTTEIVGDAGIQSVVSYLRRTKEIAEVEISLLKKDKLRLQSQLESALKAVESAQTSLNVERQSSKALLLTEEEIKSLQLQVREMNLLRESNIQLREENKHNFEECQKLREESRKSKSEIEKFEGMLKMRQMEVESCKMEIESQNVEKTHLESRVLELLERSKNIDYEDYNRVKDDVQRMQMELNEKDAEIAKVKMLISERQESISQLEQDLSNCRSEVKEREKRLNDIQQMEANLRADMEKQKKYISQFKRKLEIVSKEKDELGKENQALLRQLEDTKQVNTVGKRSTGDSTGEQAIEEKDTKIQILEKHLERLREELKREKDDSRTEKSRRLKIEKAIKDSYTKVEQEKSKILNDLEKHKGNLKQVSEELRQSKSNLSEDAFPHPLSVIGLDENASTYVLAAENFEKTVQSVLTDLGVQNVPSEAPLATDALVQTSTGLDVPLQTPDVAPLAPVTTNFPAKALEEREKKVNLSKAKVETRRAGRKLVRPRLGKPEGGPQGDIDMLASELPSNEIRRVTSGKSETEGESTTSAHQLARKRVASSTSELHEHPIIHGEISSEVAAPVMKRAKGCDTLADEVGGPSSSTLESLKTQPPLEEASDICEFPHGSNEEAVDVEKEIEIAGEKTDRPKELSDGSMSHDEIHTDRKEMLDENLDRQIGAEVSDDGLKDQAEPDNWHLTSEIGSEREEGELAPEVTELEGGNIIESVEIGEDHNEPIATPDASPSRVDDDTLAVTAMEIGEINSPEIQNEDKNDEGDMVDETSEIQDKSTDCNQIDLESDQAVETTSVATENTPSTPPDVNDSKQGSPTVAKRSSPVSSSTSTTINLQERAKERAMLRQAGVVSSLDRRPVRTLRGRGGRIERGGRGQRSGRGPPGDSNRS >KGN45106 pep chromosome:ASM407v2:7:16310166:16311206:-1 gene:Csa_7G426470 transcript:KGN45106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAWLFKGPMSSLVQGILLNSSEENVLLTNVPTHHKLNGTTVSSQGNQPLATNLHRSSSSLAYGLQRLELDYSSTRDASHTVIPWPVTLP >KGN45293 pep chromosome:ASM407v2:7:17362872:17364512:-1 gene:Csa_7G433230 transcript:KGN45293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRPGDWNCRSCQHLNFQRRDCCQRCGEFKLGGGPELGVFSSRSGRSSYGGGVSYSPGSDVRPGDWYCGVGNCGTHNFANRSTCFKCGAFKDESAASATAAGGGGFDFDATCRAFRSFGFGSSNATSRGASSPWLSGDWICSRSGCNVHNFASRMECFRCNAPRDSF >KGN43185 pep chromosome:ASM407v2:7:378514:381849:1 gene:Csa_7G007830 transcript:KGN43185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITLNNGFKMPLIGLGVWRMEKQQVRDLIINAIKIGYRHFDCAADYKNEAEVGEALAEAFKSGLVKREELFITTKLWNSDHGHVLGACKDSLKKLQLEYLDLYLVHFPVAIKHTGVGNTSSELAEDGILDIDTTISLETTWHAMEDLVSSGLVRSIGISNYDIFLTRDCLAYSKVKPAVNQIETHPYFQRESLVKFCQKHGICVTAHTPLGGAAANNEWFGTVSCLEDPVLQGLAKKYGKSAAQIALRWGIQRNTVVIPKTSKPERLEENFQVFDFQIVKEDMDLINGIDRKYRTNQPARFWGIDLYA >KGN44055 pep chromosome:ASM407v2:7:6164316:6164681:-1 gene:Csa_7G145980 transcript:KGN44055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPPPKKVWNRFASKVILKLGRGFSKPSESITRTTRRFLSRLGSSDSSGRRCCRCSCHDQPEYWLQDRPSFGNNCNTNYYMEVEFENVEGEELEDEAVDEKAEEFIAKFHESLKLERLQHF >KGN44087 pep chromosome:ASM407v2:7:6582643:6583173:-1 gene:Csa_7G180130 transcript:KGN44087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIINFLAHIFLLLSLFFSLVIKAEHFSPWLKEKLNDDELDNEDNRYGKHNCSGKQIFCRKLDEYGSKGKRKKIMKCCKHRCVDTDSDIKNCGYCGKICPFPQQCCKGFCVDTNNNRFNCGKCGNKCPFRVRCVYGMCGYDQPDPSRRPKGWWKPPQSPKDRHCPPRANEGRSSFT >KGN43686 pep chromosome:ASM407v2:7:3441082:3444188:-1 gene:Csa_7G058600 transcript:KGN43686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADIGDEVKNKQVIFRDYVNGFPKESDFIITTATIPLKLPQGSNGVLLKTLYLSCDPFMRMLMDSQPSSFSYSPASLLFGYGVAKVFESAHSDFNKGDLVWGMLKWEEYSVVEDPEKFIKIHHTDVPLSYYTGILGMPGITAYFGFHDICSPKKGEYVFVSAASGAVGQLVGQLGKLMGCYVVGSARSREKIELLKNKFGFDDVFNYKEEPDLNAALKRCFPEGIDIYFENVGGKTLDAVLLNMRPHGRIAVCGMISQYNLDQSEGVHNLMLLVRQRIRMEGFRAPDYFHLNAKFLEAMLPYIREGKISYVEDTVHGLESGPTALIGLFSGRNIGKQVVAISTE >KGN45097 pep chromosome:ASM407v2:7:16253429:16255977:-1 gene:Csa_7G425890 transcript:KGN45097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEISKRCGGIPLVIRHIGRLLYGKTSAEDWEFIKENELLNVTREKNNNDGHVISTLKLSYNHLSPNLKQCFSYSSLFPKGYKIRMNELIRQWIAQGFIESSNGGKSVENIGKEYLDELCWRFFYEISIEDVPFEEVGMHDLMCDLAREVAGQKLYIRGYPESGYVVSEQTRHISFEYEPRSWIDDVSKLQQAKGLRTFLLFTKNPFFTRNPIEKVLLDRLFSHFPRLRVLQIPNVSKSIKKLRHLRYLELGEDAKSVPNSITKLQNLQTLDLTKCYDLKELPRDINNFVNLRHLLCDSRLMNMLQGTMEKLTSLQTLSSFLFDCKRFDKVKEFSERSYFIEFDLKIKGLEQLRFSPSDVKSVNLKNKKVPLLRLKWKFENGNEYEGDADDIVLEGLEPHPYVNLLQIEGYCGVGLPNWVSTSILLRGIRIGNCDRLHLNQLSHLHALEILNLEGLKSVMSISEWIGTLTSLVSLEIEECPKLKSLPKEMQQLKSLVQLNIIKCPQLGERCKEGGEDWPNISHIPDVLID >KGN43466 pep chromosome:ASM407v2:7:2072751:2073156:-1 gene:Csa_7G038170 transcript:KGN43466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNIDASWLEDVGAGGVVRDLSRSLSSTGCMPVLSKWPITFLEAFGLIKRLQSLFSLFPSVILFLIVIV >KGN43533 pep chromosome:ASM407v2:7:2529089:2535383:1 gene:Csa_7G044240 transcript:KGN43533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAGMMIPARNTASSMIGRNGNVGIFGSPASLVLGQELQGIEMGENEYGRMRETEEFESGTKSSSENHEVGSGDDQLNNQRPNKKKRYHRHTQHQIQQMEAFFKECPHPDDKQRKELSRELNLEPLQVKFWFQNKRTQMKTHHERHENTQLRTENEKLRADNMRYREALSNATCPNCGGPTAIGEMSFDEHHLRLENARLREEIDRISAIAAKYVGKPVSNYPLLSTPIPSRPLELGMGSYGGHDLGLGPGGGDMFGAADLLRTISAPSEADKPVIIELAVAAMEELVRMAQMGEPLWMTGVDGSTNELNEEEYVRSFPRGIGPKPSGFSCEASRATAVVIMNHISLVEMLMDVNQWSTTFTGIVSRAMTLEVLSTGVAGNYNGALQVMTSELQVPSPLVPTRESYFVRYCKQHGEGTWAVVDVSLDTLRPAPALRCRRRPSGCLIQEMPNGYSKVTWVEHVEVDDRGVHSLYNQLVSSGHAFGAKRWIATLDRQCERLASAMATSIIPNGDAGVITNQEGRKSMLKLAERMVMSFCGGVSASTTHTWTTLSGTGADDVRVMTRKSVDDPGRPSGIVLSAATSFWLPLPPNRVFHFLRDENSRNEWDILSNGGVVQEMAHIANGRDTGNCVSLLRVNSANSSQSNMLILQESSTDQTASFVIYAPVDIVSINVVLNGGDPDYVALLPSGFAILPDGSTASSGGANGVGEHGSGGSLLTVAFQILVDSVPTAKLSLGSVATVNNLIACTVERIKASLSCDNP >KGN44959 pep chromosome:ASM407v2:7:15413568:15413813:-1 gene:Csa_7G398210 transcript:KGN44959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQESNWKVSDILFKNIRGTSTTNVAVLLECSKLFPCEGVELRDINLTYGGTDLRNTTVVSSCSNAKIATFGVQNPPPCDRV >KGN44284 pep chromosome:ASM407v2:7:8706137:8709411:1 gene:Csa_7G238970 transcript:KGN44284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRKQVLDSAVAALRSLGISAFGFEGDVRKQEDASSVVDSTFNKLGSLDILVNAAAGNFLVSAEDLSPNGFRTVMDIDSVGTFTMCHQALKYLKKGGPGRNSLTGGTIINISATLHYTAAWYQIHVSAAKAAVDAITRNLALEWGADHDIRVNGIAPGPIQGTPGLSKLAPEEINSKIREDMPLYRIGEKWDIAMAALYLASDAGKYVNGTTIIADGGMWLSSPRRLPKDAVKQLSRVVEKRSRNLPVGAPKSKL >KGN44661 pep chromosome:ASM407v2:7:12991068:12992188:-1 gene:Csa_7G368140 transcript:KGN44661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQNMKAMNPRKYFQGVGGSYNKWYPSDYPLLAQSKVRAGMLLLHPRGFAILHYSDASKVGYVLQGNNGVTGFIFPNTSNEQVIKLQKGDLIPVPAGVTSWCQQNGLIFKLQKGQTLTTPTKATKFIYNLDNNESIMKVSEFEFPFIGETGLAVVVDRLGPNVVRSPVLLVSPAAQLIYVAGGSGTFQIVGLPSSSKTEVHVESGQLVFVPKHFAVGKIAAEQGMEYFSILTTKM >KGN43837 pep chromosome:ASM407v2:7:4411814:4414355:-1 gene:Csa_7G070770 transcript:KGN43837 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin alpha chain MRECISIHIGQAGIQVGNACWELYCLEHGIQPDGQMPSDKTVGGGDDAFNTFFSETGAGKHVPRAVFVDLEPTVIDEVRTGMYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVSVLLDNEAIYDICRRSLDIERPTYTNLNRLISQVISSLTASLRFDGALNVDVTEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNSTSVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAESGEGDDDDQEEY >KGN44621 pep chromosome:ASM407v2:7:12495508:12499808:-1 gene:Csa_7G343870 transcript:KGN44621 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase MENNKLSLALLAILLLTNAIVVRANSNENSVIETSCAITLYPQLCHSTISSIVGTSNLLSLKDIFEVSLSVAMDAAKHNNKNIKKLMVSTNNVSKRDKIGLHDCVETTDRTIYELGKAIEVFREYPNKRSLTLYADDLKTFLSSAITNQVTCLDGLSHDKTEKRVLRLIENAHIHVTKLCSNALALVQKLTTDIAITDEKSLVVHDFPYKITSIPSQMDDPKIVLFSNQEEDENRRREELEDGIKWPKWMSIEDQKLLESSSEAAAEAVVAADGSGNYKTVAEAVAAAPSKNSKRYIIKIKAGEYWENVDVPSSKRNIMFWGDGRSNTKIISNRSHGTGWSTFKSATLAAVGDGFLARDITFQNKAGAANGQAVALRVGSDHSAFYRCSMLAYQDTLYVHSNRQFFVNCIVAGTVDFIFGNAAAVFQNSDITPRKPGPSQRNMVTAQSRTDINQNTGIVIQKCRIKATSDLEPVIEEFPSFLGRPWEEYARVVVMQTTISNVIDKEGWSTWNGQRKSPYYAEYDNNGAGADISGRVPWSLVIDEAQAKTFTAGPFIGGADWLSSTGFPYQLSLYK >KGN43167 pep chromosome:ASM407v2:7:236765:238038:1 gene:Csa_7G004690 transcript:KGN43167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIRCDVCDQTEASVFCYADEAALCHACDLHVHRANKLAGKHSRFSLLQPIKKDSPPCDICQERRALVFCQQDRAILCRECDISIHETNEHTQKHNRFLLTGVKLSSTCFSYQTSSSSNACDIDAAMDVKTGSSNACSKRPKMAPKDQQISSTSHSAEKATPPSTSNNYLVDQDGQALSDGGSFSTSSISEYLETLPGWCVEEFLDPSAAAAAAAAAANRFY >KGN44852 pep chromosome:ASM407v2:7:14749516:14751516:1 gene:Csa_7G391290 transcript:KGN44852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAADRPPKTPTKSTRLPVGLFAAQCEECFKWRLISTQEEYEGIRSKIIEEPFTCQRKTDTSCEDPPDIDYDTTRTWGIDKPNIPKTPEGFTRRLVLRKNFTKFDAYYVTPTGKTVRSSTEVLAFVEANPQYKDIALSNFSFAVPKIMEETVPESILKEGLNSSSAKRIKKTKNESAHTE >KGN43217 pep chromosome:ASM407v2:7:550851:551256:-1 gene:Csa_7G009130 transcript:KGN43217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIPLPRIAIPKHFPWRIRQLSRTAAVPKGHLAVYVGETEKKRFLVPVAYLGNPSFHNLLSQAEEEFGYDHPMGGLTFSCTEEIFFSHLARDS >KGN43298 pep chromosome:ASM407v2:7:1106494:1109865:-1 gene:Csa_7G018800 transcript:KGN43298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVTEATPTATPRVVAPPAQFHSPSLTRSPLLSTDDRIEAAACKTPKSSSPRPRFNITPIGSPIRRALNLTRLDPHDAWLPITESRNGNAFYAAFHTLCSGIGIQALVLPVAFTILGWAGGIISLTVAFIWQLYTLYLMVQLHESTETGLRYSRYLHLFSASFGNGLSRLLAFFPILYLSAGTCVALIIIGGSTSKTFFQIVCGTNCNPNSLTPIEWYLVFTCVAVLLAQLPNLNSIAGVSLIGAITAVGYCTLIWVISLVKGRLPHVSYDPIKPPTQLERAFAALNALGIVAFAFRGHNLILEIQGTMPSSEKHPSRVPMWRGVKFAYLVVAACLFPLAIGGYWIYGVRIPSNGGMLTALYAYHSRDTSQFLIGLTSLLVIINAVTTFQIYGMPMFDSIEACYTKRKKQACPWWLRIILRTAFSFICFFVAIAIPFLGSLAGLIGGIALPVTFAYPCFMWLKMKKPKKYGIVWLVNWVLGVSGMGLSFAMIAAGIYVVINTGIQASFFKPH >KGN44016 pep chromosome:ASM407v2:7:5687868:5688117:1 gene:Csa_7G104290 transcript:KGN44016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLCFSLEVLEAVLIAYCDAADSCFQGIWSNVNDPIEKLDVVIHATLVSSCCNL >KGN45478 pep chromosome:ASM407v2:7:18474112:18475428:1 gene:Csa_7G448890 transcript:KGN45478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATVLSDTILEPQTASTKLIVHMEAEFVKCDCCGLTEECTPAYIERVRERYSGNWICGLCSEAIKYEILRSDSLITAEEAMENHMNLCKKFTSLKPPPNPTVHLITAMRQILKRSLDSPSSRALRSMPTSPTKKGSRPPALTRTGSCFSSLSGS >KGN45304 pep chromosome:ASM407v2:7:17399319:17401502:1 gene:Csa_7G433330 transcript:KGN45304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISNEIMFNCFVLLLLVAFSNAQSDVMAQGQEITPGSTLISTMANFSLGFYSPSLLNNSYIAIWYHSDSQNPVWIANRNFAFPRDFGTPCLTIDSNGSLKIVPKEGKGRNGYNFYLFEVEEPTNSSAILLDNGNFVLCVLNLDGSIKRQLWQSFDHPTDTLLPGMKLGINHKTGSIWSITSRRGDYSVLSGSFTLTVNPNNTNQLLILHRGSVFWTSGNWKDGRFEFSEELSNINNQEFVFSRFSNENETFFNYSISNLFQLPNHNKGLIEVQTFLRLGNDGKLVGRNWDSKVECPYFENELFEPKHVSEVGCVGKMQHKVPECRNPPKQYSTSQRFGNMERNGLRFRESENLTIYDCEKNCISSCDCIAFSSTNEEGTGCEMWNVGATFIPVEGGKRIIWSLEIVEGKVLTGEKRVWLQVTIGLIVPVTSLLLCFLVYLKWKTQILKAIRKIRRDSEHQNFLQELGAKTKSFDIPTIMNKQRRDVRNSELQFFSFRSVVSTTNNFADNCKLGEGGFGPVYKYKNDKERNFGRWPRSCH >KGN43566 pep chromosome:ASM407v2:7:2690333:2692485:-1 gene:Csa_7G045550 transcript:KGN43566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLQPTRSELESTVLDGISPNAVVQIQPSSPGSFLSPRHSLDSQRRIAIAVDLSDESAYAVRWAVQNYLRPGDLVFFLHVQPTSVLYGADWGSVDLHQRNSSSDEVSAEETQRKMEDDFDNFTTTKAADLAQPLVEANIPFKIHIVKDHDMKERLCLEVERLGLSAVIMGSRGFGASKRITKGRLGSVSDYCVHHCVCPVVVVRYPDDKDGSRHGDAEAGGSVKSIIREEVELDPVPEDEQEYHDGDEEVKETVQVTKVEKIAE >KGN43738 pep chromosome:ASM407v2:7:3795773:3799224:-1 gene:Csa_7G064000 transcript:KGN43738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAIRHTCLKLEVPTNAQPNERSSIFVKGTWNHLRFDLSITDGFHAWTCHATEDEVRLRAAQWDQEPSDYVALAERYLGFQQPGSIYDFADAGNGYKRLSWTFDKEGMKLEWRWKCQPASDNKTTTAGILNFLMDANIRLSEEVVRKNQSVERLKAESEKCLAQSEKICDEKVEFETAIYAKFLNVLNAKKAKLREYRDQLSRQTITGSKLKQEEYSSDKTESFDDESDAEKN >KGN43264 pep chromosome:ASM407v2:7:832931:835686:-1 gene:Csa_7G014500 transcript:KGN43264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKGDHVEDIVIVGAGISGLATALGLHRLGIRSLVLETSDSLRAAGYALTTWNNAWKALDALGVADSLRLRHDRLAGNVTFSAVSGKPTSDLLFKAHRNQEGRTLMRKSLLEALAMDLPKDTIKYSSKLVSIEEEAAGFLKLLHLADGTILKTKVLIGCDGVNSVVAKWLGLKKPSLSGRNATRGIVTYSNGHGFDNKFMWFFGKGLRFGVMPCNSNTVYWFATWRPSKQEEEIEENPTKLKEHILRKLGKVPDQARAVVEDTEVDSFVSLPLRYRHPWELVCNNFSRSNITIAGDALHSMTPDLGQGGCSALEDGVILARCLGEAMSRNPNGEVEDKEEYKRIEKGLEKYAKERRWRSIKLIIASDVVGSIQESKGKVMNYLRDNILADSLVGVLMKISDFDCGTLS >KGN43794 pep chromosome:ASM407v2:7:4162780:4165886:-1 gene:Csa_7G067490 transcript:KGN43794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAHVVLYLYIYSLIFFSIFKQKKKKKKKKKGMAGSFAGSVGIGLPCSTKLSHTTTSRSFRFSRVKMAVTLDEKKKFTLKKSEEAFNAAKELMPGGVNSPVRAFKSVGGQPIIIDSVKGSHMWDIDGNEYVDYVGSWGPAIIGHADDEVLAALAETMKKGTSFGAPCLLENVLAEMVISAVPSIEMVRFVNSGTEACMGVLRLARAFTGKEKLIKFEGCYHGHADPFLVKAGSGVATLGLPDSPGVPKAATYETLTAPFNDISAVESIFNANKGEIAAIILEPVVGNSGFIAPKPDFLNAIRKLTKENDALLIFDEVMTGFRLSYGGAQEYFGITPDLTTLGKIIGGGLPVGAYGGRREIMEMVAPAGPMYQAGTLSGNPLAMTAGIHTLKRLKEPGTYEYLDKITSELVEGLVAAGKKAGHAICGGYINGMFGFFFTDGPVYNFEDAKKSDTAKFGRFYRGMLEQGVYFAPSQFEAGFTSLAHTSEDIQHTIAAAEKVFKQI >KGN43387 pep chromosome:ASM407v2:7:1623365:1627494:1 gene:Csa_7G030500 transcript:KGN43387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIKTRRGGRCKPSRRSVTSTPSPMASSPLPDQDVPNVEDNTLHDASNKETDDVLDKIDCFQKDTCTRCDESGDLLVCTEPGCPIALHELCMSCEPSFDEDGRFYCPYCSYKRALIRVNELRRKTMVAKRALSDFIDTRMVGGDNSPRMGEAGKKKSDDVSTCGGDVNLPNHGSHLCNESSRDHDIQVEQNQSNEGEDRARAGGDVEPTSMVGVNSEIHDGPIVSNVSNSSHSAPTVQPCEDRMDEETHEAETSGTHQVESLEDKEDGITMDKEILRPIDDIQDDRIAMDHGQLETPGAYHYGEATAQELQEKDGGREQIQPDNEKMLENIVPASGNNDLKNKTTVKKRRFKTKANRRTDLQNVNSPRKSLRLQTPEEKKSPRIRTPEPRRKSPHIQTPEPRKNSPRLQTPKPQKDNTIKIEKVSVSRNLKPQPASHNQLKSLDFHSGKRKRMRWSVEEEEMLKEGVRKFSSTTNKNLPWRKILEFGRHIFDDTRTPVDLKDKWRSLLGR >KGN44390 pep chromosome:ASM407v2:7:9856439:9859826:-1 gene:Csa_7G278740 transcript:KGN44390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKSKSENPHTGDGASPGKIFIGGLAKDTTYTQFNKHFGNYGEITDSVIMKDRYTGQPRGFGFITYADPSVVDKVIEDTHVINGKQVEIKRTIPKGQGQSKDFKTKKIFVGGIPSTVTEDEFKHFFSKYGKIVEHQIIRDHETNRSRGFGFIVFEEEEVVDEILSKGNMIDMSGTQVEIKKAEPKKSSNPLPAPAYGSNSRARTFNDGFGGYGGSYGDFDAGFGPGPFRNPGGIGGRIGSGYGYGSGGDFGGGYGGFGGNSLGGYRGDSSLGYSSRFGPYSGGFGGGYGTSGLGGYGRGVDGYGSYGSSGYGGGYDSGPGASYGGAGGLYGRGGYSGSSRYHPYAR >KGN44047 pep chromosome:ASM407v2:7:6096882:6097780:1 gene:Csa_7G135920 transcript:KGN44047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLERMTFKTTSNRSHLHVVREVEAIGGTVLTSAAREQMGYTFNALKSYVPKMVELKNYTASRIILAASGVEHEELLSIAEPLLSDLPRCVPHQEPKSVYNGGDYRHQGDSEDARTHFALAFELPSDWRKEKDAMALMVLQVLTMNILWLSDFHDNLVYVALSISVGALFG >KGN44620 pep chromosome:ASM407v2:7:12494189:12494431:1 gene:Csa_7G343860 transcript:KGN44620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKDGLDRRWIGEGCKTKKKRDNWRTESYVFDSLFVDVARQTTQASFTTFTWCGGWCNGGRLVITNGGD >KGN43416 pep chromosome:ASM407v2:7:1814535:1815571:1 gene:Csa_7G032260 transcript:KGN43416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLKLGNLTELHLTANELTVLDDRVDNQNVTLPKFNLQGLRSCSLIQIPTFLENQNELVVLELGQNNIQSQMPKWMWSMSRESLKVLNLSQNELTGVEEPRDALPWVNLYVLDLSDNRLRESVPILPAICKLSSLVALQLLSNQMSGVLPQCIGNLSYLDIMNFRQNLLHGTVPDSFRNGSKLRFLDFSQNQLDGRVPWPIERFWRFIDLSDNQFTDGFPSWIGTLPLLRLLILRSNHFHGKWKSLKPILSSQLYELSISPTITFQVFPSINLSNNRFEGKKPNLDGNLKGLNLSTFPTTRLAPSHH >KGN43292 pep chromosome:ASM407v2:7:1080616:1083621:1 gene:Csa_7G018740 transcript:KGN43292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKKMGVNSKAEAARARKSAVETERKERETQQKEDQFWREAEGPKSRAAKKREDESEKRAEAAARKAEARRLADQEEKELEKAMKKPDKKASRVTIPVPKVTELELRKRREEEQAELQKKADEAKKKLSRTAAEEEYERMVLVTNTNRDDSIIEARTVEDAIAQISVADNLPVDRHPERRLKASFKAFEEAELPRLKEEKPGLTHNQYKDMIWKLWKKSPDNPLNQVAAE >KGN44988 pep chromosome:ASM407v2:7:15565599:15567615:-1 gene:Csa_7G405940 transcript:KGN44988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWIMRFSGFFSAAMLMVILSPSLQSFPPAEAIRSSHLDFNLRQSVRLSVSDSPTRFLFRRSPLYRNAEHCSPRDFKFTGRFGVCDPSLVHVAITLDVEYLRGSIAAVNSILQHSLCPESVFFHFLVSETNLEAVVRSAFPQLKFKVYYFNPAIVQNLISTSVRQALEEPLNYARNYLAELLEPCVRRVIYLDSDLVVVDDISKLWSTNLGSKTIGAPEYCHANFTKYFTSRFWLDKRFSGTFLGRKPCYFNSGVMVIDLAKWRRAGYTKRIERWMEIQKNNRIYELGSLPPFLLVFAGDVSPIEHRWNQHGLGGDNVKGSCRNLHAGPVSLLHWSGSGKPWMRLDSKKPCPLDSLWAPYDLYGHSH >KGN43736 pep chromosome:ASM407v2:7:3774318:3793860:1 gene:Csa_7G063995 transcript:KGN43736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQITTAPDLFTPYKMGNFNLSHRIVLAPLTRQRSYNNVPQQHAILYYSQRTTKGGLLIAEATGVSDTAQGYPDTPGIWTREQVEAWKPIVDAVHCKGGTFFCQIWHAGRVSNSGFQPNGQAPISSSDKPLASQVQADGTDVAQFTSPRRLRTDEIPQIVDHFRLAARNAIEAGFDGVEIHGAHGYLIDQFLKDQVNDRTDQYGGSIKNRCRFALEVVEAAVNEIGGDRVGIRLSSFANYMEAGDSNPKALGMYMGENLNKYGILYCHMVEPRMETASEKVETPHSLLPMRKAFKGTFIAAGGYDKEDGNRAIAEDRADLIAYGRLFLANPDMPRRFKIDAPLNQYIRDTFYTSDPTIGYTDYPFLEE >KGN44274 pep chromosome:ASM407v2:7:8581216:8591112:-1 gene:Csa_7G237890 transcript:KGN44274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSLGFIFVLCAIVSIVSHLIVSSQAQNSPQPITDPDQARALNSVFRQWRISAPSGAWNISGNLCSGRAVDTTTPIDTNGNLNPLIKCDCSANGSTTCLITQLHVYAMNVVGVLPPELWTLTSLTYLKLDQNFLTGTLSSSIGALSELRTLSLGINALSGELPKELGSLSKLELLAFGSNNFSGPLPSELGNLSVLRELYIDSSGVSGGIPPSFSRLRNLQIVWASDNEFTGRIPDFIGNWTRLTSLRFQGNSFTGPIPSTFSNLTSLTELRIGDLSNGSSSLAFISKMTSLTILVLRNNNITGSIPSNIGEFRRLAQLDLSFNNLTGEIPSSLFSLSSLSHLILGNNKLNGTLPTQKSVSLLNIDLSYNDLSGSFPAWIDQENLQLNLVANIFTIESSNSRVLPSGLNCLQRNFPCNRGRPLYSDFGIKCGGPQIRSSSGVLFERENMALGPATYFVTESQRWAVSNTGYFTGTSNPNYTASTMSQFSNTLESELFQTQRISASSLRYYGLGLENGNYTVNLHFAEIAFPNSNTWQSLGRRLFDIYIQGNRVLQNFDIRKEAGGSFLALTRNFTAEVTENFLEIHLFWAGKGTCCIPRQGDFGPAVSAISATPNFVPTVSNVPPTTKVTRTGLIVGLVVGLGSVFFLIVGAVFFVVQRRKRRRAYEDEELLGIDIRPYTFSYSELRDATNDFNSSNKLGEGGFGPVYKGTLNDGRVVAVKQLSVASHQGKSQFVAEIATISAVQHRNLVKLYGCCIEADKRLLVYEFLENKSLDQSLFGQKHFVIDWPTRFEICVGVARGLTYLHEESRLRIVHRDVKASNILLDGNLIPKISDFGLAKLYDDKKTHISTRVAGTIGYLAPEYAMRGHLTEKADVFGFGVVALEIVSGRPNSDPSLDQDKIYLLEWAWYLHENNCELEMVDSALSEFRKEEVKRVIGVALLCTQTSPGLRPSMSRVVAMLSGDIEVATVTSKPGYLTDWKFEDITSFIDTPSTEEPDTGRYASTSSSIIDTKRSPANPSEPMLRGLLGEGR >KGN44787 pep chromosome:ASM407v2:7:14273027:14276109:-1 gene:Csa_7G387710 transcript:KGN44787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGNPKVLEIYELPYSDLLLLSAAYHSSSSLQENQRIESITKSILEALGPNGPGLLAITGVPNSSVLRRALLPLARKLALLNPDHRKQILKDHNLGSDVPLRNPERSVSSFAMQLKYTESKEFMQNNQSQIEDKQSSGSELDSFCHSIENKLKDNEFEHLGNSFKELGSCMMELGLRIARICDREIGGRELEESLLESCTAKGRLIHYHSALDAQLLRKPANSKGTARNQASSRRNREQSIQSRHDPSDRKGLCQSSTNLWQQWHYDYGIFTVLTTPMFLSPSNTLESGLQDLWCCSERTSPSGHLYLQIFDPCKNDVFMVNSPPESFIIQVGESADIISRGKLRSTLHSVSRPSKQEDLCREMFVVFLQPAWNKTFSMSGHLTESSMLPEDRKDLVEEEGTLITREIQKIVPPLVSRLKEGMTFAEFSRETTKQYYGGSGLQSNR >KGN44585 pep chromosome:ASM407v2:7:12108345:12120270:1 gene:Csa_7G338100 transcript:KGN44585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEHVAVTPSPCIKLQIWRAPFKVKSPALCNLRKSSSESYKFIRISTWRSHELIGSCGSNLIVNPAPRKTFREHAYLRSLVNVDGTTASEAIFVDQLLLMTSIFLTYMAGVIPVPKSNQRGNINSQTNSVLDNQTFSGSGMKTDGQINPKHALDVVKGKILDFLDAFERRKSMETDVLEFTECQAKRPLCLNAIGEGPRLRLLWASFQLIEEEVNNISNATIQSMDDLSKIFSEFILKSPRPVCMSWLRNELSVENNDSSKAFLSLMSEKFKAEDNILPGIKKSGKEELFAELMHFLSFGARRDYCYYDHSLYVKHGISILEDLLITFADGIASMYLEFISVDSSFFDEVDNIGLALCTLSTRALQRLRNEVAMNQWLYQNIEAIVSMYEDRFDLCTLSSQPIDLPGSGQVNIDNWWMKYILRRKETLSSQVYYVVIRSFAMPVKRTKELRALRGWRYYFSLLIELSDITMPLIRVVIDKISSGISFFLVCLIGRSLGLIYTGIRQSLRWK >KGN44341 pep chromosome:ASM407v2:7:9401081:9402859:1 gene:Csa_7G262900 transcript:KGN44341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIKPTFGITLFLLLLFFNGIESRYEPGGQWKNVIEDDSLPVVSQEKEDCFKYKSLKNENTFFNDIKPRPSITFYPNDGSKDKFFIKDIEPRPSLTFYPNDDTKNKLFTKDIEPRPSLTFYPNDDTKNKLFTKDIEPRPSLTFYPNDDTKNKLFTKDIEPRPSLTFYPNDDTKNKLFTKDIEPRPSLTFYPNDESKDKFFIKDIEPRPSTTFYPNDESKDKVFIKDIEPRPSLTFYPSNENKDKLFTKNIEVPSIIAKNNIFRKDM >KGN45049 pep chromosome:ASM407v2:7:15968809:15969942:1 gene:Csa_7G414460 transcript:KGN45049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPRRLQGKVALITGAASGIGAETARLFAANGAFVVIADIDDEPGHKVVDSIGIDQASFHHCDVRDESQVEKIVSYTIKKHGRLDILFSNAGIIGSLSSIRELDMFDFDNVMTTNVRGVVATIKHGGRAMVERNIRGSIICTTSVAATVGGIALMAYTCSKHAVLGVVRSSCAELGVYGIRVNCVSPNGVATPLACQSLKIEESKLEEIVSSKASLKGVVLKASHIAEAALFLASDESVYISGQNLVVDGGFTAVRS >KGN43237 pep chromosome:ASM407v2:7:666273:667352:1 gene:Csa_7G010300 transcript:KGN43237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGERVSGKVKWFSDQKGYGFISPNDGGEDLFVHQSSIRSDGFRSLGVGEDVEFLIEAESDGRSKAVDVTGPGEASVQGSRGGGGGGGGAGGGGGYSSGGGYGGGGGGGRGRRGGGGYGFNGGSGGGGRGGYGGGGGGYGGGGYGGGGGGGGGGACFKCGETGHMARDCYQSGSQGGGGDRYGGGGGGGGGGGNCYSCGESGHFARECPSSGR >KGN43218 pep chromosome:ASM407v2:7:556424:556877:1 gene:Csa_7G009140 transcript:KGN43218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIHLTGIANAKQKLQRTFSGKYGIGSAVTTNNVPKGHFAVYVGETQKKRFVVPIWYLNHPLFKDLLNLAEEEFGFDHPMGGLTIPCTEDYFISLTSALNCS >KGN45252 pep chromosome:ASM407v2:7:17184637:17185083:1 gene:Csa_7G432340 transcript:KGN45252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQMKMLYRLDVLNNKFSGDLQILVFNNMSSLQFLLLANNFFSGNIEDAWKNKRSLIALDIISNNMISGKIPTWIGSLEGLQYVQTSRNRFAGELPIQVRSLSELKMLDVSLNQLVGEVPSTCFNSPSLAYLYMQKNGRSYTTSVLFI >KGN44677 pep chromosome:ASM407v2:7:13182939:13185221:1 gene:Csa_7G370750 transcript:KGN44677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGRKVEEEGDGSVSLEALRMKMAEFSKQRNWERFHSPRNLLLALVGEVGELSEIFQWKGEVPKGLPEWEEDEKKHLGEELSDVLLYLVRLSDICGIDLSKAALRKLELNAIKYPLHKSTNNNN >KGN44816 pep chromosome:ASM407v2:7:14499269:14501838:-1 gene:Csa_7G389480 transcript:KGN44816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQELFLISRIGTKKVLWLMGLMFAMILAFQCFELPYGFSLSSLLSAGKVSVIEEGSSQSPVGEPKLKTEIVADSPLEEQRENEFIPEQDHTLKESLELDIDDDGNNTSSSGDLMEPVDDATVDDESIDGVLQGNYQSFNGKDKSLRNDSMGTDGTESYVSTLGYNNQSGHFATSPAVPPTSSSSWIVRDTSNIAMNISRGNNYAASPAVPPISSSLLIVGNTSNNASNTSSHDVFVGPNAPDPSDKPDKSEKTKQSNSDSSTSKNKSVSKEKKVPKVPFSGVYTIADMNNLLFESRSNSPLVPSWSSTADQELLQAKLQIENAPVIDNDPNLYAPLFQNISRFKRSYELMESTLKVYIYREGARPIFHQGPLQSIYASEGWFMKILESNKKFVTKNPRKAHLFYLPFSSRQLEEVLYVRDSHSHKNLIQHLKNYLDFIAAKYPHWNRTGGADHFLVACHDWAPAETRKYMAKCIRALCNSDVKEGFVFGKDVSLPETFVRVARNPLRDVGGNPSSKRPILAFFAGSMHGYLRSTLLEYWERKDPDMKISGPMPKVKGSKNYLWHMKNSKYCICAKGYEVNSPRVVESILYECVPVIISDNFVPPLFEVLNWESFAVFVAEKDIPNLKKILLSIPEKRYREMQMRVKKLQPHFLWHAKPQKYDMFHMILHSIWYNRLYQITPKL >KGN44566 pep chromosome:ASM407v2:7:11926190:11926975:1 gene:Csa_7G336450 transcript:KGN44566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLFMALLLALVATHALARPTPKDDTFSDQKNFLTYGGVGGYSGIGNNGLPFGGLGGAIGGGGLGGGLGGGLGGGLGGGTGIGGGLGGLGGPGGGFGGIGGTTGGLGGGLGGGLGGGLGGGVGGASGVTYP >KGN44613 pep chromosome:ASM407v2:7:12429771:12431775:1 gene:Csa_7G343300 transcript:KGN44613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQRSHVPAFGNWESEGNVPYTVFFDKARKGRGGGPIRNPNDPEEYPDIFMDNSHEAPPSKPSPKDDTPPPKPTTHERRQSREDGGFRPYANSPGNRENQGRRQSGSEYSIDRSPLHRQAKPSARDSSMTEGKSFEGNYDNRGKTKTKNNSPPEGTALPKFGSWDVNNPASADGFTHIFGKVREERLGPGTPQHSSSPYNNANNGRPDDSAKGGGCFPCLRRK >KGN44996 pep chromosome:ASM407v2:7:15606017:15606644:-1 gene:Csa_7G407000 transcript:KGN44996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTNSQPVKPNSSSGNTKTTSRNGAVKIFKVALFMIRRRHSKKPKASVDMGADKGLWRRLLGSIRPLHIHGNEPPPTAARDMSLPPSKPKEDFEEALMPLPSHSTSPSSSSLSRTSRSSSFGTSQYASASSLQEFDDNTDGDDDENEIHEDNGGDEMIDAKAEEFIAQFYEQMRRQRYNNY >KGN44869 pep chromosome:ASM407v2:7:14900988:14905706:-1 gene:Csa_7G392420 transcript:KGN44869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFDSVYKSLKELFPEVDHRILRAVALENPKDVHLAVNDILTEVIPRCRPEIKLPLQDPRVEFASKLEEGTVGDESCNGTSYESGVAHLDGTFNQSTSVNNYVADDDCERHENTETTSLSVPADVEEDRSEVELNRVAPEKSNGLIHEDSEHNDHKQSPQFTKIWNQELHHDDRPIPVDENSDGQTANPSFENHSPFQCIIHDHSESVYKKSNANSTSNPKPKQESSTGEMTTIEERLMGPSILTESGQPCSIDHLDEIIEDAKSNKITLFSAMQSVTNKMKELEDMEKYVEKVKEDNVNTESEILAKVEEMKQTVARTKEANDMHAGEVYGEKAILATETRELQSRLLSLSDERDSSLSILDEMQTTLKSRMASLEATLNALEEEKLAKEKHARNALAEQEALMEKVLQESRMLQHEANENSKLQEFLIERGHLVDVLQGEISVICQDVRHLKEKFDLEVPLSKSLSSSQTSFILASSGSSLKTTAASDWPRFSSIPVDTAAHPDAEKGTSLNLRTEGSQASSVSSSSLASNNLEEGSERNHLKSSFSDDGWDVFDKDAEFSEVAYFVDAKESLKEF >KGN45282 pep chromosome:ASM407v2:7:17311721:17316179:-1 gene:Csa_7G432640 transcript:KGN45282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKRPFPSVTMSKKRWPLMILALVSISTAMVFFMRTTFDSCTGNDNRRFVEEKGIGSKILSPQNERKAPNPLDFMKSKLVLLVSHELSLSGGPLLLMELAFLLRGVGTQVVWITNQKPPEPDEVVYSLERKMLDRGVQVLSAKEQEAVETALKAHLVVLNTAVAGKWLDAVLKENVPRVLPKVLWWIHEMRGNYFKVEYVKHLPFVAGAMIDSHTTAEYWKNRTWDRLGIQMPETYVVHLGNSKDLMEVAENNVAKRVLREHIRESLGVRNEDILFAIINSVSRGKGQDLFLRAFHQSLQMIQDKKLRVPRIHAVVVGSDMNAHTKFETELRNFVNENKIQDRVHFVNKTLSVAPYLASIDVLVQNSQGRGECFGRITIEAMAFQLPVLGTAAGGTMEIVVNGTTGLLHPAGKEGVTPLAHNIVKLATHVERRLTMGKKGYERVRQMFLEQHMMQRIAVVLKKVMEKAKSHS >KGN43500 pep chromosome:ASM407v2:7:2291905:2294380:1 gene:Csa_7G041940 transcript:KGN43500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGKKRVDFLEGKDGSRGGGGEAVNGSDSPASLDGIEAILNYEFRDKRLLEEAFTDASYSPENCSSFERLEYVGDSVLNFLITREQYFSNPNLSPGSLTRLRAANVDTEKLARVAITHGFHRYLRHNKADLHQKIEEFGRSIEEYPLHSNGMIKAPKVLADIVESTIGAVFADSNFIDTVWKIFKELMEPLITLKTMKKHPMTELTEMCQKRSLKLEFRDRWEETKEIEVLIEKQVVGKGSYRKKLIAQNRAAKNALENLDTFFPQFNQPCQPM >KGN45285 pep chromosome:ASM407v2:7:17336902:17337299:-1 gene:Csa_7G433160 transcript:KGN45285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPFSNKQNKNSNKVILIMKKNSELPAVSAAGGINKLQPPPCPSSPLRFCGGERRKLERTVTVTEDVNESAEAFIQKFRNQLLIQRLQSIENYEKILAGET >KGN44963 pep chromosome:ASM407v2:7:15424951:15426275:-1 gene:Csa_7G398740 transcript:KGN44963 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat protein MEMAPNGFKLFHTLFLVLAWQCCGKVGATFGDVTGSVEPALDRKLPIRAFGSHGTQASAVAPVSSVGGKVLVVSQGHEISTAKSAGSVVVSHGRKTGPNANRGSVVAISSGHEISKKSGASSVVVSHGGKTGVNNGSSSLVAVSQGQEITKKGSSSIIVSRGSKTGVNGGSSTVAVSTGHEVSAKSGSSVVISHKGKIGANRGSRSVVVASGQETRVKSATSTVVSHGHGAAMIRGGAGLFSHGIHTNHRSSKSFFSSNNIQTTKGADGIHTSGSSSVVVHTHHQTTSTVSGSVFSHGAFNAQH >KGN44124 pep chromosome:ASM407v2:7:7115737:7116953:1 gene:Csa_7G197800 transcript:KGN44124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTTPEWRRRNQSGSRKDSYNDCQSIRKNLAKYEKASGQTINRGKFVIIASKNIAHEKLKVIEDWLGVNHVKSFGMYLGLPANNYQDRNQVFKGVKS >KGN44117 pep chromosome:ASM407v2:7:7021517:7023145:-1 gene:Csa_7G195260 transcript:KGN44117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAWALILPGYSMTKLIYIDWPSAFALSSLTFLSLIITTFPSPLLIMSAFVGKYAEELIKNAKFIATPGKGILAADESTGTIGKRLASISVENVESNRQALRELLFTSPNALSYLSGVILFEETLYQKTSDGKPFVEVLQENNVIPGIKVDKGTVELAGTNGETTTQGFDSLGARCAQFYKAGARFAKWRAVLKIGPSEPSELSIQQNAQGLARYAIICQENGLVPIVEPEILTDGPHDINKCAAATEIVLAAVYKALSDHHVLLEGTLLKPNMVTPGSGSPKVAPEVVAEYTVAALRRTVPAAVPGVVFLSGGQSEEEATLNLNAINKLEALKPWTLSFSFGRALQQSTLKVWSGKKENVEKAQAAFLERCKANSDATLGKYGGGSGGGVASESLYEAGYKY >KGN45226 pep chromosome:ASM407v2:7:17062761:17064964:1 gene:Csa_7G432070 transcript:KGN45226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFLLKKPIGKTTGGSVPVYLNVYDLTAINGYAYWLGLGVFHSGVQVHGVEYAFGAHEYSTTGIFEGVPKQCDGFRFRKTILVGKTDMKPTEVRSLMEELAQIYKGNAYNLITKNCNHFCNDACIKLTGNSIPNWVNRLARIGFLCNCVLPVTLNSTRIRHHHRIEDKVVTMEAKKELTSESTKTSSSNSSSSATSSPSLTFRPGRNRTRRAPPPSSPLFSHSSSSSS >KGN43574 pep chromosome:ASM407v2:7:2756487:2759303:-1 gene:Csa_7G046130 transcript:KGN43574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVNSISFSTLNQCSDRRLLLPSSRSHSSNFHGFPFRTSVFTHYSRVRASTFSSRMVIHCMSAGTDVTTVAETKLNFLKAYKRPIPSIYNTVLQELIVQQHLMRYKRTYRYDPVFALGFVTVYDQLMEGYPSDEDREAIFQAYIKALNEDPEQYRIDAKKFEEWARSQTAASLVEFASREGEVESILKDIAERAGSKGNFSYSRFFAIGLFRLLELANATEPSILEKLCAALNIDKKGVDRDLDVYRNLLSKLVQAKELLKEYVDREKKKRDERAGSQTANEAITKCLGEYSMQTGL >KGN44968 pep chromosome:ASM407v2:7:15439684:15440088:-1 gene:Csa_7G399270 transcript:KGN44968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVARCFKLLPTLFLVFVWQYCAKVGASGFFDDFDSGSFGSSGVGMLVIKNRGVAPNSNMIDPKELAPETTRPIETKTTQNKDNKDSSSNIKITANNRKIGTTVSYDRIKTNMKIGGNIDLFDHGRIIIPKIQV >KGN43531 pep chromosome:ASM407v2:7:2512607:2515702:-1 gene:Csa_7G044220 transcript:KGN43531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEPRPVKRRESPWGMPEGDDRQPKAHRCNDRAEDVIQACFEGNPFKTVPGPFKLFWQCMRSKPGEEPTEPYTYLDFDPPKREVKLELPS >KGN44697 pep chromosome:ASM407v2:7:13364197:13364774:1 gene:Csa_7G372910 transcript:KGN44697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALWCRGKMAWPELLGTRSEEAKSRIVKENPYVDVAVIREGRVVTFDIRCDRVRVWVNRDGVVTRIPFVG >KGN44840 pep chromosome:ASM407v2:7:14651757:14652212:1 gene:Csa_7G390190 transcript:KGN44840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSPLFFNFYPHHFVHNQGNHIEDISSYFLFEATGDSEVDLQSSSPVSTEFNDAESCTDDTDRIMLCNNEDDEDEEEMGVENDDDDGDEEEEEEEVVESKAIGFSIKSNASIDSTKDEFKMLNEVDKNRLFWETCLAS >KGN43493 pep chromosome:ASM407v2:7:2244154:2245558:1 gene:Csa_7G041870 transcript:KGN43493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKLQTFFIAALFLLSFSLSSSAQTCRNYNGFANNEVFAACVDHPVLNSFLHWTYNPSNSTLKIAFRRPSTAPDQWIAWAINQQDLAMFGSQALIAYRNSSGLPHVYTSSIERPFPTMQQSSLSFEVPQLSATYTNEEMTIFATINLPTGLTTINQVWQEGPMSQGSPASHNIVGDNRLSLGTLNLLTGSSTVAVDSVLKRRNIHGVLNAVSWGTLMPMGAIFARYLKVFKAADPAWFYLHVACQTSAYAVGVAGWATGIKLGGESAAVQYTTHRNIGIALFALGTLQVFALLLRPNKDHKYRIYWNIYHHSIGYSVIIMSIINVFEGLKILSPENKWRRAYTGVIIFLGAVAFVLELITWFIVIKRRRSNSNKFPHNINSNAKVENRV >KGN43267 pep chromosome:ASM407v2:7:849380:859670:-1 gene:Csa_7G014530 transcript:KGN43267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFDEYDYLEKTVENPEGQKVKETANGGDGEMKSGDKHHSRSSKYKSDGKDDGEHRSKHLKSDDESRDRDRHRDRTSSRHRSRSRERDRDRHRSSKENRGKEDREGNREERSSKDRDVDRDKERSHDRDRRGRDGERNREREQSRRSRSRSERHRSDRDDGIRERSRDNELREREKERESRERGRDGRRYKDKKDETAEPEADPERDQRTVFAYQISLKATERDVYEFFSRAGKVRDVRLIMDRNSRRSKGVGYVEFVDAMSVPMAIALSGQLLLSQPVMVKPSEAEKNQVQSTSAAGGPGGAMGPYSGGARRLYVGNLHPNITEDNLRQVFGAFGTVELVQMPVDESGHCKGFGFIQFTRLEDARNALSLNGQLEIAGRTIKVSTVTDQPGLQDVGASTGDLDEEDGGGLSLNASSRASLMQKLDRTGAASSIAGSLGTHVVNNTGATMPAPILGGATIPSLSVGTSLAAFPAFPGLGAGVQVPPVTANLLGVGTPSECLLLKNMFDPTAESEPTFDLDIRDDVEEECSRFGKLKHIYVDRNSAGFVYLRFEKSESAMEAQRALNGRWFAGKMIGATFMDIPSYEAKFPDSR >KGN44887 pep chromosome:ASM407v2:7:15005663:15006122:-1 gene:Csa_7G394070 transcript:KGN44887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPFIKSLISVALLALLLLSRFPSTSAVNHNMAPNSPIGMFQMTEYQQVVENKNGYRYSFEQKIVKKRARRSKKEAEGPSPSMANRSRMTCFIIGL >KGN45518 pep chromosome:ASM407v2:7:18707113:18709456:1 gene:Csa_7G450750 transcript:KGN45518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAQLPSSIYSISTNAITQLILMGSSRSWLKSLISHKKSHPVTEQEKVGDRSKKKWRLWRSLSDGYGSSGKITKRGFSESTESHDSKLLANAVAAVARAPLKDFVVVRQHWAAVRIQTTFRGFLARRALRALKAVVRIQAIFRGRQVRKQAAVTLRCMQALLRVQARVRARSVTADADQEEVDPIKQAEKGWCDSRGTVEEVKNKHQMRREGAVKRERALAYSILQQRSKSCASPNRGTSKQMLHHRKYDKNYKQQDWGWLDRWMAAKSWETGSLDTVPPEMTPFSRRSENVCGYYPDSVRTRKNNVTTRISAQQPSFSSNQISRTPSSSESVYDEYSPSTSSSSSAPVVATGEEEVGSKPSYMYPTVSIKAKQRTCGGGGKNLPIPGSVRTTPVENRETSNSTCSENSGRLCRDMYQEVPFGRRDWVRC >KGN44288 pep chromosome:ASM407v2:7:8738149:8741518:1 gene:Csa_7G239010 transcript:KGN44288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKKSVGTLKEADLKGKRVFVRVDLNVPLDDNFNITDDTRIRAAVPTIQYLLSHGARVILSSHLGRPKGVTPKYSLKPLVPRLSELLGLQVVMANDCIGEEVEKMVAELPEGGVLLLENVRFYKEEEKNDPEFAKKLASLADLYVNDAFGTAHRAHASTEGVAKYLKPSVAGFLMQKELDYLVGAVSNPKRPFAAIVGGSKVSSKIGVIESLLEKVNLLVLGGGMIFTFYKAQGLAVGKSLVEEDKLDLATSLIEKAKAKGVSLLLPTDVVVADKFAADADSKVVAASSIPDGWMGLDIGPDSIKSFGEALDSTQTIIWNGPMGVFEFEKFAAGTEAIAKKLAELSGKGVTTIIGGGDSVAAVEKVGLADKMSHISTGGGASLELLEGKTLPGVLALDDA >KGN44454 pep chromosome:ASM407v2:7:10370320:10371015:1 gene:Csa_7G293230 transcript:KGN44454 gene_biotype:protein_coding transcript_biotype:protein_coding description:LATE BLOOMER 1 MEYSMFITWWNDYSEQWALACGEILRILTHYNRPIYKMERKNGETERSSSGSRATTSDTDDRELVGVPQQQERKPVRPLSPWITDILLAAPLGIRSDYFRW >KGN43244 pep chromosome:ASM407v2:7:718350:721238:-1 gene:Csa_7G011840 transcript:KGN43244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSTALRKPVGILDVKIVKAMRLKKKDLLGSSDPYVKLKLTENNLPSKTTTVKHKNLNPEWNEEFSLVVKDPNSQVIEFQVYDWEQVGKHDKMGMNLVPLKDLPPEESKVFTLDLLKNMDLNDAQNEKNRGQIVVELTYKPFKEDDLAGDLDDPHKVMDAPEGTPENGGLLVVIVHEAQDIEGKHHNNPYVRLMFKGEEKRTKRLKKNRDPRWEEEFEFMLEEPPTSDRLFVEVLSSSSRMGLLHPKESLGYVEISLSDVVTNKRINEKYHLIDSKNGRIQIELQWRTSS >KGN43741 pep chromosome:ASM407v2:7:3809701:3814509:1 gene:Csa_7G064030 transcript:KGN43741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMASIVCIPTQLGALKNKLNDYISLTTITPSSHLGRFNSSSLSTYARKFACGSRRTQLGLCRKVRFRGLLSECKAMEVDSMPQENGEEDVGMGILIWRAIKLPIYSVALVPLMVGSAAAYFQSGNFSSRLFAVILASSVLIISWLNLSNDAYDFSTGADKNKKESVVKLVGSPTGTLVAAYTFLALGFMGLTWASLEAGNLRSILFLAFSIVCGYIYQCPPFRLSYQGLGEPLCFAAFGPFATTAFYLLQSNAREMRYLPISNTILSASILVGTTTTLILFCSHFHQVEGDRAVGKMSPLVRLGTERGSKVVKVAVIMLYVLLFALGLSKNLPFTCILFCGLTIPVGKLVVSFVEENHKDKQKIFMAKYFCVRLHAIFGLALAAGLVVARLISTINTSRTISF >KGN43402 pep chromosome:ASM407v2:7:1734757:1741229:1 gene:Csa_7G031630 transcript:KGN43402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERWAMRFVMMMAFVGVLLLSLRKSEGIRFVIDREECFSHHVQYEGDTVHVSFVVIKADSPWHYGDDGVDLVIKGPSGEHLHDFRDKTSEKHEFIAHNKGLHRFCFTNKSPYHETIDFDVHVGHFSYHEQHAKDEHFNPLMDQISKLEEALYNIQFEQHWLEAQTDRQAIVNEGMGKRAIHKAMFESAALVGASVLQVYLLQRLFERKLGTSRV >KGN43487 pep chromosome:ASM407v2:7:2180189:2182336:-1 gene:Csa_7G041320 transcript:KGN43487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSKEEKKETTMVEESLSSLHIAMYPWFAFGHMIPFLQIANKLANKGHRISIFIPSKTLPELQHFNHFPNLITFVLITVPHVDGLPPGAQTTADISHPSQLPLLMISMDLTEPEIASCLQDIKPNVIFYDFAYWVTKLADQMGITSIYYNVVSAVTVGYVQGKIWELSGHDTLTQDDFMQPPPGFPSSSIKLHAHEAQNFASLSHLRFSNGIALFDQFSTSFTNCNALALKSCREIEGPFIGYIENELKKHVLLSGAVDLEPLTTSLEERWEKWLAKFHSGSVIYCAFGSECILTKIQFQELLLGLELSNLPFLAVLKPPEGIDTVEAALPEGFEQRIEGRGVVYGGWVQQQQILEHPSIGCFVTHCGAGSLNEALVRKCQLVLLPHVSDHFFRARTLSSHLKVGVEVEKREEDGFFSKESVCKAVKTVMDEENESGKEVRANIAKLRELLVDKDLEESYINNFIHKLRSLIV >KGN43999 pep chromosome:ASM407v2:7:5441370:5441619:1 gene:Csa_7G088710 transcript:KGN43999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGNVYLIHFLKLSGYCMFETQGYTKESNTLQADTSSIKYYNPPGHGVSAELSV >KGN44110 pep chromosome:ASM407v2:7:6964607:6964853:-1 gene:Csa_7G192710 transcript:KGN44110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSALKSTSILALNSVEEIIIIHQQTSLLSACWRISRVVWRKEKKRLSSLLLVWLED >KGN44049 pep chromosome:ASM407v2:7:6106647:6106850:1 gene:Csa_7G135940 transcript:KGN44049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFHILETPARGGSKETQELRRCAMGRGAEKKDWNHAVADLEGWKHNTTSKERTKVTRERKGEKEKS >KGN45295 pep chromosome:ASM407v2:7:17370258:17371142:1 gene:Csa_7G433250 transcript:KGN45295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLPVSAKSVQHKTAPSHNKALTKQAKHTFGKRERKAKVHFIKREGRKMASHNQSYRAGETKGRAEEKTNQAMGAMGEKAREAKEKTYETGRAAREKAHGAADSTKEWASDAAQTGREKAQAGKETTGGILEQTGEKVKSMAQGAAEAVKSTFGMAQHDEDVDKSSNATTNRRV >KGN44369 pep chromosome:ASM407v2:7:9647564:9648137:-1 gene:Csa_7G272125 transcript:KGN44369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRHNSEPTMVGTSIALLQERFRQLQKNKQRRERKELLNLLFESNRVDASIMHLEPNGSSTSRDLDSNSLSLGLNLENNAGKQVDIDIHEARSMPGDTKFELGNSFMVSTFRSFDSPNVDTTLHL >KGN44960 pep chromosome:ASM407v2:7:15414024:15415775:-1 gene:Csa_7G398220 transcript:KGN44960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRNFSLIQILLLVFAWECCARAAAIFIDTINTQILLNGIVPKVNEQFLRPAEAPWHLGFSTLPNIGGGTVNDIKVNVDLNENGGLVFDVTKHGAKADGETDDAQAFMTTWIAACRNTVGPAKFLIPQDIFLVGPVTFAGPCKSFPITLENQGTVKATTDISAYSSSEWFSIEDVTGFILTGSGVFDGQGVSSIKFSRLNHTIIDGLTSINSMGFHTSVFYCYNFTATNMKITAPHNSPNTDGMHLSTSKLVTISNSIIGTGDDCVSIGHSTENIIITNVTCGPGHGLSVGSLGKYSKEKGVYDVLVKNCTIFNATNGARIKTFASPIPGLASRIVFEDIVMYNVKIL >KGN43334 pep chromosome:ASM407v2:7:1301291:1302344:1 gene:Csa_7G024080 transcript:KGN43334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKIHNFRPSLISLRFDFITNSIVRGITSLDSKNFHVNILGCNNLTFQGVNIIAPEDSPNTDGIHIGRSIGISILKSRIATGDDCISLGDGSKQVKVANVTCGPGHGISIGSLGKYTNEEPVDDVEVKNCTIMNTTNGVRIKTWPSSPVAGIATNMHFSDITMVNVSNPILIDQEYCPWNQCNREGVCSLTN >KGN44875 pep chromosome:ASM407v2:7:14944255:14947353:1 gene:Csa_7G393460 transcript:KGN44875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKPVKYFVVDAFTDSAFKGNPAAVCILEEERDDKWLKDLAAEFNICLTCYLILINEEEETNDLINPPRFSLRWFTPVTEIELCGHATLAAAHILFSTGLVNSNIIEFFTLSGILTAKRVPDVKLLEVSNVPNNGGSQDSYFIELDLPAISTVELNSAFDVSSISKALNVASIVDIKMCNMDPNRLLIVLPSEKDVVDFQPNYDEIRKCPGAGLIITGVAPAESKFDFYTRHFAPKVGIDEDPVCGSAHCALAVYWAKKLGKSDFVAFMASPRSGILRIHLDEKKQRVLLGGKAITTVEGFVLV >KGN45511 pep chromosome:ASM407v2:7:18672661:18675122:1 gene:Csa_7G450680 transcript:KGN45511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESMADDTKSVELEENHYASSQSPAKKKKKSEKKKKRVLSMDAKIQVLSNQPQKTPPVVGYFPSGFDPHKDADKEQSRSVRARVYKHKNRPNRMQLVVSPTGSNVDFVGTNYLGEATAGKQHCTYALGVLDKATQSLKIVPIASNMIFRLDPKVRGSDVTENESPSVANEELSGQDKANRMRELTALYGTKKSKKQAQKLHALKQEDDPETKSDLDGRMKNVVVNKEALEGTQAEVARNIPAYNASATTPQEAYPLDKIILKGEWSFLEDLYFRLQETAEISATYPSFVRNRIYKLQDIQDEEEKKKLCCIFSYITHLIKYKDQHSMGDVSSAKGHKIPSMLRQKFSSMFPTAESRRLSADKINLLISHVLVLSLFADEFSSDPADIAKDLRTSPYDLRLHFDNLGCKFIRKNNLSLATLPIPLQFPELRQKRRR >KGN44644 pep chromosome:ASM407v2:7:12740253:12741296:1 gene:Csa_7G354530 transcript:KGN44644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFSRSKRVTDPLDDKAKARLFATHHFQQLSYVSSGSEHSPHGSPCLSELVHGFLHDDHHPHHPSHAPTTSYDSDSDFLDSTPDREKPLDQIISSLNSNIVDPYRELLLHHISNAIHKFSLLKSNKSVLLRNVMAFLRDLGHNAAVCKTKWSSSGTLTSGNHEFIDVVRFNISGKVEVRYFVELDLVSEFEIARPTAQYSRMLQCLPRVFVGTAEELMRIVRVLCDGARRSLRSRDLSVSPWRKNRYVQNKWFGPYRRTVNPVPEKSFAGAGATEGVGAAYRCVGFEDVNMNRQLFVRTR >KGN44379 pep chromosome:ASM407v2:7:9739725:9740684:-1 gene:Csa_7G274680 transcript:KGN44379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNTKMNFSSFTLSLVLLFTISLNSHLISGMISDKPVSKFVVPSSIYMVYTAKRPSNEKPETFYIQILASVIDSNEAAKKALVYSFKSSINGFAANLTPNQVKKILAQPGVLHVARSVNYNLQTEGKKNNNV >KGN44298 pep chromosome:ASM407v2:7:8816736:8822670:-1 gene:Csa_7G239600 transcript:KGN44298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKAKVCLDVGLPTEDNRSRRSARGGFKVYTDKDKIKTDPSCKKPVTMTKETSTDGTIQPKGGPRRSEKNIEKFEISGAKSTRRRALADVSNARGNSTRQTQQDSSKHKVSTGTRISTAGINILLRKSSGKTKNAGEAVGDLHASEKGRVKDSKGSIYERNKTDGPCCANTVNARRSLPMLKRMNQANVSNPKEVTEKPEKTNKSQACFTISGKKATVQAKNIRSQLWNNRASDGFIITGQAKVDTSALLKKSSKPIARMKKASGTQEASKPKCAPVSIKSISSTASSSKIVEPSASLCEEITNVSIQGKDASEPTCNPSTSTDFTVKRKVGRRRSYTSLLVAGAKLLDKCAVDTEIANLPSIDNDYDQMEVAEYVEEIYDYYWVTEAQSSSLSNYLSVQKEIAPLMRGILINWLIEVHFKFDLMPETLFLSVTLFDRYLSLVKIKKNEMQLVGLTALLLASKYEDFWHPRVKDLLSISAESYSREQMLQMEALILKKLKFRLNVPTTYVFMLRFLKAAQSANTQLEHLSFYLIELALVEYEALSFRPSLLCASALYVARCTLRISPSWTTLLNKHTRYETSQIRECADMILKFHQSAQLGQLKVTHEKYIKPNFKGVAAIKPLDKLPL >KGN44919 pep chromosome:ASM407v2:7:15195650:15199144:-1 gene:Csa_7G396350 transcript:KGN44919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGHDSEDAKQSTADMTIFVQNLLQQMSINDLKAEMGVEGSPSPLPPSKPVADEVKKDEGSA >KGN45325 pep chromosome:ASM407v2:7:17495257:17496537:-1 gene:Csa_7G435500 transcript:KGN45325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTTIPKLTDIYKTHPLDIPTNKLNLDSLQQLPDTYDWIQPDAFPSFRDPNISLSDSVPLIDLSLPNAPHLIGNALKTWGVFQVINHGVPISLLNSMESFTNTLFDLPSPHKLKAARTPDGVTGYGLPRISTFFPKRMWSEGFTIVGSPLEHFQKLWPNEYSKYCDITEEYSREMKSLCGRVIWLALGELGITREDVNWAEANGDFKTSNAVIQLNSYPVCPDPDRAMGLGAHTDTSLLTILYQNNTRGLQVLREGNRWVMVEPVTGALVVQVGDLLHILTNGLYPSSAHQAVVNQNRKRISIAYFFGPSESAEISPLNKLVTPTQPLLYPTVTWTEYLRKKAQLFNNTLSSIRLSAPLTGALDINDHNQVKV >KGN45074 pep chromosome:ASM407v2:7:16092770:16099839:-1 gene:Csa_7G420670 transcript:KGN45074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSAIEAGRRLCGGFGDGRFYTNSGKWKLFLVVVAALLASLVVDSNASETIGEWQILTRQNFSSQIRLHPHILLLVTLPWSGESRTLKKDIAHLIENRKESYSSLKLMFMYRNSEKMLANAIGATSEETNVIFYHHSVSYKYQGRLTAQNIVFSIYPYLSLLPEQLPLTHLNTPEDLKSFLDSTDKALLLVEFCGWTPKLLSKGIKGNITDDLFETTDKHTDGIQTSRGKNNSKHHNQNADMMCGIEKGYDGVPWFGEFSSGNDTCVETNCTNESFSSFCNNEEFMRYNSFFTNLLAVVREFFLPREKHGFGLISDRLMISSLGIEDSDSWLATLHFAGCPSCSKTLRADDDLKQNLQMNNFIVSELEVDVSGEQPALPVNKPSIILFVDRSSNSSESNRESKVALRDFRELAQQYYTSYSITEQGGNKVEKPLLQKYPVMRSPLEPPRLKLSSASRLIKLENKMSSVMIVNEGKIVSMDKLASELQGNSLHEILSLLQKKEAGLSSLAKSLGFQLLSDDIDIKLADPLADVTEVQSLEVSPETSQEGTITPSVQPDEDQSTDGRCMSAKEHGEASEFCTIEPIPQEDNEKKASIHAVEHDDFIQSDESATDHIPQNIKVEEKSSLTVEISRDENLRFQGFEGSFFFSDGNYRLLKALTGQSKFPALVILDPLLQQHYVFPPEKILSYSSQADFLSNFFNRSLLPYQLSEFVDKSPRAAISPPFVNLDFHEVDSVPRVTALTFSKLVIGSNQSESLNTLDACGKDVLVLFSNSWCGFCQRSELVVREVYRAIQGYSNMLKSGSGNEKNMLSETRADLLSKLPLIYLMDCTLNDCSSILKSFDQREVYPALLLFPAARKKAILYKGDLSVTDVIKFVAEQGSNAQHLINQNGILLTVADNRIGSTKSFEDSRPTHSQEKDSILIEKYHEVLVRDRKVENAMRFSHINLHITNDEDESLPHIGVGTMLIATDKLVGSQLFDNAQILIVKADQTIGFHGLIINKHIKWDTLQDMGEGLDILNEAPLSLGGPLIKRKMPLVMLTQKVFKDLQPEILPGIYFLNQVATLHEIEEIKSGNHSVSGYWFFLGYSSWGWDQLYDEIAEGVWRLSEDGASYLGWPEV >KGN43396 pep chromosome:ASM407v2:7:1682935:1684694:1 gene:Csa_7G031570 transcript:KGN43396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSSLPAPSEGVLNVILVNTALSISMLKCFVRLILHMVGIHLSWSSTVVPSIDSFGSSSELGDPNFGSSWNYLEMFRNRYPRIRFDKVQSSGCREHDCSVCLTQFEPESAINHLFCGHLFHTDCLEKWLDYWNITCPLCRTPLMSEEEKFCFW >KGN43774 pep chromosome:ASM407v2:7:4032411:4052006:1 gene:Csa_7G066310 transcript:KGN43774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANEQVPPSTSSSSTDVVSPLGNSVIPIVNKLQDIFSQLGSQSTIELPQVAVVGSQSSGKSSVLEALVGRDFLPRGSDICTRRPLVLQLVQTKSEEEYGEFLHITGKKFYDFSEIRREIRAETDREAGGNKGVSDKQIRLKIFSPNVLDITLVDLPGITKVPVGDQPSDIEARIRTMIMSYIKVPSCLILAVTPANSDLANSDALQIAGNADPDGLRTIGIITKLDIMDRGTDARNLLYGKVIPLRLGYFGVVNRSQEDILLNRSIKDALIAEEKFFRSHPVYDSLADRCGVPQLAKKLNQILVQHINAILPGLKSRISSALVSAAKEHASYGEITESKAGQGALLLNILSKYCEAFSSMVDGKNEEMSTSELTGGTRIHYIFQSIFVKSLEEVDPCEDLTDLDIRTAIQNATGPKSALFVPEVPFEVLIRKQIARLLDPSLQCARFIYDELIKISHRCMVNELQRFPVLRKRMDEVMSNFLREGLEPSEIIIGHIIDMEMNYINTSHPNFIGGSKAVEIALQQVKSSRVSLPISRQKDSIEFDKAASSERSLKTVGILARQGNGIVADQAVRPVADGEKVALPVNSTWGISSIFGSASSDSHAPVKENSSKKSFAEPLHGVEQSFAMIHLREPPAVLRPSESLTEQEAMEIAITKLLLRSYYDIVRKNIQDYIPKAIMNFLVVHAKRDLHNVFIKKLYRENLFEEMLQEPDEVAMKRKRTRETLRVLQQAFRTLDELPLETETVEKGYSVGADPTGLPRIHGLPTSSMYSTSSSGDSYSASPRHLKSRNTLPTNL >KGN43580 pep chromosome:ASM407v2:7:2774100:2774790:1 gene:Csa_7G046680 transcript:KGN43580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCAGKSWTTAAAASIGAVEALKDQGHLWRLNNKYGVRAISSHHNKLSSSSSSSSKSSASVIADSSEVKRELDHSKPKQSEESLRTVMYLSCWGPY >KGN43850 pep chromosome:ASM407v2:7:4517844:4523286:1 gene:Csa_7G071390 transcript:KGN43850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSELELELKALPPPSNLSPSVLSFLDHQLYNKETLAQAPTLVIDLQSQCHELSHTLIDLNRSLKHTLLSQSTFSDRLHGLLGDVNGKLMGLESLTRSQSSTQGVGIADGVLGKELSSLAKEVARMETVRMYAETTMKLDCMVGDIEDAVSSAINKNLRKQSSEDARLLAIKTFKLTEDILVSVSKTRPQWTHLVSAVDHRVDRALAILRPQAIADHRSLLSSLGWPPSLSTVTVTGDATKSTESQNPLFTMQGKLKQQYCENFLALCSLQEIQRRRKSRQLEGYSKEVSLPQPLWAIEELVNPISLAAQEHFSKWIDKPEFIFILTYKITRDYVDSIDEVLQPLVDEARLVGYSCREEWISSMVTSLSTYLAKEIFPNYIRQLDEDSNIGIQSQARISWLHLVDLMISFDKRIKSLVEQSGLLLSFDENGNLQRLSSLAVFCDRPDWLDLWAEMERSDAMLKLQIEVDNERNWSDKIPAAALPSSSEHSKSPAISTVFIKHLSSLVYRCQSLPSITLRSRFFKLAGSPIIANVFNCVLIRCQEAEGLTALTDDDALVKVANSINAARYFESILKEWCEDMFFLEMGSASDELLASPSTGIIDSEIRKFEEFRREWVEKISTVILRGFDAQSRDYIKNKKQWKEKCEDGWTVSRLLIGALDYLQGKMLTLEKNLNGIDFVSLWRTLAAGVDRFIFNGILMSNVQFNNDGVKRFGDDMEVLFGIFRSWCLRPEGFFPKISESMKLLKMKEEQLKSSLVGEQSWMKENGVKHLSTSEVDRIVKSRML >KGN43584 pep chromosome:ASM407v2:7:2806251:2808867:-1 gene:Csa_7G047210 transcript:KGN43584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGRKANVGGFLNPSWMRKNMNSHSGRMFSDRKWIVPFFASLLISVTLLLTATLGLFIPSQSDEPLPLDAVSFVKEEDSSGFFIEPELRSSLKETNGVVKMEPPRLAYLISGTKGDSRRMMRTLQAVYHPRNQYVLHMDLEAPPRERLELTNLVKADSTFNEVENVRVMAQSNLVTYKGPTMIACTLQAISILLKESLDWDWFINLSASDYPLMTQDDLLHVFSNLTRNFNFIEHSQIAGWKLSHRAKPIIIDPGLYLSKKSELAWTTQRRSLPTSFKLFTGSAWVMLTRSFVEYCILGWDNLPRTILMYYTNFLSSPEGYFHTVICNNDEFRHTAVSHDLHYIAWDNPPKQHPLSLTMKDFDKMVNSNAPFARKFAKDDSVLDKIDKELLGRTSRFSPGGWCIGSSEGGADPCSVRGNDSVFTPGLGAGRLQQLLHSLLSEEILKKQCT >KGN44943 pep chromosome:ASM407v2:7:15326806:15328374:1 gene:Csa_7G397560 transcript:KGN44943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGAAASGGPKGKKKGVTFVIDCAKPVEDKIMDIASLEKFLLERIKVGGKAGALGDSVTVTRDKNKITVNSDSNFSKRYLKYLTKKYLKKHNVRDWLRVIASNKDRNVYELRYFNIAENEGEEED >KGN44282 pep chromosome:ASM407v2:7:8691245:8693157:-1 gene:Csa_7G238950 transcript:KGN44282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNGWVVSVLFITLLVSLCNPRGVSDIKTLHFSETHTNTHTHTLFSFLSTLQYDFYRKSCPNAENIVRSSVANIYSHHQDISASLLRLFFHDCFIQGCDASILLDPITGDATYSTEKQAIPNLTLKGFHEIDQIKEELERVCPRVVSCADILSLATRDAVVLAGGPFYPVFTGRRDSTRAYFEEATADMPRPDDSINRTLYLFATRGLDERDMVSLLGAHNIGKIGCQFILNRLYNFSGTNLPDPSIDPEFLNHMRSKCQEKENNENNNGSQDQMSPASSPISKEASVEKLRRSTLDVSNFQELSSALSLEGGFDTHYYKSLLSGRGLLYADQQLMANEKTGRLVQGYASDDGSTFRRDFARAMVKLSVLDVLTGSQGQIRERCGY >KGN44372 pep chromosome:ASM407v2:7:9657088:9657566:1 gene:Csa_7G272140 transcript:KGN44372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKATERERVATTYPILATSNIPVLWHISFPTKESQRRAVAPSQGSQFWKSKRNPMQNQPQQQKKILQQQGEKTIAFFIDFLKGLVKGSPPLTSHSQTPPLLCFVIDLR >KGN45221 pep chromosome:ASM407v2:7:17041623:17051684:-1 gene:Csa_7G432020 transcript:KGN45221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLSGKELEEQLKEIGSELLKPPSSTDALLKGLDKAECLLTNVEQSPTRSMRDTLLPLMKALISDKLLKHSEEDVKVTATACITEITRITAPDAPYDDDKMKVIFQLTLEAFRKLSNVSGRCYMKALSILDAVAKVRLCLVMLDLECDNLILEMFQSFLKLIRSNHPTAVFSAMEAIMTNVLDESEEVSSDLLRPILASVRKENQEATSISWKLAERVMSNCATKLQPYLMDAVQSLGASLDDYAPVVMSICRNETDNIDDGKHLVTQMHTPDASIEENPRTDAASESLISGSTVAAGNDNILKGSSKKSQKCSEQSKIAETKIPDNVESKKAEDTLDTVPKKRGRKPNSLMNPDEGYEHYWIGKGRERSRLSNQNKSNDQETKFSPVSLRIEKVSLPTKVEKVSSGHAAEKHIQSKVEGVNENMTKKEENTRVRSRKPKVGKSRKDKTTAVSPVSPRVESLPTEEEKESPGHAEEKHIQSEDELVNENMKKMEEKARVRSRKSKIGMSRKDEGTKFSSVNSKVKKASLSAEVGKESSAHTEEKRIQVEDEVVNENTEMVKKAQARSRRSTVGKSRKDKATKFSSISPKVQRDTLTTEEESSADAEEKPLQSEDEVVNEHVKMMEEKTQSRAKKSKTGKCKDKAIHDPRCVISEEKVSVPSDYKEKLSVHLVMKLRVKSTNGDGSVVQKDVIVKSTDTDMDKNIHKSSTCEDSGSAKLDGDDYVEETPQAEATRRHAIVEKEVMSISSAGEELVGRRIKVWWPLDRMFYEGIVRSFDPVKKKHQVSYDDGDEEILNLKKQRYELIVADPLLVGDEEMDVPETEASSDILRKRKRKNMSESDKEEKTYSSTRRVRASTKRKSDVKSAKSSEKAANSSMLRKHVISDESMDEAGSVDNSTKGNDKKLIDLIKNSRLRINLKSKQNASGRE >KGN45098 pep chromosome:ASM407v2:7:16256553:16257063:-1 gene:Csa_7G425900 transcript:KGN45098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEGILSGVGVEILKKLSSHALECLGMVCGLNDDLNKLRSNVSSIQSVLRDAEQRQIKGNDHSLTDWLEKLGDVFYDVEDVLDEISTEALRREVMTRGKNAKQSRKLMRGLMLFPKKKISFSSMELLILGYKMFYLIQLGWKGILTHL >KGN43194 pep chromosome:ASM407v2:7:441975:444131:1 gene:Csa_7G007920 transcript:KGN43194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPPGPYSGTSTLALVARASAFSVGLVYGSLKLKYLQAKAKSHKKAEAKAHH >KGN44417 pep chromosome:ASM407v2:7:10016575:10020636:-1 gene:Csa_7G284430 transcript:KGN44417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHLLNTSFLPASSPSLRPTPFLPPSFHSIRRPLQVQAKIREIFMPALSSTMTEGKIVSWIKTEGDKLAKGESVVVVESDKADMDVETFYDGYLAAIMVDEGGVAPVGSAIALLAETQDEISEAKSRAANPSASPASAPPPDKSPENVVATPAAPVMVAKAAAAPVVVASTHPASEGGKRIVASPYAKKLAKELNVELATVVGTGPLGRIVAKDVEAAATSAAASAVSAPGGGVKPAPSLELGTTVPFTTMQGAVSRNMVESLAVPTFRVGYTITTDALDALYKKIKSKGVTMTALLAKATALALAKHPVVNSSCRDGKSFTYNSSINIAVAVAIDGGLITPVLQDADKVDIYSLSRKWKELVEKARAKQLQPQEYNTGTFTLSNLGMFGVDRFDAILPPGTGAIMAVGASIPTVVGTKDGRIGKKNQMQVNVTADHRVIYGADLATFLQTLAKIIEDPKDLTL >KGN43820 pep chromosome:ASM407v2:7:4298664:4302598:-1 gene:Csa_7G069700 transcript:KGN43820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDENTQAVNSTGDDSGEDFYEMIEAPKFVDFTVSDHYVPDDRYWFCSRVGCEEAHPEEMDSDVVYKNFVMRVMAARSPNVRLQRVRRNLKCPLTAPPKSSKSRMARLALISSISKRIADSRVKSRLPTTKPAATANVKPKQTHAKAMTTPRNRKLNSNTNAFLSVKNSKTISAEEPKTTKVAKALFFQSPKKDTKKRTSTEVNTSVKTICAAMKKLEINSANKNVLGHEKNVLRDGQSLPKDVPRKQFRGREVKSRVFDSLRTHGCKHQDAKSVRVLKRRSKERKIKPPLPQHVAPEKVDEDASDMDIDVKSRQVSMQGCCLSVSSKGKDENPDGLSRPEDSDNLSKDFDRTSISNYEERISEKSDAEVVQCKVEDKKNQLYYHEDQVKPGVLEMNILELLLSDDKENVAEISDGNRDEKVLQIVEPLNSNSDDDTKVSNPEKNSEAIDFNSVLCEVEPEKNNKCNREGRMKSGEVQKNISKLESDDKENVVSASKDNAVPSDDDIEHESETTTDENVAPNDNREDNSHDQSATVAFGKLVRSNAAKVKEVLKKTVKEKSTPATVGSHGLKPSRPKSTNPKPFRLRTDERGVLREANLGKKLHCPLKDITASRRHHGDKLQRKNNQCTNQNSECENHVEEEHEQRRLENKFPDDPQGGTIPDSSNNKKGDSEDKLCTLDSQNCFALKHQKPRHCRQLEPGNKRATKTTEANLKRANLKKIQQRVRKPRRDISSKEELTSLVPSQHNARKETSLKISSLKDARKPSEALSRKRSPAATIPKEPNLHGNHLPRRAAQENWLR >KGN43163 pep chromosome:ASM407v2:7:212172:218476:-1 gene:Csa_7G004160 transcript:KGN43163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTKFETKSNRVKGLSFHTKRPWILASLHSGVIQLWDYRMGTLIDRFDEHDGPVRGVHFHKSQPLFVSGGDDYKIKVWNYKTHRCLFTLLGHLDYIRTVQFHHEYPWIVSASDDQTIRIWNWQSRTCISVLTGHNHYVMCASFHPKDDLVVSASLDQTVRVWDIGALRKKTVSPADDILRLSQMNTDLFGGVDAVVKYVLEGHDRGVNWASFHPTLPLIVSGADDRQVKLWRMNDTKAWEVDTLRGHMNNVSSVMFHAKQDLIISNSEDKSIRVWDVTKRTGVQTFRREHDRFWILAAHPEMNLLAAGHDSGMIVFKLERERPAFVISGDSLLYTKDRFLRFYEFSTQKDTQVIPIRRPGSISLNQSPRTISYSPTENAILICSDLEGGSYELYTIPKESIGRGDSVQDAKRGVGGSAVFVARNRFAVLDKSNMQVMIKNIKNEVVKKSVLPIAADAIFYAGTGNLLCRSEDRVVLFDLQQRVVLGDLQTPFIKYVVWSNDMETVALLSKHVIIIASKKLVHQCTLHETIRVKSGAWDDNGVFIYTTLNHIKYCLPNGDSGIIRTLDVPIYITKVSANTIFCLDRDGKTKTIVIDATEYMFKLSLLKKKFDHVMSMIKNSQLCGQAMISYLQQKGFPEVALHFVKDERTRFNLALESGSIQIAVASATALDEKDHWYKLGVEALRQGNAGIVEYAYQRTKNFERLSFLYLITGNVDKLSKMLKIAEVKNDVMGQFHNALYLGDVRERVKILENVGHLPLAYITASVHGLHDVAERLAAELGDDVPALPEGKVPSLLMPPSPVMCGGDWPLLRVMKGIFEGGLDNVGRGVADEEEEAADGDWGEELDMVEVDGLPNGDVTAILEDGEVAEENEEDGGWDLEDLELPPEAETPKASVSARSFFVAPTPGMPVSQIWIQRSSLAAEHAAAGNFDTAMRLLNRQLGIKNFAPLKSMFLDLHGGSHSHLRAFSSAPVITLAVERGWNESASPNVRGPPALIFNFSQLEEKLKAGYKATTSGKFTEALKLFLSIIHTIPLIVVESKREVDEVKELIIIVKEYILGLQMELKRREVKDNPIRQQELAAYFTHCNLQLPHLRLALQNAMTVCFKAKNLATAGNFARRLLETNPVVENQAKAARQVLQAAERNMTDAAKLNYDFRNPFVICGATHVPIYRGQKDVSCPYCSARFVPSQEGQLCTVCDLAAVGADASGLLCSPSQIR >KGN43844 pep chromosome:ASM407v2:7:4456551:4464316:1 gene:Csa_7G071330 transcript:KGN43844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQELPDNEHLLTRIQQLEYERDELRKDIEQLCMQQAGPGYLSVATRMHFQRTAGLEQEIENLKKKFAACTRENHNLQEELAEAYRIKSQLADLHSSEVAKNLESEKQLKFFQGCVATAFAERDHSIMEAEKAKEKEETMSQKLKEVENRLEELSSDCLNLRETNDTLRINLAKQEEWNEVSKQVINKFYEIRQNSLEGFMDTSWDEKCQCLLHDSSEMWSFNDSSTFRYINSLEEGLETMKKTVDNLQNKLRMGVEIEKHLKGKVHDLELKLIHMDDMVKSKISGFCQNYSQYRDHILNLLEKEMSNMHSTIGEIEEKIVQYGWGVQQLKDSEKVLKEENDSQEGHLSTNAKMEIHGLPDSVADGNAEALALALQEKVSALLLLSQQEERHMLERDVNAALQRKTEELQRNLLQVTHEKVKALMELAQVKQELQLLKEKSVHDLKRGADTVERKLVTHEREGKLKGLLKGTYLRRWVGTPEISGSEAAAHLDNEENYSSRKSAVDFARIKIENATLRESIESMEQLTSSIHRLRLYLLKAKESATSEGPGTSVLEGLKGIINEAKLIKTALCSSLPISWSAEVNAGSSEETLHDSHDVLGEGDSSLGKIDFVSAAGFEMVELLVSVAELLIKDYKAESGS >KGN44551 pep chromosome:ASM407v2:7:11668247:11668438:1 gene:Csa_7G329360 transcript:KGN44551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRERRQHMDWIMLSLVNNWEDFISYDCGELIWVKTLANLKGAFNGKVALHKKKPVNNKSSES >KGN45236 pep chromosome:ASM407v2:7:17115630:17117939:1 gene:Csa_7G432170 transcript:KGN45236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFSVASRRFLPPATIFFSTKAISPIPEFDFKFNTSERRPTHTNFDPFTVREALDSYCNDWKRSYEFFNWVESECKFDHTTETYNRMLDILGKFFEFDLSWVLINRMRQSPSASPDHATFRILFKRYALAHLVSEAIAAYERLREFKLRDETSFCNLIDALCESRHVDEAQELCFGKNRKLDCDSSTKIHNLILRGWLKMGWWSKCRDFWEEMDKKGVRKDLHSYSIYMDIQCKSGKPWKAVKLYKEMKKKGMKLDVVAYNTVIHAVGISEGVDFASRVFHEMKEMGCKPNVVTCNTVIKLFCENGRFKDAHMMLDQMLKRDCQPNVITYHCFFRSLEKPKEILVLFDRMIKYGVHPKMDTYVMLLRKFGRWGFLRPVFLVWNKMEELGCSPNECAYNALIDALVEKGMIDMARKYDEEMVAKGLSPKLRVELGTQMMNGGYHANVNCNK >KGN45416 pep chromosome:ASM407v2:7:18014453:18021058:1 gene:Csa_7G447780 transcript:KGN45416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGSNTVHSPFLTYVSMLSLLTLCPPFVILLWYTMVHADGSVSQTWNYLYQNGFEGFINIWPSPSATACKIIGCYAAFEAALQLLLPGKRVLGPVSPTGNQPVYKANGVAAYIVTLLTYVALWRFGIFDPAIVYDHLGEIYSTLIFGSFIFCILLYIKGHVAPSSSDSGSSGNVILDFYWGMELYPRIGKHFDIKVFTNCRFGMMSWAVLAVTYCIKQYEKNGTLADSLLVNTALMLVYVTKFFWWEAGYWNTMDIAHDRAGFYICWGCLVWVPSVYTSPGMYLVNHPVNLGTQLAVSILVAGILCIYINYDCDRQRQEFRRKNGKCLVWGKAPSKIVATYTTTTGETRTSLLLTSGWWGLARHFHYVPEILAAFFWTVPALFHHFLPYFYVIFLTILLFDRAKRDDDRCRSKYGKYWKLYCEKVPYKIIPGIY >KGN43724 pep chromosome:ASM407v2:7:3686445:3695353:1 gene:Csa_7G062900 transcript:KGN43724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNPLKLLKTNASNPKLWILIGVGVAGVVILAETRRRGRNGKVLQGEDFGAFIQRFELRPFPQPSPPAARQSLAGLTFAVKDVFDVKDYVTGFGNPDWKRTHDVAEKTDEMVTLLLKNGAACVGKTVMDELGFGITGENKQYGTPINPKLSSFVPGGSSSGSAVAVAGELVDFALGTDTVGCIRIPASFCGIFAFRPSHGVIPMNRVLSNSPSLDTVGWFARDPSTLHRVGHVLLKLNSVEPRRMRRLVIADDLFQLSKVPLQKTVHVVEKAIENLSGYQSPKHMNFGEYLASNVPSLKGFHNQSPTLQKGITILKALSSVMILLQRSEFKINHEEWIKSVKPKLGSNASEDVLAAIKTSYDDIKTLYKVRMETRIALKSLLKDDGILVIPTVADPPPKFSTKKGIASEFRDRTFALSSISSMSGCCEVAVPLGKQDDCPISISLITFHGADKFLLDTVLDIFSALQEQVGVASNNLLPFADTNGDMDASELLKEKGNAAFKGRQWNKAVNYYTDAIKLNGTNATYYCNRAAAYLELGCFQQAEDDCSKAILLDKKTVKAYLRRGTARESLLLYKEAIKDFKHALVLEPQNKVANLAEKRLQKLIS >KGN44650 pep chromosome:ASM407v2:7:12902754:12903028:-1 gene:Csa_7G363040 transcript:KGN44650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEIAAEKEAALEIARMRVGNHKQQSEKKGQGMCGCEIRKWTLRFFTRSIAPL >KGN44872 pep chromosome:ASM407v2:7:14922796:14924357:-1 gene:Csa_7G392940 transcript:KGN44872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVSSMFMSKITTQQPLINLPPGRLTTNPFSTLRKLQKEKVVIVIGPTGTGKSRLSIDIATRFPSEVINSDKMQVYHGLDIVTNKITKQEQCGVPHHLLGIINPFLDFSASNFSDMAMLSLDAISSHDRLPIIAGGSNSYIEALIDSNFRLRYECCFLWVDVSMQVLQSFVSERVDKMVANGMVDEAEKFFDPARDYSRGIKRAIGVPEFDSYFRYRSLLDEEAQEKLLEESIAKVKEHTCKLALRQLGKIQRLRNVKGWNIHRLDATEAFTKQGKEADEAWNKHVTIPSTTIIDQFLHQNVIATKFPTNLASLIGVPRKKSAAMAAATP >KGN44527 pep chromosome:ASM407v2:7:11372645:11375145:-1 gene:Csa_7G325190 transcript:KGN44527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIESHNPFFLRNHMSYVLLKFALFLLLTALSFHIFLSLSFKLVSFPHPPLDSTELAQTQPQNDSRTECDIFVGEWVEDSIGPFYTNESCHFIESHQNCMRNGRPDTGYLHWRWNPVDCELPRFNPAKFLRLMRNKSWAFIGDSIQRNHVQSLLCILSQVEEAIETYHDEEYRSKKWHFQSHNFTLSVIWSPFLTKAVIFEDINGVSSSEIKLRLDELDEEWTSQYKDLDYVVVAGGKWFLKAAIYYENNTVIGCHNCLEKNVTDLGFAYAYRKAISLVFDFIKHSDHKAFVLFRTTIPDHFENGEWFSGGQCNRTVPFKGGEVDMKDVDLVMRNIELEEFDMAVRSGNGKAPTLKLLDTTRLSLLRPDGHPGWYRQFHPFAHGNGKVQTDCLHWCLPGPIDSWNDLLFQLLIHGVRA >KGN44649 pep chromosome:ASM407v2:7:12894261:12895652:-1 gene:Csa_7G363030 transcript:KGN44649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIIAEIEGHDDQEMMENYKKAGFEDQEENNDDDVVVSIEKMFMKLPPINPKCFIYQVPELHRKMNDIAYTPQLISIGPLHHGQQQYKAAEQYKVYALKRYLSRINMTVGEAMDIAGRWEETARNCYANPIDMNREEFVKMMLLDSCFILEFMNSTVCRLRYWGQNEDHWMNDTLLCGLLFGLKRDLSMFENQLPFFPLQELFYLSFNRQNVTNPPSPIIFLTSMAHLFIATTGGYQLRCNVLDDTHKVRHLVDLLRFYYIPSPDTEEYKSYEAEKSSYINTPTISELCEAGVQIQRADDAKSLLDFNFKDGVLKIPPFNIHIEFEIQIRNLIVSEIFHDTDDSKFIFDYIALLDDLINTEKDVSILVKEKILTNEIGGSDEPVYKLINDLRLNAPTFPLAYYYSNMSKDLNEHCRKWWNRSLASLRRDYFNNPWASISFVAATVLLILTLLQTLFSAPAFFH >KGN43507 pep chromosome:ASM407v2:7:2330709:2332142:-1 gene:Csa_7G043000 transcript:KGN43507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTSHPSEWLLQLLQRFLKNSTKIPQIHALLLTQGHLFNNSQTSSNPKCLITLLYNTLIRAYLNFNRPRFALLLYTQMLSQQTKPNFHTFPSIIKSATICSSLLPKLIHAHAFKIGVLTDPVVLTSFVSSYADLRELANARKVFDEITNPCIVAFNSMLDAFVKNGDLGSAVFMFRSMPEHDVVSWTSVINGFWWNGRFLEALWFFHVMMMSGSVKPNEATYVSVLSSSANLDAEGVLCRGKEVHAYIIRNEGEFSVFIGTGLIDFYGKMGLLGCARTVFNQMKKREVCTWNAMISSFASNGRETEALDLFATMKVEGIHPNEVTFVAILTACARGKLVKLGLQLFQSMLYDFSIVPITEHYVCVVDLLGKAGLLREATEFIESMPFDPDASVLGALLSACKIHGATELGNEVGRRLLEMQPRHCGRYVTLASMNAGAEKWNRAAVIRRVMADARIQKTPAYSRVDPMQNLVLVSPS >KGN44247 pep chromosome:ASM407v2:7:8328511:8328726:1 gene:Csa_7G234680 transcript:KGN44247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKGREEIRESNALRISTQIKNSKKFHFRFPNLSSSNSFSPLGLETFSSSPDFASADSTPVQNFMHCCHKI >KGN44868 pep chromosome:ASM407v2:7:14892947:14895670:1 gene:Csa_7G392410 transcript:KGN44868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSQSVTEKSIHEFTVKDFRGKDVNLNVYKGKVLLVVNVASKCGLTDSNYKQLTDLYNRYKDQDFEILAFPCNQFLKQEPGTSEDAQEFACTRYKAEYPIFQKVRVNGPDAVPVYKFLKATSNGFIGSRIKWNFTKFLIDKEGVVINRYGPTTNPLAIEVDIKKALGIASVTADL >KGN43935 pep chromosome:ASM407v2:7:4996509:5000519:1 gene:Csa_7G073710 transcript:KGN43935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKSKKNIMTLLNPIFFFLFFFLLRFSIASQPLPRGYLLNCGATESTAKVTVGSLQYITDEGFISVGNTTKLLDPNLVPILSTLRYFPDKSARKYCYSIPVVKGGKYIVRTTYYYGGYDGGTVPPVFDQIVEGTKWSIVNTTDDYANGMSSYYEAVVVAMGKMMSVCLARNQHTDSSSSPFISALELEYLEDSVYNTTDFKNHALSLVARTSFGHDDDVIGFPDDAFNRQWHPFVDENPLVTCHANVTSSTFWNLPPAKAFNTALTTSRGKSLKVNWPPFSLPAAYYYVSLYFQDNRSPSPYSWRVFSVAVNGKNFFTNLNVTANGVSVYSAKWPLSGQTHLELIPADGVPVGPVINAAEILQVFRLSGRTLTRDVMAMEELARSFNNPPHDWSGDPCLPKDNSWTGVTCSDGKLARVVNLNLTNFGLSGALPSSINNLTALTHLWLGSNKLSGFIPEMGSLKELQTLHLEKNQFEGPIPRSLSKLPHIREIFLQNNDLKSKALEVLQKRGIHVE >KGN43894 pep chromosome:ASM407v2:7:4777212:4778340:1 gene:Csa_7G072810 transcript:KGN43894 gene_biotype:protein_coding transcript_biotype:protein_coding description:NtPRp27-like protein MAYSNKITFFLFSSLLLLQMVSAVKFTVTNKAVGTPGGVRFDNEIGVDCSRQIMVASTDFIWNIFQQSSVANRKNVHKVKLFIVTDYDGVAFASNNEIHVSAGYIANYGGDVKREITGVLYHEMTHIWQWNGIPNAPGGLIEGIADYVRLKSGYIPGHWVGPGGGSSWDQGYDVTARFLDYLEGLRSGFVAELNRRLRNGYSANYFVQLLGKPVDQLWADYKAAYGN >KGN44425 pep chromosome:ASM407v2:7:10070632:10080920:-1 gene:Csa_7G290480 transcript:KGN44425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVCSRTRRTTSDDIGGHIGEGGFTNANGHSNNESGMVYQSHGLTSKNTDSTPPAVDDNKSLREPFSFPEVNVVPYGLDDINDGIPRLSRTLSQKSRSTKSRHAVAKVSEMSSLIGRAGTVGLGKAVDVLDTLGSSVTSLNLGGGFTSGVATKGNKISILAFEVANTIVKGSSLMQSLSKRNIRVLKEEVLPSEGVQNLISRDMDELLRIAAADKREELKVFTCEVIRFGNRCKDPQWHQLHRYFEKFGSEVTQQKQLKDDANAVMQQMMTYVHYTAELYHELQALDRFEQDYRRKLQEEDNSNTAQRGDSISILKAELKNQKKHVRSLKKRSLWARILEEVMEKLVDIVHYLHLEIREAFGSADDDKPAKGSQSNHKKLGTAGLALHYANIISQIDTLVSRSSSVPPNTRDALYQGLPPSIKSALRSKLQLFQPKEELTIPQIKAEMEKTLHWLVPIANNTTKAHHGFGWVGEWANTGAEANRKPSGQSELLRIETLYHADKEKTESYILELVVWLHHLISQARACNTGIRSPVKSPIRSPNQRTIQLSNQKPSSRSPTLTVEDQEMLQYVSKRKLTPGISKSQEFDSAKTRLSKHHRLSKSSNHSPTNENKKDPFPLRRPNSVPVIDFDIDRMKALDVIDRVDNIRSFS >KGN43169 pep chromosome:ASM407v2:7:260109:263179:1 gene:Csa_7G004710 transcript:KGN43169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASIISSHSHSLLLRSLPSSFSTFFSKPSAAAAISTVCFHQFKPSSSTLLTRESPHHYRPFTPSAPLSFSRSFSSTISDPSEVIFDEADDEIEDKDESDYEDEVEMEDEVGDGTETGVASELSSPIMSRNEVKNIPSLTIKEKKELASYAHGLGKKLKSQLVGKSGVTPGLATSFIETLEANELLKIKILGNCPEELEDVVRKLAESTGSVVVNQIGRTVIIYRPSITKMKAEEEKRRARKVYMRKEPDRVKSILQKKIETPQSSNRGRRGTSRQINL >KGN45115 pep chromosome:ASM407v2:7:16348690:16364857:-1 gene:Csa_7G426560 transcript:KGN45115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNEEERYKMALNSAIFCSVEASEIRCFRSLKPDINYSTNFRRDIQYNHRCSSSPSTIANNIIPIFSNSVDRLNDDSTTGLADGGICVFFSSPIWDSFTFLGLKQSWEWMRVKSRHPIKRYKKLLSDIFPRSQDEEPNDRKISKLCEYASKNPFRIPKITSYLEQRFYRELRNEQLHSVKVIICICRKLLSSCKEQMPLFASSLLGIIHILLDQARHDEMRILGCQALFDFINNQRDGTYMFNLDGMVPKLCLLTQEIGEERREKQMRSAGLQALSSLIWFMGEFSNISAEFDNVISVVLDNYGDVESTSRQDTQDATALVTHPREHITRMCSWRMIVTERGEIIVSLEDAQNPEFWSRVCLRNIAKLAKEATTMRRVLESFFRYFDNGSLWSPKLGLGLSVLLDMQLMMENLGHNSHFMLAILIKHLDHKNVLKNPAMQIDIVNAATSLVQRTDAQPSVAITGALSDMMRHLRKSIHCSLDDAKLGAEVVQWNQKNQASVDACLVELSKKVGDASLILEMMAGMLEKLSNIPVMAKTLISTVYRTAQIVASVPNLAYQDKAFPEALFHQLLLAMVCSDHETRVGAHRIFSVVLVPSSVCPRPRASIPHTTKPAYIQRTLSRTVSVFSSSAALFQKVKVEHYSVQENIILKMDEKPIIQQVTKIESDPILTRLKSSYSRVYTVKKNPSIRATGSIIEEDSMVNNNTVLNRLKSSYSRAYSLKVYPSSVVADEKPLGSSENEPTMFLRLSSRQITNLLSSIWAQSISPLNKPENYEAIAHTYCLVLLFARSKNELSSIKEQLLQDFLPDDVCPLGTQFFVTPGEIYQCGPKNDGALEMVGNVNLCEEPQSQSDLEIEKPMRSPTLMSADELMKLVSTISNQVGKTPGSSFPVNLPYKEMAGNCEALLEGKPEKVSSFTSSQPSEGQRSDKTSMHGGNNQEKEEPSRRRVRFSVNTSGNPFVDSDFPTKRRSSMDILPRVCSIEVQQYPHLFQLPSSSPYDNFLKAAGC >KGN44146 pep chromosome:ASM407v2:7:7343116:7343409:-1 gene:Csa_7G206970 transcript:KGN44146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSKLEGNIPVKALYQALQVETKTRALISNVVTELQYLAVNKEVEEDVDNESDSESASGLEFSSYSCTTSRDRRSDIGGTNVDVEDDGR >KGN44688 pep chromosome:ASM407v2:7:13286424:13289494:-1 gene:Csa_7G372340 transcript:KGN44688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSIGIKLSINDFALAMKRPVPLSVGFVAQYVLKPALGVLIARAFGISPMFYAGFLLTACVGGAQLSSYASFLSKGDIALSILLTSFTTISSVLLTPLLTGILIGSVVPVDAVAMSKSILQVVLLPVALGLVLNSYAKPIVAILQPVMPFVAMVCTSLCIGSPLAINKSQILSIDGARLVLPVLVFHTMAFTLGYWASKIPIVRQEEEASRTISLCTGMQSSTLAGLLATQFLGSTHAVPPACSVVVMAIMGLCLASFWGSGSKIRDLPSLLVQKTSSIASA >KGN44764 pep chromosome:ASM407v2:7:13973481:13975050:-1 gene:Csa_7G378530 transcript:KGN44764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLAASSDHPDLNFQATELRLGLPGSDDTNRRTESKSNKRPFSEIDKENNSSVSDVGNHIDQTSQPPPSKAQVVGWPPVRSYRKNCLAVKKSEIESSSGGYVKVSMDGAPYLRKIDLTVYKSYTDLVKALENMFKFNLGGYSEREGFNGSDYVPTYEDKDGDWMLVGDVPWEMFISSCKRLRIMKGSEARGLGCL >KGN44031 pep chromosome:ASM407v2:7:5880658:5891070:1 gene:Csa_7G115340 transcript:KGN44031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAMEKLFVQIFERKKWIIDQTKQQTDLFDQHLASKLIIDGIVPPPWLHSTFLHSHISHFQEVNKSFISGVEFPRSPLDAHRSSLNEAFVADSGEEWEHRSTEEAGSLNDDFDAGNNPAISPQCDISNAGVLNCSPCIEMTPVSPHGRGGIVSDNYRDPTLSLARLHRSKSRQKAFELRNSVKSTRCQSRCENKSDSIAGGIVGSVIGSLQSDHEDESGLAKASSSCNGIGSLEEESNVGCEQKDSSIGSDKVGVVVSPGLQSRFIDVDNSLNIFSKNEELCIAGGSTQNSYKVNEQFDSPRPSSGKIEEGSAYCRSQEYSSDKPEKCRLQSSSLDANETSCISPEDGRAGPIGGSKFHSDQVDEQLDLPKPSSDNVECNEKAVLGDCRSHDYDLDKALQSESQQRSPEVDDSSCIDASDGRLLDLYNPSSGKVECCEETISGHCRSKECNFEIAHQSGSRYSSQDVDNSSYVDEVGGSCPIGSSKVHPHEVKEKLDLSKSSFDNIECCEEKILGDLSNQEYKLNNPQKFGMQHNSLDGDNSSCFSSVDGTFCRVGSSKQHSDQGIERLELFRPSSVNSECHEEELEDCRTQDCNFDNAEQSDVDKKFSSPITEVRENTSDKKPSSFLDDKRDVSEKEKCNSLLHIPLPQIQVDSVKENESDKCASESHSERRYEDTGDFNGNTLSSGNKSLQGYEEVTTCSLLQSDEPAEKNVSLKDGVSDLQNSHDNVVEIPPVDANGASVPIEDTETFRDHVVMVPCVPHVGETDGYLEQQLKSAGISQCADSDSFEYCTDDFNGNHHYLSTECQIAETSIELKTFSALTKASSSPEDVRRVQPELGIGIPESLDLGSEQLQIINGSPTDKILMQEFDTEKPVLEFQRLSFCEEGYQQSNVSIVPIEMLLLEKEAHSMQLSDSSPTLLVKEDLSRFRNNNRGTLLQNVMLESQSLDPEENLQSGDNKLPVDTGKTEREEDKGKLTSCSLLTPLIQTSHYLGADKDMPALEGFLMQSDAEQPCISVGGINLDTLELSKCMIERASILEKICKSACINSPLSSSSESLKLNKVADLYHSLSNGLLESVDLKSNLLMNDQNKLLKDGSNFLNGEVNCSPHGSFSACLKSIGSHSASDVRRPFVSPFSKLLDRNSLNSSSSGKRSSPNIELPCISEEAESTEETDNKFAKDMKSNMRVPLVDVTENANVPVAVSETVMFADRLSLESLNTEVGNTGTHNRTKENLANQKKSKRKYLNEAVDLDIFPGANGAKRVTRSSYSRFSRSDLSCKENFRKEGSRFSGKETKHKNIVSNITSFIPLVQQREAATILKGKRDVKVKAIEAAEAAKRLAEKKENERQMKKEALKLERARMEQENLRQLELEKKKKEEDRKKKEEEMKKRKADKAAKKRQREEEERKEKERKRMHVEEVRRRLREHGGKLRSDKENKDVKPQANEQKPLDRKACKDVTNKLDKENGHEKFDKLSVTKSKSTTSDARRENFVVENAQPTIVGFLEAEALENGMESRISETSERESYQISPYKASDDEDEEDEDDGIRKNKFVPSWASKDHVADLFASQQKLNPEIIFPPKSFCDIEQVLLL >KGN44535 pep chromosome:ASM407v2:7:11461878:11462450:1 gene:Csa_7G327740 transcript:KGN44535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVEKVKKEMLRQQVAKRREEKVKKVDSHCEVILREEEEDHDDEEKNTHYFCQYPQILQSGKMDLPFQRRSSELFEFPYPYVEVRETLCGVFDMRVDVVNLFYKSFIHDEENYTVVKQEHVYFGLDDINAFYGLDTNEVGQVIFKNSTPKDMDDGLKTITWLKTEWDNKPTEKYQLFSHNLNTCASIWLF >KGN45500 pep chromosome:ASM407v2:7:18591232:18591768:1 gene:Csa_7G450585 transcript:KGN45500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRFLIRNRNFNSISITFKLRLLRSNRPGPLHCIRRRPGFRFRRRKSPSRTRFRRTGTGIHQFMIEITITNIPSLPIEIRHRSPTNNNLLTDFLLHPFLNHTSTTEIQPILLNFMMINTTTAAAAALFVPRSLCSVENEMFLALDAGGIVNGAINSVKKRRRKRRILFPVTGTMWMFR >KGN44583 pep chromosome:ASM407v2:7:12086664:12088637:-1 gene:Csa_7G337100 transcript:KGN44583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQNLKAMNPRKHFEGVGGSYNKWYPSDYPLLAQSKVGAGMLLLHPRGFAILHYSDASKVGYVLRGNNGVTGFIFPNTSNEEVIKLKKGDIIPVPTGVTSWWYNDGDSDLEIAFLGETKYAHVPGDISYYILSGPQGILQGFSQDYVAKTFNLNEMDTSTLLNSQQNGMIFKLQEGQTLPTPTKDTKFVYNLDNYDFFMKVSESEFPFIGETGLAVVVERLGPNVVRSPVLLVSPADQLIYVARGSGTVQIVGLSSSSKIELHVESGQLIFVPKYFAAGKIAAEQGMEFFSILTAKLGLVGELKGKTSVMEALSAEVIAVSFNITAEFEKVLRSNTTN >KGN45401 pep chromosome:ASM407v2:7:17896912:17898967:1 gene:Csa_7G447160 transcript:KGN45401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQNLIQAIETNAGLLNLVKENKETIIWQRTEEALDTVLHLVSRLGHVEMAQEVVELCPEMVVAENKNMETPFHEACRYGHVKIVKVLFETNHEVVYKRNVENLSGFFVACSNGHLDVVNFLLVEIGISSCLEENASDQTCIHVAASNGHTDVVRELVNASPRVAEMADLNGNLALHIACSKGVREMVWTLLQRDANMAMHYNKNGYTPLHLATMNGKVAVLEDFLMMAASAFYQSTKEGETIFHLVVRYGRYDAFVYLFHLCNGGNLLHSRDRYSNTLLHLAIATHRYQIAEYLIRKSGVEINSRNYRGQTAFDILDQTQDTPETRRLEDLLIKSGGRRNAEILSPSQDNTTEISSTYRTNAAASSSSPSRWSHVDDKSQELLPPTTPFRSVSKQSNPKKSTQITTTNYNSSPAKRHRVKIYTEGLQNARNTIVLVSILIATVTFAAGINPPGGVNQQLDEKSKKKLGQSTVGDTTAFKIFTVCNVVALFISLALVIVLISVIPFRRKPQILVVTVAQKVMWAAAAFMATGYVAAVWVVIPHNEEEGEKGKWVAVVVVAVSGGILGIVFIGLSVMLIEHHLHKLKRRKRMRIREGKEESATKMEDEESLNSDIEHCCERGYRSF >KGN45224 pep chromosome:ASM407v2:7:17057080:17057769:1 gene:Csa_7G432050 transcript:KGN45224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPETTSINSLAELPWLQDPNDSKDTSPELVSLEFRLSNTNLGGGLMQEEEEEPNLIDFFYADNLPNGSFYEGVEAAARPRVFSCNYCPRKFVSSQSLGGHQNAHSRERKIAKTGNKMVTNSTACKYSKSLGIQARSMIHKPFSHSSVFGSFHPFQLEAKSRQFLYEQVAAGQWPSQKNYLSRTSPVPPSTSGATSCAGWTLNNDSLLDSNRTCNELHKLDCLDLSLKL >KGN43442 pep chromosome:ASM407v2:7:1936575:1937720:1 gene:Csa_7G037460 transcript:KGN43442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLLHLLLMLASTAKGQSIFDITTYGAKPNTDITQNVKFNSINNSIVKDITSLDSQNFHINLFDCKNVTLQNVTIISPESNPNSDGIHVSSSEEINILNTQISNGADCVSVGDSSKQITITNVTCGPGDGISIGSLGRYANRTEVTGVTVKSCKLINTWNGVRIKSWPDSASAYTASDLHFEDIEMVNVSNPVVINQEYCPFDQCDKKVHMY >KGN43595 pep chromosome:ASM407v2:7:2860930:2862138:-1 gene:Csa_7G047310 transcript:KGN43595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVSTASASPSRPPTGNPPANFKICVSYCGKDVSQGANISNWIDCYNPQDNSWNRVTTIPGLLENHALKGFSMVSIGEFIYVVGGRLCEYMVPTDNQIVRRELEVRRQVLRYNVYENKWYKCASLIVPRFDFACVVIDGKIYVAGGKRRLSTATGMASAEVYDPALDEWQSLPEMSTSRHKCVGVTWQGKFHVIGGFAGNNDYIGNMERSSAEVYDCERSCWNLIIGMWQLDIPPYQIVAVDDKLFSSGDCLNSWKGQIEAYDWNQNIWSEVDGSRFEALSATKFVTMAPAGPELYFLAGRRMPDQPSRMTSVVHVFNTSANGDAWRSMEPMEEDGEKELCSHCCVVILRD >KGN44711 pep chromosome:ASM407v2:7:13501464:13505530:1 gene:Csa_7G373540 transcript:KGN44711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKIKGKVQALSSVFTLNSWNLIPKPRFNFKFQRLFYMDANSSSNPNSNSSPSRTISVFSTIPLPPSSDAVNGSGSSTSSRKPINLWPGMHHSPVTAALWDARSSIFERLLDPPKDAPPQSELLTKTPSQSRTIVIYNFSSDFILREQYRDPWNEVRIGKLLEDLDALAGTISVRHCSDDDSTTRPLLLVTASVDRIVLKKPISVDADLKIVGSVIWVGRSSIEVQLDMIQGTKDCSNKSDSVALTANFIFVARDSQTGKAALVNRLLPETEEEKLLFEGAEARSNLRKRKNRGDMWALENGYIKRIDALLAEGRIFCDMPALADRDSILLRDTRLENSLICQPQQRNIHGRIFGGFLMQRAFELAFSTAYVFAGMVPYFFEVDHVDFLKPVDVGDFLRFTSCVLYTEVDNPDQPLINVEVVAHVTRPEIRSSEVSNTFYFTFTVRPEAKANGKGFRLRSVVPATEEEARRIIERMDAEKDAKGLEQGI >KGN43876 pep chromosome:ASM407v2:7:4681098:4682727:1 gene:Csa_7G071650 transcript:KGN43876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENQSFTGDHLRPTTATTFSQLLFSPHHDDDDDNDVDVDVDQNHHQIFDYSVSSSSSSSFAIDNPPKMLCFGTKEEDQEHPQLGFKSRQRSAHACSDSSSNSSGNGLKFEFKLKRRNGSNPEMAAIKEASKKTKPEAPCSAGHAKRKEKLGERITALQQLVSPFGKTDTASVLHEAMGYIKFLHEQVQVLCSPYLQKSQLLSSQSATGRGNEETEGDLKSRGLCLIPVDCSLHLANCTVADFWSPAMAMGKKF >KGN44114 pep chromosome:ASM407v2:7:6991018:6991224:1 gene:Csa_7G193740 transcript:KGN44114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDHQPWLEPEYSRVPKSVNAHHSRFMTGMLDSMSNQNVYWPRNLRSNFGEKTVRSDARTIPRLFHYL >KGN44616 pep chromosome:ASM407v2:7:12461677:12464935:1 gene:Csa_7G343330 transcript:KGN44616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVVESKGGAIACMVFALMLLGTWPALLTLLERRGRLPQHTYLDYSITNLLAALIIALTFGEIGNSSLHHPNFIQQLSQDNWPSVMFAMAGGIVLSLGNLSTQYAWAFVGLSVTEVITSSITVVIGTTLNYFLDDKINKAEILFPGVACFLIAVCLGSAVHSSNTADNKAKLKSLSHNTNQESNTTDAPPRSSKDLENADSSSLKAKFGTADFLIQLEKRRSIKVFGKSTLIGLSITFFAGICFSLFSPAFNLATNDQWHTLNNGVPHLSVYTAFFYFSVSCFIIAIVLNVVFLYRPILNSPKTTLKAYVNDWNGRGWALLAGLLCGFGNGLQFMGGQAAGYAAADAVQALPLVSTFWGVVLFGEYRRSSKKTYALLISMLLMFMVAVGILMASSGHRNQS >KGN43355 pep chromosome:ASM407v2:7:1440073:1443183:-1 gene:Csa_7G026250 transcript:KGN43355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFASSSSVICQNRALSSSVVSSSALLHHRCFSRLQSQRILHCNRRSSSNIGINASPGASSLVAKTALSDAHVQSYSSCSAPGPGWSDFAQNVSGEWDGYGADFSYEGTPIELPESVVPDAYREWEVKVFDWQTQCPTLAEPEQPSLMYKTIKLLPTVGCEADAATRYSIDERNIRDGIGGNDEVNAFGYQRSGCYVVVWPIEVRGSCKLMELEHCLVNPHDRESRVRVVQVVRVEGSRLVLQNIRVFCEQWYGPFRNGEQLGGCAIADSAFASTAALKASEVVGEWQGPVSVARFDGSQINVIQELLADNVQKSVRTESELKLLPKQLWCSLKESKDSGDTYCEVGWLFAHGHAITSRCIFSSTSKLKEISIANETPA >KGN43843 pep chromosome:ASM407v2:7:4452121:4453589:1 gene:Csa_7G070830 transcript:KGN43843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSYYAQPPPPVGVPPPQGYPPQGYPPKDAYPPQGYPPQGGYPPAGYPPQGYPPPPPYGPAYGHHPPPQHQNQKKEVGFVEGCLAALCCCFMLDVCF >KGN44013 pep chromosome:ASM407v2:7:5644983:5647850:1 gene:Csa_7G103280 transcript:KGN44013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFHIGFWLHSLVFTRFSVSGLSFLLILNCRGEAERLEKDKAFAFYIEAQVFFLRHGRSSRKEELQNVHYQRPKCFNSADEHSNGQSLGNRGNQTLSPGNKL >KGN44033 pep chromosome:ASM407v2:7:5910343:5911045:1 gene:Csa_7G121850 transcript:KGN44033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPLPFLLFSLPSIALALALAPPVVASHHFHLAWSNNTHSFIFWASQNRFHVGDTLHFEYRNDSLLLVNYTNYRDCTVLDPIAKFENGSRGGTIFSLDRNGDFYFISGNREHCVKGQKLAVRVMNDDDKDEDEGVASPQGMDSWNWGPPSLNSTVKARLASYLATVIAGFFSVLYLIT >KGN44664 pep chromosome:ASM407v2:7:13030832:13031600:1 gene:Csa_7G368160 transcript:KGN44664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHCWNTHTGIHRRPNLPNLVQRRRLLRHFHGNEDRKQIVGRLGCKVPEGKGERGGRTNGVIRSNVESTDSEGFGEESGEEGLGSSHAGGGGVDGPGDPTGGCGGRGEEGDGERGIFGIVRPVEEGVEIGEILAIGEGSVWEGLGRASEGMGDGKGRGN >KGN45259 pep chromosome:ASM407v2:7:17215746:17217833:1 gene:Csa_7G432410 transcript:KGN45259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSLTSEQSSHVEHSDNRKEVDEDAPISELGGHHGRKEFVVPAVGMEFESYEDAYNYYNCYAKEVGFRVRVKNSWFKRNSREKYGAVLCCSSQGFKRIKDVNRLRKDTRTGCPAMIRMRLMDSQRWRVLEVSTEHNHLLGSKIYKSMKKMNGGAKRKMQLSSDADDRTIKLYRALVIDAGGSGTSDSSVKKIRIFPDHPDHLNLKKETSADMESRNSSPTLKTRCSFELQLSKVFTREIFTKFQFEVEEMYSCFSTTQLQVDGPLVIFLVKERVVSDGNRREIREYEVLYNRTAGEVRCICSCFNFYGYLCRHALCVLNFNGVEEIPSRYILSRWKKDYKRLYVSDHETNLSDDTERVQWFNQLYKSALQVVEEGAISLDHYKAALQAFEESLSKVHENRKEA >KGN45017 pep chromosome:ASM407v2:7:15750824:15752582:-1 gene:Csa_7G407700 transcript:KGN45017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGFFSFTPFDFSGSQPGTFFPSNGGVHEKQETEQRGKEDDCPFRMDEFGDFNFPSANQFGFYQQDISKIGDQTNYEHPNTDCLIFDELLFGNDFNISELIQEKSKISESLAADSISFNSNKQVSNPCLDSLQLLNSYGTKVKRMNGENLNKRGDEVNEENKTLSTEEILRVAGARYVHFFPEGHDDFYMLTHPCDFALSGLSKDEREDVELAHVLFAAAEKVGYQQFDRGSRLLQRCEWTASPYGNAIQRVVYYFAKALRKKIERETGREAIKEQQEEEINLDTLRTCMKLPFQQVMHLTAVQAIFEHVKLINKIHLIDLEIRSGVHWSAFMQSLVDLKELPIKLLKITAVVTDKYQLIDQVGKWLENVAESLNIPFSFKVIFVSDMIEIKEELFETEDDEMVAIYCPLVLRNMISRPSSLENLMKVIRNLNPSIMVVSEIEANYNLPSFVNRFIEVLFFTASFFDCLKTCIEEDDEDSRRKIEGLCGKGVENALASEGSDRVVRSVKIEVWRAFFARFRMEEMEFSDLCLSQAKLVSKGFAYGKFCSLEKNEKCLIVGWKETPIISVSAWQFL >KGN44578 pep chromosome:ASM407v2:7:12068421:12070656:-1 gene:Csa_7G336570 transcript:KGN44578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSTAQSSVHLLRRLGRIGMVDEALAAFSTLDSHAKNTNVRNEIINLLLKSGRVDNAMNVLDEMLLPESEFRPNDKTAGIVFNNLLKIDGLEGRVKEDEIAGLVSKFGKHNIFPDTIALTQLISKLCRSGNTNLAWNILDNLMMLNGLKDAAPCNALLTGLGKAREFGKMNLLMRKMKDMNIQPTVITFGILINHLCKFRRIDDALEVFEKMKGEKEETKVFVAPDTIMYNTLIDGLCKVGRQEEALCLMGKMRSDQCAPTTATFNCLINGYCRSGEIEVAHKLFNEMENAQIEPNVITLNTLVDGMCKHNRISTAVEFFRVMQQKGLKGNNVTYTVFINAFCNVNNMNKAMEFLDEMSKDGCFPDAVVYYTLICGLAQAGRLDDASSVVSKLKEAGFCLDRVCYNVLISEFCKKNKLDRAQEWLNEMELAGVKPDSVTYNTLISYFSKIGNFKLAHKFMKKMTEEEGLSPTVFTYGALIHAYCLNNNIDEAIKIFKEMNNVASKVPPNTVIYNILIDSLCKQTQVNFALSLLDDMKFRGVMPNTTTYNSIFKALRDKNWLDKAFKLMDRMVEQACNPDYITMEILTEWLSAVGEITKLKKFTQGCMVSDSAV >KGN43858 pep chromosome:ASM407v2:7:4597173:4600030:1 gene:Csa_7G071470 transcript:KGN43858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDERRVDAKITQKLTILPLIALIFYDVSGGPFGVEDSVSTGGGPLLALLGFLVFPFIWSIPEALVTAELATIFPQNGGYVIWISAAFGPFWGFQEGFWKWFSGAMDNALYPVLFLDYLKRSFPVFNHIFARIPALLGITASLTYLNYRGLHIVGVSAVVLAVFSLCPFVVMTLLSIPRISPKKWLVVEYSKVNWRGYFNSMFWNLNYWDKASTLAGEVENPSKTFPKAMFGAVVLVVSFYLIPLLAGTGALETDSSEWSDGYFAEVGALIGGVWLKWWIQAAAAMSNMGLFEAEMSSDAYQLLGMSEMGMLPSVFASRSKYGTPTFSILCSALGVIFLSWMSFQEILEFLNFLYAIGMLLEFAAFIKLRIRKPDLNRPYKVPLQTFGVTLLCFPPAALLFLVMCLASAKTFLISGIIIAVGFLLYPTLLQAKNRRWVKFISEQPEDTTLPDVEDRLVESQQQQEVPNEAEVRLLSESSSSNIAQQ >KGN45182 pep chromosome:ASM407v2:7:16745724:16748458:1 gene:Csa_7G430170 transcript:KGN45182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLTPGVLSKLIENAGNKNFKVTGQHRSPLLQVLEIVPSLPGAGDDDQNDPFRTRGFFLKLSDSLHSAYASISDDDLDLIYSDKIQLGQFVHVSRFDPAGSGSRVPVLRGLKPVSRRKPCVGNPTDLVSSDALPISRAAVLPRRRLSLDSARRGWDRGFSPPASAVKAAGSPPGRASSEVGSAKALKFSPLKTKDQSSLVPKLTSTVKRKDVKSPAEIRPVPVAVDLRSVSEKNIAWRSLPSTVAVAGKKAVRGRNLAFMAAVDALEETAAIETLLHSMRLFGELCETSKQVCSGKLADQFLQLYKRIQEAHSTLNSLLNKMPSPNPNLHNNSNAISWIQAAIATNLSSFDLFESKDHKLETLSQNKQLCIVIDYATNKLNLENRSPKLVPANRKSCPSNSSAKLSHSSKKEDWCKRNGLKEAAELANKLLLVSRRWFMKYLEDLLGNDFGLRVKEESTDIAYLLRQLKKVNEWMNEFIESKVEIDDKMEQLRKKLYKFLLTHVDVATRSR >KGN44779 pep chromosome:ASM407v2:7:14169801:14170280:1 gene:Csa_7G387140 transcript:KGN44779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPNILPSLMPLSPSPIPSLHSLILLPGFIMDLLTVPMLLLIVLFSFILILCRKRSAKSDGEELPENLDIEAPIFHYNGVEGDEQECAICLCEIEEGEKCRKMKTCGHVFHKDCIDRWFKVDGHCPICRTSVCVVVVDHGGNAMASSSSLPTPFINQI >KGN44580 pep chromosome:ASM407v2:7:12075564:12078312:1 gene:Csa_7G337070 transcript:KGN44580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESNSPGSFQPPPVTPLPILIDGADRDRALATSMICSRREVLERRSRRAKQLCRIFKELYWFLLEELKRKYREYYWTYGKSPFKEDEKEAEGIGDYPEGIGENGKLGLASATGSDEIRRCDVTGCKAKAMALTKYCHAHILSDKKQRLYKGCTFVIKSMQSGPLLCSKPVLRSTVPCYCSGHLQKGEKCLARDLRKAGLNVSSTSKLRPDFHVLIAEYVRQIQSKRRATKRATAIKIESN >KGN45264 pep chromosome:ASM407v2:7:17245079:17246975:1 gene:Csa_7G432460 transcript:KGN45264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQLPVIVEEAFVYDEDKHLTVMKTSLFFAGDGYTVYDCKGELLFRVDSYGPDARDKDEIVLMDAQGKCLLTVRRKRPSLHHRWEGFLGERTEGQKPIFNVRRSSIIGRSSMTVEVLKDSGDEYHIEGCFGNRHCTIFDAAKEAVAEIKRKVDATTNVVLGKDVFSLIIKPGFDGAFAMGLVLVLDQINGDSFDDEDTEMDPTADD >KGN43202 pep chromosome:ASM407v2:7:498370:498849:-1 gene:Csa_7G008980 transcript:KGN43202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRLPRIVTAKQSLQRSSSTGNGASPKAVDVPKGYFAVYIGEEQKKRFVIPLSYLNQPSFQDLLSQAEEEFGYNHPMGGITIPCNEAYFLDLTRSLNDS >KGN45218 pep chromosome:ASM407v2:7:17020502:17023907:-1 gene:Csa_7G431990 transcript:KGN45218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSLPTKSLPPFLPTSSFPPSSPSTHFTKPFFLSPPLISLSTSSKFQIPCSKKNPWFDPFDDGEDPEMEYGSLYSDGKQEEDPRPPDNPNNPYGFLKFPQGYMVEVASLGLKLRGDVRRCCCVISGGVYENLLFFPTIQLLKDRYPGVQIDVVTSARGKQTFELNKNVRWSNVYDPDDYFPEPAEYTDMVGLLKGRYYDMVLSTKLAGLGHAVFLFMTTARDRVSYIYPDVNAAGAGLFLSETFKPESSNLSEGGFNMYHQMVDWLGRPFRSVPRHPVPPLKVSIARKLKEVIAEKYRKAGAEKGKYIVIHGIESDSKASMQSKGDTDSLLPIRAWAEIAKCIRGFKPVFVIPHEKERESVEEEVGNNASIVFITTPGQLAALINDSAGLIATNTAAVQLAIAREKPSIALFCSEEKAKLFVPNAEAKRCITVSSATGRLIDIDMGTIKKAIQSFEVPLALAFQ >KGN43585 pep chromosome:ASM407v2:7:2815118:2818343:1 gene:Csa_7G047220 transcript:KGN43585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGVDENGFVLFSSEELSEMSGVKFGGDFVEVTCGCTSHRYGDSVGRLRIFVNGELEITCECTPGCHEDKLTPAAFEKHSGRETARKWKNNVWVIVDGDKVPLYKTVLLKYYNQALKNSNGSSRSQNGRACHRDEFVRCSSCNKERRFRLRTKEECRIHHDALADSDWTCADLPYDKITCDTDEERASRRVYRGCIRSPTCKGCTSCVCFGCDICRFSDCSCQTCIDFTRNAKA >KGN44225 pep chromosome:ASM407v2:7:8165130:8166690:1 gene:Csa_7G232490 transcript:KGN44225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGSKGRRRLASRQFRSNPYPLPYKRVFPEDLCPKDCVNAVDKKYWEDSTCSVCMEYPHNAVLLLCSSHDKGCRPYMCGTSLRYSNCLDQYKKAYTKVISSNNAQTVSASIDNPGVVQDPSLLGENHEATELACPLCRGQVKGWTVVEPAREYLNAKKRTCMQDSCTFVGNYKELRKHVRSEHPSARPREVDPVLEQKWRSLERERERNDVMSTIRSTMPGAVVFGDYVIEGNNFGFDSDEEDGGLNANSAERNAGFEVGFDSNLVNMFLLLHAFGPSSGDLNRRLRQPERIFSPRSNEGVAGIPNTTPLSSFDSINEGSDNGGSDDDNGSGMSLVSRLRHHGRVLLGRSGRRRRNRENSSHR >KGN43399 pep chromosome:ASM407v2:7:1711103:1717161:1 gene:Csa_7G031600 transcript:KGN43399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSFILRSFSWFLIAIEAQHRQHRQHLSFTSDSSEAVFVMDFEPLFCGHTFMSGDSTESMRNSKIIENLHAGDYSLVGRGYGIVGIVGTIFIGILIAILLRSVVLVKKRRKRRGIPVEVGGDPGYAMRNVRVTELSQVPWKGATTMAALFEQSCRKHAQNKFLGTRKLISKEFVTASDGRKFEKLNLGEYEWQTYGEVFERACNFASGLVGIGHDVDSRVAIYAETCAEWFIAFQGCIRQDITVVTIYASLGEEALVHSLNETEVSTLICNSKQLRKLMEISSSLTTVENIIYFEDVGYEADFISSENTSKWKVISFSEVIKLGTENPVVPSLPSSHSIAVVMYTSGSTGLPKGVMISHGNIVATAAGVMTVIPKLDSDDVYLAYLPLAHVLELAAEAVIVSAGSAMGYGSPLTMTDTSSKIKKGTKGDVSVLKPTIMTAVPAILDRIRDGVLKKVEEKGGLVKKLFHIAYNRELAALEGSWLGAWGLERLFWNVLIFRNIRSVLGGRLRFMLCGGAPLSEESQRFFNICMGSTIGQGYGLTETFAGAAFSEWDDTSVGRVGPPLPCCFIKLVSWEEGGYRTTDKPMPRGEIVIGGTSVTVGYFKDPEKTNEVYKDDEKGIRWFYTGDIGAFHPDGCLEIIDRKKDIVKLQHGEYISLGKAEAALLSSKYVDNVMVYADPFHNYCVAVVVPLRPALEEWAKEAGINYGDFSQLCENGEIISEVQRSLSKVGKDAKLQKFEIPEKIVLAPDPWTPESGLVTAALKIKREQVKAKFKDQLQQLYK >KGN45350 pep chromosome:ASM407v2:7:17670241:17674469:1 gene:Csa_7G446670 transcript:KGN45350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGINSNRVENLECRETVLTMPADAMPEPSRPEIEIHKVCLPPEQTTFQKLKHKLSEVFFPDDPFHRFKNQTTLRKLLLGLQFLFPVFQWGPEYTLALFKSDVVSGLTIASLSIPQGISYAKLANLPPIIGLYSSFVPPLIYSILGSSRHLAVGPVSIASLVMGSMITEAVSYNEHPTLYLKLAFTATFFAGVFQASLGLLRLGFVIDFLSKATLVGFMAGAAVIVSLQQFKGLLGIAHFTTKMQFIPVMSSVFHRKDEWSWQTIVLGFIFLLFLLGTRHISIKKPKLFWISAAAPLTSVILSTILVFLLRTKFPGISVIGHLPKGVNPPSLNMLYFTGPQLVLAIKTGIITGILSLTEGIAVGRTFAGLKNYQVDGNKEMMAIGFMNVAGSCSSCYVTTGSFSRSAVNYNAGAQTAVSNVVLSAAVLITLLFLMPLFHYTPNFILAAIIITAVIGLIDYQAACKLWKVDKLDFLACVCSFFGVLFISVPLGLAIAVGVSVFKILLHVTRPNTMVLGNISGTHIFQNLDRYRDASRVPSFLILAIDSPIYFANSTYLQERILRWVREEEERIKSTEDSPLKCVILDMTAVTSIDTSGIETVCELKKILMKKSLQFVLANPGGNVMEKLYNSKALEQFEFNGLYLSVGEAVKDISSLWKRPLS >KGN43859 pep chromosome:ASM407v2:7:4600660:4603686:-1 gene:Csa_7G071480 transcript:KGN43859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFPLFLLFLLLGLSLSPVISTNSEGNALHALRRRLSDPTNVLQSWDPTLVNPCTWFHVTCDSDNHVIRLDLGNSNISGTLGPEIGDLQHLQYLELYRNGLSGKIPTELGNLKNLVSMDLYENKFEGKIPKSFAKLESLRFLRMNNNKLTGSIPRELASLSKLKIFDVSNNDLCGTIPVDGPFATFSMESYVNNKLSGPELQGLVPYDFGC >KGN44410 pep chromosome:ASM407v2:7:9945874:9948965:-1 gene:Csa_7G281390 transcript:KGN44410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGLKKHLKRLNAPKHWMLDKLGGAFAPKPSSGPHKSRECLPLILILRNRLKYALTYREVIAILMQRHVLVDGKVRTDKTFPAGFMDVVSIPKTNENFRLLYDTKGRFRLHSVRDEEAKYKLCKVRSVQFGQKGIPYLNTYDGRTIRYPDPLIKANDTIKLDLESNKIADFIKFDVGNVVMVTGGRNRGRVGVIKNREKHTGSFETIHIQDATGHEFATRLGNVFTIGKGTKPWVSLPKGKGIKLSIIEEARKRLASQATVTA >KGN44704 pep chromosome:ASM407v2:7:13437761:13442674:-1 gene:Csa_7G373470 transcript:KGN44704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEFDYARSFLRKQEWQARSVTVNNVLMHFQRSKVDKVENDANKEGEVLREVSLRSEMGKNSTIDCKRTEYVEDTTDSNWRSELAWLTKALEPALQLYKWALSSGDGNPHRSRSVSEIIASIQRSKTGIQDWSLSDLTIGLCLIYLRQASTNPLEDLKGVQISSNATVEDLIYFVELAEGSYKNSTAMLAKTTMLRECNILKFVKNSSVMRPGYYIGVDTRKKLVIFGIRGTHTVYDLITDIITTSDRDVTFEGYSTHFGTSESARWFLQNEIGMIRRCLEKYQGFRLRLVGHSLGGAIASLLAVMLRKKSKKELGFSPDIVSAIGFATPPCVSRKLAESCADYVTTVVMQDDVIPKLSVASLTRLRIEILQTDWMSLIDKEDWKSIIGLVTNAKQVVTSVQDVAQKLADYAKFTSKKKSDDNNKKESDVASGSPRSHATTSLQRATAAQIKAARCKISDELFIPGTVYYLKRHVESTPEYFSLWKRHPDEHFQQIVLSNIILSDHKCDSHYYALRDVLKGLPIPSCSNEGILP >KGN45231 pep chromosome:ASM407v2:7:17095199:17097308:-1 gene:Csa_7G432120 transcript:KGN45231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDFPSLPEECWELIFNFLLHPRHTHHFESLSLVCKQFFSITNKLRTTLRISNLTIPAIPRIYSRFLNLKRIDLSHFNGLLDGLLLGIAQSGLDIESLDISNQKTIPVHDLMVFGSAMQNLRVLLCSKIKLLPDEHLVVIGKAFPNLEELDISYPTNVLGYHNFVEIEGEVTDSGFLALVQRLPRLCKVNLSGITFVTDKSLLALATGCMMLREIVICDCDFITRSGIAQALSQNPNLCSISANWIGMPSIRSDLIHWFDSLQNLTSLVLYDSNISDEVLNSVANSCLSLKKLVLSRCSNFSLSGILLLLYKNLPIEWFCLEAAEFLTDESVKELSEFLPMVKFINLSNCSNLTCSSLFILARNCPALTDIYMKNVNLKNEHYTTDFVNNQLMSLDLSENKNLCNEGLGKIASSFPNLELLKLNHCGGITEEGLGEVLSVCTKIRHLELNFCTGIKNIVMKFQLSAMEVLRLRRLVIEDSTLAMVGRRCPSLIHLDLLGCSKVTAEGVMEVVRNCRGLREINIWDCCEIGVSIVPLMVFSRPSLREIVQTNSLLSANLKNFFLSHGCVVYDDGSLWDLKGFSENSL >KGN43341 pep chromosome:ASM407v2:7:1343934:1345058:-1 gene:Csa_7G024150 transcript:KGN43341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPLYLLLPLSVLLILRTHVQATPSSSSSRFGPGSASVHNPKLPPPPLSSSKKFEGSSDLVNLRYHMGPVLSSSPINIYIIWYGKWALPQKILIKHFLSSISSDAPSPSVSEWWRTVSLYTDQTGANVSRSVVVAGEYSNHRYSLGTQLTRLSIQQVIASAVNSGSLPVDHRNGIYLILTSGDVIVQDFCRAVCGFHYFTFPSMVGYTLPYAWVGNSGEQCPEVCAYPFAVPGYIGRGGTAALSPPNGDVGVDGMISVIGHELAEMSSNPLVNAWYAGEDPTAPTEIGDLCEGLYGSGGGGGYIGAVMKDREGRSYNLNGGNGRRFLVQWLWSPVLKACAGPNALD >KGN43248 pep chromosome:ASM407v2:7:736652:736975:1 gene:Csa_7G011880 transcript:KGN43248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCFLAKCGTLNQVLRIREDGHNRKERKIERDDDIVIGEAPKTMKISRKGHRRVRSKERKMMPSSSRLQRSQIERVELGGWLAAWLEEAEGEREKDEVKELEKEIKR >KGN45449 pep chromosome:ASM407v2:7:18252866:18256115:1 gene:Csa_7G448110 transcript:KGN45449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGGAIISGFIPPIRSRRVTGEHLWPNLKQPAFGNQLSKPVKSDIIDIDDDFETDFQHFKDDSDLEFDVEELLDTKPLAFSAAGDHPVPSARASKSVEFSGQAEKSAKRKRKNQYRGIRQRPWGKWAAEIRDPRKGVRVWLGTFNTAEEAARAYDAEARRIRGKKAKVNFPDETPRTSGKRSAKANLQEPLPKTSLAKTQPDLIQNNNFVNNSDEAYYSTMGFLEEKPLTNQLPNMDSLATNGDIVIKTSPASSDVVPMYFNSDQGSNSFDYSDFGWGEQGARTPEISSFLSSAMENEDSHFVEDASPKKKVKYSPENTVVSQGSEKTLSEELSSFESEMKYFQMPYLDGSWDASMDAFLAGETGNQDGGNSVDLWTFDDLAGMVGNVF >KGN44337 pep chromosome:ASM407v2:7:9355756:9356634:-1 gene:Csa_7G259370 transcript:KGN44337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEGNTVACFILLFLLFLHMFAEGRIESSMSEIVVKRKQIETNDSNEATSSIVQNPIIKMVTTIRDNIQTSQDR >KGN43960 pep chromosome:ASM407v2:7:5166375:5167115:-1 gene:Csa_7G074930 transcript:KGN43960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSREICEGYVEELEKLGHKLMELIATSLGLPAKRFGEYFKGQTSSVRLNHYPLCPSPELALGVGRHKDPGVLTLLAQDDIGGLEVKRKKDGEWIQVKPVPDSYAVDVGDIIQVWSNEKYESVEHRATVNSKRDRYSIAFFFYPSHSTIVEPLEELIGPQNPPKYKPYSFGKFLANRKRSNFKKLNVDNIQISDFKISPANPN >KGN44297 pep chromosome:ASM407v2:7:8816385:8816694:-1 gene:Csa_7G239590 transcript:KGN44297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLGSEFVGVNLVGVVKITAHKFWLHGTYVLRFLCQSLWNDFHHSLYSLLFFGYFLFLLRFGCLHYSFKLDPT >KGN43979 pep chromosome:ASM407v2:7:5248032:5250405:1 gene:Csa_7G075590 transcript:KGN43979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSPELPLKPIPGGYGFPFLGPIKDRYDYFYFQGRDEFFRSRITKYNSTVFHANMPPGPFISSDSRVVVLLDALSFPILFDTTKVEKRNILDGTYMPSLSFTGGIRTCAYLDPSETEHTVLKRLFLSFLASRHDRFIPLFRSSLSEMFVKLEDKLADKNKIADFNSISDAMSFDYVFRLFSDGTPDSTLAADGPGMFDLWLGLQLAPLASIGLPKIFSVFEDLIIHTIPLPFFPVKSRYRKLYKAFYSSSGSFLDEAEKQGIDREKACHNLVFLAGFNAYGGMKVLFPTILKWVGTGGEDLHRKLAEEVRTTVKEEGGLTFSALEKMSLLKSVVYEALRIEPPVPFQYGKAKEDIVIQSHDSCFKIKKGETIFGYQPFATKDPKIFKDSEKFVGDRFVGEEGEKLLKYVYWSNERETVEPTAENKQCPGKNLVVMMGRIIVVEFFLRYDTFTVDVADLALGPAVKFKSLTRATASV >KGN45169 pep chromosome:ASM407v2:7:16672265:16689331:-1 gene:Csa_7G429550 transcript:KGN45169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQRRGSDQQPGKKIMRAQTLGNLSESMMDSEVVPSSLDEIAPILRVANEVEASNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENVTTLAERQKSDAREMQSFYRHYYNKYIKALNEADKADLAQLTKVYKTAAILFEVLKAVNQTEALDVADEILEAHNKVEEKQQMYRPFNILPLDPDSQNQIIMRIPEIQVTVSALRNTRGLPWPKNHKKKVDEDILDWLQAMFGFQEGNVANQREHLILLIANVQMRQLPKPDQRPKLDDRALTEVMKKLFKNYKKWCRYLDRKSSLWLPKIQQEVQQRELLYMGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGSVSPTTGEHIKPAYGGEEEAFLKKVVTPIYDIISKEARKSKDGKSKHSQWRNYDDLNEYFWSIDCFRLGWPMRSDASFFQHPSEPVKSDKDHEKQRNARNRWMGKVNFVEIRSFWHLFRSFDRMWSFFILCFQAMVIIAWNGSGDLGAVFKTDVFKKVLSIFITAAILKLAQAIVDIILSWKARKSMSFYVKLRYVLKVVSAVAWVIVLSVAYALSWKNPSGFTQTLKSWFGNSLSSPSFFIVAIVIYLSPNMLSGLLFIFPTIRRYLERSNNKAVMLMMWWSQPRLYVGRGMHESSLSLLQYTFFWILLIMSKLIFSYYLEIKPLVGPTKTIMRVHIGKYRWHEFFPRAQNNLGVVISIWAPIVLVYFMDVQIWYAIFSTIFGGIYGAFRRLGEIRTLGMLRSRFLSLPGAFNACLIPVEKKDHTRKKGLMANFGRKFDEITPDRDDQAAKFAQMWNEIIISFREEDLINNREMDLLLVPNWIDPELSLIQWPPFLLASKIPIALDMAKDSYGRDREGELKKRLSTDKYMLCAVQECYASFKNIINFLVLGEHEKLVIKEIFTIIDEHIKAENLIVELDMRALPSLYEQFVRLIEYMLTNKVEDKDQVVIVLLDMLEVVTRDIIDEEISSLVESSHGGSFGKDGKPRSLDRLFDKLNFPIPETEAWKEKIRRLHLLLTVKESAMDVPSDLEARRRISFFSNSLFMEMPPAPKVQNMLSFSILTPYYSEDVLFSMNLLEKPNEDGVSILFYLQKIFPEQWTNFLERVQCANEEELRNKLELEEIQQKTDELKEELRLWASYRGQTLTKTVRGMMYYRKALELQAFLDTAEHQDLLKGYKDAVDSPLWAQCQAAVDMKFSYVVSCQQYGIHKRSGDARAKDILKLMTKYPSLRVAYIEELEEPSKDKSRKTNQKSYYSVLARAALPTKSKDSTESVQSLDQTIYRVKLPGPAILGEGKPENQNHAIIFTRGECLQTIDMNQDNYMEEAFKMRNLLEEFLTMHDGVRYPTILGLREHIFTGSVSSLAWFMSNQENSFVTIGQRLLANPLKVRFHYGHPDVFDRLFHLTRGGVSKASKVINLSEDIFAGFNSTLREGNVTHHEYIQVGKGRDVGLNQISMFEAKIANGNGEQTLSRDMYRLGHRFDFFRMLSCYFTTVGFYFSTMLTVLTVYVFLYGRLYLVLSGLERALSTHPAIKDNKSLQTALISQSAVQIGLLMALPMMVEIGLERGFRAALSDFILMQLQLAPVFFTFSLGTKTHYYGRTLLHGGAEYRGTGRGFVVFHAKFAENYRMYSRSHFVKGIELMILLLVYHILGVSYRGVVAHVLITISIWFMVGTWLFAPFLFNPSGFEWQKIVDDWTDWKKWIVNRGGIGVSPDKSWESWWEKEHDHLKFSGKRGIFVEILLSIRFFIFQYGLVYHLKIIESQSFLVYGLSWVVIISILLLMKAVSVGRRKFSASFQLLFRLAEGFIFIICVAGFITLVAIPHMTIRDIILCILAFLPTGWGLLLIAQACKPLIHQTPLWGSVRALARSYEIVMGLLLFTPIAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGPRKKEKSSTTDKE >KGN43816 pep chromosome:ASM407v2:7:4270006:4272550:1 gene:Csa_7G069180 transcript:KGN43816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFPFLGFDKFKLFAITEGMEDNGFVNQWHKSSMDDLGLHPLAAAFGENLQHSYDQSNIDQKASLNHSHNAILGYMKQLKSDGWSSYQTTNHLPNSQVVAYPTVSVSASNYMNQMDFSRPKEEVVCPQSISNLPSDMLISQDSLAHQSHTTKSNRGTRSPSRNSRIPQAQDHILAERRRREKLSQRFIALSAIVPGLKKMDKASVLGDAIKYLKQLQEKVKILEEQTRRKDIESVVFVKKSHVFPDGNDTSKEEDEPLPEIEARICDKNVLIRIHCEKKKDIIEKTIAEIENLHLTIVNSSVMSFGSLALDITIIAQMDNEFCLTLKDLVKNLQSTLRSF >KGN44207 pep chromosome:ASM407v2:7:7967036:7967647:1 gene:Csa_7G222870 transcript:KGN44207 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phloem filament protein MSSDSKNDKWIQIPDTKTPCVIDLGKYAVDDYNKAHKDNLVFKKVIIGWYLEIDYDKTKLRLIIEVVNSKGEVIIYEVVIVVDDKDGKKVKTPISFIPGYIDEAKDCLGRVRDYEALVEEEKKLPNAILKLIYFKVIPKKC >KGN44222 pep chromosome:ASM407v2:7:8134673:8136417:-1 gene:Csa_7G230970 transcript:KGN44222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSVKIVKKEHKTFVRRRLPPTPPPPPSLSSSSSAPLNPTLIPNPSLPCPQKKTRDLPNFSECHACGFRIDTVDGRSRLNSLYSEWRIVLLCNKCFSLVESSQVCSYCFADTTGDSFICCECNRRVHRECFSQYSRVAPWSYSSSGSVFSVCIDCWVPKPIVTARAVLRSRKIRRKNVNVSDLRSSKVSTSGNCKSLSALVKDANCLVEKKVDAAVRAREHALKKAAVARRASALASDALNLVAQRDESAAKESGDSAEDAELAIQLHRAMNSSPRFSKNLCSTNSNYMDFDDTMVDDGETSAGALFSGEFDFFKAPPVLVNNNICNSPDNTASEPSVTAKDHVSPLESNHLESLGKDLMRVKGNGCPVKCDSESVNVELTPEKEMKSSSIKLTNAGCNYDMLCSESQLSPTQDKYDLPRDERCIAKPYHYFFKYRRRDTTKRYLLKYSKRNSKLKRMPDCNSKIHDDGMCLGVPSSSAAIVISSTENFPVISNASFGCCAVPLQASGLGVNAVQEISNKGGR >KGN44458 pep chromosome:ASM407v2:7:10426192:10426341:-1 gene:Csa_7G298750 transcript:KGN44458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNPIEEIFEEFERKLEDLSPLEDEVVKPLKKKKVTVNKKVLKEQFVKR >KGN43423 pep chromosome:ASM407v2:7:1848695:1850405:-1 gene:Csa_7G033310 transcript:KGN43423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINGYMKAGDSNTAKELFDQMPERSLVTWNSMITGYERNKQFTKALKLFEVMLREDISPNYTTILGAVSAASGMVSLGTGRWVHSYIVKSGFKTDGVLGTLLIEMYSKCGSVKSALRVFRSIPKKKLGHWTSVIVGLGMHGLVEQTLELFDEMCRTGLKPHAITFIGVLNACSHAGFAEDAHRYFKMMTYDYGIKPSIEHYGCLIDVLCRAGHLEEAKDTIERMPIKANKVIWTSLLSGSRKHGNIRMGEYAAQHLIDLAPDTTGCYVILSNMYAAAGLWEKVRQVREMMKKKGMKKDPGCSSIEHQGSIHEFIVGDKSHPQTEEIYIKLCEMKKKLNVAGHIPDTTQVLLCLEEDNEKEAELETHSERLAIAFGLLNIKHGSPIRIIKNLRICNDCHAVTKLLSHIYNREIIIRDGSRFHHFKSGSCSCKDFW >KGN45344 pep chromosome:ASM407v2:7:17602057:17602347:-1 gene:Csa_7G441630 transcript:KGN45344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGRFQTWILFCAKLSTGGSCTAKLAEEMILLLLPMDDIGIVNRKRRDDASLQASQWAVGEGNAGCDGAYDEAGGVAFLKRGGISGGERGQQQPSH >KGN43283 pep chromosome:ASM407v2:7:1009530:1009913:-1 gene:Csa_7G017170 transcript:KGN43283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTNSPFFPFHRRFQRLPPPPFLPPAIPAATTRRFAGKPRAVLTFYFFLALRYFQRPLATSDMLPPFHVVSTSSFCQVNTGQRQFILIPRCGSPVFMSASCTSPTSPHAPPTCCHVDHVLIQLPFFY >KGN43247 pep chromosome:ASM407v2:7:733247:734756:1 gene:Csa_7G011870 transcript:KGN43247 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carrier protein MASKRILKELKDLQRDPPTSCSAGPVAEDMFHWQATIIGPSDSPYSGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGNICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMCKTDKLKYESTARSWTHKYAMG >KGN43790 pep chromosome:ASM407v2:7:4138069:4141177:1 gene:Csa_7G067450 transcript:KGN43790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSYPKLPLRELGNTGLKTSSVGFGASPLGSVFSPVSEEDAVAAVREAFCLGINFFDTSPYYGRNLSEKMLGKGLKALGVPRSEYIVSTKCGRYGEGFDFSAERVTRSIDESLARLQLDYVDILHCHDIEFGSLDQIVNETIPALQKLKEAGKTRFIGITGLPLEIFTYVLDRVPPGTVDVILSYCHYSINDSTLLDLLPYLKSKGVGIISASPLAMGLLTDQGPPEWHPASPELKSACQAAAAHCRKKGKNISKLAIQYSLVNKDISTVLVGMNSVGQVEENVAAAEELATFGRDEETLSEVEAILIPVKNQTWPSGIQNS >KGN45570 pep chromosome:ASM407v2:7:18976021:18980982:1 gene:Csa_7G452250 transcript:KGN45570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLVYVVCVFLLAIGFHFLSLHADNSPKDFISIDCGGVVDSVDSESGFPYKSDQNLIDSGVIGQISSDIADNYRLQYRHLRSFPHGVKNCYTLRPDSGRNNNYLIRAIFVYGNYDGKNTTPVFSIYVGVNLWSTIIYDDTRTEAIVVPPTDYIDVCLVNIGNGVPYISTLELRPLDNSVYRTDPQQFLVLSTRRDVGGDYRLRYPQDVDDRIWVEYDDDFNLSWLKKIQTNGSITQNSNDPYKIPASMLKTAYGTLNSSVPFVYEWFPYDFSPTIYFCFHFAEIEKLSSGTVREMSIVLNDIYTIAPSVILQYLVPQTICTTSAGIPVNINEENYLRISAASGSKLPPIINGFELFYFANLSYSPTFSQDVNAVMDIKNTFKLLNSDWQGDPCLPEFSIWSGLNCSHGNPPRIISLNLSRSNLTGEIPFSILNLTQLETLDLSYNNLSGSLPEFLAQLPLLKILDLTGNNLGGSVPEALHVKSIDGVLDLRVGDNPELCLSPPCKKKKKKVPVLPIIIAVVGSVILIIALVVLLIYKRSKKKNSRNSTEEKISLKQKHREYSYSEVVSITNNFKDIIGEGGFGKVYKGALKDKTLVAVKLLSSTSKQGYREFQTEAELLMIVHHRNLVSLVGYCDEGNTKALIYEYMVNGNLRQRLSDANTDVLSWNERLQIAVDAAHGLDYLHNGCKPTIIHRDLKPANILLDDMLQAKIADFGLSRTFQVENQPEMLTRLAGTPGYFDPESQTLGNLNKKSDVYSFGIILFELITGSTAITRSYNGNNIHLLDWVAPIMKKGKIEDVVDVRIKGEFNHNSARRMAEIGMSCTKPNGNQRPDISVVLEELKECLAVEMSTLSESCEFSSTILSEFNVGPNLR >KGN44404 pep chromosome:ASM407v2:7:9904569:9905410:-1 gene:Csa_7G280350 transcript:KGN44404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVWEISRLNYTTHPREWPPLVEVVDTWELPPVLIERYNAAGGEGTALCGIFPEIRRAWASVDNSLFLWRFDKR >KGN43942 pep chromosome:ASM407v2:7:5037550:5042054:1 gene:Csa_7G073770 transcript:KGN43942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDPASLTKETFISKVPKKSPLMLRMIVLVFAMVCGVFICSVCLKQISTRSKVGFMKVEVIDMPCSKPTIDPSDAPFVHFPKPTTYSRAECACHPVRYFAILSMQRSGSGWFETLLNNHTNISSNGEIFSVKVRRSNISTIVETLDKVYNLDWFTSASKNECTAAVGLKWMLNQGLMQHHEEIVEYFKRRGVSAIFLFRRNLLRRMISVLANSYDRDAKLLNGTHKSHVHSHHEADILAKYKPVINATLLIPNLKQVEDTTTKALEYFNSTRHIVMYYEDVVKNRTKLRDVQDFLKVPQRKLKSRQVKIHRGPLSNQIDNWEDVQKALQGSRYETFLNADYRK >KGN44724 pep chromosome:ASM407v2:7:13607676:13614603:-1 gene:Csa_7G374670 transcript:KGN44724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTASVQCLTNSISRFIHLVSCHTTKPLPLPKKCKNLVVVLKLLKVVLDDVISLKLSSDELLYSECESLDAAVNEAREFVENWCPKTSKICSALKCDPLLIKIQSSSQVICEIIWKLSESVSCSSSLSAVQKCLEGLQSLKQERISDSIEEALISQRSGIGPNSEHLLKLIEALHLTSNQELLKETIAVEKERINAARNNAKEELHHINQIMDLIIRIRDWMVRKDYFHGINGVSVPSYFRCPLSLELMLDPVIVASGQTYDRSSIQKWIDSGLNICPNTHQMLTHTNLISNHTVKAMILSWCDENKLNFSSLSSLVQLSQQNLNRSDSFHYSVHGSNSTAGSSPEVEKGSDKQNGDVFTCLVGENSNEGRRNGTEKFDQPSPQQSYIYSRSVSASSAFSSIDYIPSAFNELLKVSNKHEYIKELSGEITSEHPAKSHSEPSGFTSSLGDGQLQACKTETNMVENGNSNGRMDSLIPVESESDNLSGDLHIKKLIADLKSQRDEVQMKAAEELRLLAKDNVENRVIIGQCGAIGPLLSLLYSEGKLIQEHAVTALLNLSIDENNKAMIAEAGAIEPLIHVLKTGSSAAKENSAASLFSLSVLEEYKAKIGRSGAIRALVELLGVGTLRGKKDAATALFNLSIFHENKARIVQAGAVKYLVELLDTATGMVDKAAALLANLSTISEGRLAIAREGGIPLLVEIVETGTMRGKENAASILLQLCLHSNKFCILVLQEGAVPPLVALSQSGTPRAKEKAQQLLSHFRNQRDGTTGKGK >KGN44054 pep chromosome:ASM407v2:7:6160158:6161235:1 gene:Csa_7G145970 transcript:KGN44054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMLMCNNLLIEKKIVEGKNENKRVGGGVPGIIVFGDSSVDSGNNNHISTILKSDFAPYGRDFEGGKATGRFSNGKIVTDFISEAFGIKPTIPAYLDPSYNITHFASGVCFASAGTGYDNATSDVFSVIPLWKELQYYKEYQKKLRDYLGPSKANHTISQFLYLVSLGTNDFLENYFLLPPRSSQFSQQDYQNFLARAAEGFVRELYALGARKMSIGGLPPMGCLPLERSSRLIFGGTGECVEKYNRVARDFNAKLMGLVKTMNEELKGIQIVFSNPFDILYDMILHPSYFEIDS >KGN44075 pep chromosome:ASM407v2:7:6435127:6436268:1 gene:Csa_7G169070 transcript:KGN44075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSAHGSGVWTAKQNKAFEEALAMYDKDTPDRWLNVAKAIGGKTEEEVKRHYQLLLEDVKHIESGKVPFPYRSSRSN >KGN44691 pep chromosome:ASM407v2:7:13322408:13324437:1 gene:Csa_7G372860 transcript:KGN44691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDYYYRRNYIPSFGNWEWNDHLPFSHCFESSRIAGFPHDTDLYVAGDLYENDVVTPAMIVVPRPRRKRDRQGKQSKKEKWGSPSNGMSHNYSTKPMPKPVDEDLYKISPDLLAAKSKKKRGLSYITNCFVPTCLI >KGN44278 pep chromosome:ASM407v2:7:8630342:8632873:-1 gene:Csa_7G238420 transcript:KGN44278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPSDPAICSPALPIPIDLFLSKQHPDYLTNSSGDIIYRINRHALKSSSVDKILLPHADAADPLISIFRVNKESWEGFKGDVGEENLLFKVQRTLNKLRRTEFKVFLVGGNLDDSNASFEMKGWPFQRSCTVYNGNTIVAQTSLMHKLRQIWVRRGRFRLTIFPSSVDPALIVALIVIFFDGRM >KGN45087 pep chromosome:ASM407v2:7:16192109:16193073:-1 gene:Csa_7G420800 transcript:KGN45087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSENFPKPIIIDLIEKPTPTNRLPTNGKAREITFSSSPHQLPRRVPPPSSCMAVFIAVALLHMEQQLIADQNPTAVNNEIQANNEETTRKQEEHPLPLMALNHVSRVCKNVKDSVHFYTKVLGFVLIERPQSFDFEGAWLFNYGVGIHLMQTEEDDDSVGVRGSDKDHLDPMDNHISFQCEDMEAMEERLKELGVKYMRRTLEEEEKGETIEQLFFNDPDGFMIEICNCENLKLVPAGSSGKIRLPAGRHNPPLELNDEK >KGN45540 pep chromosome:ASM407v2:7:18858339:18865476:-1 gene:Csa_7G451950 transcript:KGN45540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDYAQEQEMEIEALEAILMDEFKEIHSSESGLNTSSRCFQITLSPQDDETDESTIMPVQLGLIFSHTEKYPDEPPLLNVKSIRGIPGEDLKILKEKLQQEASENLGMAMVYTLVTSSKEWLSERFGQESSLEIAEAEERAKEDVIVPHGEPVTLDTFLAWRERFEAEIALERAKIMPESALTAPKEKKLAGRQWFESGRASGKGAAPVTEGSDEDDEEDIDFDEDDFEDDDEEDMLEHYLAEKSDSSSHSSRRP >KGN45493 pep chromosome:ASM407v2:7:18556982:18562590:-1 gene:Csa_7G450520 transcript:KGN45493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSTSSPRPVQPNPMDPSPPPPQQQPQVQPSTTAIPQNSSNPSNSSVNPPIPSPSALVQSPSIDHLHPLAQISSPPLSHISLPQNQQQQPQQQLSPAVGLDYQQKPIQQQQQQQQQQQQQQQQLVQQPQNASAMTNFQIQQALQRSPSISRLNQMQPQQQQQQQQQFGMMRQQSGLYSQMNFGGASTNQQQQQQQQQPNQQQQMGTGNLSRSTLIGQGNHLPMLSGAAQYNLPSQLLASSKQKAGLVQGPQFQSSNSHGQALQGIQAMGMMGSMNLPSQLRANGLASPYAQQRINQGQIRQQLSQQRQVNALFGYFGHCLDIFYAVQNLPRMSNLAFMNQQLTNLAQNGQPTMMQNSLQQQQWLKQMPSMSNPGSPSFRLQRQQVLLHQQLASSNQLHQNSMSLNPQQFPQIVQQQSSMGHQQLHQQHQQQQQQPQSQQQQPQQQLQQQPLHQQQQLLQQASPQQSLQPVLHQHQQHSPRIAGLAGQKSLSLTGSHPDATASGASTPGGSSSQGTEAATQVLGKRKIQDLVSQVDPHGKLEPEVEDLLLEIADDFIDSVTTFSCNLAKHRKSSTLESKDLLLHLEKNWQLNVPGYSSDEWKNHNKNLSSSDVHKKRLDMIRILKEASCVETNVNSPKEMVRQGVGMSIGPNTLTRPSPSSEQLLSQTAGSQLLQQMMRF >KGN45512 pep chromosome:ASM407v2:7:18676875:18681097:1 gene:Csa_7G450690 transcript:KGN45512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPMAEEESFDSYSTNNTVIAISRDKNSHYAVKWAVEHLFNRKNTGECVLIHVRTQSLHPQEVDTIPKEFRPPTEAELHQFFLPYRGFCARKGIVAKEIIIHDIDVPNALIDHISKHSISNIVVGASNRNPIMRKLKNPDVATCLLKSAPESCSVYVIARGKVHTKRLRKRNKSQTDMTETQTGTPRISGSLSKTQKSAYSSISGQSEDKYRYSSGTSNDSTSGISDFSGPLSFKSIETSFENQDFSLSSSETSTRSYVSSSTPPTIEYEMKKLRFELKKMMEMYDSACKEAAVAKQKAKELRHLKMEKEEDNKIECGKSTYEALTTLAEFEKQKNKAEAEATLVAQKLAELETQKKRIITEEKARIEAEERKKTMELFERSNICYRRFSIDQIEVATDHFNESNKIGEGGYGPVYQALLEHTSVAIKILRPDRSHGQRQFQQEIEVLSRMRHPNMVLLLGACPEYGCLVYEYMENGSLEDRLFRKDNTPPIPWRIRFRIACDIATALLFLHQMKPEPVVHRDLKPANILLDHNYVSKIGDVGLARLVPPTVADSVTQYHMTAAAGTFCYIDPEYQQTGMLGVKSDIYSFGVLLLQLITARSPMGLSYQVEEAIEHGKFPEILDPTITDWPIEDTLGLAQLALKCCELRKRDRPDLCTVLMPELSRLKNLGSGETPPKSKLATVSRAHDQQVLNSAPVLKGSEGEIYVRKRWERLKVSTRFWSQKGGIGLNTISSQIKKKWSIPSIPISGKRVDGSKKKQQPQQISERCWSFGSCASAATLGFKKLQSFS >KGN44689 pep chromosome:ASM407v2:7:13300038:13301338:-1 gene:Csa_7G372350 transcript:KGN44689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPPFSILFLWFSFLYFTSSMADPHSLNSYYSRSNSFYDVLLSHGLPKGLLPKGITDYQIDADTGRFVVFLDRECNAMFENQLHYETNVSGTLSYGKIGALSGISAQDLFLWFPVKGIRVDVPSSGVIYFDVGVVFKQFSQSLFETPPVCVPNSKSQHRKIGNGNQFVKMA >KGN45030 pep chromosome:ASM407v2:7:15840073:15841067:1 gene:Csa_7G407830 transcript:KGN45030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRERDLIFDLENGGKIIEEVGSGEPSSTKRDVKNFWSRLTEDSLLKDERAIASNSNFANSITDVIADESLGLLIDKNLEGEDVHEVFVHVEKNNARGKHKNKKKAPKPPRPPKGPSLDAADRMMVKELAVLAMKKRARAERMKALKKAKAEKTSSFNSCIPALIITFLFFLVIIIQASALSELQVQKAFLLLGISPRSSSILQGSPEPAVGGSSGFISVQYIKSFPPNESNVSNPPSFNSAA >KGN44870 pep chromosome:ASM407v2:7:14906566:14907875:1 gene:Csa_7G392430 transcript:KGN44870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSTPNSLISYLRGIKSHCPCFLSQEIIVQFRPISSLKVVWRKDYRLDEAIENDKRYKLCARVVKEVLNEPGQVIPLRYLEKRRERLRLNVSVKAFLGFNPGLFDTYYDRIKPKSEPVLFLRASDRLRGFLEEEKRIMMENEELIVSKLCKLLMMSKDKMLSVDKLVHVKRDFGFPNDFLVNLVPKYPEYFQIVGCPGEGKSFLQLVSRNPDFAKSVIERRAEDESISTGIRMRPNFDYKLPPGFILRKEMREWVRDWLELDYISPYEDVSHLAQSSPEMEKRTVGVFHELLSLSLFKRIPVPILGKFGGEYRFSNAFSSVFTRHSGIFYLSLKGGIETAMLREAYEGGQLIDCDPLLEIKNKFVELLEQGWRERAEQRRQQANELRKDMEFMCARAVHLKG >KGN44747 pep chromosome:ASM407v2:7:13789731:13792227:-1 gene:Csa_7G377870 transcript:KGN44747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISVSYHRNLAHRSFKLPKWLEYSLAYIAAHAIQGDPIDWVSTHRCHHRFVDSERDPHSPIYGFWFSQMTWFFDSYNLTRKVCPNYFNNYQKVERNIFMFYMKHGYPDNVTDLEKQKFYRFIHKTYWLHPLALSLLLYALGGLPFIIWGVCVRFVLSLHFTSMINSVCHIWGNQPWNTGDLSKNNWIVAIFTFGEGWHNNHHAFEYSARHGHEWWQIDNGWYIIKFLQVIGLATNVKLPSEKQKMAALNKSKPTFLRPMID >KGN43259 pep chromosome:ASM407v2:7:804485:806133:1 gene:Csa_7G014450 transcript:KGN43259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEVTEEGQSGLRKDYVDPPPAPLIDVAELTLWSFYRALIAEFIATLLFLYVTIATVIGNNKQTKACDGVGILGIAWAFGGMIFVLVYCTAGISGGHINPAVTFGLFLARKVSLIRAFGYMVAQCAGAIVGVGLVKAFMKHDYNNNGGGANAVNSGYSRGTALGAEIIGTFVLVYTVFSATDPKRSARDSHIPVLAPLPIGFAVFMVHLATIPITGTGINPARSFGAAVIYNREKPWNDHWIFWVGPFVGALAAAAYHQYILRAAAIKALGSFRSNPTN >KGN43880 pep chromosome:ASM407v2:7:4713338:4718807:1 gene:Csa_7G071690 transcript:KGN43880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPTASRGRSSSSTSRANPMYLQYLRRIVKWQQMDIEYTFWQMLHLCTSPKVVYQHTKYHKQTKNQWARDDPAFIVICSLLLAVATLAYCAAYDHSAAHASFVVISVLLFHLLITGAILATCCWLLTNAYLREETPGSHVVEQRVEWLYAFDVHCNSFFPMFVLLYVIHYFISPLLVAHGFIPLLLSNLLFMIGASYYHYVNFLGYDVLPFLEKTTFFLYPIGVVFVLTPIFILIGFNPSRYFMNMYFSQNL >KGN45110 pep chromosome:ASM407v2:7:16327596:16328070:1 gene:Csa_7G426510 transcript:KGN45110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAILFQVAGEILMKLSSQAFQRLGMLFGLKGDLNKLTTTVSTIKDVLLDAEGRQTKSHLLQNWLHKLEEALYDAEDVLDELSTEALRRELMTRDHKNAKQMLLMLKKHNFTCVKTVNHGLNTVHLIE >KGN44300 pep chromosome:ASM407v2:7:8834687:8838007:1 gene:Csa_7G239620 transcript:KGN44300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLTRLCLLLFFISTCATHFFPQCDGRSVHRKSKKLQLAKYLDVIGRKVSHVDGATNSQPYVSSPFTLPPFDSLPDNAPPYCSYPPITPQPPSSPFTYISSPPPPFYYLPPPTPTYGFPPSPPSLTPPYYYEPSPPSYVPSRPPSPTVFVPSPPVFQPPVIYPPPSVPPPPHSAPNIALWCVAKPSVPDPIIQEAMNYACGTVADCDSILPSGPCFMPNTLIAHASYAFNSYWQRTKVGGGTCEFGGTAMLVTVDPSYDGCHFTYT >KGN44587 pep chromosome:ASM407v2:7:12125083:12130483:-1 gene:Csa_7G338120 transcript:KGN44587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFKLLTMAVSRTRTQSLLKTLRYMSNVPENTVYGGPTSQTPNQRVTLTNLRQKYKKGEPITMVTAYDYPSGVHLDMAGIDIALVGDSAAMVVHGHDTTLPITLDEILVHCRAVARGARRPLLIGDLPFGTYESSSAQAIDTAVRILKEGGMDAIKLEGGSHSRITAARAIVEAGIAVMGHVGLTPQAISVLGGFRPQGKNITSAVKVVETAISLQEAGCFAVVLECVPPEVAAAATAALRIPTMGIGAGPFCSGQVLVYHDLLGMLQHPHHAKVTPKFCKQFAQIGDVINKALLEYREEVSNGSFPGPLHSPYKISDADFNGFLKELQNMGLDEAASAAAAKANR >KGN44654 pep chromosome:ASM407v2:7:12924086:12925582:-1 gene:Csa_7G368070 transcript:KGN44654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSTFLLLLLLIPSLKIIINGFNSLSTLAICLFLKAPPCLILSFLKAIKLPAEAFLSAFQSLGEALKSIFVSTIEMGFGIISSFVMGVLEAVMNVVFGSFVESSASAFGGLLENTKGSWEGFNLFEQVRGIIESFCEFVLQQVWEIATSFAGGMFEFTMTNMSTMFNEPGSVIGGLVETLKGSLMDGVGSWMEGVQGIVERLIEKMVNTSSEVANSSTYGLFEIVKTVFNLVVDSGYSVGGLVEKTRTGLEILKMEKLRGIIVNIAKISVNMVINYLFG >KGN44781 pep chromosome:ASM407v2:7:14174484:14178986:-1 gene:Csa_7G387160 transcript:KGN44781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHSTSHALSSAFMTQFLRNAGGTAIVDGGLATELERHGADLNDPLWSAKCLLTSPHLIHRVHMDYLEAGADIIITASYQATIQGFESKGYTTDESESLLRKSVEIACTARVNYYDRCNTSTPDESPDGRIFKKRQILIAASVGSYGAYLADGSEYSGIYGDSMTLEALKEFHRKRVKVLAESGADLIAFETIPNKLEAKAYAELLEEENISLPAWFAFNSKDGIHVVSGDSYSECVSIAESCRNTVAVGINCTPPRFIHGLISSIKKVTTKPIVIYPNSGESYDADLKEWVQNTGVSDDDFVSYVNKWCETGASIFGGCCRTTPNTIRGIYRTLSKR >KGN45474 pep chromosome:ASM407v2:7:18443638:18447156:-1 gene:Csa_7G448850 transcript:KGN45474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVRLVLLRSRSCFCFFLVAIAFSGGFASNGGMKMEKTLAMIKPDGLRGNYTERIKGAIVESGFRILEERIDELDEDRASRFYAEHSSRSFFPNLVKYMTSGPVCIMVLEKQNAIAEWRALIGPTDSVKAKATHPNSIRAMCGLDSEKNCVHGSDSLLSAQREISFFFEEETGEMVGKHDEL >KGN43912 pep chromosome:ASM407v2:7:4870560:4872065:-1 gene:Csa_7G073480 transcript:KGN43912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTQLHMFLLPFMARGHMIPMVDLAKLLSSRGIKITIVTTPLNAISISNSIQNSKSLSTSQIQLLVLKFPSAEVGLPDGCENLDSVITPDMFPKFISAFNLFQNPFEEAVMEQRPHCIIADMYFPWANDVAAKFGIPRLIFHGTSFFSSCASEFMRIHEPYNHVSSDAEPFLIPCFPGDITFTKTKLPQFVRENLKNEVSEFIKRAHELGSACYGAIWNSFYELEAEYVDCCRNVLGIKAWHIGPLSLCNKETEEKAQRGNESSIDEHACLKWLDSKKPNSVVYVCFGSMAKFNFDQLKEIASGLEAARKNFIWVARRVKKEEEEENHDWLPEGYEHRIEGKGLIIRGWAPQVLILDHPAVGGFVTHCGWNSTLEGVTAGVPMVTWPVAADQFYNEKLVTEVLKIGVAVGVQKWVRVVGDFIEREALKNAIRRVMEGEEAEGMRNRAKELAKMAKKAVTENGSSYSNLHDLTQELKSFAF >KGN43382 pep chromosome:ASM407v2:7:1589132:1590873:1 gene:Csa_7G029960 transcript:KGN43382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKKSHVARNQQKGEIEEEAAGLVCFGRMIGMALCRGSMSMATIVFLTCVWIPLSQLKAAISSMFDILSGRTCQMLTTASLPCEVKLLVCRFEELQRAVGSEEEICSVCLTEFTREHLVSQLHRCSHVFHLECIESWLQRNQFTCPLCRSFIFQPL >KGN43578 pep chromosome:ASM407v2:7:2769808:2770207:1 gene:Csa_7G046660 transcript:KGN43578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSRRAWIVAASVGVVEALKDQGICRWNHTIRSAHQYAKNHVRSVPQATRLTGSSAAVVSSKQQQKQSEESLRTPPPERISARLYNSLLNHSGVGRKKSPVGSLVL >KGN44768 pep chromosome:ASM407v2:7:14012716:14018706:-1 gene:Csa_7G378570 transcript:KGN44768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTFFSSEDLSDNSFWPPQPAPSSSPPSHSPFRSPDPSLTMNRSASEWAFERFLEEVSALPVNSCPSTTSDRVAVSPVDVASPASQSSTSKRDEVDDEIVEIKKADCDHDRSHPIPPSDPSKMVRSSSERYRVFLKTQLDMACAAVALSRAASLEPQGPVQPTDHRGQTSNAFQFGMQAPGQGSDRGTSTKESEVSGSPLGIPSLPAMPKKLGVQPAQTTSGSSRDESDDDDLEGDIENIENMDPADARRARRMLSNRESARRSRRRKQAHLNELETQVGQLRVEHSTLLKRLTDVNQKYDDAAVDNRILKADIETLRAKVKMAEETVKRVTGVNPLLVAMSQTQMPFVNGNQMPMQSNTQFFHQNMSAFANSPPNHQNLEVPVPPNPPTIQHVGRSHNDVATEMTDLPSVHIDHVQKQPMHAPMSGWDAEPSHATPNHKKN >KGN43410 pep chromosome:ASM407v2:7:1785194:1785683:1 gene:Csa_7G031710 transcript:KGN43410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYQRLQIYCITLFNMFGCICVTNYIVITLFVQYGLAFNRHLVSNAMKRIGQMRDESRITKATINENAINVINMLNLCSMYSQLNQCSASRFKVTWFRLLGLDYVSQCNLKAFSGTN >KGN44240 pep chromosome:ASM407v2:7:8290943:8291656:1 gene:Csa_7G234120 transcript:KGN44240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKVHPVTNRQNITVQYNSNARNSISRAQSLLGLNPKKLRRLPHVFSRVLELPFRSDADVSIVENCNCFRFVAETDGITEDFRAHTVQIHPGVIKVVVRQIGDLESTLDELEIDMWRFRLPETTLPDLATAVFDGGKLIVTVPKREDAGEGAWGAKNGGFRGGHLVTVQ >KGN43424 pep chromosome:ASM407v2:7:1850723:1851318:-1 gene:Csa_7G033320 transcript:KGN43424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNIVFINVLIGYSKITTLLHSSISCSIQSNSDAIPYAFTFPPTISPSQPSFSSSKLRDRTRSKPTPRSLDQNSLLESPFSVFSSFGSLCRSQNQQSSICSLPFRLDSRTHFGFLEFTHQVLHREPTVVLDWVHYRKGNRFMAWY >KGN45039 pep chromosome:ASM407v2:7:15901085:15904678:-1 gene:Csa_7G413390 transcript:KGN45039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYLSRIEHTLRVPPSLLNLPILDAIKGELEKLFIDKVVANLGLCISVYDIRSVDGGFIFPSDGAATYKVVFTLIVFRPFTGEVIIGKVQESNENGLRISLGFFEDIYVPVELMPSGSEFTPDENNGGRCRWVWIYNEVPFDIYESDEIKFKVHSIEYPAILVEQPKESNSKFPMEEKPKESINVKPFAPMVITASLDQDGLGPVSWWDGSGEAEAEDEDEVEEDS >KGN44513 pep chromosome:ASM407v2:7:11168416:11171210:-1 gene:Csa_7G322590 transcript:KGN44513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTTLFITNPFSSIFHHQYLPSPHLPPHHFLPKRVSAVHGAHNQNPISSTALNRRWALVSGVSLLSSAALGLQNDCLAAVKQGLLAGRIPGLSEPDEQGWRTYRRPDEKSGGHGVGWSPIIPYAFSVPQDWEEVPVSIADLGGTEIDLRFGSLKEGRVFVVVAPVRRFSDVIGEDATIEMVGPPEKVINAFGPEVIGENVEGKVISMSVAEHSGRTYYQFELEPPHVIITATAAGNRLYLFNVTANGLQWKRHYNDLKRIADSFRIV >KGN45179 pep chromosome:ASM407v2:7:16732626:16733785:1 gene:Csa_7G430140 transcript:KGN45179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIIIMKVPRSTLQISKQCPKFNGFKPIIEAFVMNQFNTTSSEHFHTSWHWRRRQQPNDGVVG >KGN44170 pep chromosome:ASM407v2:7:7593180:7593428:1 gene:Csa_7G212120 transcript:KGN44170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLQQFEFKAMFLEEDFVEQNTFHERKTTKETEKRFEKGECSRQVEHTNIEDLLSIIMASNEALNWKMEKLLVEAEGIKNG >KGN45078 pep chromosome:ASM407v2:7:16130195:16130533:1 gene:Csa_7G420710 transcript:KGN45078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHNPQRTKQRARRFDTHVATFRILLPPRARTVNWETPIDKANPNSKRQIPQILCSNLQQNHRDLIAMSSRPIITFS >KGN43553 pep chromosome:ASM407v2:7:2642161:2642460:-1 gene:Csa_7G044930 transcript:KGN43553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFISKFGSCWRSAAVAPHDAVTDEVKAEQGVTSPRSSKSESAAHWRPALAAIVEDSNGAEWRCKKSSKRKAEKKTSGHQGSFTTKSYGYYDGRDNYW >KGN44524 pep chromosome:ASM407v2:7:11336303:11347319:-1 gene:Csa_7G325160 transcript:KGN44524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKKPTARDDDSAPAAAHGGGKSKKKTFAVDDDEYSIGTELSEEAQIQEEKVVITGKKKGKKGNSKASQLKDDDDEDDVDGVSEIVITGKKKGKSKKGGSSSAFSSSSFGLLEEEGIDDADDDEESVLTTEKDDDEEEHSAIKFSGKKKSSKSSKKSGFSAVSAFTALDDDNDEDAIDNEIRADEDIDGEPVIEFTGKKKSSKGGKKAGSAFSGFSGLDYEDEDRDDKKDEEDVTSISFSGKKKKSAKASKKSGNSFSAALADEENDGDFSMSETNKLDHDGVNEDDLNVIAFSGKKKSSKKKSNSTVTALSDENAQANEAKDVVVPEIHNTVSSNLDSDLSNANKTEAVAETSKNKKKKKKSGRTAQEEDDLDKILAELGEGPAISKPADPPLFFQEAKVENPPELVAPPEKEAEEESTESAAARKKKKKKEKEKEKKAAAAAAAAEGSDEKVEEVKSEIIEPKKGAAKSKVPEKKVPKHVREMQEAMARRKEEEERRKREEEERLKKEEEERLRLEELERQAEEAKRRKKEREKEKLLRKKLEGKLLTGKQKEEQRRLEAMRNQILSNAGGLPLSTSDPSAPAKRPKYQTKKTKPSHHQTNGNAQTKVVEHIVEKIQEKDVAETEVLESEKIEAVELMHVEEKSGVLEATEDNEIQEDEDEDEWDAKSWDDAVVDLSLKSSFADEELESEPENDMKKDRKNGAGAKLAAPSQKGLPSQSIKSQDIENKKKQDGVEVADKGKRKEDAVRKKASISDATPVQQEENLRSPICCIMGHVDTGKTKLLDCIRGTNVQEGEAGGITQQIGATYFPAENIRERTRELKADAKLKVPGLLIIDTPGHESFTNLRSRGSGLCDLAILVVDIMHGLEPQTIESLNLLRMRNTEFIVALNKVDRLYGWKSIRNAPILKTMKQQTKDVQNEFNMRLIQIITQFKEQGLNTELYYKNKEMGETFSIVPTSAVTGEGIPDMLLLLVQWAQKTMTKKLTYSDEVQCTVLEVKVVEGHGTTIDVILVNGVLHEGDQIVVCGMQGPIVTSIRALLTPHPMKELRVKGTYLHHKEIKAAQGIKITGQGLEHAIAGTSLHVVGPEDDLEDIKDSAMEDMKSVLSRIDKTGEGVCVQASTLGSLEALLEFLKSPAVSIPVSGISIGPVHKKDVMKASVMLEKKKEYATILAFDVKVTPEARELADELGVKIFIADIIYHLFDQFKAYIDNLKEEKKKEAAEEAVFPCVLKILPNCIFNKKDPIVLGVDVIEGIAKVGTPICIPQREFIDIGRIASIENNHKPVDYAKKGQKIAIKIVGHSSEEQQKMYGRHFDLEDELVSHISRKSIDLLKANYRDDLSTDEWRLVVKLKNLFKIQ >KGN44679 pep chromosome:ASM407v2:7:13198973:13207032:-1 gene:Csa_7G371760 transcript:KGN44679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGRARCTLRADDITRACHRTGGPVRSLNMDRFRPLFNASPGSDLPVVRRDDESSDGGVVLQCMKWGLIPSFTEKFEKPNYFKMFNARSESIHEKASFHRLVPKRRCLVAVEGFYEWKKDGSKKQPYYIHFKDGQPLALAALYDCWENLEGELLYTFTILTTSSSPALKWLHDRMPVILGDKERMDMWLNDSSSSKYDSVLKPYEAPDLVWYPVTPSMGKPSFDGPDCIKEIQLKNDGSNLISKFFSAKETKKEYSVSQEKTCSNTSVKPEASPSLEEHKREVNRGASSEESKDCLAKCSSDTSLTYQIKRDREDISSDLKSGMDDYSKVGSSPKIRKKGNLKTGNDNQLTLFSYFGKK >KGN44345 pep chromosome:ASM407v2:7:9456568:9458278:1 gene:Csa_7G267930 transcript:KGN44345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNLRISFFLRKKVGVWRVEDTHAKVKIVGRCAFRALLCTFDDNQSKDNKEENSNSTGKKEEKIDKLKRAAVTTLSAAAVKAKILANQEEDQIRQLAMILIEKQIIAARLGLPASSSRGVAPTLPANRMAMNFPNSAPRPPMGMTPQRPPISGPPGMAPTNPNPQYATTSTTISGSSIRPANQDTLSSVGTK >KGN45054 pep chromosome:ASM407v2:7:15994638:15995804:1 gene:Csa_7G414510 transcript:KGN45054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGYFWWSIFGLIAIIGAIKGDDEGLSVGFYSKTCPSAERIVRNSVAKAVVNDPGQAAGIIRLYFHDCIVGGCDGSILLDSIPGITSSFDIERHSPGNPVLRGFEIIDDAKSKLESRCPQTVSCSDILAFAARDSVLVTGGFSYAVPAGRRDGRVSNGSAVFTNVPPITPNIARLKQHFESRGLSLKDMVALSGAHSIGITPCGAFSSRLYFFNETVETDPSLDPKFAAFLKTQCPKGKIGGTADLDNVTPNLLDVQFYENLRRKMGVLSSDQAMEDDPLTAATVREYRSSRSLWKADFTAAMVKLGNMKVLTGRQGEIRKNCSALN >KGN43761 pep chromosome:ASM407v2:7:3981917:3982180:1 gene:Csa_7G066190 transcript:KGN43761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFTLLIAFVATLLLSSLSSSSSSSSSIIVSRKLLASDFPGLPDFNVPPFYPEFKLPPPFSFFGPNTPSSIFPNFPFFSPPTNPSP >KGN44536 pep chromosome:ASM407v2:7:11465611:11466827:-1 gene:Csa_7G327750 transcript:KGN44536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKLLLYSPKASLSISVPFILGHKSYYSVKSQFWGKNLDLRNRNDNLRKSHVPFQPIRAVVKRRKELPFDNVIQKDKKLKLALRIRKILVQQPDRVMSLKDLGRFRKDLGLERKRRIIALLKKFPAVFEVVEEGAFALKFKLTAEAERLYLEELKIRNEMEGLLVIKLRKLLMMSADKRILLEKIAHLRTDLGLPLEFRDTICHRYPQYFRVVATKRGPALELTHWDPELAVSAAELAEEENRARELEEKNLIIDRPLKFNRVKLPKGLNLSKGEMRKISQFRDIPYISPYSDFSGLKAGTPPKEKHACAVVHEILNLTLEKRTLVDHLTHFREEFRFSQQLRGMLIRHPDMFYVSLKGDRDSVFLREAYRGSQLIDKDRQRSFQ >KGN43659 pep chromosome:ASM407v2:7:3242397:3247337:-1 gene:Csa_7G051390 transcript:KGN43659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNITAQLKRGISRQFSTGSLRRTLSRQFTRQSSLDPRRNNLRFSFGRQSSLDPIRRCPDEDNELSIPDNLDSTMQLLFMACRGDVRGVEDLLNDGTDVNSIDLDGRTALHIAACEGHAAVVKLLLSRKANIDARDRWGSTAAADAKYYGNTEIYNILKARGAKVPKFRKTPMTVANPREVPEYELNPLELQIRRSDGISKGAYQVAKWNGTKVSVKILDKDCYCNPDSINAFKHELTLLEKVRHPNVVQFVGAVTQNLPMMIVSEYHPKGDLGCYLQKKGRLSPSKALRFALDVARGMNYLHECKPDPIIHCDLKPKNILLDNGGQLKVAGFGLIRLSKMSQDKAKLAHPVVIDYSNLYLAPEIYNNEIFDRSVDSFSFGLILYEMVEGIQPFHPKPPEEVTRAICAEGKRPPFKIKSKSYPPDLKELIEECWDPEPVMRPTFSEIIVRLDKIVANCSKQGWWKDTFKLPWK >KGN44494 pep chromosome:ASM407v2:7:10988789:10990419:1 gene:Csa_7G318450 transcript:KGN44494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYNSNFGHDRGTLKFWNRSSGKVCVLVAHMSSLACSKVYEEAKMLPVLLSAELFRRCDVWPRGFQKLGPTDQNIALYFFPDGESQKAFDLLVNAMMSQDLAMKAVLKNAELLVFTSSMLPMRYWRFQTKYYLWGVFRGKQTAKPRNVVASEERTFAESTCMQGPISPDQYTSSC >KGN45127 pep chromosome:ASM407v2:7:16436734:16442423:-1 gene:Csa_7G428150 transcript:KGN45127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDYVHFYGKNKTGEEKLPIKTDQDTLREGYRFIRSEEDDMDTSWEQKLVKRYYDKLFKEYCIADMTQYKSGKIGLRWRAEKEVMSGKGQFICGNKHCDEKSGLASYEVNFSYFEAGENKQALVKLVTCGRCSKKLHYKRQKEKEKLERKEQEMSKRKRPSDDSSDTEDEGSRTRRKGKKASTSFGDHKADSKEEFDEYLEGMFP >KGN44266 pep chromosome:ASM407v2:7:8511079:8511508:1 gene:Csa_7G237810 transcript:KGN44266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNVTKPSSSRRLSPSRLGLSSHAVVAVQSSLLLSLRRSSPIVSTSQKSPIVARAWLSLTIALLCCRWLRYLVVYNSSSRTDQSDYFLKVNNFVSTLL >KGN44325 pep chromosome:ASM407v2:7:9192521:9194291:1 gene:Csa_7G253800 transcript:KGN44325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMENMGNRTEFDRQIPSESTLPGKRKRNRYALMCAIFASTTSILLGYEQSLNGGEALIVIQQHFKLSDFKLETLVGVNNIYIAIGAAVAGRTSDYIGRRYTMVLAGFIFFVGAFLMGFATNYVSLMLGKSITGLGTGYALVVSPVYITEVSPTSSRGFFTSLPEVFINFGIMLGYLSSFLFSKLPIHLEWLFMVGIGIFLSMFLVAVVLMIPESPRWLVMQGQIGKAKWVLDKTSDSIEEAERRLAEIKEANGIPSGYSSYEVTPLQVSTRSNQTTKIWKELFLHPTPSIRHILIATVGLHVFQQASMINVIFVYSSTIVKQLGIKSNNHMLLVIILAGFTKTIFILVSTFLVDRIGRRPLLLTGVAGNMISLIILGFRLTRINHHSEVKLSTYWDIGLCITMILSYVAFFSIGMGPITWVYTSEIFPTKLRAQGLSAGVIVNRVTASVVTMTFLWLSNAITIGGVFYLHAGIAAMSWVFFYLLFPETQGRNLEDMEGLFGYVTPFSFFFNSSF >KGN44262 pep chromosome:ASM407v2:7:8442026:8442262:1 gene:Csa_7G236790 transcript:KGN44262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELRRRKQRKKKKAEKEEESRERRRKQRKKKKAEKEEESRERRRKQRKKKKAEKEEESRERRRKQRKKKKVRDEKAQG >KGN44579 pep chromosome:ASM407v2:7:12071199:12071384:1 gene:Csa_7G336820 transcript:KGN44579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDREREGLRKKECALRRRAVRWAIGDELKKARQSWVPARLSREGERRNRHWQWRMPACNE >KGN44232 pep chromosome:ASM407v2:7:8218165:8219937:-1 gene:Csa_7G232560 transcript:KGN44232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVAMSPPTGCTTRELLLLSPCLPFISAPPNNLSDTVPSECCDAFSSAYSAGGGICLCYFLREPQILGFPLNRTKFMALSSFCPLNGENGIYLEKNSSLDSVCAASQTLPPLQSSRIPRIQEPDSPADENIETPDVGLPPNAIVSPSAPAEKPRPPPSSATAEQCTNLQQQNRISFRESESMSHFKRNSPSRLNRQSHSVESVESHVSEARTVREKFPSEYDLEVKYKPSRVKVEFLN >KGN44445 pep chromosome:ASM407v2:7:10254950:10261156:1 gene:Csa_7G291160 transcript:KGN44445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRASFRLGSIADSIYRFKPHEHVRKQDASKLVFHRALLISSGSEIWGNGAESTAFMQMQIVNALRLGDRSRASNLLMVLGQEKFSLTADNFVRILSYCAKSPDPLFVMETWKIMEERGIFLNNTCSLLMIEALCKGGYLDEAFGLINFLAESHVMFPALPAYNCFLRACAIRQSMVHASQCLDLMDHKMVGKNEATYSELLKSKRFIAEEVYMVLRKDGRCEICIYCTAKDGDFE >KGN44823 pep chromosome:ASM407v2:7:14554504:14562899:1 gene:Csa_7G390040 transcript:KGN44823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFQLEDVTVYFPYDHIYPEQYAYMLELKRALDAKGHCLLEMPTGTGKTIALLSLITSYALSKPQNPVKLIYCTRTVHEMEKTLAELRLLHDYQVQQLGPRAQILAVGLSSRKNLCVNPNVLASESRDSVDAGCRKLTASWVRAMAAENPDVPTCEFFEQYEKAGSSAVLPPGVYTLQDLRAFGTKKGWCPYFLARHMVQFANVVVYSYQYLLDPKVASIISKEMQRESVVVFDEAHNIDNVCIEALSVSVRRQTIEGARRNLNKMRQEIERFKETDAGRLRAEYNRLVEGLAQRGNLPISDTWLSNPALPDDILKEAVPGNIRRAEHFIHVLRRLVQYLEGRLDTENVEKEGLVTFVGSIGSQAGIDQKTLKFCYDRLHSLMLTLEITDTDEFLHVQTICDFATLVGTYARGFSIIIEPVDERMPHIPDPVLQLSCHDASLAIKPVFERFQSVVITSGTLSPIDLYPRLLNFNPVVSRSFTMSLTRDCICPMVLTRGSDQLPVSTKFDMRSDLGVVRNYGRLLLEMVSAVPDGIVCFFVSYSYMDGIINSWNETGILKEIMQHKLVFIETQDVVETTLALDNYRKACDCGRGAVFFSVARGKVAEGIDFDRHYGRLVIMFGVPFQYTLSKILLARLEYLRETFQIKEGDFLTFDALRQAAQCVGRVIRSKADYGMMIFADKRYSRHDKRSKLPGWILSHLRDAHLNLSTDMALHIAREFLRKMAQPYDKAGSSGRKTLLSQEDLEKMSEANMNEMLY >KGN43475 pep chromosome:ASM407v2:7:2106445:2110085:1 gene:Csa_7G039230 transcript:KGN43475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHPPPLLLLLLLLPFLPLISSLNQEGLYLQRVKLGLSDPTHSLSSWNPRDNTPCNWSGITCDSLTHSVIAVDLSNFQLSGPFPTFICRLPSLSSLSLSNNAINASLSDDVASCSGLHFLNMSQNLLAGSIPDGISKIFNLRSLDLSGNNFSGEIPTSFGGFTQLETLNLVDNLLNGTIPGSLGNVSSLKELQLAYNPFMRSEIPSAFGNLTKLEVLWLANCNLAGQIPATIGGMTRLKNLDLSNNRLSGSIPVSLTQMKSLVQIELFNNSLSGELPLRLSNLTSLRRIDVSMNHLTGMIPDELCALQLESLNLFENRLEGPLPESIVNSPYLNELKLFNNKLSGQLPSKLGQNSPLVHLDVSYNGFSGGIPENLCAKGKLEELILIYNSFSGRIPASLGKCTSLSRIRMRNNRLSGPVPDEFWGLPNVYLLELVENSLSGSISSMISGAKNLSILVISENQFSGSIPNEIGLLSNLTELSGNDNMFSGRIPGALVKLNLLSTLDLSKNKLSGELPMGIGALKRLNELNLASNRLSGNIPSEIGNLPVLNYLDLSSNHLSGSIPLELQNLKLNLLNLSNNLLSGVLPPLYAEDIYRDSFLGNPGLCNNDPSLCPHVGKGKNQGYWLLRSIFLLAIIVFVVGVIWFFFKYKEFKKSKKGIAISKWRSFHKLGFSEYEIADCLSEDKVIGSGASGKVYKVVLKNGEVVAVKKLWQGTRKEDTSLESEKDGFEAEVETLGKIRHKNIVRLWCCCNTGNCKLLVYEYMPNGSLGDLLHGSKKRFLDWPTRYKVVLDAAEGLSYLHHDCAPPIVHRDIKSNNILLDSEFGARVADFGLAKFLNAGKGSESMSVIAGSCGYIAPEYAYTLRVNEKSDIYSFGVVILELVTGRPPNDPEFGDKDLAKWVYATVDGRELDRVIDPKLGSEYKEEIYRVLDVGLLCTSSLPINRPSMRRVVKLLQEAAIETRPPAIVKKEVKLSPYLS >KGN43309 pep chromosome:ASM407v2:7:1153904:1155195:1 gene:Csa_7G019890 transcript:KGN43309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTWFLGFVFLYFISSANACDRCVYQSKASHLYDSPTTYGGACGYGNVALQFSNGFFAAAVPSLYRQGVGCGACYQVRCKNRRLCNTIGTKVVLTDQNNDNVTDLVLSKRAFFTMALNGKGADLLNLGVVDVEYKSGAIYDTGVQINDIAKESCPPWQCGDKPWK >KGN43407 pep chromosome:ASM407v2:7:1773366:1773776:-1 gene:Csa_7G031680 transcript:KGN43407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKKTCLCYCTKDKVEIQVTESSKSATFASPRKRALFLSLTPRKEITKVKLTPHGNCFQINLKENPFHSKSADRSMLKCAVRLQKADQNIKITQLVLSKSLNTLRETKVERTPDHAIFIQEKILLLEILGDILSG >KGN43432 pep chromosome:ASM407v2:7:1880288:1883047:1 gene:Csa_7G033400 transcript:KGN43432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEMKKNGVSLEGERVILVPYMEEHVPKYHQWMKDPALLQATGSEPLTLDQEYQMQQSWTQDPKKQTFIVLDKELVEGKFIHGNSGVEAMVGDVNLYMNDLDDSLLAEIEIMIAEFKSRGKGLGKESVLMMMAFAVKNLGIHTFRVKIGDSNEGSLSLFKKLGFEETSYSEIFKEVTLELKVTKSKHEELLDVFGRIVTYD >KGN44837 pep chromosome:ASM407v2:7:14624046:14628330:1 gene:Csa_7G390160 transcript:KGN44837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGKLEAVNKRISELEQIGCFLASCCRCNPFLFRTILNADVLKVRLQMQLVGQRGPLIGMGQVFVQLLNNEGPKSLYLGLSPALTRSVLYGGLRLGLYEPSKHASDLLFGSTNIFVKIGAGAIAGAVATALTNPVEVLKVRLQMNPNSTKGPMKEMSRIVSEEGLKALWKGVGPAMARAGALTASQLATYDESKQLLVKWTPLQEGFSLHLISSTVAGVVSTLMTTPIDMIKTRLMLQRESKRVGNYKNGLHCAYQIVLTEGPLALYKGGLAIFARLGPQTTITFIVCEKLRQLAGLNAI >KGN44858 pep chromosome:ASM407v2:7:14797083:14798810:-1 gene:Csa_7G392310 transcript:KGN44858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFSINNNNNNKNNKIHYYFSISFLGLFLSLLLPTPVAATPSTPAGIRLGIIRKPTSDVPIFREAPAFRNGDSCNKDEKIHVAMTLDSNYLRGTMAAVLSILQHSTCPENVEFHFLWARFEGEVFSCIKSTFPYLKFRIYRFDAGRVRGKISKSIRQALDQPLNYARIYLAEILPSEVKRVIYLDSDLVVVDDVAELWGVNLGDKVLAAPEYCHANFTKYFTEQFWSDMELAKTFDRRKPCYFNTGVMVVDVEKWRRGEFTQKMEDWMAVQKQRRIYHLGSLPPFLLVLAGDIRAVDHRWNQHGLGGDNLEGKCRSLHPGPISLLHWSGKGKPWLRLDSRKPCTVDHLWAPYDLYRPSTHSLEE >KGN45081 pep chromosome:ASM407v2:7:16159860:16163313:1 gene:Csa_7G420740 transcript:KGN45081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGRGCYQENRDWHLVQTNGNCVNRTMEINGTSSSEDLSSISFCSGLGYIEHPVSRFDTLAGIAIKYGVEVSDIKKMNALVTDQQMFALKSLQIPTPGRHPSPLLSEDLDISRKSNYEQDTTRLTSFNLLDSFPSLRIRPSTLKTPLQPHQANVPAICELTSYSKGEFNQLGSHPKLASSHNPHGSHHRKSRSFANGFPLENIEFTDIVTAPNGAADSIKGSEKLCRRRQKSMADFSTATESILNKTYATNNGECLSMTRRSLDLRLKGVLGRTNAASNGVTELSKLTPNLTDDMGGDSSLMRNGILGGRRSSSTCNLQEADKGNASSSSKWSTSSWSLKTDLQAFSSAMVTKSIFEGLPRSGSTRYKASRD >KGN45198 pep chromosome:ASM407v2:7:16861547:16865586:1 gene:Csa_7G430820 transcript:KGN45198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHNHSGKIDRILEGFSPASAPRIQWNSRRRSGSQTSGRNLDKETEDQDSANKTCDKQEVSPGNDNISQDTDMVLPELSERRKALFEPLEPVRNINGRRPSAESLLPPPDFDETTYPRGWLIGKKRKLVNVDVVESMRRIAVQEMNRKDREINGLNEQLDEDARCLEHLQIQLLQEKSKRSEVERENAMLHDQINMLMSMLDNEGADDGSDEP >KGN43904 pep chromosome:ASM407v2:7:4841767:4844773:-1 gene:Csa_7G073400 transcript:KGN43904 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine protease MAISPIFFAFLALFFCLSPFSSASHSSTFSIIDENAKHHLGIPEIPHSDAHQRPDEEVAALYESWLVHHGKAYNAIGEKERRFEIFKDNLRFIDEHNRESRTYKVGLTRFADLTNEEYRARFLGGRFSRKPRLSAAKSGRYAAALGDDLPDDVDWRKKGAVATVKDQGQCGSCWAFSSVAAVEGINQIVTGELIPLSEQELVDCDKSFNMGCNGGLMDYAFQFIIGNGGIDTEEDYPYKGRDAACDPNRKNAKVVTIDGYEDVPENDESSLKKAVANQPVSVAIEAGGRAFQLYQSGVFTGRCGTDLDHGVVAVGYGTDNGTDYWIVRNSWGKDWGESGYIRLERNVANITTGKCGIAVQPSYPTKSGANPPKPSASPPSPVKPPTECDEYFSCEEGSTCCCIYQFGSTCFAWGCCPLESATCCDDHYSCCPHEYPVCDLEAGTCRVSKDSSMGVNLLKRLPAIQTKKVQKLGKLFVGA >KGN44791 pep chromosome:ASM407v2:7:14318670:14322699:-1 gene:Csa_7G387750 transcript:KGN44791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKRSKKSKSKRVSLKKKYKIIRKVKEHHKKKAKEAKKLSFKGKSKVEKDPGIPNDWPFKEQELKALEARRARALDEMEQKKAARKERAQKRKLGLLDADNMDSVDQSSGEIKGGNSSAGPARNHDNSDRVFFKELAKVIEASDVILEVLDARDPLGTRCMDMEKMVMKAGPNKHLVLLLNKIDLVPREAVEKWLNYLREELPAVAFKCSTQEQRSNLGWKSSKASKTKTSNLLQSSDCLGAETLIKLLKNYSRSYEIKKSITVGVIGLPNVGKSSLINSLKRSHVVNVGATPGLTRSMQEVHLDKNVKLLDCPGVVMLRSKENEPSIALRNCKRIEKLEDPVAPVKEILKLCPSKTLVTLYKLSSFDTVDDFLQKVAVIRGKLKKGGIVDIGAAARIVLHDWNEGKIPYYTMPPIRSQVEPSEARIVTELGKDFNIDEVYGGESSFIGSLKSVNDFNPVEVPPSCPLNFDENMQEPNNENVEPSIQDGKILENAMDNSEDESMEQDEKDNDDTSKVKGQDATSRQNEKLYSVEGILNTKMRKAEKKRRKKANNSISSVSTDAMEDDDYDFKVDFKKK >KGN44896 pep chromosome:ASM407v2:7:15048467:15051630:1 gene:Csa_7G394650 transcript:KGN44896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLRAPSVIYLPTHSIPTGRVFLLTSHASQPLLPFPLPAVTSSRSSQVSFSLSHLAVDRRRESFPFILSQIHIVGFHHRQIHIGSDMAATSATILSIGATESLNSKLNSFSLSKSASLRISSHETLQSFCGLKSDSSLRCDLESSFLGKQSRAALWRHLAPSAQRVNSNACKNLQPQASYKVAVLGAAGGIGQPLALLIKMSPLVATLNLYDIANVKGVAADISHCNTPSKVQDFTGPSELANALKGVDVVVIPAGVPRKPGMTRDDLFNINAGIVKSLVEAVADNCPDAFIHIISNPVNSTVPIAAEVLKQKGVYDPKKLFGVTTLDVVRANTFVAEKKNLKLIDVDVPVVGGHAGITILPLLSKTRPSVSFTDEQIHELTVRIQNAGTEVVEAKAGAGSATLSMAYAAARFVESSLRALDGDSDVYECTFVESDLTELPFFASRVKLGRKGIEAFVTSDLHGLSEYEQKALEALKPELKASIEKGIAFTQKQAVAA >KGN45447 pep chromosome:ASM407v2:7:18240179:18242512:1 gene:Csa_7G448090 transcript:KGN45447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLLRAFFLFSLLIPFSSSSSIDASKKTFIVQVHKDSKPSIFPTHKNWYESSLASISSVNDVGAIIHTYETLFHGFSAKLSPLEVEKLQTLPHVASIIPEQVRHPHTTRSPEFLGLKTSDSAGLLKESDFGSDLVIGVIDTGIWPERQSFNDRDLGPVPSKWKGQCLVAKDFPATSCNRKLIGARFFCSGYEATNGKMNETTEYRSPRDSDGHGTHTASIAAGRYVFPASTLGYARGKAAGMAPKARLAAYKVCWNAGCYDSDILAAFDAAVSDGVDVVSLSVGGVVVPYYLDAIAIGAYRAVAAGVFVSASAGNGGPGGLTVTNVAPWVTTVGAGTMDRDFPADVKLGNGRVVLGTSVYGGPALIPGRLYPLIYAGTEGGDGYSSSLCLEGSLNPNLVKGKIVLCDRGINSRAAKGEVVKKAGGLGMILANGVFDGEGLVADCHVLPATAVGASGGDEIRKYIAEAAKSHLQPTATILFKGTRLGVRPAPVVASFSARGPNPESPEIVKPDVIAPGLNILAAWPDKIGPSGIPTDKRTTEFNILSGTSMACPHVSGLAALLKAAHPGWSPAAIKSALMTTAYTLDNRGETMLDESSGNTSTVLDFGAGHVHPQKAMDPGLIYDLNTYDYVDFLCNSNYTTKNIQVITGKIADCSGAKRAGHSGNLNYPSLAVVFQQYGKHKMSTHFIRTVTNVGDANSIYKVTIKPPSGISVTVEPEKLAFRRVGQKLSFLVRVQAMAVRLSPGSSSMKSGSIIWTDGKHEVTSPLVVTMQQPLQ >KGN43591 pep chromosome:ASM407v2:7:2852805:2853674:1 gene:Csa_7G047270 transcript:KGN43591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCCCSRALDRGQPHTLDKNHRSAKPSSPGWFDTDVENVPDNRTPEEETVKEVLSETPIAKPCSVLQTSHKKPPEQRVKASEMDGSLGKGEESIVSVSETSQVTEWCSNMSESVSMATTISEQREGDEASSKSRDIGRNIKPKIRRKRPCSGNLSYRREQRDKCTTKRPAELLPEKKSRVNCRYSHGTTESREARTRKLNGGQHEQQSGVSHGRRSRSPATRTVKETNKTGNMKSSVMKMTGQPGDQQETVTTEHRDEGKLEKPMDGSIQPPNESIENPLVSLECFIFL >KGN44693 pep chromosome:ASM407v2:7:13348507:13348833:1 gene:Csa_7G372885 transcript:KGN44693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEMDIKEKQIRQIYRLSRILLFLFLEEILQCYLKGLTTQPVIGFCLRTLNFLLEPLCNLSRIAYVIESGVNPCSLSFAYRSTVSAVLPALSRQSRRMLYVTIFGQHP >KGN44993 pep chromosome:ASM407v2:7:15586933:15588082:-1 gene:Csa_7G405980 transcript:KGN44993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKGEVIGDFGLDCLMIAFLMNRAADLSASTFNPPSLMTAVSKEGLLELEAEKQRACVHCRATRTPLWRAGPAGPRSLCNACGIRYRKMKMNSNNNGGVNNNSNNKMGKGKKMGGSGGSLKVRVVRLGREIMVHRPTTAMEDDNEVAESIGEEEQTAALLLMALSSGYV >KGN45156 pep chromosome:ASM407v2:7:16580272:16585384:-1 gene:Csa_7G428930 transcript:KGN45156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRSDGLKLIRLLARRSFTPHLPRESSTSVTDAVFRGSCRQFHSGVCNKFACFGNCASKNVNKKNWFRLGVLGANYGEAKFIHGTAHMSANNYYDTLGVNKNATASEIKKAYYGLAKKLHPDTNKDDPDAEKKFQEVSKAYEVLKDEDKRRQYDEVGHEAFTQQDHHGGFPGGGGGFDPFSGIFREFDFSNIFRQNFGGEDIKVVLEISFMEAVQGCSKTVSFNAAVACDTCGGSGVPPGTRPETCRRCKGSGMTYMQTGPFRMQTTCTQCGGSGKIVSNFCKSCNGERVVRKMKSVKLDIIPGIDDNETMKVFRSGGADPEGNQPGDLYVTVKVREDPVFKREGSDIHVDTVLSITQAILGGTVQVPTLTGDVVLKVRPGTQPGQKVVLKKKGIKTRNSYSFGDQYVHFNVSIPTTLTPRQRELIEEFSKEEQGEDDKSRAAGASG >KGN44503 pep chromosome:ASM407v2:7:11083825:11085851:1 gene:Csa_7G320020 transcript:KGN44503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENMKHTTTIMNLKAIEATAESFAEYGQVIQATDDRAEFGNEDAQLDLTNGIPRFYILHIENRPFEFSKITHHARVTQCLGSVDREAWYLGVAKASIVEGDEVNGGGGGRKLRSESGGHLYVAPNVDEIRAFKISGAKFVKLNKGTWHAGPLFRENARDFYNLELTNTNIVDHTCYNIGEENRVVFHIED >KGN44455 pep chromosome:ASM407v2:7:10373050:10381573:1 gene:Csa_7G293730 transcript:KGN44455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVIEKYSSIFYVGSGSSRVPPYVRLSNKAEMIASEEDKLLMLSVDCRVPLENIEFIASELGLPCDFKTSLIPKYPEFFSVKEPLLPFAKKKLLSDLGHNFFIPRDQTLVERDKGRAGWCSGVMGKYAAGELRPPTSASSRGSGKHPQLIPSTPRWAVANGAGVILSVCDEEVARYETATLTAAAVPALLLPPPTTALDEHLVAGLPALEPYARLFHRYYAIATPSATQRLLLGLLEAPPSWAPDALDAAVQLVELLRAAEEYATGIRVSRILYHAKTCWLSHS >KGN44786 pep chromosome:ASM407v2:7:14264944:14271420:1 gene:Csa_7G387700 transcript:KGN44786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQYEILEQIGKGSFGSALLVRHKLEKRRYVLKKIRLARQTDRTRRSAHQEMELISKARNPFIVEYKDSWVEKGCFVCIVIGYCEGGDMAEAIKRANGVFFPEEKLCRWLVQLLMALDYLHCNHILHRDVKCSNIFLTKDQDIRLGDFGLAKMLTSDDLASSVVGTPSYMCPELLADIPYGSKSDIWSLGCCIYEMAAHKPAFKAFDMQSLINKINKSIVAPLPTMYSGAFRGLVKSMLRKNPELRPSAAELLNHPHLQPYILKVHLKLNSPRRNTFPLQRSDTNYIKKTRFEEPGSDSLRAFREKRLSFSNDRAVNPSVSVTEAESQCSSRRSLQLPSYLNKKFEELSIAGKESNVDLSRTSKLQTISKTPRLTNAKVSATPKKQTISSKFSPANFNPIPASHSPASRGSSHTNRRASLPLSTKTAGFGTPYRSNTGLLHGMNSPDISVNAPRIDKIAEFPLVSSEDPLLHIRGTSSTSAQCSNNSQDDSTNCSITKDKCTVQVVDKTTIKKSDVLSSSFRVPGSESDYFDRNPAIASSSRLSFESRQRRFDTSSYQQRAEALEGLLEFSAKLLQQERFEELGVLLKPFGPEKVSPRETAIWLAKSFKEATV >KGN44643 pep chromosome:ASM407v2:7:12717786:12719392:-1 gene:Csa_7G354520 transcript:KGN44643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHVRDQQQEIPQLHFQNLEVLTALGRGAKGVVFLARDPTEDHGEWLALKVISRELIQRKAKIVNNDGAEYRRVCFEREVLRLFRHPLLPRLQGVLDTQKVVGYAIDYCNGRDLNALRKKQTEKMFSDDVIRFYAAELVMALEYVHSLGIVYRDLKPENVMVQHNGHIMLVDFDLSTRLSQRSPKSSPSPAAEVLTESKFVIEDKNSKKRKKKKRFFHFHRFCNSGVSPEESDQQATATEKPRSEVESESESSEKSNSFVGTEDYVAPEIVAGKGHDFAVDWWSLGVVLYEMLYGTTPFRGTNRKETFYRILTKTPDLCGEATALRDLIRMLLEKDPGKRIEVEEIKRHEFFKGIEWDMVLEIARPPSLPEMGEEERNGEKIIDVESFVENVFCSEIEKKNQIKGGGSGGGGEGKGLIPNYTDSDIFHVF >KGN43606 pep chromosome:ASM407v2:7:2905935:2906141:1 gene:Csa_7G047410 transcript:KGN43606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVSPFSLIEKSSFTDDHYSTGPILAYSHTRENLRGKIKEKEREQKEVKPTTTLHPGFLLKLCSDNNG >KGN43719 pep chromosome:ASM407v2:7:3673379:3673640:-1 gene:Csa_7G062850 transcript:KGN43719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQIHKSEGHYQETEDNILQSCWTSPKWKTGRRLEKVNERECEGVRVHEEEKTAMGRACSS >KGN43978 pep chromosome:ASM407v2:7:5238982:5245150:1 gene:Csa_7G075580 transcript:KGN43978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGLGNHGPKFSSIFFFFKLKKTSTTEPPLNSQNLSVRRHWISWFFSPTMEFFLEEGKQLHDQCSTLVLPALSIGNVGQLAVDLLVSSMRAARIGYLDDPCVLPCIGNNAYEPLPIGELALPLEVYESTPNALTLVQQRSPVIKGKMVDFAKNLADFIATCGKKHVVLLSSLDFGRWQQIDTSSGSQIHYLSSTKDDGTDDYCEQMGWRRLHEYDSEQSRWKYLSTLTEAKTTQEHGPPFDEELEEGDYLPSLPFASLFTFLKAKGVKVTCLLCYCSEGDNIPDAFNLAEATGKLLGLRPGDEGIKWVVPYSWKSVYGPPPDLSIF >KGN45153 pep chromosome:ASM407v2:7:16562050:16562826:-1 gene:Csa_7G428900 transcript:KGN45153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFLFVILPLCFFLGIDAAVFTLENKCNDIIWPGIQPGAGRPQLMNGGFQLKPGETVTINAPTGWSGRFWGRRGCSFDVSGKGTCQTGDCGGVLECAGAGGVPPATLAEFTLDSPLDFYDVSLVDGYNIPISIAPSGGSSTCQTVKCLTDLNQHCPDGLEMKKNDTVIGCKSACLAFNKPEYCCTGVYSTPKTCKPTVYSKAFKLACPLAYSYAYDDGSSTFTCQKANYSIGFC >KGN45276 pep chromosome:ASM407v2:7:17286614:17289044:-1 gene:Csa_7G432580 transcript:KGN45276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKNSGCLGCYTKPKLKTHLNEPSKGQQIQCHGLRKPSLSEDFWTTSTFDVDNSAGQSQGSMSSLSTINHMHDPHGSSGNVPNPSEFLNHGLLLWNQTRQRWTGNKRSEKPQFQEPKLDWNVTYESLLGSNKPFRQPIPLGEMVDFLVDVWEQEGLYD >KGN44133 pep chromosome:ASM407v2:7:7223711:7224864:1 gene:Csa_7G201850 transcript:KGN44133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTNTNHVGDLCDGSSKPSYCPTANSCHRSPRHSTFSLFSLPQFHRPPTPRPCIWFDPHPDPIGSGSALVILEVAGSNCIVTGQICICQITWSQVCLHLVTYSYILLLLLHCFNDFELPVHELCIVATTYER >KGN44609 pep chromosome:ASM407v2:7:12384863:12386289:1 gene:Csa_7G342770 transcript:KGN44609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGRRRITLYDQMLAVSDGRDLAGGFTLNDVLAIQKRALPSSSPAVRLHGRTLLDIIRDDVGKSPVSNRERTSWKFFRDRLRLSIRSQIPPSNSLRFPGLTSAEMMSNDEHSPEPTTTAAVSAAPSLRQISRRNSTRLNPSVQPSSEATNSNASPDNNSAAAASGSGGRSLLRPQMSRHNSTRFSVERSESFTDLTEPTREGTRRLSAALAEERSMSAREAVTAQEAADAEATVDEEEQQQEQVAESTPAPVRMSLMDLLEETDRQMGFEGSTYRIEDEDEEEEIENEVSTTVCGGGGSGGTEPHCCVCMVRHKGAAFIPCGHTFCRLCSRELWVSRGNCPLCNGFILEILDIF >KGN44105 pep chromosome:ASM407v2:7:6926212:6926975:1 gene:Csa_7G190690 transcript:KGN44105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIKLFASPIPIAGSRRSSPSFYDVLRVNHNASSLEIKTAYRTLAKIYHPDSVRRSDCDSPFDDGSSFLEIHNAYETLSDPATRAHYDLALAALTRRPFLRSSSSRSRPHRRWETDQCW >KGN44915 pep chromosome:ASM407v2:7:15181655:15183089:1 gene:Csa_7G395820 transcript:KGN44915 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase MAGAIKVHGIPTSTATGRVLAALYEKDLHFELVNVKLHEGEHKREPFISLNPFGQVPAFQDGDLNLFESRAITQYISGNYASNGTQLIPQDPKKAAVVLTWIEVESHHFDPVAMKLVFELCLKPVFGWGDADPAVVEQSETELGKVLDIYEKRLTESKYLGGESFSLADLHHLPVLGYLLATQSKKLFESRPHVNAWVADIIARPSWAKVVELRK >KGN43949 pep chromosome:ASM407v2:7:5093184:5098152:1 gene:Csa_7G074820 transcript:KGN43949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPPKTLRTISQEAFDEVVRENVEDLGMDPTEALQDAIETLTLQGVDLSGIVRCVPGEGSARDNPLIQSLERLKQLDGDSKDKISDQFVDEIVVLFDRISDLCGSTQESGNASIALRNGGLELLCSLCYKIPSDCEPAVVSSLKTMVLLLQDLHSTEIFRNSNGPRIVMDILNSGKQNVNILYGGFAVVAAAATANEVVKEVFMEMNIDELILQTLSTYRGDCINSLYDAIRVLLTADDHRVVASQVYGYARRFAKIGIANALVDSLHEGLNSSGLVSACIALRAVSVNDEICKSIAENGGIDAVLRCIDDSGDQGNKMVAKVCCSLLSKLAGSDANKSAIIEKKGLNKLIKLSSRFSDDPSVLQEVMAVVSVLTLRSPENATQAIEAGAGDLAIQAMQKFPSAQQMQRNSCNMIRNLVVRNPENRALLLKTGIEKYIRKAKQNHESCKDAASDALRDLGLDNYL >KGN43611 pep chromosome:ASM407v2:7:2933580:2934449:-1 gene:Csa_7G047460 transcript:KGN43611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEQYFEEASIQINSFGENKSSLENWKSVLDAMAETHCDASGLFDCNICLETVKDPVVTLCGHLFCWPCIYKWIHYQDSSLEKKARRLPQCPVCKAEVSDATLVPLYGKGETQDPFESKNPQLGIVVPRRPQGPACFESPRPTSHPTSHTVGPQFREGNSDSADQSNVYYAEMTGVFGEVVYARMSGAITNLYAYPNSYPLVWSMLVAIGRPLLALVSVVGVNKNFVITSLCGLLLHS >KGN44982 pep chromosome:ASM407v2:7:15528360:15532649:-1 gene:Csa_7G405880 transcript:KGN44982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPDPLLSFLCNAPVINESKTVQPEPSNKEKLEEKVVDDTLSERDVQLSSIPDRNQEEKTRRCDFGYCKIPHTTFSSAYPGKSHPQNPQFLSNTPGSSFIIASHSQVWVYRLEIVTIG >KGN45460 pep chromosome:ASM407v2:7:18332425:18334885:-1 gene:Csa_7G448710 transcript:KGN45460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNKSTVLYSRNSLSARDGSLFCGERVFPSMKVAKAAKGASSLSKSFRSKICCSVAQPETLEVVQEIIAKQLSLEQSAVIPQTKFSDLGADSLDTVEIMMALEERFGVSIGEGGAEGISTVQDAADLIEKVKASSAS >KGN44191 pep chromosome:ASM407v2:7:7847538:7847902:1 gene:Csa_7G219270 transcript:KGN44191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSISERVANRASHDVIPRIMQWSCTYSLGLKICMQAIEPTHEELAYLDTGLDIPSTEGYKDNLDAPLRDDDAPSFNPHA >KGN43572 pep chromosome:ASM407v2:7:2738061:2740374:-1 gene:Csa_7G046110 transcript:KGN43572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFPLKVPLICFIFLCIATNLHSSSAGFLIEPVSGQSQPLNPGQYSSPNTVPAFPVQTQMQICHLDLSDELFGGVREACGRDLDRSRCCPVLAAWLFAAHARAALKIAAPAPASAADLPMMPDDSQKCVNSLQTSLLSRNIRIPQPNASCDAVLCFCGIRLHQISSLSCPAAFNVSGGGNGAYRNATPTAAVRNLEKNCRNSSYSGCTKCLGALQKVTGTKKNSSNDRASKMFNRDCQLMGLTWLLARNKTTYIPTVSAVLRAIMYTAHPPHQSTCSPDQENMPLAVDSLQMEKAQSSSPPSISLFPIIPLLISVYWLAWW >KGN44933 pep chromosome:ASM407v2:7:15288428:15291214:1 gene:Csa_7G396480 transcript:KGN44933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGEKQVMVVGIDDSAHSLYALEWTLDHLLVPTSPVNSPFKLIIVHAKPSASSAVSLAGPGAAEVLPYVDSDLKKIAARVIEKAKELCLARSVHDVLLEVIEGDARNVLCEAVEKHHASMLVVGSHGYGAIKRAVLGSVSDYCAHHAHCTVMIVKKPKTKH >KGN44628 pep chromosome:ASM407v2:7:12587651:12590588:1 gene:Csa_7G352410 transcript:KGN44628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHCLSLHKSFPLISLPSSSSSPKFKPYLSSFRKLPLTSRSSSFLVLASTGASHCEFGSLNTPLDPKSSVGKHLSRVLQNYRHLFHVSVEDELKLLADHRDAALHRMLISAHSDEALLHRRIAQLKEHECQIAVQDVMYMLIFYRFSEIRVNLVPKLSRCVYNGRLEILPCKDWELESIYELEVLGMIKEHITTVIGLRADSSVTDNWAMTNIRQAHLGRVYVASILYGYFLKSAILRHHLEQKLAIPNTHRNGGHPKTFLQFPEMCLYGFRNLLSGRLSNMLSVPHNQVLSSSQETEPEKLKRFLTGFDSEALQRCAKLKSKEALNLIENHSYALLGNEEVGFFENNEVIVTSFSSLKRLVLEAVAFGSFLWDAEEYVDTIYKLKEN >KGN45391 pep chromosome:ASM407v2:7:17857757:17861373:1 gene:Csa_7G447060 transcript:KGN45391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKPLEHNYIGLTEASSMERSPEKNPSSSSSSDSSDSCSHVTNEEKKCATVSFKDTELRLGLPGSQSPERKSGSEISFFGNDFEDKQSNGFSSPCPLNLKNLVSGSKRGFSDAIDGSSAKWVFSGSNGSEVKLGEGAVLFSPKSGKPTIGGLGSNVNTPQSCVTLKAVKEVLPVPQSSNSVQEKKPQVSENGGAPLAKAQVVGWPPIRSFRKNTMTTTNSTKNTDEGEGKSGSSGCLYVKVSMEGAPYLRKVDLKLYSNYSELSLALEKMFSCFTIGQCGTEGLPTKERLSESNSKDFLHGSEYVLTCEDKDGDWMLVGDVPWEMFTESCRRLRIMKGSEAIGLAPRATEKCKNRN >KGN43446 pep chromosome:ASM407v2:7:1962323:1965088:1 gene:Csa_7G037500 transcript:KGN43446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLAVGAASNSKNMGFDENREEGGALDNHGNENEATEKISRQMSETSLSATEDETDDEGSNIELGPQRTLKEELEKDKDDESLRRWKEQLLGAVDLENAGETLEPEVKILSLSIVSPERPDLVLPIPEDGNPKGLWFTLKEGSRYSLKFSFQVTNNIVAGLKYTNTVWKTGVKVDSAKEMLGTFSPQLETYTHVMPEDTTPSGMFARGSYSARSKFLDDDNKCYLEINYTFDIRKDWAAT >KGN43690 pep chromosome:ASM407v2:7:3472975:3481120:1 gene:Csa_7G058640 transcript:KGN43690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYEGGNLKSTSINGVKLYTVASEQRSVASWLNPKKLRALRKDKDYTSRVDLVQDLRFDIATSKIKATPDGEFLIASGIYPPQVKVYELRELSLKFKRHFDSEIIDFQILDDDYSKLAFMCADRSIVLHAKYGKHHSLRIPRMGRNIEFDYWSADLLCAASSPDLYRISLQQGRFLPPLNTESPAINVVSRSKLHGIIACGGVDGAVECFDTRTKLSSIGRIDAVAPAGDKDQEVTALAFDDIGGFQMAVGSSSGKVLIYDLRSSDPIRIKDHMYDSPILDIKWHSTLNSEKPKMITTDKHVVRIWDPDTGEGMTSIEPTLGPINDTCVFKDSGLMLLALNSSQIPSYFLPALGPAPKWCSYLENLTEELEENAQPTIYDDFKFVTKEELGRLNLTNLIGTNLLRAYLHGFFIDYRLYKKAKALVDPFAYDAYIEQRKKEKLDEERANRITVKRKLPKVNRRLANQILEEEEAETEKKEEDVNKTKKASKKKKALSSEIFQDERFTNMFKNENFEIDELSQEYLALHPMASTKQPSLMEEHFQPVLEDSDENLSNSDASVELDSEDEPSNDKHKRARVPKLYEVKDERHAEAFWNRVSLAKEDRLTMEEKIAAIGDNKQDSGILNEVKSGPGGSREISFKPRSSARYKEDDDDEGPRKKNWRSNEFSGPHANKSGSRGQMRPGRGRGGNSRGGNSRGGNSRGRGGNSRGRRGRR >KGN43973 pep chromosome:ASM407v2:7:5209965:5210900:-1 gene:Csa_7G075050 transcript:KGN43973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPCRIPKLSSLKLKPFSSISLQKTPLESPVSTTNLASPLTPHFLEQSARSSQWHFIKQVESSLTPSLISQTLLNLHESPQVVLDFLNHFHHKLSDARTLCLAIVIVARLPSPKPALHLLKQALGGGTTNSIREIFEFLAASRDRLGFKSSIVFDYLIKSCCDMNRADEAFECFYTMKEKGVLPTIETCNSLLSLFLKLNRTEAAWVLYAEMFRLRIKSSVYTFNIMINVLCKEGKLKKAKDFVGHMETSGVKPNIVTYNTIVHGYCSSGRWDVQARKT >KGN43176 pep chromosome:ASM407v2:7:323720:331093:1 gene:Csa_7G006260 transcript:KGN43176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISIIERERCGWRRNFNFVDAPSSGSHFLPPAIASSQISLLPKNNTHSDGSAVSYRNLGRYGHPHSPSASRFTVADRCFFAVVILLFYLRPFFGIHFHLSTFADFIISVIFPFGGISQSTQRLRIRPNGREKKKKGEKGNFRNDGVWRITSISHTSKLLQSISEPHRSTEWMYCFQKRFSERTDDLTCPVNVRSMSTMACSSSRGINAREEKILVLVRLRPLNEKEIMMNEAADWECINGTSILYRNTLREGSTFPSAYTFDRVFRGDCSTKQVYEEGAREIAFSVVSGINSSIFAYGQTSSGKTYTMNGILEYSVADIFDYIRRHEERAFIVKFSAIEIYNEAVRDLLSTDTSPLRLLDDQERGTIVEKVTEEILRDWNHLRELISICEAQRRIGETSLNEKSSRSHQIIKLTIESSAREFLGKDNSTTLAASVSFIDLAGSERAAQALSAGARLKEGCHINRSLLTLGTVIRKLSKGRNGHINYRDSKLTRILQPCLGGNARTAIICTLSPARSHVEQTRNTLLFACCAKEVTTKAQVNVVMSDKALVKHLQKELARLESELRTPAPVSSSSEYAALLKKKDLQIEKMAKEIRELTKQRDLAQSRVEDLLRMVGNDDVSGKDIKTSYSKLQARDGLEYEGSPSETSSVADFRGRDMGGKSFNNPHYYDGDSDDGKRFLDSQSGQSGTTTALAIAEDFDDCKEVQCIEMGESVRDDGLSLLATNNGEFRGMPFSVSNDGSTGHELISTPVTGSREAHQIPNNSTNGQPEQGLHEVRRMNIDSTSSPYRDDACSKVTADMSSSRSLKLARSWSCRANFTNELSPDRGETTPPHGFDKSFPGRPEGFGRKLPQLDFTGGLVRLDSQSSIGSARSIKTSADEDVTRLDAFVAGLKKMTNSEYGKELPDGQVLEDGQELDFLKNTNYVGGETLQNGLVTSDWKEEFQRQQRMIIDLWQTCNVSIVHRTYFFLLFQGDPADSIYMEVEVRRLTFLKQTFYYGNSAMDDGRKVSFSSSTNIAQLCTHNLRA >KGN44261 pep chromosome:ASM407v2:7:8413246:8418226:-1 gene:Csa_7G236290 transcript:KGN44261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGSSSTLNTELSKKTPFLGLSLWVLICLCVGAFIVLILGILSVWVMFRRKTRRSPENFSTSQIPNISKDIKVDRIATQSAHHNHLESLYISINDKSSEKNSEKMIGHLGMSKSSDPDNISQCSSNYHHERVFSSHSGEEGSSGTVRKQSSMSYGGYGGLVTASPLVGLPEISHLGWGHWFTLRDLEFATNRFAADNVLGEGGYGVVYKGRLINGTEVAVKKLLNNLGQAEKEFRVEVEAIGHVRHKNLVRLLGYCIEGVHRMLVYEYVNNGNLEQWLHGAMRQHGTLTWEARMKVLLGTAKALAYLHEAIEPKVVHRDIKSSNILIDDEFNAKVSDFGLAKLLDAGESHITTRVMGTFGYVAPEYANTGLLNEKSDIYSFGVLLLEAITGRDPVDYGRPANEVNLVEWLKVMVGTRRAEEVIDPSLETKPSTRALKRALLIALRCVDPEADKRPKMTQVVRMLEADDYPSREDRRSQKSSTANSEIESSQGEIGSKPGESQSKPVNA >KGN44871 pep chromosome:ASM407v2:7:14911027:14911827:1 gene:Csa_7G392440 transcript:KGN44871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRFKILILSVVLFLTLITDSESQWQPPGVAPRPLCASQITLANYACATLPYAKLPPPPPPSSSLSNNQDSQGSPVHGHHHGHHHRHHHKIPLTPIEENCCKWVQQVDSECVCELLSRLPAFLKRPIHNFSVTIGGSCNATYWCGGMKI >KGN43811 pep chromosome:ASM407v2:7:4245149:4246015:1 gene:Csa_7G069130 transcript:KGN43811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDPKYAYPYPPPQGGYYQGPPVMAPPQYAAPPPRRQPALQLYAAAVSLMNAAVTLPLSFLLDAMREFIAFVAHTHVFINTFFGS >KGN44706 pep chromosome:ASM407v2:7:13454094:13459042:-1 gene:Csa_7G373490 transcript:KGN44706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFSTWLRYIANKLEFSVSLSWKNYKGGRITDREVGHAVWKNLLQGKLTYLHWIKGQEMAPTVGEAGGTLLVRKLPDADSTSVFIGDVVVVKEPEKPENYLVRRLAAVEGHEMLSTDEKDQPFTLEKDQCWLLADNEKLKPKEAYDSRTFGPVSMSDIVGRAIYCLRSAVDHGPVQNSDFSMKRDSPILEIELDVDEMAKNHKA >KGN44305 pep chromosome:ASM407v2:7:8920855:8922266:-1 gene:Csa_7G251640 transcript:KGN44305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGHTRELNHENQKFSSRPVFISQRDITTPITTVPTIILSNPTPSTPFINPTSTSDTYSPAMESPKRSSPPSSGTSWCIASQNASRKVLQIALDYTCGYGGTDCSAIQAGQRCYNPNTIHDHASYAFNSYYQKNPVPNSCNFGGTAVITSTDPSTMACEYTSTSTSSSVLNTTNSKGSTVFGAVPSSPTPSAATCQEINVLQRLLLLTIVSLRLFHINYLYG >KGN44365 pep chromosome:ASM407v2:7:9609566:9620460:-1 gene:Csa_7G270590 transcript:KGN44365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFQVNQREAKARKVSKQDSSVPADFCGKMAAPIKTHGIGKGLMTVWQATNPDAGDFPARTIFGGQKFMDVSPVSTSSSEHSLRQGKRPPRQAKMKGRVGSKVQGKRKPLIKTRRVECNEENSQKQLCYEKCELAWEGIKSQECIDQFAVLVDDEELELKELQARKHIITSCDHFMTNGVNSCSLCKDMLAKFPPNSVKMKQPFGMQPWDSSRDICKKLFKVFNFLCTYATTLGVCSFTLDEFAESFHDKNSFLLGKVHVALLKLLFCDIEAEFSNAYLTPLSKSCKFLALVHSLGSKDFALEVWKKSLNPLTWTEILRQVLVAAGFCSKQDALQKETLSKEMDLVSKYGLQRGTLKGELFIILSEQGNNGIKVSDLIRESKIVDLNVAGTTEELELQICSTLSSDITLFEKISSSAYRLRPSPAIMDVDEFQSDTDFGSVDDIAASASICSSSYDSECDSEKLCAQRFKIQKSKNENPTVSTEIDVSHPGEAWLLGLMEDEYSGLSIEEKLNALVALIDLLSDRSSIRPKGSSTSCGIVDYASNIQHYGSGAKIKSLLSGDTTCHQGHSWLAQDSCVVQVSDMLHWKTILSIRPQQSQSSKRIQEVKRVYFESSEDGHWEVIDTKEALCALLFVLDDRGKREAFLIESLEKRVVFLCEAMSNKSTRNLVSRSFTQSEQSDMDRIREISYSPVSDVDNSLYQAETTGDTLPLSSTIVLEVKRKGEEEKQSWNRLQAFDSWVWNFFYHALYAVRHGRRSYLDSLARCECCHDLYWRDEKHCKVCHITFELDLNLEERYTIHRATCREKGDDNVFPKHKVLSSQLQALKAGVHAIESIMPEGAMIGAWTKSAHKLWIKRLRRTSSMAELMQVVADFVGAINEDWFCNLPEDSSVCILDTLASFASLPQTTSALAFWLVKLDAFFYCYVWKDPKSCPQR >KGN44414 pep chromosome:ASM407v2:7:9999232:9999792:-1 gene:Csa_7G283910 transcript:KGN44414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEEDFSFPTALEPFNRCGIDSPPLWHLSPSASPISYPQQTSCDWNFRDTASDGEISNRFPSASLQRRRRFLSDAAVNCEEEEEAEAAEKMDVLWENFNEELSRSRRSRLMKTAETEENETVKARRRMAEFNLSETSGAMATRRKTMGKTAFVKVLKKLFLMHNNSRRNLQTRSR >KGN44491 pep chromosome:ASM407v2:7:10927195:10927629:-1 gene:Csa_7G313940 transcript:KGN44491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENTWQCCNKYVTVEDYDNCTSVEMVSCDPDVMNGSIYNKDVTSRSIRYQEQSNMFGEQSNVLGHIVGNSTCQTQSNPRKISSSSAARHGDLIIQLFVGSPLDTNVKKGQIFRKSDVKMRLFLSTYIVCGVSILLENWFFVQLK >KGN43863 pep chromosome:ASM407v2:7:4616287:4618722:1 gene:Csa_7G071520 transcript:KGN43863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLRSCFRPLERFLGRWSGDGLLWHSELKPHASGDYSIAVVQANSCLEDQSQVFTSPSATYVGVYDGHGGPEASRFVNKHLFPYMHKFASEQGGLSEDVIKKAFNATEEDFLRLVKRALPAKPQIASVGSCCLVGAISNTKLYVANLGDSRAVLGRSGSGSKITPVVAERLSTDHNVGVDEVRKEVIALHPDDAHIVVYTRGVWRIKGIIQVSRSIGDVYLKKPEFNRDPIFQQFGTPVPLKRPVMTAEPSILTRELKPQDLFLIFASDGLWEQLTDEAAVEIVFKNPRAGIAKRLVSAALHEAAKKREMRYSDLKKIEKGIRRHFHDDITVVVVYLDHNRSSNTNRTKNAIAGYTSAPVDIFSLNSNYEAEEEISDNVLKN >KGN43987 pep chromosome:ASM407v2:7:5310014:5314215:1 gene:Csa_7G075670 transcript:KGN43987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METHFIISSTMERLILNNLNPSLSKPFLLKTSFLHSVFSHQITLRTAQIRSFSVTCKNKASQDKKLKNASNKIVLSEAAPPLAEEESDKSGNAEAEVKPGNGSRSMKLVKRLPKRILGALSNLPLAIGEMFTIAALMALGTVIDQGEAPDFYFQKYPEDNPLWGFFNWRWILTLGFDHMYSSTIFLGMLALLGISLMACTYTTQIPLVKVARRWNFLQSGETIRKLECSDILPRASVQDLGVVLMGAGYEVFIKGPTLYAFKGLAGRFAPIGVHLAMLLIMAGATLSATGSFRGSVTVPQGLNFVVGDVLNPSGFLAKPTEAFNTEVHVNKFYMNYYDSGEIKQFYSDLSLFDLNGKEVMRKTISVNNPLRYGGFTIYQTDWGFSALQILKNDEGPFNLAVAPLKINGDKKLYGTFLPVGDVNSPDVKGISMLARDLQSIVLYDQEGKFVGVRRPSSRLPIDINGIKIEIVDAIGSTGLELKTDPGVPIVYAGFGALMLTTCVSYLSHSQVWAIQDGTVVIVGGKTNRAKVEFPEEMDRLLDKVPEIIEPSYNKLKNNDA >KGN43331 pep chromosome:ASM407v2:7:1291269:1292001:1 gene:Csa_7G024050 transcript:KGN43331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGWKLMNQLNSVVITWYIFVLISPYSQKGTDATTNLDEEGNCVNSANPNGRKARRRRKKGIPLPESGINPRELCSRSTGKARDLGSPVRPVSVPLRRRGRKFCQLLTSKFQYTSILIVSLYQFLSPSKLELAIFVTLGQNDAHRPPLRPVAGVA >KGN44797 pep chromosome:ASM407v2:7:14352495:14354453:1 gene:Csa_7G388300 transcript:KGN44797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRNRSRAVSGKQALMAENQVSRFSSYSYTKPLENSLFGSPKYKAFSPTKRGIDDHNSVISPTSVLDSNNKPFFSLQNPFIKLPNPKIIAKTTRVSPEIISGPKKPIGLALIEDKNDDSKPTKSVIFGAKLRVQIPPPGSAVDGGGNHNNNGSLMTVKEMEVCEEYTCVKRHGPNAKITHIFDNFVVKTMVDDYYHYGSSRNFSTADWRKKKKKMNNNNNNSFLRFCYTWKNDLQLTNDIYIYRGEKAFCSHECRNQEMLLDEEDEDED >KGN45402 pep chromosome:ASM407v2:7:17900953:17910841:1 gene:Csa_7G447170 transcript:KGN45402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKLKVGADTVPSDPSNAERWLSTLNNHVGRQVWHFHPELDSPEDLQQIQQARQHFYDHRFEKKHSSDILMRMQFAKENSSFVNLPQIKVKDKEDVVEEAVTQTLRRAMNFYSTIQADDGHWPGDYGGPMFLLPGLVITLSITGALNAVLSTEHQREICRYLYNHQNRDGGWGLHIEGPSTMFGSVLNYVTLRLLGEEAEDGQGGVDNARKWILDHGGATAITSWGKMWLSVLGVYEWAGNNPLPPELWLLPYLLPCHPGRMWCHCRMVFNTIRMEFLGYNGSQLWDTAFAVQAIMSTKLVEEYGTTIRKAHKYMKDSQAVLLLSKLPSEIVGKSVDEERLYDAVNVILSLQNTDGGFATYELTRSYRWLELMNPAETFGDIVIDYPYVECTSAAIQALAAFRKLYPGHRSNEISNCIAKAADFIESIQATDGSWYGSWGVCFTYGGWFGIKGLVAAGRRYENSSSLRKACDFLLSKELPAGGWGESYLSCQDKVYTNIKDDRSHLVNTAWAMLSLIDAGQDIMGVFNKNCMISYSAYRNIFPIWALGAYRCRVLQAS >KGN43872 pep chromosome:ASM407v2:7:4659570:4663452:1 gene:Csa_7G071610 transcript:KGN43872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEPEEKELKAAGAQLLPDGRRGLRIHGWEIETHKRSILTSSNFEQWEHKLQTSHLPEMVFGDSLLALKHIETGVQIYFNAFDALTGWKKEALPPVEVPAAAKWKFRCKPSQQVILDYDYTFTTPYCGSERIEADTEKHGREQTCEESNGLCWEDCEEKIDLVSLSLKEPILFYDEVILYEDELADNGISLLTVKVRVMPSGWFLLLRFWLRVDGVLMRLRDTRMHCIFSNSENPIILRESCWRETTFQSLAEKGYASDSAAYNDPSVICDRLQIVMQKTQKLRVSGKL >KGN44217 pep chromosome:ASM407v2:7:8075532:8077863:1 gene:Csa_7G230920 transcript:KGN44217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFKANSVASASSIVAISSKAKLFSSLFTHSPTIPSSNPQISSANHPKSLHALSERISLQHGLPMFIHNCKKGNITSTQALQFFDLMMRSIISFNLLLGALAKIKHYSQVFSLYKKMHLAGLSPNFFTLNILINCLCNVNRVREGLSAMAGIMRRGYIPDVVTYTSLIKGLCMEHRISEATRLFIRMQKLGCWPNVVTYGTLIKGLCRTGNINLALKLHQEMLNGTSPYAINCKPNIFSYNIIIDELCKIGKWKEAKRLFNEMVDQGVRPDVVTFSALIDTLCKEGMVIEAKKFLETMMLRGIVPDLFTFTSLIEGFCLVGDLDSAKELFLSMPSKGYEPDVISYTVLIYGYCKTFNVEEAMKLYNEMLRVGKWPDMKTFCVLLKGLFLAGKVGDAKKLFGVVKPHAVPKNLYICSVFLDGLCKNGCLFEAMELFNELKSYNMKLDIESFNCLIDGLCKARKLETAWELFEKLSQEGLQPDVVTYCIMINGFCKNGQVDNANILFQMMEENGCTPNLLTYSALLHGFYKNNKLEEVVKLLHKMIQKDVSLAASIYTIVEDMVSKDEKCREWLDILQRKAQPPAGRSTAVDNIAHGRETAGKMKDVRKCGNGQVPNSNSGQKGSLSFDASSNAYR >KGN43562 pep chromosome:ASM407v2:7:2678006:2678335:-1 gene:Csa_7G045510 transcript:KGN43562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLICSQAWDLWMKDEGLDLMEQTLSGNCKRDEYLKCLNVGLLCVQEDPWDRPTMLNVVFMLGSETATLPSPKPPAFVVRRCPSSRASSSTKPETFSHNELTVTLQDGR >KGN45414 pep chromosome:ASM407v2:7:17993382:18002825:1 gene:Csa_7G447760 transcript:KGN45414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSLQLPTGLRSSSPLFSPIPQGQARRQCLPLPYSSSSSLTGFPDMSTQPLESIAAEPSAFNQWEKNNGNMADDDYQDKDISRIPVPRHKHIPVSKAQLLDAIVSTLFNSNHADDDDHDAQHFQLISSCLDSILHAEHKKILEEMRSDYSLTQSLENEAAPGEVSTNTDGQLVSNETEESTTAKDAIAGFESMEDLVQKIGVSSAMPFGYTLDFRNLLSSPKGGINSYINGESSVAVATRFQRSFMKLLKNAQFEELSAMDLVLTSALNTDYLLTLPIYVDWKRASESNAIIFRRGYATERQRGLLIVDKLDYIQSRLLRGLFSLISKPLRRLGTWIAEAAHGAPQMQEIQEWAKRLRLWVRDLPISQQLSRYDEEESDDLLRDNQISDKDLPIWLAAQSAVSRYEGILSSTGPRGRLLRRLLTGIGVLPPMPEQPFKLTDDSKAFEPYLRPIFISRISLSDIWRPAMKNCGNNIWKQLKTSISILLSQSVLQEPAFEELILLYTKNGRNSGEKTEVIFPDKKLSFRIIDALRLDAATILGLLAFFINYKFENVLSSPSAIVLDVVAFSALVIYITRVVLGYKQTWDRYQLLVNRTLYEKTIASGFGSVHFLLDASEQQQYKEAILAYAILLKEEKGEVTCGKSVGDKCEKFLYDVLKVKVEMVIDNAIETLSRLGLVTLTEVEAEDGRTISVQAVGCGKAYEALKQHWNNLLQLYDVKY >KGN43295 pep chromosome:ASM407v2:7:1089346:1092873:-1 gene:Csa_7G018770 transcript:KGN43295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQTSSTNLWPAFTVALVFSSFINIAFADSPYRFFDWNVTYGDIYPLGVRQQGILINGQFPGPDIYAVTNDNIYINVHNSLPEPFLLSWNGVQQRKNSYQDGVYGTTCPIPPGQNFTYRIQVKDQIGSYFYFPSLAFHKAAGGFGSIRVLSRPLIPVPFPEPAADYTLLIGDWYTANHTTLKTILDRGHKLPFPNGIVINGRGPNATTFTVEKEVEWSINQARSIRTNLTASGPRPNPQGSYHYGLINISRTIKLESSAALVSRKQRYAVNSVSFIPADTPLKLADYFGIDGVFSVGSVPDVPSRKPMYLDTSVMGADYRAFIEIVFQNHENIVQSWHIDGYSFWVVGMDGGVWTPASREQYNLRDAVSRCTTQVYPKSWTAIYMSLDNVGMWNIRSEFWARQYLGQQFYLRVYTPVKSFRDEYNVPDNALLCGKAEGKSTASP >KGN45050 pep chromosome:ASM407v2:7:15975009:15976144:1 gene:Csa_7G414470 transcript:KGN45050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKPRLHGKVALITGAASGIGEETARVFAANGAFVVVADIDDELGQKVVVSIGINHASFHHCDVRDEKQVEKTVNYTVEKHGRLDILFSNAGIIGPRTSSILTLDMSEFDNIMATNVRGIVATIKHAGQVMIERKIRGSIICMASVASVVAGAPLAYTSSKHAVLGVVRSSCLELGVYGIRVNCVSPYGVATPLTCRGLNMQPSEAEEIYSSKASLKGVVLKARHVAEAVVFLASDESSYISGQNLVVDGGFTAFKSI >KGN44699 pep chromosome:ASM407v2:7:13369299:13369589:1 gene:Csa_7G372930 transcript:KGN44699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFHPFKSSHASPFPSSSRSPFSFFGKAKRFNMRRGKNSRIVFALIAGLLLLVGIATAMARPIHDSAAPLRRPPIPPSGRNPCSHVPLPGDGRHCL >KGN44980 pep chromosome:ASM407v2:7:15521090:15525165:-1 gene:Csa_7G405860 transcript:KGN44980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDSHAPTPRGLLCNAGAGAAAGVLAATFVCPLDVIKTRFQVHGLPNIGKGSLIVGSLQQIFHKEGLRGMYRGLAPTVLALLPNWAVYFTIYGQLKTFLASDHEHCQLSIGANMMAASGAGAATTIATNPLWVVKTRLQTQGMKSGVLPYRNTVSALKRIASEEGIRGLYSGLVPALAGVSHVAIQFPTYEKIKSYLARRDNTTTDKLTARDVAVASSVSKIFASTLTYPHEVVRSRLQEQGFHSEKRYSGVADCVKKVFQQDGLPGFYRGCATNLLRTTPAAVITFTSFEMIHRFLANLFPPDPHPHTL >KGN45317 pep chromosome:ASM407v2:7:17444035:17451323:1 gene:Csa_7G433950 transcript:KGN45317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVFSRSMHREDDETALKWAALERLPTYRRLRTSLLTSSCGEANEVEVDKIGVQERKSLMEKLVSDTEVDNEKFLLKLKKRIDRVGIDIPTIEVRFEHLRVEAEAYIGQRALPTIFNFFANLMEGFLANLRILSSKKKQLTILHDVSGVIKPSRMTLLLGPPDSGKTTLLLALAGRLASDLKVSGKVSYNGYSLNEFVPQRTAAYVSQNDVHLPEMTVREILAFSARYQGVGSRHELLEELIRREKEANILPDPDIDVFMKAASLEGQKRSLITDYVLKLLGLETCADTRVGDEMLKGISGGQRKRLTTGEIICGSANVLFMDDISTGLDSSTTFQVVNSIKEYIHIFNGTAVLSLLQPAPETFKLFDDIILLSEGQTVYQGPCQQVLEFFEFMGFKCPERKGVADYLQEVTSRKDQQQYWAEKNKPYTYISVKQFAEAFKSFHVGRKLEEELAVPFDKSKCHPAVLATKKYGMGYKQLWKACFDREVLLMKRNSFVHIFKLAQISLMSVISMSLFFRTKMSRDSINDGQIYMGALFNALVICMFNGMSELPLTIGKLPVFYKQRDLLFFPAWAYALPASILKIPVSFVEVALWVFISYYVTGFDPSVERFFKQYLVLVFANQLASALFRLIAAVSRSLVVSSTFGSFVLLILYGNDGYILSRHNMKKWWKWAYWVSPMMYGQNSLAVNEFRGKSWDQVVPTGETLGVLILKVHGFFQSDYWYWIGVGAMVGFILLFNFGYVLALTYLNPLKKHQTAKPQVSESNEKEFEIRNTPSRKNIAANESESMRVSTQRWNEATSKATCNKRKEVVLPFKQYVLTFDEIVYSVDMPQEMKKQGIIEDKLVLLKGVSGAFKPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGIIKVSGYTKKQETFTRISGYCEQNDIHSPHVTVYESLLYSAWLRLGSDVSKETRKMFVEEIMELVELDTLRQAIVGLPGVNGLSTEQRKRLTIAVELVANPSIIFLDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFESFDELLLLKQGGESIYVGPLGHHSCHLIKYFEGIEGTRRIKEGQNPATWMLEVTSSTHEMALRVDFADLFKKSELYRRNKEQIKELSQPPPASNDIHFQTKYSQPSWNQFLACLWKQHLSYWRNPSYIASRFLFTLGSSLILGTMFWNLGSKRTTYINMFNSVGAMYTASLFLGIQNAGAIQPVVSIERTVYYRERAAGLYSAFPYAFAQVIIELPYTFLQSLMYCNIVYAMMAFEWSFAKVLWFFFFMYFTFLYFTYYGMMGIAATPSYHFSLIISTAFYGMWNLFCGFLIPRTRIPVWWRWFYWTCPLSWTLYGLIASQFGDIEEKLDTGETVKEFIREFFGFRHDFLGVVAAVIVGLAVFFALTFAISIKIFNFQRR >KGN44790 pep chromosome:ASM407v2:7:14304468:14315122:-1 gene:Csa_7G387740 transcript:KGN44790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQTLHIQRFQLPPSPSDFDSLIESRNVPAILVGCVKDWRALSEWNPYDGGLDNLQECAGSCIVEAMLTRTAPVFYGDLRSHDRVPIPFSTFIQICKQRLLEKSQGNVVSSELNSNRMTGPDLEKECLPFEDDPQKLYLAQVPILDVINEERAQLESLRKDIQTPAFLEKKKLASINLWMNSALSRSSTHYDPHHNVLCIVSGRKQVILWPPSATPSLYPMHIYGEASNHSSVSLEKPDYSLYPRAKYSKEFSQTVVLQAGDALFIPEGWFHQVDSDDLTIAVNFWWQSHMMSSMPDHMDSYYLRRILRRLMDREMNEVLRVPCSLAEMDETKSHEPDISNIKGMDQGVQCLSQAFGGEDLKEKELGEETFSHELELRSARALHGLITLVHDHVSVSDQIGVLQSSSTNGSADGEESMKFTSLNSLENDQVAITIWNLEPCILQKVLLTMANNFPRTLEALILHLLSPVGAEVLTRKFDQMDQQNTEEDQKRFYEVFYSSFDDQFAVMDAILNRKESFARQNIILSTQVSGNSN >KGN45429 pep chromosome:ASM407v2:7:18102830:18109470:1 gene:Csa_7G447910 transcript:KGN45429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVKTARSRPTPVKETGAKFEEGINFFRSDKFDADSYVQTRCSLNEKEIKQLCTYLWDLKKASAEEMRKSVYANYAAFIRTSKEISDLEVELSSIRNLLSTQAALIHGLAEGVHVDSVSSSISESTTPNGFLGSGDGYSSDIEKWLVEYPDTLDVLLAERRVDEALATLDEGDRIATEAKEKKTLTPAAIISLQSATAERRQRLADQLAEAACQPSTRGVELRAAISALKKLGDGQRAHSLLLKAHFQRYQYNMQSLRPSSTSYGGAYTAALSQLVFSAIAQASSDSLAIFGRELAYSSELVMWATKQTEAFALLVKRHALASSAAAGGLRAAAECVQIALGHCSLLEGRGLALCPVLLKLFRPSVEQALEANLKRIEESTAALAAADDWVLTYAPATTRQSGRTSSTIFSNAAFQHKLTSSAHRFNFMVQDFFEDVGPLLSMQLGSQTLEGLFQVFDSYINMLIKALPGMEEEANFDGAGSKIVRLAETDAQQIALLANASLLADELLPRAAMKLSPPTQTAYKDDPRRRLSDKQNRHPEQREWKRRLVGSVDRLKDTFCRQHALDLIFTEDGDSHLTAEMYLNMGGNMDDVEWFPSLIFQELFVKLSRIASMAADMFVGRERFATLLLMRLTETVILWLSGDQSFWDDIEEGPRPLGPLGLQQFYLDMKFVMCFAAQGRYLSRNLHRVVNEIISKAMAAFATTGIDPDSVLPEDEWFNDVCQDAIERLSGRPKAINGDRDPNSPTASVSAQSISSVRSHGSS >KGN44195 pep chromosome:ASM407v2:7:7867659:7868159:1 gene:Csa_7G219800 transcript:KGN44195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSPSELMPLNCGSSATLPRSSFSPSVFPSFRVRNYSSRLEQTDAGQKFKTTFCFYIRKRPALRRFPLTFIGLEEDGATVFVPLDGADDGEGNFLGNICSQYGVGTLAASVEMEVRARTSVKKRMNLKLKESNMVI >KGN43178 pep chromosome:ASM407v2:7:333480:334151:-1 gene:Csa_7G006280 transcript:KGN43178 gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S31, mitochondrial MAMAQWFGAVARGVMAAERRSPSLTSSMAVEGLVPILCGRGDKRTKRGKRFKGSYGNARPKKEKKIQRIKDKIEVPSSTPWPLPFKLI >KGN43463 pep chromosome:ASM407v2:7:2063391:2065086:-1 gene:Csa_7G037660 transcript:KGN43463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKNKGKGGKNRKRGKNEADDEKRELVFKEDGQEYAQVLRMLGNGRCEAMCIDGTKRLCHIRGKMHKKVWIAAGDIILVGLRDYQDDKADVILKYMPDEARLLKAYGELPENTRLNEGIVGGMDDDDEGGGDAYIEFEDEDIDKI >KGN44833 pep chromosome:ASM407v2:7:14608763:14610494:1 gene:Csa_7G390120 transcript:KGN44833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLILIPPTKHVSITNSSVFSFPFISRKRAIKFPQFCPQIIHCNARLHAQTTSRSYIRNGPPYGEEDDDPELEVESLRVPDEWSVPSKALEESEWLRVTLHKWLDEEYCPEETNVDISKVAAKSYYNSLLKKTTDLGEILLNMARELESISYKESFHGAFSSANAAVNLIAQRIELS >KGN45322 pep chromosome:ASM407v2:7:17486577:17488190:-1 gene:Csa_7G435470 transcript:KGN45322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIPKMIEAYKTDPVHALTNKNLDSFEQIPDSHDWVQPNSFPSFTDQSNISDLSSDTDSVPLIDLSLPNAPKLIGNALRTWGVFQAINHGVPISVLNSMESLLNDLFDLPTPQKLKAARSRDGVNGYGRFRISTFFPKSMWSEGFTVSGSPLEHFQILWPHDCTKYCDIIEEYDREMKGLCGRVVWLALGELGITREDVNWAGPNGDFPTSSGVMNLNSYPVCPDPDRAMGIGVHTDSCFLTLLYQNNASGLQVLREGKRWVTVDPVPGALVVQVADLLQILTNGLYSSPFHQAVVNRDRKRLSVAYFFGPPVHAEISPIKKLVNPTQPLLYPTVTWAEYLCKKAELFNDTLPSIRLSTPPTESSDVNDHSQVKIKEKIQIFPILANCININVTKAN >KGN43588 pep chromosome:ASM407v2:7:2827452:2832526:-1 gene:Csa_7G047240 transcript:KGN43588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRYDSNPFDEDVNPFSNPGVGSVPPANSRLSPLPPETYDRGATIDIPLDNGKDLKAKEKELQAKEAELKKREQDLKRREDAISRAGIVIEEKNWPPFFPLIHHDIANEIPIHLQNIQYVAFTTLLGLVVCLSWNIVAVTTAWIKGEGPTIWFLAIIYFISGVPGAYVGWYRPLYRATRTDSALKFGWFFLVYLIHIGFCVFSAVAPPIIFKGKSLTGILPAIDLLSSNALVGIFYFIGFAFFCIESLISIWVIQQVYMYFRGSGKAAEMKREAAMGTLRAAF >KGN45129 pep chromosome:ASM407v2:7:16455464:16458700:-1 gene:Csa_7G428170 transcript:KGN45129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVNVFFKDARGVFKYDEIGREILGIALPAALAVAADPIASLIDTAFVGHIGPVELAAVGVSIAIFNQASRITIFPLVSITTSFVAEEDTIGKAAKKAAKVDAEKCLADVNSVKVCVPEDHENEEKLAAKQDHANLNHEPTRSNISIGKDGVKENKESSSTENGTKEPIPDNGALQDLKKDLSTKVLESTSAKSKRKEKKQIASASTALIFGTILGLMQAIFLIFGAKSLLNLMGVKDNSPMFAPAHKYLTLRSLGAPAVLLSLAMQGIFRGFKDTRTPLYVIVAGYTVNIILDPILIFVCHWGVKGAAAAHVLSQYFIVTILFWRLVQKVNLMPPSLKDLQFGRFLKNGGLLLARVVAVTFCAILASAFAEKDYEKTTATATRVLQMSFILGVGLAIIVGIGMFFGAGIFSRDIHVQHLIHLAIPFVAATQPINSLAFVFDGVNFGASDFAYSAYSLVLVAIASVVSLFLLSKSNGFIGIWIALTIYMLLRAFVGVWRMSTGTGPWRYLRTQRLP >KGN44210 pep chromosome:ASM407v2:7:8009057:8013120:-1 gene:Csa_7G224380 transcript:KGN44210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYKDVDTSEQPAIEKHVDDLSDQVDVHPPEQREEHGNEKDGGGQRRVRNRVRGKGRGRSQYHHNHNNNHSHGNHLGTPPPSSSTTIEQAGTMKQQPPGPRMPDGTRGFSMGRGKPVTVNMT >KGN44424 pep chromosome:ASM407v2:7:10062280:10066148:-1 gene:Csa_7G290470 transcript:KGN44424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGREEETPDRNELKVPVFTVLKNGATLKNIFIVNNVADREKEEVITLGRHPDCNIMLTHPSISRFHLQIHSNPSSQKIFVVDLSSVHGTWVSGKRIETGDEVEMKEGDTLRVGGSSRVYRLHWVPLSCAYDFEGPKEMKEHEVAIVEEKDVKDCEKEISLLDENKERAVDSVFDSIEPLYPDENWNTEMMKEVPLAPPLSEVKEMAVPLVNRVESVSDLRIECEQVETSLLSKPFGNELKGLEMSLQPPSLPLSAENLSFNVENIIMSSFFGNDNKISSSSMFEWNDTSGIWNIPMENISSNSLYGRQLCHSKTESPQQPSLHLSAENLSFNVENIIMSSFFDGESKSSSCNMPALENKSNILSVVDDTEATPDYATFNILCQQVTESFLDCLLEPKNDSPVKSTSEVTSNSPMTHNVECCVEETYNGRLEMLEPSKSSIPGEDNEHREELSESSFISCALEYVYSSLPDEEVPPEIAVEKECQTPHENLDLTLPIRSESASAMGGNISLRKGKPTSFPQIETGVSQTNRAGTLLTDEFNHEIVGEKSGTNTLAHLDDEEEEIFTPDKENFTPNTLLMKSLKKKASIEDSGNCFRSSKSQTSIFKSRHKIKLEEELSEESDKENRTPRVLQEQKLSKQFCQRRLEQENTMTKKGGGGRAPFQSLQSNVAGKKRLEAALVKKSARKSNTSVCTGAVKNKFTVEEKKCWTMVVDTDSLLSKESMKSLQLLQGLQGTQLIVPRIVIRELDSLRRHGSLFRKRTEAASILQWIEDCMVQTRWWIHVQSSEEGVAPVTPPATPQSPYTEGRSQSLFWRTSSIQSIKQRSFMEALSPTPEDHILDCALYFRRGVKHGQELVLISDDVTLKIKSMAEGLICETAKEFRESLVNPFSERFLWAESSPRGLTWSCPDDIVLRERYDRCWSRSSKGAEGAKGLKLILLHNSHYGMFR >KGN43618 pep chromosome:ASM407v2:7:2980907:2982355:1 gene:Csa_7G048020 transcript:KGN43618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSMKGEVLLNLPAQKAWQMYRDNDVVSKINPELLSRAEYVQGDGGPGTLRLFKLGPAVSSYVEESVEKIEKVETGRSVSYDVVGGELRKMYDPYKVTFTFTPVEGKEKEMCTAQWKAEYEPLTPAIPPPDKARDAALQFLQSFDKFQLSY >KGN44206 pep chromosome:ASM407v2:7:7939955:7941337:1 gene:Csa_7G221870 transcript:KGN44206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLVEEAMKLFNEMLHVGMWPDVKTSGVLLKALFLAGKVDDAKELFRVIKPYAMPKDLCICCIFLDGLCKNGYIFEAMKLFNELESYNMKLDIETFGCLIDGLCKAGKLETAWELFEKLYEEGIQPDAMAYSSMIHGFCKKGQVDKANILFQKMEENGCSPDLITYSILMRGFYESNKLEKVVQLLHRMIEKDVWPDDGIYAIVEDMVCKDEKYKEWLDLLQRFFVQKHRNGYL >KGN43201 pep chromosome:ASM407v2:7:496558:496842:-1 gene:Csa_7G008970 transcript:KGN43201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYGFTSNKSLSVFQLSKEISKFCIPLCLIFQIFKHITTWVSVCLELLLLSKVFSDLHQQEMENLQKLLMFLRAILPFMSVRNKRSVLSSHYLT >KGN44632 pep chromosome:ASM407v2:7:12619538:12624443:1 gene:Csa_7G352440 transcript:KGN44632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLRRRRLLGLCSGKSSFVAPLPKFSDHETATENPSPSNILITLHPKSSDDVNIKDRSSIVNMESSFRNALEWTSLNEQSNQPISGHPLKHRKRHRRKNSHNQELSIMRGVYFKNMKWQAAIKVDKKQIHLGTFGSQEEAAHLYDRAAFVCGREPNFELSEEEKQELQKFKWEDFLAMTRHAITNKKHKRLSVSAGSSPKKLGASSLQIDNTELKHRFNEAPLPEDINFT >KGN44027 pep chromosome:ASM407v2:7:5856436:5857277:-1 gene:Csa_7G113320 transcript:KGN44027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIQKSKHLVVFTGAGISTSCGIPDFRGPKGIWTLQREGKALPEASLPFHRAMPSITHMALVELEKAGILKFIISQKGDVFFFRWRTVLSWLSINLMKCGRKMEDFWMATKRLEAECYLIFALYVQ >KGN44636 pep chromosome:ASM407v2:7:12643826:12656438:-1 gene:Csa_7G352490 transcript:KGN44636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGEKHQPELEPEMTHEEEEAEDEEERNVLLRKTSRQHAQSSISSSTDSDEIFSGNSQGLQFIIRDQQHQQQNQGTGYSNGSSRLEIDGDHPVYEVIPSDEIEVVDTRKTDAQNGTTIKFQRDHSRSLAFANPPSNGAIENQASYYTSLDNGTSGYKRVELRTENGTEVTDLYLERIYEKPSSHNFYCPNCQACITKVIIRDREWVNNTVSAPAPTQVDKFRCTSCLSFLVPIGSWLFPRLVSPDPEEEVSSRPGNNVENIEYREREIFQVQETRDSQESQVDRAPVPNQSVDNAVADKNEVGPDPSVGNAVADQTRDIHAVSDSKPTHPFLNPTVAEERVLPVKGVESKQGIQADSINKTQVPDQLVEFDMWTNDNTLETKVDSPVDSSILDGAKDTKKGITVENIVVGIPYTSHESNGSVQDDNQTSRVNKVLVQNQSNGFAVLSKSETDTRADSTPGHTESATDTFDEKKGIDVENVVVGIPYPSLESKGGLLDRFRLPAFFNKTPVPDQSAAVAKTEIPKTPEFVEATEPDSSPFSPSLEAPTTVERATDTAVGSREVEAGPVAISIDDSLDEQIEPEPSRYNWWEIVKCIVYGGLAESIASLGIVTSAASGNTGTRNIVVLSLANLISGLFILGHNLTGLKSEQFRTSNETDDDDRVDRYEVVLGNRENYILHFVLAIFSFVFFGLVPPLVYGFSFTKSNDKDLKLAAVAGASLLCIILLALGKAYIQRPNRWDVYIKTVASYIVIAAGAGGFSYLAGSLIDKCIKKYGWFEEENPASFNLGLPLPEMSLVKAAWGSS >KGN43427 pep chromosome:ASM407v2:7:1861875:1863857:-1 gene:Csa_7G033350 transcript:KGN43427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSTGDGDQLENEVDSDQLDHENSSDSQPQASQDDPGGTNASKSDHKCTGASSNTLEEAVKQPEVTIALVDRGEISSIVTEKVTHKPITAEQNPLSVLKVCITSSIREKVSEDGFNWRKYGQKLVKGNVFVRSYYRCTHPTCMVKKQLERTHDGKITDTVYFGQHDHPKPQPHIPVPVGVVTMVEEKLGEHASGNSQDKTSIALSQTPQQTELADMRQPPSVIASDNVKDEVSKRSRTNDEVDSDDTPDLKREKKRCNIDVTTVADKSTVESRVVVQTPSEVDIVNDGYRWRKYGQKFVKGNPNPRSYYRCSSPGCPVKKHVERASHDPKIVLTTYEGQHDHVVPPIRTVTLNSVGSTTAQSDETKPKPVSTVVHASKDPRSDSSSEGKLIEENGKLNATETSDDIILDGVVVNPSPGVASEQNKQLKVAIES >KGN44723 pep chromosome:ASM407v2:7:13601282:13607148:1 gene:Csa_7G374660 transcript:KGN44723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYAQLVIGPAGSGKSTYCSSLYQHCETVGRTMHVVNLDPAAENFDYPVAMDIRELISLEDVMEELGLGPNGGLLYCMEHLEENLDDWLTEELNNYMDDDYLVFDCPGQIELFSHVPVLKNFVEHLKRKNFNVCAVYLLDSQFMTDITKFISGCMASLSAMVQLELPHINILSKMDLVTKKRDIEDFLNPEPQVLLSELNQRMAPQFSKLNKALIELVDEYNMVSFVPLDLRKESSIRYVLAQIDNCIQYGEDADVKIKDFDPDEDDD >KGN43907 pep chromosome:ASM407v2:7:4856619:4859133:-1 gene:Csa_7G073430 transcript:KGN43907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAELSSIAVALSPCAPACNFLIFGLTHESLLWRALNHAGVTVFLDENEFQVSKFEQSNPGTEAYDVQYTTKVSEMKELLFLAKSQADNECKPVQNLLFSECKLGINDLPNHIYQVPWDVILVDGPRGYNAGSPGRMSAIFTAGVLARSKCGKGNSKTHVFVHEMGREVERIYSEEFLCRENLAESVDSLGHFVVEKYSIGSEYSANFVYSCVGGRD >KGN43403 pep chromosome:ASM407v2:7:1742984:1745352:-1 gene:Csa_7G031640 transcript:KGN43403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGFLGTLSSLSATKLGSLAIEAALKRAKVDPALVQEVIFGNVLSANLGQAPARQAALGAGIPNSVVCTTVNKVCASGMKATMLAAQSIQLGLNDVVVSGGMESMSNVPKYLAEARKGSRLGHDSLVDGMLKDGLWDVYSNSGMGTCAELCAEKYQITREAQDDYAVQSFERGIAAKDSNAFEWEIVPVEVSGGRGKPSTVVSSDEGLGKFDPAKLRKLRPSFKDNGGTVTAGNASSISDGAAAIVLVSGKKALELGLEVIGKIKGYADAAHEPEFFTTAPALAVPKAISHAGLEASQIDFYEINEAFAVVALANQKLLGISPDKVNVHGGAVSLGHPLGCSGARILVTLLGLVRVTKRR >KGN44967 pep chromosome:ASM407v2:7:15437145:15437458:1 gene:Csa_7G398770 transcript:KGN44967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIPSSSAIDLLLLEKTLLGLFMAIVLSIAISKLHGKRFKLSPGPLPVSIFGNWLQVGDDLNHRNLIDLAKKFEEPRRVSSPDLAKVVLHTHGV >KGN44885 pep chromosome:ASM407v2:7:15000213:15000554:-1 gene:Csa_7G394050 transcript:KGN44885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAIIKYLVSIALVATLLLLSKPVSTFVVDHEEISNSNNIMLLRKSLQVTDGHQIANGYQNSLKDEDTKKDEHKEPPKKAHCPNKKSLANRGGTMLFGVGSLLCFSIVYGLFI >KGN43718 pep chromosome:ASM407v2:7:3657342:3670724:1 gene:Csa_7G062840 transcript:KGN43718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRGSYGLAPRLDIEQLLVEAKHRWLRPAEICEILRNYTKFRIASEPPDRPSSGSLFLFDRKVLRYFRKDGHKWRKKKDGKTVREAHEKLKVGSIDVLHCYYAHGEENENFQRRSYWMLEEHLMHIVFVHYLEVKGNRTNVGAVVETDEVSTSSQKSRSSSYSSSHNQAASENADSPSPTSTLTSFCEDADNEDTYQATSRFHSFPTSPKMGNGLLVNKPDAGQSNFYFPHSSSNNAEAWSTVPAVDYVTQVQKDGLGGNGGDTSMMGSQKTLSSASWEEILHQCTTGFQTVPSHVLTSSIEPLPSGIVFGQENSTPDKLLTSNSAIKEDFGSALAMTSNWQVPFEDNTLSFSKEHVDHFPDLYSVCDIDSRLTAQKSHDATFGRGHEMFCAHPGKQNEEILPNLELQFKEGESYSTARLSSDNDMSKEGTISYSLTLKQSLMDGEESLKKVDSFSRWVSKELGEVDDLHMHPSSGLTWTTVECGDMVDDSSLSPSISEDQLFSITAFSPKWTVADLDTEVVVIGRFMGNNNGTNCHWSCMFGEVEVPAEVLADGILCCHAPPHSVGRVPFYVTCSNRVACSEVREFDYLAGSAQDVNVTDIYNAGATEELRMHLRFERLLSLEPSDPSNDLSESALEKQNLIRELITIKEEDDTYGEDPNPQNDQIQHQSKEFLFVKLMKEKLYSWLIHKVIEGGKGPNILDSEGQGVIHLAAALGYDWAIRPIVAAGVSINFRDINGWTALHWAALCGRELTVGTLITLDASPGLMSDPSPEVPLGIVPADLASINGHKGISGFLAEAALTSYVSSISMAETVQDGVSDASRTKAVQTVSERRATPVNDGFMPGDLSLKDSLTAVCNATQAAGRIYQILRVQSFQRKKLSECGTDEFGSSDNSILSFMKARARKSGLSNNPAHAAAVQIQKKFRGWRMRKEFLLIRQRIVKIQAHVRGHQVRKQYKKIVWSVGMIDKIILRWRRKGSGLRGFRSDAVPKDPPALMAPPTKEDDYDFLKEGRRQTEERFQKALTRVKSMAQYPEGRDQYRRLLTVVQKCRETKGSAMVVTTTSEEVIEGDDMIDIDTLLDDDALMSMTFD >KGN43542 pep chromosome:ASM407v2:7:2587695:2590577:1 gene:Csa_7G044820 transcript:KGN43542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPKKKQKLTTAFHNKLTHLFHRMPLRRLLLTPTLSTAKTLLLLLSAAFIVYTLFFNSSSHSPSLLCSSSTLSPTTRRHIVFAIASSSNSWSRRKPYVRLWYDRNSTRAFAFVDRIAPDFASADPSVPPVIVSNDTSRFPYTFRGGLRSAIRVARVVKEIVERNEQDVRWYVFGDDDTLFFVENLVNTLGKYDHERWYYIGSNSESYGQNLKNSFDMAFGGGGFAISHSLARVLAGVLDSCLTRYGHLYGSDARIWSCLVELGVGLTHEPGFHQVDMRGNLLGLLSAHALSPIVSLHHLDAMDPIFPNMNNTQALYHLFEAVNVDPGRVFQQIVCYDRSHSLTISVSWGFAIQVFEGNRLLPDLLSLQRTFTSWRRAATIDANRYLFNMREYPKDPCKRNIFYMQNLRISKNNALTNYTRKMVTDCPASGAIKNLTQIRVFSQKLELDVEEMKAPRRQCCDIISSSKESMLLEIRQCGVEELIAMYF >KGN44681 pep chromosome:ASM407v2:7:13226549:13240505:1 gene:Csa_7G372270 transcript:KGN44681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNYHTFDPTLEKAIIFAVGNTLVCDNLDEAKALSWSGERHKVVTVDGILLTKSGTMTGGISGGMEARSNKWDDKKIEGLKKKKEQYESELDELGSIREMHLKESEASGRISGLEKKIQYAEIEKRSIEDKLASLRQEKEIIKEEIDRISPELQKLKNGIDKRNAEISKLERRINEIVDRIYRDFSKSVGVANIREYEENQLQAVQHMADERVSLSSQLSKLKCQLEYEQNRDMESQIKELESSLSSLENDLRKIQNKEADVKSTAENASNDIDRLKEELAEWKSRLEECEKDMQEWKKKTSAATTSISKLNRQINSKESNIEQLITQKQEIVEKCELENIALPTISDPMEIESLTPGPVFDFGQLIKSYELEKKSSDRDKLETKFKREIDALVSDIDRTAPNLKALDQYEALKEKERVISEEFEAARKQEKEVADKFNSIKQKRYELFMDAFNHISGNIDRIYKQLTKSSTHPLGGTSYLNLENEDEPFLHGIKYTAMPPTKRFRDMEQLSGGEKTVAALALLFSIHSFRPSPFFILDEVDAALDNLNVAKVAGFIRSKSCEGARMSQDLDGSSGFQSIVISLKDSFYDKAEALVGVYRDCERSCSRTLTFDLTKYRES >KGN45144 pep chromosome:ASM407v2:7:16525513:16526537:1 gene:Csa_7G428810 transcript:KGN45144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIVTRRLSSNIFRPFLPSTFLISQIPHEPLTRFHSPHSDPSFLGSSRRTSPNTTVDLFNSISKSITHDLLVQNKTLNFNDPIGSGSYSIMKLRNPSFVSISDFDRKSRFSTTSEKENEQKPDDFKHQDIEGPTVERDLSALANETRDVIEAMMKNVYRLSKAMAVLGLVQLGIGAWISYITRGSPITEVSIQSFVAFGFPFSMAFILRQSLKPMMFFKKMEEQGRLQILTLSLQIGKNLNALFVRVRTVSFLCVTGLSVGILFALLSR >KGN44010 pep chromosome:ASM407v2:7:5588596:5591716:1 gene:Csa_7G099270 transcript:KGN44010 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine synthase MAPVTDRATGAIIAAISVSVVLFSYLLIKHHPKERSRNNTKPFSVPKRSPKNGVVGAIGNTPLIRINSLSEATGCEATSRFSIFLCFQISCSTYGLLILGKCEFLNPGGSVKDRVAVKVIEEALESGKLVEGGVVTEGSAGSTAISLATVAPAYGCKCHVVIPDDAAIEKSQILEALGAHVERVRPVSITHRDHYVNIARRRAAQANELALKRKTEEQLCVGDLEQINGHIYGGETQDSFLSNCEGGFFADQFENLANYRAHYEGTGPEIWEQTGGHIDAFVAAAGTGGTVAGVSRFLQEKNPNVKCFLIDPPGSGLFNKVTRGVMYAKEEAEGRRLKNPFDTITEGIGINRLTQNFLMAKLDGAFCGTDREAVEMSRYLLRNDGLFLGSSSAMNCVGAVKLAQSIGPGKTIVTILCDNGMRHLSKFFSSEYLSQYNLTPKATGLEFLESR >KGN43543 pep chromosome:ASM407v2:7:2594614:2595470:1 gene:Csa_7G044830 transcript:KGN43543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNSRSTIFFTLLLITLFLLSPPAFGDSNNNNNAFLTYTCSSYDNYTANTPYANNLKQALYQLTSTAPPSGFGLTSVGDDLQNQVNALALCRGDVSPTDCKTCIQVASNEIQQQCLNKKGGSIWYDFCFLKYSNIKFFGKIDNGFRLYMWNVQEAENPTVFNEQVKNLLTSLVEQVEVTPKLYVIGEKENEGSKKLYGLVQCTRDLSTAACKKCLTDAVAELPRCCDAKIGGRVIGGSCNFRYEIYPIVDAQK >KGN43305 pep chromosome:ASM407v2:7:1145282:1146160:1 gene:Csa_7G019850 transcript:KGN43305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWFLSFLFLFFISSAHACDRCVFQSKASHLYESPTTYGGACGYGNLALQFSNGFFAAAVPSLYKQGAGCGACYQVRCKNRRLCNTVGTKVVLTDQNNDNVTDLVLSPKAFFTMALNGKGSDLLNLGVVDVEYKR >KGN43438 pep chromosome:ASM407v2:7:1916326:1916738:-1 gene:Csa_7G035440 transcript:KGN43438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGWSCCDPNTINDVHYCGVIYNDTSNSEMQLSLVVIRDFKIEIPIDRSMCIPSVFGRSSGAIMLTPWNVRFFHPRMLTWKFLLSNDVILLTIELVMKSNLKL >KGN45339 pep chromosome:ASM407v2:7:17581498:17584298:-1 gene:Csa_7G440600 transcript:KGN45339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIKEKKRTSTISSPLMSSSSMAILLVFLCFIFNCPNIAFATGPGASFIPKDNFLIDCGANKEVGALPDGRVFKTDEQSKQYLDAKDDIIATATPEMKAPSPVDLTARVFLQEATYIFQMAEPGWHWLRLHFLPVKSNDFDLLQAKFSVATENYVLLHSFNINNESTFVLKEFLLNITEPKLSIKFLPMRNSAAFINAIEVVSAPVDLIADSNVELSPVGTIEGLSKYAFQTLYRLNMGGPIITPRNDTLGRTWETDEVYRTPKAAGSSVVVQTNSIKYQGGLKETGMLIAPPSVYASAVQMGDAQVSVPNFNITWKFEADPSFGYLVRFHFCDIVSKVLNDIYFNVYVNGKAAITNLDLSHKLGSLATAYYKDVVVNASLIVDGLTVQISPANVDTGDSNAILNGIEVLKISNSVNSLDGEFGVDGKSANGSNRGTVAAVGFAMMFGAFVGLGAMVMKWHKRPQDWQKRNSFSSWLLPVHAGDSSFMTSKTSYGSHKTNIYSSTLGLGRFFTLAELQEATKNFDPNSIIGVGGFGNVYLGVIDEGTKVAVKRGNPQSEQGITEFQTEIQMLSKLRHRHLVSLIGYCDENAEMILVYEFMSNGPFRDHLYGKDISPLSWKQRLEICIGAARGLHYLHTGTAQGIIHRDVKTTNILLDENFTAKVADFGLSKDAPMGQGHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLLEALCARPAINPSLTREQVNLADWAMQCKKKGCLEKIMDPLLVGAINPESMKKFAEASEKCLAEHGVDRPSMGDVLWNLEYALQLQEAFSQGKTEDENKAASTTATVTPATPPAVEASTNASNSDNRSVVQPEQNRQPAEVQAIDDHSGSAMFAHFSNLNGR >KGN44468 pep chromosome:ASM407v2:7:10469713:10470430:-1 gene:Csa_7G298840 transcript:KGN44468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDFELNISPTIDNYPSSTFELQLAEGSATPLSLPNETNSMFILTAKLTSFATDDIVIGLLEALETGRKTNLVDRNHEKEEANAEQLRKKMRGP >KGN44848 pep chromosome:ASM407v2:7:14715648:14723981:1 gene:Csa_7G391250 transcript:KGN44848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGIPSWAIIGDMGYALSRLEIGSDCDGDMSTSASGEAQVSNKPLNNLDDEIAQLTRMKSGPSAHLSQVLPGKPEVYISPVKMLAGRECNYSGKGRFSAGDCCHVLSRYLPVNGPWLVDQMTSRAYVSQFSSDGSLFVAGFQGSHIRIYNVDSGWKVQKNILAKSLRWTITDTSLSPDQRYLVYASMSPIIHIVNVTSAETESLANVTEIHEGLDFCAHGDGRDSFGIFSVKFSTDGRELVAGSSDDSIYVYDLETNKLSLRILAHRSDVNTVCFADETGHLVYSGSDDTFCKVWDRRCFISKGKAAGILEGHVEGITFIDSRGDGRYLISNGKDQTIKLWDIRKMSNNATHYNRPRNYDWDYRWMDYPPHAKNLMHPRDRSLATYKGHSVLRTLIRCYFSPEYSTGQKYIYTGSHNSCVYIYDLLTGVLVATLKHHKSPVRDCSWHPQYPMLVSSSWDGDVVKWEFPGSGEAPTPPNKKRVRRRHFY >KGN44631 pep chromosome:ASM407v2:7:12619538:12619944:-1 gene:Csa_7G352450 transcript:KGN44631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEPWKLQGKGKPLEKKVSEMCRIVPEKTANMGNKQPKETEISQNQNPIGRIEERKQKKKVGECARKKGG >KGN44793 pep chromosome:ASM407v2:7:14327854:14329802:-1 gene:Csa_7G387770 transcript:KGN44793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSKTLLPSASFKPNLSPLCTLSSTIPSSIPQTSSTNHPNTVLPAAFNRKGISFHHPLSLFLRNCKTGNITATQAFHFFDLMLRSYPIPPISSFNCLLGGLAKINHYSQLFSLYNKMRLAGLSPDLFTLSILANCLCNVNRVEEAMNLYNEMLQVGKRPNATTYGTLLTGLFQTGKVGDAKKLFRVLKTYGISADSCIYRIFLDGLCKNGCLYEAMEHFNQLKSYNIKLDIESYNCLIDGLCKAGKLETAWELFEKLYQEGLQSDVVTYNIMIHGFCKVGQVDKANILFEKMEENGCTPDIITYNTLLCGFCQSNKSDEVVKLLHKMIQRDMSPDAISCNIVIDMLRKDEKYQECLDLLPRFLVQERRRL >KGN43352 pep chromosome:ASM407v2:7:1420021:1421813:1 gene:Csa_7G025730 transcript:KGN43352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMLSYQPPLKSLTIPPVSLSNPKPVLFRCSLSLPSRTAVTSVEPQPVFSSVKAFAPATVANLGPGFDFLGCAVDGLGDYVSLSVDSNVHPGEVAISDITGNNTNKLSKNPLYNCAGIAAIEVMKMLGIRSVGLSLSLEKGLPLGSGLGSSAASAAAAAIAVNGLFGGKLGVEELVLAGLKSEEKVSGYHADNVAPAIMGGFILIRNYEPLELIRLKFPVEKELFFVLVSPEFEAPTKKMRAALPAEVGMPHHVWNSSQAGALVAAVLQGDTMGLGKALSSDKIVEPRRSPLIPGMDGVKKAAIAAGAFGCTISGAGPTAVAVIDNEEKGKEIGERMVMAFLKEGNLKATASVKRLDRVGARLIGSTPLDRVL >KGN45257 pep chromosome:ASM407v2:7:17208981:17213625:-1 gene:Csa_7G432390 transcript:KGN45257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAMARAVLSQPLSCSSPYPLSSLSASTRSYCYFTAATTRIRPPSSPGRIIASAKGKDEANDGDADSTGFNPFGFVTDNPSSRSAIQLPESPAEDGNVGQMLYRIEDKGKEYGSYVKAGKFRWFVRETGSAKSRRGTIVFLHGAPTQSYSYRVVMSELSAFGFHCFAPDWIGFGFSDKPQPGYGFNYTEKEYHEALDKLLDMLGINTPFNLVIQGFLVGSYGLTWALKNQSRISKISILNTPLTVSSPVPGLFQQLRIPLFGEFTCQNAVMAERFIEAGSAYVLKLEKADVYRLPYLSSGGPGFALLEAARKANFNDILSRITAGFASGRWDKPSLVLWGLSDKYLPQSIAEEFQKQNSTTIQLKLIEGAGHMPQEDWPEKVIEALRSFF >KGN44640 pep chromosome:ASM407v2:7:12705492:12705941:1 gene:Csa_7G353510 transcript:KGN44640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRGNPSSWFPYKFSPFLFSICLQTSTVPNPLLSEPQTFHRVGVKAPSLYCPHAYKAAVAFRSRRTGTSSVASVFSPASLLSGSGCLSDPSHFAATIRSASVLQSRRVFFPSFQPHVTPF >KGN44758 pep chromosome:ASM407v2:7:13912952:13917167:-1 gene:Csa_7G378470 transcript:KGN44758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILLSNFLFPFPKQFSSPLPYSTSNSWFQTSRLFKTRNAEQSSQCQVISRTRHSYASIEEHEQQQQINMEESLPESKIADSWREIHGSNDWTGLLDPMNDLLRSELIRYGEMSQSCYDAFDYDPFSKYCGSCRFSRGKFFERLGMENVGYEVTRYLYATSNINMPNFFKKSRWPKVWSKSANWIGYVAVSNDEKSKELGRRDIVVAWRGTVTRLEWITDLMDFLKPIAAAKIGCPNLGVKVESGFVDLYTEKEEEGCGYCRFSAREQVMAEVKRLTERFGGAEEEMSITITGHSLGSALAVLSAFDLAETGLNRLGNGRVVPVCVFSFSGPRVGNFSFKERLHELGVKVLRVINIHDIVPKSPGFLLNESIPRAVMQYAEGLPWSYSHVGVELKLDHKVSPFLKQTNDPVCAHNLEALLHLLDGYHEKDGRFVLASGRDPALVNKGCDFLKDHYLVPPNWRQDENKGMIRNKDGRWIQPDRLKFEDHPHDIHHHLTQLGLHFYS >KGN45143 pep chromosome:ASM407v2:7:16524390:16524912:1 gene:Csa_7G428800 transcript:KGN45143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMKKIACAALFAAAAISAAVAADESLSPGASPAAAPGPSSAASAALPVLGASVVSFVAAYFLN >KGN43320 pep chromosome:ASM407v2:7:1219816:1220670:-1 gene:Csa_7G023940 transcript:KGN43320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLDMNSKKSLHIRSNSLPSRPHPIVVEVEENLCRLKSSEAASSTFSLCYRLNSLQALHDSIDKLLLLPSTQQTLVHESGKKWADDLLEGSLRLIDLCDIAKDALLQTRECIHELESDLRRRSSERIITSDVQKCLKSRKMIKRTVQKALKGIKISSQKSEESLATVSLLKEVEAITYSTVESVMSNVVGPKLPSKFSPWSLVSKLVQSKRVASHDEDANANEVEMVGATLNSIASHTTDKSFNLQDLLRKSESSIQDFEEDLESLYRHLIKNRVSLLNILNN >KGN45573 pep chromosome:ASM407v2:7:18995302:18999367:1 gene:Csa_7G452280 transcript:KGN45573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSGHFLFGFLLSALALPLLLVQAQDQSGFISLDCGLPEGTSYTETTTKLNYVSDASFINSGVSQDVASAYGDGETYPRQLRKLRSFPQGIRNCYSVTTLKGSEYLIRASFLYGNYDGLDSLPTFDLYMGDSLWQTLNFTDNGMDTYIDLIHVTSSNKVNICLINTGNGVPFISALEFRPSLNITYLTITSSLSLYTRMNIGSTEDRKYRFPFDVYDRIWSPFNFNEWTQISTNYSFEPVGESGLQLPSIVMQTASTSKDTSKPLEIGWDPIDSSQYYVLMHLAEVLYPEVNQSREFIITNNDNFVFGPIIPNYLSSVSILPNEALEGASRHVISFISTEKATLPPIINAFELYIVKNISKLEADQGDVDALTNIKSTYGIKKDWQGDPCVPMRFPWSGLHCSNGTIPRILSLNLSTSGLTGEISPYISNLTMLQILDLSDNELTGELPEFLVNLPNLRILYLTRNRFTGLIPKALLQRAEAGLLALSVGENPDLCKSVECVNKRKNKKRKKYLVAIILSTVVAVLLPILMVTLVIYKRRKQRENLKRSIQERLLKSKNQLVQFSEILVITDNLKTSIGEGGFGKVYLGVLSDKTRVAVKLMSSMSQQGYNEFRAEAQILTVVHHINLVSLIGYCDEAENKALIYEFMGNGSLRDFLSDSSTKVLNWKERLQIAVDAAQGLEYLHNGCVPPIIHRDVKSSNILLNEQMQAKISDFGLSRVFVNESDTHFSTCPAGTFGYLDPTVHLSRNFIKKSDVYSFGIVLFELITGHPAIIKSSEDNIHIVDWVKPHITVGNIQNIVDPRLESCIDSRCASKFVELALSCTLPTSAGRPEMSEVVLQLIECLKMVQDTTPQMSNNNAENFSHNSIGSASLPSPR >KGN45566 pep chromosome:ASM407v2:7:18958109:18961540:-1 gene:Csa_7G452210 transcript:KGN45566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAGFLYGNYDGLSKLPTFDLYFGDSLWTTVKFTEESIEITTDIIHVTSNNQVQICLVNTNNGTPFISSLEFRPLPSETYVSSSSLLYHSRLDMGTTTNNSYRFPDDVYDRFWVPFNFGQWTSISTTLEIKSDDNDNFQLGSGVMGTAAVQINKNESLRFQWESEDETTQYHIYMHFAEVENLQPNQTRGFNITYNGQYMYGPFSPRYLITSTIYTTKPIPIQNQPTKTHQFSIVPVENSTLPPILNAMESYIVIDLSQLASNQGDVDAIKNIKSTYGIIKDWEGDPCVPRAYPWEGIDCSNETAPRIWSLNLSSSGLGGEISSYIMNLEMIQTLDLSNNNLTGNIPTFLSTLKKLKVLKLDNNKLTGTVPSELITKSVDGSLLLSVQGNQNLDACQSDSCAKKKSGKNNVVIPIVASIGGLVAIAAIATSIFWIIKLKKKPQNGLGVLLESKKRQFTYSEVLKMTNNFERVLGKGGFGMVYYGLINNVQVAVKLLSQASGQGYQQFQAEVTLLLRAHHKNLTSLVGYLNEGNHIGLIYEFMANGNLAEHLSEKSSHVLSWQDRLRIALDAAQGLEYLHDGCKPPIIHRDVKTTNILLTENFQAKLADFGLSKSFQTEGNNTHMSTIVAGTIGYLDPEYYKSNRLTEKSDVFSFGVVLLEIVSCKPVRPLTESEAHIIKWVNSMAARGDINGIIDRRLDSNYEVNSVWKAVEIAITCVSENPGRRPSMNQVVAELKNCLAIELERIRENQALNSTDSSMNTMSIVLDYTASHPSAR >KGN45135 pep chromosome:ASM407v2:7:16485239:16487434:-1 gene:Csa_7G428230 transcript:KGN45135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRIRFRIRRIRDFRNFVLPENKLKNKEGKEGFFLCQSVKSFLGKFLFGYQVRKIIRFFFVFFVLETKEVKYE >KGN44834 pep chromosome:ASM407v2:7:14613423:14618303:1 gene:Csa_7G390130 transcript:KGN44834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSKLGNDDSGGSFDDFELLQNTLSFEDSVGFDNRVVRLESPFVDTEMVYGLDDCSENLCIPTFEYEDDVVLDSEDEGINGSRVIRVSSSLSRNEAEQEVKSDAQEENMVLDFHSSDHKPCDAGEQVSSNCFNGLDMEKDSSQLSTRLSYCSSQEPGESTQVKAIGFVDHFVKLSTTNVNPSQGIGQRKAARVQSPILSRIKGPQSLAKRIGRKSIDETGNFEWVDINNQEAECNSFGKCKKASSDLSNCRGQSYTTKPHNMAKLSNIRDCLLKRYEDKEEASAGIKFQTDSTASTFPHPRLDIYSLETDGMSSIEIENRSNEKTNAKLVEGQLEFMDDERDAPDELDIGFSTQIAAEAMEALCYIPDNDTLANACSSENALDSVSCSMIEHKPHLNSSYPQTIGGADGKSKRTLKSKRKLNAKYLNTSKGQSNYRKSPDESNYTATPSNLLSETGSCQLSQRGLTVGDQVSTRLMVGLCSHPRGRRTPRNIQSHPNRSKNQNNTSLAVDGSCNNSILMKNGKVGRNSNRKNTSRSRSKFKVYHNTSRRIMLPQSSSKELARLGVSESMPDLKWKDLRRRRTMALVRVCFSQHLDEVTLKQQKRVVLQLGISIASSSVDATHFVADKFVRTRNMLEAIALGKPVVTHSWLESCGQASCFIDEKKYILRDTKKEKEIGFSLPVSLSRATQCPLLQGFKVLVTQNIRPGKEIIASLVKMSQGEPIEMSQIFTGKNEKFPNNLLILSCEEDYADCVHFLKKGAKVYSSELLLNGIVIQKLENKRHELFTDSTKRF >KGN43680 pep chromosome:ASM407v2:7:3408701:3414960:1 gene:Csa_7G058540 transcript:KGN43680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSVESAKDISHFIESFKDQINMNEVKHPLEYFKTFNEFFIRELKPGVRPIAHVECDDVAVCAADCRLMAFKSIDDSLRLWIKGRKFSVQGLLGQDISASAFMDGTLVIFRLAPQDYHRFHFPVSGFIEQIVDIPGCLYTVHIFRRIERRMIEMFIFQPTSILELKLGHKIAFYSFVHGQLWKKDSIQLDEDLLANSSRSLETLVRVGTKLGLSTRNVSQTDFPDVSRCAIDDPK >KGN44402 pep chromosome:ASM407v2:7:9899296:9899665:-1 gene:Csa_7G279840 transcript:KGN44402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQSHVESRRAKPLRMCQVHSNASGSFGIKTYIGNSRLSIEAIIVCIKERHAASGKNASGSFGIKECVWNSGLLAEATLQSPVESRRAKPL >KGN45309 pep chromosome:ASM407v2:7:17408771:17409422:-1 gene:Csa_7G433380 transcript:KGN45309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNFAVFHPAPITASSSSSSSSSSGKYQPNRPNAAAPKWWSPIFGWSSEPDYVVSSAAEVQSIQNADPELHGGRIRSRFAPGCFTEEKAKLLRMKTRESSTFHDIMYHSAIASRLASDLSDRSKK >KGN43307 pep chromosome:ASM407v2:7:1149492:1150245:1 gene:Csa_7G019870 transcript:KGN43307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKGYFAAAVPSIYREGMGCGACYQIRCKNATLCNTVGTKVVLTDQNSDNRTDFVVSRKAFSAMALDGKGQQLLKTGIVDIEYKR >KGN45489 pep chromosome:ASM407v2:7:18545574:18546529:-1 gene:Csa_7G450480 transcript:KGN45489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFSRAFFFFLVKADAPSLDFSSAHYLSHTGYGIDFRQQPAENPNPIFPRAYRLPISSSRRHHLRTGIAPFDSLSPCAALKSSPPSHQTQESGSSLVVRSRCEVLVSYLSCTAAVNTVAPPFSAKFWL >KGN44522 pep chromosome:ASM407v2:7:11325536:11326189:1 gene:Csa_7G325150 transcript:KGN44522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLNQSSSLNSCTKFPIPPRDNHVVDEIQSQPFDYSKRAQWLRAAVLGANDGLISTASLMMGVGAVKHDVKAMILTGFAGLIAGACSMAIGEFVSVYSQLDIEMAQIKRSRDHRKELEEEETAKLPNPLQAAVASALAFSTGAIVPLLAAAFIREYRVRLGVVVVAVTVTLVGFGWLGAALGKAPTVRSVVRVLIGGWAAMAVTFGLTKLIGSSGL >KGN45404 pep chromosome:ASM407v2:7:17935526:17936002:-1 gene:Csa_7G447190 transcript:KGN45404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKNCPKKSNKIREIVKLQQIVKKWKRLANGEKSNSSSNNKLLKINGAWFTDGVPKGYLAVCVGKEMKRFVIPTHYLTHKAFRILLQEAEEEFGFHQQGVLQIPCHVSVFEDILNTVQQQNHNHFASDDNEIIRFCCSPECDLTHHHPPPQICTSLM >KGN44032 pep chromosome:ASM407v2:7:5894818:5895067:1 gene:Csa_7G115350 transcript:KGN44032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPAIPPQHRRGTPRRQLRSNPCPVALGEICENGNVVWDKRVGTGKESGIFVPLPRSAR >KGN44428 pep chromosome:ASM407v2:7:10112767:10116154:-1 gene:Csa_7G290510 transcript:KGN44428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGHTALQIPKRAPSMRCLPIAPFSHLELMLKSEESVAVLHIQYPQWSDHGVPNDTLAVREMLKRLYHLPPDLGPIVVHCSAGIGRTGTYCAIHNTIQRILIGDMSALDLVSTISSFRSQRIGMVQTLDQYFFCYRTIVDELEDLIAVPQ >KGN43866 pep chromosome:ASM407v2:7:4629620:4630482:1 gene:Csa_7G071550 transcript:KGN43866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLIILRVAQNCLELARGQASCCILQECIEYSNNNLRQLQEVLISPLIQDVYDLSKHPYGNYVIQFMIQLDGTNIGRRIIKELTHKFVELSMDKYGSNVVEKCLSCCEGISNDIIFEILEGNGRDRLIQICTNQYGNFVVQTVMSIAKGKIRKLLEKAIKSHWLVLQQHLYGRNVISSIRRDKKKAKLSKYV >KGN43462 pep chromosome:ASM407v2:7:2060615:2062922:1 gene:Csa_7G037650 transcript:KGN43462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIKGELVRNVFLRNRSFKTHEKNTRSHSSVERKKWHSVRSYLCGDEYNSVLVEEDAASIRSSEATVTQPVEELEVSKQKQEPGVEESELSSQLLKRQEQAAFIIQSAFRSFLARRRDEQIKTMDNDCKDIIEGIESPSGESLRTSIEVQTGNSEAFSVQDERTFLSNRVQQKSKTQLHRLKEEWDDSTVSSNVTKMRIQNRLEASTRRERALAYAFSQQLRICSKRKHSKSDVIEANMSWSWLERWMATRLPEGSSVETHTRKPSEVIDNNHRLMISQRLFDISAEEKESCGSNEVSVRSINFSADALKNTDSNLAKNRSKGSSDISRRKTVPSLHFDGYFTKVSKRDWVTLAESERDKKSRQKQAGGRGEIKCNDAYINSSPSSSPLESRIGV >KGN43604 pep chromosome:ASM407v2:7:2896633:2899069:1 gene:Csa_7G047390 transcript:KGN43604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDDKDCRISYFLNLFQGSKKLIKTALCRLKLLRKKRYSIVKLLREDLCELINNGYQQIAFKRVEQLIQDETLMEAYDLIENFCEIILVKFSHIRKHKTCPDDITEAISSLIFASARFGDFPELKCVRKLFEERFGKSFIVAAVELSPGNLVNKQIKEKLVMQPVPNHEKQRLINEIARDCFHPAVLALENCPDWHKKQVLQNGDQTTVKGKVESEELERVVISLDSTRDSNCGVLDSWCHSTSSSPSVSQSFPNDASTSEFLPFCEEAMVYFDDVVELSDPSTEYGDLLDQRFFKFKSLITSTREENVGDGDDQSLIENHYGSNKKAVSGRSNQIINGSPKEPSRRSMRREQENHSLNHTKKKLMKCYCLSCHSLSSELQNYCVEQQCYVHSECRTDFSVIQSSEANNSDYDLSSKNLWNAESNEEIEFITFSRAKDQRNYGIGTVVYDVFVYSQCQPDENKETNTKLKELSTNGKHEPSKFTKCMKGADKYPSHVHPKLPNYEEIAAKFICLKREYLERSMKGRN >KGN45142 pep chromosome:ASM407v2:7:16521703:16522196:-1 gene:Csa_7G428790 transcript:KGN45142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISKISPVVFLALVALFSALVSAQEAPAPAPGLDRGAASSLPVATSMALLFASFFFSLPALLKL >KGN43428 pep chromosome:ASM407v2:7:1863880:1865315:-1 gene:Csa_7G033360 transcript:KGN43428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRSGSFCYQLYRELHRSRSTNHCFSTLTISIPSLSIFFFFFFLLLFFFLFPVSSLFSSSSELQFDFNFFVSLPTSLVFCTSDSPAPIPNPDLASNSKPLALNRRIQYTARRDVGSSFCVNQIVENKSSLELSGL >KGN44255 pep chromosome:ASM407v2:7:8372772:8378453:1 gene:Csa_7G235250 transcript:KGN44255 gene_biotype:protein_coding transcript_biotype:protein_coding description:Primary amine oxidase MSKEIPNKMEKLLFFLPLIIPLLSVAATCRHPLDPLSSSEFEIIRSLITNSNPSTNITFQYVALADPTKQSVLSWLANPKTRPPPRRATATIRFNKATHEILIDLEKKTMISNRVYSGTGYAPFTFEEQFAAAALPASHPPFVAAMKKRGLKLEEVVCACFSVGWFGEKRKVEQRIVKVQCYYLDGSVNLYMRPVEGVTVTVDLDEMKIIGFRDRYVVPIPKAGGTEYRESMLKPPFLPPLNGMKMVQPDGPSFQINGHSVSWANWNFHVSLDERAGPIISLASIYDIQKQKRRQVMYRGFISELFVPYMDLNEEWYYRTFFDAGEYGFGQCAVPLQPLRDCPENAVFMDTYMAAGDGRPMKMSNTFCIFERHGGDIMWRHTEGTIPNTLIRETRAEISLVVRMVAAVGNYDYIVDWEFKQSGSIIANVGLTGLLEVRASKYTHKDQIKEEVYGPLLAENTIGVRHDHFLTYHLDLDIDGDANSFLKSNLRTIRSQDPDYPRRSYWTVVTETAKTEADARIKFGFQQDELVVVNPNQRTRMGNPVGYRLIPKSTTSPLLSADDYPQIRGAFSNYNVWVTPYNRSEKWASGLYTDQSHGDDTLATWSLRDREIEDKDIVMWYTMGFHHVPCQEDFPLMPTLSSGFELRPTNFFESNPVLKVTPPHIVNLTNFSQTSNHN >KGN43476 pep chromosome:ASM407v2:7:2113710:2117275:-1 gene:Csa_7G039240 transcript:KGN43476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEEQTISSAFICSIPSLGSINNNNNPSVIVKKKRNLPGNPDPEAEVVSLSPKTLMATNRFLCEICGKGFQRDQNLQLHRRGHNLPWKLKQRSNGNKEPRKRVYVCPEKSCVHHHPSRALGDLTGIKKHFCRKHGEKKWKCEKCSKKYAVQSDWKAHSKTCGTKEYKCDCGTPFSRRDSYVTHRAYCVALAEETARLNAASTNIANNNNSLADNYINNNNPPQLFFPNYSSNLFKPNETSPFFFNNNNTPTIPLPFWIPTNPHQINNFHYPTTTTTTATATTNSDVLSVPSLFSNEEQQSSHQFMSSSPNMSATLLLQKAAQIGVTTDHPSSLMESLGLKFSSITDGKALLSGIYGSMINSNSNNVIIPLSEENHHHHHYSAPPAKRMRHTVSEESVNGGEGETRDFLGVGMHTICHPSTVNGWI >KGN45574 pep chromosome:ASM407v2:7:19001370:19004685:1 gene:Csa_7G452290 transcript:KGN45574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVFVCFFVFTLIIICLATPAVSWKKAGADDTAAAATIFPAIFTFGDSALDMGNNNNRFTMFKANYLPYGQDFTNHKPTGRFCNGKLVSDITAETLGFQTYPPPYLSPEASGRNLLIGAGFASAAAGYDEQASISNRAITLSQQLGNYKEYQSKVAMVVGDEEAGAIVANGLHILSCGTGDYLRNYYINPGVRRRFTPYEYSSFLVASFSKFIKDLHGLGARKIGVTSLPPLGCFPAALTQFGYQQEKGCVRTINNEVLVFNRKLNSTAATLQKQLSGLKLVVFDVFKPLYDAIMSPSTHGFDEVRKGCCSTGAVETVSVLCNPKFHETCSNATKYMFWDSIHLSEAANQMLADTMIVQGYALV >KGN43974 pep chromosome:ASM407v2:7:5212248:5214313:-1 gene:Csa_7G075060 transcript:KGN43974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYDDVEIEDMEWNDELQAFTYPCPCGDLFQITKEDLKLGEEIARCPSCSLYITVIYNMEDFLDHSNQKNNKGLEPSKQHPIVVA >KGN44096 pep chromosome:ASM407v2:7:6692937:6693394:1 gene:Csa_7G184670 transcript:KGN44096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRDSRTMKTSIRFRIIVMPNPTNSLACHMKCKTGVQKTARRLIMFFLSNQTQKKMLGKNQILRKGFRLSLRKYHSLNRSFREPLKNGRNDRNPQPPPLLLDSTADETISGRNKRRGKQGYKIGRWESIDSPSRQMGIR >KGN44717 pep chromosome:ASM407v2:7:13561854:13565368:-1 gene:Csa_7G374590 transcript:KGN44717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSEEEITRLFRIRKTVFQMLRDRGYFVGDFEIDMTREQFKQKYGENMKREDIVINKSKRNDSSDQIYVFFPEEAKVGIKPLKTYTTRMKSENVFRAILVVQQNLTPFARACMSEATSKSFHLEVFQEAELLVNIKDHVLVPEHQLLNNEEKKTLLERYTVKETQLPRIQVTDPVARYYGLKRGQVVKIIRPSETAGRYVTYRYVV >KGN43419 pep chromosome:ASM407v2:7:1826730:1833225:1 gene:Csa_7G032290 transcript:KGN43419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSPGTKRRNLSSRTDRTSAPPTQSDSPITPLSAIRNPPLGNLVPNRPGTGTPAPWAPRLSVLARISPVNRSDKEDETDPVKPVYVGEFPQVVRDEQASLIQQFVTSGGSMSGGMDAKTSLAWIICRDKLFLWTYLLPVATMKCVVRELPKHILDSKDIGRNNYDHWLLSIVNWDSQSRSLRKSIKHQNSVGVIICNKKTGAVAYWPDIFSDGETAPVTCLTSSHEPAPISSFYDGKIASHRNQSMNRPRTFNSLIASAVPDSQYVCIALACSSNGQLWQYHCSPMGIQCTKVSQDICGLHSQEDGSSQYLVNDGYPRSLTWSCSRLQSDKFNRKFLLLTDHEIQCFCLKLFPDVQVYKLWSYEIVGTDNDLCIKKDLAGQKRIWPLDLQEDEEGAVITILVATLCKDRISSSSYIQYSLLTLQYKSGAEIDASGDKRILEKKAPIQVIIPKARVENDDFLFSMRLRVGGKPSGSALILSGDGTATVSHYYRSSTLLYQFDLPYDAGKVLDASVLPSTEHGEGAWVVLTEKAGIWAIPVKAIVLGGVEPPERSLSRRGSSNERSVQDDTRSPNFSGNIASNRSFDVQDVVDRKKATLAGIAHRTVRDEESEALLRQLFHDFLSSGQVNSSLEKLKNSGAFDREDETNVFTRMSKSIVDTLAKHWTTTRGAEIVSMTVVSTQLMDKQQKHEKFLQFLALSKCHEELCLRQRNSLQIILEHGEKLSAMIQLRELQNTICQNRSTGLGSLTSNSETPTSGALWDLIQFVGERARRNTVLLMDRDNTEVFYSKVSELEEVFHCLNKQLDFVVSADESYVVQNQRACELSKACVTIMHAALHYKNEHQLWYPPSEGLTPWYCQLVVRNGLWRIASLMLQLLNEVSELDMSAKSDLYCHLELLTEVLLETHAGAVTAKAERGEKTESLLHKFWSRRDSLLSSLYQRIKDSVEAEHKDFRGDLVEQKIESLRKHSSRLLSVAKQHECYSILWEICCDLNDPELLRKLMHESMGPKGGFSYFVFQKLHENKQFSKLLRLGEEFHEELLIFLKEHPELLWLHELFLHQFFSASDTLHALALSEGDAPVVPEVGTELESDQSNSELRLADRKRLLYLSKIALMAAAAGKNGEYESKLMRIEADAKILKLQEVILDLYHAVETEQQLDRELLHPDRLIQLCLKAKEPTLSLMAFDIFAWTSTSFRENHRKLLEECWKNVADQDDWNELYQVSVAEGWSDEETIKNLRETTLFKASSRCYGDGATEVFGEEGFDVVLPLRQENLEGGSILKDCLGSVEAILMQHKHFPEAGKLMVTAIMLGVKDYDDRVENDPILMD >KGN43287 pep chromosome:ASM407v2:7:1035058:1035917:-1 gene:Csa_7G017700 transcript:KGN43287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRVRQKELELDNRLRGNSRDRNSTSRRRESFRPSNVSSDDIPSSSSPSSKRISEDCDLREDQGLKDEELEEFLHSRTKRGRGAIGSRMDETGPYLAPCNESDSSWPTCSNFTDRSVAHGPEKPNSLKPDSSSEEETDNDRSKRSKSSHKQHRKDHKSKRKSEKKRRKESKKSKRHK >KGN43377 pep chromosome:ASM407v2:7:1561910:1565564:1 gene:Csa_7G029420 transcript:KGN43377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNEVIEFDIGLGGGSGREGDDYSMGMVHHSIEDEEMVDSPPLSSLGGGAGSGEIYLPEGDLLDLEPYERMEFESEEAAKAFYNSYARRVGFSTRVSSSRRSRRDGAIIQRQFVCAKEGFRNLNEKRTKDREIKRPRTITRVGCKASLSVKMHDSGKWVVSGFVREHNHELVPPDQVHCLRSHRQISGPAKTLIDTLQAAGMGPRRIMSALIKEYGGISKVGFTEVDCRNYMRNNRQRSLEGDIQLLLDYLRQMHSENPNFFYAVQGEEDQCVGNVFWADPKARMNYTYFGDTVTFDTTYRSNRYRLPFAPFTGVNHHGQPVLFGCAFLINESEASFNWLFRTWLLAMSGRPPVSITTDHDSVIQSAITQVFPETRHRFCKWHIFKKCQEMLSHVFLKHPSFEADFHKCVNLTDSIEEFESCWLSLVDRYDLRDHEWLQTVYSARRQWVPVYLRDTFFAEMSITQRSDSMNSYFDGYVNASTNLSQFFKLYEKALESRNEKEVKADYDTMNTSPVLKTPSPMEKQVSELYTRKLFSRFQEELVGTLTFMASKADDDGEIITYQVAKYGEDHKAHYVKFNVLEMRASCSCQMFEFSGLLCRHILAVFRVTNILTLPSYYILKRWTRNAKSNVVLEDHVNDIYNNYLESHTVRYNTLRHEAFKFIEEGAKSVDMYNVVKDALQEAAKRVAQTTRNDGKISIMNGRIKVDPVNVKSYANHSSSRDHDENLSKNMSEDELDKKINELTNELECANRKCEVYRSNLFSVLKDIEDHKLQLSIKVQNIKISLIEKYLSNGDVNLFLSSNRCSYICMQQLRGDSLSIYCPGDG >KGN45247 pep chromosome:ASM407v2:7:17156208:17158609:1 gene:Csa_7G432280 transcript:KGN45247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAEDVFVGSIDQGTTSSRFIIYDRHSRPIGSHQAEFTQFYPEAGWVEHDPVEILESVKVCMAKALDKATAAGYNVDNGLKAIGLTNQRETTLVWSKSTGFPLHHAIVWMDARTSSICRKLEKELSGGRTHFVDTCGLPISTYFSAVKLIWLMENVEAVRESIKKEDALFGTIDTWLIWNLTGGVNGGLHVTDVSNASRTMLMNLKTLDWDKPTLETLGIPAQILPKIVSNSEVIGKISQGWPITGVPISGCLGDQHAAMLGQACRKGEAKSTYGTGAFILLNTGEEVVQSKHGLLTTLAFKLGPDAPTNYALEGSIAIAGAAVQWLRDSLGIISSAQEIEKLASQVQSTGGVYFVPAFNGLFAPWWRDDARGVCIGITRFTSKAHIARAVLESMCFQVKDVLDSMHKDAVEKGEVKNEKGEFLLRVDGGATVNNLLMQIQADLLGSPVVRPADIETTALGAAYAAGLAVGIWSKDEIFDSGERVKSATTFYPVLEEELRKKKVESWCKAVSRTFDLADLSL >KGN44863 pep chromosome:ASM407v2:7:14852192:14852887:1 gene:Csa_7G392360 transcript:KGN44863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNEGVTSVEMNIETGLVKISGEKNFDPFIFLKTITKAGKKAQFPAMQNTAAPSPIAQNPQFPTKENMTSAPAPAPAATSSDNASGHPIHHSHSINSNNHCCKSDGNSDAGNFSDHRSWPDKVHINEAASVNPPVALPLHNRMLMRPPMPSLRPPPPPQYGDHMGFRGSHGFWPGMSEPWSSPSHPPPPHSYYRWPEPVPYYLQEQQPPVGNTPNHYVFNDENTEGCIIV >KGN44104 pep chromosome:ASM407v2:7:6872298:6877705:-1 gene:Csa_7G189190 transcript:KGN44104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYCLIPSQSLTAKSFLSPSSSISRFPASSFSNPTLANFFSYTTRLGSSSLNIHPCHHRLSPFGKLYTQKGLVRCLKNDENPIKEVKAGKIGKRTDLKKIMILGAGPIVIGQACEFDYSGTQACKALKEEGYEVVLINSNPATIMTDPELADRTYVTPMTPELVEKVLEKERPDALLPTMGGQTALNLAVALAESGALEKYGIELIGAKLDAIKKAEDRELFKQAMKNIGIKTPPSGIGTTLEECIEIAGEIGEFPLIIRPAFTLGGTGGGIAYNKEEFESICKAGLAASLTSQVLVEKSLLGWKEYELEVMRDLADNVVIICSIENIDPMGVHTGDSITVAPAQTLTDKEYQRLRDYSIAIIREIGVECGGSNVQFAVNPADGEVMVIEMNPRVSRSSALASKATGFPIAKMAAKLSIGYSLDQIPNDITKKTPASFEPSIDYVVTKIPRFAFEKFPGSQPILTTQMKSVGEAMALGRTFQESFQKAVRSLECGYSGWGCEPIKQLDWDWEQLKYSLRVPNPDRIHAVYAAMKKGMKLDDIHELSYIDKWFLTQLKELVDVEQYLLAQHLSNLTKEDFYEVKKRGFSDKQIAFATKSTENEVRSKRISLGVFPAYKRVDTCAAEFEANTPYMYSSYDFECESAPTQKKKVLILGGGPNRIGQGIEFDYCCCHTSFALQDAGYETIMMNSNPETVSTDYDTSDRLYFEPLTIEDVFNVIDLERPDGIIVQFGGQTPLKLALPIQRYLDETKLISASGDGHVRIWGTSPDSIDAAEDRERFNAILNELKIEQPRGGIAKSEADALSIAKDIGYPVVVRPSYVLGGRAMEIVYSDDKLVTYLENAVEVDPERPVLVDKYLSDAIEIDVDALADSHGNVTIGGIMEHIELAGVHSGDSACSLPTKTIPSSCLETIRNWTTKLAKRLNVCGLMNCQYAITMAGEVFLLEANPRASRTVPFVSKAIGHPLAKYASLVMSGKSLYELGFTKEVIPKHVSVKEAVLPFEKFQGSDVLLGPEMRSTGEVMGLDFQFPIAFAKAQIAAGNKLPLSGTLFLSLNDLTKPHLSKIAKAFLELGFSITATSGTAHVLELEGLPVERVLKLHEGRPHAGDILANGQIQLMIITSSGDDLDQIDGRHLRRMALAYKVPIITTVAGALATAEAIKSLKSSSVSMIPLQDFFVETKSGSQKDLQSASI >KGN43707 pep chromosome:ASM407v2:7:3588428:3590634:1 gene:Csa_7G062730 transcript:KGN43707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRCRGLSIIAFSRGSLFGFIPLIRNVSPLSLLSIPTSFEQSSHGSWEHQASSCIVKSLNESMSRDCPPGFSFTRRYSMRESSGGFKRWQSVRHFSNAVVELKTDNNTVRFSFGCPSSTNGSRLKQKVAKTKMSKKAKLNELRFYRLKAKKKKTSPNPEVRIRYSLEKAKRKEAWLIEKLRKFEIPKPFVEKYDPEILTEEEKHYLKRTGEKKKNFVLLGRRGVFGGVVLNMHMHWKKHETVKVICKPCKPGQVHEYAEELTRLSKGIVIDIKPNNSIIFYRGKNYVQPEVMSPPNTLSKDKALEKYKYEQSLEHTSQFIEKLEKELEDFQKHLAQFKRRKEDAALESMVNS >KGN44252 pep chromosome:ASM407v2:7:8351206:8355121:-1 gene:Csa_7G234730 transcript:KGN44252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGATVTNSEPDNNSEYVEVDPTGRYGRYNEVLGKGASKTVYRAFDEYDGIEVAWNQVKLSDFLQTPEDLERLYREIHLLKTLKHNNIMKFYSSWVDIANRNINFVTEMFTSGTLRQYRLKHKKVNIRAVKHWCRQILKGLLYLHSHDPPVIHRDLKCDNIFVNGNQGEVKIGDLGLAAILRKSYVARCVGTPEFMAPEIYEEEYNELVDIYSFGMCILEMVTFEYPYSECTHPAQIYKKVISGKKPDALYKVKDLEVRCFVEKCLATVSTRLSARELLNDPFLQIDGCDSLLRPIDYYSEYDEVNNSLIRGGPFYGTSHGPLDNGYANYFSHEAGNGLDYCPIDNEASEIDLFSCQEDEHLEDVDITIKGRRRDDDDIFLRLRIVDKEGRIRNIYFPFDLENDSASSVANEMVSELDITDQDVKKIADMIDGEIATLVPEWKKGKSLEETPNCSDSNVCHNCSLNSSLLDYVSPHNLAKKNLHILQCSEEHGCASIHGRFEEITYQVEGSEQFNGDENLHRTTGNSSDIHYADIWAQRDGPDVVSPESLEACNEFGASEQPKLEKEESNVNMDDNDHQMEFQTRNSSSSNPSESFVDDHENEIRQELRWLKAKYQMQLRELRDQQLGVKTKSLSLHPISNLTETDNGASVSYLSPNFNEAAKNKTVQTSLSFGKNITSHSPYVAADNILENKTFQDNNVIVDELSSPELIVTAKSFYTGALFPHSLQRATSLPVDAIDF >KGN44304 pep chromosome:ASM407v2:7:8911805:8912796:1 gene:Csa_7G246640 transcript:KGN44304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNLSLNETASSHLHLTLSLLSLAPVCPFHHQLQCIRNLHGVLRDAAAIVGTVNQLTLPLDNYRAIILARYRELENRIWRLINQGYRVFDMLYLQIISYTANQLLKAYIVVENTVSYIRMRLQSILSNAMESASHLKQAMIQAEERRIAAIKCKCRTGCGNRCGCRRFGKQCTDACSFCRNSNCQNR >KGN43762 pep chromosome:ASM407v2:7:3983172:3983495:-1 gene:Csa_7G066200 transcript:KGN43762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSSFVKVKGCDCSSNNWTQIPIEDPYLQEISKLAVKIHNSSAQDNLEYDGIYESWYKKLNDNTLEYRFLLKGINYLGRVKNYEAIVHDDIGATQKNTKLQYNLST >KGN45380 pep chromosome:ASM407v2:7:17791666:17793315:-1 gene:Csa_7G446950 transcript:KGN45380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESIGVAMTTQMFTYLEQNLEGRFNLFKLWNHPLDSDFLQLNAQSVRAVVGCTKSGADARLIDTFPNLEIVATFSVGLDKIDLPKCLEKGIRVVNTPDVLTDDVADAAIGLAMAVLRRISESDRFVRSGSWMKNDFGLGTRFNGKSVGIIGLGRIGSAIAKRAVAFGCPIGYFSRTEKHHRGYKYFPSVLDLAANSQILFVSCTLTEETKHIVNREVIDALGPNGILINVGRGAHVNETELVSALLERRLGGAGLDVFENEPHVPEQLLELNNVVLLPHVGTDTIETSIAMADLVIRNLEAHFRNEPLITPVI >KGN43308 pep chromosome:ASM407v2:7:1150625:1150855:1 gene:Csa_7G019880 transcript:KGN43308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRNYGAIWDTNKVPEGAIKLVVIVVSGYKNGRGIMINYALPADWKTGEIYDTGIQIKDIATEACNPWRCGDQPWN >KGN45516 pep chromosome:ASM407v2:7:18692729:18698585:-1 gene:Csa_7G450730 transcript:KGN45516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMESMRRGRIRQRIRRSHLYTFAACLRADSAREVDDSNPLTGPGFSRVVCCNQPQTHERKPLKYCTNYISTTKYNVLSFVPKALFEQFRRVANLYFLLAALLSLTPVAPFSAVSMIAPLVFVVGLSMAKEALEDWRRFVQDMKVNLRKASVHKGEGVFGHRPWHKLRVGDIVKVQKDQFFPADLLLLSSCYEDGICYVETMNLDGETNLKVKRALEVTLPLDDDATFKDFSGKIYCEDPNPNLYTFVGNFEYDRQVYPLDPNQILLRDSKLRNTAYAYGVVIFTGHDSKVMQNATKSPSKRSRIERKMDKIIYILFTLLILISSISSIGFAVKTKYQMTDWWYLRTTGDDHDPLYNPRKPTLSGLIHLITALILYGYLIPISLYVSIEVVKVLQASFINQDINMYCEETANPAQARTSNLNEELGQVDTILSDKTGTLTCNQMDYLKCSIAGTAYGVKSSEVELAAARQMAYDFEEQDGEFSDVHGQKNSQPSSMPHSRLGSEIELETVVTSTDGKDQKSAIKYFSFEDSRLTGGNWLNEPNHDVLLLFFRILAICHTAIPELNEETGVYTYEAESPDEGAFLVAAREFGFEFCKRTQSTLVVRERYPSPDQVVEREYKILNLLDFTSKRKRMSVIIKDEEGQILLLCKGADSIIFDRLSKNGRMYEEATTRHLNEYGEAGLRTLALAYRKLEEAEYNAWNNEFQKAKTSIGGDRDAMLERVSDLMERELILVGATAVEDKLQNGVPQCIDKLAQAGLKIWVLTGDKMETAINIGYACSLLRQGMKRICISTTSDSLAQDGKEAMKENILNQITNAAQMIKLENDPHAAFALIIDGKTLTYALEDDMKLQFLGLAVDCASVICCRVSPKQKALVTRLVKEGTGKTTLAIGDGANDVGMIQEADIGVGISGVEGMQAVMASDFSIAQFRFLERLLVVHGHWCYKRIAQMICYFFYKNIAFGLTLFYFEAYAGFSGQSIYDDFYMLSFNVILTSLPVISLGVFEQDVPSEVCLQFPALYQQGPRNLFFDWPRIFGWMGNALYSSLVTFFLNLIIFYDQAFRSGGQTADMTAVGTTMFTCIIWAVNCQIALTMSHFTWIQHLLVWGSIAMWYLFILLYGMIISSGNAYKIFVEALGPAPVYWIATILVTITCNLPYLAHISFQRSFHPMDHHIIQEIKYYRKDVEDTHMWTRERSKARQKTKIGFTARVEAKIRQLKGRLQKKHSSLGMPPNATTTAIS >KGN45499 pep chromosome:ASM407v2:7:18590476:18591980:-1 gene:Csa_7G450580 transcript:KGN45499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLWDKQLPEHPHGPRHRKQNPSFSSSLLDAIYRSIDDTTGVQRQEHLIFYTAETTRNKQSSSSSSSSIDHHEIQEDRLNFRRACMIEKWMEKKVCEKVVVRRTSMADFDRKARNVRDRDFNHKLMNSSSSSSESSSGGGFSSSESETRSSSYTMQRPRPIRTEKPQFEGYGDGIKVSIPNQKPKHENSFVKTKSKALKIYGDLKKVKQPISPGGRLATFLNSLFNGGSPKKQKFTNSSKQNDACMRKSKSAQGSTCSSASSFSRSCLSKTPSSGEKLINSGSTKRSVRFCPVSVILDEESRPCGHKSLQDELGLMAATTTLRNALRNDHCRGKEGSEEQVLMSMNNCYEKKIINGDVYINREEDEDEDEEEDEEEDEDEDDAVSCSSSDLFELDNLSSIGIERYREELPVYETTHFNTNQAIANGLIL >KGN43638 pep chromosome:ASM407v2:7:3114864:3116818:-1 gene:Csa_7G049200 transcript:KGN43638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRPISVFSSSSIMHKTRLAFWRKTGPFFDNYIWGFQRQVHFQSSFKLLLQIRTFEFNKQALSLFKEMYGLGFLPDEFTLGSVLRGCAGLRSLLAGQEVHACLLKCGFELSSVVGSSLAHMYIKSGSLSDGEKLIKSMPIRTVVAWNTLIAGKAQNGCPEEVLNQYNMMKMAGFRPDKITFVSVLSACSELATLGQGQQIHAEVIKAGASSVLAVVSSLISMYSRSGCLEDSIKAFVDRENFDVVLWSSMIAAYGFHGRGEEALELFHQMEDLKMEANEVTFLSLLYACSHSGLKEKGTEYFDLMVKKYKLKPRIEHYTCVVDLLGRAGRLEEAEGMIRSMPVQPDGIIWKTLLAACKLHKEAEMAERISEEIIKLDPLDAASYVLLSNIHASARNWLNVSQIRKAMRDRSVRKEPGISWLELKNLVHQFSMGDKSHPQYFEIDLYLKELMSELKQHGYVPELGSVLHDMDNEEKEYNLAHHSEKFAIAFALMNTSENVPIRVMKNLRVCDDCHNAIKCISRIRNREIIVRDASRFHHFKDGECSCGNYW >KGN44528 pep chromosome:ASM407v2:7:11388884:11394628:-1 gene:Csa_7G325200 transcript:KGN44528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIKLFPRLHLLNHPFPLPSFPLMKLQPSIRQSRPFPIFSRVFPFKLKYASMAFSSRSSQAFQGVRRSSAVGRNSERGGFGGSKSLVEDEAELSDWVSDLKTSSFRGRITSDEDSDGDRRGSRGRDRDRDRDRESPSLKRGRDRQSYELRESSERRRPRGPSTESYPTSSRNVSRFKREYEGEREDFRSRSNDRVFPRENVNSSIGRGRGMREINSRNQQIRGRESLGRGRRDSKNQARFTGESESEEDKEEEDDGERKRIKTGVRDFLSDEDSADDEDEEKDFLFRKSTNTLFPSGEKVSEMDRPRTSPGGSDSYLSETRFDQCSISPLSLKGIKDAGYEKMTVVQEATLPLILKGKDVLAKAKTGTGKTVAFLLPSIEVVVKSPPTGDQKRPPILVLVICPTRELATQAANEANTLLKYHSTIGVQVVIGGTRLALEQKRMQANPCQILVATPGRLKDHIENTAGFATRLMGVKVLVLDEADHLLDMGFRKDIERIIAAVPKQRQTLLFSATVPDEVRQISHIALRRDHEFVNTIEEGSEDTHAQVRQMQIVAPLEKHFSLLYAILKEHIANDVDYKVLVFCTTAMVTRMVADLLGELNLNIREIHSRKAQSYRTKVSDEFRKSKGLILVTSDVSARGVDYPDVTLVIQVGLPADREQYIHRLGRTGRKGKEGQGILLLTPWEEFFLSTVKDLPITKAPMPLIDPETKKKVDRALVHVEMKNKEAAYQAWLGYYNSNKTVGKDKYRLVELANEFSRSMGLDNPPAISKLVLGKMGLKNIPGLRVK >KGN43166 pep chromosome:ASM407v2:7:233297:233554:1 gene:Csa_7G004680 transcript:KGN43166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEGGALSGGRQTSNNEQRRQTMDVRATLLLPTLSPFLFFRFYVSLYYVFTEQMEKGKTDTTQKCRYFQTFSDVSLMVSWKVQP >KGN44629 pep chromosome:ASM407v2:7:12596431:12599665:1 gene:Csa_7G352420 transcript:KGN44629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLRNTTRAVNGGFLQTLLRFFSFRALLSAISIAFLLFLSFSFVFTPSTHSSDLITLPGYDSVPYRINSRTRLPLAVKSDPLKPRFDQIRKQADDHRTLLHAYASYARRLKLEYSKLVRVFADLSQNYTDLNNKPGYRSLFEPETASIDEALLRQFEKEVKERIKVTRQVIAEAKESFDNQLKIQKLKDTIFSVNELLSKAKKQGAFSSLIAAKSLPKSLHCIAMRLMEERIAHPDKYSDVGKAVPPEIEDPNLYHYAIFSDNVVAASVVVNSASKNAEEPWKHVFHVVTDKMNLGAMQVMFKLKDYNGAHIEVKAVEDYKFLNSSYVPVLRQLESANLQRFYFENSVENATKDTTNMKFRNPKYLSILNHLRFYLPEMYPKLHRILFLDDDIVVQKDLTGLWKIDMDGKVNGAVETCFGSFHRYAQYMNFSHPLIKEKFDPKACAWAYGMNFFDLDAWRREKCTEEYHYWQNMNENRTLWKLGTLPPGLITFYSTTKPLDKTWHVLGLGYNPSISKGEIENAAVVHFNGNMKPWLDIAITQFRPYWTKYVDYGLEFVQACNLGL >KGN43661 pep chromosome:ASM407v2:7:3263194:3265799:1 gene:Csa_7G051410 transcript:KGN43661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERGKKPHVLVIPYPTQGHLNPLIQFSKFLSYKGLDITVAVTTFIFNTFKPSKNIFFDWDTISDGFDEGGFAEANSIDEYLVRIQTIGARTLTDLIHRHKTSSRPIHGVVYDSFMPWAVDVAKDFGIMAATFFTQPCSVNLIYYCFHEGLLSLPILEDNSIAGLPPIRVEEMPSFFSAPECYPNYFELVLNQWSNTKEVDWILVNSIYEFEPKEADELAKFGPTLTIGPTIPSFYIDNHDIDDKKYMLDLFKIEPEEASLTRMWLDNKPKGSVIYVSFGSMANLNNTQMTELASGLVESNHYFIWVIRESEKAKLPSSFAPEKGLILQWSSQLEVLSNEAVGCFFAHCGWNSTLEALCLGVPMVGMPQWTDQPTNAKYVEDVWKVGVRVKVGEDGIVRKEEIKGCIRRVMEGDRASEFKENALKWKQLGLKALGNGGSSMKNIDQLISSLREKILVD >KGN45351 pep chromosome:ASM407v2:7:17675236:17678124:-1 gene:Csa_7G446680 transcript:KGN45351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYGAVVWDPWLIVAQIVCLQCFYYLTLGILLATLVGTRVSRISLVYFFDYATISVSTATGWCVIASFLLSAIAGAGYLVYLIERAKKCLDFAATLYIFHLFICIIYGGWPSSMTWWVVNGTGLVVMSLLGEYLCIKRELREIPITRLRSNV >KGN44006 pep chromosome:ASM407v2:7:5570303:5570473:1 gene:Csa_7G098740 transcript:KGN44006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLSDDASTLARRTVACTAAGLCQTEKKKMVAERFSFDRDSTDDGGGYRRHCFVA >KGN43625 pep chromosome:ASM407v2:7:3015383:3019400:-1 gene:Csa_7G048090 transcript:KGN43625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTKERDNFVYIAKLAEQAERYDEMVDAMKNVAKLDVELTVEERNLLSVGYKNVVGARRASWRILSSIEQKEEAKGNETNAKRIKEYRQKVESELSGICNDIMMVIDEHLIPSASAGESTVFYYKMKGDYYRYLAEFKSGNEKKDAADQSMKAYEAATSSAESELPPTHPIRLGLALNFSVFYYEILNSPERACHLAKQAFDEAISELDTLNEESYKDSTLIMQLLRDNLTLWTSDIPEDGDDAQKINGTAKVPGGDDAE >KGN45112 pep chromosome:ASM407v2:7:16336288:16337615:1 gene:Csa_7G426530 transcript:KGN45112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSRDTRNLINLRHLDFNSSTLTHMPEEMGKLNCPQTLSYFVLDYERSNQLSEPTVLIHLKGDLRIKNLEQLSYNPSELSLVNLKDIKGFKNLELEWNLSPDDQEYEGEDDETSAMEGLERHSNVESLHIDGYSGVGLPNWVSTLLLKLTRITIYKCHRLQHLTQIAHLQALTFLFLDDMSSLEFIDKNEPSSSSSFPSLELLIIENMPNLEGWWELGNTQKNWLPPTFSTLISLHISRCPKFRFMPKPASTGTVVFLRDVSVQLETTLDPLWGLECLTLEKIKDLKYLETMESQLNISSLPIQLRDLEINKCSNLMSLPEWISSITSLEELEIMKCPKLKVAFASAVAPK >KGN45211 pep chromosome:ASM407v2:7:16967852:16971356:-1 gene:Csa_7G431430 transcript:KGN45211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARNEEKAQSMLNRFIALKAEEKKKPKERRPYLASECRDLAEADKWRQQIMREIGRKVAEIQNEGLGEHRLRDLNDEINKLIREKSHWERRIIELGGPNYTKHSAKMTDLDGNIVDVPNPSGRGPGYRYFGAAKKLPGVRELFEKPPELRKRRTRYDIYKRIDASYYGYRDDEDGVLERVEGPSEERMRAEAVEEWQRMEEIRKEAKRAVKSGEVASVVNVAAKEVLFEEEEEVVEEERKREREMKENLDKEREFVVHVPLPDEKEIEKMVLEKKKMELLSKYASDMLLEEQSEAKSMLNIQR >KGN44224 pep chromosome:ASM407v2:7:8160762:8161137:1 gene:Csa_7G232480 transcript:KGN44224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAFNNPVEELELIAIPLKIIDLLLTVERGLLPPGWLSSSSVQDLLAILEKPCEGPRMTMGGWPGYRDSLILEVASFLKTWNKETFGITDDEKK >KGN43472 pep chromosome:ASM407v2:7:2096427:2098557:1 gene:Csa_7G039200 transcript:KGN43472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIDYYKVLQVDRNAKDDDLKKAYRKLAMKWHPDKNPNNKKEAEAKFKQISEAYEVLSDPQKKEIYDQYGEEGLKGQVPPPDTGGPAGGASFFSTGDRSSTFRFNPRNAEDIYAEFFGSSNPFGGMGGGPRFSSSIFGDDIFTSFRESGGGSMNQASSRKAAPIENRLPCSLEDLYKGTTKKMKISREVSDTTGKIVTVEEILTIDIKPGWKKGTKITFPEKGNEQPNVIPADLVFIIDEKPHSVFTRDGNDLIVTQKISLAEALTGYTVHLNTLDGRSLTIPINNVVNPSYEEVVPREGMPMQKDPTKKGSLRIKFNIKFPSRLTTEQKAGIKKLLGQ >KGN43687 pep chromosome:ASM407v2:7:3446287:3449228:-1 gene:Csa_7G058610 transcript:KGN43687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEVEYRCFIGGLSWSTSDRGLKEAFEKFGHLVEAKVVVDKFSGRSRGFGFVTFDEKKAMDEAIKAMNGMDLDGRSITVDKAQPNQGSGRDHDGDRPRGGRDHDRDRGRDFGGARGSNSGDCFKCGKPGHFARECPSEGGRGGGRYGGRDDKYSGGGGGGGGRYGPDRNGDRFGGRNRSSGDRGGSGSDRYSRDRSGPYERRGSGGFRSG >KGN58811 pep chromosome:ASM407v2:3:27800278:27803152:1 gene:Csa_3G732670 transcript:KGN58811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKQIKARRSDNAAKGIRNVTPIQVAFIVDRYLSDNNYAETRSVFRVEASSLIAKSPIQEAPKSLLSLEAMLDEYISLKEQKVILDQERSYLEQEKIRVHALLQGMQTVMSAYNSSGRSSTPSISAAPDKVGVVGQSESPVGCPINIKQPVRPEVTPQNSNGRPQSFITPVHNDLEANKRKSSKTSIVVPPASKRTRNKLSTKKSASKDADALSQSTEASNLQPTVRHSNEIQSSSPTCPPNETVVEGSSVVKCLFNQPSFSIPTNSSGPKTPPRANSCQSDKSTSPHEISSAAECSNINTPQDVSPTCCTVISSSKRVTISPYKQVAYYSVERNHSILSPSPVKTNAKRQGKRDQVKGRLDFDVSDVPISSDKGIENEVYAAESEKQLDIFDIDLPSLDVFGEDFSFTEMLADLDMDCEVIGCSSVPTLGASTDTHSGSSHESMDCNVGTNQMMSEYSSTVTQILSGKELNTEGMDSLTAVKSTTKCIRILSPAKKL >KGN60240 pep chromosome:ASM407v2:3:37906121:37907352:1 gene:Csa_3G889960 transcript:KGN60240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITIMVIGKKNHVLTCQFLLLMLLLLLLPPPPAAAQEAGTGTIPVSSEPEVSKTMAIAFVALISGFFVLGIVSIYTRRCRERRMGGVGIGIGGGGGGGGVPWRPSRGLDPAFIATFPTFVYSKVKGLKIGKSSLECAVCLNEFENSDMLRLIPKCSHVFHSGCVDAWLISHSTCPVCRANLCPKPGEVTLSIFNFDPSTGSERIGLEQSPTPSPSPPPNHVTIPVVEDRRAATETTNVTNPSPVANWSTPTRSRSLGWRLSEIFQRSNSTGHERFTLRLPEAVRSQLLNSTLNRTRSLAELPRVQSSRRGYRGGTGESGGWRKFLQRNFSLPAPLVGRTADNGRYPVDEDLGERSFARLTSRGNGPSTAAE >KGN59327 pep chromosome:ASM407v2:3:31068171:31068500:-1 gene:Csa_3G810515 transcript:KGN59327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKERIPERITLRLILRQEHRDDRATLRFRVLSSGNWLESRKGQCPYCLLGFVLKKLLLNLPLFQFVTSSRRCLKSKDETRTFGHRPEPHFFFPQIEIQLQQLEITSD >KGN57010 pep chromosome:ASM407v2:3:10057319:10060020:-1 gene:Csa_3G149900 transcript:KGN57010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLNLAFISLVLLLPFALLLFLYLIVRPRPVRISLKSRHVFITGGSSGIGLALAHQAATEGARVSILARSLKKLEEAKDAIRLSTGIDVAVYAADVRDYDAILKAVDEAGPIDVLIVNQGVFVPQELAEQELDEVKFMLDVNLLGTFNMIKAALPAMKNRVDRRPASIALMSSQAGQVGIYGYTAYSASKFGIRGLAEALQQEVIGDDIHVSLIFPPDTDTPGFAEEQKKRPELTTIIAAGGGSMKPELVAKKAFNGIKSGSFIIPCNFEGTLLSIATAGLSPQRSFFMAFIEVVGAGVIRIVALCFQWVWYGSIEKWHAQRNRT >KGN58710 pep chromosome:ASM407v2:3:27332395:27333070:-1 gene:Csa_3G730740 transcript:KGN58710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQRTSFPRKPTKRRSHTRKVPTEAVINMAEARRQIAEALQLHRSSLSSSSSTTTSMAEPSGCYVFQFEKKPIVSGSQHFCYSIMESMPVPQPTWSTTEPSVVRSPVAPMEEQEIFEWGDGQASYAWWLGFLKALDVNISNDTEYENAGSGSAVGMSSMVLTRCQDGLESGEALFLEASDHTSLADEWLIIPIGEDGGFIDNNCLGNDLASN >KGN59344 pep chromosome:ASM407v2:3:31192977:31199635:1 gene:Csa_3G812160 transcript:KGN59344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSKTKKKSAPITLEQFVSITAPLLDMEKDAEIAASISSGATRNLETAQKKGSTILNLKCIDASSGLMGKTLLEFQSNKGDVLPPHKFSTHDVVVLKPNRADLGSPSLGQGVVYRLKDSSITVAFDDIPEEGLASPLRLEKVANEVTYRRMKDALIQLSKGVHRGPAADLIPVLFGERQPSMSKTDVKFKPFNSNLDHSQKDAISKALSGKNVFLLHGPPGTGKTTTVVEIILQEVKRGSKILACAASNIAVDNIVERLVPHRVKLVRVGHPARLLPQVLESALDAQVLRGDNSSLANDIRKEMKALNGKLLKAKDRNTRREIQKELRTLSKEERNRQQLAVTDVIKNSDVVLTTLTGAFSRKLNNISFDLVIIDEAAQALEIACWIALLKASRCILAGDHLQLPPTIQSVEAEKKGLGRTLFERLAEMYGNEVTSMLTVQYRMHELIMDWSSKELYDSKIKAHSSVAAHMLYDLEDVKKTSSTEPTLLLIDIAGCEMEERKDEEESTLNEGEAEVAMAHAKRLIQSGVQPSDIGIITPYAAQVVLLKTLRSNDDKLKDIEISTVDGFQGREKEAIIISMVRSNSKKEVGFLSDRRRMNVAVTRARRQCCLVCDTDTVTYDAFLKRLIEYFEENGEYLSASEYQNE >KGN59829 pep chromosome:ASM407v2:3:34783958:34787753:-1 gene:Csa_3G848870 transcript:KGN59829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILQLHDVYDPNKINVKIKIVSGSPSGAVAAEAKRAQASWVVLDKQLKHEEKCCMEELQCNIVVMKRSQPKVLRLNLVGSPKKEPEVPSPSPSDIYEGSESHQKENNDPLDFIRGPVVTPSSSPELGTPFTATEAGTSSVSSSDPGTSPFFNSEMNGDTKKEELFVIKENKELDAASSDSDIENLSVSSASLRFQPWMTEFLSSHLQSSQHISGRSQRCDDRNQASTRNSFLLKSSKLDRESSIGMSSHRSDNDFHGDVRDAVSLSRNTPPGPPPLCSICQHKAPVFGKPPRWFSYAELELATGGFSQANFLAEGGYGSVHRGVLPDGQVVAVKQHKLASSQGDLEFCSEVEVLSCAQHRNVVMLIGFCIEEKRRLLVYEYICNGSLDSHLYGRQQEPLEWSARQKIAVGAARGLRYLHEECRVGCIVHRDMRPNNILITHDFEPLVGDFGLARWQPDGDTGVETRVIGTFGYLAPEYAQSGQITEKADVYSFGVVLVELITGRKAVDLSRPKGQQCLTEWARPLLDEFLIDELIDPRLVNSFAEHEVYCMLHAASLCIRRDPNARPRMSQVLRILEGDLVMDANYFSTPGYDVGNRSGRMWTEQQQQPQNYSGLLSDETVERFNEKVCVESLRPGYWERDKTRRTSSGSEL >KGN55847 pep chromosome:ASM407v2:3:1908938:1913768:1 gene:Csa_3G019380 transcript:KGN55847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESALQLPIIDLSSQDRDATALSVRQACLDYGFFYIINHGVEETLLERMFDESRKFFSLPVEEKMKLVRKEHRGYTALYAEKLDPTASNDIGDAKESYYIGPLAGNATESDLNQWPSREQLPSWRATMESFYKQASLVGRQVISLIALALNLDEHYFEKIGALNNPTAFLRLLHYPGDLRSLDEGILGASAHSDYGMITLLVTNGISGLQVCKEKFDRPQVWEDIVPIEKAFIVNVGDLMERWTNCLFRSTLHRVIPVGEERYSVAFFFDPNEDCVVECLQSCCSESSPPRYPPIRSMDYLKERLRLTYKDGFA >KGN56574 pep chromosome:ASM407v2:3:7539215:7539445:-1 gene:Csa_3G124925 transcript:KGN56574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMVGASFSSAQPHSNFIFSCCSNCAHLPARIISLEYRLAPEHRLPAAYDDALEALEWLRRQADLIDGGFHDGEII >KGN57786 pep chromosome:ASM407v2:3:17131236:17133371:1 gene:Csa_3G302110 transcript:KGN57786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISNYFFWTGVPSIVNVELLSSGPSESGRNATSNVVNSFPGPSHRGGLRNARGRGRGAWSRGVGLGGGSGGGRGRGRGRGRGQGRKKPVEKSSDELDKELENYHAEAMQT >KGN60401 pep chromosome:ASM407v2:3:39075013:39078539:1 gene:Csa_3G902920 transcript:KGN60401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSIQDILGELNRDSYISLLSKLIGESEFVQNNPPHLIPQEDKVGKHVLDVLNPHRNILNITRVSYDEKDQRGHLIIKYPGTIPGKVVSFVGSHMDVVPADPNAWNFNPFSLSIDGDKLRGRGTTDCLGHVALLTQLMLKLAQTKPILKSSVVVMFIVSEENNSIPNIGVERLYADGYFDILQGGPLYWVDTADSQPCIGTGGSLTWTINTKGKLFHSGMPDKAINALELAMDALKDIQLKFYKDFPAVPKEAEYGFEIPSTMKPTQWSYPEGAINQIPEKCTIAGDVRLTPFYDMDYLKKKLQEYIDYTNAHVEDLASRGPVSKYTLPDGTRGELAIIFGNPMPGIACDINSAGYKALYNATYEVIGEVKPYSLTGSLPLVHDLQNDGFDVQNIGYGLTETYHADNEYCYYSDMAKGYKVFASIISQLEEL >KGN58035 pep chromosome:ASM407v2:3:20660805:20668124:-1 gene:Csa_3G446120 transcript:KGN58035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRTSRNFSRSISRSFSRASWSMEDVFANGNPSRRSSRVDEDEEALRWAAIEKLPTYDRLRTSILQSVNEPDPRIAGNLPLHKEVDVRKLGVSDRQDFIDRIFKVAEEDNEKFLRKQKNRIDRVGIRLPTVEVRFEHLTIEADCHVGNRALPTLPNVARNMAESAISLVGVKLAKQTKLTILKDASGIVKPSRMTLLLGPPSSGKTTLLLALAGKLDPSLKVKGEVSYNGHKLKEFVPQKTSAYISQNDVHMGIMTVKETLDFSARCQGVGTRYELLSELARREKDAGIKPEAEVDLFMKATAMEGVESSLITDYTLKILGLDICKDTIVGDEMIRGISGGQRKRVTTGEMIVGPTKTLFMDEISTGLDSSTTYQIVKCLQQIVHLTEGTILMSLLQPAPETFDLFDDIILVSEGQIVYQGPRDHVVEFFESCGFKCPERKGTADFLQEVTSRKDQEQYWADRRKPYRYVPVSEFASRFKRFHVGLRLENELSISYDKSRGHKAALVFSENVVPKMELLKACFDKEWLLMKRNSFVYIFKTVQIIIVAIIASTVFLRTRMHTRDQSDGAVFIGALLFSLISNMFNGFSELAMTISRLPVFYKQRDLKFHPPWTYTIPTVILGIPTSLLESVVWLVVTYYTIGFAPEASRFFKQLLLIFLVQQMAAGVFRLIAGICRSMIIANTGGSLILLLIFLLGGFIIPRGEIPKWWIWGYWISPLTYGFNAIAVNEMFAPRWNKLIPNTTVTLGVKVLENFDVFPNKNWYWIGIAAILGFAILFNILFTIALTYLNPLTKHQAIMSEETASEMEANQEDSQEPRLRRPMSKKDSFPRSLSASDGNNTREVNMQRMSSKSEANGVAAKKGMILPFSPLAMSFDTVNYYVDMPPEMKEQGVTEDRLQLLRGVTGAFRPGILTALMGVSGAGKTTLMDVLAGRKTGGYIEGDVRISGFPKKQETFARISGYCEQNDIHSPQVTIRESLIYSAFLRLPKEVSKEEKMVFVDEVMDLVELDNLKDAIVGLPGVTGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRAVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQVIYFGPLGRNSQKIIEYFESIPGVPKIKEKYNPATWMLEVSSVAAEVRLGMDFAEHYKSSSLSKRNKELVTDLSTPPPGAKDLYFESQYSQSTWGQLKCCLWKQWWTYWRSPDYNLVRYFFTLAAALMIGTVFWKVGTKRDSSTDLTMIIGAMYAAVLFVGINNCQTVQPIVSVERTVFYRERAAGMYSAFPYALAQVLVEIPFILVQTTYYTLIVYSMVSFQWTAPKFFWFYFINFFSFLYFTYYGMMTVSITPNHHVAAIFAAAFYALFNLFSGFFVPRPRIPKWWVWYYWICPIAWTVYGLIISQYGDVEKKISVPGLSDPISIKSYIESHFGYDPNFMGPVAGVLVGFAAFFAFMFAYCIKTLNFQLR >KGN55675 pep chromosome:ASM407v2:3:591130:592328:1 gene:Csa_3G002940 transcript:KGN55675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRHFQFYFFWSAETPPHSLAFGFSMHLPFSFTSSSCYRIGNHGLKLAPYSITRPCERRQIHNVICYQYKVGDLDAWGIPSSENSQIYMYWSKYHSLKIGDSLMFLYPPSQDSVIQVTKESYNSCNLKDPILYMKDGNSLFNITDYGDLFFISGDAGHCEKNQKLHISVLSGNGSSASAPSSDGSLPEISPSYPTVFGGIPAAPSANSSASSSSLPTKSLLLPSAFIAAAFSGLVALIA >KGN57437 pep chromosome:ASM407v2:3:13061801:13063360:-1 gene:Csa_3G185660 transcript:KGN57437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSRSSDGGSERRSSVISRRGTNGTLNVIFTDPQILDCYICCEPLSIPVFQCENGHIACSSCCTKAQNKCPSCTLAIGYIRCRAIEKVLESIKLPCQNAIYGCKTVMGLNLINDHESLCRYEPCSCPLDNCTFVGSTEQLGLHFTKKHKDSAKIFSYNTRFTICLNNGDTHRILKAENDGVLFFLSYTFEIFGNAVTMNRIGPLSSEKKFCYEIKAKTLGSVLSLQSIAKEIQGLIKVPPSKGSLLIPNEYFGSSTQTMLEISIWPAH >KGN55895 pep chromosome:ASM407v2:3:2419429:2422799:1 gene:Csa_3G033770 transcript:KGN55895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHSSRVAVIGAGAGGLVSARELSREGHHVVVFERNTQIGGAWVYSPEIESDPLGVDPDRTRIHSSLFKSLRTNIPRELMGVRDFPFVPREGEDRDPRRFPSHPEVLKYLEDFANEFGVYKFVRFGTEVVFAGLEELGKWRIEFRCENGDVDYEIFDAVVVCVGNYSQPRVAEIPGIDGWPGEQVHSHNYRDPEPFRGKVVVLIGYSSSGTDISQELIGVAKEIHIVWRSPKRELLDRESIISNVSFHPMIESVCKDGTVVFQDGCVVSADVILHCTGYNYHFPFLETNGNVTVDDNRVGPLYKHVFPPALAPGLSFVGLPFKVIPFPLFELQSNWVAGVLSNRIALPSKEEMLADVKAFYEDLEALGKPKHRTHLLGDYMMPAYCNWVATTCGCPPYEEWRKEMNISVHLYRLPNLKTYRDDWHDDELIRQAYEEFSKYNTNVRSQNNSNLNAS >KGN59783 pep chromosome:ASM407v2:3:34274916:34279312:1 gene:Csa_3G845500 transcript:KGN59783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPHEPYSGNNSDAESVSSVRRGDRRAFSGPISSSTTKPRKNAKFDLSSSSSSLKAADDDDTYVEITLDIRDDSVAVHSVHTAGPGQDPNSLEDPELSLLAKRTLEKKSSSFRASVLRSTSSRIKQVSQELKRFTSLNRRTSTRRFDRTKSAATHALKGMKFITAKTGGGGSSAGWAPVEKRFDELTASTNGLLPSSLFGQCIGMNKESKDFAGELFRALARRRNITGDSINKAQLKEFWDQISDDSFDSRLQTFFDMVDTDADGRITEEEVEEIISMSASANQLSTIQKQAKEYAALIMEELDPGNAGYIMIQNLETLLLQAPNQSVRVSDSRVLSQLLSQKLKPTNETNPIIRTYDKFLYFVEDNWQRIWVLLLWLGICAGLFAYKFIQYRNRAVFNVMGYCVSIAKGGAETLKFNMALILLPVCRNTITWLRNKTKLGLIVPFDDNLNFHKVIAVGISVGVGLHAIAHLACDFPRLLHATEEEYEPLKRFFGEEQPDNYWWFVKGVEGVTGIIMVVLMAIAFTLATPWFRRNKLKVPKPLKKLTGFNAFWYSHHLFVAVYTLLVVHGIYLYLTKEWYKKTTWMYLAVPVLLYGCERLIRAFRSGIKPVKILKVAVYPGNVLALHMSKPHGFKYKSGQYMFVNCRDVSPFEWHPFSITSAPEDNYLSVHIRTLGDWTRKLKDVFSEVCQPPQAGKSGLLRAEFLQGGAPNPKFPKILIDGPYGAPAQDYKKYDVVLLVGLGIGATPMVSIVKDIIDNIEEKESEANAVENGQGHSSRGGSKHGKGFRTKKAYFYWVTREQGSFEWFKGIMNEVAEMDERGVIELHNYCTSVYEEGDARSALITMLQSLHHAKNGVDVVSGTRVKSHFAKPNWRQVYKKITLHHPDTKVGVFYCGTPVLTKELSQLASDFTRKTSTKFEFHKENF >KGN57478 pep chromosome:ASM407v2:3:13516444:13519970:1 gene:Csa_3G197930 transcript:KGN57478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGEEREAIPPCKYPRRAPSMMRGEEVHFRGYPRQLLIPCEGEDSIKWSFINSLKEADYIINGNTKNVMSMSEPDLLELWRSVSNGMLASAMMMT >KGN57725 pep chromosome:ASM407v2:3:16207603:16207977:1 gene:Csa_3G263230 transcript:KGN57725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITLVLLLRLAKSTPMGRSPLPFSRIQTSNPTPMRRSPLLPGHLPQSLIVNIDFERPHLNVPVITLSEGDAATEDVQDYGTLHDTAPHDETLPDSRTSLIVYDPLFYNILMREDTGEMSSARTT >KGN56597 pep chromosome:ASM407v2:3:7698889:7703308:1 gene:Csa_3G126120 transcript:KGN56597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKQQANWSPYDNNGGSCVAIAGADYCVIAADTRLSTGYNILTRDYSKICKLADKAVLASSGFQADVKALQKALSARHLIYQHQHNKQMSCPAMAQLLSNTLYYKRFFPYYAFNVLGGLDSEGKGCVFTYDAVGSYERVGYSAQGSGSTLITPFLDNQLKSPSPLLLPAQDSSTPLSEAEAIDLVKTVFASATERDIYTGDKLEIVVLNADGLRREYMNLRED >KGN59498 pep chromosome:ASM407v2:3:32342379:32342948:-1 gene:Csa_3G822480 transcript:KGN59498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATDWESSSGGASGDDDNYEQDIKDEEERLFASGYLRKLQFRKHASTVRWNDRMGMAEVVENKSR >KGN58872 pep chromosome:ASM407v2:3:28085509:28089480:1 gene:Csa_3G734250 transcript:KGN58872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEILAPAASTNHSAISKVRVVARVRPFLPLEGSVKSYRVPVSCISVLDQAQISQEEVTVHLKDPETSRNECYQLDSFYGQEDHTVRQIFDKEVNPLIPGLFHGCNGTVFAYGATGSGKTYTMQGTEEEPGLMPLAMSRILSLCEETGCRAEISYYEVYLERCHDLLEPKAKEIVILDDKEGQIHLRGLSKVAVNSMSEFRETLAIGFQRRKVADTDLNDVSSRSHGVLVIAVSSPVCADSGASVTGKLNLIDLAGNEDNRRTGNEGIRLQESAKINQSLFALSNVIYALNKNLARIPYRESKLTRILQDSLGGTSRALMIACLNPGAYQEAVHTVSLAARSRQISNFVLPVHKHGTPQAKVDMQSKLQTWLESKGKTKSAQRIGGFGSPFSSKTPCSTASKLRKPLFNSSAKVKKTADQSAWKQEKERSVTVAFGNLVEKEDVVNSITTKDHDAVVKVKFNSPRKALSPLHNNGIQKPMLEVSLTDHLLTANTPKTPLPANGELKSFGTPLDKFGAQVSTLKSCVAQEYVDFLNTASREELVELKGIGVKMAEYILDLRETSPLKSLTDLKKLGLSYKQIHNLFSKAARGMFDRTEDLPETTLSTNDEERRCNNVLGSVP >KGN57804 pep chromosome:ASM407v2:3:17434313:17447432:-1 gene:Csa_3G308200 transcript:KGN57804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSVVCSLCDHVSSAGCDLTIAKDKGVSRIHAEILVDAMISLNSHNSHLSNISSKVRISDCSKYGTFVRRNSGLKEKLHELPRKEASLYDGDVVSFGSGNATYRFSLVQLLFYVNRSESFQSQLLRDKVSSIGACTSHDIQECTHVLVEELMPFNEALVDAIVAEKPFVLTSWVELFSGKTTCNEIPSFDSYQPTMTVEGMHVKVVDPNTRKNCLSGYTFLLGNAQSYKYGDRLKMLLQQVGGANVLSIEDFSSDSQDLGNGENDRVVFVMPGGSVEKLDSSFKVDSYYKITELILISSVLSGTLDRDNLIHPCEPGSSTCSTDETVVAESDLETETSKPAVSNVQGPDAYVSKSDEYLSRPLISVDNAATGSVGGHETVKNSCSDIEMRKDKINESDSRNIDIIFSQNLIVQHATKPSTLVTAENNGINFKRFRKTQTHSGNSFNNLVPFDKYPYKDNGDGREEIAESLKEERRRKETEAIAEDLFHNEKGRRRGMAGSLQELFTRS >KGN59496 pep chromosome:ASM407v2:3:32340598:32341287:-1 gene:Csa_3G822475 transcript:KGN59496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQRRHLELSSLSRSTSSADTAIVLKPCSTTSSHSPSSLLHSLFRFFLHRRNALSLITLRRKLTGTLFGHRHGHVTFSLQLDPRTEPLTLLHLHISTTALLKEMSSGVLRIALHSHKLPGRARPTKLLQHPSWTMYCNGTESGLALSRTCEAYDRHVLNTIRSVSVGAGVIPVLHDGTKAAGGCSELGALVYMRAEFERVVGSADSEALFMINPDGNAASELTIFLLRI >KGN57945 pep chromosome:ASM407v2:3:19528021:19530315:1 gene:Csa_3G403970 transcript:KGN57945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVIRAQRKGAGSVFRSHTHHRKGPARFRSLDFGERNGYLKGVVSEIIHDPGRGAPLARVTFRHPFRYKHQKELFIAAEGMYTGQFVYCGKKANLVVGNVLPVRAIPEGAVVCNVEHHVGDRGVFARCSGDYAIVISHNPDNDTSRIKLPSGAKKIVPSGCRAMIGQVAGGGRTEKPLLKAGNAYHKFRVKRNCWPKVRGVAMNPVEHPHGGGNHQHIGHASTVRRDAPPGQKVGLIAARRTGRLRGQAAATAAKADKA >KGN59056 pep chromosome:ASM407v2:3:29123032:29123886:-1 gene:Csa_3G748850 transcript:KGN59056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGSSLLSSVRSPSSIRSLFAHSLASYTRNQDRYSSGVRFPPARALGSYCGLKFDLTLRFGFHLWLHSNDTRYTFSSNIVVL >KGN60284 pep chromosome:ASM407v2:3:38274039:38279014:1 gene:Csa_3G893340 transcript:KGN60284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKTVVGEESRLKIVEDRLAQSAIPSEIGLVIGRLSSPLDRGFVFDLVPTPLNDAGEAACSLVGAIKDDKKKGSKGKSPAVDSSSLVIDKDWVAEHARQVRRMLPGGVKVIGIYVWASEMAVKNSTLMLCQTVKTVAEAAPVNDLEERLLVHICYSPRRWTCKNFFLTSNITSNSLRPCDFKMGRVLTSLQTFKCMFNFDMRIPVWRASNTQRLIDVIRNEISIQADVLKGAKAVVDGNLVVSDDPSIVGDLHEIELLLPFLKNTSFEVSSQKDVDGIMVFRGSVCSFAYLNSKEPISEAVREIKGDIITSLQSRLDIICDEVDADKDVSNDVHKDANEVASEQHVSQLFLHSLRKKCDLSFPRRVFVPWLADIYICDYLQPSETTEVLKENCTELMSMEAPMDMKSVLEPEKEALSFSTELFWDVASPFQSTETSLVKNNINDDTRTGNDVVLRSKSSGINIMVAVFVLILAVIFGFVLLFMMGS >KGN59506 pep chromosome:ASM407v2:3:32403347:32409135:-1 gene:Csa_3G823050 transcript:KGN59506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAAKLSAKFTRQSFSWRHAYNLSMETPTPFLVSPSATDVGVSSIRTPGIQREFYNCSQQDGRDAVLSRENKGGLSQYLCRIPPFVKIVEVGPRDGLQNEKHIVPTAVKVDLIKMLVSSGLPVVEATSFVSPKWVPQLADAKEVMEGIRNVEGARFPVLTPNLKGFEAAVAAGAKEVAIFASASESFSKSNINCSIEESLHRYRQVADAARKHSLPVRGYVSCVVGCPIEGMVPASNVAYVAKELYSMGCSEISLGDTIGVGTPGTVIPMLESVANVVPTENLAVHFHDTYGQALSNILVSLQMGIGTVDSSVSGLGGCPYAKGASGNVATEDVVYMLNGIGAKTNVDLGKLMLAGDFICKQLGRPSASKVAIALRKVASNTNKCTATASKL >KGN60260 pep chromosome:ASM407v2:3:38064050:38068453:-1 gene:Csa_3G891650 transcript:KGN60260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCLTSYSLPAAFTFSNPSVSLSKPPFFAVARGAMSMVGVLRASHTANAPPSVDDSPENRTDKEVKLWGGRFEESVTDAVERFTESISYDKQLYKHDIRGSRAHATMLAKQGLMSVDERDSILEGLDQIERQIESGDFVWRTDREDVHMNIEAALIDIIGEPAKKLHTARSRNDQVLTDFRLWCRDAIDSILDAIKYLQVSMVTLALKNEGLIVPGYTHLQRAQPVLLQHLLLAFVEQLERDAGRLSDCRVRLNFSPLGACALAGTGLPIDRFMTAEALGFAGPLRNSIDAVSDRDFALEFLSANAITAIHLSRLGEEWVLWASEEFGFITPNDSVSTGSSIMPQKKNPDPMELVRGKSARVIGDLVTTLTLCKGLPLAYNRDLQEDKEPVFDSVKTIVGMLEVSAEFAQNISFNRERISKALPAGYLDATTLADYLVKKGIPFRTGHDIVGKSVALCVTKRCCLQDLSLDELRSISPVFEEDVYEFLGAENAINKFCSYGSTGAACVATQIDYWVNKLQINA >KGN59564 pep chromosome:ASM407v2:3:32714076:32714794:-1 gene:Csa_3G825090 transcript:KGN59564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKWNNWIWGVGLLWFLGLLGLWGWRIVNWVWLRPKRLEKLLRQQGLAGNSYRFLFGDTKEIGVAVRQARLQSMTFSHDIASRATPSSYPTIHKYGKNSFTWIGTTPRVYITEPEQVKIAFSQINDIRKTSSFPLRRRMGSGLVTLEGSKWAKHRKIINPAFHMEKLKV >KGN60003 pep chromosome:ASM407v2:3:36142090:36142644:1 gene:Csa_3G865380 transcript:KGN60003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRKSRTNNNVAQASSRKGCMRGKGGPDNAACTFKGVRQRTWGKWVAEIREPNRGARLWLGTFDTAHEAALAYDAAARKLYGSEAKLNLPQTTTTSHELPQLEHGGQSPSNNNIIASPSPTGTTTTVSSSPIERIGGLWENENVNFDESIWREAVMSLDFPIIENDQGIFFDGAGSWDALQWCM >KGN56382 pep chromosome:ASM407v2:3:6547551:6552171:1 gene:Csa_3G118170 transcript:KGN56382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGSEVEERVDLEEDNYMEEMDDDVEEHVDEDGVDRRAGELPEEDVEEVSEEPQVGTDTEDKFSDDRNNLSVESIENREKSSSLLDEDDLEKHAQLLALPPHGSEVFIGGLSRDVLEEDLRDMCESLGEIFEIRIIKDKDSGESKGYAFIAFKTKEAAQKAIEDLHGKEVKVGKTIRCSLSDSKHRLFIGNVPKSWTDDEFRRLIEGVGPGVENIELIKVKALYVKNIPENTTTEQLKELFQQHGEVTKVNMPPGKAGSSKRDFAFIHYAERSSALKAVKETEKYEIEGQLLEVVLAKPQSDKKSDGAYSHISGSYPNHLLHGGYGGYGGNPYGSLGGYGVTAGFHQPMIYGRGPMPAGMQMVPMVLPDGRIGYVLQQPGVPMPPSRSRRSERSNGSGGTMGRSGGSSSSDDVNRGRRYRPY >KGN56801 pep chromosome:ASM407v2:3:8872336:8872973:-1 gene:Csa_3G134010 transcript:KGN56801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGHWSWGLMEDEVWRKGPWTAEEDRLLMEYVRIYGEGRWNSVARLAGLKRNGKSCRLRWVNYLRPDLKRGQITPHEESIILELHARWGNRKRQKTVPMQMGKQKLVS >KGN57273 pep chromosome:ASM407v2:3:11903720:11905855:1 gene:Csa_3G175730 transcript:KGN57273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQRKSAAGRPSGTDGSDFSYRMVVDSRYQKVAKGKSRFHSLILAQVVIQLCGVAYLFLLTSKKETPDKLAISSAITGFFSLFIGELGQRHSRTSFLKVYAIASSLSLLLLLVDVSQGNYTFESIGDLSNWQAKQLELFEMIRISLGALLQIFAISTVISLVSNMSPPKRAS >KGN59811 pep chromosome:ASM407v2:3:34597361:34606717:1 gene:Csa_3G848210 transcript:KGN59811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNLGSSLPDATAAAETLRVGFVGLGIMGSPMAQNLIKSGYDVTVWNRTKSKCDPLINLGAKYQPSPQEVAACCDVTFAMLADPESALDVATGENGAASGLSPGKGYVDVSTVDGATSKLISARIKDTGALFLEAPVSGSKKPAEDGQLIFLTAGDKALYERVAPLLDIMGKSKFYLGEVGNGAAMKLVVNMIMGSMMASFSEGLLLSEKVGLDPSILVQVVSQGAISAPMYSLKGPSMVQSLYPTAFPLKHQQKDLRLALGLAESVSQSTPIAAAANELYKVAKSHGLSDQDFSAVIESLKSKLPHSKTE >KGN57836 pep chromosome:ASM407v2:3:18008430:18013392:-1 gene:Csa_3G345370 transcript:KGN57836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQNNATTSTDGVDTNCYEEQNTELNDNEILNDAAIEHTDNVVITEVANEADRSRSCEPTANDSSREPAPVTLHRSSRQLCLPSYLRDFHRNMIGKKHDLMLCKSILSEYTDKMGLERPIYTTKHNQGSVAFFQSTLVFDGVVYTSDLGRTKKEAEQLAARAAILSLHEDATNPKSQKALGDIIASKVRFHAMLQKVKDSNFSQFQPKSMPENTVERVAMTVNEGKELKDAVLDGGMVCGAISEACPTSQFQPEFSATKPDGSSPLMRLPIEFVRSTLEEPVGYHATIGSKRKSKNKRKARKKLCMENRVATETSQTAAPCSVAR >KGN56252 pep chromosome:ASM407v2:3:5381906:5385595:1 gene:Csa_3G110010 transcript:KGN56252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEIGSERSKSWNIYTTPDQSPSSQTGIGQEAPWKNFGSSMNAISFGFVATAILISMFLVMAIFEHLFRPSSPFSSSDEVTNNSSESTPAEKFASPNTVSTSYASDFSVLMPGQHIPTFIAQPAPLPCQREGIYWPSHRHNFSGP >KGN56913 pep chromosome:ASM407v2:3:9462904:9464454:1 gene:Csa_3G143540 transcript:KGN56913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYEVELVRDVEITVEKEKRDAHNFQRYIITCLLENLLKEKANKDHGYFLSVTSLRSIGKGIVKNESQCVSFPITFICRTFLPFEGEILHGVVRHIFQRGLLLKCGPIKYVFLSARKMPTYQYVGGENPVFSSKEFATIGNDVVVRFSVLGVRWIEKRGCIKKEFVMLASLEGNNSLGPISLSDSDEFDL >KGN57885 pep chromosome:ASM407v2:3:18498060:18508488:1 gene:Csa_3G363180 transcript:KGN57885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPAALSRPNHSLARQSDSLFPAPHRIYSRNLQVLKNPSRSLSFKTAAASAPHNVSPVYSCLTESIVSNSVPKASIRRPPYIPNRIPDPSYVRVFDTTLRDGEQSPGASLTVKEKLDIARQLAKLGVDIIEAGFPAASKEDFEAVKMIAKEIGNAVDEDGYVPVICGLSRCNEKDIRTAWEAVKYAKRPRIHTFIATSEIHMEHKLRKTKEEVIEIARNMVRFARSLGCDDVEFSPEDAGRSDREFLYQILGEVIKAGATTLNIPDTVGYTMPFEFGKLIADIKSNTPGIENVIISSHCQNDLGLATANTVAGACAGARQVEVTINGIGERAGNASLEEVVMALQCRGEHVLGGLHTGINSRHIFLTSKMVEEFTGLNVQPHKAIVGANAFAHASGIHQDGMLKHKGTYEIMAPEDIGYERSNDAGIVLGKLSGRHALKSLLLELGYELDGENLDNVFWRFKAVAEQKKRVTDADLRALVSDEVFQPTVLWKLLDMQVTCGTLGLSTATVKLLDADGKEHIACSVGTGPVDSAYKAVDLIVKEPATLLEYSMNAVTEGIDAIATTRVLIRGDKSYTSTNALTGEAVQRTFSGIGAGMDIVVSSVKAYIGALNKMLGFQGIDIKVTEEKTLSA >KGN60113 pep chromosome:ASM407v2:3:36933237:36935035:-1 gene:Csa_3G878820 transcript:KGN60113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYNQLQRLFFISRLKHLTNTRCGASQSNSMLYHSAEDSSAVQEVLPSEWYEKAFGKIKKLSCKLRNVDLMDGRVVNASDDSTISDERIEQEMRTFKSLVRILIGSPSAQRRITEIAGSSSINCQPHAWFRNSSEREAMVVDSLTKVCNILGVTVQQRKLVRHTICPQVTQHHIWTGALDQILKELNLELLPLSHRSTDKGIKMSLQIVSSCLKFLDTATNSNVHFSSWIRPAPSRTVVKSSPPPRWEDMLEMFNDLIGYLKDEKSLVHYVTKLEVMKEGLSQIKDVWSDRSIGFREAKLQESLVQKKLSKTLGHSSRCLFTLLLYYLFGHFRDIEVDFCGGLLKGDGNDKFLLFMGRVLSCDEEKIVWNGVRQLDRAMGIFKLVWETAGMKGELGLEGHLFCVGTEVRQLSYKGNAYLLHEIKL >KGN58537 pep chromosome:ASM407v2:3:26032398:26032896:1 gene:Csa_3G665100 transcript:KGN58537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDISGKRKRNDNNQNEEDEIQKFSEIVEKFRAPYNWFRARMSTHSAAATHNGGDRKKKKKKPSGSGEDEDDDDDDVWMPCFLLQDFEEEEVIKSWKARAVPRPETAGPAAEFESVDGGRVEGEKGKKEEKLNLRLSL >KGN59797 pep chromosome:ASM407v2:3:34440383:34441922:-1 gene:Csa_3G847340 transcript:KGN59797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHHSCCNKQKVKRGLWSPEEDEKLVNYISTYGHGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGSFSPQEASLIVQLHSILGNRWAQIAKQLPGRTDNEVKNFWNSNIKKKLISHEVSALATFSGHLQNPNASINNEGFIILNANPNLILTTSAHQDQPCLPTSTLQTSFDHLIDYKLDQFTNLNPNANLVHLLPSISSSTNFSPHDPRPMWPSSGGYRLQPLDSDQPVVASGEFVTPAATLPQYVDISFLHPTTTMPKLCEVGEENICSSIPQIPAPLQDLDPIIKLSSFSNGYYPQDQCMVTNQMAMEQIDMIMSSILQNPPCSSLSSSSSSLGLTGLSNDLVSSSWDA >KGN59977 pep chromosome:ASM407v2:3:35920244:35923377:1 gene:Csa_3G859640 transcript:KGN59977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGAKFSFPIMGAKIVGFHGRSGWLLDAIGLYIQPIPKVELKNFSLGPFGGKGGHPWEYVFRSIRRFVVDHEQWIHTIQFEYEDRNGKLLWSKKHGDTNGKSKSEVLLEFPDEYFVSIHGYYSHIRFLEDSATVIRSLTFKTNRRTCGPFGIEDGIRFSCPVMGRDIVGVYGRSGLCLDAIGLHLGTTLNMKAEPEPVAPPAPQIQMEQSKLRQYGGEGGEGWEDMFQTIRRFVVRHGVWIDSIQIQYEDNNGNLVWSNQHGGDGGSRSEVVLEFPDEYLVSIHGYYSDLERWGLATNVICSLTLETNKKSYGPFGVEDGFKFSFPTVGLKVVGIYGRSGLFLDAIGIHVVSIQE >KGN55868 pep chromosome:ASM407v2:3:2110495:2115029:1 gene:Csa_3G020070 transcript:KGN55868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFFKKEVKDIFVSNDSGVRDNNLPSSLKRKNVYSPIENAPKGGRGLGLKLLQSLRFQRPSKESLKMAMEGKHDPKEEEAVDQLREMLFLDGKLPTKFNDYHTLLRFLRMRNFDIEAAKDAFLKFIKWREDFKTDTISKDFKFEEKEEVKKCYPHGFHGVDRYGRPLYIERIGMVDLNKLLQITTLERFIKYHVSEQEKTSSIRYPSCSIHSKKHIASTTSIFDVGGVGMANFSKPARYLFTEIQKIDSSYYPETLNQLFIINAGSGFKILWKALRAFLEPRTLAKIHVLGHSFVHELREIIDPSNLPTFLGGNCVCSEYGGCLHSDKGPWNDPDTLALLQVISSADETYDNEKESDFAPKNDLLENTKIQALEEALEETKKKIELLEIALESTKVILKDASGRIKDLRS >KGN57681 pep chromosome:ASM407v2:3:15654818:15655768:1 gene:Csa_3G251910 transcript:KGN57681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMIIHVFSSSALLSLGLYHIVSVTLNFLKSPQSYSSRPYHPFPPSSSHQLHHPHHQHHHHYGLRYLQLYLSIPCLIIAFAHQAIVAADPDPLLKGSTPVHHFISLQSAAVIFLFLILTLAILLSDSTSLLPLPSDLFFALASALFFLQYSVSSSAASVQTSDLQAKCDSVSGKISALASFLCLALACLPRLFVADVGLGATFFLQGLWVLQTGLSLYVEAFIPEGCHRLLDVVSGVEGSTKCDLEESRLRAVAILDLAFLIHVMFVLLIVIVIYAVIAKTVGVRRVGSYEALPAAAIGGDNNHIQMKALTGTQA >KGN55792 pep chromosome:ASM407v2:3:1420728:1425167:1 gene:Csa_3G015880 transcript:KGN55792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLLLPSSPSSSYSSSFLTRTNFLPHNSRSRTCIRYNFKCSLPESGRYGNGKPIVPILNERSLPEFLESARLGKPLDRSNNRLKLFSGTANLALSQEVSRYMGLELSKVNIKRFADGEIYVQLKESVRGCDVYIIQPTCPPANENLMELFVMIDACRRASAKNITAVIPYFGYARADRKTQGRESIAAKLVANLITEAGANRVLACDLHSGQSMGYFDIPVDHVYCEPVILDYLASKTICYNDLVVVSPDVGGVARARAFAKKLSDAPLAIVDKRRQAHNVAEVMNLIGDVKGKVAVMVDDMIDTAGTISKGAALLHQEGAREVYACCTHAVFSPPAIERLSSGLFQEVIVTNTIPAITENYFPQLTVLSVANLLGETIWRVHDDCSVSSIFK >KGN56277 pep chromosome:ASM407v2:3:5581169:5586176:-1 gene:Csa_3G111230 transcript:KGN56277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKSKKSKSKRVSLKKKYKIIRKVKEHHKKKAKEAKKLSFKGKSKVEKDPGIPNDWPFKEQELKALEARRARALDEMEQKKAARKERAQKRKLGLLDDDNVASVEQSLGETKAEDNSVGPVRSRDNSDRAFYKELVKVIDASDVILEVLDARDPLGTRCIDMEKMVMKAGPDKHLVLLLNKIDLVPREAVEKWLNYLREELPAVAFKCSTQEQRSNLGWKSSKTSKTKTSNLLQRSDCLGAETLIKLLKNYSRSYEIKKSITVGVIGLPNVGKSSLINSLKRSHVVNVGATPGLTRSMQEVHLDKNVKLLDCPGVVMLRTKENEPSIALRNCKRIEKLEDPIAPVKEILKLCPSKTLVTLYKLSSFDTVDDFLQKVAVIRGKLKKGGIVDVAAAARIVLHDWNEGKIPYYTMPPVRNQEPSEARIVSELGKEFDIDAVYSGESSFIGSLKSADDFNPVEVPPSCPPNFDESMQEQENENAQSSTQDNEVLENKMNNSEDESMEQEEDGNGEGKGKGQDATSRQNEKLYSAEGILNTKMRRAEKKQRKKANKSSVSMDAMEDDDYDFKVDFKKK >KGN55778 pep chromosome:ASM407v2:3:1260510:1264193:-1 gene:Csa_3G011790 transcript:KGN55778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESTRVFAKSAKDQKEKLNVYVWDMDETIILLKSLLDGTYAKAFGGSKDVKRGEELGKMWEKEILDLCDHFFFYEQIENYNQPFLDALNEYDDGRDLSNYDFDQDGFGPPCDDANKRKLAFRQRAITNKYKEGLQNIFDQQKMKRWEELYEMTDVYTDRWFSSARAFLEECSISDEPPLVSADQTSNSTSTSSQHVNILVTSGALIPSLVKCLLFRLDHLITPGNVYSSWEVEKVQCFQWIKERFDKPNVRFCAIGNGWEECEAAQSLKWPFVKIDLQPGSLHRFPGLSLKTIGFYFSVIYGNCDSSNDEK >KGN60038 pep chromosome:ASM407v2:3:36438563:36439283:-1 gene:Csa_3G872200 transcript:KGN60038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWVVEERGPEWKYGWTERKMSSMSLPPMPLLAFLGVVVLLLSLQSQQMVLDFKLLLLALPFLMIFVANSVAVSGTVGSASMRTKSRSAQEAERTSPWGAAVLLFLLLILLWNRPYFRSKWSPPS >KGN58951 pep chromosome:ASM407v2:3:28513496:28517111:1 gene:Csa_3G738970 transcript:KGN58951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVIDLLTRVDAICQKYDKYDIEKQRDLNVSGDDAFARLYATVEADIKAALQKAEDASKEKNRASVVALNAEIRRTKARLLEEVPKLQRLAVKRVKGLSTEDLTTRNDLVLALPDRIQAIPDGTVTTTKNNGGWTSSASRTEIKFDSDGRFDDEYFQHTEQSSQFRQEYEMRKMKQDQGLDMISEGLDTLKNMAHDMNEEIDRQVTLMDEIDTKVDKAASDLKNTNARLKDTVNQLRSSRNFCIDIILLCIILGIAAYLYNVLKK >KGN57397 pep chromosome:ASM407v2:3:12763786:12764016:1 gene:Csa_3G183310 transcript:KGN57397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAPGSRTLQLGQSARLREETATGADAEEKLHTAARLRRTIGCARLMEINSTNDRLGFEEDLSLFFNCTKFEDHL >KGN59080 pep chromosome:ASM407v2:3:29327492:29328520:1 gene:Csa_3G760500 transcript:KGN59080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSKLHLLLPLLVLLLPAAVTAYPLCKIDTSDLKLCRSAVTPPDHGQPLPLPTEDCCSVVRHADLKCLCNLKSVLPSMGIDTANALALPSKCNVASPPECHS >KGN58398 pep chromosome:ASM407v2:3:24848014:24849532:1 gene:Csa_3G636960 transcript:KGN58398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIGMGCGVGWGPGFGPEVIGYVGAGCGIGFCVGFTAAGLGIGLPANVLYHGPYSALLATRSGAIELSQSSSLRSKKFSISNGWNDFTQHVFGLQREAIRRLANIKVDCTDKKINLPDMQILPAIHTKSICESLGTLGHRLSHFHKDGIGLFINME >KGN57928 pep chromosome:ASM407v2:3:19221954:19223009:1 gene:Csa_3G393910 transcript:KGN57928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTQKTLHSFEFQAFLPIKDQQIPTSYRSSFSTVSDSASPVLAVVILSVMGTAFLLLSYYIFITKCCYNCHQFSLLRRFSSFLTPQQREDPFIALSPTTMWNCGLEESMIRQIPAFRFERDGEHSGIYGCVVCLSEFQENEMLRVLPKCSHTFHLDCIDIWLQSNSNCPLCRTSISGITKPPIDQTVAPSSSPQNSQLLSNGLMGSDEDFVVIELGSEDEVVFSEGQQEGNASREVLVQQTSKKTENKIRKPKTRKCHHVSIMGDEGIDVREKDDQFFTQPIRRSFSMDSAADQQLYLTVEMIIHQGRQITRSSSSSSGAESDSRNRRSFLPSRSGRGCKNAILPLESDL >KGN58910 pep chromosome:ASM407v2:3:28287990:28291406:-1 gene:Csa_3G736580 transcript:KGN58910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLQWLLDFLQGMTKPFLATAIVVLAVLLSYFQKLGLEAEMIYAIFRAFLQLSVIGFVLQFIFSQQNLSWILLAYLFMVTVAGYTAGQRAKHVPRGKLVAGASILTGTSVTMVMLVVLRVFPLTPRYIIPVAGMMVGNSMTVTGVTMKRLRDDIRTQFSLVETALALGATPRQATHQQVKRALVVALSPVVDNAKTVGLISLPGAMTGLIMGGASPIEAIQLQIVVMNFLIGASTVSSIMSTYLCWPSFFTAAYQLETAVFTAA >KGN56660 pep chromosome:ASM407v2:3:8059096:8067886:-1 gene:Csa_3G127220 transcript:KGN56660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIMNHFQFPFNSFSLSTMLDEAVAVYKLSQLGTNWRWREFGSSSPCVWECIGIGVQLVFLGVLFIRFLQICVCWVWNSFDVESKSTDQAAENCPISRKLSVSYRASVGCSLVMLVIHVLMVFVLQNVNVSHCNCRIEVLSSEIPRVIAWGGAIFAVFMVLRDKSVKYPWILRGWWFCSFVLLIVRLGLDAYFGNVKHLGVQDYAEFFSILPSIFLFGLSIYGHTNVVFNVHNGLEDPLLPEKCLDQERDEKDSPYGRATLFQLVTFSWLNPLFAVGYAKPLEQEDIPDVCKIDSANFLSHSFDETLNFVRKNNSTKPSIYKTIYLFGRKKAAINASFAVISAATSYVGPYLIDDFVNFLTHKKMRTLSSGYLLALAFVGAKTIETVAQRQWIFGARQLGLRLRAALMSHIYQKGLRLSSRSRQSCSSGEILNYMSVDIQRITDFSWFLNTVWMLPIQISLAMYILHTNLGVGSLGALGATLVVMSCNIPMNRMQKSYQGKIMEAKDNRMKTTTEVLRNMKTLKLQAWDTQYLRKLESLRKVEHYWLWKSLRLIGFSAFVFWGAPTFISVITFGVCVLLKIELTAGRVLSALATFRMLQDPIFNLPDLLSALAQGKVSADRVASYLHEDEIQQDSITYVSRDQTEFDIEIENGKFSWDLETRRASLDQINLKVKRGMKVAVCGTVGSGKSSLLSCILGEIEKLSGTVKIGGTKAYVPQSPWILSGNIRENILFGNDYESTKYNRTINACALAKDFELFSCGDLTEIGERGINMSGGQKQRIQIARAVYQDADIYLLDDPFSAVDAHTGTQLFEDCLMGALKEKTIIYVTHQVEFLPAADLILVMQNGRIAQAGGFEELLKQNIGFEVLVGAHSQALESIVTVENSIRKPQLTNTEKELCEDSTVNVKPKNSQHDLVQNKNSAEITDKGGKLVQEEERERGSIGKEVYLSYLTTVKRGAFVPIIILAQSSFQALQVASNYWMAWACPTTSDTEVVTGMNFILLVYSLLAIGSALCVLLRGMLVAITGLQTAQTLFTNMLRSILRAPMAFFDSTPTGRIINRASTDQTVVDLEMATRLGWCAFSIIQLTGTIVVMSQAAWEVFAIFIPITAACIWFQQYYTPTARELARLSGIRQTPILHHFAESLSGAATIRAFDQEDRFFKTNLGLIDDFSRPWFHNVSAMEWLSFRLNVLSNFVFGFSLVLLVTLPEGIINPSLAGLAVTYGINLNVLQANVIWNICNAENKIISVERILQYSKIKSEAPLVIDNCRPPSNWPQDGTICFKNLQIRYADHFPDVLKNISCTFPGRKKVGVVGRTGSGKSTLIQAIFRIVEPREGSIIIDGVDICKIGLHDLRSRLSIIPQDPSMFEGTVRGNLDPLEQYTDQEIWEALDKCQLGDLVRGKDEKLSSSVVENGENWSVGQRQLFCLGRALLKKSSILVLDEATASVDSATDGIIQNIISQEFKDRTVVTIAHRIHTVISSDLVLVLSDGRIAEFDSPKMLLTRDDSFFSKLIKEYSTRSQNFNNLANKRYE >KGN58022 pep chromosome:ASM407v2:3:20481783:20483748:1 gene:Csa_3G435030 transcript:KGN58022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWQSYIDDQLMYEVDGQHLKAAAIIGNDGSVWAQSSAFPQYKPEEISAIMKDFDEPGSLAPTGLHLGGSKYMVIQGESGAVIRGKKGTSGITVKKTTQALIFGLYDEPMTPGQCNVIVEKLGDYLIDQGL >KGN57181 pep chromosome:ASM407v2:3:11191971:11192427:-1 gene:Csa_3G168920 transcript:KGN57181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRPKFPVAGIRFVAAACVVQLAGFQFLKLQHRVELSQHQFFLQCCAVSSCAVDSLSDFLNYPFILLFCVKCNLMVSFFIFIDLWPESLIRIGKPRIIESM >KGN58860 pep chromosome:ASM407v2:3:28016562:28019410:1 gene:Csa_3G734130 transcript:KGN58860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQGFTFSPPVTVVVLAISFIYFSTVFIFIDRWFGFMSSPGIMNAIVFTAVALMCITNYALAIFTDPGRVPSTYMPDIEDSENPIHEIKRKGGDLRYCQKCSQYKPPRAHHCRVCKRCILRMDHHCIWINNCVGHENYKVFFVFVVYAVVACIYSLILLIGSLTIEPPKDEQQVGGPFRTVYVVAGLLLFPLSMALSVLLGWHIYLILHNKTTIEYHEGVRAMWLAEKGGNVYSHPYDLGAFENLTTILGPNIFSWICPTSRHKGSGLRFRTAYDKSITASM >KGN60425 pep chromosome:ASM407v2:3:39251741:39258698:-1 gene:Csa_3G904140 transcript:KGN60425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCTADDLQEWKDFPKGLRVLLLDRDSFSATEIRSKLEEMEYVVYSCTDEKEASSAILNTPGNFHVAILEVCARNYDESFKLLGASKDLPIIMTSDVHCLSTMMKCIALGAVEFLLKPLSEDKLRNIWQHVIHKAYSNSSKPDEDSVASLMQFQLQNEDKNGVPEDMEILSWIQDIVWEQPEGSDDRSQLNLGASRQASWESGDQMNCSMETDCKDKDVQSKFVETTSHDLICEGPIQEGQPQLSDKKKIGVKSDPLAAENSIQGTGVNQSAGSKAKKTKVDWTPELHRNFVQAVEQLGIDHAIPSKILELMKVEGLTRHNIASHLQKYRMQKKHVMQREENTRWSHYPTRSTLQTNHLKPIMAYPSYHPNCGISVSAVYPTWRQTNDHPPNVHVWGPLGYRHWPQPGIQPWNSYAGVQADTWGCPVMPPSHAPYFSYPQLVSASQHNMHTVNKSYGMPQGLFDLQPDEEVVDKIVKEAMKKPWSPLPLGLKPPSTESVLTELSKKGISTVPPQIDGSRSP >KGN55701 pep chromosome:ASM407v2:3:783057:786896:-1 gene:Csa_3G006630 transcript:KGN55701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTFLIRRTSPRYLFQPPLHYFSSSSSSSPLLRNLCSLLFRDSTSCNRPNSCPSYTRSFVSLPEGSGSPALGSDTRVPATVITGFLGSGKTTLLNHILTSQHGKRIAVIENEFGEVDIDGSLVASHSSVAEEIVMVNNGCLCCTVRGDLVKMLLELVKKKRDKFDHIVIETTGLAKPGPVIETFCTDELVSRYVKLDGVVTLVDSKHAMQHLNEVKPRFVVNEAVEQVAYADRIIMNKIDLVSPEELEQLTQKIKRINAMAQVKLTKFGSVDIDFVLGVGGYDLDRIDSQVEANTCSGDHKHEAQHVSALFRRDYLTLMRLTIGSSD >KGN58443 pep chromosome:ASM407v2:3:25265069:25265327:-1 gene:Csa_3G644820 transcript:KGN58443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKCLAGEGKICPTMSKVLWHLEYSLQLHDAWICTNDAQSSCAVNSEGAEAEEQRLDLDGEEECSNMKTSTPTDHSS >KGN58786 pep chromosome:ASM407v2:3:27707034:27707405:-1 gene:Csa_3G732440 transcript:KGN58786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRKASKRVSFSPDVNDKPTVVLKHGGGGDGGAGMWSFGLSRETRYLPVRFLQSLKNRVSEAIRFVSTRKSSRKVSSASTFTRSRSVSDSMESHRAEAIEDCIEFLNHSSSLSRSNSVSGSTY >KGN56939 pep chromosome:ASM407v2:3:9657471:9659518:-1 gene:Csa_3G145760 transcript:KGN56939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAVFDKSVAKSPDALQSPESDSTWALKDGILAQHFSSVYPGSVIVNLGSSGLLAYSVEKQNPILPRLFAVVDDIFCLFQGHIENVAQLKQQYGLNKAANEVIIVIEAYRTLRDRGPYPADQVVRDIQGKFVFILYDSSSKTSFFASDADGSVPFHWGTDSEGQLVLSDDVEIMKKGCGKSFAPFPKGCFFTTSGGLRSYEHPLNELKPVPRVDSSGNVCGANFKVDAEARKESSGMPRVGSAANWSSNY >KGN56812 pep chromosome:ASM407v2:3:8930200:8933936:-1 gene:Csa_3G134610 transcript:KGN56812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRNTIPICRISVSSTVEAVPEKMKDQSANYPKVKVREEENLDDRPVVYEQKRSYLLSLKDLESLFLQDSSNTPGKVKEHRVSLLSCAKIPKACSTNEIKPSTSEPQESERSCTIVDEDNKANIRASSIPMPRAVVSSPENDQMIGKKNRKTTEKPSVLKNCNSVQSRHSQCKIIARHSANENSISSRRSKDTTDSKCRSVGKNGTTYRGGSFMSKTTP >KGN59738 pep chromosome:ASM407v2:3:33932135:33938333:1 gene:Csa_3G842110 transcript:KGN59738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDGGGKRVFQRLGAPSGDSRNQKVCFHWRAGKCSRYPCPYLHRELNGPPHAASNGAANAASKRGHGFASDDSSVSVPRRSPNFSGGSTWGRVHGGGNRIIRKTEKLCNFWVQGNCTFGDKCRYLHSWSLGESFSHLTQLDGHQKVITGITFPSGSDKLYTGSKDETVRVWDCQSGQCMAIINLGGQVGSMIAEGPWVFVGIPNCVKAWNIQTSADLSLSGPVGLVYSLVVGNDLLFAGTQDGSILAWKFNVATNCFEPAASLSGHTLPVVSLVVGANRLYSGSMDHTIKVWSLESLQCLQTLTDHTSVVMSVLCWEQFLLSCSLDKTIKVWAATESGNLEVTYTQKEDHGLLTLCGMHDLDGKPILLCSCNDNSVRLYDLPSFSERGKIYSKEEIRSIQAGPGGIFFTGDGTGQVKVWTWLTEQAVAASM >KGN57716 pep chromosome:ASM407v2:3:16061327:16062872:-1 gene:Csa_3G258180 transcript:KGN57716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKSQPKSLMTKTKDGVRDRVLEKAERARVPQKAHVKENTKKSQDFKLHTQERAVKRAMFNYSIATKLYVTELQKKVEEKLHKMIEEEEVRLMRKEMIPRAQLMPYFDRPYFPQRSNRPLTIPREPSFLMNKEQWSCNTDSELYSFQRQALKPIK >KGN56672 pep chromosome:ASM407v2:3:8160304:8172848:-1 gene:Csa_3G127830 transcript:KGN56672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKWNSLSASLMKRLKLHYQMINSIPALAQARSFTTSEGHRPTIVHKRSLDILHDPWFNKGTAFTITERDRLDLRGLLPPNVMSSEQQIERFMVDLKRLEVQARDGPSDPNALAKWRILNRLHDRNETMYYKVLIAHIEEYAPIVYTPTVGLVCQNYSGLFRRPRGMYFSAQDRGEMMSMVYNWPADQVDMIVVTDGSRILGLGDLGVHGIGIAIGKLDLYVAAAGINPQRVLPVMIDVGTNNEKLLKDPLYLGLQQHRLDGDEYLAIIDEFMEAVFTRWPHVIVQFEDFQSKWAFKLLQRYRNTYRMFNDDVQGTAGVAIAGLLGAVRAQGRPMIDFPKQKIVVAGAGSAGIGVLNAARKTMARMLGNNEAAFEAARSQFWVVDAQGLITEERKNIDQDASPFARKVKEINRQGLREGASLVEVVQQVKPDVLLGLSAVGGLFTKEVLEALKGSTATRPAIFAMSNPTTNAECTPEEAFSILGENVIFASGSPFKDVDFGNGHIGHCNQGNNMYLFPGIGLGTLLSGSPIVSDGMLQAAAECLAAYMTEDEVHEGIIYPSISSIRDITKEIAAAVIMEAIEEDLVEGYRGVDARELRKFSKEEILEFVKNNMWSPEYPTLVYNQD >KGN57998 pep chromosome:ASM407v2:3:20150761:20151364:1 gene:Csa_3G426350 transcript:KGN57998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMSKRMTLVFIGILLVSFDMIMITNARKFIDYGSIVAGDVSPGCSPTHPELCRVKSANPYQRGCNRIDRCREGNDIIDAEEEHIEGDASISPSISPNIEN >KGN57260 pep chromosome:ASM407v2:3:11813925:11814399:-1 gene:Csa_3G175610 transcript:KGN57260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATIASVAWKKVIIFFTLLLLAYNISARELVARTTCEPSLTTEMNDVIDRRLFVRQLDTSWRGNYFPPPKPPRS >KGN56729 pep chromosome:ASM407v2:3:8469282:8469578:1 gene:Csa_3G130350 transcript:KGN56729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHCDSGTARLETAFVVAAVAAAGRNRDYRNWTIDRTSLSTPIAGRDTDLGFRVLLFGGSRYDGARIYI >KGN60162 pep chromosome:ASM407v2:3:37293783:37295293:1 gene:Csa_3G881750 transcript:KGN60162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGFSPTSDNGENNAGAGLYILLSFVIFAVIGAISCCFARKVRQGIVRELQTVVASATPNLTHSPNVVQIWEIDQPTMEKFIREMAEERPVRLTPQQLYCFTSNYSTPLGSGGFGSVYKGQFPNGVKIAVKVLKRNADRQAEEQFMAEVGTIGRTYHINLVRLYGFCYDQYMGALVFEYMENGSLDKYLFGKNQDIDWRKLHDVAIGTAKGLAYLHEECQQRIIHYDIKPANILLDANLSPKVGDFGLAKLCNRDITHMSLTGYRGTPGYSAPEFLFFNYPITHKCDVYSFGMVLFEIVGRKRNAGVTDSGNPDWLPQHVWDNYEKGKLEELTLMCGIEEDNKERANRMCEVALWCVQDSPDNRPPMSTVVRMLEGGVEIMPPPKPFLYLQSTAKRNAQQTSNSSDYSTSNEESGSVWYKDTPIMKKYEIQIASS >KGN56018 pep chromosome:ASM407v2:3:3324702:3327308:1 gene:Csa_3G047790 transcript:KGN56018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMMSQKKRRKQKKTMTRRMRMRMEPQRRTEEEKDAEEDNDKENENENGTTEKSDDEVSEQPESEDINDPTDESEEERPRSSTKSSSKRKRSVGKARSKKVAGSNKSESAKSSAKKSSASRAKVDDNDASPKVFSRKKNSEKESKASTPTKSANKEKPGKKVVKGKDNKTKEEKTRPSDDELREAICEILKVVDFTTATFTDILKQLARQFKMDLTTQKSSIKLMIQEELTKLADEAEDEEDGGDAEKDGKQGASGKEVET >KGN56590 pep chromosome:ASM407v2:3:7648460:7649644:-1 gene:Csa_3G126060 transcript:KGN56590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDFHLNPHHHFLHQNPDQHYNENGSSGSGGGDGEVLRRPRGRPAGSKNKPKPPTIITRDSANALRCHVIEIANANDVIETLTIFARQRQRGICVLTGAGAVTNVTLKQPVSTAGAVISLPGRFEILSLSGSFLPPPAPAAASGLTVYLSGGQGQVVGGSVVGPLMSSGPVVITAASFGNAAYERLPVEDDDVEAADAGSSPIRSPENAVQQQQFLPDFHGLAPNLMNTCQLPTEPYWGTGRTPPF >KGN59650 pep chromosome:ASM407v2:3:33312384:33312900:-1 gene:Csa_3G835870 transcript:KGN59650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKEEDKGRYHCSVERFRWGIGECEKDRRRRFEVFGGSVFGFDFEGNGENEETDVGKGRNWDRNRTGPYRRDPEAIKVPRLSKQPVAFPDNAIRLPIWILNIPVSSCLSFNIFRYKT >KGN56695 pep chromosome:ASM407v2:3:8280203:8281852:1 gene:Csa_3G129520 transcript:KGN56695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVGNSNQWQPYAYKDCSLEICSIYCPQWCYIIFPPPPPFGYGSNGDSATDFSPLIIAIIGILASAFILVSYYTIISKYCRNRASTSNDAMEMEDEENISQIRHENQLQAPPLPPPGLDEALIKSITVCKYKRGDGLVEGTDCSVCLSEFQENESLRLLPKCSHAFHLPCIDTWLKSHSTCPLCRSNISPTNLFSTPTQEIQTTQHFVSSAFQYQHQHRTNDTIVVVVVQDLDDLTVVRQETVDSRLENDDASSKNQREGCAAESQISGARERMNQVRQEYDVVVDGVVEPFRRSVSLNSLSWQGQVSVADILRVSQDSEEEAEEDELQQMGIGSSKVFVQEQSHSNHRTGVSNLGMNRSISTGKLGFTNYGKGKSCIISS >KGN58972 pep chromosome:ASM407v2:3:28637998:28643128:-1 gene:Csa_3G740150 transcript:KGN58972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTDLIVSSNSETHLQSETIIAHVGDQGIAASTPDHVIEPLPAKRPTRQWAAWTREEEESFFTALRQVGKNFEKITCHVQSKNKDQVRHYYYRLVRRMNKLLAPGLCLDAKNSKDTNAAMLRWWSLLEKYSCKASKLHLKPRRFKIFVEALEHQLLKDRKKNVRKRPLQGENCPPPIPNAVSNQSRALGHDGRAVKLVLVDTQNIPKLGPRKTASRRNVNVGINRGNNGGYPTVLKPSRQRRKSEGGVSSAAYKKWEKAAIAGVSLVADAAEHLERTITNRDVLRDQNTLGKKSTDPAGSPLLLLPPLQPNSLIDVASIKLKLQLFPVDDGTRRALESDKHNPYLELTLSTRKKISSVLEHLSRKWGNSSAAHGELMLFPYSIQRKSLADCQRWTQDSFESAGDVYATIGRPQVFRLRYGWCSNAEHESVELQTSVPAYCIQDDHIMDARDIEGRIVHAASIDAQPVDFNKDEVGTLTKNTSTPTAPCESERCISARPNDLLKSSDPVLNMSWDKKNAADRTIIQRSDDVDDLKLSNGTSLSAGEWADSLTNISIGDLLSGVSQDVDANCVDTPLAEGTQCLQQIPFSCDSFDAAIAAHISRQQDKTVPQPTLASHASSIWDAEETCDAFSFQKNPVTLQEVPSSFTFSSLRGCKHVARTNSMGFSHMTEDFPRSESPIEDHIQEDLMDECQSDPQITETKDIDELADIYWPDSLGPLDLDLPSTNKYHNEELILGDSLSSLNRLIASSLDAFQNCSFFGLDKKESSAFNS >KGN56288 pep chromosome:ASM407v2:3:5736958:5737425:1 gene:Csa_3G113305 transcript:KGN56288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPSSRLALIPSKFTFPGILNCLQNFPFTLSALCHFSPSSVAIATSVSAFRSPLILNTLFSSTSTFTSSFFIPGMSIKILCSNGVSFQSTCANAIVSIPLGTVRGICSRILNGSSDGTTVPHGAPVYGMKLSVRCAAKNATVRRQRMAGIRLPI >KGN59595 pep chromosome:ASM407v2:3:32984337:32987610:1 gene:Csa_3G827370 transcript:KGN59595 gene_biotype:protein_coding transcript_biotype:protein_coding description:Porin MGKGPGLYSDIGKRARDLLYKDYQSDHKFTITTYSPTGVAITSSGTKKGDLFLADVNTQLKNKNITTDIKVDTSSNLVTTITVDEPAPGLKAIFSFKVPDQRSGKVELQYLHDYAGISTSIGLTANPIVNFSGVVGSNLLALGTDLSFDTKTGNFTKVNTGLSFANADLIASLTLNDKGDTLSASYYHTVNPLTSTAVGAEVAHSFSSNENTITVGTQHALDPLTSVKARVNNFGKASALIQHEWRPKSFFTVSGEVDTKAIEKSAKVGLALALKP >KGN58563 pep chromosome:ASM407v2:3:26209677:26211063:1 gene:Csa_3G684690 transcript:KGN58563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKQKTIKFHLHPSSSLPFPRPTDQQIMEAEIINLTKVWLTVFISLGYCHATAKLLNPGPARFLAIAPVVLIFFLLPLQLTSIHFGGATCFFVTWLATFKLLLLTAGDGPLSTTPPLPLHRFIAISCLPIKILENPSEKSAPKNNRAETLNEKPKSFLNYSIRALIVVLMVKLYDYSHFFHPKLVLFIYSWHVYLLLEIILAITQFFGRNLLGIELEPQFRDPYYSTSLQDFWGRRWNLMATNILQPAVYKPTVKIAARVIGRMWAPLPGVMATFLVSAMMHELIFYYLGRMRPNWEITWFFVIHGIALTVEIMMKKMLPEKRRLPAKVSVPVTLVFIFSTAIWLFFPQFVRLRLDVRAFEEYAALANFVKKVAFSLVG >KGN58664 pep chromosome:ASM407v2:3:27056053:27056837:-1 gene:Csa_3G716370 transcript:KGN58664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLGPMLQEGVNASVVHMMKYLTGSAKTYVNAVQGYVDVKDVAKAHVLVYETPSASGRYICVESMLHRGELVDILAHFFPQYPLPTKCSDEVNPRKKPYKYTVEKLRSLGMEFTPIKQCIYETVKSLQEKGHLPLPSQLQH >KGN56225 pep chromosome:ASM407v2:3:5163839:5164371:-1 gene:Csa_3G102820 transcript:KGN56225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRVAVSGVGNITPRQFLAHLGKKEMKGMTLKPCDVFINHRGVDTKKTVAALLYDRLVRVNLRPFLDYKNMKPGDKLFDEIHGAIRKCKVGVAVFSPRYCESYFCLHELAMMFESNKKVCYISLLLLLLFFHIIILILILNFHYSIN >KGN56805 pep chromosome:ASM407v2:3:8893545:8910102:-1 gene:Csa_3G134540 transcript:KGN56805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISIYVDVALWRGVSETKGFLFRRRRVTNTLLISNQNALKLPITTRLKLTNHPFLSTAMYWAATRTVVSASRWRFLALLIRFPPRNFTSVTHSPAFIERQQLEKLHCWKSRKGSRGSIKAAKKFKDNNILQDNKFLSHILWWKETVESCKKPSSVQLVKRLDFSNLLGLDTNLKNGSLKEGTLNCEILQFKAKFPREVLLCRVGDFYEAIGIDACILVEYAGLNPFGGQRMDSIPKAGCPVVNLRQTLDDLTRNGFSVCIVEEVQGPIQARSRKGRFISGHAHPGSPYVFGLVGVDHDLDFPEPMPVIGISRSARGYCMSLVIETMKTYSSEDGLTEEALVTKLRTCQYHHLFLHTSLRNNSSGTCRWGEFGEGGRLWGECNPRHFEWFDGKPLDNLISKVKELYGLDDEVTFRNVTISSENRPHPLTLGTATQIGAIPTEGIPCLLKVLLPSNCAGLPALYMRDLLLNPPAYETASTIQAICRLMSNVTCAIPDFTCFPPAKLVKLLETREANHIEFCRMKNVLDEILQMHKNCKLNNILKLLMDPASVATGLKIDYDTFVNECEWASSRVDEMIFLGSESESDQKISSYPIIPNGFFEDMEFSWKGRVKRIHIEESCTEVERAAEALSLAVTEDFVPIISRIRATNAPLGGPKGEILYARDHQSVWFKGKRFAPSVWAGSPGEAEIKQLKPALDSKGKKVGEEWFTTKKVEDSLTRYQEANTKAKAKVVDLLRELSSELLAKINVLIFASMLLIIAKALFAHVSEGRRRKWVFPTLAAPSDRSKGIKSLEGKVAMKLVGLSPYWFDVVEGNAVQNTIEMESLFLLTGPNGGGKSSLLRSICAATLLGICGFMVPAESALIPHFDSIMLHMKSFDSPADGKSSFQVEMSEMRSIVNRVTERSLVLIDEICRGTETAKGTCIAGSIIEALDKAGCLGIVSTHLHGIFDLPLDTQNIVYKAMGTVSAEGRTVPTWKLISGICRESLAFETAKNEGISEAIIQRAEDLYLSNYAKEGISGKETTDLNFFVSSHPSLNGNGTGKSNLKSNGVIVKADQPKTETTSKTGVLWKKLERAITKICQKKLIEFHRDKNTLTPAEIQCVLIDAREKPPPSTIGASSVYVILRPDGKFYVGQTDDLDGRVQSHRLKEGMRDAAFLYLMVPGKSLACQLETLLINRLPDHGFQLTNVADGKHRNFGTANLLSDNVTVCS >KGN56836 pep chromosome:ASM407v2:3:9051786:9058374:1 gene:Csa_3G134840 transcript:KGN56836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKFSKELEAQLIPEWKDAFVNYWQLKKLVKRIKLSRIPKSPPPSATFPLLSSLADNFRRRRRSISQVKKNESLEDGNSNNEDRQTELSQFFSEEDEVKIFFETLDEELEKVNEFYGSRESEFVERGDSLKEQLAILVEFKRILEDRRRKSSPSSAPTFSRSSSFSPRHSNFSERSELNETSAEVSETDEAIAALERHGVTFINAAVRGKTKKGNKPKMALRVDIPATTPSRTISAVMGMLWEDLINNPKKDVSGDSISRKKIQWAEKMIRGAFVELYKGLGLLKTFSSLNMKAFVKILKKFDKVANQKSSVSYLQEVKQSPFISSDKVVRLMDEVESIFTKHFANSDRKKAMKYLRPQQPKDSHMTTFFVGLFTGCFVSLFIVYATLAHLSGVFSRPNEVSYMDAVYPIFSMFALLSLHMFMYGCNLFTWKQARINYNFIFEFHSSTALKYRDAFLICTTTMTAVVGALVIHLILGLTGFSPVQVDSIPGLLLLIFVVLLICPFDIFYRPTRYYFLRVFRNIIFSPFYKVLFVDSFLADQLTSQITLLRLVESAVCYFTASFFGMHRGDLCKSGTLYWELAYLISFLPYYWRAMQCARRWFDDNDIDHLANMGKYVSAMVAAGARLTYSRQDTRLWFVMVLVTSFLATVYQLYWDFAKDWGILNPKSRNPWLRDELILKNKGIYYMSMVLNMILRVAWVESVLQLHKLHIRNVESKMLDFLLASLEVIRRGHWNFYRLENEQLHNVGKNRAVKTVPLPFRDADSDG >KGN57870 pep chromosome:ASM407v2:3:18328462:18329239:-1 gene:Csa_3G357090 transcript:KGN57870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRRFHGGNVEVVTGLLQTLMKLHSTLLNAYTCCLRNSKLQNPKGDGSTQLQTPDSLMKTPLQNGSSGYHTTSSTIVSVN >KGN58884 pep chromosome:ASM407v2:3:28154271:28157787:1 gene:Csa_3G734860 transcript:KGN58884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIISLITGWPGPSGFGSASTAEDVTQGIDAGCLTAIVTGGASGIGLETVRVLAMRKVHVIIGARNLEAANKAKQQLLEENPNAKLEVLKLDLSSIKSTTEFAHNFLHLNLPLNILINNAGVMFCPFQLSEDGIEMQFATNHLGHFLLTNLLIEKMKNTAKSTGIEGRIVNLSSIAHAHTYGGGIRFNKINEKNGYSDKRAYGQSKLANILHVKELNRRFQEEGVNITANAVHPGLIMTPLMRHSLFLMRLLQAFTFFIWKNVPQGASTTCYVALHPNLKGVSGRYFLDNNEKRPSSYARDEKLARKLWDFSKDLISSKSKV >KGN56871 pep chromosome:ASM407v2:3:9238638:9240963:-1 gene:Csa_3G135680 transcript:KGN56871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLTPLSKLDETFDRVSELKAFDQTKAGVKGLVDSGVAEIPRIFYYPHKERSNSDKTSVTDEPHLGVPVVDLVDIDKDPFKRRKVVDKIREASESWGFFQVLNHGVPASVQDEIINGTRQFFEQDIEMKKQYYTRDNTKPFVYNSNFDLFSTSTANWRDTVFIQMAPNPPNPQDFPLVCRDILVEYSKQMEKVGEMIFGLLSEALGLQSTHLLELDCSEGHAFMCHYYPSCPQPELTIGTTQHSDSSFITVLLQNHIGGLQVLHHNKWVDVPPVPGAFAVNVGSLLQLISNDKFVSSVHRVVANREGPRISVASAFSTGTIPTSKLYGPIKQLLSQQNPPKYRQITVKEYRLYFAKKGLDGTDALTHFRL >KGN56007 pep chromosome:ASM407v2:3:3216268:3217027:1 gene:Csa_3G045210 transcript:KGN56007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIDPVTHKPFSHLMAEIATTLAPPQVAHLAEAALGCFKDEMLHLLTKKRVDFQLQQANIPPGTSTGTYIHGKENERDGTVENIKLGLSRAIQQPDLIPPYKPWSSADAAGTSNCFPQSTSGFQYAPLTFGSEGDGSSWSQSICTGSTCTAGEQQGQLHEILEENEEGLSEGGKEIRNGTSIFSSDSVLWDLPCDDLMNPIV >KGN58501 pep chromosome:ASM407v2:3:25706202:25706679:-1 gene:Csa_3G651850 transcript:KGN58501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNYSAYANQNSSQRPLAIFLALASAVVLSPLRSYETSWSSGFVVPLVLLGLIVAIKTSSSCSSTSRDSAILPSDHPSWVLKIGSSSWGLAGILMMLILGLSWQSSVQEFLWR >KGN55908 pep chromosome:ASM407v2:3:2508616:2511015:1 gene:Csa_3G035860 transcript:KGN55908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGRDACWEHCVLVDATRQKVRCNYCQREFSGGVYRMKFHLAQIKNKDIVPCTEVPTDVRDHIQGILSTPKKQKAPKKPKVDMETATNGQQHSSSASGGIHHGSSGQNESNCPSTYPCLSPSAQPPIDDAQKQKKDETDKKVAIFFFHNSIPFSAAKSLYYQEMVDAIAEYGGGYKAPSYEKLKSTLLDKVKGDIHSSYKKHRDEWKETGCTILCDSWSDGQTKSFLVISVTCSKGTLFLKSVDISGHEDDATYLSDLLETIILEVGVENVVQIITDATASYVYAGRLLMTKYTSLFWSPCVSYCVNQMLEDISKIEWVSAVLEEAKIITRYIYSHASILNTMRKFTGGKELIRPRITRFVTNFLSLRSIVILEDNLKHMFAHSEWLSSIYSRRPDAQAIISLLYLDRFWKDAHEAINICEPLIRILRIVDGDMPAMGYIFEGIERAKVEIKTYYNGFEDKYMPIWETIDRRWNLQLHTTLHTAAAFLNPSVFYNPNFKIDLRIRNGFQEAMLKMATTDKDKMEITREHPAYVNGQGALGTDFAILGRTINAPGDWWSGYGYEIPTLQRAAVRILSQPCSSYGCSGWNWSTFETLHSKKHSRAEQEKLTDLVFVQCNLWLQHVCLTRDSKYKPVVFDDVDVSLEWPSELECSAHVLDDSWLDNLPLEGRGSP >KGN58770 pep chromosome:ASM407v2:3:27619170:27622586:-1 gene:Csa_3G731800 transcript:KGN58770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDNSILLIPVRKLRDWAFVFTADSEFLSCRMRQNYCFNTNRILRILLYHFFFISMSLAFAKTPISGIESDHLALLDLKSRVLNDPLKIMSSWNDSRHLCDWTGITCNSTIGRVMVLDLEAHKLSGSIPNSLGNMTHLIAIRLGDNRLHGHIPQEFGQLLQLRHLNLSYNNFSGEIPGNISHCTQLVHLELGNNGLEGQIPHQLFTLTKLKRLSFPNNNLIGTIPSWIGNFSSLLHLSVAYNNFQGNIPNELGHLRRLEFFAITANYLTGTVPLSLYNITSLTLMSLTANRLQGTLPPNIGYTLPNLQIFVGGGNNFTGSIPTSFANISGLRELDLPSNSFVGMLPNDLGSLKDLERLNFEDNILGTGRVGDLNFISSLANCTSLKVLGLSWNHFGGVLPSSIGNLSSQLTALTLGANMLSGSIPSAIANLINLQHLVVGQNYLNGSVPPNIGNLQNLVKLFLQGNNLTGPIPSSIGNLSSIVKLYMNDNRLEGSIPRSLGRCKTLQILNLSGNKLSGLIPNEVLHFSSFLAYLALNNNSLTGPLALEVDEVVSLITLDVSKNKLSGNISSNLGKCVSMRYLDLSGNQFEGTIPQSLETLKSLEVLNLSSNNLSGSIPQFLGQLHSLKYVNLSYNDFEGKVPTDGIFSNSTMISIIGNNDLCDGLQELSLPPCKPNQTHLPDKRSLTSKVLIPVVSTVTFIVILVSILFVCFVFKKSRKDNSTPSSTKELLPQISYLELNKSTNGFSMDNLIGSGSFGSVYKGVLPNGGSIVAVKVLNLQQQGASKSFIDECNTLSNIRHRNLLKNITSCSSIDVQGNEFKALVFNFMSKGNLDCWLHPANQGHDQRRLSLLQRLNIAIDIACGLDYLHNLCEIPIVHCDLKPSNILLDDDMVAHVGDFGLARYMLEGPNAPLSFSQTMSLALKGSIGYIPPEYGTGSRISIEGDVFSYGILLLEMLIGKRPTDDTFGHGVDIHLFATMELSRDALGIIDHSMLLVETDQKEEREDNIQEIATMSEEQHRKIIPRYVEECLVSMMRIGLSCSLRAPRERTPMNVIVNELQAIKSSYLKFKKTRQR >KGN57080 pep chromosome:ASM407v2:3:10480368:10484866:-1 gene:Csa_3G152070 transcript:KGN57080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCFPCFGSSDEDGSSNGVKEATKKDTAKDGSTAQSHHVTRVGSDKSKSRSVSDAKKEPTIQKDGTTAHIAAQTFTFRELATATKNFRSECLLGEGGFGRVYKGRLESTGQVVAVKQLDRNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEFMPLGSLEDHLHDLPPDKEPLDWNTRMKIAAGAAKGLEYLHDKANPPVIYRDLKSSNILLDEGYHPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTLKSDVYSFGVVFLELITGRKAIDNTRGPGEHNLVAWARPLFKDRRKFPKMADPLLQGRYPMRGLYQALAVAAMCLQEQAATRPLIGDVVTALTYLASQTYDPNAAASQSNRMGGSTPRARDERRSFPDGLDSPDERGRGRGSPSNYRNSPDYRKKDFHRELSCGGTELSKIDTGGGSGRKWGLDELERQESLRDSPVYAGRARETPRNRDLNRERAVAEAKVWGENWRERKRANAQGSFDGSQE >KGN58110 pep chromosome:ASM407v2:3:21440274:21445530:-1 gene:Csa_3G516490 transcript:KGN58110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILTKQYRCVHSASCQCTKGHLSEDAIFLVFQHLNWNPKLIATLSCACKWFDDLAKRVLWKEFCRTRAPKMMLDLQSSGSHSVDGNWRALGKLLIYCSGCKKGGLFNNIQIPGHFVYRTRFSRTSGKSFLMPQCRTDILYVSDPCEHLDQGEEGDIGFFRGIFKSFSMSKVRKMLIKRGAELHPTEVCPYCKAKLWSMLQAKMIPQSASCRLGAYEDCIDYYVCLNGHMLGICTLLPLSDSEETSELE >KGN58855 pep chromosome:ASM407v2:3:27999748:28000856:1 gene:Csa_3G734085 transcript:KGN58855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGSFIITICKPKFQIMISYLFLIFCLAISISLTSGEQLILVNNCNESVWPGILGNSGQNTPMDGGFHLGRGKHVVVEVPKKWSGRIWGRQGCSFSHEGKGSCDTGDCSGRLHCRGTAGVPPATIVEVTLGSSMSPLHYYDVSLVDGFNLPLSMKPIGGGIGCGIASCDIDVNIFCPSKLEVKKNGKVVGCKSACLAMQSAKYCCTGKYANPKTCRPTLFAHLFKAMCPKAYTYAFDDPSSLRKCKVSRYAITFCPAM >KGN59248 pep chromosome:ASM407v2:3:30520777:30521985:-1 gene:Csa_3G785420 transcript:KGN59248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFPEDVVLEILSRFYLNQAGKIQGLSKFYNNSSYNSYYKTLIAKNQPGIADGFLLQSPVPKSNDYIVSFVSPHKDSPVVPLNLSLSFLPGLNPKIRAVAPNGLLLCESQHSIRHNKRSIYTITKLCTQQWKGLPIPKTRYFTKNIAMHVLRSNPLHFKILRLSSDKIPSKRPLPFSYTIIEVFDSKSWRWKLLDDIVHDYYGNFEFVDSNRAPVFANGLAHWKFTGNTTIFAFDFYSDTWSKIAMPETIVNDENNSRVNAISVNSVREWRTELVEYEGKLGVLREFHQPFAPTALTELWVMHKKFWMKKLEFSRLMPTTLYGSDILVTWLSDYPSKVKFSNEVTGDCNYKTLEQWHNIAPTVFPFLSDFKSWNFNPPQRSIQPKSLAKILRRTYERQARGV >KGN60160 pep chromosome:ASM407v2:3:37282913:37285813:-1 gene:Csa_3G881730 transcript:KGN60160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGLTCNACNKEFLDVDEQKLHYKSEWHRYNLKRKVANVPGVTEALFLARQSAAAAQENAKSRENSMLYSCGLCSKAYRSAQAHAQHLKSRSHIIRASQGAHDQEVEKPIIKPLPQRISNKAPQQSEEEESEDEWEEVDPDEDMVDGNEDENEDDDTDAIEVDLDPSCCFMCDLEHDTIESCMVHMHKKHGFFIPDIEYLKDPKGFLTYVGLKVMRDFRCLYCNDNCLPFSSLEAVRKHMEAKSHCKVHYGDEDENEEVELEEFYDYSSSYVDGSGNQLVPSGAQDNTVQFGSGGAELILVQGSSERQSTKTLGSRQFLRYYRQKPRPSPANDAAITAVLAARYRSMGLATVQSREKMIRMKVMKEMNRTGLEAMRTKIGLKNNVIRNLPKNVPH >KGN56006 pep chromosome:ASM407v2:3:3215645:3215835:1 gene:Csa_3G045200 transcript:KGN56006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRIPCCEKENVKRGQWTPEEDNKLSSYIAQHGTRNWRLIPKNAGITHSLYHLKLLSY >KGN56301 pep chromosome:ASM407v2:3:5894032:5897745:1 gene:Csa_3G113920 transcript:KGN56301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMYGRDPWGGPLEINAADSATDDDRSRNLQDLDRAALSRPLDETQQSWLLGPGEQKKKKYVDLGCIIVSRKIFVWTVGTLLVSGFLAGLITLIVKTVPRHHHPHPPPDNYTLALHKALMFFNAQRSGKLPKHNNVSWRGNSCTRDGDGSSSLFKDLSGGYYDAGDAIKFNFPASFAMTMLSWSVIEYSAKYEAAGELNHVKDIIKWGSDYFLKTFNHTADSISTIVMQVGVGDTSGGNTSPNDHYCWMRPEDIDYVRPVLTCSSCSDLAAEMAAALASASIVFKDNKAYSQKLVHGARTLFDFARKQRGRYSAGNAEAAIFYNSTSYWDEFVWGGAWLYYATGNSSYLQLSTTPGIAKHAGAFWGGPDYGVLSWDNKLAGAQVLLSRLRLFLSPGYPYEEILRTFHNQTSIVMCSYLPFFSKFNHTRGGLIQLNHGRPQPLQYIVNAAFLATLYSDYLEAADTPGWYCGPNFYSTEVLRDFAKTQIDYILGKNPRKMSYVVGFGNHYPKHVHHRGASIPKNKVKYNCKGGWKWRDTTKPNPNTLVGAMVAGPDKRDGFHDVRTNYNYTEPTLAGNAGLVAALVALSGENSEKATGIDKNTIFSAVPPMFPTPPPPPAPWKP >KGN58338 pep chromosome:ASM407v2:3:24187769:24210015:-1 gene:Csa_3G624060 transcript:KGN58338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGETLDRNPTSTFSSSEVSVSIELDARIENGSGDAGAGATTTAADVMANKEVRIEDGGDCSPGISGKTLLKVNTMPIQTSNIDQLESDSSQHKLERSKTEVHKHNKFLPEEAAKIFDDKIPVHRKLKLLNRIATVKDDGTVEFEIPGDVGAPLGIGPKEVPHDLIEEEPPDVADLQDIPPLQIVMLIVGTRGDVQPFVAIGKRLQDYGHRVRLATHSNFKEFVLTAGLEFFALGGDPKILAGYMVKNKGFLPSGPSEIPVQRNQMKEIIYSLLPACKDPDPESGIPFEAEAIIANPPAYGHTHVAEALKIPIHIFFTMPWTPTSEFPHPLSRVKQQAGYRLSYQIVDSLIWLGIRDMINDLRKKRLKLRPVTYLSGSHASESNVPHGYIWSPHLVPKPKDWGPKVDVVGFCFLDLASNYEPPESLVNWLKAGDRPIYIGFGSLPVQEPAKMTQIIVKALESTGQRGIINKGWGGLGNLEEPKDFVYLLDNCPHDWLFLQCKAVVHHGGAGTTAAGLKAACPTTIIPFFGDQPFWGERVHARGVGPSPIPVEEFSFNKLVEAINFMLDPKVKQSALELAKAMENEDGVEGAVKAFFKHYRPKKVEQESEPEDSTVFSIRRCFGCS >KGN60208 pep chromosome:ASM407v2:3:37675602:37681522:-1 gene:Csa_3G889160 transcript:KGN60208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHEMRPLFGIPQTASSNTSTSNTDPTDRRINALVRHLVEPSSSAMADSISASPTSSLNTDSVFAHVVRAPEDPILGVTVAYNKDPSPNKLNLGVGAYRTEEGKPLVLNVVRKAEHQLVNDSSRVKEYLPIVGLAEFNKQSAKLIFGADSPAILENRVTTVQCLSGTGSLRVGSEFLARHYHERLIYIPLPTWGNHPKVFNLAGLSVKTYRYYDPSTRGLDFQGLLEDLGSAPSGAIVLLHACAHNPTGVDPTLEQWDQIRKLMRSKQLLPFFDSAYQGFASGSLDKDAQPVRLFVADGGECFVAQSYAKNLGLYGERVGALSIVCKNADVASRVESQLKLVIRPMYSSPPIHGASIVATVLKDRDLFNEWTVELKAMADRIISMRQQLFDALRARGTPGDWSHIIKQIGMFTFTGLNSEQVSFMTKEYHIYMTSDGRISMAGLSSRTVPHLADAIHAAVTRVL >KGN57169 pep chromosome:ASM407v2:3:11088412:11094145:1 gene:Csa_3G166340 transcript:KGN57169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSNRATHSHQQQAQSSNTNTSNLRSHRTDSISKAIAQYTVDARLHAVFEQSGESGKSFDYSQSIKTSTQSVPEQQITAYLSKIQRGGHIQPFGCMIAIEEASFRVIAYSENARELLGLTPQSVPSLEKPEILTIGTDVRNLFTSNSAILLEKAFGAREITLLNPVWIHSKNSGKPFYAILHRIDVGIVIDLEPARTEDPALSIAGAVQSQKLAVRAISQLQALPGGDIKLLCDTVVESVRELTGYDRVMVYKFHEDEHGEVVAESKRPDLEPYIGLHYPSTDIPQASRFLFKQNRVRMIVDCHASPVRVIQDAGLMQHLCLVGSTLRAPHGCHAQYMANMGSIASLAMAVVINGNDDEAIGGRNSTRLWGLVVCHHTSARCIPFPLRYACEFLMQAFGLQLNMELQLASQLSEKHVLRTQTLLCDMLLRDSPAGIVTQSPSIMDLVKCDGAALYYQGKYYPLGVTPTEAQIKDIVEWLLAFHGDSTGLSTDSLADAGYPGAALLGDAVCGMAVAYITKKDFLFWFRSHTAKEIKWGGAKHHPEDKDDGQRMHPRSSFKAFLEVVKSRSLPWENAEMDAIHSLQLILRDSFKNDVAINSKAVVHPHLGDLDLQGIDELSSVAREMVRLIETATAPIFAVDADGRINGWNAKIAELTGLAVEEAMGKSLVRDLVYKESEETVDRLVSRALKGEEDKNIEIKMRTFGPEEDQRTPFFVVVNACSSRDYTDNIVGVCFVGQDVTCQKVFMDKFVSIQGDYKAIIHSPNPLIPPIFASDDNTCCSEWNTAMEKLTGWSREDIIGKMLVGEVFGSCCRLKGPDALTKFMIVLHSAIGGQDNEKYPFSFYDKKGKYVQALLTANKRMNMEGQIVGAFCFLQIASPELQQTLRMQRQQEKNRFARMKELAYICQEVKSPLSGIRFTNSLLEATDLSEDQKQFLETSVACEKQMLKIIEDMDLECIDDGTMELEKGEFLLGSVINAVVSQVMILLRERSLQLIRDIPEEVKTMAVYGDQVRIQQVLADFLLNMVRYAPSPEGWVEIRVCPLLKQNSDGITLAHTEFRIVCPGEGLPPELVQDMFHSGRWVTQEGLGLSMCRKILKLMNGEVQYIRESERCYFLITLELPLTERGLNDVG >KGN55909 pep chromosome:ASM407v2:3:2514377:2516416:1 gene:Csa_3G035870 transcript:KGN55909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQQKTISHIDNLPSTPGKFKTEKSPPYIHRLRVHSAISRLTLWSFLFLIFIICFFFLSPPSSSVSPRRALGGDSWGGHNWEKKVSRSAQTQTGITVLVTGAAGFVGTHVSVALKRRGDGVLGLDNFNDYYDPQLKRARRKLLDRAGVFVVEGDINDSELLRKLFDVVAFTHVMHLAAQAGVRYAMQNPGSYVHSNIAGFVNLLEACKSANPQPAIVWASSSSVYGLNSKIPFSEKDRTDQPASLYAATKKAGEEIAHTYNHIYGLSITGLRFFTVYGPWGRPDMAYFFFTRDILKRRPITIYEAPDHGTVARDFTYIDDIVKGCLGALDTAKKSTGSGGKKRKPAQLRIFNLGNTSPVPVSELVSILEKLLKVKAKKKLLPMPRNGDVKFTHANISLAHKEFGYRPTTNLRTGLEKFVNWYKDYYSGSKKGIARAFSNI >KGN58268 pep chromosome:ASM407v2:3:23362603:23362995:1 gene:Csa_3G603550 transcript:KGN58268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSMFHDTENKNFEKGECSKESEGINTQIPEYFLKFQEIMLKNNEKLNKKLDKLIGKVEEIKIKITNRSSSKTEEENWEDRDEDRDGGNGKRNDRLADDGEDGNTENLNIDEKWERTTIINSENEAARV >KGN56114 pep chromosome:ASM407v2:3:4001961:4006711:1 gene:Csa_3G076010 transcript:KGN56114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSFHGFFFIFIFIFIIFNYFTLFLEAAALVPAMYVFGDSLVDVGNNNYLNFSSPKANFYPNGIDFPTGKPTGRFCNGKNPADFLAEKVGLASAPSYLSIIENRSYIHDRNRGINFASGGATIIPQSNQIISTSISLCKQVVYYNSIYESLVKDLGVTKAKAYTSKSLYLIEIGSNDIFGYFASINLRKIYTPPQYLDLMSHHFEKQLKRLYENGARKVVVIGVGVIGCTPAMRYRNISEGCNSEMNWLAFVYNQHLTSMLNRLKDELFGFHFSFFDGFSIMLSSIHKPTSFGFSEVKAACCGSGRLKAQMACIPKASYCNNREKYLFWDKYHPTQQAHHFFSDLIFNGPRKYTFPINVQTLVAIQL >KGN60233 pep chromosome:ASM407v2:3:37832190:37839496:-1 gene:Csa_3G889890 transcript:KGN60233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRFEILGRFNRARAAQLTLPHFTCQTPLFMPVGTQGTIKGLTTQQLEEIGCQIILGNTYHLALRPTSELIDELGGLHKFMNWPRAMLTDSGGFQMVSLLHLADITEKGVTFQSPVDGKPMLLTPEESIQIQNRIGADIIMALDDVVKTTITGPRIEEAMYRTLRWIDRCIAAHKRPHEQNLFGIVQGGLDPVLRDICVRGLVDRNLPGYAIGGLAGGEDKDSFWRVVAQCTASLPEDKPRYVMGVGYPLDIVVCSALGADMYDCVYPTRTARFGTALIPEGVLKLKHQAMADDTRPIDPTCDCMVCRNYSRAYIHCLVTKDAMGSQLLSFHNLYYMMKLSRDLHSSIVRGQFPEFVRKFLLRMFPHGDVPQWVCNAMEVAGIDISSCCAPMSSPLTSEICEVGFTKLSEIE >KGN56261 pep chromosome:ASM407v2:3:5468496:5468812:1 gene:Csa_3G110090 transcript:KGN56261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAHARQVFVQHQAELQASFEHSFSGEVSATALHHSGLNQAVVSALFLSSSAKLQLRGEVSHCLVPP >KGN55902 pep chromosome:ASM407v2:3:2476673:2477134:1 gene:Csa_3G035310 transcript:KGN55902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRTTKGFKLKLKLLKVFKWRTPFFNLHTYSNPFSKLFSLATDPFSRPVRYARLNRVRSTPPVATPKGYLAVHVGGPENERERHLVPVIYFNHPMFRKLLQAAEVIYGFDYPGRIVIPVDVSEFEEVKNGIAATENGRCCPRRGGYRRWRCGK >KGN56748 pep chromosome:ASM407v2:3:8586711:8596643:-1 gene:Csa_3G132010 transcript:KGN56748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRKMLIDGEQCHQAEAEEHYDFDLFVIGAGSGGVRASRFSASHGAKVGICELPFDPISSEVVGGIGGTCVIRGCVPKKILVYGASFGPELQDARNFGWDLNEKVDFDWKKLLQKKTDEIVRLNGIYKRLLTNSGVKMYEGEGKIVGPHEVEVTQLDGTKICYSAKHILIATGSRAVIPDIPGKVSACSSLYIAVEFASIWNGMGAKVDLCFRRELPLRGFDDEMRAVVARNLEARGINMHPRTNLTELIKTENGIKVITDHGEELLADAVLFATGRAPNSKRLNLNAVGVEVDKHGAVKVNEYSQTTVPSIWAIGDVTNRMNLTPVALMEGSYFANTVFGDEPTKPDYNFVPYAVFCIPPLSVVGQSEEEAVEKGNGDILVYTSSFNPMKNTISGRQEKTVMKLVVDGDTQKVLGASMCGPDAPEIMQGIAVALKCGATKKQFDSTVGIHPSAAEEFVTMRSVTRRIEAGCKLKTNL >KGN59473 pep chromosome:ASM407v2:3:32165958:32168904:1 gene:Csa_3G822250 transcript:KGN59473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTANTHWCYRCEQPVRLRGRDMTCLSCNGGFVQELDEMMERSPLDLFGASGNEYQNRRLGLLELFSNFMRQRLVDRNDIRGRLDSIPDHGPGFGPWLIFGGQIPVRLSGHGGFEAFFNGVPGIGVSRSNGGDYFIGPGLEELFEQLSANDRRGPPPASRSSIDAMPVVKITQRHIRSNSHCPVCQDKFELGSEARQMPCDHMYHSDCIVPWLVQHNSCPVCRQELPAQGSGSSHSSSGSNNSSRNSRDRENGRTTQGRRNPFTSLWPFRASSSNSNHSATTGSNSPALHETNQHETGYYGWPFD >KGN58357 pep chromosome:ASM407v2:3:24411360:24414761:1 gene:Csa_3G627680 transcript:KGN58357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNEVWRWGIGLFYIFLVATIWIAASFVVQSVVDEGVSPFLVTYICNSLFVIYIPIVEIARFLEDKYEKLLFWKNKKLDSLQELRDEPEQAILLGETNLVTNVDHYSTSMHMEDGKPILKGESRFLETGCSSYDKQVDEKGRWTRIRVAKVSLLICPFWFLAQLTFNLSLKYTTVTSNTILSSSSSLFTFLVSLAFLGEKFTWVKLASVLLCMGGTIIVSLGDLQSETTLKTASNPLLGDVLSLVSAGLYAVYITLIRKKLPEDDETNGKASMAQFLGFLGLFNLFIFLPVALIIKFTNMEPFRLRTWKEVGMVVAKGLLDNVLSDYLWAKAVLLTTTTVATAGLTIQVPLAAIVDSITGNAPHLMDYLGAVAVMIGFVGINIPSDVFSFSKDGSIELPSEDVISDDHNHTTSIRQDPATASVS >KGN55684 pep chromosome:ASM407v2:3:655793:659483:1 gene:Csa_3G004500 transcript:KGN55684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSALKCPPFDFSAEYYEAAGAGRCIRQSSFFNDKAVLDQGIGYSVILGFGAFFAFFTSFLVWLENRYIGSRQTSEWFNTAGRSVKTGLIASVIVSQWTWAATILQSSNVAWEYGVSGPFWYASGATIQVLLFGIMAIEIKRKAPNAHTVCEIVRARWGTPAHIVFLIFCFMTNIIVTAMLLLGGSAVVNALTGVNIFAASFLIPLGVIVYTLAGGLKATFLASYIHSVIVHVILVIFVFLVYVSSSQLGSPSVVFDRLMEVASKSRICQEPISHDGQSCGPVSGNFKGSYATMLSSGGLVFGIINIIGNFGTVFVDNGYWMSAIAARPSSTHKGYLVGGLVWFAVPFSLATSLGLGALALDLPITTEEASRGLVPPATAIALMGKGGSILLLVMLFMAVTSAGSSELISVSSLCTYDIYRTYVNPNASGKSILKVSRAVILVFGCFMGLLAIVLNKAGVSLGWMYLAMGVFIGSAVIPIAFMLLWKKANSKGAILGTTIGCVLGVVTWVSATKIKYGEVNLDTTGRNAPMLAGNLVSILSGGVIHAISSLLEPQNYDWETTRAISTVEKDNGDIPTEEYTEAKLIRAKAWIMKWGIGFTIVIVVLWPVLSLPIGEFNKKYFTLWAIISIAWGTIGSAVIIFLPLIESWETLRDVTLGMFTNDRLIEKVNEMNLKLHALVMALPEAERIYLLEKENARKKDLLEIHDHSP >KGN58526 pep chromosome:ASM407v2:3:25912666:25917080:-1 gene:Csa_3G658530 transcript:KGN58526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHKRNLIELTQLVNYKCNLCRSLLSDRTTPATAAHPLRSEDAGDCNSDQSSLRLSSVLVTFLGLKDTTMKSVLTITDDTVLATSIVVNVLQDLGNEYVGNCDSQIITQAFSLNKLPLGASSMDVIISICRSDFPSDQLCEEILRVLQPDGIILIHKTPQSVAFEKDEPTVMVRRLLLAGFLEAQVIEKKLVSSSDVESFVVRFRYHLV >KGN60282 pep chromosome:ASM407v2:3:38255202:38261017:-1 gene:Csa_3G893320 transcript:KGN60282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLEIELEQDSTTTTQKPIPDDEISPIEQVRLTVSNEDDPSIPVWTFRMWFLGLLSCIILSFLNTFFGYRTEPLVISMISVQVATLPIGRFMANVLPTKTFRIPGFGDRNFSLNPGPFNIKEHVLISIFANAGSAFGNGAAYAIGIVDIVMAFYHRKISFLTGWILVITTQVMGYGWAGILRKYVVDPAEMWWPSSLVQVSLFRAMHEKDESRMSRGKFFLITLICSFSWYVFPGYLFPTLSTISWVCWIYPRSVTAQQLGSGMRGLGLGSFSLDWSVVASYLYSPLISPFFATVNVAVGYIVIMYIMLPVAYWKANVYNARNFPIFSSHLYDANGQIYNVSAIVNDKFEIDMDAYEKQGRINLSVFFSLSYGIGFAAIISTLSHVALFNGKEIYQQFRASYSGKEDIHTKLMKKYKDIPSWWFHLLLLLSIILSLALCIFMKDEIQMPWWGLIFAAFLALTFTLPISIITATTNQSPGLNIITEYLMGIILPGRPIANVCFKTYGYISMTQAVSFLNDFKLGHYMKIPPISMFIVQCIGTLIAGTVNMAVAWWLLTSIENICQDQLLPPNSPWTCPGDRVFFDASVIWGLVGPKRIFGSLGNYAALNWFFIGGAIGPILVWLLQKTFPKHKWISLINLPVLLGSTAIMPPATAVNFNCWIIVGTIFNFFVFRYRKEWWQRYNYVLSAALDAGLAFMGVLLYFTLTMEDKGLTWWGSDGEHCELANCPTAKGIVVDGCPVF >KGN57067 pep chromosome:ASM407v2:3:10429610:10429903:1 gene:Csa_3G151450 transcript:KGN57067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTCVGKSGPSSSFSTVEDRKNFKAREVEGGVRCNVIPIWFLLVPYETLPCQYPNKAYEIDCKLGDAKTGQLIGIVKKYWKSLDLLYSKYSLTVKLQ >KGN60192 pep chromosome:ASM407v2:3:37533464:37534158:-1 gene:Csa_3G883020 transcript:KGN60192 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAUR family protein MDSNAKKSNKIRDIVRLQQILKKWRKLANSSKTANKITGTAAGPAGKSIKFLKRTLSSAGGEVPKGYLAVSVGEEQKRFVIPTSYLGHPAFEILLREAEEEFGFQQTGVLRLPCEVFVFENVVKLVEEKKKGDLLLGGEEVLNFCSLESLQLTPSSHRPQSPMCR >KGN55602 pep chromosome:ASM407v2:3:188279:188640:-1 gene:Csa_3G001740 transcript:KGN55602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCLTSSAHHSNFLRSQAVSSTPGTSGSQFSAAGSVDVSESCPSGKILDQPNLKEFSFTELKLITRNSDLHPWSVREVFGRCIKAG >KGN55809 pep chromosome:ASM407v2:3:1611404:1615887:-1 gene:Csa_3G017030 transcript:KGN55809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKIGEGGFGSVYKGRIKPITPNGEPVVVAIKKLNQHSLQGHKEWLAEVQFLSVVSHPNLVKLLGYAAENGERGIQRLLVYEFLPNKSLEHHLFQRMSPTLPWKQRLEIIIGAAEGLAYLHGGLEAQVIYRDFKSSNVLLDQNFKPKLSDFGLAREGPSGDHSHVSTAVVGTHGYAAPEYVETGRLKSQCDVWSFGVVLYELLTGRRALDRNRPMGEQKLLQWVRQFPVDSSMFTMLIDPRLRNQYSLSSAREVAKLADRCLNKNAMSRPAMTEVVESLQKALLMTEEKTSSSSSKNHSHGFVLSPKFVDQKHVVVRQQGKV >KGN58556 pep chromosome:ASM407v2:3:26174093:26174335:-1 gene:Csa_3G682670 transcript:KGN58556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSTLVRSLLLCDLLPFSILALILPLPTSIGSSLYLPCFATQHLQMKGEGNQTKTTQCGERVRTPWQGDFRLVTAHFSR >KGN59134 pep chromosome:ASM407v2:3:29797898:29804899:-1 gene:Csa_3G776940 transcript:KGN59134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASISTYFAISQSSRLYFHPLITLKPSICVKPSTITFPALPTRIAPPESRARGFVPTVRAGIDIPSDIRPGNVVESDKLPSDVRKRTMEAVEACGGRVTIGDVASRAGLKLNEAQKALQALAADTDGFLEVSDEGDVLYVFPKDYRSKLAAKSFWIKFEPLIEKSKAAAEYLVRVSFGTALIASIVLVYTTIIALISSRSEEDNRGRRSRSYDSGFTFYLSPTDLFWYWDPYYYRRRRLQTEDNKMNFIESIFSFVFGDGDPNQGIEEERWKLIGQYISSNGGVVAAEELAPYLDVSERNTDDESYILPVLLRFDGQPEIDEEGNILYRFPSLQRTASSQRSGRKEYVGRKWADWVGGIEKIFKEKKWVFSKTSNSERAMAIGLGGLNLFGVIVLGAMLKDVAVKPSGLIKFVSDIFPLLQIYAGSFFTIPLVRWFIVQKRNAEIGKRNEARQKRAQALELPDVTLRRKLLSARDMAQKTVIGQDRIVYSTDRDLIEQNYELQEWERKFREIEKSD >KGN58251 pep chromosome:ASM407v2:3:23108581:23111147:1 gene:Csa_3G599460 transcript:KGN58251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDSFKVRVDRIFGSLSSSSTTSSSSSSPFNSSLSSLWSLTDDEIERREWIKGKEEEQPPESDLAPTSFFDGLRKVNERNSFGFRDDFEDDLDDVDENPESNGSSSKFPKPDDYGDEEWEIKSSIGRDCTLDYEEEEDEYDKVAVGREKNGDRLYMKEISDCGIEIGSSTELPTSIRNFTRDPRANHLAAKVRLKEDAEASKTIHLLHVSENSAVAITDSESNTSQNPKSILKRKDNHLDAKLHKRVRFDPECKITQISQGSKDFVTETNSLPGAAEVGNEVTFPSRATQVPDYLQNPSRYTHYTFDSSNDVDEESNKKAYMNFLQLVRESKRIESHENDAPTGPPKSITFIPKKKADDTIMLENSPRQNGVGKEVVHQRGMSIGIATVDDQTDDVCSMEEDEPDKLETKTNSSQKPGRRYRIRANNMESEEEA >KGN57993 pep chromosome:ASM407v2:3:20052623:20055619:-1 gene:Csa_3G423810 transcript:KGN57993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCLIHTPFLLTFVLLSHLQLCFSQDYIIGGGASDGGGDSLPEAEAPPEQNECNGIFLTYTFFSREKEFPHVKNASAQAWAFRAQATLLNAGTTELEGWKMYIGFQHREILVSASGAVLVDGSDFPADVGKGVILAGYTQTDLKTAIETAGDYNQMQMTIDITGTQFGVKKNGIPMPKTIGLENEGFKCPQPRRHRSKSYMHICCKKDPKFKEKPPKKTKFLPRQNGDLSLTYDVLQAYGNNYLAQVSIDNNNPLGRLDHWNLTWEWMRGEFISTMRGAYTHRTDSSDCIFGPQGQYYQDFDFSQVMNCEKNPIIADLPSDRANDSKVGKLPFCCKNGTILPSLMDQSKARSIFQLQVYKLPPDFNRTALYPPQKWKINGILNPNYKCGAPIRVEPTEFPDSTGLQAITTAIASWQVVCNITAPKPKQSRCCVSFSAYYNDSVIPCNTCACGCDEPQTCNPNSSPLLLPPEALLVPFDNRTIKAKAWAKIKHLPIPKKLPCPDNCGVSLNWHINSDYSSGWTARITLFNWEEYPFEDWFAAVQLDKAAKGYENVYSFNGTKLPLDMIKNTIFFQGLEGLNYLMGETNGTDPKFDPRVPGKQQSVISFTKKNMRNLNIREGDGFPSKVYFNGEECAIPPHIPLGNDAAHSLQVSSGPLTLLLTAVAFFIAIN >KGN59491 pep chromosome:ASM407v2:3:32293763:32308777:1 gene:Csa_3G822420 transcript:KGN59491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSMSEGNQQLQESEKDDAEAVVLTDATDICAQLMERYAKSSAPQHRHLLASAVAMRSILHSESLPLTPAAYFAAAISAIDNASASDTLDPTALSALLSFLAIALPLVPPGGISAPNASEAAGVLVVLLGMKNLTVSTVRAAVKCLGILLGFCNLEDWASVELGFDTLLKFSVDRRPKVRRCAQESLITFLNSLKHSAIKKQASSLVFSLLKSCMPSAVKLSTSTPVDGPGEDKQSHAQHLDVLHKLNVIILTIPLLSKRVRFKMLKELIKLVSPQFSIVTAHSFKAMKLILKSSKTGVPALEVESIIVAIGSYLSSGDKNPLDTVLSAITLLKCAMDAGGSSVAKKNLPVVCGYMAGLLTSDVSKAVHASSVVKELIQDYVDQECLIALIDKDLHLEDCNLENIEVQAIKSTCAICEDVLNSCDGDLGKYILDVISALFLKLGTTSIIYMKHILLKLADLMNIAGNLSNIDNLQNCIGSAVTAMGPEKILTLIPISINPGDSTVQNMWLIPVLHSHVVGASLGYYLEYIVPLAKSFQDESCKVKKIAACKNLRTCARNLWKLLPAFCRHPSDMHRRMGMLSELLITLLKEDSFMHEDIAAALQVLVNQNAVVPNCNDVSVYSKKMQSKNMKALVSCSTNLLQALAELFVDSIPTKRSHLKDAIGCLASIMDSRVTKKVFMSLLERFQFLNTKDEFEEREANADESAQNAEGKSRTRERCVMLELAAAIVRGADEDLIDLIYKFVKFSFQGSLGSDHHEVYQTLSRILEEHAWFASSRFPELVDMLIDLQSPVDTSSQRSRFGCFHILLVHSLKVSSAEESNKAFLMLNEIIITLKSAEEDSRKAAYDILHCISCSLKDLSHTNSDAHKKFVAMIMGYLSGASPHVKSGAISAVSVLIYEDADICLSIPDLVPSILSLLRGKAIEVIKAVLGFVKVLVSSLQAKHLQSIISDILTAALPWSSVSRHHFRSKVTVILEILIRKCGYAAIEGFTPENYKGFIKPFGEKRLNKTSSKDVGDANTDVADLSTNGVRDKQQDGLDSLPKKNESGHHRKRKWEKPSGFIRSKTDNASAEDGSRFKMRKRAATSSSKRSSMVDGRGDGRRTKFSRRGDPRKEGKGGIKHGNRHQKERFGVRRPFKASKSNHNNSSS >KGN60165 pep chromosome:ASM407v2:3:37305607:37308506:-1 gene:Csa_3G881780 transcript:KGN60165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMNSSFLSLLFLLFFIHSSSAAKCQTSDRSALLQFKNTFVSDPSCSGLPSVVASWGETDDCCSWDGVECSNLTGNVIGLNLAGGCLYGSVDSNNSLFRLVHLQTLILADNNFNLSQIPSGIGQLSDLRQLDLGNSRFFGPIPSAISRLSKLENLRLSRVNISSAVPDFLANMSSLMSLSLGECELNGNFPQKIFHLPNLQLLVIPYNPNLSGTFPEFNYNSSLQRIWVEKSSFHGEIPSSIENLKSLTSLKLGNCSFSGIVPDSLGNITGLQELELHLNNFSGQIPSSLERLTELNRVFLSYNEFSNATLSWVGNQKKLVFLALSGIKLGGTLMPSLGNLTNMEQLLLGENELTGEIPSWIGNMAMLTDLHLYGNKLTGSIPKSLSQLTNLKHLYLQYNYLNGTVELSMFLKLENLTELHLTANDIAVIDDQVGSRNVTLPKFNLLGLGSCNLTHIPTFLENQNELELGESLPILPAICKLSSLVALDLSSNLMSGVLPQCIGNFSSLDIMNFRQNLLHGTVPDSFRKGSKLRFLDFSQNQLEGQVPRSLANCKILEIIDLSDNQFTDGFPYWIGALPMLRLLILRSNHFHGKIEEPETNTEFPMLRIVDFSYNNFSGNLPLRYITNSKGMKIFNTTASTYRNTFVTFSFDYVWALEFFYSTTITIKGNQRDYSRIQEVFTSIDLSSNKFEGEISNVVENLKGLQSLNLSHNILTGPIPPSMKSMARLESLDLSHNQLSGQIPQQLSWLNFLAIFNVSYNNLSGPIPLGNQFNNVDNSSFIGNVGLCGDPLSKKCGDLKPPSSGFDEGEDEGSFHIGWKTVLIGYGCGVLVGMIGGNFILTRKQDWFAKTFKIQMLKNWEDSR >KGN59272 pep chromosome:ASM407v2:3:30720952:30721967:1 gene:Csa_3G797610 transcript:KGN59272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAGHGLRSRTRDLFARPFRKKGYIALTTYLRTYKIGDYVDIKVNGAVHKGMPHKFYHGRTGQVWNVTKRAIGVEINKQVGNRIIKKRIHVRVEHVQPSRCTEEFRLRKVKNDELKAEAKAKGTVICTKRQPEGPKPGFMVEGALMETVTPIPYDVVNDLKGGY >KGN59913 pep chromosome:ASM407v2:3:35503544:35506598:-1 gene:Csa_3G852620 transcript:KGN59913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQPSHAVAGVIFALAFIIYLLFIMSRRSVAHPKRLPPEPDGAWPVIGHLHLLNASEPIHITLAKMADVYGPIFTFRFGTKRALIVSNWEIAKECFTTNDRIFASRPKQLASKLLAYDYAMMAFSPYNPHWRYVRKLAMLGLFTNQRIEQLEHVRVVEVHSWMKELYDLYWLKNNNNKSEKVVVEMKKWFVDITLNTMFKMVIGKRFSTAFDDHVSREKCRKALGGFFEFFMKFIPSESFLFLSWLDLGGHEKAMKKSAQILDEVFHKFLQQHRERRDYYSNGQMEEKDFMDVMISSVEDDDGEQLNYDADTIIKATCLNVILGGFDTTAVTMSWALSLLLNNENALKKAQHELDEQVGRERQVKETDLKNLPYLQAIVKETLRLHPPGPLLVPRESIEDCTIGSYHIPKGTRLIVNAQKLQKDPHVWDDPCEFRPERFITNQKNFDVRGQNPQLIPFGNGRRICPAISFALQMIHLTLANLLHGFKIGRPSQELVDMEESCGLASGRKAPLKVVLTPQLPAYAYE >KGN60320 pep chromosome:ASM407v2:3:38554715:38557045:-1 gene:Csa_3G895660 transcript:KGN60320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRFRSLATPSISLIKSTITKPSIKPRPAASFTLCSSPALSRSFPQLGSLQSLLPLHSAVSSARLTSCLGIDSLSSRSLSQGMLCSANPGV >KGN55663 pep chromosome:ASM407v2:3:503667:506679:1 gene:Csa_3G002820 transcript:KGN55663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSFQAYRAFLPLTPSSSSFLKIFPSIQLGFTSLSSSSPTKSFTIMAASDHYTFGRYKIDPKEVFYSTTLSYAMVNLRPLLPVQRFADLTADETCDLWLAAQRVGHQLELYHKASSLTFAIQDGPQAGQTVPHVHIHVLPRKGGDFEKNDEIYDALDEKEKELKQHLDLDKERKDRNMEEMAEEADQYRKLLL >KGN57513 pep chromosome:ASM407v2:3:13857951:13862168:-1 gene:Csa_3G202220 transcript:KGN57513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSISLSNSHPLARPYPHHLPHSHRQQWCSRPLLSTNSLSKLHRFGISDRFPARPPLPLVLASSGAGVVDSFPLRGTYTVGDFMTRKENLYVVKPTTTVDEALEVLVEKRITGFPVVDDDWNLVGVVSDYDLLALDSISGGTQSDTNLFPDVDSSWKTFNEIQKLLCKTNGKVVGDLMTSSPLAVRETSNLEDAARLLLETKYRRLPVVDADGKLVGIITRGNVVRAALQIKRAAERST >KGN56885 pep chromosome:ASM407v2:3:9283709:9285546:-1 gene:Csa_3G141810 transcript:KGN56885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTLEKRGSLFILTLTGDNEHWIGPTFISTFISLLAQIKSQATRGSVLLTTSHGRFFSNGFDLPWAQTAPSKSAARDRIVHMVHIFKPIVAALLSLPMPTIAAISGHAAAAGFILALCHDYVLMRRDKGVIYMSEIDLGLTMPDYFAALVRSKISSVSVRRDMLLAGRKVNGETAAGLGIVDSVHDNEEALMEAAVAMGEKLATRKWECEAYAEIRKSLYPEMFPLLNELNGKM >KGN59707 pep chromosome:ASM407v2:3:33716492:33719758:1 gene:Csa_3G839850 transcript:KGN59707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSENSMDSKPNDVATNGEHEDAKPLNHKDDGSRHDPPSHGDGASAGKIFVGGLPRETTSAQFVKHFGDYGEITDSVIMKDRKTGHPRGFGFVTYADPSVVDKVIEDTHIINGKQVEIKRTIPKGSSSSRDFKTKKIFVGGIPTSVDEDEFRDFFMQYGVVKEHQIMRDHSTSRSRGFGFITFETEQAVDDLLANGNRLEMAGSQVEIKKAEPKKANPPPAPSKRFHDSRPSYSGAYGDAYGEFGGGGYGGSFRAGGPYGARGGGYGGYGGNDFSGYGMYGTGGMGAYREDPSMGYSARYGASFSRGYDFRGGYGGPDESYGAYNSGGAPSTGGYAGSYDMGMGTGYAAGGRGSFYGSRGGGGGGGGYDGAGSAPSGRYHPYGR >KGN57305 pep chromosome:ASM407v2:3:12098309:12100008:1 gene:Csa_3G177980 transcript:KGN57305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIKGVLCLLLTSAILFSFLEATELLPKPAESFNLTYIQQLGSCSYSVVISTSCLSPAYTRDQISLSFGDAYGNQIYVPRLDDPSRRIFERCSSDTFGINGPCAYQICYVYLYRTGPDAWIPTTVRISGDNSRPVTFNYNTAIPGDVWFGFNLCGHPSSSNRISSCIGWFYVIIVSIILLLL >KGN59566 pep chromosome:ASM407v2:3:32722978:32723429:-1 gene:Csa_3G825110 transcript:KGN59566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNEDCGNSRDENQGQSSMSKKSKRKREVLNDIMSSFKEAYVENLKRRNDILEGRLFGFPSGEFSEISSKERDYADEDLNKCIKILNSMVDIDDKAYTKVLKQLVADTTWRKAFLCIPESRRRGFVNNL >KGN58960 pep chromosome:ASM407v2:3:28569899:28571910:1 gene:Csa_3G739550 transcript:KGN58960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDRKRILIGLTVAMFLGLVVYMKLWTIDYSMSADEAELLRRQFDLANREAMDESAEWRRMYDNELDRANRCKSELNQLKVSFEKVGDAAKINEKLTKTQEENFALRTQVDALQRRLEAEKSRCGSQ >KGN59348 pep chromosome:ASM407v2:3:31212785:31217130:-1 gene:Csa_3G812200 transcript:KGN59348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNLTVETEDVFSSLLELAANDDIDAFKRSIERDPSGIDEIGLWYGRLRGSKQMTNEQRTPLMVAATYGSTEVLKLILSLSCADVNRAVGLDRSTALHCAASGGAGNAVDIVKRLLAAGADPNMVDENGHRPVDVIVAPLRHGELKSILTELLKTNGFSGEGNLDVVTGGRDLHSSRPSSPLNVPSSSELVSSPTKSKLSDFPMYSASEKKEYPVDLSLPDIKNSIYSTDEFRMYSFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKFHYSCVPCPDFRKGACRRGDMCEYAHGVFECWLHPAQYRTRLCKDGTNCSRRVCFFAHTTDELRPLYVSTGSAVPSPRSCTSGASAMDYTTVMNLLPGSPSSVPVMSPSPFTPPMSPSANGMSHSSVPWPQPNVPALHLPGSNIQSSRLRSSLSARDMPVEDFDYLSDFDMQQQQLLNDLNCLSQPPLSSNSLNRSGRMKTMTPSNLDDLFSAESSSPRYSDQSLASAVFSPTHKSAVINQFQQQQNMLSPINTNFSPKNVDHPLLQASFGVPSSGRMSPRNLEPISPVGSRLSMLAQREKQQFRSLSSRELGSNSPSIVGSPANSWSKWGPSNGRPDWAVNADEMGKLRRSSSFELGNNGEEPDLSWVQSLVKESPTEIKEKQAHPNLGVDSFVSSGESSNMNSQMESVDHAALGAWLEQMQLDHLVAQQQ >KGN55915 pep chromosome:ASM407v2:3:2538140:2541637:1 gene:Csa_3G036410 transcript:KGN55915 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine rich repeat receptor kinase MAFVNFPIFMVYFIAFSLSFSYLVYGNSEVKALMELKVSLDPENRVLRSWTIDGDPCGGKFVGVACNEHRKVANISLQGRGLSGKVSPAVAELKCLSGLYLHYNNLSGEIPREISSLNELADLYLDVNSLTGDIPEEIGNMSSLQVLQICCNQLSGKIPTQIGSLRKLTVLALQHNRLSGEIPTSLGSLEMLKRLYLSFNNFSGRIPFNLATIPQLEVVDVRNNSFFGHVPSGLRKLNEGFQGENNPGLCGVGFVTVRKCTVFDNENIKGDGFQPFLSEPNNTATTQKNIPQSADFYNANCNQLHCSKSTRVPKIAVVSAVLIVSVILMVSMILTVFWYRRRKQKIGNSSLSCDDRLSTDQARELYSKSASPLVCLEYSHGWDSLADGIKGLGLSQYLGKFIFNVEEVESATQYFSEANLLGRSSFSMVYKGVLKDGSCVAIRSINMTSCKSEEAEFLRGLNLLSSLRHENLVTLRGFCCSRGRGEFFLVYDFVSRGSLSQYLDVEDGSSHVLEWSKRVSIINGIAKGIAYLHHEEANKPAMVHKSISIEKILIDHQFNALISDSGLSKLLADDIIFSSLKSSAAMGYLAPEYITIGRFTEKSDIYAFGVIIFQILSGTRRLANSLLLQAEVCKFEDFIDRNLKGNFSESQATKLANLALSCTNELPINRPTIEDLIEELNKI >KGN58129 pep chromosome:ASM407v2:3:21698795:21701292:-1 gene:Csa_3G535620 transcript:KGN58129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAIDCMATKDREFEIDLEGGGNTSEDDLSSETDSTSKPHARKTFGRLRSGFLSSDRSVSRTGIFASSSNSTKLVKLGVDENVELLMESSDGEKRREFGAFAEKNNVKGKIKKNGKVHKPPRPPRGPSLDAADRIFVREIAELAVKKRATVERIKALKKMKAEKTSSFNSSLPALFITLLFFVVIIFQGMSAKGSTMVTVSDSPAPSVGGSAGLIVQHSLQFQSSPNVNEPESHILNFAGKQTSDPATAVREASLVEELKNH >KGN59097 pep chromosome:ASM407v2:3:29591218:29591535:1 gene:Csa_3G769630 transcript:KGN59097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPRNTNGREKKLGFVGYLRNVSWKLKQRLAMAFNRLEEGLAFERDGGAFQGLRKKQKRSNKEKKKHLMGVSVAA >KGN59776 pep chromosome:ASM407v2:3:34194304:34198405:1 gene:Csa_3G845430 transcript:KGN59776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNPNLFPNGMPVPFTNELFVLARDGVEFEIDKIPGANSDRVKAKGTIYLSNVRMVFVSNKPDPVFTAFDMPLLYVRDEKFNQPIFFCNNISGLVEPVVPEDQHRALYSTHSFKILFKEGGCGTFVPLFFNLLSSVRQYNQHMNAGPRVDPLQAAQTPVDEMMRHAYVDPNDPTKIFLQQPATESQLRRRTYQSQPAENAM >KGN60294 pep chromosome:ASM407v2:3:38348024:38361709:1 gene:Csa_3G893440 transcript:KGN60294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKGRHAASIQYSIRSALLGFLRCMHPAFVESRDRLLKALRGINAGDAADQVIRQVDKMVKAADRAARDAWLGKDDQSSNQLNASADLTRKRSRVLDDEELSNGREVSKQFRFGPDVHPISTAQKDGSLQNAISNGTSHDVSKLDVELTPAEQMIAMIGALLAEGERGAESLGILISNIHPDLLADIVITNMKNLPKASPPLTWPGDLPVTRQGSSHVQVLAPSAPLSSVQTSVTPAQVPSSLATSAGSTFAESTVNSLPIDSKRDPRRDPRRLDPRRGGVSSASSMDEATSNTSDVDGSISLGKSASVPVSVTIENSSVSLISKTKVEEKIIESPLVFGTDQSTPKSRSPDRAEKMDTILEIHAPLDPMPTAVGKVDDGLVAVSLLDDLATKGDDTSSCVEYNQYSPSVTSAAASEDTCEELPLLPPYVDLTSEQQTTVRNLAAEKIFDSCKNFNGADCHQIRLAIIARLVAQVDADDDIVRMLEKQVAIDYQQQKGHELALHVLYHLHSLNILDSVESSSFAVYEKFLLVVAKSLLDAFPASDKSFSRLLGEVPVLPDSTLELLHKLCSCDITDNRGKDTPDIERVTQGLGTVWNLIVKRPYSRQACLDIALKCAMHSEVKVRATAIRLVANKLYRLSYISDRIEQHATNMFLSAVDNVDQTDVEPSPCASIEQRTGVEGESLETSVCGSQVSDPGTSENDSLRSSQPTVHGNSTLSLSEAERHISLLFALCVKNPCLLRFVFDAYGRAPRAVKEAVHEHIPNLITALGSSDSELLRIISDPPPGSEQLLALVLQVLTQETAPSSDLIATVKHLYETKLKDVTILIPMLSSLSKNEVLPVFPRLVDLPLEKFQRALAYILQGSAHTRPALTPVEVLIAIHNIIPERDGLPLKKITDACSACFEQRTVFTQQVLAKALSQMVEQTPLPLLFMRTVIQAIDAFPTLVDFVMEILSKLVNRQVWRMPKLWFGFLKCAFQTQPHSFRVLLQLPPTQLESALNKYVNLKGPLAAYASQPSTKSTLSRPTLIVLGLENERHL >KGN58688 pep chromosome:ASM407v2:3:27208854:27209604:1 gene:Csa_3G728050 transcript:KGN58688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSRHAEKKMIDDVSKHFEETLPRSPPPTTAPNPPPSDAAPSPRSLCGCQTNHFCDGTGDPQQSQTSRVTRTTSTTTSDLRWLQPATTPAAFLDYPQPELIWIP >KGN56630 pep chromosome:ASM407v2:3:7891792:7893903:-1 gene:Csa_3G126940 transcript:KGN56630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEELKLFRTWSSPFPLRIVWALKLKGIEYETVYEDLANKSPLLLEYNPIHKKVPVLVHGGKPIAESLVILEYIEETWKQNPLLPQDPYQRAVARFWAKFGDDKVLESIKKVFMNQGKVREEGVEEAMENLKHLEEELKGKRFFGGEAIGFVDIAVGWLANIVSVMEEVVGLELITEERFPLLSKWTKEFAAAPIINENWPPRDKLITKYQALYQTYMTKQE >KGN56820 pep chromosome:ASM407v2:3:8968958:8972765:1 gene:Csa_3G134690 transcript:KGN56820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQTSVDARKNLRSKIGSFCGRNKDEENLENGDLGLSKYSISRGLNKKLMLPHALYLKLKQNRISRSYVHDSFFNCNIGLDYKVPKYMVTIDEKYLRRCLELIQTSALKAARCNESISLSSVKTGALTESLSVDKLQTRGMAHMERFIITCPSAGEDSNTVLSSNKMWFVGSIMGSKSMINILKSPLLHQLGITEETSNLIRMDLNDIKGFTGSNFMDSPGDVDISSLKNLDNTKPESHQDGSDAANERFFSTPSRNSLCSDQSSSGSASTSLCQGMLQFTWKDGSPYFIFSVDDEKEVYVASSSKVTSADNNALDYVYLFCSAKSGLKDHEVRNSRPCIVGKMTVSTSYGVCSNNSKIADTEFVLFGGIENSDLEISPSNTVLKKNKVFPRKVAEVFRTSNSSKHRNIPNLNRSSVMKDFCPWEPYSDKLNSSDDLICARDLPPNLELAAIVVRDHLPEDHGSRVGGWGLKFLKQAKAKQTNNSLDTSVQADCCVRNSGKCSTSMDILIPAGLHGGPRTRNGGPSTLKERWKSGGVCDCGGWDIGCPLTILEGQSVNDDTLRQADTQECRAFNIHAKGYENSPPTLRMVNIRDGLYFVHFQPKLSSLQCFSIAVAIVHSRSPSLKPRNVQELK >KGN60052 pep chromosome:ASM407v2:3:36552635:36557384:-1 gene:Csa_3G873810 transcript:KGN60052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKATDFSSNHRSPSGTSLAGDNTNSSEFRRKNLQSPWAQVVRGEPESISPVDQSQLSSSSSSLSSLAVSATETPAPASPPSDNFTSSENCDANDGNAASKKQAWNKPTNGVVEGGSVMGADSWPALAESARASPKLTVDSPPKVTVEVAVPPSQGPINVPPQRQATNNAKSNPTLKNPVVARRSSRRGGGGGGSSVGGPRGGYYRPPPPPPPPPPPPFPVFQVSPNSYCNMIPAIPDPSSGEPLFRSPNWDPRAVAGFVPQLNFGTDHRNFFPRGNFPLHPRGDSHYHNNRGGKRGQDRGIYFNARDAHFQQHRPHTRGFMRPLPPFTGQFPQGVRPHLNPMAHPEFVYVPTLPLEAYRGGLPFMPRPAMNYPTVDPTLSASIVKQIEYYFSDGNLVKDDYLRSQMDDQGWVPISLIATFPMVLSLTNNIQWIVESLRTSTEVEVQDYKIRRRNEWKKWTTKSNWFRTDLVSSASSGSTHDSLATSFQRVRVDEGTNQSMTNDTDPHNAERTTELQSSESGDCSQLTNGEANQDVQTDI >KGN55889 pep chromosome:ASM407v2:3:2321073:2328115:1 gene:Csa_3G027720 transcript:KGN55889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSTHSQTKAILPDAWDYKGRPADRSKTGRWTAAAMILGGEAVERLTTLGIAVNLVTYMTGTMHLGNAVSANIVTNFLGTSFMLCLLGGFIADTFLGRYLTIAIFAAIQATGVTILTISTIIPSLRPPRCTVESSSHCAPATDFQLTILYIALYTTALGTGGLKSSVSGFGSDQFDESDKEERAQMTAFFNWFFFFISIGSLAAVTVLVYIQDNLGRQWGYGICACAIVAGLVVFVSGTKKYRFKKLVGSPLTQIATVIVAAWRKRHMDLPTDSSFLLDIDDFEDERKNGKMKKQKLPRSKQFRFLDKAAIREPEKGADIALMNKWNISTLTDVEEVKMVIRMLPIWATNIMFWTVYAQMTTFSVSQATTMDRHIGKSFEIPAASLTVFFVGSILLTVPIYDRLIVPIARKILKNPQGLTPLQRIGVGLVLSIFAMVAAALAELKRLRVATSHHMVNETTELPLSVFWLIPQFFLVGSGEAFTYIGQLDFFLRECPKGMKTMSTGLFLSTLSLGFFFSSFLVTIVHKITGNKPWLADNLNQGKLYDFYWLLAILSALNFGIYLVCAKWYVYKDKRLAEEGIELEESEMVCHA >KGN57522 pep chromosome:ASM407v2:3:13934510:13934734:-1 gene:Csa_3G203770 transcript:KGN57522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTNSKSANRFQKKSKIKVYQNSMVQMQHVIVIYSKEDLRGLLRCFLQLNSPSHHGIIVRAFSEIGQQVVKFSL >KGN57534 pep chromosome:ASM407v2:3:14064849:14074609:-1 gene:Csa_3G207350 transcript:KGN57534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEDEIVPASDSSDSSDDYIDINGEAFQDEDDEEEQSSSLSPSSDEDLKSKNVDALLRGNLVVRRQSLLPRVLSVAEGAAVCRKPFKPPCSSGYDERNNQLARRLWARKRFVPWGSLKPASSVIATNLFLPKAAENDAVEESVTLPPGIDPLVLWQPEDSELNVTNLASITVDPLLVRFLRPHQREGVQFMFECVSGLHKGTDIFGCILADDMGLGKTLQSISLLYTLLCQGFDGKPMVKKAIIVTPTSLVSNWEAEIKKWVGERVHLIALCESSREDVVSSIDSFVHPKSSLQVLIISYETFRMHSSKFSQSESCDLLICDEAHRLKNDQTLTNRALAALSCRRRVLLSGTPMQNDLEEFFAMVNFTNPGILGDVSHFRRYYEAPIICGREPIATEEEKKLGAQRSTELSEKVNQFILRRTNALLSNHLPPKIVEVICCKLSPLQADLYNHFVQSKNVKRAITEELKQAKILAYITALKKLCNHPKLIYDTIKSGSPGTSGLESCIRFFPPEMFSGRSGAWTGGDGAWVELSGKMHVLARLLAHLRQRTDDRIVLVSNYTQTLDLFAQLCRERRYPYLRLDGTTSISKRQKLVNRFNDLSKDEFVFLLSSKAGGCGLNLIGGNRLVLFDPDWNPANDKQAAARVWRDGQKKRVFIYRFLSTGTIEEKVYQRQMSKEGLQKVIQQESTNNLTTQVNFLSSEDLRDLFSFHDNVRSEIHEKMNCSRCQNCYGRPEDMDENLSTNGPCQSDQVTSDIGGFAQLAGCLDKLKKSEQQVGSPLEEDLGNWGHHFDSTTVPDTILQASAGDEVTFVFSNQVDGKLVPVESMSSPRMKDAEGNGNNSRLNQNSRQKPFLLSQHRKPLQSITSNEDPNKGTLKFTSTVFQSETMKPVRTSVEGSMHVTLKHKHSLGNYLPQKRMSDVSECDDFE >KGN58204 pep chromosome:ASM407v2:3:22658797:22659087:-1 gene:Csa_3G590600 transcript:KGN58204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLQICCSHRWPLSRLRLRHDNRQVALGVNLLILHLPASMSKDEMVKSIGEENTVDLIISAKIVHWFNLSNFYVVVTLAMEFLSMRGMFSVSESTK >KGN58333 pep chromosome:ASM407v2:3:24127871:24128158:1 gene:Csa_3G624010 transcript:KGN58333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAMMGAIYFIFIALNKDEGPRGGGGSSSSPTSTEETLEEARRIMEKYK >KGN57193 pep chromosome:ASM407v2:3:11313272:11324621:1 gene:Csa_3G171010 transcript:KGN57193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVPPLGYTAVGCVVHVGNQPPPTYIVYCIRSDLVSSTTYSECILNSPSNSWYETGFSIWRLDNVIGSFIGHASTDCPEKDHACDLNHLLKWNSNPDYTPSKEPSSNTASDHDTVSHSIPQGATSSRWDILRSISKETNFYLSTPNFERIWWDKGSEIRCPVSIWRPLARPGYAILGDSITEGLEPPALGLLFKADNAEISAKPLQFTKVAHIFGKGFDEAFFWYPIAPPGYASFGCVVSRTDEAPCLDSVCCPRMDLVSQANIFEMPISRSSSSRGSQCWSIWKVSNQACTFLARADHKIPSSRLAYTIGASAKPKTHENVTAEMKIRFFSLTVLDSLHGMTKPLFDTTVTNIKLATHGSFEAMNAVLISSIAASTFNPQLEAWEPLIEPFDGIFKFETYDTSVDQPPKLGKRIRVAATSIVNINVSASNLETFIGGILSWRKQLELEERAQKLNEEAVDYLKRGKDATFSALDEDDLQTAVVENKLGCEIYLKRCEQNSDIVDKLSLGDCVSVWIPPPRFSDRLNVADESREPRSYVAVQIIEAKGLPVTDDGNSHSFFCALRLVIEGQVPGQQKLFPQSARTKCVKPLIENNLLGEGIAKWNELFIFEVPRKGSAKLEVEVTNLAAKAGKGEVVGALSFSVGYGSSVLKKIASVRMVHQTNDLHNIVPYTLKKRNNPEDMADSGILLASTSYFERRTIAKFQRDAGNENLIDRDTGFWVGLSGDGKWQYIRSLLPLSTAPILLQDDYIAMDVVMRNGKKHAMLRGLVTVVNDSDVKLDISMCHVSLIQGHNASLGTGSFDFVVEETFENQRYHPNSGWGDQLLGFRHDDPGHWSTRDFLRSSKDFSEPPLPPGWQWTTTWTVDKTQYVDNDGWGYGPDFNSLKWPLTSFKSCKISSDVVRRRRWVRTRQKLPDQGVNSLKTDLTSINPGASASLPWRSTSKDSDQCLLVRPSTDQLMTEYAWGRAVFVGSVYACGKDQAFTDQGLLGKQASSKQENRISNLAFKLNQLEKKDMLFCCNSGNKQFWLSIGADASVLHTELNAPVYDWKISINSPIKLENRLPCSAEFTIWEKTREGKCIERQNCIIFSRGSEQVYSADTQKPLYLTLFVEGGWALEKDPILLLDPTSNDPISPFWMVHQKSRRRLRLSVERVMGGTTAGPKIIRFHVPYWIINDSSLSLAYRVVELEPPESVDSDSLPLSRAVKSAKMALRNPINSLDRRHSSVRRNAQVPWRN >KGN56309 pep chromosome:ASM407v2:3:5972795:5979838:-1 gene:Csa_3G114490 transcript:KGN56309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTGSRAMNKVETGKRGGKLGSILPNDSTVDRFVPRTDHNPRELRSWARRTGFVSTFSGEATSSVGEKNESTRFDLEKGLERRGGGSSPKIEIDPILGQTRPNREIEVEPVTGTGKGEMKTENEGGLRFRDGVLRSEERRRIGIEPVMGGAKEDERVVTNGKRSEKVDGAVNRQSDGDFNGNAHVAPFVTPAAEPKKEDGRDGRDGRDGRDEDINENAGEEEATEREWGGPSGLKLGPTDYPGYVPLIYYGLQQYLSLVGSVVFMPLIIVPAMGGTDKDTATVISTLLLVSGITTILHSYFGTRLPLVQGSSFVYLAPALIIMNAQEYRNLTEHKFQHIMRELQGAIIVSSIFQSILGFSGLMSLFLRLINPLVVAPTVAAVGLAFFSYGFPQAGSCVEISVPHIVLLLIFTLYLRGVSIFSHRVFRIYAVPLSVVIIWAYAFFLTAGGAYNFTGCSPDIPSSNILVDACRRHAYTMKHCRTDVSSAWRTAAWVRIPYPLQWGVPIFHIKTSIIMIMVSLVSSVDSIGTYHTVALRVAAKPPTPGIVSRGIAVEGFCSILAGLWGTGAGSTTLTENVHTIHVTKVANRRALEVGAVFLIFISLIGKVGAVLASIPLALAASVLCFTWALMVALGLSTLQYSQTASIRNMTIVGVSLFLGLSIPAYFQQFQSETSLILPSYLVPYAAASNGPTHTGNKQFDFVFNALMSLNMVVTFLIAIVLENTVPGSRQERGVYIWSHAEDIKNDPSLVATYSLPKRFLRLFCRSRCLCI >KGN59782 pep chromosome:ASM407v2:3:34252979:34254234:-1 gene:Csa_3G845490 transcript:KGN59782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASISILPLSFLFLLTLSSTALAAAPRKPLNVPFGRNYAPTWAFDHIKYKNGGAEVDLVLDKYTGTGFQSKGSYLFGHFSMSMKLVGGDSAGVVTAFYLSSQNSEHDEIDFEFLGNRSGQPPILQTNVFTGGKGDREQRIYLWFDPSKDFHTYSVLWNLYMIVFFVDDVPIRVFKNCKDIGVKFPFDQPMKIYSSLWNADDWATRGGLEKTDWSKAPFVASYKGFHVDGCESSVQAKFCATQGTRWWDQKEFQDLDGYQYRRLRWVREKYTIYNYCTDRKRYPTLPPECRRDRDI >KGN58952 pep chromosome:ASM407v2:3:28524983:28527777:1 gene:Csa_3G738980 transcript:KGN58952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTNPFSLLSSTTTSFPHPQDANPNPNPKPKPSAAAAKKKRNLPGTPDPDAEVIALSPKSLMATNRFICEICNKGFQRDQNLQLHRRGHNLPWKLRQRTNKEPIKKKVYICPEKTCVHHDPSRALGDLTGIKKHFSRKHGEKKWKCDKCSKKYAVQSDWKAHSKTCGTREYKCDCGTLFSRKDSFITHRAFCDALAEESARITTVSATNILNNLRNDSNNINLLHQQADHHQSLIDHHQSLGDISGLSQFTNHSDHFLRDFEDHQQKNRSPLSLWLNQASAENAINSNNSISNFFGASSSSSNLFGSITENGLSMLPVMEKEDVENKGSNNNFSKATSSSAAALLSGQSSQSVVSSSPMSATALLQKAALMGSTRSGNNNNTPLFGSGAFGVMSSSSSLSSSSSSNAVSSLNSLNKSRSLTMVDSVQMIGSNSDLSSNCLSQLLIPPNGNNAMRSSGQTRDFLGVGGGEAPRPPFLPPELAKFTTINSTMGLSQFAANH >KGN56567 pep chromosome:ASM407v2:3:7498494:7504901:1 gene:Csa_3G124860 transcript:KGN56567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDHDLTSTLLPAQQPSDRADVILRIQDDDVDDGGATPEQINNQNGNHHDPRLCFTNPYGFIGSNGFSVPETTTVDPFRNNTPCVDGIYEWVKIVVCIPIALARLVLFGLCLLIGYIATKTALHGWKDKENPMPKWRCRLMGVTRLCGRCILFSFGYHWITRKGKPAPREIAPIVVSNHVSYIEPIFYFYELFPTMVAAESHDSIPFVGTIIRAMQVIYVDRFSPTSKKHAISEIKRKASCNRFPRVLLFPEGTTTNGRALISFQLGAFLPGYSIQPVVVRYPHVHFDQSWGLVSLPKLMIRMFMQFHNYMEVEYLPIISPRYNGKESSSDFAKRTSRAMATALNVVQTPHSYGDLMLLTKAAQANQERPSDYMIGMSQMEQVSSLEAVDFLDKFLSMDPDSSGRATYDGFVRALRLKACAVAEDIFSFIDIEKMGTITYKQYLYGSLHVMKLQGFQRSCELIYTECSNEGDKISEQKLEELIRPATPDLNAEEAHELLKLFDTNGDGKISKNDLCGCLKRNPLLIALFSRCLLPSL >KGN56884 pep chromosome:ASM407v2:3:9282842:9283165:1 gene:Csa_3G141800 transcript:KGN56884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAERIHGRSRPLEKNLPWDVVIRTEPGEALASALTWDRALSMEFIKDGFSQCSWLPVSVRMNSFPRFSILHIFVRKLSGSFTINDQIPNQCKFKHCNRYPLRQER >KGN56926 pep chromosome:ASM407v2:3:9557727:9557942:-1 gene:Csa_3G144160 transcript:KGN56926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNFRGPYFGPDYKRMLRIGLRNWGLNLHLRALIRFPASRLPKNRIGSDCRCRR >KGN57760 pep chromosome:ASM407v2:3:16701015:16701251:-1 gene:Csa_3G282480 transcript:KGN57760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNPLAKPKRLANVLRFGHQALTPMHGLLGVCLAAQHPSLCGHVSRPTNLSKPSSCGPCPPKDKPQMSKHYFNEVTLT >KGN58973 pep chromosome:ASM407v2:3:28645392:28651205:-1 gene:Csa_3G740160 transcript:KGN58973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQLLNPPQGILFVIKAVAQDPAKLYRQNLSPYFSKYDRYHAVLMSTPARSWGAVYCKNLVNSEDHASSWWNLEAVPHVQTLGEFPREELAGKVVMVRFDSTLLLLEEMDLKTNSMKNAVFTIKYLHKSGAKVILASNWNTNSAARYLDIKSVVDYLSSVLQLKVCPVKCSSYSMMLTEEGFEKADILLLENLSVFKEEVANCSKFSKMLSLGVDIFVNDSFSQSHRILASTVGITRFCSTCLAGFNFQEGLCQLKKAAQTKRQPYVAIIGGGNLLNKLAALHVLASTCSVLVFVGMMSFQIMQALGLSVSYRLMNHGVCKEAAELIQFSLDKRVRIVYPKDFWCANVDTSKKMEIFASHDIPDGWLPVDLGPTSLDEINALLMNSKKIIWIGPVKFSDSSQSARGASRLARKLYDLSQRDCDITVVGTTACKAIMQESSTLCAYNVFENASVVWDFFKGKQLPGVLALDRAYPYEINWDAVFCDTTLPLVVDIGSGNGMFLLEMARRRKDHNFLGLEINEKLVKRCLHSVHQLEMKNGHFIATNATSTFRSIVCNYPGELVLVSIQCPNPDFNKPEHRWRMLQRSLVEAVADLLASNGKVFLQSDVEAVALRMREAFLLYGKGKLGVLQEQVGEEWLSENPFGVRSDWERHVLDRGDPMFRLMLSKSTTKSFN >KGN60173 pep chromosome:ASM407v2:3:37356124:37357192:-1 gene:Csa_3G881860 transcript:KGN60173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLFGPPTFSIEVPPSSAFSAVSLPSENPSAADTQNLARSGFLRSGSGSSIGENSSESSSSIGVPDGDSDDDGGGGDEVQSKPKEGGLCGLESLEKALPIKRGLSSHFSGKSKSFANLSEVIQVKDLEKAENPFNKRRRILMASKWSRKKGSFYNWPNPKSMPLLALNENNEEEEEEEEDGKESGEESDEGKGGRRRSLGQRFHDGKLVNGLKFKSCFDLQEYEQQQ >KGN56039 pep chromosome:ASM407v2:3:3469618:3470370:1 gene:Csa_3G055940 transcript:KGN56039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNNQKFLYVDIKEGNEYGYFYVYVLLMNLNLQYLCRYSELNMMLTPNNPNSINNNPNTNHHLSDGGTSIGNSSATSPLSVAATANNNEQNQQCLVREQDQYMPMANYISFITSEANERCQREQRKTVTAEDVLWAMGKLGFDNYIEPLTVFLNRYRESESIRTEPMLRRNVDYGPQVGMISPYGQAFQIGHVPAGMFDAMGGYYGGGGSCGPSTGNNSQL >KGN58665 pep chromosome:ASM407v2:3:27060474:27062472:-1 gene:Csa_3G716870 transcript:KGN58665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIDDTSSVSGQIVCVTGAGGFIASWLVKLLLEKGYTVRGTVRNPDDQKNSHLTNLQGAKDRLSLFSADLLDFESLQAAITGCHGVFHTASPVTDDPDKVEQAIIGTKNVMTADAEANVRRVVFTSSIGTVYMNPNRSPDTVVDESCWSDLEFCKNTKNWYCYAKTKAEQAAWEVAKERGIDLVVVNPMLVLGPMLQEGVNASVVHMMKYLTGSAKTYVNAVQGYVDVKDVAKAHVLVYETPSASGRYICVESMLHRGELVDILAHFFPQYPLPTKCSDEVNPRKKPYKYTVEKLMSLGMEFTPIQQCIYETVKSLQEKGHLPLPSQLQR >KGN57129 pep chromosome:ASM407v2:3:10820222:10823848:1 gene:Csa_3G164480 transcript:KGN57129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAYANLLNVGTKIIAVGRNYAAHAKELGNAVPKEPVLFMKPTSSYLQNGGTIEIPHPLKSLDHEVELAVVISQRARDVSEASAMDYVGGYALALDMTAREIQASAKSAGLPWTVAKGQDTFTPISSVLSKASIPDPDDLELWLKVDDEYRQKGSTKDMIFKIPYLISHISSLITLLEGDVILTGTPSGVGPVKAGQKVTAGITNIIDVHFNVENRKKP >KGN56831 pep chromosome:ASM407v2:3:9015943:9018308:1 gene:Csa_3G134790 transcript:KGN56831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESSRATVVRQLQNAKCSSGKSYNQIAQETGLTNVYVAQLLRGQAQLKPDTVPKLRSALPQLTDDHIQQMMRPPMRSYDPCLIQEPTIYRLNEAVMHFGESIKEIINEEFGDGIMSAIDFYCSVDKVKGVDGKDRVVITFDGKYLPYSEQKVEHMASKRPTQES >KGN57999 pep chromosome:ASM407v2:3:20165551:20166490:-1 gene:Csa_3G426360 transcript:KGN57999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQKLQPIKGGGGSVKVGATGTISSLMMRELESMRSASKKPVTSKNKSSSAATTTTVSSAASIPKRLGQSKSFVEVSDRRYNSVNNRSFGNSHNATKTGSRDVHRMPMLSSNDVYTDGNSYREKPERKGLRAVAIVDVKCNNPDRAWASRPLSSRLRKLGFSKLSETFA >KGN55953 pep chromosome:ASM407v2:3:2790062:2805092:1 gene:Csa_3G038750 transcript:KGN55953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQSRFRTTYFLRTHPDLSGPPPSRAPPPIIVERSSSSDISSSSRSLDSSFDDNIATSSDDGGPQSNGTTVTPSKLGKEQEVPALGLPKLYTGLADDDLDEAAYITLLASMAFSRIEIYSFEDKNKENVIKHSAGMKSTRDEVDVQSKNFERHLNLLHAVHTQMQISGVADACMRKRLMELAARRNWGQINVPQILLVLLHSVFRSDFPSEKSYIQWKLRQVNILEEFCFSANLAASERQICETSLMKIRSTKEWDMNMVPSERAKVLSGIAQVLSKLSALDAYHFNIRLYEKLLFGVLGASDDNHPTMEVDDSVGLVKLTWSILGITPEIHSVIHGWVLFQQFVKTDEISFLDSAMVELQKIASSKNNEGKEEQYLESLSCSISCNGNGNEMKLNLAEAVFFLISSWCDIKLQAYHLHFLKKPSYFGKVVSLLSIVGVVTSYDCNTVKLTRLDGLKASGTRKLRTYVERSIEAAYKAVEDSVNSESKESIHPLALLANRLRLVAEKEITVFFPVLRQLCPDSGIVAAMLLHQFYGEKLKPFLKEVSNLSDDVRSVLPAAYSLDRELTHLFTSASKESILSPLLKEDLEHYPIVQIAKPIILDWMIDQLEQTSEWTGRAFKLENWEPISFQQNLAASVIEVFRIIEETVDQFFDLNLPMDITHLQALLSIVYHSLDGYLSGLLNQLVEKNCLYPPVPPLTRFVETATTGKKKLPESHLDEHVNRKLNGLTISKLCIKLNTLGYIQKQIVTLEDRVGKSWALLGRSAKHKQAQVEVSTTSNGGIGTFSDEANELFANTFNNIKSFIAKSISKFCDFTGTKIIFSDLRDEFLSYLYRGNVEAARLEGFLVHLDVVLNNVCGMIDGTLRDLVVLSICRASMEAFTWVMLSGGPSRGFSDSDIVLIREDLGILKDFFIADKEGLSRIFVEKEAEFAEEILGLYSLPTETIIQLLMSSSGKNSTELDPCGNNGSLQFNDSQALVRILCHKKDTEASMFLKRKYNLPASSDYDDTPSLKDST >KGN57982 pep chromosome:ASM407v2:3:19914067:19914480:-1 gene:Csa_3G418730 transcript:KGN57982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKVEVGNTRIKDYVKEEPKEGKKIDENRRLCNKKNGDQVQQQVTEDGKEYFHWKGLPPLLMNLQVGDKGPKNGREEDGEKTAWRVGEESDKRTVVEGEPLARNPLQEEEGERESGLHKEEEET >KGN59334 pep chromosome:ASM407v2:3:31110588:31113261:-1 gene:Csa_3G810580 transcript:KGN59334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDPSTNFFFTNLFKFNSPTTTSFHPSSSSSSSDPLRLPPPPPPPNFFPTFHHAPPPPSSPPLRQALPLLRLSPTRSSSQEKNNNIKELDDEKEETLMRVALHIGLPSPRDQEIEDEEEEDQEIEYEEEEELEELEEEEEEEVAISGLCLSNSRLNKGQYWIPTPSQILIGPTQFSCPVCYKTFNRYNNMQMHMWGHGSQYRRGPESLRGTQPTGMLRLPCYCCSPGCRNNIDHPRAKPLKDFRTLQTHYKRKHGIKPFMCRKCGKAFAVRGDWRTHEKNCGKLWYCICGSDFKHKRSLKDHIKAFGNGHAAYNHNHNNNNPDLHLHRLHHRRQRFDNEEDDHAYSEVEQDHD >KGN58042 pep chromosome:ASM407v2:3:20766762:20768950:-1 gene:Csa_3G455650 transcript:KGN58042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLANQLRYFGRPDSRFVFSVLNSSSFWSLSTIVASPPTPEFPINERARRRLFSLSFASGMDASPTCSLVLSGKTAVENETAKLLKRNDTLKLPDDTEISVLLHSEKDKPLEENGFRIDLYLNALSTDTFGRFLIWSPRVPSTQDVISHNFSNLPLGAVCVADVQFKGRGRSKNLWESPPGCLMFSFTIQMEDGRIVPLLQYVISLAITEAIKDICDKEGLPYIDLKIKWPNDLYVNDLKVGGVLCTSTYRLKKFNVTAGIGLNVDNDKPSTCLNEALTNLSSTPYKFRKEDILAFFFNKFERLYDVFINQGIYMPIQCLPHDKTLSHTCLNLELLLQSNLTNALEMVLNYEKFKQQAPSTKRI >KGN59800 pep chromosome:ASM407v2:3:34493950:34494332:-1 gene:Csa_3G847610 transcript:KGN59800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLVCIKAVKGVKEEEFYRGMRKIGSSPPSCEHKCYGCIPCEAIQVPTTTNRRSHVGVQYTNYEPEGWKCKCGPSFYSP >KGN55682 pep chromosome:ASM407v2:3:648969:650488:1 gene:Csa_3G003990 transcript:KGN55682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMSHSLLSLLLSFPFFFLGGNSTTFTILNQCDYTVWPGLLSGAGTSQLSTTGFVLEKGQSNPITIPPGWSGRIWGRTYCSHDATGRFTCATADCGSGTVECNGKGAVPPATLAEFTLNGANGLDFYDVSLVDGYNVPMLITPQDGTGGGNCTTTGCATDLNSECPSELRVAASDGNEKSVASSNPACPQAYSYAYDDGTSTFTCTAANYLITFCPLSDRNAQSPKGVDSSRTERSGRPSLIVAVISMVMAALSQQFLFLF >KGN59799 pep chromosome:ASM407v2:3:34476102:34478092:-1 gene:Csa_3G847600 transcript:KGN59799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLVIEKTGEAISSPGKKNGNQGFRSEKNAGNLRVEQPSRNFSFLNLDSHPPGIPLPKTRNSTPVASPLPSGDQFDTAAVKLQKFYKGYRTRRNLADCAVVVEELWWKALDFAALRRSSVSFFDSNKSETAVSKWSRAGARAAKVGKGLSKNEKAQKLALRHWLEAIDPRHRYGHNLHIYYDVWFQSQSSQPFFYWLDIGDGKELNLEKCSRAILQRQCIQYLGPVSMEQ >KGN58328 pep chromosome:ASM407v2:3:24065608:24066397:-1 gene:Csa_3G623960 transcript:KGN58328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLTVVAQSLQVRSTFAKIIGLSQVRFFQPDFTPRDPNAKPKKYKYPAFYDPYGPRPPPSDKIIELAERIVALPAAERCQIGPTLGEKLRHPKLQEISVDGLDMGPEGGAAAGSSNVEEKKEKTAFDVKLEKFDAASKIKVIKEVRAFTNLGLKEAKDLVEKVPAILKQGVTKEEANGIIEKIKAAGGVAVME >KGN59565 pep chromosome:ASM407v2:3:32720703:32721254:-1 gene:Csa_3G825100 transcript:KGN59565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGNLRGGGKVEIDEVELDMGGGFTVGVGIMGMERILNWVWFRPKRVEKLLRQQGLAGNSYRFLFGDTKEITAAVRQARTSQPMSFSHHIAPRITPYAYPTITNTEIIITSIYAKTWILKYVTFSVLATSISVLLINHVPFSPLALAPPFSLSFFIRSVRNCSTSCVGRGVGEIGADLRERDR >KGN56405 pep chromosome:ASM407v2:3:6668128:6669927:1 gene:Csa_3G119390 transcript:KGN56405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRERESRERSLVGKGGNMKGWCFYEGENAALELGLGPTSQPDHTLSFIMLGLFVYKMIINIMQSGVWYGDCWVLVSCITDSKWPLEEVEPDRRRVQMGIWSNRVDKCKIKPGAHIYSYRAAYAYSHHAFLWPWFHSFPRVRAGTCTIAKSDSSNMVLHRAMYLLLNGFGKVRAGTCTIAKSDSSNMVLHRAMYLLLNGFGNLLINIEAGTSGQVSSVGAAVASSSFAAVSKFLMPGLGAVAVAVAAGTGMYHLGRYSSEIGVRPDVIKVEVEVLLPIKKKFRRTLGSSRCNQR >KGN60458 pep chromosome:ASM407v2:3:39553337:39556382:-1 gene:Csa_3G912900 transcript:KGN60458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAVSSTFLRRYLYSLSQTPHRLRKRMLATWTPDQELNQVRQRSGADMKRKLKWFDLVALGVGGMLGVGVFVTTGPVALHVTGPAVFLSYIIAGISALLSSLCYTEFSVHVSAAGGAFSYLRLTFGEFVGYFAGANIIMEYVLSNAAVARSFTEYLCVAFGESEPNAWRVEVHGLLNGYNMLDFPAVGLILLLTLCLCHSTKESSTLNLIMTIFHVIFFGFIIGCGMYKGSAKNLVKPDGVAPFGVKGVLDGAAIVYFSYIGYDSASTLAEEIQNPTKSLPIGIVGSVIITSALYCLMALSLSLMLPYNQISEKAAFSIAFQRIGWKWASNLIGGGASLGIVASLLVAMLGQARYLCAIGRARLVPSWLAKVHPSTGTPLNATLFLGLCTASIALFTELYIVIEMISIGTLMVFYLVANATIYRRYAMVSKHPPSRILLFLLLLSCSAIGFSLSWKLNQQWWPGLLFFSVSTIFIITFFHYKFPSHNSSDAWSVPYMPWPAATSIFLNVFLMTTLRMLSFQRFAIWSCLITLFYVVYGVHSTYKAEEIIMEVNNNRVGEVTNNNNNNNNNVNSTIQQSKLDIQVL >KGN58691 pep chromosome:ASM407v2:3:27223497:27223949:1 gene:Csa_3G728080 transcript:KGN58691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGLCRVGRSDEAMELLNEAEENGLKPSVVTFNTLFNGFTFIRALCRTSLKEKDVLEDAHQLGSVNETFCVLGLGIPFTAISFSACNELNTQGIYFSACNV >KGN58722 pep chromosome:ASM407v2:3:27381795:27384554:1 gene:Csa_3G730860 transcript:KGN58722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGHYPLSEFQVYPFQFMQILPSKTAAWCSKSPNNNRKLKNQQWRSCSSYLIALAFALLLACSFRPVTGQMWDGVSVTQGDFQALQAIKHELVDLKGVLRSWNGSNGACSGQWVGIKCVKGQVIAIQLPWKALAGRISDRIGQLRELRKLSLHDNVISGVIPRSIGFLPNLRGIYLFNNRLSGSIPPTIGHLPLLQTLDLSNNLLTGEIPFGIANSTKLIRVNLSYNSLSGSIPTSFTQSFSLIILALQHNNISGTVPDSWGSLGNKTCPLGVLTLDHNAISGAIPASLTKLEWLQEISISENKISGAIPGEIGRLKRLRLLDLSNNAINGSFPSSFSNLSSLQLLKVENNRLESQIPEDIDRLHNLSVVKLGKNRFSGEIPASFGNISAISQLDFSENNFTGQIPTSLTRLLNLTSFNVSYNNLSGPVPVLLSNKFNASSFVGNLQLCGFSTSTPCLPASSPQNITTPSTEVLKPRHHRRLSVKDIILIAAGALLVLLLLLCSILLCCLLSKRAAARKTDKTTAKQAAARSIEKAAPGSTEVGAGEAGGKLVHFDGPFVFTADDLLCATAEIMGKSTYGTAYKATLEDGNEVAVKRLREKTTKGHKEFETEVAGLGKIRHPNLLALRAYYLGPKGEKLLVFDYMPRGSLSSFLHARGPETTVDWPTRMKIAIGITQGLNYLHTEENLIHGNLTSSNILLDDQSNARIADFGLPKLMTSAAATNVIATAGSQGYNAPELTKTKKTTTKTDVYSLGVIILELLTGKSPGEAMDGMDLPQWVASIVKEEWTNEVFDLELMKDTQNIGDELLNTLKLALHCVDPSPTARPDVQQILQQLEEINASTSGDDGAKNQPENE >KGN56519 pep chromosome:ASM407v2:3:7265657:7274375:1 gene:Csa_3G122430 transcript:KGN56519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLESVIEFLGCVPLLQRLPSSSLRAIAEVIVVKRYESGDYVLREGETGAGIYFIWEGEAEVHGVDEDGENRPEFQLKRYDYFGTVTSVQDADIVALSKLTCLILPREHYSLLNPMSIWNADRTRDTCALVEKILHLEPLEANLFQGITLPEAPKFGKVFGGQLVGQALAAASKTLDCLKFVHSLHTYFLLVGDFDMPIIYQVHRLRDGNSFASRRVDAIQKGNIIFTMLASFQKEEEGFDHQIAKMPPVPSPDTLLSMEDLRERRLTDPSLPRTYRNKVASRTFIPWPIEIRFCEPNNSTNQTKSPPSLKYWFRARGKLSDDQALHRCVVAYASDLIFLSVSLNPHRRKGLRTTSVSLDHSMWFHRPLRADDWLLFVIEAPTAYNARGFVAGEMFNQKGELLVSLTQEGLIRKARTPEFVPASKL >KGN59679 pep chromosome:ASM407v2:3:33487195:33487705:-1 gene:Csa_3G837600 transcript:KGN59679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRFPFPSDLSPEGPKFKSCCYIVKSFLSSSFAGEVKLEFHICCNGNYTGSV >KGN59463 pep chromosome:ASM407v2:3:32084742:32086787:-1 gene:Csa_3G822150 transcript:KGN59463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLYQISVGEICGKHKTTTLLSSFGRYAFLLCNLHNSILSSQFSTCQVVPKISSPVRDFSANSNVARSNWLITQLGKEGKIGEARQVFEEMPDRDVVSWTAVITGYIKCGMIEEAKTLFDRNDAIKNVVTWTALVSGYVRWNRIEEARRLFDAMPVKNVISWNTMIEGYARKGWIDQALDLFEKMPERNVVSWNTVITAFMQRRRVDEAQELFNRMPERDVISWTTMVAGLSKNGRIDDARLLFDKMPVRNVVSWNTMIIGYAQNMRLDEAFKLFEQMPERELSSWNTMITGFIQNGKLERAVDFFYKMSNKNVVTWTAVISGHVQDGRSEEALKIFSEMQAANNVKPNEGTFVSVLGACSKLAALCEGQQIHQIISKTVYQEVADVVSALINMYSKCGELELARKIFDDGSIGHRDVVSWNGMIAAYAHHGHGHKAISLFDEMQALGFRPDNVTYIALLSACSHAGLVDEGLKLFENLVRDRSIKLREDHFTCLVDLFGRAGRLQEAFDFIKGLEVKPSASVWAALLAGCNVHGHIDLGKLTAEKLLETEPENAGTYLVLSNIYASTGKWREAAGVRMKMKDKGLKKQPGCSWIEVGNTVHVFVVGDNSHREFENIYLLLHDLHTKMKKIGHTLYEDLTIDFNLVMA >KGN56582 pep chromosome:ASM407v2:3:7598119:7603571:1 gene:Csa_3G125000 transcript:KGN56582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METIPAASAVVVSNTPPIPPEKRNRSIFDVPADFFDSCKLLRSPHSYTSPTSGSNRDGPSAVNTVDLEQNSRDFKESSVAHRWTCNICKAEFESLLDQRSHFKSDIHRFNVKLSIAGKNIVKEDDFDELTSDSFRDFDVSSISGSEDETEKERNYRNEGSKGTSDNVNKKRLFVHLQTGERASVWKCLLINESDNILYEEDKTSASGDGVHAQCLRESDVVARLKYLNHEPRDGSHLRIVLLASGGHFAGCVFDGNSVVAHKTFHRYVVRAKSGKKQSSKDASGKSISSAGASLRRHNELALKKEIQDLLAAWKSYFNASSCVYIYAPSNNRQLLFNGDKPYFSDQHSAVRNIPLTVRRPTLKEARRIYEQLVQVMYEVDEKEILSTSEHNALLSATADIAEKGTQDISGQKLIGDLKKDACTHLEESVEYPKTSESESEVICRTTPLHEASQSGNADRVLELLEQGFDPCIKDERGRTPYMLASEKEVRNNFRRFMASNLDKWDWDAAKVPSALTKEMEESQAAKQAEKDAKRKARAKELKKLKKEKAKKAQAQDAEAQKAVKTEQSRGIGSILNSRADSTSGKLITKEMALAAEREKRAAAAERRMAAMAAAAATNNSSSTTSSSSQTKSGSTGDANCSCCSTSLAGKVPFHRYNYKYCSSTCMHVHKEVLDEN >KGN57034 pep chromosome:ASM407v2:3:10187928:10188858:1 gene:Csa_3G150140 transcript:KGN57034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRIFFATTLSRSSMLHTKFHNPIPFPNFLNPISLKSKPIQSFPLTPPFFSSISQMGDARRPPFPTIPPPDKADRSELLRSLEFSLASSFSSDPLVPNPSPLVIVISGPSGVGKDAVIKRLREVREGLHFVVTATSRPMRPGEVDGKDYYFVSKEDFLDMIARNELLEYALVYGDYKGIPKRQIREFMAKGYDIVLRVDTQGAETLRKVLGNSAVFVFLMAESEVKLVERLIDRKTETKESHC >KGN56268 pep chromosome:ASM407v2:3:5511714:5515016:1 gene:Csa_3G110650 transcript:KGN56268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLTRFAADAFGVVTICLVALLILLGLLCIIYSCYFRSRIHSEGCIQLSYFSGPWIIRITFILFVIWWGIGEISRLSFLRGEGGLLHDLKWQETICKCYIVSNLGFAEPCLFLTLLFLLRGPLQNMESGILSRKWNRKTAGYIFLYCFPVFVLQIVVILIGPRLNYDSRYGRKLPKYFTSAVTIVTASSSRGAADIALCTYPLLSVILLGFFASILTIYLFWLGRQILKLVINKNLQRRVYTLIFSVSGFLPLRVILLGFSVSRKPEQFLFEALTFSAFLVLLCCAGLCICMLVYLPVADSLALGNLQDLEARRRSNDDHNDTISLIANQPHVNDSSSSPQMSPARNSDASSTKRGSISFRTFQKDGASAGMGTATFVELSLFSPSREVSPPGSPPLLGWPMRST >KGN55590 pep chromosome:ASM407v2:3:118976:122560:1 gene:Csa_3G000140 transcript:KGN55590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGLGCGGNENNNNDNKRGLNTIPSLLRKALYSICRASAPPSPISSAPISSKSTTSTSMADATETLKTKVCVIGSGPAAHTAAIYAARAELKPILFEGWMANNIAPGGQLTTTTDVENFPGFPEGILGIELMDHCRNQSLRFGTQIYTETVTKVDFSSKPFKVFADSKTVLADSVIVATGAVAKRLTFPGSGEGNGFWNRGISACAVCDGAAPIFRNKPLAVIGGGDSAMEEANFLTKYGSKVYIIHRRDTFRASKIMQQRVSSNPKIEVIWNSVVKEAYSDANGRVLGGLKVHDLISGKVSDLAVSGLFFAIGHEPATKFLDGQLQLDSDGYVLTKPGTTHTSIPGVFAAGDVQDKKYRQAITAAGTGCMAALDAEHYLQEIGSQEGKSD >KGN60014 pep chromosome:ASM407v2:3:36259888:36262435:1 gene:Csa_3G866470 transcript:KGN60014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKFLSKVKIEFNALDPRLACCMEFLAQCNASKAKESNPACQLIVKRRTDDHPPQIAVTFVNGVEEVFDATSTPAQTIRSMILEKGQLLETEQMFREAGEAWPVIIPDEELKQPFTGIKPRKAEDKQ >KGN57978 pep chromosome:ASM407v2:3:19878406:19878732:1 gene:Csa_3G417700 transcript:KGN57978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEFFSKCGFISLVQKVWAMVSMDHSHEAAMRWRKSAKRPKPGGGPNQITQKLVGEGGRNARSIIEPQMGNGGFDQKGNTVVGPFLETWLMGQLLNIDQMGIVESTCI >KGN56781 pep chromosome:ASM407v2:3:8782505:8786930:-1 gene:Csa_3G133320 transcript:KGN56781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLERRAESFFLVPLEEHFKMPAAWWKHQAEVWWGNQRMSQGGEGRGEHTRQGNSDFVSWETPNADYANEKKKSLRVRYVYSIIFLITNLIAWFLRDYGQRILPQLHYLKPCGAGGQDCFHALGVLRVSLGCFIFFFLMFLSTSRTRKLHEPRNVWHSSWWSLKFIVFIVSMLAPFFFPPALIQLYGEFARAGAGIFLILQLVSIIQFISWWNKYWMPDEKMKQSCSLGLFTSTIFYIASFCGIGLMYSLYVPKLRCVLNIFFISWTLILLIVMMAVSLHSKVNRGLLSSGIMASYVVFLCWSAIRSEPTTEKCSARKEESGNSDWITILSFLIAICAVVMATFSTGIDSQSFQFRKDEVKEEDDIPYKYGFFHLTFSLGAMYFAMLFISWNLNNSATKWSMDVGWTSTWVKIINEWFAATIYLWTLMSPVVRKAKVRDYEEGAVVQEANDSADSADSAIP >KGN57431 pep chromosome:ASM407v2:3:13008241:13019257:-1 gene:Csa_3G185110 transcript:KGN57431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDTNLNFQSLFESLKMEGPWLPPKTWESFPLQSQQTQLPSRCSAAISSSSVSEASLVRLAMNALQGLESALISVENVSAAFCSDPSDRTFHQIPSLWNRSSSTHVLGKILRSFGCVGFLVFLLHKFVGHFTEMGIDETFNQMSYQSKLEQCKSNDDSKVIERQRSQKSLVNQAFAVALKKILEGYTCALDSLHASVGLRRTSKVPDAPFIESSVEGCLMSVVHSEVTLLEMYLHTRELRNQIEVLGNICNLHNIANCFSLLPFQDLICKATSEFCNFHRGGDLLTYLYTQLQVADPAHCAVLKFLFLHSCEPYCAFIRSWIYKAEVVDPYAEFVVEYVDVKTPNLNTAGISSFPLACTREREGVSIPCFMKELLLPLLRAGQQLQVLVKLLELGTSVATADCTYDDFLPCWTGFSSYHGSYESVISFSKEDVESRVSARNIYYEMMQKKLDNFLTKMEFRYEQVAPDDAVSMILAHVGGSISAPLSIESGSSIVVPEPDKRSSIMLKDMTNHDDSSSSLDATDIEVDMYDSAVDMYDSPGCQSSISGEDQIESHQRIEPHDNTGVLKDHFSSLSFSKKILNTNSLRTPSQSEGEGLFHVGSVLDGTFTKIDDANCVVQSQNNALNSSDTSLFFDLANWSWNADATCTGYSDIHSLEFDIRKDRRNYGAHFGELSLSRKRIDNTSATKDVSMDNQLDNIPRASNLFMLQLQNLNCSSNFLSLNPMVTRNAFLPVTTKPDQRHTSALGQSFPFFDFSVVEDPCRVRAENVLPGSGAESLSGGNSQSPATNSKSTDSIERGSREDIFVDNTKSYNDTENLSTNVSGGRSWETTLCTASKRTVDKSAEGQRLSRSGLFELPLDFVIHKCLVQEIILQYTYVSKLTVKLLDEGFDLRGHLLALRRYHFMEIADWADSFITSLWNHKWCVIEADSKLQDIQSYLELSVQKSSCEHDRNKDRLFVYIKEQCTLPLSKATIGIDSFEFLGLGYQVEWPINIILTPAALKIYAEIFSFHVKVKLAGFSLTKVWSLLKDMVLSVRRNRHSKLINQEIQHFNILVKTRHEVNHFVCVLQHYVESQLSHLSWCRFLQSLQLKAKDMMDLESMHMAYLTDALHT >KGN56794 pep chromosome:ASM407v2:3:8847222:8847398:1 gene:Csa_3G133940 transcript:KGN56794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMGMGTGMRMREGVKERCGGVDGNGGGPASGVGMVEKVLGRRKEKRRELRIENCSGG >KGN58324 pep chromosome:ASM407v2:3:23993229:23998705:1 gene:Csa_3G622430 transcript:KGN58324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGIQRRKVGNNEKPFPGCLGRMVNLFDLSTGVSRNKLLTDAPHREGPILSRNQADVARMFNHSINQSEDNLSQTVPELRRASNKRASGTPVKMLIDQEMSEMESTQSPPNVVAKLMGLETLPHQFSGSSVQRNNVRTCPKSRIQNHSDFLEEGMKYQVDECSEQKEYKDVYEIWQRSPQTNYIKEKLPKGMESEVVNDRKMALVRQKFVEAKRLAPDEKMRQSKEFQEALEVLSSNKDLLVKFLQEPNSLFTQHLNEFQSIPPSPETKRITVLRPSKVSRDERFTELEKKNYRQSRLPAQRGQSASLDRSDSRLSPTPATNRTNEYAVGVQPTRIVVLKPSPGRNLDNKPIASSPSPLPRAVQDGSFNGGFEDDDVKKSRKFARNITQKMCDNLLGHRRDETLISSVFSNGYTGDESSFEKSENDYAVENLSDLEVMSSSSRHSWEYVNRYSSPYSSSSFSRISCSPESSVCKEAKKRLSERWAMMTTHGNYQERRYVRRNSSTLGEMLALSDAKKSTVTDNEVNEHEQSDLDPCFNRDENIECLDDSPTTFEMSKSVSGSSALFGVLNLEASDLDIVKIEDSKLLGKPKGVKSSFNEKVSSLFFSRNKKTIKEKYSGSQTKDEPQSCSAETLSSSAFIHHSRGFSNAASHSNDGEGCSSGTSFLHLTNVAGRGGAVLHHEAGLSVKRPFVAGNVGENQEQPSPISVLEPPFFEDDNTHLELSSYLKPRNQEFCMPYKNSLIDKSPPIESIARSIFWDGSYSDSSAPCALKSAPVSTCLEEEQNWHSLVQALLTMSGLSNEVQQCSLLFAKWHSLANPLDLSLRNKYANLNSKEPMLEAERRQLRSSRKLVFDCVNAALIDITSQELDHRRTEILAQDTSLTLLDCVMVKVKDWVCVESRCVTGDIGDINSLVVERVVRKEVGGRNWDEHLRMEMDNLGKEVERRLLEELLEEAVVELTGKV >KGN57819 pep chromosome:ASM407v2:3:17707946:17708311:-1 gene:Csa_3G321300 transcript:KGN57819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLICVRPNNSVFGLDDDLTIDMGLETYTYTGRAVEVKMKLLVDEANKTIIYECLEGDLFKDFDMFKVKIEVTDGGSSGNSSVNWCLEFVKSNENVAPPNDYLQFGVKICKDVDAYLSNN >KGN56823 pep chromosome:ASM407v2:3:8979394:8982413:-1 gene:Csa_3G134720 transcript:KGN56823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACFQRASPALKEILLKLYSLEKPTEIEHHLHEYGSVQYHIQSSVPDPQHIYLSIATPLLSQGDLLSDGLSPYTVEMVKQICSHAIEIIEPAKEGYELTLRINSAKILHGEESEKIITDIAAVQAVIISSRLKEVLRNVNSPTLFQGISRPIKLVYHPREPFFVVKQPQKILIIYPIRFKEDTDVIIATAFFRELMDVGSSEKWSKAPPCCWSPIPPPELRGEPLEELSTNGGFVTFDISLHHVEGKRLDNTVWSLLNFNAYVKYHVKTTRGFIQRRMRRRLEGLVEILHQKSSDVAVLNKGQGIKYMKKLVARTKRIKQKCRSLSRKIKRIRFRIKIPGFARFRRRWLKFPKFSSSIQYTRLKCSD >KGN60139 pep chromosome:ASM407v2:3:37105710:37108058:-1 gene:Csa_3G880540 transcript:KGN60139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLKFVAAWGFFLALLISSAAVSSVIGIGVNWGTQATHPLPPVTVVKLLQDNGIQKVKLFDADPVVLKALGKSGIEVMVGIPNDMLYSLANSMQSAENWVSKNLSSYISSGSVDIRYVAVGNEPFLKTFNGSFIGTTFPALQNVQAAIVKAGLSNRVKVTVPMNADVYQTSTGLPSGGDFRSDIRDLMLSIVKFLSDSASPFTVNIYPFISLYEDPSFPIDYAFFDGFSHALNDEGRLYENVFTANYDTLVSSLQKNGFPNMSIIVGEIGWPTDGDRNANLQFAKRFSQGFISHFVSGQGTPMRPGPIDVYLFSLIDEDAKSILPGNFERHWGLFYYDGRPKYMLQMTNNTNGLVPASNVKYLAKKWCVLAPSASIDDPQIAPSVSYACENGDCTSLGLGTSCGTLDVRQNISYAFNSYYQIKNQLASACKFPHLSVVTAQDPSVGSCKFIVMTQPSEVAVNEKSKALRHSAESLLFFLCLFILAVM >KGN60289 pep chromosome:ASM407v2:3:38310948:38313658:1 gene:Csa_3G893390 transcript:KGN60289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELNEHYLLEEVLGLRRSEVMNWELAIATEMNGWNFDCSDSLPMDQQHNDLAYLLNGEDQYLGTEAAMAAAGSTGDSMSYSTMAETPGGSSFEVYNIRSMEEEELGILEDEIHNLEVQANNDSYYCKVEPVVVDQSPAKMLPIFKTGGSKKLQGQPSKNLMAERRRRKRLNDRLSMLRSIVPKISKMDRTAILADAIEYVKELMERIQILEKEISNSNKLGILRSHIVKPNNEYLVRNSAKFNVERREEETKIEICCAAKPGLLLSTVNTLEAMGLDIQHCVISCFNDFAIQASCSPGNEVGRMVSTEEVKQALFENAGYGGKCL >KGN59574 pep chromosome:ASM407v2:3:32825738:32826877:1 gene:Csa_3G826680 transcript:KGN59574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLTPAISTAAATISTGGGAVKSPELESETPIKIQPTTKSHPFTNGVLKRHHHTAPPPPQVVYKECLKNHAASLGGHALDGCGEFMPSPTATATDPTSLKCAACGCHRNFHRREPDDPIATPTTTHVIEYQPHHRHHPPPPSTAAAAHRSPSSASPPPISSSYYPSAPHMLLALSGVLPENAGGGGGFHHTILTPSPNSRKRFRTKFTQNQKERMYEFAEKVGWKIQKRDEDMIQEFCSDVGVDRGVLKVWMHNNKNTLGKKDGGRNMNGSGGEGDGDEKINGGGEPHATTNGSSSSS >KGN56809 pep chromosome:ASM407v2:3:8920061:8923801:-1 gene:Csa_3G134580 transcript:KGN56809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPFHIPVTAAQVGTYFVGQYYQVLQQQPDYVYQFYSDASTMIRIDGNFRESATAMLQIHALVMSLSYTGIEIKTAHSLESWNGGVLVMVSGSVQLKNLNRMRNFVQTFFLAPQEKGYFVLNDIFHFVDEDPVHHYPAVLLSQSNLDSTLNAPTAVPETVSNYSLNGAVQVREFAPPVVKENGHIDNHKFVEQQVQQVPEAKNIIEENTAEVNSMHHNASAISQDHFPVSVEEHAEEPQKHTYASILRVVKGQDVPSPVAAPQYPVSKGTPPASEQNYTPPPTSQQVPSASQNNSEMEQTGGEFPSIDDEGEIKSVYVRNLPSTVSASEVEEEFKHFGKLSSDGVVIRSRKDVGFCYAFVEFEDITGVQNAVKAGTAQVAGRQVYIEERRANSNIPHRGGRRGRGRGSYHTESSKGHYSSRSYSYGMGVRDGSDREYIRPRGNGFYRPTTRQEKGNLSHQVTRNGETPSELS >KGN58778 pep chromosome:ASM407v2:3:27657096:27661561:-1 gene:Csa_3G731880 transcript:KGN58778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPQKQAEEAIVPNVNETEHEGKEEDKESQSIFSVKNILWHGGSAWDAWFSCASNQVAQVLLTLPYSFSQLGMLSGILFQIFYGIMGSWTAYIISVLYIEYRSRKEKENVSFKNHVIQWFEVLDGLLGPYWKAIGLAFNCTFLLFGSVIQLIACASNIYYINDHLDKRTWTYIFGACCATTVFIPSFHNYRLWSFLGLGMTTYTAWYMAIAALVHGQVEGVQHSGPKKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKYIYLMATLYVFTLTLPSATAVYWAFGDELLNHSNAFSLLPKSRFRDAAVILMLIHQFITFGFACTPLYFVWEKVIGMHDTKSICLRAIARLPVVIPIWFLAIIFPFFGPINSAVGALLVSFTVYIIPAMAHMLTYRKASARQNAAEKPPFFIPSWVGMYALNTFVVAWVLVVGFGFGGWASMTNFVRQVDTFGLFAKCYQCKGPPLPAMAPIAHH >KGN59631 pep chromosome:ASM407v2:3:33183572:33189433:-1 gene:Csa_3G829210 transcript:KGN59631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDKDAYYVVHKGDVFGFYRTAKELLTHPGRFDPDATIYKGYHLSKEAEEYLVAHGLQSATYSISAANVTKDLFGKILPCFPYEQPSATRGKMAEEYSKAERQERVLENTEYTYFLEFDGASKGNPGLAGAGAVLRANDGSTVCKLQEGVGIATCNVAEYRAVILGLKHALKNGIKHIRVQGDSKLVCMQVQGLWKLKNPNMAKFCKVAKELKDKFVSFEISHFPRKQNSDADALANCAIRLQDGVVVEDCMHK >KGN55897 pep chromosome:ASM407v2:3:2427905:2430012:-1 gene:Csa_3G033790 transcript:KGN55897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACKPIPVFFLFFYFFSFFIQLYFAQHISPPEILPKHCDDRWIHIRSLPSRFNLDLLSNCSEYPIFDDFCPYLANHGLGHKTYNRSHSWYRTDPSMLELIFHRRMLEYPCLTSDPDSADAIYLPYYTSIDALRYLYGSQVNSSAEHGLELFEFLSRNQPEIWNRRLGHDHFFVMARPAWDFSQPLENDPPIWGTSLLELPQFFNVTALTYEGRAWPWQEQAIPYPTSFHPPNLAFLESWLQRVKRSKRSTLMLFAGGGGISATPNIRRSIRIECQSANDDDDVTNSRKGRNGDASLYSKLCEVVDCSNGICEHDPVRYFRPMLQATFCLQPPGDTPTRRSTFDGILAGCIPVFFEDLSAKSQYKWHLPEETFEEFAVTIPKEDVVFKGIKILDVLMGIPRARIRRMREKVIELIPSVMYRKHGSSLGLRTKKDAVDIAIEGTLQKIGMRVKELDLE >KGN56251 pep chromosome:ASM407v2:3:5361260:5361523:-1 gene:Csa_3G108510 transcript:KGN56251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNRSERLVRRGERRLAGRTGRDRDVCGLKDGGNRAIDKPREKPYANGWMNRWLRLSLPATQLMKIGRCFNGLYDSNGTIGFVEAMA >KGN56428 pep chromosome:ASM407v2:3:6789037:6790801:1 gene:Csa_3G119610 transcript:KGN56428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSHIFLFFFLFFFPTLITAQSCSVSKCINDDFAIRFPFRIPDQQPARCGYPGFNLACNKAGVTTVNLSASEYFLVRGIDYATQQIQLYDSDDCLPGRLLQGFNKINFSNSPFMPLFSQNITFLSCPPRFTMSHFPIIDCLSNSTTSILATSSTSFVKSMSTSCTIMKTLPVPVSNPDETNQFSTDLNGDLVLTWDSPACGICETEGRLCGYKSNSGQGISCFDKYTSEENNGLRVLRIICVVILLPTLICVFGLGCFICLAKWSYSLTDGRGNQVQHRQQVNPAGSDLEAPTRLSGLNESTIESYQKVILGESRRLPGPNGTTCSICLGEYLTKDTVRCIPECKHCFHVDCIDQWLRVNSSCPLCRNSPNPSPSHLTPIS >KGN56616 pep chromosome:ASM407v2:3:7818765:7818947:-1 gene:Csa_3G126800 transcript:KGN56616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVPSSSLSTWLIKGQNRWIFTDGDGGRSTTVQNFDFDLQLARGAFMCLL >KGN59208 pep chromosome:ASM407v2:3:30206960:30207760:1 gene:Csa_3G781580 transcript:KGN59208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAKNNLHNAIAIGFLQHRREIRHCRARESRNSVESEKGGSVTTKLSRKDGNVGNSDPELKKTKEQREKRGPKREIIGECVPCEGIYAIIALMVSGSGECHAPSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSFFFYFLILRLLLFPFVVLDVILYKRKGIFVFPLFAGNPAPSIEYDEHKRNFSTESL >KGN56780 pep chromosome:ASM407v2:3:8779993:8781097:-1 gene:Csa_3G133310 transcript:KGN56780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQASFPAFLATAIIVVHFVAFRSAAVTANVGAHEPVLEFYMHDILGGASPTARPITGLLGNIYTGQVPFATPIGFLPPDGGVAIPNANGALPTVNGINGIPLGTGLSGTSFAGNPNPQNVPQTQLGPDGLGLGFGTITVIDDVLTTSPELGSQSIGQAQGVYVASSADGTTQMMAFTAMVEGGEYGDSLNFYGVFRIGSPSSHLSVTGGTGKFKNARGIAEVRSLIPPGQHVADGAETLLRVLVHLTY >KGN57594 pep chromosome:ASM407v2:3:14723035:14723564:-1 gene:Csa_3G221770 transcript:KGN57594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYDPAIVTRHFFHHLHFWGSHPPSAIAQFFISSFTFWASHPPDDPLPASILGHPCEVSRKKTCEKI >KGN56351 pep chromosome:ASM407v2:3:6353533:6370779:1 gene:Csa_3G116880 transcript:KGN56351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQNQLIDSLTSHISLYHSTSLPLNPDTNSNLNPRSSILKWFSSLSVHQRQAHLTVVDFKFVQILIQMVAEVRKRGHGFFIILPDILSTDPLHLPSLCFKKSRGLLSRVSQSNESQRMIFESTRLFGSREGDKLEECSCSLKNIDSITVSEEFVSNVDKFVEAMDGVSNGAFLRGEGGDLASNWAELNWLKAKGYYSMEAFVANKLEVALRLSWMNLNNGKKRSVKFKEKATATGMATNVFWRKKGCVDWWDKLDYSSRKNILTAILGKSAKNLTHEILRWTSGLAEHEMGLFSAEWNRPFRYNCTTSPPRSMLTSQADLHIDFNIIPDTHSGKPYLLSNIFRNLLVLQDIVTMVSSCLHDEYYKCNLFYSTLGSICAIPDCILRKLREFLMFISLDCTKFELLGEGNGKSFPSKSREQVGASSRRKKGKSRKSQNPALRACVDDLSSNNFTKRQEFDKECGHRGREVMTDSTTMSIMSKGNETCREIPADVHDQKMSVGKDQGTVRKKKKHKSKNSGGNSRLVEIRPSVGPAVKFSSPSFSSQDQVAELDKDSIFIKPSISNIKNDSTNNFDSSTLIPSPLVLSNEPNREYESILKIEVHEVSGITKSVSQIGPGESQFSKGIIENQFLSSTLENSSSFMDCSAVPSHLPSLELKNIVKSDVNVKSSVRTCEVGNKSSLLDKLPRTIDVKEKSCSSRHQFSGDTCNARTLNPLEHSPYEWHGVASLYIPSFNSHLPPATDRLHLDVGHNWHNHFRRSFTPAMHQSRNSSAKGSCNPILTRPLLMSLDWPPVLRSASGLASTMTSNHDIGFLSRRQSTFCKGFPNNSSQVSTEDEKYSGKLTDFPDLSNNQDLADECDGNWISEEEMEMHAVSGIDYNQYFGGGVMYWNPSDHHGAGFSRPPSLSSDDSSWAWREADMNRTVDDMVAFSSSYSNGLTSPTATSFCSFDPLGSGKQALGYVVQGTDLPNNMLHSSTTMKDTVTEEDDPRSLPNLPSDVEGKADSHSFPILRPIVIPSMSRERSRSEFCHGYDHKSPCIPPTRREQSRVKRPPSPVVLCVPRAPIPPPPSPVSDSRKHRGFPTVRSGSSSPRHWGVKGWYPDGTNLEEACLRIDGAEVVWPNWRNKSNSNCSRVQPLSLIAMPQIALDQEHPDVAFPLFPPTISCSVKKESLSLMHSRLHDEIDSFCKHVAAENMAKKPYITWAVKRVTRSLQVLWPRSRTNIFGSNATGLSLPTSDVDLVVCLPPVRNLEPIKEAGILEGRNGIKETCLQHAARYLSNQEWVKSDSLKTVENTAIPIIMLVVEVPHELVTSSTSNMQSPKEESSAVSGEQDANNLNDMASLEDSILPKCLEVNYDSSISTKSVRIDISFKTPSHTGLQTSELVKELTEQFPATIPLALVLKKFLADRSLDQSYSGGLSSYCLVLLIIRFLQHEHHLGRPINQNFGSLLMDFLYFFGNVFDPRQMRISIQGSGVYIKRERGYSIDPLHIDDPLFPMNNVGRNCFRIHQCIKAFSEAYSIMESVLISLHDHGDASSDATNRVLQKIIPSIDLS >KGN57872 pep chromosome:ASM407v2:3:18344608:18345616:-1 gene:Csa_3G357110 transcript:KGN57872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDTLFSPVKFTEHRNFTNKFSAKKHHQSESRVVRISVTDPDATDSSSDEDDFFERQRVKKYINEIKIQSGCRNNLLPSCRKRPAGDRSEFRRQGKVVPPTNGKKFRGVRQRPWGKWAAEIRDPARRVRLWLGTYDTAEEAAMVYDNAAIQLRGPDALTNFATPPPVPMPEKEVETANIPSVSGSYYDSSEESHNLSSPTSVLHFRTPSPEESEKPPKSDDLQKPPAPFVDDQFHECQGETSFTEEYHTEFPRFDYDFKFPSPEAPIFLDDQPLFFEDSIWNDDFSEIFTNLPEDFGSPLLSSSIGQGGDDYFQDILMGSDPLVVL >KGN58805 pep chromosome:ASM407v2:3:27785901:27787780:-1 gene:Csa_3G732620 transcript:KGN58805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFHLLPSTLPLPHVQFSCFLPFYSSNYRAKPIDLSLIFNFHHSQSLSKTLKLNPPRASANDEGNGVVETPGPTRRGRKKGTASPSSSTSAQKKTKRSKEPQITDRITVANPFVHISEDESIDSIADNYDDGMDIPYEDPPLICCFGAAQKEFVPSIRVHDNQMHHDKYSEWKMLQWDPPEFARAPGGSPSNVAIAHVRLGGRAAFMGKVGKDDFGDELVLMMNKEKVQTRAVKFDLNSKTACTYMKIKFEDGKLKTETVKEPAEDSLLSSELNLAVLKEARIFHFNSESLLSTAIEPTLFKAIQLSKKFGGLIFFDLNLPLPLWKSRDETREYIKKAWKEADIIEVSRQELEFLLDEEYYEKKRNYRPQYYAQTIEQTKNRRDHYHYTPEELSPLWHDRLKLLFVTDGTLRIHYYSPSFHGVAIGTEDVLITPFTCDRTGSGDAVVAGIMRKLTTFPEMLENQDVLERQLRFAIAAGIISQWTIGAVRGFPTESATQNLKEQVYVPSMW >KGN57311 pep chromosome:ASM407v2:3:12129976:12132636:1 gene:Csa_3G178520 transcript:KGN57311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQPLFFITLTSILTTPPFPLHFSQTHSFPLFPKMADSPLKCLCFLLFLDSFLLSSALFLKTYVVQMDRSAMPDSFTNHFEWYSNVLTNVVLDLQREGNGGGGEERIIYGYHNVFHGVAARLSEEEVEKLEEEDGVVAIFPEMKYELHTTRSPRFLGLEPADSNSAWSQQIADHDVVVGVLDTGIWPESDSFDDAGMSPVPAHWKGECETGRGFTKQNCNRKIVGARVFYRGYQAATGKFNEQLEYKSPRDQDGHGTHTAATVAGSPVAGASLLGYAYGTARGMAPGARIAAYKVCWIGGCFSSDILSAVDRAVADGVNVLSISLGGGVSSYYRDSLSVAAFGAMEMGVFVSCSAGNGGPDPVSLTNVSPWITTVGASTMDRDFPAIVKLGDGRTITGVSLYRGRITIPENKQFPIVYMGSNSSSPDPSSLCLEGTLDPHFVAGKIVICDRGISPRVQKGVVVKNAGGIGMILSNTAANGEELVADCHLVPAVAIGEREGKAIKQYALTNRRATATLGFLGTRLGVKPSPVVAAFSSRGPNFLTLEILKPDLVAPGVNILAAWTGKTGPSSLTTDTRRVKFNILSGTSMSCPHVSGVAALIKSKHPDWSPSAIKSALMTTAYVHDNTYKPLKDSSAASPSSPYDHGAGHINPRKALDPGLVYEIQPQDYFDFLCTQDLSPTQLKVFSKYSNRTCRGLLPNPGDLNYPAISAVFPEKTTVTSLTLHRTVTNVGPATSSYHAVVSPFKGATVKVEPESLNFTRRYEKVSYRITFVTKKRQSMPEFGGLIWKDGSHKVRSPIVITWLSFV >KGN56800 pep chromosome:ASM407v2:3:8871998:8872267:-1 gene:Csa_3G134000 transcript:KGN56800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKIMALLEEQHDKNNNKSETLSSSSASEAEKKQSISNFYTQTTDNNEQSLTSELINNNVFVLPEMLSEGLDVGWAMELGGYNPWQWQP >KGN58799 pep chromosome:ASM407v2:3:27763391:27766537:1 gene:Csa_3G732560 transcript:KGN58799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIMAEKSQNVSILEQLQLGIARFELSSSPVSSISPSNSVASSFPLSMNPSYPLFAKIGPVLGIESPALRKAEQYKVQKVTGDGRCLFRALAKGMAFNRGIPLRPFEEKNDADDLRMAVKEVICDNGKEKRQYEAALIAITVEEPLERYCQRIRTPDFWGGESELLVLSKLCKQPIIVYIPEHEHRMGCRGSSFIPIAEYGAEFKGGKPKKPVRLLYSGRNHYDLLV >KGN58924 pep chromosome:ASM407v2:3:28360366:28362584:-1 gene:Csa_3G736720 transcript:KGN58924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNKATERVVIARPVASRPTCSSFKSFSDILTCAFDTSPPNMSSETRVAAIRPKTVRFKGKISETIPGTNSHSSSDTLAVSEIKTTVLFKPLAKHVSKRTVSQLSLMGNTNLQNCLPPPPVEVCIQCPNQDDGNFQSALTSNLCIQCPNQDNDNFQSAPTSDLPQNITSTVENSQSIGSSRVTLSYSKKDPTLLRPQISGAQPSYDGYNWRKYGQKQVKGSEYPRSYYKCTHPSCPVKKKVERSLDGKVAEIVYKGEHNHPKPQPLKQNSSGTQREGSISNGTTQDTNPELWFNYLNGRIEGCESRIENHIEKTCQDRVTIPFDPFSNQEVNARCGISDNNSCGLSVECEEGSKGLQSMDDKLRSKRRGGKNPTNEGETLIEGVNEHHAMAQDSTGIEISGKGVRWRKYGQKVVKGNLYPRLISLKLSPCRSYYRCTGLKCKARKYVERASEDPDSFITTYEGKHNHGISLGTSISVAPEME >KGN57780 pep chromosome:ASM407v2:3:17023251:17025846:1 gene:Csa_3G298570 transcript:KGN57780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAPALMIPAAMAMMLMMLIPTACGGALTSFKPLNNHTSGGLVKYMVEEEDIKSNFVMESHISRMLADSQDFETSSTNNATQVSAGECDRPPRYDSCLGVKRNTPPPQNCSTFNREHPC >KGN59821 pep chromosome:ASM407v2:3:34706252:34711904:-1 gene:Csa_3G848300 transcript:KGN59821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFVGTHRLNIPFLLLCLSFILLSHSSQGARSYSEFRYPFIKRASSFSSLSSSTYSSGHGENVYDYIIVGGGTAGCPLAATLSKKFNVLLLERGGVPFANSNVSFLSNFHIALADLSPTSASQAFISTDGVLNARARVLGGGTCINAGFYTRASSRFIEKVGWDAKLVNQSYPWVEKQIVHRPKLSPWQTAFRDSLLDVGISPFNGFTYDHLYGTKFGGTIFDRFGRRHTAAELLATADPHKLTVLVYATVQNIVFDTTGKQPKAVGVIFKDENGNRHQAVLRNRRQSEVILSSGALGSPQMLLLSGIGPRADLEKLNISVVLDNEFVGKGMADNPMNTVFVPTNKPIKKSLIQTVGITKFGVYIESSSGFGQSSDSIRCNHGMMSAEIGQLSTIPPKQRTWEAVQAYITRKRDLPQEAFQGGFILEKIANPLSTGQLTLANTNVDDNPSVTFNYFNHPYDLHRCIDGIRTAAKVVQSKHFREYTKNTGETIEKLLNATVKANVNLIPKHTNDTKSLEQFCRDTVITIWHYHGGCHVGKVVSPDLKVLGVSRLRVVDGSTFDESPGTNPQATVMMMGRYMGLKILKDRLGKTAGI >KGN58467 pep chromosome:ASM407v2:3:25477970:25481278:-1 gene:Csa_3G646540 transcript:KGN58467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASKFPSSLLVYFIVIVFVVCMQICKGNPKIPLPKLHVPLFIFGDSVFDAGNNNYINTTSTFQSNFWPYGETFFNFPTGRFSDGRLIPDFIARYANLPFIHPYLNPKNKNYVHGVNFASAGAGALVETQQGFVIDLKTQLSYFNKVTKVIEEIGGHEAGAKALLSRAVYLIDIGSNDYLVPFLTNSTLFQSHSPQQYVDLVIRNLTTVIKGIYKNGGRKFAFLGVGPLGCYPLVKAVILQGKDECFDEITELAKLHNTHLYKTLLHLEKELEGFVYTYFDAFTVVIELLNNPAKYGLKEGKVACCGSGPFRGSFSCGGRNGEEYKLCNNPSQHLFFDAAHFTDKANQLYAELLWNGNLQTIKPYNLKTLFHV >KGN55900 pep chromosome:ASM407v2:3:2442925:2447385:-1 gene:Csa_3G033810 transcript:KGN55900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSHPTTFSQLPPPPSDQLCYVHCNICDTVLAVSVPSTSLFKRVTVRCGYCANLLPVNMCGGMLLPSPSQFHGFTHSTTFLSPNTHNFLEEISNPNPNFLMNQTEGIDLTMATRVPNDVPRQPPTINRPPEKRQRVPSAYNRFIKDEIQRIKAANPDISHREAFSAAAKNWAHFPHIRFGSSS >KGN56254 pep chromosome:ASM407v2:3:5392232:5398845:-1 gene:Csa_3G110020 transcript:KGN56254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKVHPSKAAEIAIGSIGRGYDITIDLRLKYCKGNLHGSRLIEIDEGVGHEIVLPGGILISNVPKSIKCDKGERTRFRSDVLSFQQMSEQFNQEMTLTGKIPSGHFNTMFEFSGCWQKDAANTKNLAFDGVFITLYTVALEKSQMVLCDHVRKAVPSSWDPAALARFIETFGTHIIVGLKMGGKDVIYMKQQHSSTLQPSEPSEVQKSLKEMADKRFSETIAQYGMGSERLYNNDKDIVPICKRRGGSDRISLPHHEWLQTVQLEPDVISMSFIPITSLLNGVPGSGFLSHAINLYLRYKPPIEELHQFLEFQLPRQWAPIFSELPLGPQRKQHNLASLQFSLMGSKLYVNTTPVDVGRRPVTGLRLYLEGKRSNCLSIHLQHLSSLPKIFQLTEDPNGNFRQDSYDRRYLEKVQSKYFSHVCTAPVEADEDLSIVTGAQLQVVNQGMRNVLFLRLRFSSVLGATVVRQAEWEGSPGLAAKSGLISTLISHHFSTVMKPPPKPADVNINSAVYPGGPPVPVQAPKLLKFVDTTEMKRGPQETPGYWVVSGARLMVEKGRISLRVKFSLLTVILPDEEEINDN >KGN56649 pep chromosome:ASM407v2:3:7994885:7996188:-1 gene:Csa_3G127120 transcript:KGN56649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDFPPLFRCPISMELMEDPVTVSTGVTYDRKSIEKWLFTYNKKTCPATMQTLAAGAEDFVITPNLNLKRLILAWKINDSALTPATALEEVSSLLATVESSPFKVSSLRKLRSMVEMNDGMKSEFIRLSGIGIVVNVVIQILIDCSDFSTLDTCEEALGVLCQFPISNEDKLFDEMYKREELMKSIAVVVQRGSAEGRFYAVKILRNIAKNTNYNWGSIMEEQGIDFFKPLLELVSDEFPTKASSCALDVMIEILSSSKRSRVKAIEAGAVWILIELLPESGRSKCERMLQILQSLCECAEGRLALVEHGMGIAAVTKKILNVSYVATKIGVKILLWISSFHPRERVVDEMMACGAVKKLLMILHMDSTGVGDGGRSSTKEKVIKILKMHGNKWRRSPCFPSELKNYLKFVNDE >KGN58182 pep chromosome:ASM407v2:3:22481062:22482255:-1 gene:Csa_3G585930 transcript:KGN58182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKNEFLTPKAIANRIKAKGLQKLRWYCQMCQKQCRDENGFKCHCMSESHQRQMQIFGQNPHRIVEGYSEEFETSFMEHIKRSHRFSRVAATVVYNEYINDRHHIHMNSTQWATLTEFIKHLGRTGQCKVEETPKGWFITYIDRDSETLFKERMKNKRMRADLAEEEKQEREIKRQIERAEQFAPLASASAELLEAEQTRELKLESGVKLGFALGTTSKLKEKKGESSRVVFDEDETYETIKGKPEGTSKNRMGSGGGLSSLEELMKEEEMKKEKLNRKDYWLCDGIIVKIMSKDLAEKGYYKQKGVVRKVIDKYVGEIEMLDGKHVLRVDQEELETVIPQIGGLVRIVNGAYRGSNARLLGVDTDKFCAKVQIEKGVYDGRVLKAVEYEDICKLAS >KGN59467 pep chromosome:ASM407v2:3:32101042:32102578:1 gene:Csa_3G822190 transcript:KGN59467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCSASRPITFHSTNPESSSSSSPPVPRAFSLPTPLIHHPPISDGDTHHLVTLTSTTYGSLLLIDRPKSNPLRFYGDQNADRSLSPHTDDSDHALSPDSVINTWELMDGLDDAFDLSSDAVPTPELSIEKTPFKPVGSVNFLEKSVDSVAPSSLVKPLWQHLSEEALLAKLDPNVVFSYRRALSSRQLGSNGYRKNVKSVGSSPVCSSFSNNWLRLPGGEGKVVIYFTSLRGIRKTYEDCCSIRTIFRGFRVPVDERDISMDSSYRKELQSAIGGKTVSLPQVFIRGKYIGGAEEIKQLNEYGELGKLLVGFPVWDVKSECERCGEARFLPCPNCYGSRKVFKEDEGELRRCPDCNENGLIKCPDCCF >KGN58654 pep chromosome:ASM407v2:3:26907698:26909798:-1 gene:Csa_3G710810 transcript:KGN58654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTSPRLLPFFLLLCAAVSLFPVSATDHIVGANRGWNPGINYTLWANNHTFYVGDLISFRYQKNQYNVFEVNQTGYDNCTIEGASGNWSSGKDFIPLDKAQRYYFICGNGQCFNGMKVTILVHPLPPPPSSPLAMEHNSPSSATPWVGSRQWGFRALLISLAMILLGSHWI >KGN57512 pep chromosome:ASM407v2:3:13846084:13846314:1 gene:Csa_3G202210 transcript:KGN57512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDSSVIKGGLALVRTYGFQFEVCHERKKKLEAKGFQEGMRVEVKYWVERMNLMKYLEKGIKDRFQMGWGLNWVEG >KGN56196 pep chromosome:ASM407v2:3:4882825:4888094:-1 gene:Csa_3G099570 transcript:KGN56196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSFPPALLAFNLLLYLFTYVAATDSLTAQDPYLKDGFSLVSSNGNFELGFFSPGLSRDRYLGIWFKNRRGPTSVWVANRNTPINDSSGVLVMNITTGNLTLYSHDSTAIVWSARLLRKIPNGVLQLLDTGNLVLRDRKDENPLNYSWQSFDYPTDTLLPGMKLGWDLRNNINRRLDAWKNPNDPSPGNLSWRMELHEYPESVMWKGSQEYFRHGPWNGVRVTSRPLGIAPILNFNFVSNEDEVYYQYSVPNKSHTVMVVMNQSNYLRIMYLWSATERQWRLYTSLPRDFCDNYALCGPYGYCDIRVTPSCKCLEGFKPRSPDSWTAGEFADGCERNKLINCGDEVGFAPLNQLKLPDTKRTWVNKSMDLEECKQKCLSNCSCMAYANTNISGSGSGCALWIGDLIDLKLIPDAGQDLYVKMLASELVKRGEVQKTDRLKPKMKISLAVIAPSLGLAILFIGLYIFKKRSTVKDDHEKIEAQDLELPLFDLSLINSATNNFSIDNKLGEGGFGPVYKLDIVFGKHRANGLGAEGQTNIFEALE >KGN59063 pep chromosome:ASM407v2:3:29164774:29167161:1 gene:Csa_3G750890 transcript:KGN59063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGFTTTSAATHSPLLLFLVFITLFHFPIWVSTAATHQELVSGFRASPDTAVQSFQPLLNDPTGNFSLGFLRVQGTQLAVVILHVTSSETIWTANSSVFPDWADRTLLRFDGGLVLSDTDGRVFWSTDTAGDRAVLLNSSNLQIQLRRDPAVVLWQSFDFPADTLVENQNFSSEMVLISSNRLFSARLGADFIGLYAEFNEGKSQIYYRHRALQAKAQVIPGGGPVHLLLNTDGYLGMYQNSSVPVDLQAFNTFQKSANGFLRLRLDSDGNLRGFYWEGSEWVLVFEAISEQCELPSPCGSYGLCEPGSGCSCLDNRTIYTSGQCLPSDSGDFCGVGVAKSEFWVLRRSGVELPFKELMSYRTGFTMDQCESVCETNCSCWGSLYYNATGFCYLVDYPVRTVVAEADGTKTGYFKVRKAQARSKSKVGLEIGLGILGGIFGIVVAILGFASYRQWKRRRGIGRFFEDDDGSVSPGPYKDLGSASFKSIEMGSGFSR >KGN56703 pep chromosome:ASM407v2:3:8308389:8311946:-1 gene:Csa_3G129600 transcript:KGN56703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRQSDAVRGVCALTIVFLMGISSCFMVYRCLIGSIRPTSVEVSSTSDFVNNGGVFKSGEHNEECCRGIDHLELWGDAVKWGSDFKLNSSRECCLACKAMCDGHSGLCWCDSWVFCGDSKACGPHFGECWLKKQKDILSPDIRASGDQVMWTSGLMFGKTEGIIRMETEYGSFRIKLFPDCAPHSVNFILELLALSSYVGCQFHRAESRGTFWFSNGDHIDNAPYGPPFALIQGTLEAHGVIFKENPKEDCPAIRRGSIAWVGSGPEFFISLANHDEWRKAYTVFGSILPEDMEMVEKIAQLPTKSENWYNINVSVLEKPIPFRLKRTKTD >KGN59838 pep chromosome:ASM407v2:3:34873349:34880071:-1 gene:Csa_3G849940 transcript:KGN59838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDYHFIHKDVEGASTQWDDIQRKLGNLPPKPAPFKPPAFTPAQDDASVPKDKSWIDQKNEEELEDLEDDLDLDDDSFLQEYRKKRLMEIREAAKISKFGSVNPISGSDFVREVSQAPSDVWVVVILYKEGIQECDVLMNCLQELAARYPATKFVKIISTDCIPNYPDCNLPTLLVYNNGAVKANYVGLRSFGRRCTPEGVALVLCQADPVLNDGQSGNDDGSRQSVLESVRRKIIEKVVRDHEDENDEGSLSD >KGN57580 pep chromosome:ASM407v2:3:14499431:14500893:-1 gene:Csa_3G218160 transcript:KGN57580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAMNPKPFFEGEGGSYHKWLPSDYPLLAQTNVAGGRLLLRPRGFAVPHYSDCSKFGYVLQGEDGVTGFVFPKKCNEVVIKLKKGDLIPVPAGVTSWWFNDGDSDLEIIFLGETKRAHVPGDITYFILSGPRGLLQGFTPEYVQKSCSLNQEETNTFLKSQPNVLIFTVQPSQSLPKPHKYSKLVYNIDAAAPDNRAKVGDAAVTMVTESTFPFIGQTGLTPVLEKLDANAIRSPVYIAEPSDQLIYVTKGSGKIQVVGFSSKFDADVKTGQLILVPRYFAVGKIAGEEGLECISMIVATHPMVEELAGKTSVLEALSSEVFQVSFNVTAEFEKLFRSKV >KGN57940 pep chromosome:ASM407v2:3:19431620:19433394:1 gene:Csa_3G399960 transcript:KGN57940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLFGRIQISILIILLMGLCSNCVEDSSSIHSLLRSMGPPAGLVPKQAKSYTLAENGRLEVYLDAPCMAKYENRVIFDTVFSANLSYGSLIGVEGMSQEELFLWLPVKDIIVNYPTSGVILIDIGVAHKQLSLSLFEDPPDCNPQVTLRNPLRRQRGFESLR >KGN55698 pep chromosome:ASM407v2:3:757012:759802:-1 gene:Csa_3G006600 transcript:KGN55698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFKGHVLPGTLFLLVGVWHVWSALLRYVLNPSLFRVRVWNPMPGFDGKLKYLELYVIVIGGFIDLCIELLYSTHLKFFVNGVLNPSHMNNFEHSGMLLMFFIFGVIALLSEKSRFVRLPDEALCLIAAMAFCAEYLLFYFHSTTHKGLEGYYHILLVLLIGLCILSTVAGAILPTSFSVDVCSGIAITLQGLWFYQTAFTLYGPMMPDGCELKDDMMVMCYSKDSEVRGELLANFQLFSMVVGVLGGVIGVYWFAASKYGRSDLGSSNAIQD >KGN55835 pep chromosome:ASM407v2:3:1816462:1820307:1 gene:Csa_3G017290 transcript:KGN55835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSSFTDSQVPHSSFLHSPSSEPPDIGNWFSSYEYESPELDSNDNFGDSVSREREFEVGEDEQTVGELRDNVTKIEEEEAAKELPGTWIPLKCNSREDHRESQLLGMNQDSWCSQSLLSEPPDIGNWFSSYVYESPTLNPSQEFGYCESKKTGLGHEIEETLDNGGEGVQLNLFEISNGDSTGNRQDNQPPSKQNLFSERTSEQDPKEKTMGTNDISPTKEVPISNLTTEDLQCKFQERVLQENGLVPLHKNRSSNDGNSKPPTHTNLIHKIDPILENSETKSEVQPQLKGSNHMPCVFPNVREPNDLSHNKENKERGVSNVGFITANKRGFSEATCKKSVEMQENYNNKEAGRAFACLRRKGLSDKTNTEHSNIIEVIGKWSCPQKSKPNLGPPLKQLRLERWVCRK >KGN57496 pep chromosome:ASM407v2:3:13717214:13719175:1 gene:Csa_3G199580 transcript:KGN57496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCRLAEPQELHQPQSLNHFPLLRCLLPSFLLLPLSFFLTLYLFIFLLPFSTITQSSSSSYSSSTTTATTMGSIESERTVTGFAAKDPSGILSPYTYTLRNTGPQDVFIKVICCGICHSDLHQVKNELGMSNYPMVPGHEVVGEVVELGSEVDRFRIGELVGVGCILGSCSTCSPCNTDKEQYCHKRIWTYNDVYHDGRPTQGGFSSAMVVHQKFVVRIPEGMSPEQAAPLLCAGVTVYSPLSHFGLKQSGLRGGILGLGGVGHMGVKVAKALGHHVTVISSSEKKREEALEHLGADDYLVSSDKAQMDRAIDSLDYIIDTVPVFHPLEPYLSLLKLDGKLILMGVINTPLQFVSPLLMIGRKTITGSFIGSMQETQEVLDFFKEKELTSMIEVVKVDYINKALERLEKNDVRYRFVVDVAGSKMPE >KGN58329 pep chromosome:ASM407v2:3:24072950:24073139:-1 gene:Csa_3G623970 transcript:KGN58329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVTGLKARQEAVFDRKRLTTGTVVAVARLIKKRRKVMNMVLHVSGNPV >KGN57280 pep chromosome:ASM407v2:3:11939427:11941318:-1 gene:Csa_3G176270 transcript:KGN57280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDHFPLLLQRFVFPMLISLHLLTPPSSSLLLFCSSKPKKSKKERRKLLHQKLLRISKAKQSTDLSFPKSSPTPLLIHPKPFFQSKIQALDAVLTDLEASIDNGLFIDPEIFSSLLELCYQLQAIHHGIRIHRLIPTNLLRRNVGISSKLLRLYASFGYMEDAHQVFDEMGNRNFSAFAWNSLISGYAELGLYEDALALYFQMEEEGVEPDNFTFPRVLKACGGIGSIQIGEAVHRHVVRSGFAGDVFVLNALVDMYSKCGCIVRARKVFDQIEYKDIVSWNSMLTGYTRHGLHFEALDIFDQMIQEGYEPDSVALSTLLSNISSMKFKLHIHGWVIRHGVEWNLSIANSLIVMYAKCGKLNRAKWLFQQMPQKDMVSWNSIISAHFNSAEALTYFEVMESLGVSPDGVTFVSLLSTCAHLGLVKEGGKLYFLMKGKYGIRPTIEHYACMVNLYGRAGMIEEAYKIITKGMEIEAGPTIWGALLYACYLHSDVDIAEIAAERLFELEPDNELNFELLMKIYGNAGRSEDEKRVKLMMAERGLNS >KGN60149 pep chromosome:ASM407v2:3:37211520:37219651:1 gene:Csa_3G881620 transcript:KGN60149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLGDRAGDKSESRYCGVETEFDDDVPQLLLSNLSSAGFDFVVAPLMDPSYRPSLMRKENGGSAVLPFAGSDLVLSPAQWSSHVVGKISSWIDLDSEDEILRIDSETTLKQEIAWASHLSLQACLLPAPKGTSYANYARCVHQILQGLNNMQLWLRIPLVKVDEDSTDVNSDNLVDSWDLWNSFRLLCEHHSQLSTAIDVLSTLPSPNALGRWFGESCFLTNSRGYPCLSKRHQKLITGLFNHSIQVVLSGKPLHNVSKGSADLPTGLNKNSSDGAQSHPLRCYLDYIAFLYQRMDPLPEQERIELGYRDFLQAPLQPLMDNLESQTYETFEKDAVKYIQYQRAIVKALKDRVADENASSITTVLMVVGAGRGPLVRAALQAGEETGRKLKVYAVEKNPNAVVTLHSLVKMEGWERIVTIISCDMRHWDASEQADILVSELLGSFGDNELSPECLDGAQRFLKQDGISIPSSYTSFIQPITASKLYNDVKAHKDVSHFETAYVVKLHNVARLSPPQPVFTFTHPNWTPDGSNQRYQKLAFEIPNDTGAALVHGFAGYFDATLYGDVHLGIEPSTATPNMFSWFAIFFPLRTPICVRPGSPLEVHFWRCCSSHKVWYEWCVTSPSQSPLHNCNGRSYWVGL >KGN59384 pep chromosome:ASM407v2:3:31510733:31510897:1 gene:Csa_3G815480 transcript:KGN59384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERYILKLICQVDAKIHKGFGGHYALVTQPPFRGRANKVSTGACKLGTLQMVLD >KGN60120 pep chromosome:ASM407v2:3:36972526:36973313:1 gene:Csa_3G878890 transcript:KGN60120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRINCSSSSLLLLPQAPHSNSFHSTKSSKLSLKEDERSWRSRRSVCALGLASLIFAIEISDVFIASESLAVESPPQVLVDYYSRIRRMKKISRWSDKIRKCAPWRANSLEIIVPENLPRASQRRKWEGIAYNEFIDVKKNAPPGIQVSASEISSDNYCFSL >KGN56146 pep chromosome:ASM407v2:3:4273766:4275944:-1 gene:Csa_3G078280 transcript:KGN56146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSKRSNSFGIFSQKKACVNFVVKLFGVMMFVQNVCGVEFQVGGSKGVWGVPSYPNAQSLNQWAESRRFQIGDSIVFNYQGGQDSVLLVNEDDYKNCHTESPIKHFSDGHTVIKFERSGPHYFISGIKDNCLKNEKLVVVVLADRSKQYSSPPPAPATDSQPPEASVQMNPTPSPIAEEPPANNNNNGAASSSIVTFVGLAGMLATSTALLL >KGN57434 pep chromosome:ASM407v2:3:13041406:13045591:-1 gene:Csa_3G185140 transcript:KGN57434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVCSLRSRLLPLLHSVLHSSSSRLLNVSEDAARSLRTWVNSSRSYSRGECKHYDLFGTVRPGDKEFRKAWEKQMNEEESTLWTESDDEADDKEQKKNRLEDEIRKTRQQAKEHSDLIDADDSDELWSVWSESDEDKTLWTGSEGDDDDDIPTEAYPNERSDKYIDKLFEFEETSKYRTISELLKSEQEPEELSPGKQARKLAVENALKKLKKGPDGRYTNVWEVMSDVDILIGAFENVVSGPEYAELRQGGQKKLNMQFFKDIQARMRDPNFKFSPELKLKPKSKLVPQKRWQKAQSRRRKAQKR >KGN57769 pep chromosome:ASM407v2:3:16800588:16801987:-1 gene:Csa_3G285030 transcript:KGN57769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKSRKIQSSKSTHAEFLNLQIVEEKEVLALLTRLDLHNVHFANSPPGNVDQSVHLVAGEGLEAYLPLADMVDISAEVQRLSKRLTKMKIEYDGFIARLSSPSFVEKAPEDIVRGVREKAEEAKEKIALTEKRLSLLGSTVPVPDC >KGN55836 pep chromosome:ASM407v2:3:1821766:1826031:1 gene:Csa_3G017300 transcript:KGN55836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAFKIRSRNLINLPIELLHSSQNLPIPAKNLRQTVPSSTPSDAFNLFSHPWRYTHCRTLILDSLSTKPVRLHRLSDSDSGIVEIHLDRPEAKNAISKDMLRGLRHAFESVDSDPSVNVMMIRSSVPKVFCAGADLKERKKMAASEVHSFVTSLRSAFTFLEALPIPTISVIEGAALGGGLEMALACDLRICGEDAKLSLPETGLAIIPGAGGTARLPRLVGKSIAKELIFTGRKVSGRDALSIGLVNYCVSAGEAYTKALEIAQEINEKGPLAIRMAKKAINEGLEGDLESAMEIEDECYTELLDTKDRLEGLAAFAEKRKPRYRGE >KGN58624 pep chromosome:ASM407v2:3:26659691:26665000:1 gene:Csa_3G702620 transcript:KGN58624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVDSPLIPTNTTSAATSATTTTTTTVTPISFTLTTAAAATTTTTAAATAAIARPLANQAPSKPISSIPQTHHLHYPSQALYQPQSIPVRTPNAQLPKLHQDASQAILYPVASSGRGFVPRTIRPLPADQAVTLANPGGYPHRPVVTFPHRPIGSPHLDSMSHPMHMTRPPNLQQQLIPFSGSSISGSIKCAPNSSDPKAFPPQTICESNGCKEMRVRDDTLCVVRDRKVRITDGASLYALCRSWLRNGSQEESQPQYGSFFRSLPRPLPIAVAGAAPLQKKEVVKEEVDEKDKDEGSIEHLSTQELLKRHVRRAKKVRSRLREERLQRIERYKTRLALLLPPPIEQLRTDNVTGS >KGN59411 pep chromosome:ASM407v2:3:31723291:31726844:-1 gene:Csa_3G817710 transcript:KGN59411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDPFHPVSPSDQNPNSTSYASEFDSLPIPPLDSLFFSDPNHDGPGDPFLYSTALDLGFDDNDDFELTFDDLDDLCLPSEADDFLISDNLDHPTNSPHLPPDVPLEDDSSVPVCSPAGSPGSGSSAVSCHPSPHDCKFLNYESSKLGTADSECFSTGSGGWDSKGSRMVNSHSPELGDHEFSGGPASSQGSGSGVSEGMNCPSSNAECYDVIVDQKVKSEEMGKNCMTKRKKEQDEGNADFRSAKYQRSSVSTEATNPQLDPCSINEDDEKRKARLMRNRESAQLSRQRKKHYVEELEDKVRNMHSTIAELNSKISYIMAENAGLRQQLSGSGMCQPPPPGMFPHPSMPPMPPMPYSWMPCAPYVVKPQGSQVPLVPIPRLKPQQPIPVARGKKTESKKTEGRTKKAASVSFLGLLFFIMVFGGLVPLANDRFGNVGVVPGKLSFVGDNRLYNQNQGRVLRVDEHSNLSDGVNVGTHCGKSGTLNRLQCERIYRKGRDLNFDQRGKESQRLNDSDESVKLRNAREPLVASLYVPRNDKLVKIDGNLIIHSFLASEKAMASGKASDTDKARETGLAIPRDLSPALTIPNIRALPSGPANRDHKKATAVDGKLQQWFREGLAGPMLSSGLCTEVFQFDVSSTAPGAIVPASSLVNTSKTHRKNGTHLNKGKNRRILGGLPVPLSRSNFNITEEPVRNPHKDNFPGNNNKTASSVVVSVLIDPREAGDSEVDGVITPKSLSRIFVVVLLDSVKYVTYSCVLPRSGPHLVST >KGN56190 pep chromosome:ASM407v2:3:4812341:4815989:-1 gene:Csa_3G097540 transcript:KGN56190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTNDISDVNRSLANFHPTIWKEQFLSFDDALKVDDGMELERIEKLKEEIRMMVTASMENPLANLNLVDSIQRLGVSYNFEDEIEQFLEHIYVSYNNSLLLSNKDSEDDDLHFTALLFRLLRQQGYRISCDIFLKFMDNNGKFKESLVEDERGILSLYEASHMRGHGEALLEEALEFTTTHLKAYIHLYSNINPNFASEVSNALKLPIRKCVPRIKAREYFQIYQQHPSHSETLLEFSKLDFNILQKLHQKELSEICRWWKDLDVPTKFPFARDRIVECYFWTLGAYFEPQYNVGRKMLTKVIAISSILDDIYDAYGTFEELQVLAPAIQRWDRSMVHTLPEYMKPFYVAMFEVYEEISKEIGKDQNSLHLQVAIGGIKKLSESYFEEAKWLNKKYKPSFKEYMELALNTTGYTLLISISFLGLGDHIVTNEVLQWLSNGPQIIKASTIICRLMDDIASHKFEQEREHVASAVESYMEQYDCSEEEACVELHKEVVDAWKDTNEAFYRPFNVPLPVLMRVLNFSRVMNLLYLDEDGYTNAKSRTKFLIKSLLVDPLPC >KGN59389 pep chromosome:ASM407v2:3:31538056:31544887:1 gene:Csa_3G816020 transcript:KGN59389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRKEEERNERIIRGLMKLPPNRRCINCNGLGPQYVCTNFWTFVCMTCSGIHREFTHRVKSVSMAKFSFQEVEALQNGGNQRAREIYLKDWDFQRQRLPVNSNVEKIREFIKNVYVDRKYAGGRTSEKPPRDMQSIRIHEDETRRASSYHSYSQSPPYDYQYEDRKYGKQAASLTRKPGSDRGRYEGKVSGSVFSPGRLSDQTYDDRFVNEGYASRVSDFSVSSGGDPFRSGAHSPNFQKDSGFSSPPFHCARDMLNEDTRHQISSMSAEANGHRDAYGISRPQRTMSSGSFGSIDSNSTSLKSYNSAGLTDGVLEPEPIAHNNLDKMPSSQQSSVPGVSISLSFFEEPFAPKPVSSATSSVDLFQSQASLPAPPVDLFQLSSASPSFCENQPQQRSPPPQSLQFFPETNPQHPATLDKMPLESAVPKNEGWATFDSPQTTSSVHSSVNVNAVKNPSNVGAFGKLVPPNEGALGKFDPLVSSSAVVQWPPTPNYIAHDPSLLASSQWHNNLPNAQVPAEVTSTDASWNAFEDAITDLSLQRGKQNTERQVPVQEFLPSSDGHLFFGVTEGERGTQMTSGKSTNPFDLPYDPDMEQTNMFLDMSSLQSALPNAQLPSSLVGGSQPWFSQNPAPFIPTAGQGGLSLMAGQAPGSQISNITPPESVASIGGNPFA >KGN57652 pep chromosome:ASM407v2:3:15311677:15315437:1 gene:Csa_3G238180 transcript:KGN57652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKAVVYVLTATVFALFFLISPSNFHNRSHQQATRRLGFKFPNPTFDPLVTEMERLAAEERGENAIGVDNQNHKIIDSYRNYYDEGRLNISLRLLVLFPLLDNSPKDGVISYEELSDWINGQAIERLNYRTTKQLEFYDKNGDDAISFHEYLPQFTEEDIARNETGYGEAGWWRKQFTNADVDNNGLLYFDELKDFLHPEDSSNYRIQNWLLAQKMKRMDHDKDGKLNFDEFLHHTYDIYKNYIEFETQGEDVPSAEEKFDELDLDEDEVLSTEELRPLFQYLHPGEVSYAQHYTSHLINEADDNKDGYLTIDEMLNHEYVFYSTVYENQNGDYEDDYHDEL >KGN57939 pep chromosome:ASM407v2:3:19419886:19420350:1 gene:Csa_3G399460 transcript:KGN57939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGAEVDNMGIKDYVKEEAKESEKIYMSERLCNKKKKRRLWKKQNIQVRKEDLEVPTKSTSSPGVDFTWLATRTPTRFTKGIHVLRRRSKGREWEPKVGEDVAEEFENKENRNTLSEEFKKEEILEHSMMLLWAQVEDEQNGKLGPQHHPYGNK >KGN60168 pep chromosome:ASM407v2:3:37325203:37327050:-1 gene:Csa_3G881810 transcript:KGN60168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIQFFLFLLLLLHSICCFAQLHLNFYQNSCPNVESIVRAAVKQKFEQTFVTAPATLRLFFHDCFVRGCDASVLIQTNNHTSEKDNAENLSLAGDGFDTVIKAKAAVDSVPGCKNKVSCADILALATRDVVALTGGPSYAVELGRRDGQISTRKSVRHHLPKPDFGLNQLNAMFAKHGLTQTDMIALSGAHTIGFSHCKHFSKRLYSFHSKNRIDPTFNPTYVDELKRECPRNVDQRIAIDMDSTSSFTFDNMYFKNLQMGKGLFTSDQVLFTDPRSRKTVNLFASNNTAFEQAFVVAMTKLGRVGVKTKNQGEIRIDCSSVN >KGN56426 pep chromosome:ASM407v2:3:6781341:6783910:-1 gene:Csa_3G119590 transcript:KGN56426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSKSDRSLFSLSPEMLQIDGSPLDTKETHGRRIDIDENTPLDEVKAPNVFERVKEEIEALVQTIQAKDDTVAAESKEDKTESQSDGTVIAAKVLGRAKDEIEKMLHINKTKETHGQRDDIGEDTPLNEVKAPNLLERAVEEYEAFMQTINSNKESVSDKRDEIVASMQKEAMLLSEISSNEKIPINGVMGPNILERAKDEMEALVHTIHPKKETDSLAKEGFLMKLGKCLEIICSPSKKKDD >KGN57913 pep chromosome:ASM407v2:3:19002404:19005294:1 gene:Csa_3G389830 transcript:KGN57913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRGLRRQNTLSAMGVNEHTKKTFSELQRKKMYRYVIFRVDEKKREVVVDKIGNPAESYEDFTAALPDNDCRYAVYDFDFVTSDNCQKSKIFFIAWSPASSRIRAKMLYATSKDNFRHELDGIHYEIQATDPAEMDLEVIRDRAQ >KGN59006 pep chromosome:ASM407v2:3:28825208:28827521:-1 gene:Csa_3G743430 transcript:KGN59006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSGQSSNYDLSFKVLLIGDSGVGKSSLLLSFISTNADNLAPTIGVDFKIKLLKVGGKRLKLTIWDTAGQERFRTLTSSYYRGAQGIILVYDVTRRETFTNLSDVWAKEVELYSTNKDCVKMLIGNKVDRESERAVSREEGIALAKVLGSYFLECSAKTRENVEKCFEDLALKIMEAPSLIEEGSTVVKRNILKQQEFQPASTTSCCL >KGN56036 pep chromosome:ASM407v2:3:3441176:3444538:1 gene:Csa_3G048690 transcript:KGN56036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASYGQISETVSSMEIPLPVDKLALDLVGHDSSSGPSIKPKMLVVLVATGSFNPPTYMHLRMFELARDALKVEGLCVIGGYMSPVNDAYKKKGLISSEHRIKLCNLACQSSEYVMVDPWEASQNTYQRTLTVLSRVKTSLCDHGLLPKESLKVMLVCGSDLLQSFATPGVWIRDQVKILCRDFGLVCIRREGQDVEKIILDDGILNENRVSNLCH >KGN57616 pep chromosome:ASM407v2:3:15002466:15002771:1 gene:Csa_3G229415 transcript:KGN57616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFGRMGFRDSMAVCAIVVKDDDCEMSNLTTGPLPLALLLVLVTGASTAAMVGVVSSASAGAAISSMAVSGSLFSAVSSAGVGSTAAESSSEEEEEDIIGN >KGN57327 pep chromosome:ASM407v2:3:12286297:12288650:1 gene:Csa_3G179170 transcript:KGN57327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETLGKKRKMAGRRGEGDFKKTDPSSNWPPIKPKQNLQVNLLKDNDLFTVPSFFTCVESKAFIKAAESLGFLHQGSLGPTKGEAYRDNDRISVNDPDLADIIWRSGLDNLFADIKIRGKVAVGLNPNIRLYRYKVGQRFGRHIDESVDLGGGKRTYYTLLIYLSGGSKNKTKNDTNNSKDPSSDTLVGGETVFYGSRNGVIAEVAPTEGMALLHLHGDKCLLHEARNVRKGVKYVFRSDVIFS >KGN58253 pep chromosome:ASM407v2:3:23123826:23124534:-1 gene:Csa_3G599472 transcript:KGN58253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAFENISGFTYCKSIKVARGVKLPPNANGCDCKESCITSRTCSCAKLNGSDFPYVQRDGGRLIEAKDVVYECGPNCGCGLGCVNRTSQRGIKYRLEVFRTPKKGWAVRSWDFIPSGAPVCEYTGILARTEDLDHVSENNYIFDIDCLQTIRGIGGREVPYKIPYMNCS >KGN59021 pep chromosome:ASM407v2:3:28914256:28915093:1 gene:Csa_3G745540 transcript:KGN59021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVISIFVFFSVTLSHRRDVGGQEFGGMYCAILIVNSFVVSAAILQVFGQDIAELPLVATSNGNHGKGYFQTLFSCIERLLAFLKVKCLVLPAAEEAESIWTEKFGFERIKPDQLSSYRRSCCQMVTFKGTSMLQKTVPSCRVVGAPL >KGN59345 pep chromosome:ASM407v2:3:31200259:31201621:-1 gene:Csa_3G812170 transcript:KGN59345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGRDNKKPHTSPFHHKPWKKGPSRGKGGPQNASCEYRGVRQRTWGKWVAEIREPKKRTRLWLGSFSTAEEAAMAYDQAATKLYGPHAYLNLPHLANQNNDSSDYKSNFVKWVPSKNFISLFPHTNRAAATVATTGSFMSLHLIHQRLQQLKPPHPFLSSNSLTSPSKKLEDKGEKEKDKDEEASVREETTTKTTATSSGKEEEKPQIDLNEFLQQLGILKEEEEKLVIELEEEKGNNNNKDNDIDNYNDDGGCCLGSSEISNNCDYSDEVEVLSDKSFNWDSIMEIHPNIEDNHFGNFQLYDHHFLNYEDELGFPNSIWDFEEDHSTRIIH >KGN59207 pep chromosome:ASM407v2:3:30203316:30207428:-1 gene:Csa_3G781570 transcript:KGN59207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLKTELALALLLAVVSVGFCATDPNDLAILNDFRKGLENPELLKWPSKDNDPCGNKWPSVFCDGSRVAQIQVQGFGLKGPLPQNFNQLSMLSNIGLQKNQFSGPLPSFNGLKNLQYAFLNYNNFTSIPADFFTGLDNLEVLALDGNNLNGSSGWMFPPALSNSVQLTNLTCMSCNLVGPLPDFLGSMSSLSVLSLSGNRLTGGIPASFKDMVLTRFWLNNQVGDGMSGSIDVVTTMTSLNSLWLHGNHFSGTIPDNIGDLSLLQDLNLNGNEFVGLIPKSLGDMSLKNLDLNNNNFMGPIPKFKASKVSYSSNQLCQTEEGVACAPQVMALIEFLGAMGYPLRLVSAWTGNDPCEGPWLGLNCRSGDVSVINLPKFNLNGTLSPSLANLISLAEVRLQNNNLSGTIPSNWTGLKSLTLLDLSGNNISPPVPRFSSTVKLSTGGNPLLDGKQSPSSEIGGPSPSDSRSPPATEPSSNSGNGVRQTSSRSKASIIVSTVVPVVSVVVVAFVAIPLSIYFCKKRKRNGQAPSSLVVHPRDPSDPNNLVKIVVANNTNNSTSTASGSGSGSRNYSGFGDSHVIETGNLVISVQVLRNVTNNFSSENELGRGGFGVVYRGELDDGTKIAVKRMESGVISSKALDEFQSEIAVLSKVRHRHLVSLLGYSVAGNERLLVYEYMPEGALSRHLFHWESFKLEPLSWKRRLNIALDVARGMEYLHSLAHQSFIHRDLKSSNILLGDDFRAKISDFGLVKLAPDGERSVVTRLAGTFGYLAPEYAVTGKITTKADVFSFGVVLMELLTGLMALDEDRSEESQYLAAWFWHIKSDKEKLMAAVDPSLGCKEDISESICIIAELAGHCTAREPTQRPDMGHAVNVLAPLVEKWKPIDDDTEEYSGIDYSLPLNQMVKGWQESEGSDFSYVDLQDSKGSIPSRPTGFADSFTSVDGR >KGN59996 pep chromosome:ASM407v2:3:36087372:36088269:1 gene:Csa_3G860320 transcript:KGN59996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCSSGNSSGSLCEQIVVQNQSSGSEAELKQLMDQRKRKRMQSNRESARRSRMRKQQHLDGLMVQVSQLRDNKNQMISRINLTTQLFLNIEAENSVLRAQILELTHRLESLNQILSHINNNNNIDDDEQQQQQQHNNNNFLQDFWDNFDDFDLNPLFINSLFFTPQQQQPPIMASAHHHLLHY >KGN57803 pep chromosome:ASM407v2:3:17417568:17417966:1 gene:Csa_3G308195 transcript:KGN57803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTKASDTPSMAANELTYLRSAAKSSFRTKIALCLGERSLPTKKETKHFKESADPSLDKPSMATGYTQVKTNKISSNKPTCFSIETPAKCSNEAAKTSPVPPFTIHLRFLSLSFAQFLILPINSLHLFESLL >KGN59806 pep chromosome:ASM407v2:3:34556140:34557648:1 gene:Csa_3G848160 transcript:KGN59806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVPPPTRPEPTPDRRYLRRTTPFQSFIISLCFIFFVLVSPFHSFSFHFFKSQSPWKSLDFLSLALVLFAIACGLLSKNNGENTIHEEIYRPTFTADDSEHTHKSNPSTPNQWNGYSDRTDQILLHHPPEVAGVEYWKLSYGTNYMTNYHSLSSDSLQHCQNLKDLDDGFVSNRAFRATFPVYNEETSSSFSPLHQFSVPSLMTEPPQPSSPPVKIPPTGAIVEAEVERINEGDGDFEPELPGLDFQALEGEINENEKQREEQSKETKRNKKNKKKKMKKWQKGVENFKEFLTPQHRYNNRPSSPPPVAVHHYQTSSIIGETKQDLPASPESAAHKLIAVSVRFQNSTGEQPPSTGEELQIVTKGESTRTENAERKSTKFCESPDVNSKADNFIERFREGLKLERMNSIKEKQRKTRTSILGRKGP >KGN58067 pep chromosome:ASM407v2:3:21054752:21056512:1 gene:Csa_3G483760 transcript:KGN58067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFWPEFLASSWGKEFVAGGIGGVAGIVSGYPLDTLRIRQQHSTSGSAITLLRNIMSNGGPAALYRGMGAPLASVTFQNAIVFQTNAVLCRAFDPSATDNRPPSYKAVALGGFGTGALQSLILTPVELVKIRLQLQDLGSSNNIDLNSSRRGPMQVAKNIFKTEGYKGLYRGLTITMLRDAPSHCFYFWTYEFMREKLHPGCRKTGQETLRTMLVAGGLAGVASWVCCYPLDVVKTRLQAQSKFKFQKYSGIVDCFYKSVKEEGYRVLWRGLGTAVARAFVVNGAIFSAYELSLRCLNNNGGFHAENTI >KGN60130 pep chromosome:ASM407v2:3:37054348:37057482:-1 gene:Csa_3G879480 transcript:KGN60130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGGGSRRDEGSLVINNTNVFAALETLRKKKKSDKERKNKGSSKSQSSQPKEPEPQVFWAPAPLTSKSWADVDDEDDDDYYATTAPPQAVWGSSEPQESKERPSNVEESESDDDILDEADYELEDEHDHEHDHEHEPEVPVHPEPSVKKVPEASVAPKEAERQLSKKERKKKELAELDALLADFGVSQKDGNSQDESRDVQEKRDGESNGDGEKKENAPSESKSAKKKKKKEKKEVKDQDQKNNSDVNAGPDELTGNGDVEEDTSAVDVKERLKKVTSIKKKKSSKEMDSAAKAAAVEAAARSARLAAAKKKDKNHYNQQPVR >KGN59365 pep chromosome:ASM407v2:3:31400919:31411219:1 gene:Csa_3G814320 transcript:KGN59365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTTEESEPVRMIEVGEIGRRTSSFRNGSMTTTEDDDHDGDVGDASLWKLIDRLPTFERLRWSLLLDDDNSRRKVVDVTKLGDEERHLFIQKLINNVENDNLKLLRKVNERLHKVGVKFPTVEVKYKNVNIEAKCEVVRGKALPTLWNSLQTKLFEIMRFFGVKSHEAKINIIEDVSGVIKPGRLTLLLGPPGCGKTTLLKALSANLNKSLKMRGEIWYNEDKVEEIEAQKICAYISQYDLHIPEMTVRETLDFSARCQGIGNRADMMKEICKRERELGITPDLDVDTYMKAISAEGLRRSLQTDYILKILGIDICADTIVGDGMRRGISGGQKKRLTTGEMMVGPYRGLFMDEITNGLDSSTAFQIVSCLQHLAHFTNATILVSLLQPSPETFELFDDIILMAEKKIVYQGRRDRALEFFEHCGFKCPKRKGVADFLQEVISRKDQPQFWYPNNNNEQIPYSYVSVDELCRKFKSYNLERKLLVDEEEMVSIKLPNNNNNTGKNSKSCQELNEEVSSISKWEVFKACASRELLLMKRNSFIYVFKTCQLFIIGLMTMTVFLRTRMEIDIEDGNYFMGALFFALILLLVDGFPELVMTIQRLEVFYKQKQFYFYPAWAYAIPAAILKIPLSLVESLVWTSLTYYVIGFTPQPIRFFQQFIILFGVHLSALSMFRMIASIFQSNGASFTVGNFVILFALLFGGFIISHPSIPAWLKWGFWVSPISYGEIGLSLNEFLAPRWQKVQATNTTIGHEVLQSRGLDYHKSMYWISVAALFGLAFIFNIGYVLALTFLNPPGSSRAIISYEKLSQSKNSEECDGGGGATSVEQGPFKTVIESKKGRIALPFRPLTVVFQDLQYYVDMPLEMKERGFTQKKLQLLSDITGALRPGVLTALMGVSGAGKTTLLDVLAGRKTSGYIEGEIKIGGFPKVQETFARISGYCEQTDIHSPQITVEESLIFSAWLRLASDIDLKTKAQFVNEVIETIELDGIKDMLVGIPGVSGLSTEQRKRLTIAVELVTNPSIIFMDEPTTGLDARAAAIVMRAVKNVVDTGRTIVCTIHQPSIDIFESFDELILLKTGGRMIYCGPLGQCSRKVIEYFEHVPGVSKIRENYNPGTWMLEVTSPSAENELGIDFAQVYKNSALYKNIKELVKQLSSPPPGSRDLHFSNVFSQSFVEQFKACFWKQNMSYWRNPSFNLLRFVRTVASSLIFGILFWKQGKKLENQQNLFNVLGSMYTAVIFLGIDNCGSVLPIVSMERTVMYRERFAGMYSSWAYSLAQVIVEVPYIFIQAAAYVIIIYPMIGYYASATKILWCFYSFLCVFLCYNYLGMLLISITPNFHIANILSSAFFTLFNLFSGFLIPNPQIPKWWTWMYYLTPTSWILNCLLTSQYGDIDRTLMVFGEKTTVSAFLRDYFGFHHSQLPLVAVILILFPLAYALLFGFCIGKLNFQKR >KGN59594 pep chromosome:ASM407v2:3:32980453:32983369:1 gene:Csa_3G827360 transcript:KGN59594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLSDVYHVVAATVPLYVAMMLAYISVKWWKFFTAEQCSGINKFVAKFSIPLLSFQVISENNLYKMNRQLILADFLQKILAIILLGAVTKITSRGGLNWIITGLSLSTMPNTLILGLPVLKAMYGSEADVLLAQIVVLQSILWYNILLFLFEFTTTKAASLAPASEATEIEITHEGRPKEEIEEARDRNGRSLRTKSILLTVARKLIINPNTHATILGLIWASIRFRWGVKLPEVIDRSISILSTGGLGMAMFSLGLFMGSRTSIIACGTKMTLVAMGMKFLVGPALMAACSLALGLRGKLLRVAIVQAALPQGIVPFVFSKEYNIHPDVLSTGVLLGLLIALPVALAYYYLLSL >KGN56886 pep chromosome:ASM407v2:3:9286025:9291984:1 gene:Csa_3G141820 transcript:KGN56886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVNAILEEKLKKIRSVVGLDFPDSFIHRTLSRNGGDPDEAIKYILENPGFLARPLSVVRTVTSTGARVSTQFMQKDSMESEEVAKPTVQVKEEPGLGLEDKGIDNWGVSSDRSKVTGTSKMTLDEFLKPNAMSDEEYSKILKEMAAAKPSAKNNVKEEPVEAMAQSGAGTNARVKEEPDLEVKNRAFAKKARSETENFAMSVSSNTSGMQRNGTFSNDGRCKIEDGDFPIEPDWFLVGRTVVTAMSTTKGNKLADNEIVNFAFPSSSSRFNAQWIVRFSTKRSGEIGRLPMEWAKCVVPLVNSQKVKILGRCIAAPGNLHIMQEILLYVSFYIHNSVFSDIDTVTWKLEATHIDSTIYPLLTLFKLLKITPYQKAEFTPEELDSRKRLLKLEDDPDESTSMLPLVKRRKGSQQFADQNKDDQTLNESSLTKLVGAVDMYNLDEMEPPPTLTCDLRPYQKQALFWMSELEKGIDVEKAAQTLHPCWSAYRICDERATSIYVNIFSGESTTKFPTATQMARGGILADAMGLGKTVMTIALILARMGKGCPDNQKSTVNKKVTTEKKSQKSTTKARGGTLIVCPMALLGQWKEELEIHSEPESISIFVHYGGDRTNNPEVLLGYDVVLTTYGVLTSAYKSDGEFSIYHRVDWYRVVLDEAHTIKSSKTQTAQAAFTLNSYCRWCLTGTPLQNNLEDLFSLLCFLRVEPWCNWAWWNKLIQRPYENGDPRGLRLIKAILRPLMLRRTKDTNDANGRPILVLPPTDIQTVMCEQSEAEHDFYDALFKKSKVQFDQFVAQGKVLHNYANILELLLRLRQCCNHPFLVMSRGDSQQYANLNKLARKFLESNTNSTTMEQVAPTRAYVEDVVECIRRGENTECPICLEFADDAVLTPCAHRMCRECLLSSWRTPTCGFCPICRQMLRKTELITCPSESPFRVDVEKNWKESSKVSKLLECLERINLLGSGEKSIVFSQWTTFFDLLEIPLKRKRIGFFRFDGKLSQKHRERVLKEFSESKEIKVMLISLKAGGVGLNLTAASNVFIMDPWWNPAVEEQAIMRIHRIGQKRRVRVRRFIVKDTVEERMQQVQARKQRMIAGALTDEEVRTARIEELKMLFR >KGN56641 pep chromosome:ASM407v2:3:7954802:7958470:-1 gene:Csa_3G127040 transcript:KGN56641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQQEQQPSLRRSLSDSNHRRRRNRRRATISTTSSKSSWSSKLGKLLARLAFLSRDSDLTEESLEAHNKRINDLDTLDKTPKSSPYYRGLTDSSLAINYHHGPLNSTPYHVTYATSAAPSTQSSIVSKFKDYMAPCLRKQQPQSPQTSPRKVPRQHQRIQTVTTATTVVTKATWFSSGSTSASATFKEEMSSSDEMMTKKKLLRERLVVPNGGGRRDSGGGSGSSGGGVVVVEEMEKERYSWGDSCRPKVLEDFICNKKTAIELKEMVKEKGCGHYYIFEGAPGVGKRTMIQAMLRQAFGNQSMEIKEVVKVFDLKSEMLGSIEVKVKESSHYVEVNMSQTKGFEKQVIVQLMKESHSPLPCNHANCRDQLSIETLMYIKWAMERYKGCSKIFFCCSDASKLLLLSSLCTLVRLSPPSKQEIVEVLEFIAKQQGFDLSKRLAERIADNSRNNLRQAIRSLEASWKKSQLFDEDENKLLTGWEDDIADVAKKIVEEQSPKQ >KGN58084 pep chromosome:ASM407v2:3:21187294:21187639:-1 gene:Csa_3G497370 transcript:KGN58084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRVANLRTRLEFVAGLRSVANRDKNVEFVMDADEDGRESKLRMDADEDERESELRMVADEDGRKSELRTDVNEDGLESELRTNVRSGFNGSGFVGSDLQETDAWIVERR >KGN56236 pep chromosome:ASM407v2:3:5243886:5246060:-1 gene:Csa_3G104890 transcript:KGN56236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASAMFKILSRSSSGCTRTLRPETDAFCFVALRLYSTRRSCDRRNLYARISPLGDPECTVVPVLNQWIEEGRNIKDFELRRIVRDLRTCRRYRQALEVSEWMCSKGLFSLTTRDFAIQLDLIGQVRGLDSAEKYFGSVSNQKEIGKLYGALLNCYVREGLIDKSLAHMQKMKEMGLASSPLCYNDIMCLYLNTGQADKVPNVLSEMKENGVLPDNFSYRICISSYGARSDVISMENVLKEMEGQTHISMDWTTYSMVAGFFIKAGMHDKAMNYLRKCEDKVDEDALGFNHLISHYTNLGHKNEVMRLWALLKKGKKQLNRDYITMLGSLVKLELLEEAENLVMEWESSCQCYDFRVPNVVLIGYSQKGLIEKAEKMLRNIIVNGMIPSPNSWGIIASGYLEKQNLEKAFECMKEALAVKGQNKVWRPKPNVLSSILRWLSENRRYEEMKEFMSSLKTVPSMDEKLNNALDELLEIMANDDGISKDELEVK >KGN59859 pep chromosome:ASM407v2:3:35004912:35008893:-1 gene:Csa_3G850630 transcript:KGN59859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANASPTLFLFLFLSLFLFLSPSTAVDFITSSQNLTYGDTLVSTKGFFELGFFTPGNSTNRYLGIWYKIIPVRTIVWVANRENPIRNSSAVAVLKINSTSSDLFLFENDAVVWFGKSLKPAKTPKLQLLDNGNLLLKDAESEETSWQSFDYPTDTLLPGMKLGWDFKNGIQRRLSAWKTSDDPSPGSLTMEMMNTSYPEPVMWNGSSEYMRSGPWNGLQFSAKPTSALPILVYSYVNNKSELSYSYELINSSLIGRMVLNQTILRREALLWSEPEKNWKPYAAMPRDYCDTYSVCGAFGSCDIEQVPACQCLFGFHPNVQEKWNLMDYTEGCVRNKPLNCSDKTGFAKLPGLKLPDTKQSWVNESMSLNECREKCLRNCSCVAFANTDIRGSGSGCAIWFGELVDIKVVRRGGQDLYVRMLASELETKKTSSVAVGVIVGAAALLILGLLLIGFYVIRSKRRKLEATGAGKDLEGQEDDLELPLFNLATISNATDNFSNFNKLGEGGFGAVFRFHVK >KGN56507 pep chromosome:ASM407v2:3:7188888:7191271:1 gene:Csa_3G122310 transcript:KGN56507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRICFSRSSRLPVFILIVIAAGILNDVGFNRFADGGQRRVHITDDLDDVVDDEEDDSWKDWGKKKSASNDFDIPPADLSKMDIPEIQAEMMKRHSGPTMGFVKLRLGVRRTPDTVAEIAMQWTKVLKTGSVEAKFMAVDLNTLMFTMDRGQDLNELNEFVLSQAESYEIKIGDNVFRRPGDPPLEEVIQLLQKDKNKADGTVSSKNSEPLHQEL >KGN59146 pep chromosome:ASM407v2:3:29870455:29875157:-1 gene:Csa_3G777540 transcript:KGN59146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCHSYKAKPKPPYPTSFQHPKSLSSSSKGSDFSRRHFPLCTPTVTTSEMSDQSLPPPPAESKSRPVGGTEHSWCRAVPGGTGTTVLGLLLSKPPDIPHLQSSLHTLQNLHPILRSKIHHDPSRRDFSFLIPPSPPLHLQILDLAATARAIASHPDADDPSVSDFHKIHEHEINRVMWFDPTHPSYSDTDVMFATVYTVSESQWAVFLSLHTATCDRAAAAALLRELLVLAAGGGEIEGGGFETGDNGEVGLGIEDLIPNGKANKSLWARGFDMLGYSLNSFRLANLEFKDPNTERFSQMIRLRMNSDETQKLLAGCKLRGIKLCGALAAAGLIATRCSKDHLPPYQKEKYAVVTLNDCRSLLDPPLTSHHLGFYHSAILNTHDISAEDTVWEVASRCYFSFSNAKDNNKHFSDMSDLNFLMCKAIENPSLTPSSSMRTALISVFEDPIIEISGPEQQNLGLHDYIGYASAHGVGPSIAIFDTIRDGQLDSACVYPSPLFSRDQMNRIFDDMKKILVNSSVEVNEG >KGN59321 pep chromosome:ASM407v2:3:31020858:31022189:1 gene:Csa_3G809970 transcript:KGN59321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVSSNGGPSHASFGFIRSQPIKQFCKKQKHFCSANPSFLSFFSSFSLFILLIPPSLPYLFGTSAFFFSSTGFLLPIILFSSYLLSSHHSPSPHFRVLALRSETVRQELFGFLCTTFEASENYLT >KGN55709 pep chromosome:ASM407v2:3:831598:836257:-1 gene:Csa_3G006700 transcript:KGN55709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRHNDPNPFDEEGVNPFSKGGGAPGSKFRIPQMVSETLGFGQKHDATVDIPLDTMNDPKKKERELAAWEAELSRKEKEIKKREEAVSKAGVPADDRNWPPFFPIIHHDIANEIPVHAQKLQYLAFASWLGIVLCLVFNVIAITVCWIRGGGVKIFFLAVIYAILGIPLSYVLWYRPLYRAMRTDSALKFGWFFMFYLLHIAFCIFAAIAPPVVFHGQSLTGILAAIDVFSEHVLVGIFYLIGFGFFCLESLLSLWVLQKIYLYFRGNK >KGN55737 pep chromosome:ASM407v2:3:1040785:1041082:-1 gene:Csa_3G009430 transcript:KGN55737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGYSDLSTQPEVVFAPTTICPFEDPGCSVERIARCCLARTAGEFDVDRKCTPESR >KGN57578 pep chromosome:ASM407v2:3:14461531:14462930:-1 gene:Csa_3G217150 transcript:KGN57578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTPYPNPISPTKTRIGWIGIGVMGAAMATRLLSAGYSLSIYARTPSKALDLQSKGALLVNSVLELAQVSDIVFTMVGHPSDVRQNVLDENGILQGLNPGGVIVDTTSSHPALARDIFIAARAKGCWAVDAPVSGGDIGARDGKLAIFGGGDEGVVNWLLPLFQVLGKVTYVGEAGCGQSCKIANQFAISANLLGLSEGLVFAERAGLDMKKFVEAVRGGGAWSMAMELFAERMIGRDFRPGGFAEYMVKDLGMGVDVVEEGEDERVVVLPGAALTKQLFSAMVANGDGKFGNQGLISVIERLNGK >KGN59476 pep chromosome:ASM407v2:3:32178689:32179255:-1 gene:Csa_3G822280 transcript:KGN59476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLLLLLSQLLRHHDTNPDYSLTLPSPPTTMPSSSSSSSAHGNSVLPRSDCCYANNYKTRICADENVMDEFLKESRVCVDLIWP >KGN57068 pep chromosome:ASM407v2:3:10430638:10432555:-1 gene:Csa_3G151460 transcript:KGN57068 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:DVR description:hypothetical protein MSICSTVGAGLNLHSPANATNSTRLSSNFVHQIPVSSFSFSFQSSSLRLSQTPKFSRQRRNPIVVSSTPVVESTKSSFRAKNPKDTNILVVGSTGYIGNFVVKELVSRGFNVIAIAREKSGIKGRNSKEQASDQLKGANVCFSDVSHLDVLEKSLGDLDVPIDVVVSCLASRTGGIKDSWKIDYEATKNSLVAGRNRGASHFVLLSAICVQKPLLEFQRAKLKFEAELMEAAKEDSGFTYSIVRPTAFFKSLGGQVELVKDGKPYVMFGDGKLCACKPISEQDLASFIADCVLSEDKINQVLPIGGPGKALTPLEQGEILFRLLGKEPNFFKVPIGIMDFAIGVLDFLVKFFPAMEDAAEYGKIGRYYAAESMLILDPETGEYSADKTPSYGKDTLEDFFERVLSEGMAGQELGEQSVF >KGN55749 pep chromosome:ASM407v2:3:1112322:1113332:1 gene:Csa_3G009550 transcript:KGN55749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSKASLLQMAIFRTFSFGFLLLVSLGLASATRSLLTYDPPHHSVYDDHNTKVGYGRDHHDQPYGGGVGASGGYGAGAGSGYGGVGYEHDHHDGYERDHDRSYGGSAGGGYGVGAGSSLGGSGYGNVDHGVGYSNGGSGGYGAGVGSDLGGSGYGSGNGGASGSGNGDLGGRGKGYGRGGVGGNGYGGRGDHGRVVGHDDVVYGGSKGYGGGSGVGGGAGYSGGASGSGYGSGGGAGSGSGYGGSGEEGGYDGGYAP >KGN57963 pep chromosome:ASM407v2:3:19714995:19719093:1 gene:Csa_3G415080 transcript:KGN57963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSKLSSLVRSAIISSKSSQNAQDAALQNYVSTIDPLSPSTSLSNAINSPTSKKLPQNPNSDVQFPALILEESSDSGDPTKHLAKAISSVLCEGSSVMSPEAQGNCVEESLEKLLDIPWFSIKTNHSLTLHRKEISRERKHNWVLKNTQSDRFRRLVRSCANRLGSDVTLEVFGKLGRETGVKEYNALVGICLEKAKASKDVEVVLEQIGKVYQLFKLMKEQGFSLEDETYGPVLACLIDMDMMEEFNFFCEAIKDGNPGSISRLGYYKMLFYIKINDEEKVQELCYRATVDDGVDKFSLQENYLLALCGSEQKKELLQMLEVIDITKLSTTVVAPNIFKSLGRLSLHTFAEKSLLAFKTSGTLMIALKFY >KGN59826 pep chromosome:ASM407v2:3:34769524:34770781:-1 gene:Csa_3G848840 transcript:KGN59826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFNFLVNNMRTLVDAFATLGLADHKGDATFSPEMFCLMADSNVSIHSAIGLQLWPPFFDHYFCRDLKNSWFFFSEIFPLAQYLKDFGYTSFSFSIGRDPHHAQIEFQGPTRLLLEVTLRLVFCHLPLRIHQFDLSVFVSMDSQQFSNLISQYHMFDDVHVTITSERVIFSYSTMQETILSPQNGQCIIGGLRAPDEVEFVITLGPQEVFNHIASQTKRVWFFKQCNSNRGLITAPLGLNARLVAFFCDVFANYRRSK >KGN56928 pep chromosome:ASM407v2:3:9578825:9580669:1 gene:Csa_3G144180 transcript:KGN56928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAFISRFWFMLFPAKEYKIVVVGLDNAGKTTTLYKLHLGEVVTTHPTVGSNVEELVYKNIRFEVWDLGGQERLRTSWATYYRGTHAVIAVIDSTDRARITIMKDELFRLLGHEDLQQAVVLVFANKQDLKDAMTPVEITDALSLHSIKNHDWHIQACSALTGDGLYDGLGWIAQQVTGKATS >KGN60269 pep chromosome:ASM407v2:3:38134038:38142867:1 gene:Csa_3G892720 transcript:KGN60269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIVKGVADLIRRTSSGPIGVSVSGSQANWSHSPGQKIRFGEVGDEAILNELWVRCEQAADKGERKRLFYVFLRQFIVAYKNWKPINSGWLSEDALPSVENLSTSDYTVGCSSGHPAEIILKLSEEVKQLTSLIVEWRSTADLLGASIGLNLTSEGFLVLDALEIVMRSMHNCKVFGYYSGIQKLTALMKGAVIQLKTIAGELSVDEGVSNIVVENTKLLQKMLKYVVSIIHIFIDIDSLFYVEDHSLSMKVPTCEERLMWRQKAVVLVMEAGGINWLVELLRVTRRLNIKEQNIEVELQFLALKILYSALSENPRGQNHFKSIGGLEVLLDGLGLPSKIVLAPKDPAGADKKRDESRFLNILQLHVLSLAVLREAVIAFAGNHIYCISSTSVFSWEFLI >KGN56736 pep chromosome:ASM407v2:3:8506630:8506875:-1 gene:Csa_3G131400 transcript:KGN56736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVVRIDDYNIVRPSELALKVLLSKHPVCISISVDEHGSFKRYGGGIYKGPFPEKSNHSMLAVRYTSKTHGEVDGEKMDIA >KGN57048 pep chromosome:ASM407v2:3:10265643:10267408:1 gene:Csa_3G150770 transcript:KGN57048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRILRSELRRLCSRLWWLIWKHPKRRVIVKRFGKMNVKGRQKGRPDKNKARVYTKNQLCDSVITRPFRVATFNVAMFSLAPAVPVAEKPATFGFGRKEYSFRSPVNHCPKSILKQSPLHTALSKTESLSRSKPKVSINLPDNEISLANNKLSASMENGTPGLTKTTDKRYFKSQVPVRSPVCFPFSIANWHCEDDLTSSRTILEVLKEADADILALQDVKAEESKGMKPLSDLAAALGMDYVFAESWAPEYGNAVLSKWPIKRWKVQKIADDDDFRNLLKVAIDVPGTGEVNIYCTQLDHLDENWRMKQINAITKSVDCPHILVGGLNSLEKSDYSPERWTNIVEYYEKVGKPTPKVEVMKFLSGKGYIDSKDYAGDCEPVVIMAKGQNVQGTCKYGTRVDYILASQDSTFKFVPGSYSVVSSKGTSDHHIVKAEFVGIGQKASRGHKDLKKRISRLTQTCSSIGMSLMHT >KGN58112 pep chromosome:ASM407v2:3:21459945:21465743:1 gene:Csa_3G516510 transcript:KGN58112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQLHFSPSMRSITISTNNGFIDFMKIKVAARHISYRTIFHTFLLLAFLLPFVFILTAVVTLEGVNKCSSFDCLGRRLGPRLLGRVDGSGRLVSDFYKILNQVKTEEIPDGLKLPDSFTQLVSEMQNNQYDAKTFAIMLKAMMEKFEKDIRESKFAELMHKHFAASSIPKGIHCLSLRLTDEYSSNAHARKQLPSPELLPLLSDNTYHHFILSTDNILAASVVVNSAVQTSLRPEKIVFHVITDKKTYSGMHSWFALNPIAPAIVEVKGVHQFDWLTRENIPVLEAVENQNGIRSYYHGNHIVGANLSDTTPRIFASKLQARSPKYISLLNHLRIYLPELFPNLDKVVFLDDDVVIQRDLSPLWEIDLEGKVNGAVETCKGDDEWVMSKRFRNYFNFSHPIIAKHLNPDECAWAYGMNIFDLRAWRRTNIREIYHSWLRKNLRSNLTMWKLGTLPPALIAFRGQVHPIDPSWHMLGLGYQERTNVENVKNAAVIHYNGQLKPWLEIGFEHLRPFWIKYVNYSNDFIRNCHIVES >KGN60438 pep chromosome:ASM407v2:3:39352506:39352856:1 gene:Csa_3G910750 transcript:KGN60438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYLKMKLNQTLLQFASHFAFLRLRRQSFVFVFVFGASPSSSSFVNSSFVFLLHEFTWVRLLHSWVRSPLSVLHSPFVAIRHFPFSVGSFAAKFSGQAILILIVDLLFLFLDRNRG >KGN56685 pep chromosome:ASM407v2:3:8230303:8230959:1 gene:Csa_3G128930 transcript:KGN56685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQLSPSPRLPISSLIFFTIPFALNSQAPNIFPHFRPFFTMRTAPLFYAGCADYLLHEPHFLEACSLCRKALGRNSDIFMYRGNTPFCSKECRQEQIEIDEAKEKSWRRSSSSSSSSSSSKSSQSHRKQEANKKTVRSGTVAVA >KGN57221 pep chromosome:ASM407v2:3:11463639:11472215:-1 gene:Csa_3G171780 transcript:KGN57221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVPIHTPANFLTSRTLESCYLGRQCLCSPFLSQSIRPVSTNKLVSVGGCVVAGYARKSVDSAGVYQLTDEDDFTVTSSEELRYDGDETVDDEDTKTSGMRKRISIGSFGRLKTQKVKAIVTKGSRTNEELRNDVRKPTPEDGSPHISDYPRSKVKTMGEKKRINALRNVEKNSRPSELQDRERHQTTAPNLSRSEPLVSSGSGSYFRGWGSRGPYGSEYEPTEHKQQKISSEKGFYSRKSFKELGCSEYMIESLRRQNFVRPSQIQAKAFSSVIDGKSCIISDQSGSGKTLAYLVPLIQRLRQEELEGHQKSSSKSPQIVIIVPTAELASQVLSNCRSISKFGVPFRSMVVTGGFRQKTQLDNLQEGVDVLIATPGRLMLLINEGFLLLSNLRCAVMDEVDILFNDEDFEVALRSLMKSAPVNTQYLFVTATLPVDIYNTLVENFPDCEVIMGPGVHRISPSLEEVLVDCSGEDEQHKTPDAAFSNKKDALLQIAEGTPVLKTIVFCNKIETCRKVENALQRFDKKGSRLQVFPFHAALARESRLANMEAFTNSHSNQVSKFLVCTDRASRGIDFPNVDHVILFDFPRDPSEYVRRVGRTARGATGKGKAFIFVVGKQVSLARRIIERNRKGHPLHDVPSAYELTY >KGN60222 pep chromosome:ASM407v2:3:37774688:37775830:1 gene:Csa_3G889780 transcript:KGN60222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSITLLLLLLLSSSLHSISATFTVAVAGNLAFADQPLDLDSFPFEYHGGPLLSGNVTINLIWYGNFSPSQKSIVVDFITSISSSSSSSKSIISPHPSVSTWWNAINRFYKLAKKPKSSRLSLSLGSQILDPKYSLGKSLTDRHILSLASRGRQKYAINVVLTAADVTVDGFCFNKCGSHGVSSGAPIKRNRYRFAYIWVGNSATQCPGQCAWPFHRPVYGPQNPPLLPPNKDVGMDGVIINLATLLAGTATNPFGNGFYQGSKEAPLEAATACTGIFGKGAFPGYPGEVLVERKTGASYNANGGNGRKYLLPALFNPITSTCSPLV >KGN55789 pep chromosome:ASM407v2:3:1371765:1375646:-1 gene:Csa_3G015360 transcript:KGN55789 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 88D6 MYKTHIFGKPTIIVCKPELCRQVLTDEAKFIPGYPTTMKTLFGKKSLHAVTKEEHRKLRRLITTPISSHAALEMYIDHIERTVISGLEEWSSMEKPLELLTTIKQLTFKVIWNIFMGSTPIKSTSIREMETFNDDIVLGFFTMPINFPGFSFHKALLARKGLHEILQSILDEKRMVKKSKGENWEAKDMMDLLMEVRDEDGEGFDDETITEMIFSMLFGGQETSAFTSMWAVLFLTDNPHIFQKAKEEQEDIIKRRASTQTGVSLSEIKQMKFLSQVIDETLGLSSIAFATFREATVDAEING >KGN58541 pep chromosome:ASM407v2:3:26087305:26089512:-1 gene:Csa_3G669610 transcript:KGN58541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQNEEQNSAPTEEFVYRISTSKEWDESHRLGHICGGHLDKSSGFIHLSNLDQVQSTLQNFFLNIKDELYLLQIEAKKLGDGLIYELVDGCNSFPHFYGPSRSFSPIPMDAVTNSDKITFSDGRFACSLLE >KGN57579 pep chromosome:ASM407v2:3:14464105:14465154:-1 gene:Csa_3G217160 transcript:KGN57579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEIVLSIIVAFSLTTQLVIAVPPNYGYGVGYGGVPGATHLVGRDGLCLEMSPWYKPAGINFPTRLSPCDEKKQTQLWTIVGDGTIRPMNDKFCLAAEVFYGVINKAVVSECGKVSDPNKKWTQKNDGTIALVDSRMVLTGDLDYVTLQSNKYTPSQSWEVTESLNSMVANIEWLNNLCLQSTDDSSHVGLNGCNTDNKYQRWALYADGTIRQHVNKNYCLTSDQDFGRFVVVSKCEDKPQQRWSLDAKDYTIDHPNTDMVLDVFSVPDSTFPSVLVTNRRDGSASQRWTIIN >KGN55840 pep chromosome:ASM407v2:3:1863209:1866733:1 gene:Csa_3G018820 transcript:KGN55840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMSLLEPKSVVSSPFSSFRHQVQRFSRCSSCIGKFSRASYSNGVPNKAYCSGAVSSIGQDKLPAEGFTHQINGTNGLSSKLFSRDRRLLDAVDDQYDGIVIDPNGLPSNPVVFSSNLRFSLSHWKKKGKKGVWLKLLVEQSELIPIALKAGFQYHHAEPEYLMLTYWIPDGPCMLPSNASHHVGVGGFVINDRNEVLVVQEKYCSPAFANFWKIPTGFIVQKEEIYTGVTREVKEETGIETEFIEVIAFRHAHNIAFEKSDLFFVCMLRPLSTEIIVDDLEIQAAKWMPLAEFVEQSLVKEDVMFKKIIDICIARLDKYYCGLNVHQLVSKFDGKLSSLYYNTIEGEDLTCTGK >KGN58682 pep chromosome:ASM407v2:3:27183487:27184113:-1 gene:Csa_3G728000 transcript:KGN58682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSVLLVVGYHLHLWQCLKKKPEKTTRGIQREGRRAWVERALQVEGGSMQVVQSLRNNLMIIILRASISITLSSSVAALTNNAYKSKVGFLGSTDQSIISWLFAVKYAAAFVVSVSSFLCSSFGVGFLVDTCMLLTTPTPTTHIHRLLDTGFAFAFVGDRLMWFSLVILLWSLGPIPVALSSFALVWGFSLEDFVTKSTTYTYSYSY >KGN59224 pep chromosome:ASM407v2:3:30348958:30352913:-1 gene:Csa_3G782710 transcript:KGN59224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPQTGCLKEHERIIPNSLGQLSPTPARLWSALGQGSQSIFGDFGQVKASSIQLGSNGKEFNGTKQVVAHGLDKLNTAPFSIYPGDYKISMDAQKPSPVFSLQSPLTEYHNRFELGFGQPLICANYPYMDQHYGILSAYGPQIPGRIMLPMSLTSDDGPIYVNAKQYHGIIRRRQIRAKAMMENKLARTRKPYMHESRHLHAMRRPRGSGGRFLNTKNLKNGKSSMEPKKIDEVNLSDSTGSQCSVVLQSESGTLNSPNEAKGRGFSLSSSEVSSLFSRGLQRFQINHLGPSIPSLAEIIDGGGHGMVLPKWVAAADNCCDLCV >KGN59665 pep chromosome:ASM407v2:3:33398239:33399909:1 gene:Csa_3G836490 transcript:KGN59665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVTSTSTTSSSLHSNPKFFFLSNPISPSPLFLRKLYGRRRNPNSAGAFSIKAYMESPNSLSGFASKVIGSLPVVGLIARILSDEGGVGTDIIDFAEFRRRVGKKCTIMESKAFYEFQERKGKAGEPLYVLLCCWLAAVGAGLLKSEEILEGVARLRISNDIEFEEETFLAMMNEAREKREKLKAAVPNIPMEVRIEKALDAIYVCSFGRDPIEEDDEKLLVIMLSAVFPSVGEIEIQRILKEKAIRIAEGKDTAIVPEPQPLSKEAILAQMKDLQFLQENNET >KGN57352 pep chromosome:ASM407v2:3:12455774:12459527:1 gene:Csa_3G180400 transcript:KGN57352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLRRLFRPNKPPPALPDSTPGPNIKKNKRWSFGKSGHNHHSRPYATSSQPNAFGPSSSYTEPLDANKHAMAVAAATAAVAEAALAAAHAAAEVVRLTSSGTTHSNANRRWMEDAAAVKIQSAFRGYLARRALRALKALVKLQALVRGHIVRKQMADMLRRMQTLVRLQSRACAGRSNLSDSLHSTSKSSLSHIRVQATPNGTGDQLCAHHSNKFDNSALLKRCGSNSNLKDVTVVDRAPVGSSWLDRWMEENLWNNRQLPLKNIHAVDEKTDKILEVDTWKPHLKSQGNINSFKNSQMAPDFRNHQSFMTIDSPSKHSSKAANPVSSLSSGEVSLSSLKFPVGKYEQAPRTAENSPQVHSASSRRGNTAKRATLSPTRSEYAWGYFSGYAGYPNYMANTESSKAKVRSQSAPKQRLELEKFGSNKRYAQVSWDAWSFSNNGISHEPNSSNNANSVADRMTKFASTKSR >KGN57781 pep chromosome:ASM407v2:3:17041432:17042034:1 gene:Csa_3G298580 transcript:KGN57781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASALMIPAAVVTMILLLHIPSSCDALTTFQPLLSNHSSYGGKLMMGEEDMELEFLMESHISRILASSKNYQTASTTNANKASGGGCDRPPRYDSCLGKKRNNPPPPNCSPYNRANPC >KGN60067 pep chromosome:ASM407v2:3:36640567:36640899:1 gene:Csa_3G875435 transcript:KGN60067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWMGSSINRNFSNHSSALMRRTVERVTSFFSKLNFINLSGSVQKILLIHRDLSHINSARNFILIEHYVVWESRVVLERDFLPRRHREIVRNECQRTVVPTQQDLDGHGV >KGN56384 pep chromosome:ASM407v2:3:6565125:6565559:1 gene:Csa_3G118200 transcript:KGN56384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQTWRFARGGDEEAGFPMESAMKEEDRDKKRNGNMKSCKIATWVISMVVACLTGGLVFGWWVFQFHPTNRQLWMVPFSLVLMIAPIFVMMSLLISAFCNSMDQTTTSAAPSSDHVIQQSVQTR >KGN57969 pep chromosome:ASM407v2:3:19778725:19783358:1 gene:Csa_3G416130 transcript:KGN57969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKYALGSEPVVGLLTPSKKKEYRVTNRLQEGKRPLYAVVFNFIDSRYFNVFATVGGNRITVYQCLEGGVIAVLQSYVDEDKDESFYTVSWAYNVDGSPFVVAGGINGIIRVIDAGSEKIYKSFVGHGDSINEIRTQPLKPSLVISASKDESVRLWNVHTGICILVFAGAGGHRNEVLSVDFHPSDIYRIASCGMDNTVKIWSMKEFWTYVEKSFTWTDLPSKFPTKYVQFPVFIASVHSNYVDCSRWLGDFILSKSVDNEIVLWEPKMKEQSPGEGTVDILQKYPVPECDIWFIKFSCDFHYNAAAIGNREGKIFVWELQSSPPVLIARLSHAQSKSPIRQTAMSFDGSIILSCCEDGTIWRWDAMTTT >KGN56062 pep chromosome:ASM407v2:3:3620005:3620899:-1 gene:Csa_3G063620 transcript:KGN56062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFNNVNTASIKNISSFDSKFFHFAVHASRDVTFDNVTVVAPANSPNTDGIHISTSSGINIMHSTIGTGDDCISLGPGSKMINISNVHCGPGHGISIGSLGKNPNEEDVFDVTVRDSTFIGTSNGARIKSWSSPYSSMVSKVTFLNLQMNNVKNPIIIDQSYCPDSCAPNKMNKSMVQIKDVRYQGITGSSNTPVAVDFECSQVLPCQGIVLQDINLTFNGGGKTTSICHNVKGSASGQQLPPSCL >KGN56578 pep chromosome:ASM407v2:3:7574220:7582879:1 gene:Csa_3G124960 transcript:KGN56578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLKGVVDYIGSIFSETSSIHDSPQNRSHEGASTIMDSVNGVPVSNERYASKFKGYFNLSQEEIAKAVRAEEWGIIDDAILHYQNANRILTEASSTAVPSFISSSEQEKVKSYRQKISKWQSQVSDRLATLSIRAGVTSPNKSSSNHVQRAGNASKMPNKKPVLRSSSHSGANNPITRSQPANVGTSQSTREVPDGYDPKLVEMINTAIVDRSPSVKWDDIAGLQKAKQALLEMVILPTKRRDLFTGLRKPARGLLLFGPPGNGKTMLAKAVASESDATFFNLSAASFTSKWLGESEKLVRTLFMVAKSRQPSVIFMDEIDSVMSSRHAGEHEASRRLKSEFLVQFDGVTSNSTDLVIVIGATNKPQELDDAVLRRLVKRIYIPLPDENGRRLLLKHNLKGQSYSLPTRDLERLVKQTEGYSGSDLQALCEEAAMMPIRELGGNILTVKADQIRSLKYEDFQEAMKVIRPSLSKSSWKEIEEWNQSFGSN >KGN59788 pep chromosome:ASM407v2:3:34324869:34327006:-1 gene:Csa_3G846040 transcript:KGN59788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPNLHPPLPNLTCCHINSSTLNQCITFTQSVIELKQIHCLLIKSQHLRPLFPNLLTRLLSFPSDNHFYARHLFDQIPNSTNHFTWNSLIRYYVHHYHFPQSMSLYARMQKAGILESEFTFSSVLSASARMPALYLGRQVHARVIQLGFLSNKIVLTSLMDMYAKCGFILDAESLFFAIDDKDIVAWTSMIRGYSKLGMMDDAQDLFDKMGQRNSFSWTTMVAGYANWGNMKAAKQLYDAMPEKNPVSQLAMIAGYGRCGDVAEAERIFGEILVPDSSCCAAMVACYSQNGYGKEAIEMYKQMKEKNLGTNEVALVGALSACVQLGDVEMASKLIDQVDEGCCDRTLFVSNALIHKHSKFGNIERAQEEFNRMKDRDVVTYSTLIIALADHGKAKEALDLFSKMEEEGIKPNQICFIGVLNACAHAGLIEQGCKYFELMRKGFGIEPQKGHYACMVDLLGRAGEVEMAYNVIKGAREIDAKTWGSLLGACKIHGNLEVGEIAAKHLFEMEPENTGNYVLLANTYAQMKEWNEAEKVRNVMVERGIKKFPGYSWVSRSS >KGN58081 pep chromosome:ASM407v2:3:21166331:21170606:-1 gene:Csa_3G490860 transcript:KGN58081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRKKPTHRSSILIGVGEKCRSQGEYSFVLINPNDFDSHSKSYLQDVLQLYKRELPTMAYAANTGKQSTFMEKCVSNGKYCTLLLESKSEVNPGLVIAAITYQIVPADTQYAEIPLAAVSLAYQHKGFGHILYMELRKRLQSVGIRTIFCWGDKESEGFWSKQGFLSIAEVDTKGKVRRIPVRADIRRALCFPGGSTLMISHIKGISMCSADFPKLPSLLKPEAPYAARISVANRGCNVSNATDQHTIQNLNFQHDEFVTLVPLGEENEIQEPQNQDAVHDSNGPVSFAEVENNTTASIAKLSNTLGNLDETHCSCSKHSAKRIWEASLSSLKSKKKKGVNLDHFHSHSNKNLDPKSDVYDTCSQGCSLANSKHEILSSIYPKNPTNQCTQNLCQEFGSVNVASEDLNSEENTLGKSFKIMLMNIANETKKTQLMKVRYQFIVF >KGN57424 pep chromosome:ASM407v2:3:12941508:12946289:-1 gene:Csa_3G184060 transcript:KGN57424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSEENSALFPIFILTIMALPLVPYTILKLCRAASKKAKIIHCQCAECSRSGKYRKSIFKRIANFSTYSNLTLVLLWIFMFVLVYYIKNISREIQVFEPFSILGLETGASEADIKKAYRRLSILYHPDKNPDPEAHKYFVEFISKAYQALTDPISRENYEKYGHPDGKQGFQMGIALPQFLLNIDGASGGILLLWIVGVCIILPLVIAVIYLSRSSKYTGNYVMRQTLSTYYYFMKPSLAPSKVMDVFIKAAEYVEMPVRRTDNDPLQKIFGLVRSELNLDLKNIKQEQAKFWKQHPALVKTQLLIQAQLTREFANLPPPLNADFKHVLELAPRLLEELMKMALIPRNVQGQGWLRPATGVIELTQCVIQAVPLSSRKATGGSSEGIAPFLQLPHFSEAVVKKIARKKVRAFEDLQKLGQEERADLLAQVGGFSPAEVQDVETVLEMMPSVTVTISCETEGEEGIQEGDTVTIQAWVTLERRNGLVGALPHAPYYPFHKEENFWFLLADPNSNNVWFYQKVSFMDEATAITAASKAIEEQMEGSGASVRETSAAVREAVEKVKAGSRLVLGKFHAPAEGNYNLTCYCLCDSWIGCDNKTNLKLKILKRTRAGTRGSLMTEEGPSMEDGIEEEEENDEEEYDDYESEYSEDEADEQDVKKKGPVANGKAHKQSSSSEGSGSDDDE >KGN59605 pep chromosome:ASM407v2:3:33044896:33046540:-1 gene:Csa_3G828940 transcript:KGN59605 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein P21 MEFPNRLPVFFIFFLSFIFLSFGEGRARTVTFYFRNKCPFTIWPATAPNAGQPIIADGGFTLLSGQTQRVIAPASWTGRFWARTGCNFAPNQQGPACETGDCGGKLACKGLIGTPPATLVEVTLQEDKSKPNFYDISLVDGFNIPISVNSKQPISPKCAIGSCEKNLNEICPDELKVLNGNGDVVACRSACLAFGLDSFCCRNTYGTPETCKPSLYSRMFKEACPSYYSFAFDSPPPLASCSAREFVVTFCPAGWGSGDAAAKGREEMSAE >KGN55580 pep chromosome:ASM407v2:3:35630:35890:1 gene:Csa_3G000040 transcript:KGN55580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSGMKTQRQRKTKICNIGVDSKRKGGEIRSTVYFLKGKTKLEQKMTKNTSKREENSMDWRTNYIGFQKDYGRIGRRVEGGLSDGF >KGN57304 pep chromosome:ASM407v2:3:12095887:12097714:1 gene:Csa_3G177970 transcript:KGN57304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKTSSSLHMATPLLPFFFFSFFSISAAQSTASKSLSIAYIREAGDCNYRVNITTSCSSPFYISSEIGVLFGDAQGNQIYEPKLEVESGNAFRKCRKDIFELIGPCIDQICFFYLYKNGSDNWIPETVEISSPDIDTVKYTYNSSIPNDTWYGFEDCQYFPSPSPPPPPPPSVPSTAGSLPRWKWIASLIPVLFSCFLL >KGN60281 pep chromosome:ASM407v2:3:38254211:38254519:1 gene:Csa_3G893310 transcript:KGN60281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKAEKQKNKEETMSCWERLRMKILSRKKEGNINNKNDTNITCMGGETSGLNNRSGGLFKYDALSYAKNFDEGLANADGEGSFRSFSARYAVPSKPPAKKLG >KGN60381 pep chromosome:ASM407v2:3:38967294:38967656:1 gene:Csa_3G902240 transcript:KGN60381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLKLSSLMFFILCIEISKAARVLTTFGGGGVQYGNPRSLGDSRYGNFKVNGYAKYNNDYYWGWIGGQGSSSYGDDYDSHRSSCKSGGGYKYANYGGGNIGDNSSEGSSVYIISKETKP >KGN57504 pep chromosome:ASM407v2:3:13785913:13787937:-1 gene:Csa_3G199660 transcript:KGN57504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMHRYEHADDDAQTHLFPLDSLFCEEEKWEEEEDEADLEPTYHTHLFSLGFLEEDLSGDDERLLSMLSKETEQLKQSNLELEALLMDPSVSAARSSAIHWMLKVQSHYGFSTLTAILAIAYFDRFLLSFHFKSDKPWMNQLVAVTCLSLAAKVEEIQVPLLLDLQVEDAKYVFEAKTIQRMELLVLSTLQWRMHLVTPYSFLDHIVKRLGLKNNLHLEFFRRSEYLLLSLLSDSRFVGYLPSVLATATMMEVIDQIEPHKKLEHQDKLLGVLKMNKEKVQCCYDLVVEHSKAYNNGFYHPINPHKRKHEQQAPDSPNGVIDAGFSSDSSNDSWAFRATSVCSSPEPSFKKSKSEEPKMKFHSLNRAFLDIVGSPS >KGN59271 pep chromosome:ASM407v2:3:30717791:30717979:-1 gene:Csa_3G797600 transcript:KGN59271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVTVSIKAPSTMNPGLGPSGCLLVQMTVPLALASAFSSSFFTFLKRNSSVHREGCTCSTLT >KGN58696 pep chromosome:ASM407v2:3:27241370:27242382:-1 gene:Csa_3G728130 transcript:KGN58696 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific lipid-transfer protein MEMKLKGLMIVGIVGIVMMCMVVQGEAAGMTCGKVASSVSGCIGYLRSAQGQVPQVCCNGIRSLNSQASTTVDRRIACNCLKAAAGSIEGINYGAAASLPSKCGVSVPYKISPSTDCAKVN >KGN58026 pep chromosome:ASM407v2:3:20540249:20543351:-1 gene:Csa_3G442050 transcript:KGN58026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLLSSLEKHHLISCWDHLIRLLPASSSNPLRFFPLLSTQIDHPCCSPENDNEEYATYVVTLRGEMLQVDPKWRNHQYIYSMRVAIH >KGN58761 pep chromosome:ASM407v2:3:27582520:27587434:1 gene:Csa_3G731720 transcript:KGN58761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFEPGTSILQNGAVKSSPRHARTAHNMSSSSLRKKSDLTLVSKVRFGSLRLFLVNLQEVILGTRIWILFPAVPLAVLANSFGFARPWVFVVSLMGIAPLAERLSFLTEQISYFTGPTVGGLLNATCGNATELIVAIFALRKGNIKLVKYSLLGSLLSNLLLVLGTSLFFGGILNINKQQTFDSSQAEVNSHMLMLALQCYFLPMLFPNVGGSADEARVGALRLSRVCSVVMLVAYVAHLIFNLWSSHRPYFEGNEELDEYNDVVEEGPVMGKWSAMAWLVVITLLVALLSEYVVATIEDASETWGLSVAFLSIILLSLVGNAAEHAGAVIFAYKNKLDITLGVALGSANQIGMFVVPLCVIVAWIMGINMDLNFNITETVSLALSILASSSILQNGNSHYMKGLILLLFYIVIATYFFLTTDDVTINNLGLPTVQLKQQ >KGN56220 pep chromosome:ASM407v2:3:5060883:5062551:-1 gene:Csa_3G100800 transcript:KGN56220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMESTDSSAGPQQPNLPPGFRFHPTDEELVVHYLKKKANSSPLPVAIIAEVDLYKFDPWELPAKATFGEQEWYFFSPRERKYPNGARPNRAATSGYWKATGTDKPVLASDGSNQKVGVKKALVFYGGKPPKGIKTNWIMHEYRLADNKPCINKPPGYDLANKKNSLKLDDWVLCRIYKKNNSHRPMDQEREDSMEEMIGSIPHSLRLNDQYPKLGINYSTLLENDQNLLQGIVANNNNDNNNNGAVSNGTNSKRPASLFWSDEDQDHSGISSNKRLHFENTTDGASTSITRTHSSSHNNLQNSTSSFTTLLTNLPQTPPPPLHHHSGAHSVLASIGDGLFRPAYQIPGANWYS >KGN60475 pep chromosome:ASM407v2:3:39668681:39670484:1 gene:Csa_3G914060 transcript:KGN60475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVINSTIPPQNLSQFHLRRSSFKYQISPLNPSAPKITSFRSAAVAVHVTRRHLANLDKLLNKTDPPLKVDPQPVHKDPNKVSPMPIKGKGLLESLNLARLWPEVKAAEDMSPRNLNRLQRLLSKTVEYSPRNALGQRWREYHGCNDWSGLLDPLDENLRREVVRYGEFVQAAYHAFHSNPTTSPNEPPLPRHVALPDRSYKVTKSLYATSSVGLPGWIDEVAPDLGWMTQRSSWVGYVAVCDDRREIARMGRRDIVIALRGTATCLEWAENVRAQLTNVPADVDTKDGGDPKVECGFLSLYKTAGAHVKSLSESVVEEIRRLTELYKGETLSITVTGHSLGAALAILVADEISVCSAEVPPVAVFSFGGPRVGNKIFADRIKSRNVKVLRIVNSQDLITQVPPNPMTYSHVGTELRVETKMSPFLKPNADIACCHDLEAYLHLVDGFMSSKCPFRPNAKRSLVRLVQDQRGNMKKLYMRKVKDLGFNPELQTVGCLPSPS >KGN58055 pep chromosome:ASM407v2:3:20927711:20931222:-1 gene:Csa_3G469700 transcript:KGN58055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYRREHRSSRSALFDDLEEGGLRTSSSVEIKEHDNDKALHTLEDRVSILKRLTGDIHEEVESHNHLLDRMGNGMDASRGIMSRTMDRFKMVFEQKTKWRTCRLALYFVLSFLLLFYLIRFLRYFMQS >KGN57020 pep chromosome:ASM407v2:3:10096913:10098713:-1 gene:Csa_3G150000 transcript:KGN57020 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan-specific endoglucanase inhibitor protein MASSTSFSFFSSILFLLFSISIAATSFRPKSLLLPVTKHPSLQYITEIHQRTPLVPVKLTVDLGGQFMWVDCDRGYVSSSYKPARCRSAQCSLASKSSACGQCFSPPRPGCNNNTCSLFPGNTIIRLSTSGEVASDVVSVSSTNGFNPTRAVSIPNFLFVCGSTFLLEGLAPGVTGMAGFGRNGISLPSQFAAAFSFNRKFAVCLSGSTSSPGVIFSGNGPYHFLPNIDLTNSFTYTPLFINPVSTAGVSSAGEKSTEYFIGVTSIVVNSKPVPLNTTLLKIDSNGNGGTKISTVNPFTVLESSIYKALVKAFTTEVSKVPRVGAVAPFEVCYSSKSFPSTRLGAGVPTIDLVLQNKKVIWSMFGANSMVQVNDEVLCLGFVDGGVDVRTAIVIGAHQIEDKLLEFDLATSRLGFTPTLLGRMTTCANFNFTSNA >KGN58463 pep chromosome:ASM407v2:3:25413559:25415713:-1 gene:Csa_3G646010 transcript:KGN58463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLQKLWDETLAGPAPDSGLSRLRKYNSFSASRSPPMLSNDVLSNNSNNINIPPRIQIPSPTLSQSPPSFPESPMAAPSTPRTPPSTPPETPRSGEDHAKRLGRRRSVDYPRRRPLEGAEQTSPSVYDWIVITALDR >KGN58845 pep chromosome:ASM407v2:3:27961236:27961935:1 gene:Csa_3G733990 transcript:KGN58845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWSTKLNYLVHGPEFRWKPDKTLLFFPSSFPSPSNHSITAPTSTVVSGFADEHIPHPLRDSAVHQDMEKASKLLRAMDVEATLTSHVGICMGGREAQRHVAHHLPTLKSLSRHVGFQLHLLVRLQVSHQGWRGSSWRRAFELGLENVRWFVMGDDDTVFFVENLVNVLGKYDHNYQM >KGN59044 pep chromosome:ASM407v2:3:29062154:29064020:1 gene:Csa_3G748240 transcript:KGN59044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METNSLGGGGGGGVGGSGGGGGGGAGGGGMFSGMNSSMLGLELPLHQNPTNPTNPHQLHHPPMVSYVQHDPHHHQQPPSVSVKYPFPTKAKPQQSNLSDDEEQGFAADDSNGDGKKKISPWQRMKWTDMMVRLLITAVFYIGDEGGSEPVDHVGKKKPVGLLQKKGKWKSVSRAMMEKGFYVSPQQCEDKFNDLNKRYKRVNDILGKGTACKVVENQTLLDSMELTPKTKEEVRKLLNSKHLFFREMCAYHNTCRHSTTHPSPDAATEPSHLPQQQQQQQLCFHATDTTTSASIAAGEGSKSGDEEEEEEEEEESEEEEEDEETEGRQEEEEETESRKRARKGGMITAGMQQLSAEVMGVISDGGRSPWEKKQWMKSRLIQLEEQQVSWQTQAFELEKQRLKWVKFRSKKERDMERAKLENEKRMLENERMMLMVKKNELDLMGMQHYQQQQQQHSSNKRGDPSSITG >KGN56311 pep chromosome:ASM407v2:3:6007309:6010626:-1 gene:Csa_3G114510 transcript:KGN56311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAQLLIKPTQTFSPFLETPRLKIRNPKQFCLRASSNSPNGEGKNLPDKEKDGLKIDYSGEKPATPLLDTVNFPIHMKNLSMQDLEKLAAELRAEIVFSVSKTGGHLSASLGVVELAVALHHVFNTPDDKIIWDVGHQAYPHKILTGRRSRMHTLRQTSGLAGFPKRDESIHDAFGAGHSSTSISAGLGMAVGRDLLGKKNSVISVIGDGAMTAGQAYEAMNNAGYLDTNMIVILNDNKQVSLPTATLDGPATPVGALSSALTKLQASTQFRKLREAAKTITKQIGKQTHGVAAKVDEYARGMISGSGSTFFEELGLYYIGPVDGHNVGDLVTILEKVKSMPAPGPVLIHILTEKGKGYPPAEAAADKMHGVVKFDPKTGKQFKTKSPTLSYTQYFAESLIKEAELDDKIVAIHAAMGGGTGLNYFQKKFPQRCFDVGIAEQHAVTFAAGLATEGIKPFCAIYSSFLQRGFDQVVHDVDLQKLPVRFAMDRAGLVGADGPTHCGAFDITYMACLPNMIVMAPADEAELIHMVATAAEIDDRPSCFRFPRGNGIGVVVPPNFKGTPIEIGKGRIVMEGTRVAILGYGSIVQQCVEASELLKTRGITPTVADARFCKPLDTQLIRQLAKEHEILITVEEGSIGGFGSHVSYFLSVTGILDQHHLKLRSMVLPDRYIDHGSPGDQMEEAGLSSEHIAATVLTLMGRSKEALSFQVSATKKSSF >KGN58351 pep chromosome:ASM407v2:3:24356043:24357179:1 gene:Csa_3G626150 transcript:KGN58351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATISTSPISQSLKQSHTQHHYHHLFHLIPSLTLLFLFLTITILGSPSMAALEPTNSESESTGTRRRDDLRFESAVLQVPSGLKPTSNNLLQKQRLASESCSRLRRDYRRFESDESKPISNIPMQKLVSESELNGRRWRGYRRFESAASGTKPSSNNPPRKKRLKLESSGRRWRDYRRFESAVLKVPTGKRNHASNNPPLKQKPNSESGDRRRRNDHLFESAAHEVPSGPNPISN >KGN58496 pep chromosome:ASM407v2:3:25683546:25684583:1 gene:Csa_3G651800 transcript:KGN58496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMQPIPSCLSYVTTSIIATLALLLLSRCLRRRKLNLPPGPKPWPIIGNLDLIGSLPHQSIHQLSKKYGPIMHLRFGSFPVVVGSSVEMAKIVLKTQDLNFVWRPKTAAGKYTTYNYSNITWSQYGPYWRQLRKMCLMELFSARRLDSYEYIRKEEMNGLIREIYKSCGEVIKVKDYLFALSLNVISRMVLGKKYTDEPSESGIVSPDEFRKMMDELFLLNGVLNIGDSIPWMDFLDLQGYVKRMKGLSKKLDRFLEHVLDEHKERRKGVENYVAKDMVDVLLQLADHPDLEVKLERHGVKAFTQV >KGN56456 pep chromosome:ASM407v2:3:6935895:6939365:1 gene:Csa_3G119860 transcript:KGN56456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHSAPVWDCRAATEITQDWNGIDQVVLRNPKGASARISLHGGQVCSWRNEQGEELLFTSSKAIFKAPKAMRGGIPICFPQFGSCGSLEQHGFVKNKIWTIDDNPPPMCSDDIEGVSFVDLLYRSSEEDLKFWPYSFELRLRASLLPDGDLVLRSRIRNVNGKPFGFSFAYHTHLVVSDISEVRIEGLETVDFLDNLCQKERFTEQGDAITFESEVDRVYVSTPNLIAVLDHERKRTYVIRKEGLPDVVVWNPWEKKSKAMVDFGDEEYKQMLCVNGAALERPITLRPGEEWTGKLQLTVVPSSFSFDL >KGN57146 pep chromosome:ASM407v2:3:10929237:10930346:1 gene:Csa_3G165630 transcript:KGN57146 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nutrient reservoir METPIPLQFLISLTALLLLFPSGISSLPVFHPRSSAGAKYQIECTMCSACDNPCAQYLSPPPPPPSPPPPVNCPPPPSPPSSGTYDYYSPPPPAQPSYTYSSPPPPGGIIGPGGYYQPPPYSNYPAPPPPNPIVPYFPFFYHTPPPGSSAAVPRLTNSLPCSVLTVALFSFLLALF >KGN57605 pep chromosome:ASM407v2:3:14844585:14847156:-1 gene:Csa_3G225840 transcript:KGN57605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGAETTQQGNCSKYVGRELPHCCEKKPEIVDLMPGAPYKLQVANCCKAGVLSSMRQESSNSGAAFQMNVGKSSGKDVDSKMPANFTLGLPGYTCSEPFQVPPTKFSKDGGRRWMQVLETWNVTCVYSQFRSSPTPGCCVSLSSFYNSTIVPCPQCSCGCQGLKGTKCVKSGEAPSVLQLPHEHNTAEVEALVRCSQHMCPIRVHWHVKQSYTQYWRVKITITNLNFAKNYSDWNLVVMHPNLRNITQVFSFNYSPLNQYGNINDTGMFWGIHFYNDLLLTSGERGNVQTEILLQKDSEIFTFREGWAFPRRIMFNGDECVMPPPDQYPRLPNKAHRSMTAPLVVFFFLFLSLSL >KGN55954 pep chromosome:ASM407v2:3:2807882:2809549:-1 gene:Csa_3G038760 transcript:KGN55954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNALVPGRLFLFAGESFPFHKLPQVCRSTFFHSGVFCLNKAEVNHGPLTLASLGFKSEFQETDKSQVNKVAELDTPRDISKSKVKIVRNNEKKVGGARSSVEIQMAPFAAKSFSELGLADALIERLESEGFTVPTDIQSASIPTILKKHDVVIQSYTGSGKTLAYVLPILSEIGPFKNTISNYNDEPGKKKEIEAVIVAPSRELGMQIVREVEKILGPANRKVVQQLVGGANRSRQEEALKKNKPSIVVGTPGRIAEISATGKLHTHGCSFLILDEVDELLSFNFREDMHRILEHVGRRSGANSRGSENTQARRAERQLVMVSATVPFSVVRAAKSWGFDPLLVKANKVAPLESVPPSGPVNLRAPSSGSTSDSTLQSQTAIDTLPPSLKHYYCTSRLQHKVDMLRRCIHALDAKFVMVFMNHTKQLRDVVFKLKARGVTAAELHGDLGKLARSTTLKSFKNGELRVLVTNELSARGLDIAECDLVVNLDLPTDSIHYAHRAGRTGRLGRMGTVLSICEEPEVFVVKKLQKQLGVPILACDFNEGKLVLNEEEKI >KGN59111 pep chromosome:ASM407v2:3:29665649:29671378:1 gene:Csa_3G775240 transcript:KGN59111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKSFKYVIVGGGVSAGYAAREFVKLGLKAGELAIISKEAVAPYERPALSKAYLFPESPARLPGFHVCVGSGGQRLLPGWYKENGIELILSTEIVKADLAAKSLTTASGETFKYQILVIATGSTVIKLSDFGVEGADAKNIFYLREIADADQLVEAIKSKKNGKAVLVGGGYIGLELGAALKINDLDVTMIYPEPWCMPRLFTSGIASFYEGFYKNKGINIIKGTVATGFTSDSNGEVKQVKLKDGRTLDADIVVVGVGGRPLVSLFKGQVEEDKGGIKTDGFFKTSIPDVYAVGDVATYPLKLYNELRRVEHVDHARKSAEQAVKAIKAQEEGKSIEEYDYLPYFYSRTFNLAWQFYGDNVGETVLFGDNNPESPKPKFGTYWIKDGKVVGVFLEGGTPDEYKAIAKVARVQPPVESLDQLAKDGLCFASKV >KGN60095 pep chromosome:ASM407v2:3:36803888:36804412:1 gene:Csa_3G877660 transcript:KGN60095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFIGLFSDSNSPHLDPPVSYCKTPTPITSLRISHSFSSLFSPSLLPFSLSSPSQNLPQFRRRHADLKDFIADPGASSSSAVVWTVY >KGN57113 pep chromosome:ASM407v2:3:10732847:10733492:-1 gene:Csa_3G154360 transcript:KGN57113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTCIQTTAERWKKASALPLRLHLSSMVELLVFQLILSLQILEGYTLLFRSLDYSICAVHLPHLFIGV >KGN60028 pep chromosome:ASM407v2:3:36382794:36386146:1 gene:Csa_3G872100 transcript:KGN60028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGSFLTRGLVMIFGYAYPAYECYKTVEMNKPEIEQLRFWCQYWILVAVLTVCERIGDAFISWVPMYSEAKLAFFIYLWYPKTKGTTYVYDSFFRPYVAKHETDIDRNLLELRTRAGDIAVIYWQRAASYGQTRIYEILQYVAAQSTARPRQSQRQQGSRVPADNVAPKRPASTANQVRIEPTPSPSSSQQQKDVAEETGASQVSKLKSTFEVPNTQKVLKPTTVVEVSNIPKGLKPSTSLEPPNTPKGLKPSTSMEVLNAQKAVAAASEQASSSHTSPSEVEPMAIESVPPPPVIENENPPPKDTIMEEPTKVTRRLRKTRSGAAANR >KGN57897 pep chromosome:ASM407v2:3:18688272:18691974:1 gene:Csa_3G379740 transcript:KGN57897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKDIGASLPPGFRFYPSDEELVCHYLYKKIMNEQVLKGTLVEIDLHTCEPWQLPEVAKLNSNEWYFFSFRDRKYATGFRTNRATTCGYWKATGKDRTVVDPSTGDIVGMRKTLVFYKNRAPNGIKTGWIMHEFRLEAPHRPPKEDWVLCRVFQKGKQEEYNTKLINQHFTFGNFERVPSVIIRAPSPLPSDPSQTTTMPCGYNVDIDITSLSPSMAPHSHTGSCSFLHLLQLPRDKDDNNNNNNPKTDQIFCPKNNESDYGALWDMDLEEHTFQDGVGSNLDQMAFDDVDSSLVFL >KGN58313 pep chromosome:ASM407v2:3:23783890:23785798:1 gene:Csa_3G611380 transcript:KGN58313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEMACSAADLHHLLGGSANATAVAEYICSRFESVSTKFVDTTYAVDNTYLLFSAYLVFAMQLGFAMLCAGSVRAKNTMNIMLTNVLDAATGGIFYYVFGFALAFGTPSNSFIGHHYFGLSQFPSSSFDYGFFLFQWAFAIAAAGITSGSIAERTQFVAYLIYSSVLTGLVYPIVSHWFWSSDGWGSAARSDKLLFGSGVIDFAGSGVVHMVGGIAGLWGALIEGPRIGRFDHAGRSVALRGHSGTLVVLGTFLLWFGWYGFNPGSFLNILKAYGHTAAPYYGQWSAIGRTAVTTTLAGCTAALTTLFGKRLLVGHWNVTDVCNGLLGGFAAITSGCAVVDPWAAIVCGFFAAWVLIGFNKLAEKLKYDDPLEAAQLHGGCGAWGILFTGLFAKQAYVNEIYPGLPERPYGMLMGGGGWLLAAHLVQILSIVGWVSVTMGIVFWLLHKFELLRIKAEAEMAGMDLTSHGGLAYVYNDEEKF >KGN59242 pep chromosome:ASM407v2:3:30480641:30485584:-1 gene:Csa_3G784370 transcript:KGN59242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLSASAHLMIVSDLDHTMVDHHDSENFSLLRFNTLWEANYRHNSLLVFSTGRSPTLYKELRKEKPMLTPDITIMSVGTEITYGLSMEPDVGWVEVLNQKWDKNIVVEEASKFSELTPQAESEQRPHKVSFYTQKDKAQAVTKALSESLEKRGLDVKIIYSGGIDLDILPQGAGKGQALAYLHKKFKSIGKLPNSTLVCGDSGNDAELFSIPDVFGVMVSNAQEELLQWHAENAKNNSKIIHASERCAAGIIQAIGHFNLGPNISLRDVKDFLDSKLETKSIGYEVVKFYLFYEKWRRAEVEESCLDHLKKSFNPSGVFIHPSGLEQSLADSLSSIQKQYGDSQGKQYRVWVDRVLPTKISPDSWLVKFDKWELSGKEQLCCRTTVILSSKDSNAAGEFVWLHIHQTWLEGSKSELSSTWLF >KGN58961 pep chromosome:ASM407v2:3:28573409:28575837:1 gene:Csa_3G739560 transcript:KGN58961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMKLGIKPEAFHREGQTWLCSSGLPSDVTIEVGDMSFHLHKFPLLSKSGFLEKLIEQLPNEDESGCVLKLRDIPGGAKAFELVAKFCYDVKIELTSLNIVSLRCAAEYLQMTEEHGEGNLISQTEVFLNEVFGNWTDTIKALETCEEVGSYAEEVHIVSRCIDSLAIKACADPQLFNWPVKGQENVRSPNGTVLWNGISSTTKPQPTGEDWWYEDVSFLRFPLYKLLILSVEAKGLKSESIAASLIHYTKKNIPLINNQLSFNDMNHVGSGITASTNSEVDQRFLLEELVGLLPSVKGVTTTNFLLRLLRTAMILHASPSCREILEKKIGSQLDQALLVDLLIPNMGYTVETLYDIDCIQRILDHFMSIYHVPIASSPCIVEEGKMVSGTDTLTPMTMVANLVDGYLAEVAPDVNLKLPKFQSLAAAIPDYARPLDDGIYHAIDVYLKAHPWLSDSEREQLCRLMNCQKLSLEASTHAAQNERLPLRVIVQVLFFEQLRLRTSISGWFFVSENLENSQHPNGNLELPKNDCSKAMEEGGGGKDVKERVSELERECLEMKMELEKVVKTKKSWSLIPKKLGFGRKSQPCIPKPDDIMEQTTSVSAPQNNEDGDLGQRVVA >KGN59541 pep chromosome:ASM407v2:3:32589309:32589528:-1 gene:Csa_3G824860 transcript:KGN59541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLLLLHFEDELDIHAEADADPEAIEIQTPDGAIEYCYYSVSPFGSHPPFSLSSSSPSITSS >KGN58681 pep chromosome:ASM407v2:3:27179207:27180555:1 gene:Csa_3G727990 transcript:KGN58681 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY transcription factor MKTRYSYNNYNINTIGWPSLPQPHKKRAREVSYPKSKRKKEHRQRDIDIMDCSWPDTTPFDRRKAADELLRGRELAQQLRAYLQISSTPASQDLLTRILSSFSKTLSILNHRCDSDDINGSIVDSPEDHGSRKSEESGDSCKSSTPNNDRRGCYKRRKSCQSWARESCDLVDDGHAWRKYGQKTILNAKYPRNYYRCTHKYDQTCQATKQVQRLQDNPPKFRTTYYGNHTCSNFLKASDIVLGSSNFDDSCSGVLLSFDTTAAPNFFLPHDPTLVKKEEVVTPDAGSGRDDEAVCSPSDYMSTADDHLSEVFMGSVVDFEDDDLPPFHF >KGN59670 pep chromosome:ASM407v2:3:33427123:33432194:-1 gene:Csa_3G837030 transcript:KGN59670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLQLPCVRIIDYSSSPLLRGSKSSSFWGRDLCFGNGGVRDGPRPRASFNGRLVKVFAMSDTSSSSFKMNLNEYMVTLEKPLGIRFAISVDGRIFVHSLKKGGNAEKSRIIMVGDTLKKASDSSGVNLIEIKDFGDTQMMLKEKTGSFSLVLERPFSPFPVQQLLLSNDLDILFNRGRVPIATWKKEILASNLQTSDESSGNSGFAAFSSNFLTSEGWKLLRDQNEDVKSHIQRNILTPQIGQLVGIFTEDEPGDGEWAHGSFPLDEYVKALERSKGELYYDHSRGMSYSKITEQIYVGSCIQTEADVEALSNNVGVTAVLNFQSATEAENWGINAKLINESCLKFDILMISYPIREGDSYDLRKKLPFCVGLLLRLLKKNHRVFITCTSGFDRSPASVIAYLHWMTDTSLHAAYNFITSLHSCKPDRPAIAWATWDLIAMVENGRHDGPPTHAVTFVWNGQEGEDVNLVGDFTGNWKEPVKASHKGGPRYEVEMKLPQGKYYYKYITNGQWRHSTSSPAERDDRGNVNNVIIIGDTASVRPSVQPQKKDANIVKVIERPLTENERFMLAKAARCVAFSVCPIRLTPK >KGN57677 pep chromosome:ASM407v2:3:15521837:15531072:1 gene:Csa_3G247890 transcript:KGN57677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIKRNLKTQMPNLKRCKHGDSVGEDDETSAARKKRKLNGYYPLNLLGEVAAGIIPLKLHDILGTNNKGITASWCTQISCSAMEMESKSNSRESLAREATKRPAEVPRPPLVRTSRGRVQVLPSRFNDSVIENWRKDSKTSLRDYSPDEEFKCEKEKFSFKTPRICNGTAKKVQNCGKLFVKCPALCEEEEDEPAGMEFKNFDFRKYSSSRSSLTSVHETVVEDEKFLVDVIGEDGNPKETKSKDGLYGPEDFYSGDIVWAKAGRKEPFWPAIVIDPITQAPELVLRACVPDAACIMFFGGNENQRDYAWVRRGMIFPFMDFVDRFQGQPELDRCKSNEFQIAIEEAFLAERGFTEKLIADINMAAGNTIADEFLFRGTQEATGSNQDPDCHSPPKRTSCIMEMYAKKKDGRHCEGCGQALPVKLVKKMRTSPGTQFLCKSCTRLTNSKHYCGICKKIWNHSDSGSWVRCDGCKVWVHAECDKISSNLFKDLGSTDYFCPTCKAKFDFELSDSEKSRPKIKGKISNDGMVRANKVTVLCNGVEGIYFPSLHLVVCRCGSCGTEKQALSEWERHTGSKSRNWKTSVRVKGSMLSLEQWMLQVAEYHANVVSVKHPKRPSMKERRQKLLTFLQDIVCSLGLLCQIAVHQECYGARNVRDITSWVCKVCETPDVKRECCLCPVKGGALKPTDVDTLWVHVTCAWFRPEVSFASDEKMEPALGILSIPSNSFVKICVICKQIHGSCMQCCKCSTYYHAMCASRAGYCMELHCLEKNGRQITKMVSYCAYHRAPNPDTVLIIQTPLGVFSTKSLLQNKKRAGSRLISSNRKEIEEVSEASELEPFSAARCQVYKRSTSVKKRTVEGAVIHKVMGPCHHPLKELRNLNTFNLPMVEEPKIFSSFRDRLYHLQRTENDRVCFGRSGIHGWGLFARRNIQEGEMVLEYRGEQVRRTVADLREARYRLAGKDCYLFKISEEVVVDATDKGNIARLINHSCMPNCYARIMSVGDDESRIVLIAKANVPAGEELTYDYLFDPDEPDEFKVPCLCKAPNCRKFMN >KGN56677 pep chromosome:ASM407v2:3:8198730:8199557:-1 gene:Csa_3G128870 transcript:KGN56677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSITYMHRFIALALSFFVLFNLRSISANDTLSRACELSAASDPNVRLDFCLQSLAAAPGSDTADLYELGALSIKLIAWNATSTRRYIERLLKNEKKSPDPYVRPRLSDCEELYIDAIKAVGDAAFEYGRNRYEEVNVKLSSVMDAVTTCEDGFKEMEGRVSPLTKRNGDVFELTAIALSILNLRP >KGN59652 pep chromosome:ASM407v2:3:33332312:33333370:-1 gene:Csa_3G835890 transcript:KGN59652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKVQMLEKNFEEENKLEPERKSQLAKKLGLQPRQVAVWFQNRRARWKTKQLERDYDVLKASYDLLVSNYDSIVKENAVLKSEVASLTEKCLAKELGGGEATIPSITSTSELLLADITNISVPHSGRKAEDRLSSGSDSSAVIDDNCPQLIDSGDSYFPNIEYPQCSNLPNGLHMEDDDTNDNCNYLFSDMFAATNQQNQEGRPPALWAWP >KGN59013 pep chromosome:ASM407v2:3:28861819:28863593:1 gene:Csa_3G743980 transcript:KGN59013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTHILLFPFMAQGHMIPMFDLAKLLAHHGFIITIVTTPHNAHRYHSVLARATHSGLQIHVALLPFPSTQVGLPEGCENLDSLPPPPSSVSAFCRATYLLYEPSEKLFHQLSPRPSCIISDMCLPWTLRLAQNHQIPRLVFYSLSCFFLLCMRSLKTNHSLVTSISDSEFLTLPDLPHPVEIRKSRLPTMKNEEMGKLSYDMAEADRVSHGVILNVFEEMEAEYVAEYRKSRDSPQKVWCVGPVSLCNDNKLDKAERGEKSSIHEDECMKWLNGQQPSSVVYVSMGSLCNLSTPQLIELGLGLEASKKPFIWAIRKGNLTDELQSWIMEYNFEGKIEGWGLVIRGWAPQVAILSHSAIGSFLTHCGWNSSIEGISAGVPMITWPLFADQVFNAKLIVEVLKVGVNVGEETALYWGEEKDKEVMVKREEVREAIEMVMNGENREEMKERAEKLAEMAKRAVEEGGSSHQNLKELVEELFKI >KGN59124 pep chromosome:ASM407v2:3:29755756:29758991:1 gene:Csa_3G776600 transcript:KGN59124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGGGNRKVSAASVSGGAHTRMKSKQSNSLRLSLGVFRKLLWLLLLGFSAWFYQLIQPPPPKICGSPGGPSITAPRIKLRDGRHLAYKEHGVPKDKAKYKIVSVHGFDSCRHDTAAARALSPEFFEGLGIYILSFDRPGYGESDPNPKRTVKSAAMDIEELADQLALGSKFYVIGGSMGGLIVWSCLKYIPNRLAGAVLIAPVINYWWSGLPENLSNEAFKWKPLQDQWALSVAHYTPWLTYWWNTRKWFPASSIIAHNPDVLSPADKNLIPKLSFRHEYAAQIRQQGEYESLHQDLNVGFSSWEFSPLDLKNPFPHNNGSIHIWQGDDDRVVSPKLQRYIAEKLPWIRYHEVSGAGHLFSYADKVYDSVITALLLEEK >KGN58418 pep chromosome:ASM407v2:3:25061193:25061660:1 gene:Csa_3G640600 transcript:KGN58418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKVDVKTTRTRLVPFEDSQFVLLRSADPFDDAQFPSDSTTLRSDAQFRAAPVPSSSVSHSTPTQIFALFCPTSSRRGSERSYFLSYS >KGN60364 pep chromosome:ASM407v2:3:38876190:38876753:1 gene:Csa_3G901100 transcript:KGN60364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIDPNTHKPRTDLNHFLNLSQLFNNGIPNPLTSDHLKLQAEIAKLQLLQNLCQLLNPTTAIPTTINSNGFQNPNPSQFQAGSSTGFASVSGFEDGDKINKANKLEEMGSFDYVIQPENYYQLPPLILESPDGSTSNVNQIESIETNPLCFSTNSNSPTPSSSIFDSLESLMNDDEASGSYWKNILQ >KGN58049 pep chromosome:ASM407v2:3:20840560:20841591:-1 gene:Csa_3G463190 transcript:KGN58049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEDKYYPSTYGEAKRDAFLDLKQGSLSVAEYERKYTELSRYTDVIVASEIETALRVEQSITEEKSAVELSRGASTVSGFRGREQQRFTPRVNISSSQDFKNRFGGQASRNMSYGSVFQRQSQSIPSQSTRSTLVTFYLSMRYYVIVKF >KGN59628 pep chromosome:ASM407v2:3:33166936:33170329:-1 gene:Csa_3G829180 transcript:KGN59628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDHISHRFSSGLELGNFIATSDLLEHSWNAITKRRSEIQFALPIYSVFHGYYDERLNCKIVAFVTAPNFTENPIEEHGGEDLVLVSDMVKDEFTAFEFLQSRTCSDSAINGVALELFRRFYPVYEQEISRLISNPNTQIIITGHGLGGSVASLFTLLLLDCIDLTKTKRPLCITFGSPLLGNEAFQNAISHFSTWSSCFLHLVSNQDPLPRKLLNNKAYYPFGTFLFCSQSGAGSCFEYPKSILKVLEATKAHNDVLLNASAFFDYKETIDRLIKQTNVKANMNVIIENAESWTGSFLAQLEAIGVAQNQAQQQQRVVDINRLVRTLKDNEMNMILENTKLAKTLNDVKINMARLEWYKKTCKLEDIGYYDRYKNPEKETDIKVAEFKKILQVYWENKVEEAERKPLRHGVPFDVKLLFGGTNYRRMVEPLDIAEHYRKGLTDYKSHRSKHYTKLEQWFEDAKTPDSSSMQGEAVSSILTVDSLFWVHVEEAHLACDVVREGDCSEEEREAELAKLTKFEDYVVELMRNYAVSSEIFLRRSTFMKWWKEYDEIVGDDHDSVLSRLMRNGEYEEYGNVRLDIS >KGN56369 pep chromosome:ASM407v2:3:6485887:6488372:1 gene:Csa_3G118040 transcript:KGN56369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVPNGSPHPDFYQHLVVMRHGDRFDNFDRSWSATAPRPFDPPLHNDGLARAFDTGRTFLNLLPFSFHRLFVSPFLRCVQTAAQVLLALSAANPSTTLKVSVEYGLCEMLTSEAIRPKVAPKDLNWGFDIPQLEAILPSGTVDHSVERVHKEMLPWEGTAVVTHRRYVHLFQTLADKYPSENLLLVTHGEGVGVAVSTFMEDTIVYGVEYCAFVELRRPVFQKGDSFAFGKFEVILREGQDGIKHVPNPEKE >KGN58619 pep chromosome:ASM407v2:3:26636299:26638800:1 gene:Csa_3G702570 transcript:KGN58619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGSLSTSNANHSRCPLQEQHLQRKQSRENLDRFIPNRSAMDFDYAHYMVTEGRKGKENPSFSSPSREAYQKRLAETFNMNRTRILAFKNKPPAPVELIPKEFFSSVSHDKPVKARRHIPQTSEKTLDAPDLVDDYYLNLLDWGSSNVLAIALGNTVYLWNATDGSTSELVTVDDEVGPVTSVSWAPDGRHIAIGLNNSEVQLWDSTANRQLRTLKGGHRMRVGSLAWNNHILTTGGMDGKILNNDVRIRDHIVETYRGHDQEVCGLKWSLSGQQLASGGNDNVLHIWDRSMASSNSATQWLHRLEDHTSAVKALAWCPFQGNLLATGGGAGDRTIKFWNTHTGACLNSVDTGSQVCALLWNKNERELLSSHGFSQNQLTLWKYPSMVKMGELTGHTSRVLFMAQSPDGCTVASAAADETLRFWNVFGTPEVAKPAPKSSVTEPFAHLNRIR >KGN56655 pep chromosome:ASM407v2:3:8036535:8041851:1 gene:Csa_3G127180 transcript:KGN56655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRNCRSFSSKLRLSSSSFDPSTTTSVPTFYRHVGVVRRALYPYSSSLYSSLSSSPSSSDPIRLGYSNSHGVRVFSSVADPSSLASAPAVSRVREVVDLARHYGSCYWELSKARLSMLVVATSGTGFVLGSGSTMDLAGLCWTCAGTMMVAASANSLNQVFEIKNDAKMKRTRRRPLPSGRITVPHAFTWATSVGLAGTAMLAAKTNILAAGLAASNLILYAFVYTPLKQIHPVNTWVGAIVGAIPPLLGWAAASGQISLNAMILPAALYFWQIPHFMALAYLCRDDYAAGGYKMFSLADASGQRTAAVALRNCVYLVPLGFLAYDWGITSGWFCLESSILTLAISATAFSFYRHCTMQKARRMFHASLLYLPVFMSGLLFHRLSDNEQTMEEDSSERMLDGLVQEDRYTAQENKTEQRQSVAQSRPPVAYASIAPFPFLPVPVYADS >KGN58213 pep chromosome:ASM407v2:3:22732720:22733284:1 gene:Csa_3G592670 transcript:KGN58213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYQSLAFLALIIVAITGAVAQGPTSSTPAPTTSSTPAPMTSSSSSSSSLDSTTTTTTTSSGSSIDSPSISAAPGLSPDSSAQSPTTATSPSGSPSIETSRLFSNGFFG >KGN55918 pep chromosome:ASM407v2:3:2552485:2553078:-1 gene:Csa_3G036440 transcript:KGN55918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLESASTFRYSIRRRCGVEQSLQIRLGRIVRLLSGSPSAGLPYEILQESASIPVHEATFPLPLRDVEDSCLCEIYILQLLSSFNLGTVTSGIISKKITSMVVEVLGAGDYDAKFEMVAEIDHIRMYFWTEEEERSWVGRGNNSERRGVVVEERVVLEGVPGRRRAAWSCGGGEGGSRGSSGEEKGEGEGEGEKGWV >KGN58573 pep chromosome:ASM407v2:3:26293506:26296939:1 gene:Csa_3G687740 transcript:KGN58573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTSSLCSLPAQSPTLPSISLSSLPSLKTHLPQQPHFPFPPFSTKLGPNIISNDTLTLPPPPEAAVLAVEAAGEHVLVEAKGFSEDWSSKNVEKMEESCVGDGTVAWKKKRRKRRKEVGVKAEENWIALSSGPLRPGYLSPKEEAELCLCLKEAVLLENVKTRIMETQEHEPTNKQLAIAMGTKSGCIDRILCRARESRDRLIRCYSKLVISIAAPYQGKGLSLQDLTQEGNIGLLKGAERFEPNRGHKLSTYAYWWIRQAIIRALDKKSRLVRLPGHMGQMVARIAEENNHLGIKLSRPPTNKEVAESLKVHLSTIRLVMERSKRPISINQPVNDRSCLTLQEIMPGPDNLTPENMVMRKLMKQELKRLLNTLSKREARILSLYFGLNGEIPQSFEEIGKSLNLSRETIRQTNIATLSKLKKTDVLDYLKDYLV >KGN57267 pep chromosome:ASM407v2:3:11859815:11862950:1 gene:Csa_3G175680 transcript:KGN57267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTWRAPQRDNVAPSASTGPHVSSRALYAIAFSINNQKPIEFLLLPSFHFSIKIFPLSFRFFSVLLSSPFRLPLLLHFFATFLR >KGN57380 pep chromosome:ASM407v2:3:12625339:12629874:1 gene:Csa_3G182160 transcript:KGN57380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVVRFKEKERKKIKLTLLPPLSLPRRPPILFPPQLRQLPATTSKSLPSFFRAGVARRCRRNFPFTCRLPPYLTENLVWDVIDGQQS >KGN60087 pep chromosome:ASM407v2:3:36745896:36748903:-1 gene:Csa_3G876590 transcript:KGN60087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIIYALVARGSVVLAEFSATPTNASSISRQILDKIPGNDDSHVSYSQDRYIFHVKRTDGLTVLCMADDTAGRRIPFAFLEDIHQRFVRTYGRAVHSANAYGMNDEFSRVLSQQLEYYSNDPNADRINRLKGEMSQVRNVMIENIDKVLERGDRLELLVDKTTNMQGNTMRFRKQARRFRNTIWWKNVKLMVMLIILLLVIAYLVLAFVCHGVTLPTCL >KGN60301 pep chromosome:ASM407v2:3:38420678:38425471:-1 gene:Csa_3G894490 transcript:KGN60301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKDATWHHMGFRNPLALLGAKKHGSILPEFPLKVAAVHRESHNFFSGSVSRMSAPLTPSKRSRDRSSVKSNGKGKWQKSSGSRSRRNQSFKLSPGYAVFRVLFPVSRIDSLVGRDGDGLSKIREETGVEIRVEDTIPGCDERIAVIGGSNQETEVNPEKKSKEDNKNSEVEENDGDIAKLKKKEDKDSPPVEDAKQKEVTHSQLRKALFLVSEKIFDEEPEADGTDVEGDKLPTFILRLLVLSSQVGCLLGKGGSVVKQMSSDSGAQIRILPRDKLPPFVATNVELVQISGGIDVVKKALELVFQQLIENPPNDKDPVASSNAAQSSRSSGQSLSRAHESPRGSSFNTHGGPYSVPRDVGNFHSSAPSLAPKQYEACIPGRSKPSHEILSYRLLCPTERVGNVIGKGGAIVKTLQQDTGCDIKVVDGALDSEDRIILVAGPAHPDDRISPVQDAVFRVQARIVKAAADSKEQNLVARFLVSSNQIGCLLGKGGSIIAEMRKSTGAYIRILGKEQIPKCAGEDEEVVQINGEPETVQDAMFQITTRLRHHFFRDAFPSVNSHSNPAFIDRLPSFPSYFGRRELSPPGIYSSLGPSFHKFDALSGIPSLSDLRDDRPPFLHRPGAPLLSDRKPWSSQGLVEGGVGLSDFAGAHHRRIAGFGGGNSPAIITSTTVEVVVPRNIVPVICGENGECLKQIRQISDAKITITEPKQGDVETMIIISGTPEQTHAAQSLIQAFVISETESS >KGN59831 pep chromosome:ASM407v2:3:34792173:34792452:1 gene:Csa_3G848890 transcript:KGN59831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTLQHSPIRRAPRGIHSPLPITLTGLARESLTALRSPSTHITVTDLPHQTTAFLAADVLGFPATSPGSCRTFRTS >KGN60485 pep chromosome:ASM407v2:3:39741141:39744645:1 gene:Csa_3G915140 transcript:KGN60485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENTRIRRPKTPALPPPPSPGSKSRSSPAITLPDNNSCAANTSQRSTIHRSKSVTKSRNKNDKDEENLNPLNCKTKAGFTKFLKSSPATSPSAWALSPGRSLGSPLVLSPLTAVEHAATDGRRGKLGSQRGGAVSGVLRFFKPKKAAAMMEAEELHRFRILQNRLLQWKYANVRAETSMANVKTLVQDRIFSVWLHNLRMRNRILEKRIEVEKLRKEIKLYRIIFPQVSLLKQWAKLDKRNQESVGSLASILSTFSLKLPLLHGAKIDTKAFQQALSMAMEVMVKLEAMITKRASQVIHLSSLAVI >KGN58486 pep chromosome:ASM407v2:3:25633800:25635434:-1 gene:Csa_3G651720 transcript:KGN58486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPFQPPPATNPPTDRTRRRPHLNLHLPQRDNTSLAVPLPLPPTSSNSAPPPSTSQLHNANRPPDPLPPQRHPFTLSDFERVSRIGSGCGGTVYKVLHRPTGHVYALKVIYGNHEDAVRLQMCREVEILRDVDNPYVVKCHDMFDHNGEIQVLLEYMDRGSLEGTHIPQEHQLSDLARQILSGLAYLHSRRIVHRDIKPSNLLINSRRQVKIADFGVGRILEQTMDPCNSSVGTIAYMSPERINSDLNQGQYNGYAGDIWSFGVSILEFYLGRFPLAVERPGDWASLMCAICMAQPPEAPATASPEFRHFIACCLQREARKRWTAAALLEHAFITRKNGASQYQNKQAHHQNLRQLLPPPPLHPPSLS >KGN58225 pep chromosome:ASM407v2:3:22858467:22859713:1 gene:Csa_3G596250 transcript:KGN58225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLPPPLSYFRANRYLLFLLPWSSSANTKELRNLLLCFMELEAEHAKNIEETIVDAGESLKLKGLVRWKRLSFLGIL >KGN60290 pep chromosome:ASM407v2:3:38318794:38319241:1 gene:Csa_3G893400 transcript:KGN60290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCCFFCCCLHWEKLRSFLGCPDHLHHHHPPIPQPQSPAPDKVSPIHSIWKENRPQSVSVLMPGDEVPRFIAMACPALVEIVVQKPSQSISDNP >KGN58336 pep chromosome:ASM407v2:3:24171936:24172391:-1 gene:Csa_3G624040 transcript:KGN58336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAPSRVFPCLFCSRKFQSSQALGGHQNAHKKERTAARKAKRICDYSVSPPSPFPAPTSLVFAASQQQHHPGLLPHPVYIATHGANLHCFPNNQQLLYENMVFCGGVCPSNRYHQDEENLLNWERNIKKDLSRINHDKEKEQKLDLSLHL >KGN59872 pep chromosome:ASM407v2:3:35128832:35129101:-1 gene:Csa_3G851730 transcript:KGN59872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKELCCSTRFVEVHHPTEVIMLVLKCSSEKSIDEEFQASLVPKVDKELAKCLEQKGTLP >KGN57991 pep chromosome:ASM407v2:3:20029307:20042751:1 gene:Csa_3G423790 transcript:KGN57991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSTKLKSVDFYRKIPRDLTEATLSGAGLSIVAALSMVFLFGMELSNYLSVSTSTSVIVDNSTDGDFLRMDFNISFPALSCEFAAVDVNDVLGTNRLNITKTIRKFSIDSNLRSTGSEFHSGPLSNLIKHGDEVDEEANEGSAVLNTRNFDRYANQHPILVVNFYAPWCYWSNRLKPSWEKAAKTIRERYDPELDGRILMAKVDCTEEGDLCRKHHIQGYPSIRIFRKGSDVRDDHGHHDHESYYGDRDTDSLVKTMEDLIAPLPAGSQKLALEDKSNNETGNVKRPAPSAGGCRIEGYVRVKKVPGSLVIAARSESHSFDASQMNMSHIISHLSFGRKISPKAFSDAKQLIPYIGISHDRLNGRSFINQRDLGANVTIEHYLQIVKTEVLTRRSGKLLEEYEYTAHSSVSQSLYIPVVKFHFVLSPMQVVITENQKSFSHFITNVCAIIGGVFTVAGILDALLHNTIRLMKKVELGKNF >KGN55704 pep chromosome:ASM407v2:3:805509:807682:-1 gene:Csa_3G006660 transcript:KGN55704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISILESCKKRKRRPKLFGFQTFGDPGSPINPTGPFRENIRIFLQQCAEIEDYRIQEMPIWCTLLVHENKSFVVPLYTIEEDVKLSPKPYCDQCRCSGWSNHFVSKRKYHIVIPLDDRWNKRLDDGGFDLDDQTHLLHGLIHCNGFGHLLCVNGIEGGSKFLCGREVMDLWDRICTNLRTRKITVEDLSKKRSMDLRLLHGVAYGHPWFGRWGYRFCRGSFGVKEHHYSRALEILSSLELDKIMHEVDYSDRGREVKQIIRHYRNLSETQLITLKDLLKFMLTVKYVSAIEKKTVQPIAKSPPPCRQSLQRNKQQSLVKEKQIRYRKFATAISNMDSRWPARRLEYAAEVIVKALEEKKSDKFSHGGNGMTRQDVRDAARLHIGDTGLLDYVLKSLNNVIVGNQIVRRAVNPKTRILEYTIHELRNGIQLTEEQESTENSEPTVTPGKDIYNDVLCIYRSIFLDYPESEMVELATQGVLDSKHFAKEWPLQDEEEHLLTFIIKLMPRLTFTHTDLELKSDFMPSGEVVVLPLHTTIGEVKEAAEKALRDTYYVTEQFEVLAIENLENYEDREVIFGAVESGAELFVKGMGIDLDTPLKYQGGVGTWKVRCECGTGDDDGERMVACDICEIWQHTRCCGIDDADNVPLLFVCAACCDSLGQLKI >KGN56560 pep chromosome:ASM407v2:3:7474320:7480634:1 gene:Csa_3G124800 transcript:KGN56560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNDEQVRTLFGISLTDRPKWHQFLICSSGFFFGYLVNGICEEYVYNKLQFSYGWYFTFIQGFVYLFLIYLQGFTAKQMVNPWKTYVKLSAVLMGSHGLTKGSLAFLNYPAQLMFKSTKVLPVMIMGAFIPGLRRKYPPHEYISAVLLVVGLIIFTLADAQTSPNFSILGVVMISGALIMDSFLGNLQEAIFTMNPETTQMEMLFCSTVVGLPFLIPPMLLTGELFKAWTSCSQHKYVYGVLVFEAMATYIGQVSVLSLIALFGAATTAMITTARKAVTLLLSYLIFTKPLSEQHATGLLLIGMGITLKLLPDYKPKNKASSNVRTSKPPANNGKDNEMAHQIEIEKDEERRPLV >KGN57956 pep chromosome:ASM407v2:3:19645888:19646991:1 gene:Csa_3G408540 transcript:KGN57956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRGKTLGSGAAKKATSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELSKLLGDVTIANGGVMPNIHNLLLPKKTGTSSKNAGGDDEP >KGN55591 pep chromosome:ASM407v2:3:125073:125991:-1 gene:Csa_3G000150 transcript:KGN55591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPYIRALTANTSSAPPPEAVALESDFVVILAALLCALICMVGLIAVARCAWLRRGNQASANRGLKKKILQSLPKFRYKSTVGDGKIAAECAICLAEFLEGEEIRQLPQCGHCFHVSCVDTWLGTHSSCPSCRQILVVARCQKCGQFPADVQGGGAHNNEEEEVEDNINNNNNNRGLGFLP >KGN59959 pep chromosome:ASM407v2:3:35823262:35823582:1 gene:Csa_3G856020 transcript:KGN59959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIIRERIEQYTREVQIVNTGTVLQVGNDIARIYGLDEVMAGELVEFEEGTIGIALNLESNNVGVVLMGDGLLIQEESSVKATGRIAQIPVSEAYKRHNHYNKSRI >KGN58020 pep chromosome:ASM407v2:3:20465159:20469793:-1 gene:Csa_3G435010 transcript:KGN58020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSFSSFSSSSTSIHFSAPASDHLPFWNRGSSPMDHNGGNSRGAPGDSLTGGDFHYVLEDVPHLTDYLPDLPANLNPLQDNPAYSAAKQYFVNVDDAVAQNVVVHNNSPRGTHFRRAGPRQKVLFESDEVHACIVTCGGLCPGLNTVIREIVCGLYRMYGVHRVVGIEGGYKGFYARNTIPLTPKSVNNIHKRGGTILGTSRGGHNTTKIVDSIQHRGINQVYILGGDGTQKGAAAIFEEIRRRGLKVAVAGIPKTIDNDIPVIDKSFGFDTAVEEAQRAISAAHVESESNENGIGLVKLMGRNSGFIAMYATLASRDVDCCLIPESPFYLEGEGGLFEYIEHCIKEHGHMVIVIAEGAGQELMSESIHKLDQQDASGNKLHQDVGLWISQQIKDYFKEKRKMVINLKYIDPTYMIRAVPSIASDNIFCTLLAQSAIHGAMAGYTGFTVGPVNGRHAYIPFQRITERQNKVVITDRMWARLLSSTNQPSFLHPKHVSDEQNNKNLSVQLVSSFRKENHVEENNVEKLK >KGN58693 pep chromosome:ASM407v2:3:27228630:27232390:-1 gene:Csa_3G728100 transcript:KGN58693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCFTVWKTKKKRPDQPVYVKPVNTKEEHLPAILPEPQLETRSLQSAPPSFRTRVKPIQPVNNKVSSNRARALSAPSYLDAAEQDALGAIDYDAHDEPKLYIGLNKEQKSSGPQPLPLPSPQATASLKISGSFKSVTSSSSGSLYSSGPLPLPPTGSLRNFSFEEVSAACHHFSSDRCMSEGLSSFIYKASFGDDSSSLRKFEATVSRLYSSNQGLREFVNEVNTLASFQHPNLCKLLGFHARDGSEQRMLVYERLFHGSLDRLLYSRSEGPLIDWNSRMKIALCAAQGLAFLHEEGPFQAMYNEFSTANIQIDKDFSAKLSGYGCVGHIPDPEIPNNPVIGASLSVETLERGLLTPKSNVWSFGIVLLELLTGRRNLDNRHPKEERNLVKWSRPFLTDDCRLSLIMDPQLKGRFPSKASRIVADIAQRCLLKEPSERPTMRAIVERLSSIQDVKYSCRFPLQEPASFSGKQISRSPSLNGIITPAPRMSFSPSPPSGARLSVSPSSSRRTMPLTLPPRACSSHSLEELDRQGSRKSSSSAFRRTGVEGF >KGN58873 pep chromosome:ASM407v2:3:28090325:28091637:-1 gene:Csa_3G734260 transcript:KGN58873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCCGGNCGCGSGCKCGNGCGGCKSFPDLSFSETSATIETFVVGFAPQKMSYEVAEMGAENGCKCGDNCTCDPCTCK >KGN59364 pep chromosome:ASM407v2:3:31382551:31395010:1 gene:Csa_3G813820 transcript:KGN59364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSSSSAEEDGNGSDVEDASLWAEIERLPTFKQLRSSLFDITNDKGEVKKKRRRVVDVTKLSNEERGLFIKKLIKNIEDDNVKLLTKVRDRIHRVGEKFPTVEVKYKNVHIEVECEVVHGKAIPTLWNSLQSKLYEIIKFCGVKSNKAKIDIIEDVSGIIKPGRLTLLLGPPGCGKTTLLKALSGNLNKSLKFSGEICYNGHKLEEFVPQKTSAYVGQHDLHIPQMTVRETLDFSARCQGIGSRADIMKEIIKKEKEQGIIPNTDIDIYMKAISIEGLKQSLQTDYILNIFGLDICGDTLVGDAMRRGISGGQKKRLTTGEMMVGPNKALFMDEITNGLDSSTAFQIISCLQNLSHLTNATILISLLQPAPETFELFDDLILMAQKKIVYQGRRDQVLNFFEHCGFKCPKRKSIADFLQEVLSRKDQPQFWYRNQTPYTYVSIDTLSRKFKCWNNNNNNERKVEGENLKPFDNDREDQYYSKNDDGILLNNTGQKINNYSVSKWEVFKACASREFLLMRRNSFVYVFKISQLFLIASITMTVFIRTEMKTDVEHGNYYMGALFYSLNMLLVDALPELAMTIHRLEVFYKQKQLLFYPPWAYVIPPAILKLPLSFLQSFLWTSLTYYVIGYTPEVSRFFRHFLVLFALHVSSVSMFRMMALVNQHIVASTLSSFVILQTMIFGGFIISHPSMSAWLRWGFWVSPISYGEIGLSINEFLAPRWQKIQGSNVTIGHIILQSRGLDYHQYFYWISLAALFGFALIFNFGFALALTFLNPPGSSTAIISYEKLSQSNINADANSAQNPLSSPKTSIESTKGGIALPFRPLTVVFRDLQYYVDMPSGMRERGFTQKKLQLLSDITGALRPGILTALMGVSGAGKTTLLDVVAGRKTSGYIEGEIKIGGFPKVQETFARISGYCEQTDVHSSQITVEESLFFSAWLRLAPEIDSKTKAQFVNEVLETIELDSIKDSLVGIPGVSGLSTEQRKRLTIAVELVSNPSIIFMDEPTTGLDARAAAIVMRAVKNVADTGRTIVCTIHQPSIDIFESFDELILLKTGGRMIYYGPLGRDSNKVIEYFEHVPGVSRIRENYNPATWILEITSSGAEAKLGIDFAQVYKNSSLYENNKELVKQLSAPPPGSRDLQFSNVFAQNFARQFGACLWKQNLSYWRNPRYNLLRILHTVASSLIFGVLFWKKGKKLENQQDLFNNFGVMFASVVFIGIYNCSSVFPNVSRERTVMYRERFAGMYSSWAYSLAQVIIEVPYVFVQAAIYVIITYPMIGFYGSAWKIFWCFYSMFFALLYFKNLGLLLVSITPNYHIATILASAFYVTFNLFAGFLVPKPRIPRWWIWFYYMSPTSWTLNCLLTSQYGDIDKTIVAFGENTTVSTFLRDYFGFHYNQLPLVRFILILFPVVFACLFGLCIGRLNFQKR >KGN56050 pep chromosome:ASM407v2:3:3539291:3539541:-1 gene:Csa_3G061540 transcript:KGN56050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREIEKASDYSSGWVFKPVRYNHKLDLSDNELTWKPSPPYRRTRCLRNGEPSPVDVI >KGN58613 pep chromosome:ASM407v2:3:26569215:26588416:1 gene:Csa_3G698540 transcript:KGN58613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKSNKLKNRKGAHHAPNSSEVVVGSGASKDVNTALESKAELVESAEESSDIKADIKESETATPESQPKQGELHLYPICVKTQSGEKLELQLNPGDSIMDIRQFLLDAPETCYFTCYDLLLHTKDGSVHQLEDYNEVSEVADITIGGCSLEMVPALYDDRSIRAHVHRTRDMLSLSTLHASLSTSLAVQYELAQKNAAATTGDTAKTEVPELDSLGFMEDVSGSLGSFLSSSSKEVRCVESIVFSSFNPPPSYRRLTGDLIYLDVITLEGNKFCITGTAKHFYVNSSTGNVLDPKPYKTAYEASTLVGLLQKISSKFKKAFREVLEQRASAHPFENVQSLLPPNSWLGAYPVPDHKRDAARAEDALTLSFGSELIGMQRDWNEELQSCREFPHTTPQERILRDRALYKVTSDFVDAAISGAVGVISRCIPPINPTDPECFHMYVHNNIFFSFAVDVDLEHISKRSASDGNSKVQGTSSLHGLSEKAIDNSLHVDIRLSNGERCNSSCTSEVNGITESSPDGSTETQLTESEQATYASANNDLKGTKAYQEADVPGLYNLAMAIIDYRGHRVVAQSVLPGILQGDKSDSLLYGSVDNGKKINWNEDFHAKVLEAAKRLHLKEHSVLDASGNVFKLAAPVECKGIVGSDGRHYLLDLMRVTPRDANYTGPGSRFCILRPELITAFCQAQAADQLKSKVESEGTTSVVDSPEVADAGKQEEVSAVASDGNDTSKDEKTEDLKESSLSQNDIFFNPNVLTEFKLAGSPEEIEADEDNVRGASEFLTNVVLPKFIQDLCTLEVSPMDGQTLTEALHAHGINIRYIGKVAEGTRHLPHLWDLCSNEIAVRSAKHILKDVLRDTEDHDLGMALSHFFNCFFGSCQVLATKAASNTQSRTPKKDQMGHHHSSGKVSRGQARWKGRTHAKKRQSSYMSVNSDSLWADIRGFAKLKYQFDLPDDVQSCVKKVSVVRNLCHKVGITVAARKYDLSSAAPFQTSDILNLQPVIKHSVPVCSEAKDLVETGKLKLAEGMLSEAYALFSEAVSILQQVTGPMHREVANCCRYLAMVLYHAGDMAGAIVQQHKELIINERCLGLDHPDTAHSYGNMALFYHGLNQTELALRHMSRALLLLSLSSGPDHPDVAATFINVAMMYQDIGKMNTALRYLQEALKKNERLLGEEHIQTAVCYHALAIAFNCMGAFKLSHQHEKKTYDILVKQLGEEDSRTRDSENWMKTFKMREVQMNAQKQKGQALNAASAQKAIDLLKSHPDLIQAFQAAAVAGGGSGSSGAPMNKSLNAAIIGENLPRGRGVDERAARAAAEVRKKAAARGLLIRQPGVPVQAMPPLTQLLNIINSGMTSEAVDNSETDGEKKEVNTNPSNNTLVDGKQEQAPVGLGSGLASLDAKKQKPKSKAAV >KGN57892 pep chromosome:ASM407v2:3:18619799:18620319:1 gene:Csa_3G375710 transcript:KGN57892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFTFETKFRVSPQIIKPKAVPDLRFQGISILFQLTVKKSESFARPSNSLFQSVLHPILRIIFGVFKPLVASPLFPIQ >KGN60283 pep chromosome:ASM407v2:3:38263212:38268441:-1 gene:Csa_3G893330 transcript:KGN60283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTEVTETPLTVDELLEKEDISPIEEVRLTVSTTDDVSQPVWTFRMWTLGLISCCAMSFVNQFFSYRREPLVITQISVQVASLPIGRFMAATLPTRKFRIPGFGSKEFSFNPGPFNMKEHVLISIFANAGSAFGSGSAYAVAIVTIIKVFYWRSIAFFTSWLLVITTQVLGYGWAGLMRKYVVEPAHMWWPNTLVQISLFRTLHEEEEEGERRISRIKFFLIVLAASFTWYIFPGYIFQTLQSISWVCWAFPHSVTAHQLGSGFSGLGFGSFSLDWSTVASFLGSPLITPFFAIVNIFVGYVALIYVVIPIAYWGLNVFNAKTFPIFSSYLFTSSGQVYDITSIVNDNFELNQEAYAQVGRVNLSSFFAITYGFGFAAIAATLTHVALFHGREIVKKFRASSEGREDIHTRLMRNYKDIPTWWFHIVLLGAIAASLALCIFLKKEVQLPWWGLLFAAALAFIFTLPISIITATTNQTPGLNIITEYLMGVILPGRPIANVCFKTYGYISMAQAVSFLNDFKLGHYMKIPPRSMFLVQLIGTVIAGTINVSVAWWLLSSVDQICHQSPSSNSPWTCPGDRVFFDASVIWGLVGPKRIFGSQGNYPALNWFFLAGLLGPSLVYLLHRIFPNQSWIPLINLPVLFGATASMPPATPINYNSWILVGTVFNYFLFRYRKKWWQRYNYILSAALDAGVAFMALLIHFAFGVRDVHMNWWGSNPIDTDHCLLASCPTAKGVVADGCPVF >KGN58730 pep chromosome:ASM407v2:3:27416206:27417003:-1 gene:Csa_3G730940 transcript:KGN58730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDNGEFRLVSSAIDHEGRLPRKYTSEGQGAQKNKSPPLEWYNLPKGTKTLALVVQDIDAPDPSGPIVPWTVWVVVNIPPTLKGLPEDFSGNQQGLGGDYATIQEGNNDEKVPGWRAPTLPSHGHRFEFKLYALDDHLNLGNKATKDKLLEAIEGHVLGEAVLMAVF >KGN56682 pep chromosome:ASM407v2:3:8220200:8222715:1 gene:Csa_3G128910 transcript:KGN56682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRRASTLLARPFLSARTRSFSTDLPAAGSNQDAFVSAWKKVIPNIDPPKTPLSFMAPRPATPSSIPSKLTVNLVLPYASELSAKEVDMVIIPATTGQMGVLPGHVPTIAELKPGVLSVHEGSEIKKYFVSSGFAFIHGNSYADIIAVEAVPIEQIDAAQVQKGLAEFTQKLNSASTDLEKAEAQIGVDVHSALNSALTG >KGN57930 pep chromosome:ASM407v2:3:19253335:19256365:1 gene:Csa_3G394670 transcript:KGN57930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADASNKISSRSSTLPFKSKKNLGSNSSSLLKDPQSLSSRTPEKPVERNRKRKVALSIKEVKQAAQSVHESNRQLHHDLTTRGSKLVRRQMDSWSNESQSSRSKTCVDNKSNKLPEKFELLCKFFDCLDSAMRLLRFKGVASNFSSVCTKIEVLTDRRFSYSHLAQLKFILPEAIMLKKVVVFYGLTSCMKPDLHISFNFGVLESKEDQYMQLRKLFRTRLSEFVSSHPEIDDIPKDSLPNPFNFRSLNLFPETNSLSSVKTSIEQLAPEQPLPSAEDIPSNHHSENNQGFRIIKSTMTGHGPNKQKELFGLSHFSPVSRLFSQKAVNIDVQTFDASSTKPSSPIKLSSNSTSNLHCLENYASPICSSSLPNPTTPSNMVGIVTMRKEDGQSAKVNDIDSTPVKFVSTSDQLMASTPAMAPPKRSSMTPDDDFSYSTNKLVRRPPRSRSLVFDTPTKEDKNKDEIDVSLDNDILDVLSESLVQSIREKERKIKEEQMPAITQAKRRQKLIANLPKLFNAIFFLYHKRTVVKREELLNKIITGSVDILDRREVEEQLDLLFELVPDWISQKLASNGGDVLVCINKLSNVESVSVRLKEAK >KGN59298 pep chromosome:ASM407v2:3:30871506:30871750:-1 gene:Csa_3G806790 transcript:KGN59298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGARWTIEKGRWGAERGSPLDCASLANCVRAHLEEFMSVHAVVVPDFGRELQESVVS >KGN60163 pep chromosome:ASM407v2:3:37297369:37299322:1 gene:Csa_3G881760 transcript:KGN60163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEIALLYQSSSSQDNDQVKSITVLCKHTISLISLKLRGVVGSVVSLVVFVVIVICALKFAKSAAPGIVRELRNITASPVVIAAPPVTVPYRTAPPRAASPSLELSRASEAIWRVDAPTMEKFFRKIAEEKPVRFTADQLYTFTSNYSTRLGSGGFGEVYKGKFPNGVNIAVKVLKRGSDKRAEEQFMAEVGSICRTYHINLVRLYGFCYDHFMSALVFEYLVNGSLDKYLFGKRKEIEWRKLHDIAIGTAKGLAYLHEECQQRIIHYDIKPANILLDANFSPKVGDFGLAKLCNRDSTHISFSGYRGTPGYSAPEFLLVNYPLTHKCDVYSFGMVLFEIIGRKSNAGTTVSGNPDWFPQHVWDAYENGKLEELIIGCGIGEEDREMASRACEVALWCVQDSPDGRPTMSVVVRMLEGGVEIMPPAKPFQYLNPIRTGNSSTSSQQTGSNSSGSSTSRDSNSQWYKKTTTIMRKYEIQMASS >KGN55917 pep chromosome:ASM407v2:3:2547429:2550169:-1 gene:Csa_3G036430 transcript:KGN55917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRSTPNSNFCKLLANGYRSRRGFCSSDTHSHFNNASGSMASRLNSRSVIRFRGPDTVKFLHGLLTNDVRRFGEPPSDKTSSLPTPNLAPVTVTPMYAAMLTPQGRFLYDLFLYRPPKPDEKLNRTGSGPGPASDDSVELMADVDSSVLDELLVTLKKYRLRSKVDIENVADEFFCWQRFGEKLSRNASSVEEPEAANIGWGASVDPTAMSASRGEDIGWQWFQDPRLECLGFRGIFPSNQTPPLIEADKETDEDNYVLWRLEKGVAEGSTEIQKGEAIPLEYNLVGLNAISFDKGCYVGQELVARTHHRGVIRKRVVPLKFLNDRGEDAEQKVLAGSEVINSASNKKAGNVIAALGCRGLGLLRLEEAFRGSQSLAIQGLEGVKVEAVKPDWWSAEWLQEH >KGN59812 pep chromosome:ASM407v2:3:34609590:34625161:-1 gene:Csa_3G848220 transcript:KGN59812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEGSRISDCEEVPGEVMHVLGTEKEVVETGVEDREGEEEEEEEEEEGEEEVEDEGEDDIEEEDGYTFKFKAGENPFDFVEGTDFSVQPYKKFERLEYEALAEKKRKALANGQSERAAKRGRVEDISGASFDEILEAMNYGSRRKLKEPKKRGRRKGSKKKLNRDVTKLLGDATLCYAQGEHEKAISLLRQVVLRAPDLPDSYHTLGLVYNAIGDDVKAMGFYMLAAHLMPKDSSLWKLLFSWSIDRGDIDQASYCLSKAIKAEPDDINLLFHRASLYLERGDCEKAAETYDQIHQQCLGNVEALMTGAKLYQKCGHLERAICILEDYIKGHPSEADLDVVDLLASLYMGSKEFSKALERIEHADRVYCAGNELPLNLTTKAGICHAHLGDLEKAECLFANLRRETTYDHSNLMIEVADSLMSLKHYSWALKYYLMSEEVNAGENMGILYLKIAECYLSTNEREQAIVFFYKVLQHVEDNINARLTLASLLLEEARDKEAISLLSPPKDSNPTSSSSSKLKPWWLNEKVKLKLCHIYRTRGLLENFVEVIFPLVRESLYIETLQEKIKVNKKKLPRRVLLERVKVLDGRETGNLFRGFKPVAPKSDLTKASRAKRLLQKRERIKEEKKAKALAAGVNLSYDDLDDEPALRMHRESPLPNLLKEEEYHILIVDLCKALASLGRCSEALEIISLTLNSTGTMHGFNFAKHVVKQYPYSISAWNCYYKVASCLTNRDSRHCKLLNSMQSKYKDCAPPYIIAGHQFTTISHHQDAARKYLEAYKIMPDSPLINLCVGSSLINLALGFRLQNKHQCVAQGLAFLYKNLKLCDNNQEALYNIARAYHHIGLVTLAVTYYEKVLATYQKDCPIPELFGENRNIKHQNSVYCDLRREAAYNLHLIYKESGALDLARQVLKDHCTF >KGN58439 pep chromosome:ASM407v2:3:25248383:25248745:-1 gene:Csa_3G644780 transcript:KGN58439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSYEDHQEELRRCGIQFRRRRRMAVARLGGKRSGRIVGWGRIVRKIRLKWVKMKCIEMVKKMKKYYKELMKDIMEAGAYGYADSYQHRLLLETSFAIPILGVSLSTHSSIHAATAATS >KGN57486 pep chromosome:ASM407v2:3:13630219:13630470:1 gene:Csa_3G198500 transcript:KGN57486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFYRWRRARNETRNVIRSGGSGRQTVRVKASQNAQSNGGPDLEDSETKPLYSLFPLRTQRRTEQQTTIIAKFLTPFFQPKLH >KGN60384 pep chromosome:ASM407v2:3:38986873:38988912:1 gene:Csa_3G902270 transcript:KGN60384 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor AtMYC2 MNLWADENASMMDVFINTDLSSFWVTPPQSQQLPQPSYSTPTDPSKAVGQTPPPPPPSSMSVFNQETLMQRLQTLIEGAQENWTYAIFWQSSYDYSGGTVLGWGDGYYKGEEDKGKEKAKSSSSIAEQEHRKKVLRELNSLISGSPTSEADAVDEVVTDTEWFYLVSMTQSFISGVGLPGQAFFDSNPIWVAGSDRLASSFCERARQGQVFGLQTMVCIPSANGVVELGSSDLILQSSDLMNKVRVLFNFNNLEVETWPISGVDQGENDPSSLWISEPSSNAIEIANPVPSASAPTPSTTNSQPISKITTETIENPNKSSVVVETPSSSVPPPSQKTHRQSQPTQTQSFFTNRELNFSEFGYENGRLKEGNSTSLKPESGEILNFGESKRSSSYPNTDNNLPSGNSLFGGDENKKKRSPTSRGSNEEGMLSFTSVVILPSSGGVKSGVCAGDSDHSDLEASVIREAESSRVVEPEKRPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNVSKMDKASLLGDAISYINELRGKLQTAESDKEDLQKQLDSVKKMMMSSSSKDSCMSSSNQPPPDQDIKSSNINHNDIETDIDVKIISWDAMIRIQSSKKNHPAARLMAALEELDLDINHASISVVNDLMIQQATVKMGSRLYTQEQLRIALLSKIGASTR >KGN59910 pep chromosome:ASM407v2:3:35484677:35487663:1 gene:Csa_3G852590 transcript:KGN59910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEFQFPFFNPKSITMLVFLFFISCYYLWQKWQQYKSTEPKQAPAPPGAWPIIGHLHMLHNVKLPHHALGAMADKYGPLFRLQLGSRSALVVSSWEMAKESMCVNDAAAASRPGVSGTKHFSYDFAAFGLAPYSPYWREIRKVTHMELLSNPRVDQFKNTMFGEVKTSLRELHETWAAQKDGSGQVEVEMKRWFGDVIVNMLLKIIIGKRCVGPNAEGGEKQAKDFQLAIRDSFHLMGQGLLRDYIPLIGRLGFNGQVKVMENIATRFDMVLREWLDEHKLNRTSSCCGRKDGDFMDALVSLYDGKEIEGYYDGDTIIKATTLNMVAGGTESTTVTLTWAMSLLINNPHVLERAQQELDTVVGRDRQLKESDIPNLVYLKSIIKETMRMYPAGPLLGPREFYKDCIVAGYFVPKGTQLIPNIWKIQTDPRVWPDPFEFKPERFLTTHKNVDLKGNNFELIPFGSGRRGCPGLAFGLQMVHFALAGFLHSFDVKNPTKEPIDMSENFGMANEKVVPLNVSVTSRLPSHLYTIN >KGN58482 pep chromosome:ASM407v2:3:25613538:25613784:1 gene:Csa_3G646690 transcript:KGN58482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTTLNYLFHTVPGQRSLSHQKIRSKAPPPPTTKLHSYKAALQITPSEVSLPVNPVKPPNLVDAPTP >KGN58143 pep chromosome:ASM407v2:3:21927150:21927434:-1 gene:Csa_3G556190 transcript:KGN58143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIGEFKTKVDASIQYTMERMRIRVVFQHSQGTLMKAVAEAKELTIELIAAQPYDIFVWVKKRYMSWGDREGFEIRCNEFLLRNCPIFFVNVIR >KGN57047 pep chromosome:ASM407v2:3:10265066:10265563:1 gene:Csa_3G150760 transcript:KGN57047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYDHGRQKPKQAFFNENWEMIEIKFNSEVMKFSKLFVVPTKENFTTAGLRQGWYQKSLLSHRNVLSETESFVILKSQVELEVIFLSSVEVVGSLLVYLEKRSSTILHHCQPYSQVMGWAVGGISIDDHMTCCVSKIKGET >KGN59355 pep chromosome:ASM407v2:3:31294395:31297933:-1 gene:Csa_3G812760 transcript:KGN59355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSIQSANLLIKFLTTLLLAPLNFIVFFFLDFLDAILCVIYRYLDQFLEGKVTASACYCGSRGDERENPDAENELSETLYGRRNVFRRIALIGFSRRCEDSEKMSGGSMWNRWSDCGCSSCVDGMENGNQKLYVDVRQPPQGRREKPEENVIFLHGFLSSSSLWTETVFPNLSETTKQNYRLFAVDLLGFGRSPKPRDSFYTMKDHLEKIEESVIHQFGLKSFHLVAHSMGCLIALALAAKYSKSVKTITLVAPPYFPSKDGAAMTVLENLAAKRVWPPLLFGSSVMSWYEHVGRCACFFICRNHRIWEWILRRINPKRNIDFRVIDLTKHTHHSAWHSMHNVICGGAKLMDGYLDELTKAGIKIDIYHGNRDVVAPIECSYNLKKKAVDATVNMVINANHQTIILGREREFTEDLESIWSNTADLERA >KGN58943 pep chromosome:ASM407v2:3:28474614:28474985:-1 gene:Csa_3G736905 transcript:KGN58943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYRLIENVRQCLDQRIQVLKVKPELPFKFLLCSFTLETACVPNQNVYSSSNKIQLYYSVIIDVRTCLLHLLADIHRAPGVQHVNIDKITETAQILIHHISFFELQCQNNIQSLRRLHQEEDT >KGN59099 pep chromosome:ASM407v2:3:29593068:29593256:-1 gene:Csa_3G769645 transcript:KGN59099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQHRSPNSPFCLEIVSKNDGSSIHMPPHDQNHQNTMNMIPKSIMKSSSYLHSIRHDSEQA >KGN57484 pep chromosome:ASM407v2:3:13577952:13585068:1 gene:Csa_3G198480 transcript:KGN57484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDCFSREMQANADSDCDISEDDEFDSIEGATEGKEELQLNLRLERLKGIRGKAKPKFTFHSQRKEQSCSVICEDRLCSASISKVHSLSETFDAITSRTDKYPVSECLEDLVEEFEDQFDAGPALNCGNTESSIAELLDGLKDKNSSLGGVMNGQFGGRMLPIIENRALVVSRYRRADSEDSPISVDDESLSDHEANDQKLKLAVLCTKEQSITDRFEEALVAACMDAERTIGLMPNPLGSVDLLFGSCSFDRIGLFGKLQRVMQSEKELEINFLNGLDCSTIPNGCIDVKILSRYLDAKLTVCSCLFIDMERSLLQNGDEFIATEDEKRTVIFSPRVCSNVELEVGNLIRINPPWKEVPVDDAHSIILSTYFTQLT >KGN57890 pep chromosome:ASM407v2:3:18586795:18589626:-1 gene:Csa_3G374200 transcript:KGN57890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNNKMKGLLKGLRYISQIFDNEKEPEMQIGFPTDVKHVAHIGWDGPSVNSPSWMNEFKHPNNGDIKQDASAQCVSQDSKRDMAVTSRDMPELPKSSKRQSSTVGGSVAESPTMRDKSEKVKSKKSSKARESSSSDNIGPSRRNSDLNQGSESPTESLPGVPKKGRRKKSKEEGSTKSRSKATAAETCSSQFSNNASDVGSISRSNDDDLLTGDGVFT >KGN59492 pep chromosome:ASM407v2:3:32311194:32319032:-1 gene:Csa_3G822430 transcript:KGN59492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLPPHCHYTSSNHHKMSYPVVCPCRSLNSTLPKTLIICKNSKNESAFEERKQVLVDYDNGKHEIRTLVNGLRKQDIPRRYQLRVKGERFQKDWTVTEVVQRILKLQRSGDVEALLNCWVGRFSRKNYPALMKELTQIGSIEHCVQVFDWMKNQRNYCARNDIYNMMIRLHARHNRIDQARGLFFEMQKWRCKPDVETYNALINAHGRAGQWRWATNIMEDMLRAAIPPSRSTFNNLINACGSCGNWREALRVCKKMTDNGVGPDLVTHNIVLSAYKSGAQYSKALSYFELMKGTNIRPDTTTLNIVIHCLIKVKQYGQAIEIFSSMREKRSECRPDVVTFTSIIHLYSVRGQIEDCKAVFSTMLAEGIKPNIVSYNALISAYASHGMDKEAFSVFDEMKRSGFCPDVVSYTSLISTFGRSQQPARAREVFDMMKRNKCKPNLVSYNALMDAYGSNGYLPQAVDILREMEQDGIHPNVVSICTLLAACGRFGQKVNIDSVLSAAELRGIHLNTIACNSAIGSYMNIGEYEKAINLYRSMENKTTKPDSVTFTILISGCCRMSKYEEALCFFKEMLDLRIPLSSEIYSSMICAYSKQGQLVKAESLFNSLKGSGCCPDLVTYTAMINAYSASEMWEKVCALYQEMEANNIQLDSIACSALMKAFNKGNQASNVLILAEIMKEKGIPFNDANFFEMLSACSILRDWRKATDLINLMEPSFHLVSLGTINHLLQFLGKINVWSLAKFSTPFTSIFCPQIQLFYRFVALGSSVNINTYSILLKNLLSAGKWRKYIEVLQWMNDAGIQPSHAMYNNILFFAQHCGDAEYAAVIKERVAQRLEILLRDTTGDFATKVSMFIAGISVITS >KGN59455 pep chromosome:ASM407v2:3:32039581:32040292:1 gene:Csa_3G821580 transcript:KGN59455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPLFQKRIPKLNSGNSKDSQEGETVMAPTLPILGNQGKPAGERLGRHEQRKDGDGNGGSSTERERGGGDNELLKERKRRSEEEEEGGVVEHVRVKDDDGG >KGN60416 pep chromosome:ASM407v2:3:39189373:39190754:-1 gene:Csa_3G903560 transcript:KGN60416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKLIVATDENGKKLYDNKAIIDLLLGLLHAGHHTPAYTAMWAIVQISQNSHIFQKAKEEQELIMKERPSTQKGLSFTEIKQMKYLMKFTNELLRRHTVAVASFREATANVHING >KGN59128 pep chromosome:ASM407v2:3:29774943:29775462:-1 gene:Csa_3G776880 transcript:KGN59128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGGCKQLLFSVHLWLWLWLSSSFLEIPFPLRVISSIPPTVASNSLQNHSHSCIEYSTAPSFDRLC >KGN57358 pep chromosome:ASM407v2:3:12517569:12519055:-1 gene:Csa_3G181940 transcript:KGN57358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEPTANPTPTPIDSYRSVPTPFLTKTYQLVDDRSIDHVISWNDDGSTFIVWNTMAFAKDLLPKYFKHNNFTSFLRQLNTYGFRKVVSDRWEFANECFRKGKKQLLCEIQRRKLVGPVPSTASNAAVVTTVGASAIPSVQVLTLTGNSSGEEQVISSDETPTRALAELIDENDRLRREKVQLTEQLDEVKSLCNNIFSLMSSFVESQFKNSFKVRESVLESAKSLDLFPVKRPAGEEGTAEVKEEEEERNQIGAKRAREYREGATERAEDDTTLRLQPPDRWVVKSERINCQK >KGN55628 pep chromosome:ASM407v2:3:321386:328187:-1 gene:Csa_3G002480 transcript:KGN55628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIEDDVESCGSRATDFSSSHVNPRHHRQKLEVYNEVLRRIQQSNFHEANLPGFDDQLWLHFNRLPARYALDVNVDRAEDVLTHKRLLQLAVDPSNRPVFEIRSVQVYPSANENFIDSSCLDASMMEDAQSSLNYSNRQGNHPPPTFGSSPNLEAPTFQGSKYGVEDRDSAPNVTSSFSRPMHEITFATSDKPKLLSQETEELKRVLEKEILNFKEQCWSEKQPSSALGKHNQNRVESFPSCVGIPTDGTDVWEMDISQLKFENKVGSGSFGDLYRGTYCSQEVAIKVLRPERINEEMLKEFSQEVYIMRKVRHKNVVQFLGACTKPPNLCIVTEFMSRGSVYDFLHKQRGVFNLPSLLKVAINISRGMNYLHQNNIIHRDLKTANLLMDENMVVKVADFGVARVQTQSGVMTAETGTYRWMAPEVIEHKPYDHKADVFSFGIALWELLTGEIPYSSMTPLQAAVGVVQKRLRPTIPKNAHPVLAELLERCWRHDPTERPNFSEILEILKQIAEQVDNSGENRRKKDKLSGALFSAFKKRHH >KGN56030 pep chromosome:ASM407v2:3:3394124:3394432:1 gene:Csa_3G047905 transcript:KGN56030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYSQNDTKRETQYRFEKKKKLKKRVSFVARRRELQLKMENSQRRMKVGEKKGRNEEEAISSTMSSMLTRDHRCDFTKKYLSFTPNNGQS >KGN56914 pep chromosome:ASM407v2:3:9465749:9466626:1 gene:Csa_3G143550 transcript:KGN56914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAEFAVTFKEAGRSVIATRRPWREFLDPSALSLPSSLSDATTRISHNLTRFLSNYCLVVLLLIFLGLIYHPFSMIVFLLVFVAWFFLYFSRDDPIRVFGFELDDLVLIIILGLATGLALALTGVFVNVLISLAIGAVVVCLHAALRSTEDLVGDMQDPFGDALLESPRGDYSGI >KGN60463 pep chromosome:ASM407v2:3:39597173:39599440:-1 gene:Csa_3G912950 transcript:KGN60463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIPNHLEPYLHCSINTFNEIRILFTSVPSHPSRLLSISSNQIGMDAFSSFFDSQQPSTNPWTYDSLKNFRQISPVVQSHLHQVYLTLGCALVASAAGAYLHILWNIGGILTALAGIGCITWLMATPPYEERKRLSMLMAAALLEGASIGPLIGLAIEIDPSVLVSAFVGTAVAFGCFSAAAMLARRREFLYLGGLLSSGISMLLWLHFASSIFGGSTALFKFELYFGLLLFVGYMVVDTQEIIERAHLGDMDYVKHALTLFTDFVGVFVRLLIIMVRNSVEKNEEKKKKRRD >KGN57895 pep chromosome:ASM407v2:3:18657181:18657459:-1 gene:Csa_3G379230 transcript:KGN57895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRHPIEFVDDKALRLRQLYLGDRSNMNGLELDKDYLTLTFESDEDVVKISLFYFVELAMIGRERRQHMDWTMLGVIDDLEDFVSYDWGELI >KGN56536 pep chromosome:ASM407v2:3:7354866:7357682:1 gene:Csa_3G122600 transcript:KGN56536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSMKFTDCSPSTTVRLFPSARTRLPSFDGAVLFHRLLDRSRPAFPRTFVSRSSASTLPVISASISTEATAEVIDGKLVAKQIREEITAEVSRMKDAIGVVPGLAVILVGDRKDSATYVRNKKKACESVGIKSFEVNLPEDCTEQEVLKYISDFNDDPSVHGILVQLPLPKHMNEQNILHAVSIEKDVDGFHPLNIGRLAMRGEEPLFVPCTPKGCIELLHRYNIGIKGKRAVVIGRSNIVGTPAALLLQGEDATVSVVHSRTKDPEELTRQADIIISAVGKANMVKGSWIKPGAVIIDVGINPVEDASSPRGYRLVGDVCYEEASKIASAITPVPGGVGPMTIAMLLSNTLTAAKRTHNFQ >KGN58493 pep chromosome:ASM407v2:3:25672922:25673341:-1 gene:Csa_3G651780 transcript:KGN58493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLDSVGLKKYWDMYEMGWVTESLVPETTRSAFPLFFGVETLRVLHINQNASSFSRSNFTPQPPHRIRYFLHFSFSPPT >KGN60088 pep chromosome:ASM407v2:3:36756863:36763785:1 gene:Csa_3G877590 transcript:KGN60088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLNRSPPKHRHDGTSPLPLGMDWSPPPRKWNGKDTVWPHDHHTGWSYCVIIPSWVALPKTRAADPIVFYRVQVAVQSPEGITAMRGVLRRFNDFMNLFSDVKKAFPKKMIPPAPPKGILRMKTRALLEERRRSLEEWLTKLLSDIDISRSVAVASFLELEAAARSSFQNDNQGPSGEYPDYSSKISAHQSPPNSSSSTLIGGLSLASDYGSDTAYEASELGTASLGRDDNSEIAIDDLALDDDLSSPIEKLVKYGLSNIDEGLFMGQTILEQLEGLPKHKAHPRYNNNLKDGHNGNTSKASYLDKNGLVPFVEPEHGKVIGHARKLSDESIASDASSLRGGEISSVSLRNSVGNGSLDHSGAEVSNAIEFHTNPELHFSHDALLFPKDHRHKLNRVLSTMHQRLVTAKTDMEDLISRLNQEITVKDYLTTMVKDLEVELETNKQKSKENLQQAILMEREKVTQMQWEMEELRHRSLEMELKLKSKEKKGENSFSVPTEEFTLQEKVALQEELESTKEQLKNVSKQFEELEGKSKADIRVLVKEVKSLRSTQAKLKQELSQTLQQKSETEELLQQERETRQHANKAWSKLLSECKSLHARLKKCSMNFSPDDDYNHDAESSSLSNALDLLTTSDDQLNLLLAEVNLLSDGIQTATPMADDDDNDSIKVDAELRMVLKDIFTENSRLRKQVNSYFRHNMRLRMSKEGDDAEASSSSSSSQNTKVNITTET >KGN55930 pep chromosome:ASM407v2:3:2642514:2645915:-1 gene:Csa_3G036560 transcript:KGN55930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLSSSILGKNLMQIHRLHRSQLDFPTSISSRNQSISGLFHRFPPQINGVSVRCSNTFLYSDWSLRFSISTRCVPDSSSESLRLDCDSSSSSPEIIDQKKTTFVEILKQSNSLLPHVVLASTLVALIFPPSFAWFTSRYYAPALGFLMFAVGVNSSEKDFLEAFKQPAAIFAGYVGQFFVKPLLGYLFGTIAVTLFGLPTAIGAGIMLVSCVSGAQLSSYATFLTDPSLAPLSVVMTSLSTATAVVVTPFLSLLLIGKRLPVDVKGMISSITQIVVAPIAAGLLLNRFFPRICEAIRPFLPPLSVLVTACCVGAPLAININAVISPFGLSILLLIVAFHLSAFIAGYSLTGLAFHGSPDVKALQRTLSYETGMQSSLLALALANRFFQDPLVSVPPAISTVMMSLMGFSLVMIWSKRKEKNMMKES >KGN58280 pep chromosome:ASM407v2:3:23498740:23502713:-1 gene:Csa_3G607120 transcript:KGN58280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVEDDAIRIRSFSHEHQSENLSSHVDIHSTNSVGSVPTRLESPTDKLTSFGNIHFDEEVKSKGLSSIKRSIEGNLLFLHLWNDVLVLMCVIATLLDPLFCYILLVDEEKSCIEFDNKLMVTVVVLRSLVDFGYILLIVFHFRIGYTAPNDASSGRLCTLATRYLLSYFTVDVLAVLPLPQVVILIVTQGTKASHLTALRSLKFILIFQYFPRVSRVYLFLKKVRWSSGILPDSAGVKALFNLFLYMLASHAFGAFWYLFSVERKASCVQIRCNSHPYCSRMNNNSSFERSCINDVCSGTASNVTTALDYGIFDDALNSGVVSSTDFIWKFSYCCWWGLQNLSSLGQGLKTSKDIWEIYFAISITIAGLVLFALLIGNLQTYLQATIARLEEMRLKGQDIELWMAYHSLPRDLRNRIKQYEKYKWRKTRGVDVANILNNLPKDLRRDTTRHLCLRAIKSVSMFQNTDEKFLDAVCSYLKPRLYIERNFIVREGEPLDEMIFIIHGKLWIYSNSSRSDEISGSSESLTKGDFFGEDLLKWVLKDPLLTTVPMSTKTVSTHTKVEAFVLTANDLKNVVSKFWWLISRELRNDPNLKERWAAWAAVVLQAAWRRYFKNKREREKCEQSVVVEIGNPQPAATTTSLHASRFIARIVRALNHRRKKGIGSNDEAGPSNSNGLQEQSNETPFPPESPHVL >KGN58925 pep chromosome:ASM407v2:3:28365280:28367624:-1 gene:Csa_3G736730 transcript:KGN58925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVGTIIAPRSFFSRNKQKSPSSLSSSSSSSPVIPLCSGRRPKDPRKDDDNDDQTRDKLSTDWDKAWSKFKKRGKKTMFSDFSPNKYVSWNPRRSEYPLSEEVDPIKRTERSNLMLWTSPRFTLAGAIVIITFLLVYTILAPINK >KGN56383 pep chromosome:ASM407v2:3:6558114:6563098:-1 gene:Csa_3G118190 transcript:KGN56383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVKSPPTRTRVGKYELGKTLGEGTFAKVKFAKNVENGDYVAIKILDREKALRHRMVEQIKREISTLKVIKHPNVCKIYEVMASKSKIYIVLEYADGGELFDKIAAKGRLKEDEARKYFHQLINAVDYCHSRGVYHRDLKPENLLLDSHDVLKVSDFGLSAFSQQVRGDGLLHTACGTPNYVAPEVLNDKGYDGSSSDLWSCGVILFVLMAGFLPFDEPNLMCLYRKISKADFAFPSWFSSGAKNLVRRILDPDPTTRISIAEIQEDPWFKKDYTPAHFEVEEDITLDDVDAAFSSSKEHLVTERKEKPVSMNAFELISRSPGFSLENLFERQKTVAKRETRFTSQSPANEIMSKIEETAKPMGFNVRKRDYKMKLQGDKTGRKGHLSIATEVFEVAPSLHMVELRKTGGDTLEFHKFYKSFSSGLKDIVWRTDENTVEGS >KGN57134 pep chromosome:ASM407v2:3:10848040:10848276:1 gene:Csa_3G164530 transcript:KGN57134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAHRDLSRNDLPKIGLEGFALIEEIYCKRLPKRRPRQVALAPPQSIIAIHPPQRMAESSPPIRCNQLAKTHEGESKS >KGN58508 pep chromosome:ASM407v2:3:25757724:25758430:1 gene:Csa_3G653400 transcript:KGN58508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEPTTGLYCKAAAIVMRAIKNVADTGRTIVCTIHQPSIDIFEFFDQAYNHNGMYKAIRDSQEVYIYPTSVLFHFSFIQVSSNN >KGN59611 pep chromosome:ASM407v2:3:33066373:33070719:1 gene:Csa_3G829010 transcript:KGN59611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPCKLTNELRIFVGTWNVAGRSPIGSLAVDLDDWLNLKDAADLYVLGFQEIVPLKTRTVVGAEDTTKATNWNLLIGKILNDKYGCPWLTPMMMNEATGDGDYTRNTNLNRPMRFPGGDQTPIKFQGRIPANRVMGGSRYVLLASKKMVGVFISVWIRRDLVRKYYISNVKVCSVACGIMGYLGNKGSVAVSMSIEGTSFCFVAAHLASGEKKGDERRRNHQVSEIFRRTFFARSPKDDEYPNPHHPPLTILGHDQIFWFGDLNYRLYLEDSFARQLIKKQDWEALQEFDQLRKEQEAGGVFQGWREGNIEFAPTYKYSSSNCNRYSGGPLRRTGEKQRTPAWCDRILWYGKGVRQLSYFRSESKFSDHRPVSAQFLSHIDLLETTNPRVIALSKFLPSIPSPKQMVKGETNEESKSTLAALMVKDREESAKFIEQRYRTITE >KGN58929 pep chromosome:ASM407v2:3:28398659:28405659:1 gene:Csa_3G736770 transcript:KGN58929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKLLLLLLLFLFDVSFAQMPGFVSLDCGGQESFTDDIGLEWDPDTQVRFGEAVNISVANETRKQYMTLRHFPADSRKYCYSLNVTSRTRYLLRATFLYGNFDNNNVYPKFDISLGATHWSTIVISDANTIEVRELIFLASTPTVSVCLSNATTGQPFISTLELRQFNGSAYYTQFEDQFYLSVSARINFGADSEAPVRYPDDPFDRMWESDSVRKANYLVDVAAGTEKVSTKLPIDVDRDERPPQKVMQTAVVGRNGSLTYRLNLDGFPGFGWAVTYFAEIEDLGPTDTRKFRLVLPGMPEISKAVVNIEENAQGKYRLYEPGFTNITLPFVLSFRFGKTQDSSLGPLLNAMEINKYLEKSDGSLDGAVVASVISKFPSSDWDEGGDPCMPVPWSWLQCNSDPQPRIIKISLSKQNLSGNIPTDIAKLSGLVELWLDGNSFVGPIPDFTGCMDLKILHLENNQLTGELPSSLASLPNLRELYVQNNMLSGTVPSGLLSKNLVVDYSGNINLHEGGKKNHVYIIVGSVIGAVVLLLATVVSCYFLHKGRRRYHEQGDLPEESLAVQRFVSSKGDASKETAHCFSVNEIVQATKDFERKIGSGGFGVVYYGKLNDGKEIAVKVLTSNSFQGRREFANEVTLLSRIHHRNLVQFLGYCQEQDRSMLIYEFMHNGTLKEHLYGPLTREKTISWIKRLEIAEDAARGVEYLHTGCIPAIIHRDLKSSNILLDRHMKAKVSDFGLSKLAVDGVSHVSSIVRGTVGYLDPEYYISQQLTDKSDVYSFGVILLELISGQEAISNVNFGANCRNIVQWAKLHIESGDIQGIIDPSLRNEYDIQSMWKIAEKALMCVQANGHLRPSISEVLKEIQDSILIERESTATKEGNSDDMSRNSVHSLNMGSLDLCGNENYVSFDESIARPTAR >KGN59537 pep chromosome:ASM407v2:3:32565481:32568966:-1 gene:Csa_3G824820 transcript:KGN59537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPILMKGHERPLTFLKYNRDGDLLFSCAKDHNPTVWYADNGERLGTYRGHNGAVWCCDVSRDSMRLITGSADQTAKLWNVQTGQQLFSFNFDSPARAVDFSVGDKLAVITTDPFMELPSAIHVKRIARDPSEQTGESVLLLKGPQGRINRAVWGPLNKTIISAGEDAVVRIWDSETGKLLKESDKEIGHKKTVTSLTKSSDGSHFITGSLDKSAKLWDTRTLTLIKTYVTERPVNAVTMSPLLDHVVLGGGQDASAVTTTDHRAGKFEAKFYDKILQEEIGGVKGHFGPINALAFNPDGKSFSSGGEDGYVRLHHFDPDYFNIKI >KGN60166 pep chromosome:ASM407v2:3:37311005:37317739:1 gene:Csa_3G881790 transcript:KGN60166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFPAYGGAAGPRSGGKIVRARRVQTRKTPYERPGPSNLGPGENPSWISKFIFSPTRTIASGAGKLLSSVFVSDSSSSSSESDSEDDDEDDVPDERHVFQGAEGGKKNGTSEMVSLFRKDFPPEKKDSKHLIEQLLMQETFSRAECDKLVQIIESRVVECQTFEGQAAGRLTEISNRTVDSDDGRPAVCSSAILEAKKWLNEKRLGLVSTSTLKLDDGPCTLNSTMLPMVNNEEMGSPVDVAKSYMQARPPWASPSTNNFEFKSPSPLGLQLFKEETSYSISGNPLSSSRIKRESPTSGSWNIQEELRRVRSKATEEMLRSPSSKLDWSSLASGSDYKTNLSSTHFNHLKIPSGDKIQHAVKPIDKSMNWSAVNTVTHNLTESKTAEDVSENEACQLGTTSIVLQQDKDLETNPTTQMKVSNSSLDARECSTPHKDAGLANGFPPLPSSSRELGVEQNHFNNIVEESNSSGHDHKGKDPPVEERCELLSEVSMEVPDIETDTDKVVSDGNDASKVVSEDNSSCQISKENGGGNVKSVEKPSSASGVAAGKTGSGTAYLRRGRRRN >KGN60272 pep chromosome:ASM407v2:3:38180403:38185624:1 gene:Csa_3G892730 transcript:KGN60272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELSNKEASQLTALLKEMKEGLDTVTNKVQALTAKVKSNQLPTSDGISYLDAKYFLLLNYCSSLVYYLLRKAKGFSIEGHPVVRSLVEIRLFLEKIRPIDKKLEYQIQKLAKVSIVSKENAFMDEKDSATPQDVDDRLKYRPNPDMLVSKTEGTAEDGDGMYRPPKFAPTSMEEDKKSRKERNSMRKDLQTLRQARQNDYMRELMDDMAGKPEEIKESVGLENREVARYVARLEERDRREEELFTRAPLTKMEKKREKYLKKSRYGMGGVTDSFYEEVKSLPLEVADDEQPTDFGSGSGRMRKHKKRKGRH >KGN57009 pep chromosome:ASM407v2:3:10051542:10055928:1 gene:Csa_3G149890 transcript:KGN57009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSSDCRIALSAAAPATSLVVRRRLTSVEFGNGEFMGKKLTEPKRVPAAAVAINGVRRRVCMSLTTNVAIGDAALREMDMEKRDPRTVVAIILGGGAGTRLFPLTKRRAKPAVPIGGAYRLIDVPMSNCINSGINKVYILTQFNSASLNRHLARAYNFSGGVTFGDGYVEVLAATQTPGEAGKNWFQGTADAVRQFHWLFEDARSKNIEDVLILSGDHLYRMDYMDFVQNHRQSGADITLSCLPMDDSRASDFGLMKIDEKGRIISFSEKPKGQDLKAMAVDTTILGLSKEEAQKKPYIASMGVYVFKKDILLNLLRWRFPTANDFGSEIIPASAKEFFIKAYLFNDYWEDIGTIRSFFEANLALTEQPPRFSFYDAAKPMFTSRRNLPPTKIDQSKIVDSIISHGSFLNSCFIEHSVIGIRSRINSNVHLKDTVMLGADYYETEDEVASLLAEGRVPIGIGENTKIKDCIIDKNARIGKNVVIANSEGVQEADRSSEGFYIRSGVTIILKNAVIKDGLVI >KGN57224 pep chromosome:ASM407v2:3:11483513:11486345:-1 gene:Csa_3G171810 transcript:KGN57224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKFNVVQKQRRAAKAQIKRDAHGDPLTKKLKIKQQPTYVSNKRKRKLMKKKRREEKEALQMGLTNMEDVEMAVAEELKNTNRTSTKFHVKKSVRLRQLRSKGKKNKGKSSSSSGSKASGDAMVE >KGN56929 pep chromosome:ASM407v2:3:9580999:9582458:-1 gene:Csa_3G144190 transcript:KGN56929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAAVPIPHRILSLSALDAVGKHRLLAEMKRLEQEARFLEEELEQLEKLDKASTSCKELLGSIEMRSDPLLPETLGPVNPVWDRWFEGPKDSNRCHCQCRCWIL >KGN57324 pep chromosome:ASM407v2:3:12248070:12250614:1 gene:Csa_3G179140 transcript:KGN57324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDPSLLPIIEEGSLQLRKCIDENLSKIRDVERELANLTMEMKLTSGPKKAALELLRKKIEMSTERVRAAKLKEEQAKKVWEAASKVVQEEEAAKQKLCEDLNHLVQESSNFQLTRLEELKRRMEALNSSRVSTSVSHDVMTMGGAQNSRVSDSSGVATTTETGAKPNENVPNQTTSDAAPVINGQNQKPPSETEGRGKKKNQFHGRGKGIGAVPKGRSSADSGWTGSGFDVDGRA >KGN59810 pep chromosome:ASM407v2:3:34586790:34594981:1 gene:Csa_3G848200 transcript:KGN59810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFLVRAPYSHHLSSTAIAMSSSFCPHLPLHFGTRPIFYFPTKPSFSLSFKPFSVQATNASSGKEELRVGFLGLGIMGTPMAQNLIKSGCDVTVWNRTKSKCDPLINLGAKYQSSPQEVAASCDVTFAMLADPNSALEVACGENGAASGMSPGKGYVDVSTVDDTTSKLISARIKDTGALFLEAPVSGSKKPAEDGQLIFLTAGDKSLYETVAPFLDIMGKSRFYLGDVGNGAAMKLVVNMIMGSMMAAFSEGLLLSEKVGLDPNDVVEVVSQGAISAPMYKLKGPAMIKSQYPTAFPLKHQQKDLRLALGLAESVSQSTPIAAAANELYKVAKSRGLSDQDFSAVIEALKVKLQN >KGN59011 pep chromosome:ASM407v2:3:28851366:28851734:-1 gene:Csa_3G743965 transcript:KGN59011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQTAQFLKLRRVIVRLTIPTAATILRRLEGIARAVASDVHAVLVLGDKKRGADVAQVLDAVDGGAETGATVDEVAAIRLDPDGNVEAVNEAEVVVNDGVVDGEVEEGDGKSRWRGVAEDGA >KGN57072 pep chromosome:ASM407v2:3:10451911:10453589:1 gene:Csa_3G151500 transcript:KGN57072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGCGKLEVILRVLGFLLSLVAAIVMGVDKETKVVPITISSNLPPFPIVVVAKWHYVSAFVYLLATNVIASSYGLLSLMLTLSNKNRSNNVLTLLIIVLDTVTVALLSSGTGAALAIGVMGYEGNSHVGWNKVCDTFGRFCKQVAASALLSLAGAIVFLLLLILALVGLLKRLK >KGN58986 pep chromosome:ASM407v2:3:28723637:28725092:1 gene:Csa_3G740780 transcript:KGN58986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDDDSFGLFPWADGDSEIHWLPEERVTLFTPDGLVQIGGSIVPRRISSSDKKQGKSKTSQRFQRFQESDYMDPKQSICLGALFDIAATNGLDMGRRLCIFGFCRSVEMLSDVVEDIVLEQGGEVVAAEKASKGGLQEKLTMTVAVPLLWGVPPASETLHLAVQSGGGIVEKVYWQWDFL >KGN59104 pep chromosome:ASM407v2:3:29619985:29620322:1 gene:Csa_3G769690 transcript:KGN59104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRQRDLSGSSLRNILNRENKGKKQVPRWKGQVEMARSKAEFWLLDVKKAETSKEREGLRGRNVAFVGVCCVQLLDYDF >KGN59945 pep chromosome:ASM407v2:3:35733429:35737406:-1 gene:Csa_3G855390 transcript:KGN59945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDDDFQLLSSPQLDSPLVSGRKLKRLKKAATGFSDHLPKIDRQFSGGFLGEFSRIDDRFDDGFKIRELSAVDSEAEDSDKLKGQDLDDSDDLQQSGSGSTDLDDGANLEVSLGLDGDEKDSGVGKCLEFDAVAGIEEKGGDQTPGMGVESGDALVDELEKKRPSLDAFEDEREAKRRKSKNKRLKSSGEPGDFNQTAVSKITLEKERREYVVQLRAESQRLLRDTRGATFKPMPVVQKPISSVLEKIRRRKLELSTKSINIENSILVCDDEDDDNYQFIKVVSKHRLSVEGRADSVEKECGDMDKHPADEENKKDTMCIHERSNGTNMPPQRERATDEVTEPFRAPVNDTQELFSDSQTSIGNDVSNEMSKNPLQENFTPSVLAMNLKLESAPLDDVLNETSSSHLQENFTPSVLAMNLRLDSAALDDSDEEEDNDKENVNPHPHGLSDLPSSASGDPVKAFVDDEAEEEDDSDHDMRFQDDEEDDDADLEELQDMIATAYDENPLDNEKRNELHQKWLEQQDAAGTEDLLQKLKYGSKLTKPSLLEDENNEGENDDFEFCEADAEDSLPLDVARMNIRKVKQMLPQMYTDKDDPYMSDDEETERRLERERVFDKADGKSTFLSPAEVESTREVFGLIKKLNVVPDVKKRPKAQLFSDPPLTGVGKNTSSKSSFLGRSSNFSFSSSHKHGSSTNSRSFIFGRDDTNSRSSIPTMEESSDQGQNENKSTRISSAKFSYSQVRPSAQNSVQEIKSGSSLFDILRQSSLQLQRKPCTFGEESSQMSSAFASFKLEKTHMKKPIKTEGRF >KGN58790 pep chromosome:ASM407v2:3:27732189:27734389:-1 gene:Csa_3G732480 transcript:KGN58790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRGIGILSPATYLRNSGCSKWTPEENKRFENALALFDIDTPDRWVKVAAMIPGKTVCDVVKQYRELVEDVSDIEAGLVPVPGYGVGNSFVLEWSSDGGGFAPMYIGAGKRGGCGRPSDQERKKGVPWTEEEHRQFLMGLKKYGKGDWRNISRSFVTTRTPTQVASHAQKYFIRQLTGGKDKRRSSIHDITTTHLFDNSINQPGNHDKTSPPSYVGVKMAPLCGISSLRPKLLQDQIFLRSNNFHGCQNVNPYSPNIVFQGQRDQQYQ >KGN59026 pep chromosome:ASM407v2:3:28940507:28942667:1 gene:Csa_3G746580 transcript:KGN59026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLLERKRGKALPKQRGRAPNSNCRLWPIISAKFLIWETPPPRPPSARFSAMATRLPTTKPFSFLTSRLFPDENSLAAALIGSSRRWASSTTASPPGKSQKTEKKLVDRLSSVIDAVNDRKLPPELRGQRNSVRSETDLINVVERRIWNSMEEGQFENLPGKGKPLNLSINPHADPAEDTLYRILSKNGCAPEWVELNKEIRSNISEWRSSLKKAFESRGNGDHSIWTESKEGLQVQLQHINDKVFRYNLIVPFGRQMFGLKWEKEMDRLVE >KGN58006 pep chromosome:ASM407v2:3:20231230:20231744:-1 gene:Csa_3G426920 transcript:KGN58006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPDHIPTTTTIAATTTTDQDQSSKPTTEDTDQINNEMGSGRSYECVFCKRGFTTAQALGGHMNIHRKDRVKNKPNTPSKPEPFTNHSSTLRPMLTTTAAVTTVSHHQSFQTYFPTSSTWCLGSKLDDNQYEPRLAVVAYPCLE >KGN58221 pep chromosome:ASM407v2:3:22827862:22829610:-1 gene:Csa_3G595220 transcript:KGN58221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDKGRPLPKFGEWDVNNPASAEGFTVIFSKARDEKKSNEAPGNTAAKDNTSRQEENYQYSPMKKWFCCF >KGN55947 pep chromosome:ASM407v2:3:2739959:2744747:-1 gene:Csa_3G038200 transcript:KGN55947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNVGILAIDIYFPPTYVQQEALEAHDGASKGKYTIGLGQDCMAFCTEVEDVISMSLTVVNSLLEKYGIDPKQIGRLEVGSETVIDKSKSIKTFLMQIFEKHGNTDIEGVDSTNACYGGTAALFNCVNWVESSSWDGRYGLVVCTDSAVYAEGPARPTGGAAAIAMLIGPDAPIAFESKLRGSHMSHVYDFYKPNLASEYPVVDGKLSQTCYLMALDSCYKQLCHKYEKLEGGKQFSLSNADYFVFHSPYNKLVQKSFARLLFNDFKRNASSIDEAAKEKLAPFSTLSNDESYQSRDLEKITQQLAKPLYDAKVQPSTLIPKQVGNMYTASLYAAFISLLHNKNKSLVGNRVVLFSYGSGSTATMFSLKLNEGQNPFSLSNISAILNVDKKLKSRHELVPEKFVEIMQLMEHRYGAKDFVTSKDCSLLSSGTYYLTEVDSLYRRFYAKKEGGSEKIENGVVANGH >KGN59452 pep chromosome:ASM407v2:3:32020281:32022823:-1 gene:Csa_3G821550 transcript:KGN59452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKVSTTLQSIYSKGFSTKLRLPSRKFLLGRTMVRPFAAKGKKRKKSEKYDRDEDAEESTSPSKKVMLENEPDEEPAKEDFHELEGIPIAPKDPKNDSNAGVIFILERASLEVAKVGKNYQLLNSDDHSNYLRRNNRNPGDYRPDILHQALLAIFDSRIAKAGRLKVVYVKTEKGLLIEIKPYVRLPRTQKRFYGVMLQLLQKLSITAAGKREKLFRVIKNPVTQYLPANCRKMGFSHSSDKLVKVRNYLDAVKDDVDLVFVVGAMAHGKIETDYTDDLLAISEYPLSASCCIADICKDLAEKWNVG >KGN57347 pep chromosome:ASM407v2:3:12436797:12437196:-1 gene:Csa_3G180350 transcript:KGN57347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNELNEETKLEKKPEISYKRGKEEDSEGNLGYVVVGSGNCNQVVTGCIDEPVILSPTTPGQSLNTCLCSDRET >KGN56779 pep chromosome:ASM407v2:3:8778074:8780734:1 gene:Csa_3G133300 transcript:KGN56779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPILEQCELRKTGITYHQAMNSKHDEDEQQRRQWGTRAWATLSALRARSPLIQCITNFVSMDLMANTLLSAGASPAMLHSVEELPDFTPNADALCINVGTLSPAWLPAMKFAGELAVKAGKPWVLDPVAVGASKFRMMACLELMSLKPTVVRGNGSEIIALSKASLDSSMGVDSCHESVDAVEAAKSLAQSSGAIVAVSGAVDIVTDGKQVIGARNGVAMMQKITATGCSVTALIAAFLAVDQLHALEATASALSIFGIAGEMGMDVAKGPASLRTHLIDSLYGLDEAAIISRIRISSL >KGN58550 pep chromosome:ASM407v2:3:26140813:26141157:1 gene:Csa_3G681145 transcript:KGN58550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWRSMGTKCSTKCLCKIGRSREWTNSWRDSTVSCRNFAEWNSMLVVFLCLCQISPSALKIPSPRRSWTVSRKKEPFGYLRNSVFRMCSMLRGSEVTTQFKLLNHGPLNLKVPF >KGN57103 pep chromosome:ASM407v2:3:10692252:10697580:-1 gene:Csa_3G154270 transcript:KGN57103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQEASTKPEGELEVSFGYKCNGNIGEIHDVSDKLEIQSGLQRVSSFSCLSGAALSANATLANTNICNGLIGEEILPTWDSPNSFRKVPSSPTLSRLDILSTSLQSSLSNLSCSPSTPTSDYDSYLLKSMSSPSGGEGFLNVKEVQVAGGAAGEDRVQAVCSEENGWLFCAIYDGFNGRDAADFLAGTLYETIVFYFNLLDWEAKNETSDGLDMHGSHLLDDSNITQGRFSPMGNNSKISSDIHNVLENHSYAKAGMKNYPLRHGVFDSLQRALSQTENDFLHMVEQEMEDRPDLVSVGSCVLVVLLHGKDLYTLNLGDSRAVLATLDEGSMRRNRGLKAVQLTESHTVDNELERAQLQHDHPDDPTIIVGGKVKGKLKVTRAFGVGYLKTKKFNDALMGILRVRNLISPPYISTQPALSIHRISKSDCFVIVGSDGLFDFFGNEEAVNLVHSYILSNPTGDPAKFLLEQLLLKAANCAGFSKEELITIPAGRRRKYHDDVTVIIIILGTNQRTTRASTSM >KGN56425 pep chromosome:ASM407v2:3:6778623:6781254:1 gene:Csa_3G119580 transcript:KGN56425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKWASLISLPKYVVGFCLLLHVLNLQTPAMAADDDEDDYVEVESSGYGGYSGGVSEQCDTDLRSFLPPPYGNLTNVICKPIWNTFVLRYTQNEENVMNVIVSALYTTGWVGIGFSRDGMMVGSSAMVGWVNKKGHARIHQYYLQGRKQSEVIQDKGELPLTNVPSSVVLHGATIYLAFQLKFSATVSQQPILLAFGNAYPRHNHLSTHSDKTAVVFDFSAGSKSSAAAAGGEIGQTKKNHGVLGIIGWGLILPVGAIIPRYFRHKDPLWYYLHSAIQFVGFAIGLTTVVLGRQLYNKINADVPTHRGIGIFVLVLSILQVLAFFLRPNKEAKIRKYWNWYHHWFGRIALFFGALNIVLGIQIGGAGNEWKVGYGFLLSIILIAVIVLEALAWMKRSDKAAMNSFQMNPVP >KGN56205 pep chromosome:ASM407v2:3:4944225:4949631:-1 gene:Csa_3G099660 transcript:KGN56205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTSLTSDDEELDHQESEEEEHSNAAFCQSLYGVASVLDPTSKWVREWNWVFLLVCAAGLFVDPLFLYTLSISESWMCVFIDGWLAITVTVLRCMGDALHLWNMWLQLKTATKSSFAGSGEGDGRGENRRLCDSSPRAVALRYLKSKKGFFFDLFVILPFPQVVLWIVIPRIMKEGLVTSVMTVLLIVFLFQYLPKLYHSVCLLRRLQNLSGYIFGTVWWGIALNLIAYFVAAHAAGACWYLLGVQRAAKCLKEQCRSATTNSCGLRLLSCKDPIFYGPNNMRMGRDGGRFDWANNRLSKFMCLDTADNFDYGAYKWTVQLVVNQSRLEKILFPIFWGLMTLSTFGNLESTTEWLEVVFNIIVLTSGLLLVTMLIGNIKVFLHATTSKKQGMQLKMRNLEWWMRKRRLPQGFRQRVRNYERQRWAAMRGVDECEMIKNLPEGLRRDIKYHLCLDLVRQVPLFQHMDDLVLENICDRVKSLIFTKGETITREGDPVQRMLFVVRGHLQSSQVLRDGVKSCCMLGPGNFSGDELLSWCLRRPFIERLPPSSFTLVTLETTEAFSLEAEDVKYVTQHFRYTFVNDKVKRSARYYSPGWRTWAAVAIQLAWRRYRHRLTLTSLSFIRPRRPLSRCSSLGEDRLRLYTALLTSPKPNHDHFDF >KGN60484 pep chromosome:ASM407v2:3:39730533:39739704:-1 gene:Csa_3G915130 transcript:KGN60484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKVKDKSVPMPNPEINNTGTDEEAITDAGNSSQSNDNLKDWSTFPVARLPSRNTSSKYDFVKVKVWLGDNADHYYVLSRFLLSRMLTVTKIPNHVAIKIALELKKLLVDNSLLDVSQSDLEANIFKLMERRGYGEEYINRYKMMTRFHHQRVPLVILVCGTACVGKSTIATQLAQRLNLPNVLQTDMVYELLRTSTDAPLTSTPVWARDFSSPEELITEFCRECRIVRKGLAGDLKKAMKDGKPIIIEGIHLDPSIYLMDDESKSPAKVSAKDIENNPLSATSEDKIARQMERSCLVVHQCKKGNGTCIKCSHSKECMHTNQENEGLDSLEAVGIAGNSSGITDETHNKEETNRSASVRKEKSGAEPIIIPIVLKMAEFDHKALLEEWISPRTFSDKCPLQDKSKLIANLKTIQGYLCSFKSQGLIVVNISATTFPQTLDWLHGYILQCIEQGISPVSNENASQSIEH >KGN56324 pep chromosome:ASM407v2:3:6167767:6174336:1 gene:Csa_3G115620 transcript:KGN56324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANFATLKPCSSFSTSSSVQRKFRTHRSVSTIPFPSFYPQYQAGAYGLCVVKCASSNGKGANSLDNGVKKVEKLLEEKRRAELSARIASGEFTVEKTGFPSVMRTGLSKMGVPSEILDLLFGLVNAQDEYPKIPEAKGSVNAIRSEAFFMPLYELYLTYGGIFRLTFGPKSFLIVSDPSIAKHILKDNPKNYSKGILAEILDFVMGKGLIPADGEIWRVRRRAIVPSLHMKYVGAMINLFGEAADRLCKKLDAAASDGVDLEMESLFSRLTLDIIGKAVFNYDFDSLTNDAGIVEAVYTVLREAEDRSIAPIPVWDIPIWKDISPRQKKVSKALKLINGTLDQLIAICKRMVDEEELQFHEEYINDQDPSILHFLLASGDDVSSKQLRDDLMTMLIAGHETSAAVLTWTFYLLSKEPRVMAKLQEEVDSVLGDRFPTIEDMKNLKYATRIINESLRLYPQPPVLIRRSVDNDMLGKYPIKKGEDIFISVWNLHRSPEHWDDADKFNPERWPLDGPNPNETNQNFRYLPFGGGPRKCVGDMFASYETVVALAMLVRRFDFQMALGAPPVKMTTGATIHTTDGLQMTVARRMKPPIIPTLEVPDSSVSFLKNETQVQGEVSSAHS >KGN56458 pep chromosome:ASM407v2:3:6946396:6947825:1 gene:Csa_3G120370 transcript:KGN56458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPPPLKPILQKPPGFKDPNHIALPVPRPPARKLILPSPLSQKNKKRRSCWRRCCCFFCLLVLILIVAILAVGGVLYLWFEPKLPVVHLQSFRISKFNVTDKSDGSYLNAKTIGRIEIKNPNSKLSLNYGDIEVQIAAGEGTRTELGSMIVPSFIQSEENTTSLKIETMVSNETVDDGAGRNLNSGNRTGELVVNVEARTKIGFVVDGRRMPPVKIEVSCGSVSLKRLDRGNVPKCSIHLRRWFL >KGN57029 pep chromosome:ASM407v2:3:10143600:10148453:-1 gene:Csa_3G150090 transcript:KGN57029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLSLSSSSPSSVPPVSVSSSSSSSSPSYSSSSSSTTSWLSGIVRGRADRSASMKMSANTSSGSPVGDSPGPVVKKNHFRGFLFKYGPKPIQVAFKTGDYKQQVIFIGGLTDGFMATEYLESLAIALDKEKWSLVQILLSSSYSGYGTSSLQQDAKELDQLVSYLINKEDSEGVVLLGHSTGCQDIVHYMRTNAACSRAVRGAILQAPVSDREYRATLPETAAMIDLASTMISEGRGLDLMPREADPSSPITATRYYSLCSYMGDDDMFSSDLSDDQLKLRVGHMANTPCQVIFSMGDEYVPDYVDKKSLVNRLCKAMGGAEKVEIEHGNHSLSNRVNEAVEVIVDFVRREGPKGWDDPWH >KGN58841 pep chromosome:ASM407v2:3:27943647:27948020:1 gene:Csa_3G733950 transcript:KGN58841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLSLNHLLKSLCTHSQWIYAVFWKINYQTPPILAWEDGYCNYSKLEKHVGNIEYRMIREADQHATSYYGTNTYDGDSGSCSVEPAVADMFCLQYALGEGTVGSAASSGNHSWVFLEDIFARNLSSASIYEGPTEWIIQYASGIKTILLVPLLPFGVLQLGSLQMVTENLSVVAYIKDRFNDINFVDGDACASVVPRPFESLDEQTNFTTYMLEAENHGAIHDIKPPVSTFNQCVTIQDVLTVSRRIRPETLHCEKGHKSDIHRTNMEELFAPLYQSVSTGEVEFSDFISLESLLPLGSQLRNHETGLFESNPHIFHSYSLDNVVGQQSGHNLATKKEYGIADNFFSFPDDCELQKALGPVLLAQKHTNEFSYDPSSTVKDNTSSMLCSRDLKEGDIEHLLEAMISAEDISDDTFSNNTINARIADLVAKPCLSTNTYQSESSTIVVNDPALWNIPESTTTATGRKNLTSLSTSNSLVVNEREERDRDMAQHRKGMKRSNSSRQIKVTSNTRQRPRDRQLIQDRIKELRQIVPNGGKCSIDGLLEKTIKHMLYLQRVTDRAEKLKQLAQQEDFDSENCTDLENEGVQPNGTSWTWAFDIGSELQVCPIVVEDLEYQGHMLIKMLCNDMGLFLEITQIIRNLDLTILKGVIERHSNNSWAYFIVEAPRGFHRMDVFWPLMHLLQRKRNPISCKI >KGN56912 pep chromosome:ASM407v2:3:9457241:9461942:-1 gene:Csa_3G143530 transcript:KGN56912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLHSRLCHATNFFDTFQTEFHSSHILLKYGSSVVFRNRSFCSSFYGLTTDTFSNGISYGSLSSRTPVYRYNLRRNLSRVSWIDRWNETAKRNRPKPPRAVLDYPSSDENEVSISSTGFSKSYRASSRIDDDGRGGSTMEKIVRKLKKFGYIDDENKEKGEERAIEKGSVEDILYIEEGMLPNTRGGFSKESPMGDENMFGSDGEVRFPWEKPKEKEDTHGDSTRRGSASLAQLTLPEPELRRLRNLTFQKRHKMKIGGGGVTQAVVDVIHEKWKSSEIVRLKILGPPALNMKRMHEILERKTGGLVIWRSGTSLSLYRGVSYELPEAPQFNKRIYKRNEITALPKTGASTIAPSESSSHRNVYALQQKRAETSIEGEHCSEQLTKVQVNYEDEVNKLLDGLGPRYTDWPGLDPLPVDADMLPGVVPDYEPPFRILPYGVRSSIGVKEATALKRLARRLPPHFALGRNRQLQGLAIAMTKLWERSLIAKIALKRGVQLTTSERMAEEIKKLTGGMLLSRNKDFLVFYRGKSFLSPEVTEALLERERLAKSLQDKEEQARLKASAFVVPIEKTEQSGTAGSLEETLDADARWGKALDDKHKENVMREAEQLRHTDLVRKLERKLAFAERKLVKAERTLAKVEAFMTPAKRQAEPDSITEEERFMFRKLGLRMKAFLLLGRREVFDGTVENMHLHWKYRELVKIMIKANSFDHVKNIALQLEAESGGVLVSIDKVSKGYAIIVYRGKDYKRPSLLRPKNLLTKRKALARSIELQRHEALLKHISAMQSKVGKLNSEIEQMEKVKDQGDEVLYNTLDSAYPTDNDSEDENSDTYEAYDQDSDVEDEDDIMASDEYLEGDISNGIQVQESEVEYETI >KGN59119 pep chromosome:ASM407v2:3:29730949:29732565:1 gene:Csa_3G776310 transcript:KGN59119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLNSELYLQNCYIMKENERLRKKAQLLNQENQALLSELKQKLSKGNSKANASKSIPDLNLSSANPSNSSN >KGN57877 pep chromosome:ASM407v2:3:18416979:18417256:1 gene:Csa_3G359140 transcript:KGN57877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGARDGDDDDTNVENFAKTVRRNYQWQCENERKLRLYRRNGGSWALVSERVYSTRLLL >KGN56475 pep chromosome:ASM407v2:3:7025268:7025534:1 gene:Csa_3G121030 transcript:KGN56475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVTVTVWVFISGIGQRQRRLTEIVAEKRDRWQEIREGQLWTAWTVLRVGSGNHVL >KGN57680 pep chromosome:ASM407v2:3:15654486:15654794:1 gene:Csa_3G251900 transcript:KGN57680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGLNVLHFLGYSPSSSSTYSRQLPPARLTNHFRSSLHQLCPKTPPTIHYVSNLPDLNQTLNLKLASLSVF >KGN58514 pep chromosome:ASM407v2:3:25804247:25810604:-1 gene:Csa_3G653460 transcript:KGN58514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSSKKSATKVDVAPAAVPSSKPVKKGKRAAEEVVEKEVVAKKQKRDVAVEQAVQKQKVEAKTQKKKKVETSSSEEDSSSEEETKPAPKVIPSSKKDTLPTKKANGVAAPAKKKPDSSSSSSEDDSSDSDEKPASKNVKAVKKGPSSVSTKKVKASSSSEEDSSDSDSDESVSATTPKGKVESSSDSDDSSEEEDEPAKKGTAVVSKKKSSDSDTSEEDNSSSDEEPKNKESKKSNEQKKIPAAAKNGSAAPTKDESSDESDSESSDSDEDVPAVKSATKAPASAKKKESSDSSEESDSDEDDSGSDKEPAAKKPVPAKAQPAKKVEESSDSSSEEEDEDTTTKKSSVPSVKKDTVKKGQEKMDVDSDESEDEEDSDDSSSESDEEEKKPLTKKKADTDVEMEEAASPKLVAKQSKKDAPKTPVTPKDQSGESKTLFVGNLSFQIEQADLENFFKDVGKPVHVRFASDHDGRFKGFGHVEFESPEVAKKALELNGELLLNREVRLDMAREKGSYTPYDSRERNNSFQKGGRGPSQTVFVRGFDRSLGEDEDHFGACGDINRVSIPKDYETGNVKGMAYMDFGDSDSFNKALELNGSELHGNYLTVDEAKPRGDSRDGGGSGRGGWSGGRSGGRGGGDGRSGGRFGSGGRFGGRGGRGGDRGRGGRGGRGGFNKPNMTPTGKKTTFGDDE >KGN59814 pep chromosome:ASM407v2:3:34633834:34640230:1 gene:Csa_3G848240 transcript:KGN59814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLNNPQEHFMVCFKAAEPGNKNRRLNTSILVRYVGPSGNRNILIDVGKFFYHSALRWFPAFEIRTIDAVIITHSHADAIGGLDDLRDWTNNVQPSVPIYVAQRDFEVMQKTHYYLVDTSVILPGAAVSELQFNIIPEEPFVVNDLKVTPLPVWHGRGYRSLGFRFGNVCYISDVSEIPEETYPLLKDCEVLILDALRPDRSSSTHFGLPRALEEVRKIQPKRTLFTGMMHLMDHEEVNGYLLKLKETEGLDAQLSYDGLRIPVTL >KGN59145 pep chromosome:ASM407v2:3:29868086:29868481:1 gene:Csa_3G777530 transcript:KGN59145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKNRVPSLKKVAKGNNGERRHEDSLLASEMEAGGGRCPTPRGCVALYVGEECRRFVVPTRFLCHPLFKMVLDKTYKEFGFNQKSGLVVSCSVFAFQEILNTIEANHGSFHFGELVHEFL >KGN56247 pep chromosome:ASM407v2:3:5301138:5307337:1 gene:Csa_3G105990 transcript:KGN56247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLTSLLIFFSLLSLLLLSLSPSYVLADSHFEGFEPELDDLEDDDLSLPLTDLPLRPPPLTQSEPELTGISSLDQDSDKPDPVGEPSDPQSPPSVSDYTKPSPTSFNYWDEDEFEGLPIEQPQEPVQQSSKSTEDSASTNPNSDSKPTSPIPKPTNAPKSYTVEIICGSFLVIFVINYFTGKRENENIALSWAAKFGTKDSIFEKNFSLLGVGEGEDSPLLLKEGQNVFKFYASGRRYCQGLLATMELKSRHDLISRLYNMVVPCKDEISFEVYMNDDAMDHIIFAVAKKKAAKGMQKDLRDLQRFAGILAPPRWVPEELSVISESKEVAADLITEAVLDQVFGEKSFEKFGKYFISMHFSDQHSGMHKKMLLFKFALPDANNMADISRLVALVPYYIDTVGRYKLSSQARSKTEAARSKAAQEAHRELQNARQEALQKRKAEKKKLMEEAEAKLSAEALRRKEAKDRAKQMKKAMPKIKMSRTR >KGN59542 pep chromosome:ASM407v2:3:32589612:32594502:1 gene:Csa_3G824870 transcript:KGN59542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSSHARLLSPTFDCRSDPVLALASAHRRNTYGRFAHEPKSVKGTVASHGLSSFPIFKFPPNFVRQLSNKARRNCSNIGVAQVVAASWSNNSSPSSSAAAAAASAVNTVDAAAAAAAVPTASDAVALEGSVGNESLKVEEKGLVDSRTSVFDSDGSVAIHAGERFGRGRADDAITTPVVNTSAYFFKKTADLIDFKEKRAVSFEYGRYGNPTTIVAEEKISALEGAESTLIVASGMCASTMMLLALVPAGGHIVTTTDCYRKTRIFIETMLPKMGITATTIDPADINALEVALKENNVSLFFTESPTNPFLRCVDIKLVSEMCHQHGALVCIDGTFATPLNQKALSLGADLILHSATKYIGGHNDVLAGCISGSLKLVSEIRNLHHVIGGALNPNAAYLIIRGMKTMHLRVQQQNSSGLKMAMLLEAHPKIKCVYYPGLPSHPEHNLAKRQMTGFGGVVSFEVDGDLMTTAKFIDSLKIPYIAPSFGGCESIIDQPAIMSYWDLNQTERLKYGIKDNLVRFSIGIEDFEDLKADILQALDAI >KGN60099 pep chromosome:ASM407v2:3:36828936:36833711:1 gene:Csa_3G878190 transcript:KGN60099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMESEILVPADGLKLTLQNGFHEHVSAAEEIVPKVTVSEDIDKDTGSPMQQENIEDDINDGSATNESTTRELTEGSNFPEESDISTLSMEGEEKCGDPPKKVKPEKGQIKSKNEKSSSLKQISSTGVKKNKDGKEAEHLLNGSGTGASHPHPKQPSKSRSFNERQAQVPKQTEKSDGDGEGSKENTNLKPLKKGQPSKSEGESESSLSPRAGDEKPNRVGRLPNYGFSFRCNERAEKRKEFYSKLEEKIQAKEVEKNTLQAKSKETQEAEIKMLRKSLNFKATPMPSFYQEPPPPKVELKKIPPTRAKSPKLGRKKSSTLADSSSNDGGDVRSARLSLDENVALNNNSKGVYPVRSDKPKRRSLPNLPSEKIVIPGVVANAGGKSSATKVKIVEKEKEKPAAASATSTTTNGKKEEKRTSSEAAAAAATTSTKKSASLRSTNEEKTAPSIATNEVVNLSREEENGVEPSSSETENQNHTDEELENEEQDQQQQNSEEEGAVQSSSIIIV >KGN59307 pep chromosome:ASM407v2:3:30921555:30925121:-1 gene:Csa_3G808360 transcript:KGN59307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGGRMSSPSSLKKTDSDHLKRVPSTKPPFTLGELKKAIPPHCFQRSVLRSFSYVVYDLTLASIFYYIATTYFQNLPSLLFYPAWALYWAAQGCVLTGVWVIAHECGHHAFSDYQWLDDTVGLILHSFLMVPYFSWKYSHRRHHSNTGSLERDEVFVPKQKSDMKWYSTYINNPVGRVLTLTIQLVLGWPLYLAFNVSGRPYDRFACHYDPYGPIYTDRERLQIFISDAGLLTVTYGLYRLVLAKGLAWVVCVYGVPLLIVNGFLVLITYLQHTHTALPHYDSSEWDWLRGALATVDRDYGILNKVFHNITDTHVAHHLVSTMPHYHAMEATKAIKPILGQYYHFDGTPVVKAMWREAKECIYVEPDEGEKKGVYWYKNKL >KGN60198 pep chromosome:ASM407v2:3:37596415:37598449:-1 gene:Csa_3G888570 transcript:KGN60198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESRFSLLLLLLISLGIVCSYAVAAADDIHVSPQQYWDSALPNTPIPKAIESLLGSDFVEGKSTSVNVGKGGVNVNTGKGKPKGTSVNVGKGGVNVNAPKPKQGGTSVNVGNGGVNVHTGRKGKPIYVGVKPGSSPFVYKYAATETQVHDDPNTVLFFLEKDLHPRSKFTLHFPKQTTTTTKFLPRRVAKSLPFSSQKLPQILTHFSIPPTSLEAESIRNTIDQCEAPGIVSEDKFCATSLESMVDFSTSKMGKKVTLVSTEVEKDTNLQAFTVVNLTKKSSVTDSAVACHKLSYPYAVFYCHYAQHTRVYKVSLLGADGTKADVAAVCHTDTSDWNPKHLAFQVLKVKPGTVPVCHFLPEDHVVWVSKY >KGN57682 pep chromosome:ASM407v2:3:15655928:15656154:1 gene:Csa_3G251920 transcript:KGN57682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLLQDIQRFNSSLNIPNVLWAFILDYIRVQDFVLTLLLFEMYAFCGDIIALFESDPYW >KGN58088 pep chromosome:ASM407v2:3:21212980:21213297:-1 gene:Csa_3G497900 transcript:KGN58088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIHVKLTTKPLSNSNIRSKIGLVCSTSVAIPTLGSSKLSTNNEYSSEPPLGFGPSTEHTLDPLERRQFYPILIQPLLRQEIDVNGCALVHSIVTTERISSSQLP >KGN58186 pep chromosome:ASM407v2:3:22514041:22516256:1 gene:Csa_3G588450 transcript:KGN58186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSSFFSFLLPSKPPPPKPHQPFIASSPSPSNHAAPQFQTLKSRDGSVALNPQQGPNHSEPLSAELASVICPSLAYANTMFFRSAYNVQVVVDDNEPEERLLNRFRREVMRAGVIQECKRRRFFENTQDVRKRKTREAAKRNRRRRPQARFTPQNKQDVPATKQEADDDNWDLPEDEDIPS >KGN55811 pep chromosome:ASM407v2:3:1627704:1631401:-1 gene:Csa_3G017050 transcript:KGN55811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSKLFPEFYEGFSMTQSTSLLSRFPSVMSSPSSFSFPFATKSLAFQIFRHFRCRELLRVHSRLLIILSLPFIYFFLSNPRRSVVFKLFVLLFFSIAVLVFLNLAVPRLPSPIRLFLVRSSPISTFSSSAATKAVSNVRWSIGSKPKSEKRLMSGSWVRVYSNGDVYEGEFHKGRCSGSGVYHYHMSGRYEGDWIDEKYDGYGVETWAKGRRYRGQYRQGLRNGIGIYRFYTGDVYAGEWSNGQCHGCGVHTCQDGSRYVGEFKWGVKHGLGHYHFRNGDTYAGEYFADKMHGFGVYWFGNGHLYEGAWHEGNRQGLGVYTFRNGETQSGHWQNGVLDVPSLETSHPGSSYAVSHAKVLAAVQEARRAAEKAFDAGRVDERVNKAVTAANKAANAARVAAVKAVQKQMDQETSTNPPILLV >KGN57672 pep chromosome:ASM407v2:3:15423709:15427618:1 gene:Csa_3G239850 transcript:KGN57672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDSIECVSSSDGLDEDEIHLHHTLHPYSQSHHHPELSASKPRYGNNNSAVNGGPTATAPATNVHELLECPVCTNSMYPPIHQCHNGHTLCSTCKTRVHNRCPTCRQELGDIRCLALEKVAESLELPCKYYSLGCTEIFPYYSKLKHEGLCNYRPYSCPYAGSECSAVGDIPFLVAHLRDDHKVDMHTGCTFNHRYVKSNPREVENATWMLTVFHCFGQYFCLHFEAFQLGMAPVYMAFLRFMGDENDARTYSYSLEVGGYGRKLIWEGTPRSIRDSHRKVRDSHDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQNPDAGVCIPNLCS >KGN59880 pep chromosome:ASM407v2:3:35189670:35192860:-1 gene:Csa_3G851800 transcript:KGN59880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYGHMIFLGVTSSVVLTGIFSLWLLTQHLSNWKKPAEQKAIVIIILMAPLYAGISYIGLLEFMASSTFFLFLESIKECYEALVISKFLSLLYSYLNISISKNIVPDEIKGREIHHTFPMTLFQPHSARLNHHTLKLLKNWTYQFVVIRPVCSILMISLQLIDVYPDWVSWTFTIILNVSVSLALYSLVIFYHVFDKELKPHSPLAKFLCIKGIVFFCFWQGIVLEMLAAVGIIKAEHAWFDVEHINEALQNTLVCVEMVFFAMIQMSAYSASPYKSKSAAKSKVEKKEQ >KGN58666 pep chromosome:ASM407v2:3:27064063:27066607:-1 gene:Csa_3G717370 transcript:KGN58666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIDTTAVSSDQVVCVTGAGGFIASWLVKLLLEKGYTVRGTVRNPDDQKNAHLTNLQGAKDRLSLFSADLLDFESLQAAITGCHGVFHTASPVTDDPEKVEQAIIGTKNVMTAAAEANVRRVVFTSSIGTVYMNPNRSPDTVVDESCWSDLEFCKNTKNWYCYAKTKAEQAAWEVAKERGIDLVVVNPMLVLGPMLQEGVNASVVHMMKYLTGSAKTYVNAVQGYVDVKDVAKAHVLVYETPSASGRYICVESMLHRGELVDILAHFFPQYPLPTKCSDEVNPRKKPYKYTVEKLMSLGMEFTPIQQCIYETVKSLQEKGTIENKEIGRLQQISGLTKLKPVKF >KGN56959 pep chromosome:ASM407v2:3:9808237:9812608:1 gene:Csa_3G146450 transcript:KGN56959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDENSKKSKLSWSKKMVRKWFNIRCKSEDFQADDVAYRGGEMDYRSSSFSEREPCTIKKSKTEKFSKNGEQGRRGKMNLDHPRIIDVQNYSIFVATWNVAGRSPPSNLNLDDWLQSSPPADIYVLGFQEIVPLNAGNILGAEDNGPAKKWQALIRKTLNNLPGTSGVNSCYTPSPIPKPIVELNADFEGSARQKNSSFFHRRSFQTTNSWRMDDPSIPQPRLDRRFSVCDRVIFGHRSSDFDPNFRWGHRPSDYCRPSDYSRPSDYSRSSDFCRPSDYSRPSDFCRPSDYSRPSDCSRWGSSDDDNVPWESPSTVLFSPMSHGGSSSQDGGYRMPGNSRYCLVASKQMVGIFLTIWVKSDLRDHVRNMKVSCVGRGLMGYLGNKGSISVSMSLHQTSFCFICTHLTSGEKEGDELRRNSDVMEILKKTRFPRVHGASSDEKSPETILEHDRVIWLGDLNYRIALSYRSAKALVEMQNWRALLEKDQLRIEQRRGRVFSGWNEGKIYFPPTYKYSTNSDRYAGEGAHPKEKRRKPAWCDRILWHGEGLHQLSYVRGESRFSDHRPVYGVFWAEVESSRGRLKKSMSYSSSRIEVEELLPYAHGYTELNFF >KGN60288 pep chromosome:ASM407v2:3:38303241:38303526:1 gene:Csa_3G893380 transcript:KGN60288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPVCGRLEFSGRSHREGEGGGNKTTKVPLNNENCNSGFGGWVELPETGFWWILILCAETGSWFFPTGRQQN >KGN59896 pep chromosome:ASM407v2:3:35330989:35331265:1 gene:Csa_3G852450 transcript:KGN59896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYLGSADFSNYGTNWFHTRGKNIRSKDPNSFGRSFEKREISAHEVLKEIYSI >KGN59176 pep chromosome:ASM407v2:3:30030170:30033708:-1 gene:Csa_3G778320 transcript:KGN59176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSQSPRSSSRFTTASRAFTQKELEDLESLFLSLAAQSNSDGKFVSPSVFKSYFGLRGPLGERLFDLVTQNRKDKKLTYEDLVIAKGTYEKGTNEDIEEFIYQLLGVSDDGVLGRSDLESVLIAIFNYVFPSTNNEPGLDSHKDAIQIFVRAATFSENDEQFTYENFKNWCSLLPSVRKFLGSLLMPPDIGRHGCQVPNLVYGENVDSNLVLLKKEHAWHLGGALPQHELEEWRLLYHSAVNGLSFTTFLGNITNDGGPTMLIVKDKEGYIYGGYASQAWERHGDFYGDLKSFLFQLYPKAAIFKPTGANNHIQWCAVNFSSDSIPNGIGFGGRVNHFGLFVSASFDQGETFECTTFGSPCLSKTNRVELEVIECWGVGQQEKQCGSKENNGVTGSVLERFKEDRHMLNMVGLANSSD >KGN57750 pep chromosome:ASM407v2:3:16516666:16521185:1 gene:Csa_3G271920 transcript:KGN57750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIMIILTTLLVIFISSLAKIAYDTISCYWLTPRRIRKKMEKQGVRGPVPRPLVGNILDVAALLGKSTAKDMSSIDHNIVDRLLPHYTTWTKQYGKRFIYWNGMEPRLCLAETELIKEVLSKNSNVCGRSWLQRQGTKHFIGKGLLMANGEDWYHQRHIVAPSFMGDKLKSYAGLMVECTNKLIQSLETEFDSGRTEFEIADYMKQLTADIISRTEFDCNSDKGNQIFHLLTLLQHLCAQASRHLCLPGSRFFPSKYNREIKSLKMEVERLLMEIIQSRKDGVEIGRSTCYGSDLLGMLLNEMQKRREDGDNGFSLNLQLIMDECKTFFFAGHETTALLLTWTIMLLATNPNWQQKVRHEVNQVCHNGATPSVEHLSKFTLLNMVINESLRLYPPATVLPRMAFEDIKVGDLEIPKGLSIWIPVLAIHHSEELWGKDVNEFKPERFASKPFSGGRFIPFAAGPRNCIGQGFALMEAKIILAMLLSKFSFSISDSYRHAPVSVLTIQPKYGVQVYLTPINSYQP >KGN58776 pep chromosome:ASM407v2:3:27644025:27647440:-1 gene:Csa_3G731860 transcript:KGN58776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRNSAFRNMSTFGNESDRLVLLDLKRRVLDDPLKIMSSWNDSIHFCDWVGVTCSPTIRKVMVLNLEARQLTGSIPSSLGNLTHLTEIRLGNNNFLGAIPQELGKLLLLHHLNLSFNNFDGEIASNISHCTELLVLELSRNEFVGQIPHQFFTLSKLERIGFGGNNLVGTIPPWIGNFSSLFSLSFALNSFQGSIPSELGRLSRLKLFSVYGNYLTGTVPPSIYNITSLTYFSLTQNRLRGTLPPDVGFTLPNLQVFAGGANNFGGPIPTSLANISGLQVLDFAENSLIGTLPHDLGNLKELVRFNFDDNRLGSGKVDDLNVIRSLTNCTSLSVLGLSGNRFGGTLPLSISNLSNQLTILTLGRNLLSGGIPVGIDNLINLQLLGVEGNNLNGSVPSNIGKFHRLAALYVNNNKLSGTIPSSIGNLSLLTKLFMEDNRLEGSIPPSLGQCKRLQVLDLSGNNLSGTIPKEVLSLSSLSIYLALNHNALTGPLPREVGDLVSLTLLDVSQNKLSGGIPSNLGKCISMVHLYLGGNQFEGTIPESLKDLKGLEELNLYSNNLFGPIPQFLGNLFSLKFLDLSYNNFKGKVAKEGIFSNSTMFSILGNNNLCDGLEELHLPSCTSNRTRLSNKLLTPKVLIPVVSTLTFLVISLSILSVFFMMKKSRKNVLTSAGSLDLLSQISYLELNRSTNGFSVENLIGSGSFGSVYKGILLNNKPVVAVKVINLQQHGASKSFVDECSTLTNIRHRNLLKIITSCSSTDEEGNEFKAIVFDFMSNGNLDSWLHPTHVEKNKRKLSFIQRLDIAIDVANALDYLHNHCETPIVHCDLKPSNVLLDDDMVAHVGDFGLARFILEGSNHSVSRQTMSIALKGSIGYIPPEYGTGGNISIEGDIFSYGILLLEMFTGKRPTDSLFSDGVDIHLFTAMALPHGVLDIVDHSLLSEETCQQEAENEKKIQTIAIMSEEDQSGVGQRRMEEYLVSIMRIGLSCSSTTPRERMPMNVVVKKLQTIKCSYHE >KGN58987 pep chromosome:ASM407v2:3:28729727:28730322:-1 gene:Csa_3G740790 transcript:KGN58987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPQFIQPSSTNSSSSSSSPSSYSNNGPLISTLLEPISFSSNLLLNPTTTTTNNNATPLFNHQAVSQDHQSFMMSTMVGGENNYHVKLGDQRSLLVFGGDQGSCSSSDAEYGGGIGVEEKRRSLSSSNMSFVEWSRVVNGWNNNEKQLEDQGMWNNNSNMENNYSPFMDYGLEEIKQLISSSNCTTNVLF >KGN56099 pep chromosome:ASM407v2:3:3926137:3927087:-1 gene:Csa_3G073900 transcript:KGN56099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRGRGPAVVPPPREPPTPTTGSDRRYRGVRKRPWGRFAAEIRDPWKKTRVWLGTFDSAEDAARAYDHAAITLRGSKAKTNFPLPNPTSFFDYPPHPVNAPDPFPDPRYQIRPTSSSLSSTVESFSGPRPPSSSLPALTSTSPSRRYPRTPPLLPEDCHSDCDSSSSVIDDGDDIASSPVVPRKKTPLPFDLNIPPSDLFDFSSDDLRCTALCL >KGN56269 pep chromosome:ASM407v2:3:5519967:5523521:1 gene:Csa_3G110660 transcript:KGN56269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCSTFNLGGGSLKFCSINKGSQIKNHLRFFNGFVSNGRCNLVSNSAISFSVGSISFNSLPPQDFQFHNRSRFSSHVINCSTFNSEASISSNKANDERVIVLVIGGGGREHALCYALQRSPSCDAVFCAPGNAGISSSGNATCISELDINDSAAVISFCRKWNVGLVVIGPEAPLVAGLANDLVKAGIATFGPSSEAAALEGSKNFMKRLCDKYGIPTAKYQSFTDPSAAKQYIQEQSVPIVIKADGLAAGKGVIVATTLEEAYEAVDAMLVKGAFGSAGGRVIVEEYLEGEEASFFALVDGENAIPLESAQDHKRVGDGDTGPNTGGMGAYSPAPVITRELQSIIMESIILPTVKGMAEEGCKFVGVLFAGLMIEKKSGLPKLIEYNVRFGDPECQVLMIRLESDLAKVLMATCRGELSGVSLDWSPGSSMVVVMASKGYPGAYEKGTVIRNVEEAELVAPSVKVFHAGTSFDSEGNFIAVGGRVLGVAAKGKNIEEARDRTYRAVEEIRWSGGFYRKDIGWRALEKQFSLKE >KGN58076 pep chromosome:ASM407v2:3:21112384:21115757:-1 gene:Csa_3G484830 transcript:KGN58076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCSFSQAVAASSYQTWTKVVGKSGEDIRVCSRKNLSDPGEPIGVILCAVSSLWLPLSPHLLFDFFRDESRRSQWDAMFGGDKAKTIANLAKGQDRGNSVTIQTIGSKENNNNNMWILQDSSTNSSESMVVYSGVDVTSMQSVMSGCDSGSVTILPSGFSILPDGADSRPPLLITRRKDDKTCDTHGGALLTAAVQILTDTSPAAKPTLESVEYVKSIICCTLKNIRTSMCCEED >KGN56031 pep chromosome:ASM407v2:3:3397187:3400301:-1 gene:Csa_3G047910 transcript:KGN56031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEKGQPLPKFGEWDVNNPASAEGFTVIFNKARDEKKTGGQPESPGKAPRAKNVADPGKPQAKKWFCCIQSPPTQS >KGN59653 pep chromosome:ASM407v2:3:33335758:33336044:1 gene:Csa_3G835900 transcript:KGN59653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEAAESRKIPPCLLIFGSQLIFDDDRLVFPAMDCQNKKSDSIRKRKQWRPEIRFPARGTRLCFFLVFSNRWISSGGFGFLKV >KGN59429 pep chromosome:ASM407v2:3:31846595:31848628:1 gene:Csa_3G819860 transcript:KGN59429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQLKKTFLPYFIKAYEPFRKVVHQEGLHRRGLGKTIERILRFFQSSKEKIIASYTKEKFIRCLQYIEQSGNTIKSNINVVNKPSSLHDGQPGLSGSRINHPVQQSGDNVKLHCQSVIRTTTGSGSSSVAPQEIGSIRSKLHPQWIHGSGNTPFTYRSGISLNPHLNSNFSHVAERPRPTNPCTYPLHGRASPPPSSSIVGLEKISPNVTYHSSSNFHFRPHCNPYQLLHSKAEMIAEPTSLGINGQLSTYQAHNRLLKAVGSSSEEALRAAVSGITSVGYMEDAIIDPQCRAKVTNLRLIDGFGSSNNMKRKINAMALNNIPSPSSEILGSEETVTSRTKKLKKLSDSSLLEEMRNINKQFIETVLELDLDENLNQRLANAGTVLRYSYSAVSDGTNSVKLPVLTMKLLVPLDYPEDYPVFLSKFDLSSSNVDEESRNLSNGAISMLRAFLRTAPECVSLEDYARAWDECARSVLSEYVRRAGGGSFSARYGSWEDSVVAA >KGN60415 pep chromosome:ASM407v2:3:39184110:39187821:1 gene:Csa_3G903550 transcript:KGN60415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMISVCVIIGVVLGVCLLVRRLNELWYLVKLGGRAYKSLPPGDLGWPVIGYSFSSYKTFIVQEDPISFIQSLHSRYGKGGMYKTHLYGNPTVIVTNPEICRRIYLDEANFKQHYPKSVKILEGSSGDFSNMDHKIAYKVMASPMNGYEVLSNYVDFIEEVIAKGLEEWSSMMREPIKLVDEIGILFFKVITKIFLGSQLDAKTMVELHTLYKELSFGMVMSTFPYDFPGFTFHQLLKARRKIENTIQGVVEEKIRRFENDKTSEVQCQVDKLVVAINENGAKLYSNNFIRDLILGIFFAGHSTPAIAACWALLHISQNPHVFQKAKEEQESIIRQRPSVQKGLTLNEIKQMKYLTKIINEVLRRNTITATNFRETKTDVNINGHFIPKGWTVQIWNIAVHMDPQIYSNPQEFNPSRWDNYTPKPGEFIPFGLGSRFCPGSELAKLEITILLHHFILNYKMEGVNLESNNNQMPSPRPENCLCKIIRLS >KGN60221 pep chromosome:ASM407v2:3:37771275:37772216:-1 gene:Csa_3G889770 transcript:KGN60221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLLLTLLFSLSFSVHFISAARDPSFLFRSHGGPLLSANISLNLIWYGNFNPSQKAIVLDFLSSLSSSKSIPPNPSVSTWWNSVLKYHTISNSKPLSLSLSSQILDPNYSLGKSLTNSHILSLASKGGLRNSINLVLTAADVTVDGFCFNRCGSHGYSHGAPIKGKSYKFAYIWVGNSQTQCPGYCAWPFHQPLYGPQTPPLVAPNNDVGMDGLVINLAALLAGTATNPFGNGFYQGPKDAPVEAASACTGTFAKGSYPGYPGELLVDSVTGGSYNANGGNGRKYLLPALFDPTTSACSTLV >KGN55690 pep chromosome:ASM407v2:3:687358:694577:1 gene:Csa_3G005540 transcript:KGN55690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHTKPQSKEDLENLYEKPRNSLVNRRRRLLSGLRNAFFCNKRESSIPTEVLKHLAKDDCFLLYVLFNKTFGDLKRANRIVRLKLRKYSNVGVDIIVNMEGRGVSELYRNASEELFLKSWVENSIGMSTPTMEMMGFKNLSQSFRTDSEELFKSWLTNGENVNSTSGPPYRTRQTSKRISSEIVDLSSPQYVGKHQKRISNEGTSTVDDIPGVHQQAFRNVAESEVQGSNLYLAKAWFHSSQPMTRSRSSELRRRYAAMQSNQSLFGMESVYDLSGHGINSMKLDIANSQSFNDISTCEVPNQPATFVSPSNSSSSIFNTPNMYDVDKISSVVNMLKGTLERKKLNNQIDKEAPEDSSNAHFCAQETIGNPSFNRGSDNYIHPIPNSFHDFSPVQVKDSRILETVEVSADLGFEAFVNPVNPIQSGRVSQEPSQSESSAAAAIVSSGFEACDGPSNSNQTHSNGESSRKQVAGSRGLENESRSKDFRERIIDNLKDDRKRGSLVRYGSVTSAASVDKGDPTKKRRVERSRKMAEAKERNMTPTIPSDIQSVMKRCETLEKEVRSLKLNLSFMNRKDSEQTKQIEDLQKQNDDLADEKERLLEEIERIISETGRM >KGN58093 pep chromosome:ASM407v2:3:21266246:21269051:-1 gene:Csa_3G502410 transcript:KGN58093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVTEGEVVQDLFVSSEDSAEWHRQACARFNGCKFDHKQFLMPCTDHRHIFQLETRLNSREKTEFKMGKQYSKENHKCIDKDDDSNHEDDQEDIQPIFIGGTATHSNSNNSKKEVLVMGGWESVAGLQNVIQCMKEAVVLPLLYPEFFQRPAITPPTGLLLHGYPGTGKTHVVRALVASCARADCLGNDSEKHLTKLFQVAKECQPSIIFFDEMDGLAPCRTSQQDHTHNSVVSTLLALLDGLKSRSSVAVIGATNRPNAIDPALRRPGRFDREIYFPLPSLEDRISILSLYTQKWPKTLDSYQHLLHWIAKNTSGFAGADLQPLCTQTAINALKKATCDPHPTQLPVVVVEDKDWLEALSTCPPLCSRREALAASNETASSPLPFHLIPCLIRPLSILLVLLYMEESIYLPKTLLKAATIVKRVIDSSLERKKMVSTKWWFHLDDFIQDS >KGN58099 pep chromosome:ASM407v2:3:21331659:21332935:-1 gene:Csa_3G509945 transcript:KGN58099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELDGGDDGDEMRMMVPTKRRKNHPLPFPFSSFISSLFFSLNPLIIPILDLLLFTFCSEMVDSFTRRLIGVFTCSFGDDKSYVFGCSFEWMSEEARFS >KGN56128 pep chromosome:ASM407v2:3:4124940:4125287:1 gene:Csa_3G077625 transcript:KGN56128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRWICWVRKWRNWARDWEKGRLLITASWARRTLAAATSFMASVSFWVFLMESSRDRSSRVVPPHRIEMGCGDLGMRRRRENGVWWNLGSREWIMVERDFSRVFLFSFFQSLRM >KGN58930 pep chromosome:ASM407v2:3:28408903:28412596:1 gene:Csa_3G736780 transcript:KGN58930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAPASPGGGSHESGEQSPRSNVREQDRFLPIANISRIMKKALPANGKIAKDAKETVQECVSEFISFITSEASDKCQREKRKTINGDDLLWAMATLGFEDYIDPLKTYLTKYRETEGDTKGSAKGGDGSAKKEAHPTPIPQMAHQGSFSQGVNYASSQSQAQHLMVPMQGTD >KGN56032 pep chromosome:ASM407v2:3:3405136:3421007:-1 gene:Csa_3G047920 transcript:KGN56032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMKRRRALEVPPKIRSFINNVTSVPLEDIEEPLKGFVWEFDKGDFHHWVDLFNHFDSFFEKHIKTRKDLQVEDNFLGSDPPFPREAVLQILRVIRIILGNCTNKHFYSSYEQHLSCLLASTDADIVEACLQTLSAFLKKSIGKYSIRDASLNSKLFALAQGWGGKEEGLGLIACALQNGCSQVTHELGCTLHFEFYALNESGSDITEQSTKGLQIIHLPNVSSCLETDLELLSKLIAEFNVPTSLRFSLLTRMRFARAFHSLSARQQYTCIRLYAFIVLVQASGDAEDLVSFFNSEPEFVNELVGLLSYEDEVPVKIRIHCLLSLVALCQDRSRQPTVLSAVTSGGHRGILSSLMQKAVDSVITDTTNWSLLFAETLLSLVTVLVSSSSGCSAMREAGFIPTLLPLLKDTNPQHLHLVSTAVHILEVFMDYSNPAAALFRDLGGLDDTISRLKVEVSHVENGSKQQGDDVEYGGRNWQVGIAAASDLDDLKPFYSEALLSYHRRLLMKALLRAISLGTYAPGNTARFYGSEENLLPHCLCIVFRRAKDFGGGVFSLAATVMSDLIHKDPTCFPILDAAGLPAAFLDAVMDGVVCSAEAITCIPQCLDALCLNNNGLQAVKDHNALRCFVKIFTSRTYLRALTSDASGSLSSGLDELMRHASSLRGPGVDMLIEILSSIVKIGSPVDACTSTDPSSSSTAVPMETDVEERKSVLSDDKGTAKMGNLEQSAAEVSLDQSVTNPESFLPDCVSNAARLLETILQNADTCRIFVEKKGIEAVLQLFTLPLMPPSVSVGQSISVAFKNFSPQHSASLARAVCTFLREQLKSTNEFLVSVEGSQLALVESSKQTRGLKLLSCLESILCLCNFLLKGTTTLISELGIADADILKDIGCTYREIIWQISLDNHSKTDDKKSADQDPESSDATPSNTGGRESDDDTNMPVVRYMNPVSLRNGSQTLWGGEREFLSVVRSSESLHRRSRHGLTRLRGGRSGRQLEPFHIDSEASGILETSSTPELKKKSPEVLVSEILNKLGSTMRAFFTALVKGFTSSNRRRVDSGSLSSASKAIGTALSKIFLDALSFSGHSGSSGLDISLPVKCRYLGKVVDDMAALTFDGRKRTCYSAMVNNFYVNGTFKELITTFEATSQLLWSLPFSVQNSAVDHERTNEESNLSHSPWLFDTIQSYCRVLEYFVSSTLLLSPNSASQVQQLIQPVAVGLSIGLFPVPRDPEVFVRMLQAQVLDVLLPVWNHPNFPNCNSSFIASIVSLVTHIYSGVGDVKRNRTGIAGTTNPRFIPPPPDEATIATIVEMGFTRARAEEALRRVETNSVEMAMEWLFSHAEDPVQEDDELARALALSLGNSSESSKVDNGDKPMDVLADVGHAKAPPVDDILAASVRLFQSSDTMAFTLTDLLVTLCNRNKGEDRPRVMSYLIQQLKLCPLDFTKDNGALSMLSHIIALLLFEDVNARKIAAQEGIVSTVINILTNFKSRNAAAPEVLLPKCVSALLLILDSMLQRRPKISSENTDASPVGPSPDLSGGGQTPMSAPTSAPMSIGDDKSDKNDKIALNAVDKQSGSAFEHVLGKPTGYLTAEECNEVMLLACDLIKQRVPGVIMQAVLQLCARLTKIHALALHFLENGGLVELFNLPRSCFFPGYDTLASAIVRHLLEDPQTLQTAMELEIRQTLGGSRHAGRTSARNFLTSMAAVISRDPMVFMKASAAVCQLETSGGRTFVVLSKEKEKEKEKEKERSKVSGTEVGLSSTESVKMSENKIFDGSAKCSKNHKKIPANLTQVIDQLIEIVLKYPLTQNQVDSVSNVCSMEVDEPNTKVKGKSKVVDKKNSEDESEKSTGLAKVTFVLKLLSDILLMYVHAVGVILRRDSEMCQLRGCNHLDGAGQGGIVYHILQRLLPLSIDKSAGPDEWRDKLSEKASYFLVVLCGRSSEGRRRVINELVKALSLFTNSESNNSNKNIVLPDKKIFAFSNLAYSILSKNSSSSNMPGSGCSPDIAKSMIDGGMVQCLTNILQVVDLDHPDAPKIVNLILKALESLTRAANASEQIMKSDGMAKKKSSGLSERLDDQVNTVSTDETVRENQNAGSQQLVREAVDNNQQEFAATQNDGNINTQTNRSLEQEMRLEVEGTMAANEHMELGMEFMREEMEEAGVLHNSDQIEMNFHVENRADEEMGDEDDDVGDDAEDDDDDDDDGEDEDEDIAEDGGGMMSLPDTDVEDHDDTRLADDYNDEMVDEEDDDFHENRVNEVRWREALDGLDHLQVLGQPGGASGLVDVAAEPFEGVNVDDLFGLRRPLGFERRRQTGRSSFERSVVEVNGFQHPLLLRPSQSGDMVSMWSSTGNASRDLDSLSAGSYDASNFYVFDAPVFPYEHMANSLFGDRFGGAAPPPLADYPIGIDSLPLAGRRGAGDGRWTDDGQPQGGIQATAVAQAVEELFVSHMHGIAPAERLQQNSGMHDKQLDTLASNNNLVVAESGNASNQQNDDQNPDNSVEALHHETNITVESGISHGVNSESIIEEAGENVQEDEPMSIQPHAPDITLNEHDRMDMGEQNGASGEQIETLPQFDNLECDGTSEVPADLHEMPSQGIDCPGSSEMDAEAGNHVISDFGLETSNLGDCQVSSAGASVDVDMNDNDAEEILTEQPILTTDDSRGGSTSEQNVLVAPDVNQADQSSMSNEASGANAIDPTFLEALPEDLRAEVLASQQAQPIQPPTYAPPSADDIDPEFLAALPPDIQAEVLAQQRAQRVAQQAEGQPVDMDNASIIATFPADLREEVLLTSSEAVLSALPSSLLAEAQMLRDRAMSHYQARSLFGSSHRLGNRRNGLGFDRQTVMDRGVGVTIGRRAASAIADSLKMKEIEGEPLLDGKSLKALIRLLRLAQPLGKGLLQRLLFNLCAHSVTRASLVYLLLDMIKSEAEGSVGGVATINSQRLYGCQSNVVYGRSQLLDGLPPLMLRRILEILTYLATNHSAVANMLFYFDLETVPEDLSSSCMETKKGKEKVVEGLPASNLKTCQAVNIPLVQFLKLLNRPLFLRSVVHLEQVVSLLQVVVYTASSKLEHQSRSEQVTGNSPMLPVDEASGAVSKDPSLPEGDSKQDNSDAAGSTSGGKGSNDIHNIFLQLPHSVLCNLCALLGREGLSDKVYTLAGEVLKKLSSVAAPHRKFFMSELSELANGLSSSAISELVTLKNTNMLGLSASSMAGAAIVRVLQALSSLTSPCVRETKSSECDSELEEQAIMWRLNVALEPLWQALSDCISVTETQLSQSSSSTTPINVGEQLQGTISSSPLPPGGQRLLPFIEAFFVLSEKLQANLSILQQDHANITAREVKEFSGTSDTLSTKGADYQKKSDGAVTFTRFAERHRRLLNAFIRQNPGLMEKSLSILLKAPRLIDFDNKRAYFRSRIRQQNEQHISGPLRISVRRAYVLEDSYNQLRMRPTQDLRGRLNVQFQGEEGIDAGGLTREWYQLLSRVIFDKGALLFTTVGNNATFQPNPNSVYQTEHLSYFKFVGRVVAKALFDGQLLDVYFTRSFYKHILGVKVTYHDIEAVDPDYYKNLKWMLENDVSDIPDLTFSMDADEEKHILYEKNEVTDYELKPGGRNIRVTEETKHEYVDLVADHILTNAIRPQINSFLDGFTELVPRELISIFNDKELELLISGLPEIDLDDLKANTEYTGYTAASSVVQWFWEVVKSFGKEDMARLLQFVTGTSKVPLEGFKALQGISGPQRFQIHKAYGAPDRLPSAHTCFNQLDLPEYSSKEQLQERLLLAIHEASEGFGFG >KGN58996 pep chromosome:ASM407v2:3:28774181:28774691:-1 gene:Csa_3G742350 transcript:KGN58996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVFVKGKQKDDEEKNMSSHTTSDVIIDCHTKEEEKEVAPTIVEEAQLRQKTKRVATLDAFRGLTIVLMILVDDAGGAYSRIDHSPWNGCTLADFVMPFFLFIVGVAIALAFKFV >KGN57875 pep chromosome:ASM407v2:3:18402141:18409155:1 gene:Csa_3G359120 transcript:KGN57875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAIWDVFCGGYDCFNGSEKPCGFDYNFLSRSSPCLTQALIVSFDVLLFILLVSNIVEKSMKRVHMSYQIRNSSGLLILSAIFNGCVGLVYLGLGIWTLVEKLRKDHTALPLQLWLSTSFHGLTWLLLSSIVSLWSKQLPRALLRLLSIAAFVFAGVVCALSLFDVVSSKIVSAKMILDVLSVMGSVLLLLFSFGFFSCQESEESINGNGLYTLLIGEANESGKLDPVTPLAKAGLLSKISFWWMNPLMKTGKKKTLNIEDIPMMREADRAESCYLQFINQMNEHKRNDQSSQPSVPKVILLCHRRDILLSGFFALLKILFVSAGPLLLNAFILVAQGHQSFKYEGLVLAVSLFFSKSIESISQRQWYFRTKLVGLKVRSLLSATIYKKQLRLSSEAKLMHSSGEIMNYVTVDAYRIGEFSFWFHQTWTTSLQLCIALLILYKAVGIATIASLLVIILCVVGNAPIAKLQHKFQSKLMAAQDERLKTFTEALVNMKILKLYAWETHFKNVIEKLRKEEHRWLAAVQYRKGYNGILFWSSPVIVSVATFGACSFLNIPLHANNVFTFVSALRLVQEPVRSMGDVIAAIIQARVSFTRIVDFLEAPELQSSSVPRKCVNMNDNYSIRICSASFSWEENSARPTLRNINLEVKPGSKVAICGEVGSGKSTLLAAILGEIPNVEGNIQVNGRIAYVSQTAWIQTGSIRDNILFGSEMDNWRYQETLEKCSLMKDLELLPYGDLTEIGERGVNLSGGQKQRIQLARALYQNADIYLLDDPFSAVDAHTATSLFNGYVMEALLGKTVLLVTHQVDFLPAFESVLLMSDGEILEAAAYDQLLAHSKEFQDLVNAHKETVGTGSLADLSAAKSLRTSSKEIKKSFTEKLSVISDANQIIKQEEREVGDSGFKPYIQYLNQNKGFFFFSLDVLFQLAFVACGITQNSWMATNVDNPNVSTSRLIIVYLLIGVTSTLFLASRALLTAFLGLQSSKSLFSQLLISLFRAPMSFYDSTPLGRILSRVSMDLSIVDLDVPFSLIFSVAATSNAYASLGVLAVITWQVLFISIPTIILAVCLQRYYFASAKELMRLNGTTKSMVANHLSESIAGAMIIRAFEEEERFFKKNLEFVDGNASPFFHNFSANEWLIQRLEMLSAVVLASAAFCIVLLPTGSFSPGFIGMALSYGLSLNMSLVFSIQNQCNLANHIISVERLNQYMHLSSEAPKIIEANRPPSNWPSIGKVEIIDLKIRYRPNTPLVLHGISCTFEGGHKIGIVGRTGSGKSTLLSAIFRLVEPAGGKIIVDGIDICSIGLHDLRSRFGIIPQDPTLFKGTIRYNLDPLVQHSDHEIWEVLEKCQLRDVVEEREAGLDSLVVEDGSNWSMGQRQLFCLGRALLRRSRILVLDEATASIDNTTDMILQKTIRSEFADCTVITVAHRIPTVMDCTMVLAISDGRIAEYDKPATLIKREGSLFGQLVKEYWSHSQSAQLF >KGN58094 pep chromosome:ASM407v2:3:21291630:21291911:1 gene:Csa_3G509410 transcript:KGN58094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRYRSDFLVARSESTIVRTLGWTNSYVQQIQGNDINNTRPTSNEQKLTKIATVMGRIQGNMNDYVNFYTQTQGYIETQGRSDRSLRVHRMEG >KGN59353 pep chromosome:ASM407v2:3:31266731:31269991:1 gene:Csa_3G812740 transcript:KGN59353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENHGDDPNSPNQGCERAEPVRSRWTPKPEQILILESIFNSGMVNPPKDETVRIRKLLEKFGSVGDANVFYWFQNRRSRSRRRQRQLQAAATHQGSTGAIHYDCGNVSSGGYNGGSGGIMNFAGVSSSYLGGGSSSSSSSASGVGGDCSGGSGGSSGFSMSGHMGFSEVDQQMVVTSTPSFCPSETSNLEFQSGYIIIFINGVPTEVPKGAVDMKAMFGEETVLVHSSGLPVLTNEFGISLHTLQHGESYFLVSRPT >KGN56286 pep chromosome:ASM407v2:3:5724155:5731647:1 gene:Csa_3G113290 transcript:KGN56286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPINLKQSPNSAAKSVASSTEARILVRETLRVTANLASPPLDSLPPTTSPAAPHATNLPILDNHFLDSTSRLICCEEIDGRRWNYVADIQPSGKLKNASIRALCLQTPQAPIDEMMSFIRSYVVPEGFPDSVTPSYVPYMTWRALKHFFGGAMGVFTTQTLLNSVGVARNKATPGAIAINWILKDGAGRVGKMLFARQGKKFDYDLKQLRFAGDLLMELGAGVELATAAAPHLFLPLACAANVAKNVSAVTSTSTRTPIYKAFAKGENIGDVTAKGECVGNIADLLGTGLSIMISKRNPSLVTTFGLLSCGYIFSSYQEVRSVVLHTLNRARFNVAVETFLKTGRVPSLQKGNMNERILSFPWLKENPIVLGPRFKDAFQDAGSFLAIEPLFDREKYLVTYNQTKGKVYALLKDQAKSDDIIKAAFHAHVLLHFIRSSSGAQNSNQKQVDAFSSSVPTTTNLEAEIAASCKMVSNSYEIFKSKASEQGWVMAESLLNPGKARLCHR >KGN56537 pep chromosome:ASM407v2:3:7358239:7362580:-1 gene:Csa_3G122610 transcript:KGN56537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSVLKRKLKGGRWNFRRFINVQCRRLALRFQLRLPFPPELLLPPYILFDRQRMAAEAQDEAHRFFVAVHVGAGFHAPSNEKALRSAMKRACLAAAVVLRKGSGGCLDAVSAAIKVLEDDPATNAGRGSNLTDDGQVECDASIMDGDTGAFGAVGAVPGVRNAIQIATLLAKEQILGSSLLGLIPPIFLVGEGACTWAKTKGICLPETIAEANEWLVTERAKAQWKRYKSMVERAKKEKGTTNVVHSSSPQDNARISELQSHNPPEGTTCQSSTRSNEEEDCTMDTVGVICVDSEGHVASGASSGGIAMKVSGRVGLAAMYGSGCWASSKGPFGAPFMVGGCVSGGGEYLMKGFAARECCVSLSLSQAGPASACMKVLRNFVQESSQHNADKSAGILLVQADASKLAPGNQQKLKAVEIAAAYSSLSFGMGYFASSMDRPKVSILRSTKQNNKLGIDHFEARIDLSDNGKL >KGN60075 pep chromosome:ASM407v2:3:36681566:36682173:-1 gene:Csa_3G875990 transcript:KGN60075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVASINYFYLLFFFCLLSKGNCECSMNDIEISQSTTGREVNGKQEWRATIRNKCVCSQYSVKFDCNGFNTVEKVDESILMVAGSVCLVNNGQPIFNSSPISFTYAWDNAFPFSPLFSQVACS >KGN56051 pep chromosome:ASM407v2:3:3539207:3541071:1 gene:Csa_3G061530 transcript:KGN56051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALVSNPLITHPTLPPSTTFPLKPRSNSIHNRRRTPAFAAIDGASLLPADSTQVQITWQIFVGAIAGVTPFVVAGIEFSKRIRVQKRCKECGGSGLVLRDDDYFRCPECGGFLPWQSWRRFFWG >KGN56388 pep chromosome:ASM407v2:3:6571983:6576232:-1 gene:Csa_3G118730 transcript:KGN56388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRLFRSKSCGHVRVSEFKPPPPPPSSYYDSDDEEEEEEEEEEEDDDYYGDGVGIKVNENGFGLVLRNSVCDSDEEKQEGATRQSNNGNQFPILDILVTALRKSLVTCSVEPDDVSSMDISSPVNVRHVSHVTFDRFNGFLGLPTEFEPEVPTRVPSASASVFGVSAKSMQCSFDDRGNSVPTILLMMQKRLYSEGGLKAEGIFRINAENSQEEFVRNELNSGVVPRGIDVHCLAGLIKAWLRELPTGVLDTLTPEQVMHCNTEEDCTQLVKLLPPMEAAILDWAINLMADVVQHEKYNKMNARNIAMVFAPNMTQMADPLTALIHAVQVMNLLKTLILKILQEREESEARQPSCLDSPNAKINMKSSNKTIGKSANQPPSSAIDDVKCTSFDRADYTSGGKFESFEEKEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEQYHSASGRSTPVRYGVGALQQSGYETSDWLSLRKGVRKICRHPVFQLSKSSKKSRSFGVVSCKY >KGN59869 pep chromosome:ASM407v2:3:35108849:35112322:1 gene:Csa_3G851700 transcript:KGN59869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAHRHKSHPDVKLFRLCPIWQSGNTHSSSSSSTHNLHHPTHPPSDTNLKASSSSKTVSSVAKSLLPPRRRLRLDPNNSLFFPYEPGKQVKSAIRMKNTSRSHVAFKFQTTAPKSCYMRPPGGILAPGENFIATVFRFVEHPENNEKPMDQKSKIKFKIMSLKVKGGTEYAPELFEEQKDQVTVERILRVVFVDPERPSAALEKLKRQLAEAEAVLEARKKPPVETGPQVIGGEGLVIDEWKERREKYLARQQVGALDSV >KGN60372 pep chromosome:ASM407v2:3:38911764:38913451:1 gene:Csa_3G901170 transcript:KGN60372 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-H2 finger protein ATL29 MSFFINSHSSSSSSSSSFPLHVFFRPMSTDFSRPPFPTNDHSSPPLTIILTLILLAFLLIGFFSIYFCRCIMESLLHSRNLRRSPSGNLLHPTSDSPAPHPGLDPLLINSFPTFPYSGIKEFRSDKIGLECAICLLEFDDDSFLRLLTNCCHVFHQECIDLWLDSHKTCPVCRRDLDSVSPRDSSDKPIDPDSNTDNPPRISHHESIEDAISIDIDDDIDDVIGDADEDHRPSVCSEKGKQGITKTEEEKEKEKELERFKRFSRSHSTGHSIVKSRREGEDKHKLILPEHIKIKIIRGHNWTGSCVTFDEFLRNSGNGGGFSELSESNDRPNLPKPP >KGN55838 pep chromosome:ASM407v2:3:1836910:1837961:-1 gene:Csa_3G017320 transcript:KGN55838 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene responsive transcription factor 1a MMYGQINGCESDFVHLETIRRHLLGDSEAFRCGNFSLAGTTSPVFCRSSSFGSLYPCLTENWGDLPLKEDDSEDMVLAGVLRDAVNVGWVPSLETFNFGFSDVKPEPEILSPVNVLPEVKVPSTEVAAALPAVVPAKGKHYRGVRQRPWGKFAAEIRDPAKNGARVWLGTFETAEDAALAYDRAAYRMRGSKALLNFPLRVNSGEPDPVRVTSKRSSPRSSPEPTSSSSSVESGSPKRRKKAEGTAVSPALASPELNQLVVGTIGLQVEADVAKCTSGE >KGN59539 pep chromosome:ASM407v2:3:32575257:32577152:-1 gene:Csa_3G824840 transcript:KGN59539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALPRTPRRLFLISRLHSFSYSTTPPLQPTSDSPFPSLRAAKSAILSQSDPDKLAQSFIQASTLPSFCRYRPIYHQSIRKLARAQRFDLIDVIIQSHHKSPSATSEGFWIRLIMLYSSVGMVNQALYILDQAILHKSCNLSEKSLCAILSVFLDNSMPEKVHEMFRSIPEKIGVTPTAVSHNLVLKAFVRQNDLPSARNWIDELCKDDAKVIPNIDSFTILLGAYWSNGDMIGFDEIEKEISKRGLEFNLATYNYRISRLCKNKECARAKKILDEMISKGVKPNSSSYDSIIHGYCDVGDIESAMKILKGILEDGHVSPTSRIYYRLIRSMVKEGEFEMALETCRETIKRRWVPPFEAMEALVRGLVAMSKVEEAKEVVEKMKKRLKGPAVDSWRKIEAALPL >KGN55937 pep chromosome:ASM407v2:3:2689068:2694569:-1 gene:Csa_3G038110 transcript:KGN55937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQGSSVFLEDWLKSIGGIANSKPTSSSAREIIQAWAELRSSLEHQFFDDRHIQSLKILVNSQSSLYVADPQAKLVISLLSSPNFSISDESYPLFLRILYIWLRKSLRPSLVLVDSSVEVLSQIFSSKIELRKNPLFISEGVLVLGAISYLPSASEKSKLCCLELLCRVLEEDYLLVGGIVPEFLAGIGYAFSSSVNAHVVRLLDSLLGIWSKVNGPIDTLSSGLMILHMIAWVTSGLINLHSFEKLDVFSHATLVSSKESYASFAVVMAAAGILRAFNTYKGLLSSSERETISRIRISAQDCLESIARNFISTMEGSSITGNDHRRSVLLLCISLAIARCGPVSARPPVLISVVYALLTEIFPLQRLYAKINEFSFSELSVLGLTLVKEHLGSIPFKEAGAIAGVLCSQYASLGEEEKSIVENLVWDYCRDVYSRHRLVNLVLHGREDELLESIEKIAESAFLMVVVFALAVTKEKLGSKYTLESQFDVSVKILVSFSCMEYFRRIRLPEYMDTIRGVVGSIQGNESACVYFIESMPTYQDQTNGPDNSIGQKIQYSWAKDEVQTARMLFYIRVVPTCIEHVPTQVYGKVVAPTMFLYMGHPNSKVVRASHSVFIAFMSGKDDIDDEKRTTLKEELVFYYIERSLSGYPGITPFEGMASGVAALVRYLPAGSPAIFYCIDSLTVKATSLCSENFMDDGDLWKTWQGDLEPSKKILDMLLRLISLVDIQVLPSLMKSLAQLIIKLPTEGQNLILDQLYSLVSEADDVTRKPMLVSWLQSLSYLCSLSKSAEAHSNEKQSLKQSTRLANFAWLIDPLNRIRSYARL >KGN56072 pep chromosome:ASM407v2:3:3683028:3693357:-1 gene:Csa_3G064210 transcript:KGN56072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGLASFLLRVGVSYYDSSIRQLHVLEVWEDGSIEYPLIDLVKYQAKPLMIYTSTKSEESFLAALQRSDGMSEAPTVKLVKSSIFSYEQAWHRLVYLRVTGMDDGLNIKERICYLSSMMDVESEVQVRASGGLLAILESERIVDTLEQKELGTSSITIDSVIEISLNNFLKLDATALEALQIFQTDKHPSHMGIGRAKEGFSVFGMMNKCVTPMGRRLLRNWFLRPLLDLENLNKRLNAISFFISSDELMHSLRETLKIVKDIPHILKKFNSPSSTYSSGDWTAFLKSICSLLHVNKIFEVGMSENLKENMKYFNLDIVEKANTCITTELAYVYELVIVSYFPVIGVLDVSRSKEKSYETIVKEGFCEELDELREVYEELPEFLEEVSSMELAQFPQLCKYTIAPCIVYIHQIGYLLCIFEEKLDESTLEILQDFEFAFSDVDGDIKRFFYHSPKTRELDNLLGDIYHKILDMERAIIRDLVSHILVFSLHLHKAVDFAAELDCFLSLALIARQNNYVRPDLTADSMLDIKNGRHVLQEMAVDTFIPNDTKIFYDGRVNIITGPNYSGKSIYVKQVALIVFLSHIGSFVPAEAATVGLTDRIFCAMGSKHMTAEQSTFMIDLLQVGMMLRQATCRSLCLIDEFGKGTLTEDGIGLLGGTITHFASSNDSPKVLVCTHLTELINESFLPMCERIKFYNMTVIRPDNDCTENEDIVFLYRLVPGHALPSYGLHCALLAGVPDEVIKRAAFVLDAMENHKHVERLHNENLSAQDKLYQDAVDKLLRLDVNKCDLGRFFQDIFLS >KGN58934 pep chromosome:ASM407v2:3:28425399:28435129:-1 gene:Csa_3G736810 transcript:KGN58934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQAFSDFNIAGDILDLYFPRTVFTVENGWLNLISQLIFFFSSPAGRHLSSQAVDDGRGIFILSIDFQQFRKICDQHEFYIMLEENPKVALKCMSAAIHQVMRTKWVHNLEYVTKILVRLHNYSESMLALKNLKAAYIDKLVSVRGTVVKVSTVKPLVVQMSFGCAKCKSHITLSFPDGKFSPPSFCELDGCKSKTFNPIRSTAEAIDFQKIRLQELTKPDDHEEGRVPRTVECELTEDLVDACIPGDVVTVTGIIRVINNYMDIGGGKSKSKNQGFYYLYLEAVSIKNSKSQSTPEELQDSNSNARATELLDLFSFSPRDLEFIVKFSGEYGSDVFRQILQSICPSIYGHELVKAGITLALFGGVRKHSKDQNKVPVRGDIHVIVVGDPGLGKSQLLQAAAAISPRGIYVCGNATTKAGLTVAVVRDPMTNDYAFEAGAMVLADGGLCCIDEFDKMSAEHQALLEAMEQQCVSIAKAGLVASLSARTSILAAANPVGGHYNRAKTVNENLKMSAALLSRFDLVFILLDKPDEFLDKRVSEHIMSLHAGCGERASAAKRLRKDISPLALKNVAMENDGKVDAGSRRESLVSRLRLDKAKDGDFVPLPGQLLRKYIAYSRTFVFPRMSKSAADILQRFYLRLRDQNTSADGTPITARQLESLVRLAEARARVDLREEITVEDAMDVVEIMKESLYDKYVDEHGVLDFGRSGGMSQQKEAKRFLSALNKQSELQQKDCFSISEIYSLADKIGLRVPDIDTFIENLNCVGYLLKKGPKTYQVLSSSYTSQSTRSRG >KGN55627 pep chromosome:ASM407v2:3:320082:320930:1 gene:Csa_3G002470 transcript:KGN55627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGITRNWFRRARRKLISRNGNSRDVFLQTNASPIHDEEQTNLTLTQQQDGEEEEDEEEDEEEEEEEEIDYESMTPRFQNKVLSKEEEAAIKIQACFRGHLARRAFQALRSLVKLQALARGVCARRQARIALQFMHALVRLQVRVRARQLLNRYSEESDS >KGN58335 pep chromosome:ASM407v2:3:24160037:24160330:-1 gene:Csa_3G624030 transcript:KGN58335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNYDISTTTQRVLQRHTLNSFCNKLILRPENLSLLRLGIRSHQYHESYLEYPTVSRQIYILRPGSYTPPASYYHISQAFHNECYNAIRWNHFTTWA >KGN59268 pep chromosome:ASM407v2:3:30695008:30699932:1 gene:Csa_3G797570 transcript:KGN59268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRLAPLSEEPIDEHDARTRTRNRNRTTAGAGGGGRSWRNWIRTHFSILSSAKKSDGLNVLLSVLGCPLFPVSLQPNSAVSITNQVSSSSQYIIEHFAAATGCRKLRGRVKNIFATGKITMGMAEEVSSGVGGGGGGGGPTGGVTQKGCFVMWQMIPNKWLIELSVGGHSIVAGSDGNVAWRHTPWLGSHAAKGAVRPLRRAFQGLDPLAISEVFSPAQYMGEKQIMAIDCFVLKLSADQTDLADRSDNTAEMIKHAIYGYFCQRRGLLVYLEDSSLTRIQSPGSHPMYWETTMSTKIDDYRTIDGVMIAHSGETDVIITRFGDDLKTGPMITRLQEIWSIDDVAFNVPGLSMDSFIPPKQVQKN >KGN60049 pep chromosome:ASM407v2:3:36535775:36540977:1 gene:Csa_3G873780 transcript:KGN60049 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger protein MQNGDYGTAPYFQYPNLQNLSLNPSPNPLPTPSDSNYASAPPFSTNYPASDYPAYSPNYPPYSQNPDPVPASPTAPLYNPPSSNPNPNPQTFNPTSQPPAFPSFESHVPYQSPSQSQSYYSTYDQHHTAPNYAPTPTPPPSSIPMNQTSNSTPSPNSPYSPMYSAPFGSLAPPSYENPYESSVKFDQGGGYGDDRYGGYGRSRSDFGSELYGKRPEDAAPRFDSGYDDGYGDGVYAYQGGKVEPYGARGTASKSSTWSAAAPAFDDYGRPISISPKKESPASSLKVVRAIPKVEAQEDAKNWVQKFRVKLLAESGGQSTMDVLCQVGLDGIRMLDPNSSRTLRIYPLETITRCEVYDSSTLAFWSKSSVDIDPRRIRLQSNKYTTNTLLDTVTAATVQFKEMGGRSRPAESFKASEQATEKKKGLVDWVNLIKPGNEEKDHWVPDEAVTKCTACGTDFGAFVRRHHCRNCGDIFCDKCTQGRTALTAEENAPQVRVCDRCMAEVTQRLANAKDLASKPAGLHSHEDLAKKLKDEMERNRRSSGSKSDGSGKRMKEVACPTCTVHLQVQVPSSGSETIECGVCQHPFLVSAH >KGN58771 pep chromosome:ASM407v2:3:27625121:27628358:-1 gene:Csa_3G731810 transcript:KGN58771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRNLCNSNKIFSILLYHIFLISVSSTSANEPDRLALLDLKSRVLKDPLGILSSWNDSAHFCDWIGVACNSTSRRVVALNLESQKLTGSIPPSLGNMTYLTKINLGDNNFHGHIPQAFGKLLQLRLLNLSLNQFTGEIPTNISHCTQLVFLQFGGNRFEGQIPHQFFTLTKLEGLGFGINNLTGRIPPWIGNFTSILGMSFGYNNFQGNIPSEIGRLSRLKRLVVVSNNLTGPVWPSICNITSLTYLSLADNQLQGTLPPNIGFTLPNLQALGGGVNNFHGPIPKSLANISGLQILDFPQNKLVGMLPDDMGRLKYLEHLNFASNRLGRGKVGDLNFISYLANCTSLRILSLSSNHFGGVLPSSIGNLSTQMRSLVLGQNMLSGSIPTGIGNLINLQRLAMEVNFLNGSIPPNIGKLKNLEVLYLNYNELSGPVPSSIANLSSLTKLYMSHNKLKESIPAGLGQCESLLTLELSSNNLSGTIPKEILYLSSLSMSLALDHNSFTGPLPHEVGLLVRLSKLDVSENQLSGDIPTNLENCIRMERLNLGGNQFEGTIPESLGALKGIEELNLSSNNLSGKIPQFLGKLGSLKYLNLSYNNFEGQVPKEGVFSNSTMISVIGNNNLCGGLPELHLPPCKYDRTYSRKKFMAPRVLIPIASTVTFLVILVSIIFVCFVLRKSKKDASTNSSSTKEFLPQISYLELSKSTNGFSKENFIGSGSFGSVYKGILSSDGSIVAIKVLNLQHQGASKSFVDECNALSNIRHRNLLKIITSCSSIDVQGNEFKALIFNFMSNGNLDCLLHPTNKQNNQRRLSLIQRLNIAIDIAYGLDYLHNHCEPPIAHCDLKPSNILLDDDMVAHVGDFGLARFMLEGSNDQTSLSQTMSLALKGSIGYIPPEYGTGGRISTEGDVFSYGILLLEMIIGKRPTDEKFGDSVDIHLFTEMALSQGVINIVDPSLLYEETGETNQEGKSEDKTQEIAVMSEEDHKGFVLSWMEECIISILRIGLSCSLRMPRERKPINVVINELQTIKSSYLKFKKRWRIP >KGN55989 pep chromosome:ASM407v2:3:3111969:3114000:-1 gene:Csa_3G044540 transcript:KGN55989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQCIGGFFASLVRCCDLDLYKQSRGLDDPELLARETVFSVSEIEALYELFKKISSAVIDDGLINKEEFQLALFKTNKKESLFADRVFDLFDTKHNGILGFEEFARALSVFHPNAPIDDKIEFSFQLYDLKQQGFIERQEVKQMVVATLAESGMNLSDDVIESIIDKTFEEADTKHDGKIDKEEWRNLVMRHPSLLKNMTLQYLKDITTTFPSFVFHSQVDDT >KGN59093 pep chromosome:ASM407v2:3:29540957:29541982:-1 gene:Csa_3G769100 transcript:KGN59093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQTNVEMRVNNHIRNQESGQNQYDYVMIIGINEVQNKHNQFHSRQQFSKQESREEIALIWRNHTNYLATDGNEMGKRFEKDTKLNWLIDERKHENQLQTMLIEKLHQLKHLKRSLVGLAAG >KGN59669 pep chromosome:ASM407v2:3:33425366:33425635:-1 gene:Csa_3G837020 transcript:KGN59669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVLHLHSAAILNRNSQSVKFSSSPVAELTECQRDLQFFRWVAVGPNLFGVRALPNSSPINVSAHYPKASISEY >KGN57326 pep chromosome:ASM407v2:3:12267674:12273057:-1 gene:Csa_3G179160 transcript:KGN57326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLQALRCQRLWTAIANVLKMPKIMTLNLIDSSYRKLLGYGLDNCSLDELEVLDAQLQRSLFQIRARKAQLYNEQIQQLQEKEKLLLEENRILSLKKIFEKIGNGGWS >KGN59456 pep chromosome:ASM407v2:3:32041830:32045348:-1 gene:Csa_3G821590 transcript:KGN59456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFNFCLNATALSALFALLAINITRFSLPPETTFDHWKTEYVPIHGAVGPESFAFDSSGGGPYTGISDGRIIKWLPQQQTWIDFAVTSSNRTGCEERERREEREERCGRPLGLKFKDSGDGDQLYIADAYMGLLRVGSNGGLAERLDFQTREDQLRGFDSLTFANGLDIDQFSGVVYFTDSSSHYQRRNFASSVLSGDNTGRLMKYDPKTKQLSLLLANLSFPNGVSLSKNGDFLLLAETTKCRILKYWLKTVKAGSYDVIAELPGFPDNIKASRRGGFWVGIHSRKRGSLRLILSQPWIGKVLLKLPLDIDKVHSFLGKWIKNGGIGMRVSEEGEVMEIIEGKGDLKWKSFSEVEEREDGVVWIGSINTPFAAKIKM >KGN57275 pep chromosome:ASM407v2:3:11906874:11907299:1 gene:Csa_3G175990 transcript:KGN57275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQITNSINQRQSVTSQLRNVDNLSPNRTLNASVHRLPLKPFATCGFIRQSGANPCLNITHCEVEIGATELRHLGNGSSEGVDRVTQFFQAVVKSRDISGGEAMGVRKLEDLSGGGLDGGEGNGEGGGGQKAGTCLDGIGMD >KGN59637 pep chromosome:ASM407v2:3:33223937:33229259:-1 gene:Csa_3G829270 transcript:KGN59637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSQLLKPIKIVLRGELQKFVHKDFHEVVQRMTVIDTFLFLIVHFVDKLGIWHKLPVILGLLYLAVRRHLHQEYNLFNVGRTPVGVRFNPVDFPYRTADGKYNDPFNEGAGAQGSFFGRNIHPVDQSKTLLKPDPMVVATKLLARRKLIDTGKQFNMIAASWIQFMIHDWIDHLEDTKQVELVAPREVASECPLKSFKFFKTKQVSTGFFDNKTGSINVRTPWWDGSVLYGSNAEKLGKVRTYKDGKLKIADDDLLLHDNDGVAISGDVRNSWAGVSTLQALFIREHNAVCDALKKEDEDLEDEDLYRYARLVTSAVIAKVHTIDWTVELLKMDTLLAGMRGNWYGLLGKKFKDTFGHVGGAILGGLVGLKKPDNHGVPYSLTEEFASVYRMHSLLPDDFHLRDISVDPDHNKSPPLVEKVPMANMIGHKGEETSKKMGFTALLVSMGHQSSGALELWNYPQWLRDLIPHDMDGKDRADHIDLAALEVYRDRERRVARYNDFRRGLFLIPISKWEDLTDDEGAIEVLREVYGDDVEELDILVGLMAEKKIKGFAISETAFVIFLIMASRRLEADRFFTSHFNEETYTKKGLEWVNTTESLKDVIERHYPEISNKWMNSSSAFSVWDSPPNKPNPIPIYFRLPH >KGN58804 pep chromosome:ASM407v2:3:27782401:27785838:1 gene:Csa_3G732610 transcript:KGN58804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGGPNNSCNGSPRHGGPATKTRRRVADFIDPDTRLSNSSDLYDEEDNSNGSIVGSHLHLHNHHLHHHHHPVVRHFLFRNRALCWVPEPWLLKMEEGFLLTVMILQSLGSGRNFGRKIFGILMFMAVLTVFFKFSFLNTHVEINGKMIDKGQLIIQTFKEDWALAQRAVAEDEAVVPKRRLEKISTPEIWMKPKSDNFHQCITRPRNRIRPRGKTNGYLLVHANGGLNQMRTGICDMVAVARIMNATLVLPSLDHESFWTDPSDFKDIFDWKHFINVLTDDIEIIETLPQRWEAVKPFVKAPVSWSKASYYRGEMLHLLKRHKVLMLTHTDSRIANNGLPSYIQKLRCRANYDALRFTKEIENLGKVLVDRLRKDDEPYIALHLRYEKDMLAFTGCSYNLTAEENEELKAMRYNVKHWKEKEIDAKEKRLLGGCPMTPMEAAMLLKALGYPSTTKIYIVAGEIYGSDSMEAFRSEYPNVFSHSTLATVEELDPFKPFQNRMAALDYIVAVESDVFVYTYDGNMAKAVQGHRRYEGFRKTINPDRLNLVRLIDQFEEEAISWEEFSKEVKELHKDRLGAPYFRQAGETPRLEENFYANPYPGCLCNKAQNRTLRLKTDDKQDLQASLQR >KGN58170 pep chromosome:ASM407v2:3:22355263:22361374:-1 gene:Csa_3G576840 transcript:KGN58170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELEASSSNKLRPEGFPSEKKILDPQGPFLQSWNKLFVLSCVISVSLDPLFFYVPVIDMRKMCLRLDGKVETVVCILRFFTDLFYVVHIVFQFRTGFISPSSRVFGRGVLEEDSLRIATRYLSSYFLIDISSVLPLPQVVILIMRSSRSMNTKDLLKYAVLCQFVPRFLRIYPLYKEVTRTSGILIETAWAGVAFNLFLYMLAGHVFGAVWYLCSIQRVGQCWQEACTKHLGCSFTSLYCDHNYINEGNQFLTDMCPVKKKNIEPFNFGIFIQALQPDIVESDFSKKFLYCFWWGLRNLSSSGQNLTTSPCIWENCFAISVCISGLVLFAFLLGNMQMYWRSSNAREEKMRVRRNDVEQWMSHRLLPENPRERVRRYEHYTWQETRGVDEHSLLHNLPRDIQRHYKRHLCLALLMRLLTMTTNGGRTIFFNSDFLMSGDFCGEELLTWALDPHSSTNLPLSTRTVRSLTEVEAFSFESNDLKFVASQYRKLHSKQLRQIFRFYSQQWRTWAACFIQATWRWRRHQRKKLKESLKEEESRLKNALASLEDQSLSLGTTVYAARFAANMLRSVRRNSTRRATIAILLQKPAEPDFLTLEDNNIYER >KGN57230 pep chromosome:ASM407v2:3:11514529:11515147:1 gene:Csa_3G171870 transcript:KGN57230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLYGKLEKEVPIRASASKFHEIFHKRPHHISNVSTNIIHGVDLHEGEWGKVGSIVYWRYLLDGKSRVTKEIVEEVDEENNAITFKVIEGYLTEQYKNFRFKIQCIPKKKGSVVHWCLEYEKLHDKIPDSTHGLLMELCVIVSKDIDAYLEGGSDKP >KGN58397 pep chromosome:ASM407v2:3:24835327:24837316:-1 gene:Csa_3G636950 transcript:KGN58397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKRGGTRRSSAAELLETPPLTPPPATDSPKSAIFNRKMNSIGCKLSSPENTNLAKNKVPAGLFKSSPRNASSLSSISDLKDFASSQLLDLKRHIDHSHSQIVKDLDSSNSRLQKRFKIQGQTCQKMMDEAEKEYKKMSQRIHESQEAMKASYEEFLAHEEESASRACKTSITELSQSFERSIDALRSRFGIPST >KGN56119 pep chromosome:ASM407v2:3:4040725:4044635:-1 gene:Csa_3G076550 transcript:KGN56119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKLSAKNPHLPAPFPPTLNQLPDLDLHYKPGSTRPTRRRIRSPARVRRVVAPLGRRSRPETPLLKWKVDDPGSGGDGVQQEEEDNKLAMENRQRGRFRGSKGRKVVVSARKLAAGIWRLQLHEAVASEGRNGGDQRRTEDLLGFQSRTGHSGVSAFHPDDKIAFNSEMNDLLHSPHSVSDSRNGRLCKFEPSFRYLNSAMEGATKWEPACLKTPVEARQIYNQMRLVDQQGAVSALSALEAELEEAHLRIEELQAERNASKKKLEYFLRKVSEEKALWRSREHEKVRAFIDDIKAELNREKKTRQRVEMINSKLVNELADAKLSAKRFMQDCEKEKKERSLVEEVCDELAKEIGEDKARIESLKRETMKLRDEVDEERRMLQMAEVWREERVQMKLVDAKVAVEEKYSQMRNLVADLEDFLRLRSETSDVSEMKKALLLREAAATVNIQDVTEFVYEPSNPDDIFSVFEDVNFGESNEREIGQCITYSPPNHASKVQTASLEANVTDRIGIQKHTNSFIAHNGIGDIEEDESGWETVSHLEDQGSSNSPEESIASVTKNRRESNASVSGTEWEGNGGGDSPVTEISEVCSVPSKQLKKISSIARLWKSCSNNEGYKLISLEGINARLSNGRLSSASILSADGGSVRSGISPPELTGQWSSPDSGNGHAMRGKKGCIPRNTMKGSLKAKLLEARMESHKVQLRQVLKQKI >KGN59405 pep chromosome:ASM407v2:3:31667150:31667926:1 gene:Csa_3G816670 transcript:KGN59405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMQVIKSSSIRKRPLYFETMTCKGMLKFLSGDQTAGGLCLLHASKGGICIWAASFPGNDASVRPGAMSFLGSFSRGFGVRYTLVDFLRSHDEQISALSWSPDGRYPNQLTNFSPLHDS >KGN59916 pep chromosome:ASM407v2:3:35524388:35526544:1 gene:Csa_3G853140 transcript:KGN59916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYLNTLFGIIFPLLIFFYLLFTCSRRSVAQRKRLPPKAGGAWPVIGHLHLLNASEPTHITLAKMADAYGPMFTFRFGMKRALIVSNWDLAKEIFTTNDRIFASRPKLVASKILAYDYAMMGFSPYSPHWRYVRKIATLELLTNHRVDQLQYIRAFEVETWMEELYELWRLNNKGEKVVVEMKKRLADVTLNTMFKMVIGKKFSSMEYGNEKFQKVLIEFFGLFGIFILSDSFPFLSWLDLGGHKKVMKKTAKIMDEVFDKFLKEHRERINNFGELPAAEKDFMDVMISTVEDDGQHFNCHVDTVIKATCLFNLM >KGN60201 pep chromosome:ASM407v2:3:37627569:37629584:1 gene:Csa_3G889090 transcript:KGN60201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTGKRVLALARNVSLSVPLQSQQPHYPLIFRGFFSTDPSISITNPLLLKLLQEPTSSVKSVLDSQENGFLCSSRLSLNALVTSLISSSMLKKAQLVLEWKLENMSKKNERDLDFYINLICLCGKLRNSSLAMRVFNVMEFQGVKPTVTAFNSLIEACISSNNMITAFSIFEVMKNSKNFKPNTDTFYYFISAFAKLHDVNSMQAWYSAKKAFEFSPDLRTYEALIHGSVKSNCFDFGVKCFEEMILSDIVPNTIILENMLEGLCKRKNFDEVKKFLNVVLDNGWEIDWNVVEKFIPVWFESGELEGMKEILTKLNKWN >KGN60355 pep chromosome:ASM407v2:3:38763905:38773671:1 gene:Csa_3G901000 transcript:KGN60355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKWSGLYIMTADCRQRVNEFSQLHGLSNPWIVKKRFMNMETELTKVLHNGQKIVVGLKRKRANQGTSHAGAGYKAFLSKLSSSRNRHAKSRRLDDCEVSCGPNSRRSLLRTYKNFIRSGLPQRFLYYEDGDWVDYPQIIVKLIREQFQIKNAAIEVEFNGRHLLLDALYMIQVELKTAIQQHIAWIDDNDQCFFPEFYSGDRMMHQYHPYELEDASAAALSDHTGMREINLHLEIGLTEANISQSEEYVEESNRDTKKSNIKAKTCDIVGDWEGSETRSQKPVAGTQEAVGEIKEIDCYSTPQNEVIHSIVNSDDVKNMFLMSMESIKNIEILEVKRWSSPLMQDRLDLFHKQIETTMKNRGNANVQYGWLALDKDILSGRMPYGGVGHSGLKPTSLYGVRLSPSSCANLSASYCDDDEKGVRYIAFCHVILGNVEVVAPGCGPYHPSSVNFDSGVDDLHNPSQYLVSNVNMKSHIFPEYVVSFKIPSSPLELGRQDQLQINCSSIESEEDCVSSPAPKKRCLEKLDHGSSSLKTPKSPWMAFPRLLNAISKAVPLNDMKLVYGHYGLFREKKTSRDEFVRRLRSIVGDQLLRSTLMSLQCKPPPKSPCTSKVPKEEEC >KGN56396 pep chromosome:ASM407v2:3:6634920:6635765:-1 gene:Csa_3G119310 transcript:KGN56396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLPRYGRRWRLSTVSRLAPTATQSVQEPEPEILPLAPTIQTLQPFEPTPPAAAAPPSSTPGEPTPRISPPAASPKYEATVIREASPPLKPARSPPVSPPRKSVDPRHSISPNSYQEPLKPTRPSLSTLALPKSADVTTVPSAIKPEVEQKTDSFKKSDRQVDNVSAKPPPSLQAKAINLTGDNIGAVMKINQFSDKISGGEVTRKIETKTGVQQENDMEKSRRETEFPMTPITNSNFQEVNNSVMYNSSCSGRDPGLHLDFSGQKDEAATVDGNKKSKY >KGN58424 pep chromosome:ASM407v2:3:25124607:25125202:-1 gene:Csa_3G642650 transcript:KGN58424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVLSSLEERVKGGRRSFEEETEPVAEQQEKLAKDHDRLKKKEAHVKVFVFHFLSTVSILVRITFQLGCCLVQLLMETAYIQPPVDQLGDGLLDIRPAFVNY >KGN59569 pep chromosome:ASM407v2:3:32727676:32730202:-1 gene:Csa_3G825140 transcript:KGN59569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVKMFLDSFLRVPLLVGEKFDSEVLTLEEVESNINIHNSSTSQKTNFLQTTFNLLNTLSGVGILSVPYALASGGWLSLILLFVIALATFYTGLLIQRCMDAKSDIRTYPEVGELAFGNNGKIVVSVFMYVELYLVATGFLILEGDNLNNMFPDVGFELFGFRIAGQAFFVLVVALIILPSVWLDNLSLLSFVSASGVLASAIIIGSVFWCGAFDGIGFKHKGTTLINWKGIPNSISLFAFCYCAHPVFPTLYTSMNNKRQFSNVLTFCFIICTFCYASMAVMGYAMFGSDIQSQITLNLPTGKISSLIAIYTTLVNPICKYALMTVPIVSAFKNRFTSNYNTKPLTVLISTTLLVSNVIVALAIPFFGSLMSLVGAFLSVTASIILPCVCYLKISGSYKKPFGFETIIISSIILIGVVVAIVGTYVALAEIVGQM >KGN59758 pep chromosome:ASM407v2:3:34071528:34076692:1 gene:Csa_3G843770 transcript:KGN59758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIAFKSSRILPLNKIPNTLIFSPRPCKISVSQSKRFAPMAALAAYPVVGLSETFKNLREQGKVALIPYITAGDPDLSTTAEALKVLSKCGSDIIELGVPYSDPLADGPVIQAAATRSLARETNFNAIISMLKGVIPELSRPISLFTYYNPILKRGVENFMMIIKDTGVRGLVVPDVPLEETEVLRKEAVKHNIELVLLTTPTTPKERMKNIVEASEGFVYLVSSIGVTGTRTSVSSRVQTLLEEVKEVTEKPVAVGFGISKPEHVKQVAEWGADGIIIGSAMVKLLGEAQSPEEGLKELENFTRSLKSALS >KGN58643 pep chromosome:ASM407v2:3:26828884:26831846:1 gene:Csa_3G710220 transcript:KGN58643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEKKEMASTSSTPISIPEAFFLHLISALALALAFWIAHYIFSTHLISDPSLTLFLILVVQSPIVILLYSRYRTDRHQCSYFKAVARGLLGLPAGAIINAFGAIVLGAPIGAQYFLKTLNWSLVMSLFNIVPSACVFGSSWIDWQRLFAYTKPIGTIDHMICIPAHGAIIGAWFGAWPMPLDWERPWQEWPICVTYGAILGYSIAMAASLVLSHQRGLQHVKRD >KGN59157 pep chromosome:ASM407v2:3:29932496:29936744:1 gene:Csa_3G777650 transcript:KGN59157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPIRLPEPPNGSFGVPEIFDGRIYGVIRRAIVIGNGFPGAENQCLGLVRALGLSGRHSLYRVMRPRGGTNTWLHWLPVSVHKKLDSFFKQIFGDSSRKVEGKNGMPLMTKKTGLSDILEADAKQIAKEARETFDMDGPLLVIASGRDTISVASSIKRFAPENVFVVQIQHPRSRLDRFDLVITPRHDYYPLTPHARQQIPWLLRRWITPREPPGKNVVLTVGALHQADFAALRTAASAWHNELASLPKPLLVVNIGGPSSNCRYGVDLAKQLASMLQNVLWSCGSVRISFSRRTPEKVSKILVEEFKTHPKVYIWDGEGPNPHLGHLAWADAFVITADSVSMLSEACSTGKPVYVIGSDRCTWKFADFQKSLAERGVVRPFTGKEDISESWSYSSLNDTAEAANRINLALAARGWRILTSTK >KGN57392 pep chromosome:ASM407v2:3:12716449:12722610:-1 gene:Csa_3G182770 transcript:KGN57392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSWWGKSSKDVKKKTSKESFIDSLHRKFKNSPEGKVNSRSGSSRKRGGDTVSEKGSKSPISRSPSPSKEVARCQSFAERTHSHKLPLPDLRPVGVGRTDSGISVAAKSKLERSSKTSSFLPLPRPACIRSRPDPADLDGDLVTGSVFGESSSDSDDPNDSRQRSPPATDYDIGARTVIGSTEPSETLKDQSPTVVQKNLKEGKKAESLPFPHKNSSIPKRRPLSSNVTNLQVPRHGAFFSAPDSSMSSPSRSPMRIFSTEQVMNAAVWAGKSHPDVILGGSGHCSSPGSGHNSGHNSMGGDMAGHFFWQQSRGSPEYSPVPSSRMTSPGPSSRIQSGAVTPIHPRAGAPPAESQTCWPDEKQTHRLPLPPIAISICSPFSHSNSAVTSPSVPRSPGRTETPASPGPRWKKGKLLGRGTFGHVYVGFNSESGEMCAMKEVTLFSDDAKSRESAKQLMQEIALLSRLRHPNIVQYYGSETVGDKFYIYLEYVSGGSIYKLLQEYGQFGELAIRSYTQQILSGLAYLHAKATVHRDIKGANILVDPNGRVKLADFGMAKHITGQSCPLSFKGSPYWMAPEVIKNSNGCNLAVDVWSLGCTVLEMATTKPPWSQYEGVAAMFKIGNSKELPVIPEHLSDDGKDFVRLCLQRNPHHRPTAAQLLEHPFVKHAAPVERPILISEPSDTTPGVTNGVKILGIGQSRTTSMDSDGRLAVHSSRVSKAVLHASEINISRNISCPVSPIGSPLLHSRSPQHPSGRMSPSPISSPRTMSGSSTPLTGCGGAIPYNHLKQTIYLQEGFVSMPKSLNSSPYSSGISFHDSNPDIFRGLQPGAHIFSEMIPENEVLGKQIGRPAYSEVYDGQHILADRVSRQLLRDHVKANPSLDLSPSATLSGRMNGI >KGN58325 pep chromosome:ASM407v2:3:24000227:24003752:-1 gene:Csa_3G622440 transcript:KGN58325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTCCHVSLAFILKCFNFLQAFVGVSIIVYSAWMLDRWNHHVPVSPPPPVPALAPSPAASSVSFYLNSESVTVADRITAMDLAADFIPEFDREELKLELNAFKLPAPWFIYSFMGFGVLLCCITLVGCIAAEAISGCCLCFYNMLIMLFIIVEVGLVAFIAIDRSWEKDLPLDPTGELDELRHFIEDNINLSKWIGIVVISTQALSLLLAIILRSMVSTRKTEYDTEEEVGVRDRTREPLLNPPANQAAGAHFDLWGARMREKYGLNNSDRYNSSNQSS >KGN58495 pep chromosome:ASM407v2:3:25683455:25683682:-1 gene:Csa_3G651795 transcript:KGN58495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQGFGPGGRLSLRRRRQRERRRRARVAIIEVVTYERQEGIGCISIVKEERSEEQWKKRLRCLVVVEREKGYVYI >KGN56600 pep chromosome:ASM407v2:3:7716164:7722645:-1 gene:Csa_3G126150 transcript:KGN56600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFALLIPVVSVILGALIAVIFFGSYFRKRRSEVQTISHPELPSDPKKHQKPSQTKKSHSKPHSHSSEKDQNKKHHPLDLNTLKGHGDSVTGLCFSSDGSNLATACADGVIRVFKLDDASSKSFKFLRINLPAGGHPTAVMFGDDATSIIVSSQGLSGSSLYMYGEEKAKPSGETKQQAKLPLPEIKWEQHKVHDKKSVITLVGATASYGSADGSTIVASCSEGTDIRLWHAKTGKLVGDVDTNQLKNTMATLSPNGRFIAAAAFTADVKVWEVVYSKDGSVKEVLRVMQLKGHKSAVTWLCFTPNSEQIITASKDGSMRIWNINVRYHLDEDPKTLKVFPIPLHDSKGATVHYDHLNISPDGKILAATHGSTLQWLCVETGKVLDTAEKAHDGDITWISWAPKPIPSGVKKVMVLATASVDKKVKLWAAPSLS >KGN57837 pep chromosome:ASM407v2:3:18018626:18020702:-1 gene:Csa_3G345380 transcript:KGN57837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESGTVEAGAPQQSPFPSDSVPTVVTPPIPVENSNTFQPQPDIHPPSVLPDLVPIPSAAPAPIPSPNLSDHTQIFVYKNHLHEYTQKAKIAVPVYQTIDEGSPSLPKYRSTVMVDEVHYVSPNTFRNRRAAEQDAARVAFEYISKKTKDDAFLLLREVRVIQ >KGN56161 pep chromosome:ASM407v2:3:4428187:4444099:1 gene:Csa_3G081370 transcript:KGN56161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESILARALEYTLKYWLKSFSRDQFKLQGRTAQLSNLDINGDALHSSLGLPPALNVTTARVGKLEIMLPSLSNVQVEPVVVQIDKLDLVLEENPDADMGRSTSSSQTSSSTVKGGGYGFADKIADGMTVEVRTVNLLLETGGGSRHQGGATWASPLASITIRNLLLYTTNENWQVVNLKEARDFSANKKFIYVFKKLEWESLSIDLLPHPDMFADANLARAQEGPIGRDDDGAKRVFFGGERFIEGISGEANITLQRTELNSPLGLEVNLYITEAVCPALSEPGLRAFLRFLTGLYVCLNRGDVDLKSQQRSTEAAGRSLVSIIVDHIFLCVKDPEFQLEFLMQSLLFSRASVSDGQNDNNLTRVMIGGLFLRDTFSRPPCTLVQPAMQAVTDDFLHVPEFARNFCPPIYPFKDKQWGLSGNVPLLCLHSVQVKPSPVPPSFASQTVIHCQPLTIHLQEKSCLRISSFLADGIVVNPGSVLPDFSVSSIVLSLKELDVSVPLDVAKSSDYHGSWDGISHSSFDGARLHIKNMQFSESPSLNLRLLNLDKDPACFLLWEGQPVDASQKKWATSVSQISLSLETYNKVSGSKRSDAILALLRCVELTDVSIEVAMATADGKTLTAIPPPGGVVRVGVSCQQYLSNTSVDQLFFVLDLYAYFGRVTEKIALVGKKNRPKESGSNMLVGKLVDKVPSDTAVSLLVRNLQLRFLESSSTIIEELPLVQFVGNDMFIKVSHRTLGGAVAITSTVRWDNVEVDCVDTEGNTAYDNGTMSTSIENGSLMKGNELSQLRAILWVHNKGDRFPTPFLDVSIVHVIPLNERDMECHSLNVSACIAGVRLSGGMNYAEALLHRFGILGPDGGPGKGLMKGLENLRAGPLVKLFKTSPLLTGNLEGDGKESSLLQLGKPDDVDVSIELKNWLFALEGAQEMAERWWFYNPNNAGREERCWHTSFQSFRVKAQSRRKEPLSGKGSSRGTQQFPVELVILSVEGLQTLKPHVQKNSHHNVSLINGVNETIEPLGGISLEARMVVSEDNVDVEMANWIMENLKFSVKHPIEAVVTKNELQHLALLFKSEVDSMGRIAAGILRLLKLEGSIGQATLDQLSNLGSESIDKIFTPEKLSRGSSMASLGVSPSAYLIGESPRPTIESTVTSLEQAVLDSQSKCTSLMTELSSSDSSSHVATIKQLHEKLDSMQTLLSRLRNQI >KGN57106 pep chromosome:ASM407v2:3:10709799:10711762:1 gene:Csa_3G154300 transcript:KGN57106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIERILGPTRFRRIQRAFRHSAVTVLCLFLTVVVLRGTVGAGKFGTPEQDFNEIRSHFSSRGRRVEPRRVLEEAQPETTNKPAEQSNNYATFDISKILVDEGEDEKPDPNKPFSLGPKISDWDQQRGEWLKNNPDFPNFIRPNKPRVLLVTGSSPKPCENPVGDHYLLKSIKNKIDYCRLHGIEIFYNFALLDAEMAGFWAKLPLIRKLLLSHPEIEFLWWMDSDAMFTDMAFEVPWERYKDHNFVMHGWNEMVYDQKNWIGLNTGSFLLRNCQWSLDILDAWAPMGPKGKVREEAGKILTRELKDRPVFEADDQSAMVYLLATQRDQWGEKVYLENAYYLHGYWGILVDRYEEMIENHHPGLGDHRWPLVTHFVGCKPCGKFGDYPVERCLKQMDRAFNFGDNQILQIYGFTHKSLGSRRVKRVRNDTSNPLEVKDELGLLHPAFKAIKVSSTTS >KGN58279 pep chromosome:ASM407v2:3:23490711:23492651:-1 gene:Csa_3G606870 transcript:KGN58279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQMIIKKYLQTSILNIDNMKCADYPCSSLYKIIRIYVLCKKLSRSAAPNDITVTGKFRGTFNFLLFILASHVVGAFWYCFSVLRELYCWQSACKFNSGCKVNSFSCEDITSNERFVDNFCPINPPNPAIFDFGLFLNAHQSGVTRVNDFPVKLLCCFFWGLRALSSFGSNLTTSSYACENIFAALVSIAGILLVVYLIGNLQKKKEIKEFVRDKFGWKNDVNLKTLLDVFSSPFVEEIKKELCCNILKRVPMLKEFEEEKLEEMMKDMKLMIFAEHNYIIQEGELVEQMLLFTKGMGLKFSKSIGARTTISTFGKGDLFGEQLLIGQ >KGN59557 pep chromosome:ASM407v2:3:32664939:32667246:1 gene:Csa_3G825020 transcript:KGN59557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEPKDPAIKLFGKTIPLPDPSPATPHLPSSLPILSPPHHTTPLPNHDHDSSSSTFDVDAEDLELDKDAVSEKSVGAKLENGDGGLSVSTEEFTNSDTSVVRSENSKVLSGDESNPSTTTKTDEQNETSNSQEKTLKKPDKILPCPRCNSMDTKFCYYNNYNVNQPRHFCKNCQRYWTAGGTMRNVPVGAGRRKNKSSASHHRQIIVSEALQHARTDVPNGIHHSTLKPNANVLAFGSDAPLCESMASILNIADQTRQNSTRNGFQKPEAPKIPVAYENGENDDQSPESAPTPSFINNEEGKTGPQDQVIHNCQGFLPPHVPFFPGTPWPYPWNSPQWSSPVPPPTFYPPGIPMPFYPTAPFWGCTVPGAWPIPWVSQPPSLSPVPQNHAPNSPTLGKHSRDENVTRQSDLGEDEQQKDTKTEKCLWIPKTLRIDDPGEAAKSSIWATLGIKNDKTDSVSEGLFKVFQSKKIDEKNHKTEASPVLQVNPAALSRSIKFHESS >KGN56409 pep chromosome:ASM407v2:3:6685931:6686846:-1 gene:Csa_3G119420 transcript:KGN56409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAMMESGANGGGGGGGGGGLKGKGRPQEQLNCPRCKSSNTKFCYYNNYSLTQPRYFCKSCRRYWTEGGSLRNIPVGGGSRKNRKPHGSVSGSGSVHHPQPQPQVYQAQDLNLGFATTAEATTAMDNGGHGGFGCYIPNLMPYSGRETAAVEENSGNNGYWNGMFGGGPPW >KGN59132 pep chromosome:ASM407v2:3:29792864:29795444:1 gene:Csa_3G776920 transcript:KGN59132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSAGRRSGGGLLEGFYRVIMRRNSVYVTFIIAGAFVGERAVDYGVQKLWEYNNVGKRYEDISVLGQRPVEE >KGN55653 pep chromosome:ASM407v2:3:462080:465856:-1 gene:Csa_3G002730 transcript:KGN55653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLEATMICIDNSEWMRNGDYAPSRFQAQADAVNLICGAKTQSNPENTVGVLTMAGKGVRVLVTPTSDLGKILACMHGLEIGGETNLAAGIQVAQLALKHRQNKKQQQRIIVFAGSPANHEKKLLEMIGKKLKKNNVALDIIDFGEEDDAKPEKLEALLSAVNSNDSSHIVHVPSGPNALSDVLISTPIFTGDGEGGSGFAAAAAAASAGGGGFDFGVDPNLDPELALALRVSMEEERARQEAAAKRAADETSKQEKGGEQPSGSQDTTMNERASAESSDAQKTSDPMEDENALLQQALAMSMEDPASSDIRDTEMSDAAMDPELALALQLSVQEESKDSTSQPDMSKLLADQSFVSSILASLPGVDPNDPSVKDLLASMQSQSKPEDKKNEENPPKEDDDK >KGN57030 pep chromosome:ASM407v2:3:10154810:10156265:-1 gene:Csa_3G150100 transcript:KGN57030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMALSLTSAAGKQVQPLVGNFQGNFNMEQFFRRKDKVVVVMGATGTGKSRLAIELATRFPSEIVNSDKIQVYEGLDVVTNKVTEEERRGIPHHLLSSIDPKSNFSSRDFTHHASGAIESILARDRLPIIAGGSNSYIEALVNDYAEARFRYEFCFLWVDVSLPILQKFVSDRVDRMVDGGFVEEVRQIFDPEGDYSQGIKRAIGVPELHEFLRAERDGADERVLNILLELAISRIKDNTCRLAFRQLEKIRLLRSKWNWNLRRLDATGVILTDGENSLDVWEKLVLEPSSRIVDQFLCDGSRRITTGISTPDAVSRAVAAVSR >KGN55642 pep chromosome:ASM407v2:3:395038:395512:-1 gene:Csa_3G002620 transcript:KGN55642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFNKVFFFFYNNLIGFRSRPRFKQATPLPRSGNSSLRPLPTDDCTILALSSLEKALEGETCCVCLSSMGNTDRDGDKGVGTSVLPCLHEFHKVCVERWFEECRRTCPICRYSMEGGGSHEDETNQILTDEMVIWFSSFHTSGF >KGN60380 pep chromosome:ASM407v2:3:38960775:38963630:1 gene:Csa_3G902230 transcript:KGN60380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPKLSSLVFFILFAIEISLIASEGEKHGHPNNLGYRKYGFVGKKDVGGYGDGNYHNDYYWGRWRKYGQGSSYGASEGSSNDEYDHTSSCTNPTTSEEPSKSGYSSNENGGKSTGESGYTSPSTETSYKNPTASEEPSKNPSTETSYKNPSTSEEPSKSGYSSNGNGGKSTGESGYTSPSTETSYKNPTASEEPSKNPSTETGYKNPSTSEEPSKSGYSSNENGGKSTGESGYTSPSTETGYKNPTASEEPSKNPSTETSYKNPSTSEEPSKSGYSSNGNGGKSTGESGYTSPSTETSYKNPTASEEPSKNPSTETSYKNPSTSEEPSKSGYSSNGNGGKSTGESGYTSPSTETSYKNPTASEEPSKNPSTETSYKNPSTSEEPSKSGYSSNGNGGKSTGESGYTSPSTETSYKNPTASEEPSKNPSTETSYKNPSTSEEPSKSGYSSNENGGKSTGESGYTSPSTETSYKNPTASEEPSKNPSTETGYKNPTASEEPSKNPSTETGYKNPSTAEEPSKSGYSSNGNGGKSTGESGYTSPSTETSYKNPTASEEPSKNPSTETGYKNPTASEEPSKNPSTETSYKNPSTSEEPSKSGYSSNGNGGKSTGESGYTSPSTETSYKNPTASEEPSKNPSTETGYKNPSTSEEPSKSGYSSNGNGGKSTGESGYTSPSTETSYKNPTASEEPSKNPSTETSYKNPSTSEEPSKSGYSSNENGGKSTGESGYTSPSTETGYKNPTASEEPSKNPSTETSYKNPSTSEEPSKSGYSSNGNGGKSTGESGYTSPSTETSYKNPTASEEPSKNPSTETGYKNPSTAEESSKGGYSTNENGGKSTEESGYKSSNTETGYNGEEPSKSSYSSNENGGKSTEEYNNPSAGNSYKGEEASNEYSENGGKSGVVSNENSYEGGDENNGGGYPNYESGETSYKSFKNYRRYQRGNLYGRGSRQP >KGN60456 pep chromosome:ASM407v2:3:39539365:39541136:-1 gene:Csa_3G912880 transcript:KGN60456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAHDWATWLGWFCRFQFRVNTHHIHHPSCLPSAAAFKLNFLSLSKFALSNSIPHSFLSSSGSFPLIFLSSNNISPSPWIPPPHHSSWGKMGFHDVL >KGN59867 pep chromosome:ASM407v2:3:35091172:35097848:1 gene:Csa_3G851190 transcript:KGN59867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLRSNLSRVRIPEPTNRIYKQECCLSFDTPRSEGGLFIDLNTFLAFGKDYVGWNYERTGNPVYLHIKQRKKLVPEDRPSKKPTLLAIGVDGGFDNNEPEYDETYSIVILPNYATLPFPSVELPEKVRLAVDAVLLAEGAERKEQLAAWTADKKQISSYAMNLQQIDNGVIVPPSGWKCSKCDKTENLWLNLTDGMILCGRRNWDGTGGNNHAVGHYKETGYPLAVKLGTITADLEAADVYSYPEDDSVLDPILGQHLAFFGIDFSSLQKTEMTTAERELDQNTNFDWNRIQESGQEVEPVFGPGYTGLVNLGNSCYLAATMQVVFSTHSFCERFYAKQSLKLAFETAPADPTVDLNMQL >KGN55639 pep chromosome:ASM407v2:3:374633:378756:1 gene:Csa_3G002590 transcript:KGN55639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSSSSSSTFSLTLCFFFFIFIFISHFSHVFGSFTFNIHHLYSPAVRQILPFHSFPDEGTLDYYAAMVRTDHFVHSRRLGQVQDHRPLTFLSGNETLRISPLGFLYYAEVTVGTPGVPYLVALDTGSDLFWLPCDCVNCITGLNTTQGPVNFNIYSPNNSSTSKEVQCSSSLCSHLDQCSSPSDTCPYQVSYLSDNTSSTGYLVEDILHLTTNDVQSKPVNARITLGCGKDQSGAFLSSAAPNGLFGLGIENVSVPSILANAGLISNSFSLCFGPARMGRIEFGDKGSPGQNETPFNLGRRHPTYNVSITQIGVGGHISDLDVAVIFDSGTSFTYLNDPAYSLFADKFASMVEEKQFTMNSDIPFENCYELSPNQTTFTYPLMNLTMKGGGHFVINHPIVLISTESKRLFCLAIARSDSINIIGQNFMTGYHIVFDREKMVLGWKESNCTGYEDENTNNLPVGPTPTPAAAPGTTAIKPQANSNINNTTQTIEKPRPSNISSKLPTSVILTFLISVVTFLHFV >KGN58989 pep chromosome:ASM407v2:3:28736068:28741641:-1 gene:Csa_3G740810 transcript:KGN58989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDKAIRDCDDRRLKTKYNNAIYVVKRALALYSTEEVAFSFNGGKDSTVLLHILRAAFFLHKEEEGCSVDGLKEFPIRTIYFESPSAFPEINSFTYDMATNYGLLMDIIRTDFKSGLESLLKSRPIRAIFLGVRIGDPTAVGQEQFSPSSPGWPPFMRVNPILDWSYRDVWAFLLTCKVQYCSLYDHGYTSIGSIHDTLPNALLCISNSTGNEEKFRPAYLLSDGRTERAGRAKRFSPSVLNSMSNGTNNVDLQKQSMLTASVIAVGDEILFGTVEDRLGLSMRRKVHSIGWSISHTSIVRNDIDSVAEEVELRRSSNEMVFIYGGVGPLFSDATLGGIAKAFGVRLAPDEEFEEYLRHLIGEHCTGDRNEMAQLPEGITELLHHEKLPVPLIKCHNVIVLTATNLTELDLQWDCLIELTRTGDLFPLLEPYKSKHLTTKLSDVEIAPSLAKLCLEFPDIHIGCYREARSGPIIISFKGKNEERNQLAAEALSKKFQPGAFTDTNLNSPET >KGN57600 pep chromosome:ASM407v2:3:14768562:14776294:-1 gene:Csa_3G223310 transcript:KGN57600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQGGRSLAETPTYSVASVVTVMVFVCLVVERAIYRFGKWLKKTKRKALFASLEKIKEELMLLGLISLMLAQCARWISEICVNSSLFTSRFYICSEEDYATNEHILLESSLLSHNEIVIPQRELSALPHQCGEGREPFVSYEGLEQLHRFLFVLGITHVLYSCLAVGLAMSKIYSWRKWESQVKLAAEDNLPAIQSFSSPSFDNILSFRLFFLPIVDHWSFESYFEDRRTRAIQCIKLGQFFVSFTLHLCQTASLNWPLWGYAILCIFVNIHGLNIYFWLSFIPAALVMLVGTKLQHVVSSLALEVLEQRGGIQIKPRDDLFWFGKPVILLRLIQFIIFQNAFEMATFIWSLWGFKERSCFMKNDFMIITRLTSGVLVQFWCSYSTVPLNIIVTQMGSKCKKALVAESVRESLHSWCKRVKERSKRDSAHSITTRSVCSLESMVDERDEITIASGTLSRSSSFETSNQVTVQSTAQLEAIIESSSLRRHEELPPTMADFLSQSARVSHANGLENNAESGEDSKVESLFDLFKRT >KGN59579 pep chromosome:ASM407v2:3:32895811:32896724:1 gene:Csa_3G827220 transcript:KGN59579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHPCEKEKQNYELNQPEHGVVMTKQLKENQEPLISGGDFSAAEPEESMRSICNPCDFHTPKTYKEVETDQESIGSSEETESPKSVAKVADWRKKLAYVHYQVRRIREEDLHLGEDIGEGFNAKEKINSIGGDWCDVPHNNPPPPPHSSFHNHRVGSQMNVVIFSRPILPSSPLGGKNTFRALS >KGN56323 pep chromosome:ASM407v2:3:6152200:6157104:-1 gene:Csa_3G115610 transcript:KGN56323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIRSNLLRAALRGASRNSVQPKRGFASSAHDDARETAKWEKITYAGIVTCSILAFYNLSKGHPHHEEPPAYPYMHIRNKEFPWGPDGLFEVKHH >KGN57456 pep chromosome:ASM407v2:3:13214879:13217944:-1 gene:Csa_3G187300 transcript:KGN57456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQFLYLLLFLTSLCLSSSDEHSMESVPDLQNSMYLAVDAYPCIRLLNLSGEIGCSNPGREKVVVPMINFKDADEILQPSAVLVSMDAISSFFTRLQDDSHFANNVGGVLIEPGTGIQNRTEGFSPAQKFPQAKFAPYEKSDYEWNPSVCLNNHTKFSDFFRNGQLLEAKLQNSYIVLICKT >KGN56431 pep chromosome:ASM407v2:3:6799371:6802784:-1 gene:Csa_3G119640 transcript:KGN56431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNSARYGGYQYRSRLSPGSILESIERRFSSVSDWFRGISIISGFKHSDDQSVKKRKFWKKILDPNKPFLQQWNKIFVLSSVIAVAVDPLFFYVSRIDKELYCLTLDRQLIIIACVLRTFFDLFYILHIIFEFRTSFLPPSLPVFGSGELIKDPAKIAKKYLSSNFLIDILSILPLPQLLVLAILPAAKSYTLAKSRNFLNTANILQYIPRIFRIYPLYREVTRTSGILTETAWSGAAFNLLIYIQAGHVVGAAWYSLSIKRQIRCWFNECKNDKICIHNFLYCEDPKGQPNSTLNEYCSPRKLEDGKHFEFGMFDQAIKFQLTTTENFCRKLFYSFWWALQNVSSSGQNLKVSKYMEEVFFSVFIAILGLVLFALLISNIQKYLQSATVKIEQMRINRRDAEHWMAHRMLPEELRRRIRRYDQYKWQLNRGVKEEELISNLPKDLMRDIKQHLCLAHLKKVPLFSSMDKQLLDSMCEYLKPVLFTKKSFILQEGDTIDMMLFIMKGELATLTNCGWKDNLYLGTLKAGDFCGEELVQWAMDPSSTCLPISNRTIKTLTEVEAFALKSNELESVTSQFRYQRLNSKQFQLSVRFYSHQWRVWAAYKIQEAWEDYRERKRRGGGDGRFQDALAKIFGSSASFRATLYASIFISYLLQAVQRDQPQQTPQITRVTNLSAPPKPNDHHNKPNFPSLDL >KGN57073 pep chromosome:ASM407v2:3:10454499:10455470:1 gene:Csa_3G151510 transcript:KGN57073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEACSDSVIPLFPLILPVHHFEATEKEASASRKRCRALEANGGVQKKEKEKRKEMSESFDVLRSLVPNLSPKATRETIVSGAIQFIEFLQKQLMRLEMEKKSSESVALLPNTNSDSSGGINGDGVIVSISGNIVLFGVIIASVQRGIVTQILLVFERHKAEVLAANVVVSHGNLTLTVTASVHGYVENTIEQIRNDILGLKK >KGN58728 pep chromosome:ASM407v2:3:27408398:27410741:1 gene:Csa_3G730920 transcript:KGN58728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAAHLALLSVFIFFEAHALPSSFIYPGFNNTSLDREGASVVKPYGALRLTNISQNVIGHAFHPTSFRMFEQSSDSSPNVLSFSTTFVFAIEPSSPGQGGYGLAFAIAPSTKFSGAGSGHYLGLFNSSNNGNPSNHIFAIEFDTVNGHGEERNTKGNHVGIDINDISSVTSKPASYSDYGEAHEHDLQMDSGDPIIVWVEYDGPKKIVNVTIAPLKHKRKPTKSLLSYPIDLKPFLKEQMFVGFSASTGDKTSSHYILGWSFAMNEPAPPLDYSLLPNPPKEQDPPSSSPNSRYKVFVAVVSVIAILGIFFLAFWYRKTWHTERLEDWERDCPHRFHYTDLYTATKGFKSSELIGIGGFGSVYKGQIRSTGIEIAVKRVRRNSGQGMKEFAAEIESLGRLRHKNLVNLQGWCKKKNDLLIVYDYIPNGSLYSLLYHPKNNIILNWKQRFNILKGIAAGLLYLHEEWEQVVIHRDVKPSNVLIDADMNPRLSDFGLARQYDHDEASHTTGVVGTIGYIAPELVRTGKASKSTDVFGYGVLLLEVACGRKPLKSDNFILVDWVMEQYEKGKILEAADPKLNWEYEAEEMKMVLVLGLHCSHQIAEARPTMRRVMRILDGDDKIAAVEGWDCSQSYSKSNSRMTEVISATSYRSSSIGDISETSIDAGR >KGN57145 pep chromosome:ASM407v2:3:10918839:10921937:-1 gene:Csa_3G165620 transcript:KGN57145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPHLIFFLFFTSSIPFSISQPTDQAPPPAAASCNHIFLSYSFDQGTKLPPNSTDLARQPYRFESTLTVLNNGLTELKSWKVFVGFQHDEFLVSASGAVLADGNSFPGNVGNGTVFAGFPMTDLKTAIQTAGDITQMQVQVKLLGTQFGVAPPSSPMPSNISLANDGYLCPKPTLQGKSEMHVCCIPDKRVKTNITTQEFLPRQKGDLTIMYDVTRTYDSSYWAQVTISNHNPLGRLDYWKLNWDWMRDEFIFSMKGAYPSVVDSTDCIFGRQGTYYRDLDFSNVLSCERRPTIVDLPPTKANDTTLGLIPFCCRNGTILPSHMDASKSISAFQMQVFKMPPDLNRSQITPPQNWQISGILNPTYKCGQPVRVSPSEFPDSSGLPLNSTAVASWQVVCNITHAKDDTPKCCVSFSSYYNDSVIPCRTCACGCSQNSARTCNATSPAMLLPPEALLVPFENRTAMAVAWAGLKHYPSPNPMPCADNCGVSINWHLYTDYRDGWSARITLFNWGEVNFADWFAAVQMNKAAPGFQAMYSFNATTLELDGLNNTIFMQGHPGLNYLVGETDGPNPVKDPRVPGKQQSVISFTKKNIQGLNMAAGDGFPTKVFFNGEECLVPKMFPANNSRKNLASFIPSILMLVLVFMFIQQ >KGN60253 pep chromosome:ASM407v2:3:38016788:38017271:-1 gene:Csa_3G890090 transcript:KGN60253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGEREFLASAKSGSGIDGCVIWPKNKIEFLRPIDASPETTRPPIRETLRSSENEVEDSWLILISIHIPFAWI >KGN59349 pep chromosome:ASM407v2:3:31223062:31227042:-1 gene:Csa_3G812210 transcript:KGN59349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTASTVESSDLDVSQFRHTPFYCEENVYFLCKKLCTNRLADAEGADLFVVFISNEKKQIPLWHQKASKRADGLVLWDYHVICIQRKIEGEFPFLVWDLDSTLHLPLPLGSYVSQAIRPSFQISPEYQRLFRIIHAPILFRHFASDRRHMKDSNGNWMAKPPDYEAIVAEDGTMHNLYEYMEIKTGDVYSNKTIDVKDAVFSQKLGAVANNLEEFFTQIL >KGN55732 pep chromosome:ASM407v2:3:1008158:1010430:-1 gene:Csa_3G008890 transcript:KGN55732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIKTVQVSNVSLGASERDIKEFFSFSGDIEYLEMQSETERSQTAYVTFKDAQGAETAVLLSGATIVDLSVNITLCPDYELPPEATAPPPAPGTKPPGAAESAFRKAEDVVSGMLAKGFILGKDALNSAKAFDEKHQLTSTASAKVATFDKKIGFTEKISAGTSLVSDKVREVDQKFQVSEKTKSAFAVAEEKVSNAGSAIMKNRYVMSGTSWVADTFNRVAKAAGEVGQKTKEKVVVTEEEQKKKTVEDFAKVHLSESPKAASAPPEEPQQRPKPEPAQGLIL >KGN59432 pep chromosome:ASM407v2:3:31860026:31863380:1 gene:Csa_3G819890 transcript:KGN59432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWALRRASTPLRNQGYRVRTSYVFGKLEVPYFWEGNVAGFGTIATLSDRYISSERNNLATWPSSGIYISSHGLSSQAGAENSGEEDGFSELDETLPTTRSEIVDDDDNVVDDGTQNELDLLEGETELAEKKFTKWVPSELTKAIWNASGLSVSSALDKWVSEGNELSWDDISSTMMSLRRRRMFGKALQFSEWLEASGQLEFNENDYASRLDLIAKVQGLHKAESYIAKIPKSFQGEVMYRTLLANYVAANNVNKAEEVFNKMKDLEFPMTTFAYNQVLVLYKRNDRRKIADVLLLMEKENVKPSPFTYKILIDAKGLSKDISGMEQVVDTMKAEGIELDVFALCLLAKHYVSCGLKDKAKATLKEMEEINSKGSRWPCRLLLPLYGELEMEDEVRRLWEICEANPHIEECMAAIVAWGKLKNIHEAEKIFDKVVKTWPKKKISTKHYCTMIKVYGDCKMLTKGKELVNQMAESGYSIDPLAWDAVVKLYVEAGEVEKADTFLVKAVKKYEMRPLYCSYRTLMNHYARRGDVHNAEKIFYKMRQSGYGPWFNQFETLIQAYVNSKTPAYGMRERMMADKLFPNKALAGKLAQVDSFRKTALPDLLD >KGN56312 pep chromosome:ASM407v2:3:6038598:6039356:-1 gene:Csa_3G114520 transcript:KGN56312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYEIFSFLYSVKISLFGFILSYLYGFLAVILSDYYDLPFNDILDWRKFSVIVKERDVYQLKQILKDISDIEFIKLHKNLMQVQKHFQWNSPPIKYDAFHMVMYDLWLRHHVIKY >KGN59649 pep chromosome:ASM407v2:3:33301910:33305135:-1 gene:Csa_3G835860 transcript:KGN59649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEKALKPLSTVPGLEGSNESSCKGDLSKQGIGTANGNVEELKNKGCASIRPQVNGENSNSGAEVGSSEVEYIESENLTDLEDVSSSLKTLLAGLESKDWVLVCGALNNTRRLAIYHREDMLDMLGDVISLLVKSMKNPRSAVCKTALMTSADIFSAYNDKMIESLDPMLVQLLLKSSQDKRFVCEAAEKALVAMTSSFSPELLLPKLEPYLKNRNPRIRAKASMCFCRSVPRLGVEGIRAYGIDKLIQTAASQLSDQLPESREAARILLLELQSVYEKFPNLPTTMPEDPEKGSWEDFCQSKLSPLSAQAVLRVTNVSREGIVSSS >KGN57006 pep chromosome:ASM407v2:3:10013199:10017527:-1 gene:Csa_3G149370 transcript:KGN57006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKKHKRPEQESEALDRDDFEANHETELINGCSPEKKKKKKKKKVENGSIEAEKRKPISKPTVSIAVSGSIIDNAQSLELATRLAGQIARAATIFRINEVVVFDSGRSSTTGSEVAAANNSDEDESGAAFLIRILKYLETPQYLRKALFPKHNNLRFVGMLPPLDAPHHLRKHEWGPYREGVTLKERAPDAKGTSVDVGLSKNVVVDEILEPGTRVTVAMGTDRNLFSDLPRQVVSSSKPVEEGLYWGYRVRYASSLSAVFKESSYEGGYDHLIGTSEHGMVIKSSELTLPPFRHLLIAFGGLAGLEESIEEDNNFKSKNAHEIFSSYLNTCPLQGSRTIRTEEAIFISLQYFQEPINKAMQIAAVD >KGN60357 pep chromosome:ASM407v2:3:38810583:38819413:-1 gene:Csa_3G901020 transcript:KGN60357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSETVTVKNMLARSGGDALRASVSRGMKFHDDVDSFSRSNGPSNGNNDFSKGEVDKFHCSDLEWINKIPECPVYQPSKEEFEDPLVYLQNIAPEASRYGMCKIVSPFSASVPAGIVLMKEKVGFKFTTRVQPLRLAEWDTDDRMTFYKSGRNYTFRDFEKMANKVFERRYCSSGCLPAKYLEKEFWHEITGGKTNTVEYACDVDGTAFSSSPNDELGKSKWNLKKLSWLPKSVLRLLEMVIPGVTEPMLYIGMLFSIFAWHVEDHFLYSINYHHCGASKTWYCIPGDAALRFESFALENVYRDDIMSAGGEDGAFGILSEKTTMFPPNILLEHGLPVYTAVQKPGEFIITFPRAYHAGFSHGFNCGEAVNFAVSSWFPLGALASQRYALLNRVPLLPYEELLCKEAMLLYTSLELEDSDHSSMDSVSHHFLKISFVSLIRFHHCARWLLVKSRVCRRISTRSLGTILCSLCKRDCYIGYVNCSCYEHPACLHHDFDSINFSCGRNYTLVLREDTSEMETAARKFEKEGGVLEEMLEQTKSNRDLYSYPLSNLFQKAEEKGYSAYCPLTFQLNPGLDESEKNCSGSDIQPPGDQNDDDSDTEIFWVKRRSLRVKKNILNDNRIKKPSQQGLKRLKKLHQHTGYGQLMSSVCCKADKSSSKVFPTSKDDIFVDRSTKTTIPISIKLKRFSIEEAKSRQQIERHRKEVFWHEQGKASPNQPLPSAECGPKRLKIRGPSSYLGSQR >KGN59267 pep chromosome:ASM407v2:3:30678274:30679463:-1 gene:Csa_3G792070 transcript:KGN59267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNIKQRMMDIGDELIPGLPEEIALECLTRSHFTTHRVAARVSRRWHRLFLSRHFYNLRKLSGRTHKAVFAVQSLLQPVSDEAKSAAPIAFGVSAFDPATGNWTRIKPIEKYPNGLPLFCRIIGVDGKLAVIGGWDPVSYRPVEDVFVYEFAAEKWRQGKGMPEKRSFFGATEYGGEIFVAGGHDEGKNAAASAWVYNIRNDEWRELPAMSRGRDECEAVAIGSEIWVVSGYETENQGNFERTAEVYETKTGKWRRVESAWCEERSPRNVVGVGREGELFNWATAAAAAKTTAVTGEGIVGVNMEEKAIVFMGRNGVFMGECQNGKLERIELPEEFSGFVQSACYTHI >KGN57607 pep chromosome:ASM407v2:3:14882423:14894606:-1 gene:Csa_3G228350 transcript:KGN57607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSQTPSWREGMSSDNIKGLILALSSSFFIGASFIVKKKGLKKAGASGVRAGVGGYSYLYEPLWWVGMITMIVGEIANFAAYAFAPAILVTPLGALSIIISAVLAHIILRERLHIFGILGCVLCVVGSTTIVLHAPQEREIESVTEVWQMAMEPAFLLYAASVMTAVFILIFHFIPQYGQTHIMVYIGVCSLVGSLSVMSVKAIGIALKLTLSGMNQLIYPQTWIFTLVVITCVLTQMNYLNKALDTFNTAVVSPIYYVMFTSFTILASVIMFKDWDRQSPTQVVTEMCGFVTILSGTFLLHKTKDMVDGPATTLSMRLSKHAEEGGFNGGEGIPLRRQESSRLP >KGN58371 pep chromosome:ASM407v2:3:24550882:24554608:1 gene:Csa_3G630270 transcript:KGN58371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTAGSDVEAGFAKLQGEDFEYYMQTYSIILGRNSKKSTVDVDLSSLGGGMNISRHHARIFYDFTRRRFALEVLGKNGCLVEGVLHLPGNTPVKLDSQDLLQIGDKEFYFLLPVRNILGSSVGPRSYMGHPGSASTGPAVAGPVVPPHSHYNFHLSGSGGAATAGAMVKKGRGREYYEEGYEDEDDIGGSSGKKFRREGYGAGGSGGKAGFSGGLVSMDKKLDGRSRVDREADNQLLQEEKDVVSSVANVLSDLCGPGEWMPMEKLHSELVEHYGNVWHHSRVRKYLTSEDWHGPEAKDKPWYGLLMLLRKYPEHFVINTRSKGRVTLEFVSLVSLLS >KGN59732 pep chromosome:ASM407v2:3:33889140:33894837:1 gene:Csa_3G842050 transcript:KGN59732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVKFTAQSVEPRIQNTNKSPVVEDFDKECLKLTGMQHLYKNKLQNFSQKRGLTLPMYTCERDGPPHASRFRCKVEIDGKTYESLEFHGTLKDAENAVAKVALMSLCQDGAQEDSDSGLYKNLLQEMAQKGGLGLPAYSTSQSGEVHVPVFVSTVKVGEENFEGKPSRTKKQAEMSAAKVAYFTIKEGSQSISTRKRAPSCDLALEIPRDIATSSHNVAQPGQSKDYVSRIVSRLEAGKSSSSKRIFVCPRQPNMTIPKESSVLPISDDQWVAFSFETGPSQ >KGN57089 pep chromosome:ASM407v2:3:10569805:10571165:1 gene:Csa_3G152650 transcript:KGN57089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGASRRGNAAAGNNGRRRNQKNSRSHLSSHSSLSWSSPTLYHLRYLSYLDYVAKQLDIYHTMFSDIGGFGLACYVSLWLNLPHRNVVAHDFLCHILKKVSFSSIRPNCRLTSRKQMVKMVSEALTFDYLFGLAHMDGRERGRGSYQLDAPRGRFGSHSLGRGSSQDGSDYGQLRGNGFPRWVTTRFNSNVNLWGIDYQDKMFRSH >KGN57040 pep chromosome:ASM407v2:3:10217169:10218444:1 gene:Csa_3G150200 transcript:KGN57040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESGFEGFEKRLELHFTGNEPIIHMGLRQIDLSSLEQILRTVHCSIVSSVGNHFFDAYVLSESSLFIYPTKIIIKTCGTTQLLKSIFPFLHQARSLGLTLSSCRYTRGNFIFPKSQPFPHSSFKEELLYLEESLPENLHYRKASVIPSNLPSHSWHVFTAADDAALIHRNPEFLYTVEICMTELDRILARKFYFRSGDGKAGNSIGKEMTNLTGIGDINPSGLVCEFAFFPCGYSMNGIDGDRYSTIHVTPEDGFSYASFECVGSVYDDPDDLVRMLKKVVQIFRPAAMSVATTGASHEVWALVAGALDPLGLKCRSCAVDEFPSAGSVVFQTFTARRK >KGN58883 pep chromosome:ASM407v2:3:28144037:28146818:-1 gene:Csa_3G734360 transcript:KGN58883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASRRLRDLQSRPGNKICVDCSQKNPQWASVSYGVFMCLECSGKHRGLGVHISFVRSVTMDSWSEIQIKKMEAGGNEQLNAFLSQYGIPKETDIVTKYNTSAAGVYRDRIQALAEGRSWKDPPAVKENIGVGKSRPPLAQSAGGGGSKVNNGGWDNEDNFRSSSDMRRNQSTGDVRGMGGGGMPSRSRSTEDIYTRSQLEASAANKDNFFAQKIAENDSRPEGIPPSQGGKYVGFGSSPAPARRNDPQNDVFSVVSQGFGKLSLVAASAAQSAANAVQAGTKELTTKVKEGGYDYKVNETVNVVTAKTTEIGQRTWGIMRGVMAMASQKVEEYAKDGMNWKNDGWQRNENEKNGYYQEFEHDNKGWNSSSGTGQSSGSGHHNNSYNSSSWDDWDTKDNRKEETTTKVSGTHNNNNNNNNNNNSNDGWAGWDDQKDDGYDHYYQASDRKTVGQNGKAGGGTWSEGGFL >KGN58029 pep chromosome:ASM407v2:3:20568765:20569222:-1 gene:Csa_3G444570 transcript:KGN58029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDVWVGVQVRLNLFLLTTAIFTQISSFYFSFSPSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSFTFFLTF >KGN57433 pep chromosome:ASM407v2:3:13040570:13041970:1 gene:Csa_3G185130 transcript:KGN57433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKNPSHFLKVETRVLKVHVDCQGCLQRVRKLLNRIEGVYKIDINCEQQKVTVTGNVDSTILIKKLKKLGKHAELWPSTSKHGEGEESNLRKYIDNQMKDATDPYYTFQNQHMLPILNREFNNRSLFERYLDQESGMSNSFRYHPVTTTAAQKARAVYDNEKLGNQMISMAHNVGIQDFQFDGVPDNTCVRDYRFGIFPDFPYA >KGN58116 pep chromosome:ASM407v2:3:21490728:21490992:1 gene:Csa_3G516550 transcript:KGN58116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVKLVVRELLLEVVVELEIDHQINWLRESVVVVGRVKGVSGVGRRKVVIKGAKLVVEVVHAKVVTGIIIEVIVGA >KGN57490 pep chromosome:ASM407v2:3:13650931:13651883:-1 gene:Csa_3G199030 transcript:KGN57490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSFGPQWECNSWMAACKSKHLEISVDFYGPYPQGQAGVIVPYNWTDPDPKYYLLFSNGDLQTPSLILSSLIGYDEQGEEGDGLSSLDLFSDPI >KGN59648 pep chromosome:ASM407v2:3:33296743:33300821:1 gene:Csa_3G835850 transcript:KGN59648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKYQCSWLLHIHLAPPPFHLHQPQLYLHSLLNFSFLSSSSSFHHPSITLSLSRAHTHISRRGTRQNPRNPPWKMDRIIQSPASKFRPFRRQLIGSSSDSATGSAAATDEHPNYILLRYQILDPDSDIVAQWNRVFLVTCLIALFIDPLYFYTSSVGGPACLTSEVNLGVAITFFRTVTDLFFLLHMVLKFRTAYVAPSSRVFGRGELVMDAKAIATRYLKSDFVIDLAATLPLPQIVMWLVIPITRNSRVDHANNTIALLVLLQYVPRLFLIFPLNQRIIKTTGVVAKTAWAGAAYNLILYMLASHVLGSTWYLLSIGRQFSCWKSECAKENASQVLTCLPIFLDCTSLNDTLRQYWLNVTQVTSKCDPRNENIKFKFGMFSDAFTNDVASSHFFAKYFYCLWWGLRNLSSYGQTLDTTTYIGETLFCISTCIFGLILFSQLIGNMQTYLQSMTVRLEEWRIKRRDTEEWMRRRQLPPDLQERVRRFVQYKWLATRGVNEESILRSLPIDLRREIQQHLCLSLVRRVPFFSQMDDQLLDAICERLVSSLCTQGTYIVREDDPVNEMLFIIRGQLESSTTNGGRDGFFNSITLKPGDFCGEELLTWALMPSSSLNMPSSTRTVRALTEVEAFALRAEDLKFVAGQFKRLHSKKLQHAFRYYSHQWRTWGACLIQVAWRRLQKRKLAKRSTAYRDSLSSYADSMQQYNEYDIELAEENYDDDSDNTIEDEDDMSSADYKSQHLGATILASKFAANTRRGVNQKGQTSKPSSLKMPKLFKPDEPDFSMDG >KGN56346 pep chromosome:ASM407v2:3:6323954:6324193:1 gene:Csa_3G116830 transcript:KGN56346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCTKTQNSDKFLTQKKVVVVTNTFLLENNGDLYFHWEWLQTETPLPLESELATIGLKSRKDAPQVPKAAEHPPRVQLT >KGN58862 pep chromosome:ASM407v2:3:28022765:28026115:-1 gene:Csa_3G734150 transcript:KGN58862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFHLLLRPLPSSSSMAALAVFLCVLSCFLPELAFAKTRHYTFNIRYQNVTKLRHTVRVLTVNHQLPGPPLVAREGDRVLIKVINHVAENVTIHWHGVRQLQTGWADGPAYVTQCPIQTGQSYTYNFTLNGQRGTLLWHAHISWLRATIHGPIIILPRRNESYPFENPHKEVAIILGEWFNVNPESVIQQALQTGGGPNVSDAYTINGHPGPLYNSSSKDTFKLKVKAGNTYLLRLINAALNDELFFSIANHSLTVVDVDASYIKPFQTDVVLLSPGQTSNVLLKTNPNFPINSTFLMAARPYFTGQGTFDNSTTVGILHYGPGHSPLPTPITTLIPNLPAINDTNFVTNFSRKLRSLATAKFPVNVPQTVDKQFFFTVGLGTAPCPKNATCQGPNGTKFAASVNNISFALPSTAILEAYFSRRANGVYRTDFPVKPVFPFNYTGTPPNNTLVSNSTSLVVLPFNASVEVVLQGTSILGAESHPLHLHGFNFYIVGEGFGNFDPNKDPANFNLVDPVERNTAGVPAGGWIAFRFFADNPGVWFMHCHLDVHTSWGLRMAWIVLDGPKPNQKLPPPPADLPKC >KGN56749 pep chromosome:ASM407v2:3:8601017:8602625:1 gene:Csa_3G132020 transcript:KGN56749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDINQLPAECLSSILAFTSPKDACRSAVASPAFRSAADSDALWITFLPSDYRQIISQASSSSTSSCLNSLSKKALYFRLSDHLLFIGSGNSSFVLEKESGKKCYMIGARDLDIIWGNSPQYWTWKSIPTSRFREVAELQVVWWLEIKGKIEARSLSPKTKYAAYFVFKLVEDRYSRRGFQIRPVSLEVHFEGAEVEEDGRKRVILDPPEGSLVVCEERSDGWMEVEMGEIFNELGDDGTIIFHLKQIDNFISKGGLIVEGIEIRPKYD >KGN59924 pep chromosome:ASM407v2:3:35570248:35570651:-1 gene:Csa_3G854200 transcript:KGN59924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSAANGRRWAIDDDEMRRQLLIYDRPTGKGKTHTIKRTTCGQKVERRRTIAHRLKIPVSSELLEVVADEREVRQQHKLGFLFSL >KGN59048 pep chromosome:ASM407v2:3:29084172:29085857:-1 gene:Csa_3G748280 transcript:KGN59048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTINFAKFLSGHWFSELIRSPSQEMMAKERKSKRSSTFRWISRKVMHLETEPSVIGFLSLEISALMAKLVQIWNRLEDDEFRRAKQNLSNSIGIGKLISNDESFLMELFMKEIVEDLQYIAKSIVRFGDKCSDPVLHEFEKFVKDPLKNEFNWFGWQYKWKKMDRRVKKMQRFVVLTVELWREIEILAEVEQNLKRTTTIFSFSGGAGKSFKFRKKISWHRRRAQSLKLMTPWNRTFNYILRLFMRSMVTIIERIKIVFEVKEMRRSEDSRDKSAERRGTELEEQRKKQNYNQSPTSMKISSESKIFTQFPHFRSLRDHKNREVGSPQPSLRKTSSLNLENSAVENRASSSPKRIDGGHYSISSFFIKENLSDPPQNSLGAAALSIHYGKIVILIENLASAPHLIGREERDDLFKMLPTSIVKALRSRLRKTKKVRQSSPYDPVVAAEWKSAMAEILQWLSPMAHDMNIWHSAQGFEKQPDRGGDSGIGGYGLRSNVLLLQTLHYADKEKTEGAIVELLVALSNICCSNEVCEKRLLNPLGVEAHRNYSIMNDGFSYFGIV >KGN57426 pep chromosome:ASM407v2:3:12951039:12954677:1 gene:Csa_3G184080 transcript:KGN57426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDLEAKAKEAFIDDHFELSVDLYTQAIALSPKKSELYVDRAQANIKLGHYTETVADANKAIELDPSNSKAYLRKGTACMKLEEYQTAKAALETGSALAPGDSRFTNLIKECEKLIAEEMGDLTQESVENDVQETVKSSADIVPVSDQLHQATIEVKPKFRHEYYQKPEEVVVTIFAKGIPAENVAVQFGEQILSVTIDLPGEDAYCFQARLFGKIIREKCKFFVLSTKIEIRLVKAEQIHWTSLEFSKENRIIPSISVPSSGSQRPSYPSSKPRRDWDKIEAEVKKEEKDEKLEGDAALNKFFRDIYGDADEDTKRAMEKSFVESNGTVLSTNWKEVGSKKVEGSPPDGMELKKW >KGN59571 pep chromosome:ASM407v2:3:32788536:32791707:1 gene:Csa_3G826650 transcript:KGN59571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRSDDEEAFIALGNECSDPQPPLFRDRFDELYPPEFSRKLVAEVIATYLLVFVSCGVAALSGSDEPVVTKLGASITCGLIVTVMIYSVGHISGAHMNPAVTIAFAAVRRFPWRQVPLYAAAQLSGATSAAFTLRILMDPIQDLGTTSPHGPALKALVMEIVVSFCMMFVTSAVATDTKAIGELGGVAVGSAVCISSIFAGPISGGSMNPARSIGPAIASSRYEGIWVYMIGPVTGTLLASFSYNFIRATEKHTHSLSLH >KGN58269 pep chromosome:ASM407v2:3:23364153:23364813:-1 gene:Csa_3G603560 transcript:KGN58269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTNISESLNNAMIKARELPICSMLEVLRMMLQRWWFFESRNEAAYQVTNFTKTVEGDHRQWQVPDDVLSIDILPPNVKRPVGRPKKIRIPSKMEFK >KGN58032 pep chromosome:ASM407v2:3:20616784:20619870:1 gene:Csa_3G444600 transcript:KGN58032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPTAIKMPVRRKSSLKKPVKDVSNLKYPPTSSKSLTTTPTTTQDFNRSKPEDLDDSLDRLLLLQSDLSALTHQIDELVVKALELKEIDKQGRKEIESFTHALSDILSSLKPWLPRFQKVFSHPSKDSDDGIGQSLANGGNTLVNDMENNVADSPDHGEAQDLVSPSPLVSWRAGCNIERGRQMFLLTPLPISKSFSSKHVAKSVLNGMKSGILKSTQPCFIACGDLNENPLECNVIEPSVVKPSGPDLSTLGENLLECNGTEASVVGSNLVEGNGLEPSGAEPSGSDLTQAGIIHQRGFASPPLLSKKNCSMLIMTPCFKMSPPKSCVLLEPISESSHKDKKRFYKATPFPVGVHDCSSGSDASDGLALKYPELLGIQQAHKTGIRKKVEASPDWYMSPPKTCVLLEPSDSHSVKSATSSGCHEANKSFSHQDPVGVSLPHIDNTPMLKGCESVFRVGKFAGEETLKKELWMKFEAASANPFPCDKALQKTSKKGFLDLLDEVSCD >KGN58147 pep chromosome:ASM407v2:3:21997037:21999699:-1 gene:Csa_3G560220 transcript:KGN58147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPYRATLFQNLVLRNSPAPKPSLNSNHLIDAHIVKTGFNPNTCRSNFQVNNFLERGDLVHAHQVFDQMPAKNTISLNMMISGHLKFGKLSKARELFDGMVERTAVSWTILIGGYLQSNQSKEAFRLYADMRRGGIEPDYVTLVTLLSGFGELETKNVIVQIHTHVIKLGYEYNLMVCNSLVDAYCKTHCLYLASQLFKHMLNKDTVTFNSLMTGYSNEGLNEEAIELFLELHNSGIKPSDFTFAALLSAAVGLDDTKFGQQVHGFVLKTNFVWNVFVGNALLDYYSKHDQVDEVGKLFYEMPELDGISYNVVITSYAWNGQFKESFDLFRKLQFTRFDRRQFPFATLLSIATSSLNLRMGRQIHCQAITVGANFESRVENALVDMYAKCNGDKEAQKIFDNIACKSTVPWTAMISAYVQKGKHEEGINVFSDMRRTGVPADQATFASILRACANLASISLGRQLHSLLIRSGFMSNVYSGSALLDTYAKCGCMTDAIKSFGEMPERNSVSWNALISAYAQNGNVDGTLNSFQQMIQSGYKPDSVSFLSVLSACSHCGFVEEALWHFNSMTQIYEVTPKREHYTSMVDVLCRNGRFDEAEKLMTEMPFEPSEIMWSSVLNSCRIHKNHELAKKAADRLFNMEDLRDAAPYINMSNIYAVAGQWDNVAKVKKAMRDRGVRKVPAYSWVEIKHQTHVFSANDKSHPEMKKILRKINALSKEMEKKGYKPDTTCALHDVDEVIKIESLKYHSERFAIAFALMNTPDGSPIVVMKNLRACTDCHAAIKVISQIVEREIIVRDSSRFHHFKDGVCSCGDYW >KGN58426 pep chromosome:ASM407v2:3:25128801:25140533:1 gene:Csa_3G642670 transcript:KGN58426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKFLKGVVGGSGTGLKDLPYNIGDPYPSAWGSWTHFRGTSKDDGSPVSIFSLSGSNAQDGHLAAGRNGVKRLRTVRHPNILSFLHSTEAETIDGSASKVTIYIVTEPVMPLSEKIKELGLEGTQRDEYYAWGLHQVAKAVSFLNNDCKLVHGNVCLASVVVTPTLDWKLHAFDVLSEFDGSNEATSGQMLQYAWLIGSQYKPMELVKSDWAAIRKSPAWAIDSWGLGCLIYELFSGLKLGKTEELRNTASIPKTLLKDDIPLVKCSEILWTQLKDLSEDFLASNREANLTLIGVLKTLGF >KGN59098 pep chromosome:ASM407v2:3:29592768:29592959:-1 gene:Csa_3G769640 transcript:KGN59098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLDDEQLNYKHVKHGTNKLIMEIDKSSEAGEIKVPAQYASQVLKFLDELGARDKKKKAEEDK >KGN59161 pep chromosome:ASM407v2:3:29957519:29958145:1 gene:Csa_3G778180 transcript:KGN59161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKKLALVVLMVMTIFTCSLAQDALNDDKRQFEKNENKEYIQLFSDGSNDKGVRYKDDYSRKMMKEAPTPTPTPPPPPICFGNFCGGGLLDCDEPCFCNIPMGATRGNCVLY >KGN57293 pep chromosome:ASM407v2:3:12026230:12027127:-1 gene:Csa_3G176880 transcript:KGN57293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFPPLFQRSLFLLTSLSPFKFPSRIAFFQASHFEKTTFSQENSIPSFFPSQITSLTPSFTTLILLQVWLTHQNLEENREKTVSFPGEISSRCLSLKMIHSCKWKPRVVHFFMNFR >KGN56589 pep chromosome:ASM407v2:3:7634254:7643745:1 gene:Csa_3G125560 transcript:KGN56589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNGAYRNGGSQRGSFKADRPPHAGSNLRTSSFKARPSIRRSTSGSFGSNANKDGDGVPGRVRVAVRLRPRNGEEQVADADFADCVELQPELKRLKLRKNNWDSDTYEFDEVLTESASQKRVYEVVAKPVVESVLEGYNGTVMAYGQTGTGKTFTLGRLGDEDTANRGIMVRAMEDILSDVSPETDAVSVSYLQLYMETLQDLLDPANDNIPFVEDPKTGDVSVPGATVVEIRNQSSFLELLRLGEAHRFAANTKLNTESSRSHAILMVHVKRSIVREDVLSGEEGEPLELGRPFRPVIRKSKLVVVDLAGSERIHKSGSEGHLLDEAKSINLSLSALGKCINALAENSAHVPIRDSKLTRLLRDSFGGSARTSLIVTIGPSPRHRGETSSTILFGQRAMKVENMLKIKEEFDYKSLSRKLEVQVDKLIAENERQQKAFEDEIEKIHLEAQNRISEAERNFADALEKESKKCQLDYMETVKKLEEKLVLNQPKIHNDDSICGKSSGQEGFVSAAEEVEVKKMLENEVNLRKVAEEEVNRLRHQLELYGQPNVGEESDIVKLTKVLEDEARQKKKLEEEVIILQSQLLQLTLEAEQMRKCLDRGGADNGFPAYDTPMSPFRHSQLKETKSSHKPQVATLFEQVGLQKILSLLDSEDANARIHAVKVLANLAAEESNQKRIVEAGGLISLLMLLRSYEDETVRRVAAGAIANLAMNEANQERIMAEGGISLLSLTANAAEDPQTLRMVAGAIANLCGNEKLQSKLRSEGGLKALLGMVRCGHPDVLSQVARGVANFAKCESRAASHEMNNGRSLLIEDGALPWIIQNANNEVAPIRRHIELALCHIAQHEINAKEMIRGGALWELIRISRDCSREDIRNLARRTLTSSPVFRSEMRRLRIEF >KGN59687 pep chromosome:ASM407v2:3:33550671:33556861:1 gene:Csa_3G838660 transcript:KGN59687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFYKWQSDSSFFASSSLSTLLCLRKRGREITHRYRFHFRLVSSTQPRLSLSRRVTYLLFIVMAATATAVSVGPRYAPEDPTLPKPWRGLVDGKTGYLYFWNPETNVTQYERPVAAAPLNSSIVSISSSVQIQKPSSGHSYNNNLNENNDKYGRGSHAPKQEVARGETFQSHDTSNGTPNTGHGGAPLKGHRPSDAGNGISAESYRQRHEITFSGDNVPAPFSSFEATGFPPEILREVHNAGFSAPTPIQAQSWPIALQSRDIVAIAKTGSGKTLGYLIPGFIHLKRIRNDPKLGPTVLVLSPTRELATQIQDEAVKFGKSSRISCACLYGGAPKGLQLRDIDRGVDIVVATPGRLNDILEMRRISLHQVSYLVLDEADRMLDMGFEPQIRKIVKEVPARRQTLMYTATWPKEVRKIASDLLVNPIQVNIGNVDELVANKSITQHIEALAPLEKHRRLEQILRSQEPGSKVIIFCSTKKMCDQLARNLTRQFGAAAIHGDKSQGERDHVLGQFRTGRTPVLVATDVAARGLDIKDIRVVINYDFPSGVEDYVHRIGRTGRAGATGIAYTFFGEQDAKYASDLIKILEGANQRVPPELRDMASRSYGMAKFRRWGSGSDGRDGGRGGRNDSNSGGRGGRGMSSFSSSKPERGGGRGYDFDSRERYDSGYNRGRSRSPPRGGVGGDRTKSWNRDHSPPGWSPDRSGPARDRSPVRSFHQAMMERSNIPPRGVENASKNGSGSWNQVRSRSCSRSRSPNRFNRAPPARERSPVLSFHKTMLDKGNSGGGTHDNPDNNNKDSRRSPRDRMDGGGYEKSSRTSYPREEDEEGMIPQDEQGKGASD >KGN59953 pep chromosome:ASM407v2:3:35797822:35799414:-1 gene:Csa_3G855960 transcript:KGN59953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHYYETQANGEASGHDFQNCGYSSYSLSNIQMSSPSFDLRVFYIRFSNFQVDDLTPEFLTLNQFPLMPNTHLDVNGVKSSNYSEGFSSLLKRDRVNKNSEEATFVSTHTIRLKRGLKFEVFNGNYQILSGELSHTGCTGKSKSNSKKRWSMNCESGAVSDAAFFKGKLIPGQALISPTIEVYIAGSFSGNPIVLTKTLQLNFWKKHSHKRMLDSIPEHDTTECDEDSYPVYDSQVSKYRNYGEENEDDYGNMYWGTDYMEREDGELSWFNSGVRVGVGIGLGICLGIGVGVGLLVRTYQATSRTLKRQLM >KGN58061 pep chromosome:ASM407v2:3:21009838:21011502:1 gene:Csa_3G481210 transcript:KGN58061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYSWALSEVEEALGGSLLVAADVIYSDDLTDAFFNMLEKFMSQGSEKVLYLALEKRYNFTLDDFDIVANGYSHFLSYLKHEEDDTENSKLEHESKPHFVGHRIDLANIPQYVLNYERGKDVEIWQIKYCRKEC >KGN59055 pep chromosome:ASM407v2:3:29111508:29122437:1 gene:Csa_3G748840 transcript:KGN59055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGQPEGNKADVQGSSWIPATPMKPILPKPPLQPLIYARMDRNQPRPYWLGPERLFSNSDKEAETSSGVACYGGANSMTANGSNDWEAAQARQFQVACNDNGTVTIHSMDALGGIPFLQLMALADAASIVGADAALGGNASDLFDSGSSYQIELESSSMKDRLSGSCIPEAKEYETSDHGSQHAHDLNFPSRTESDAAGIRVTSQFAPLTPDMGKIKYTERGMELQQIPTENSQDERELNHNCNTSITVDGENLRQNQELLEPAMHSTINCTPDGKEGKNDGDLNKTPASRQRRRKHRPKVIVEGKTNRTKQNLKTPSSNPSVRKRVRKSGLAKPSATPSIEVTGETSEQEIVKHRRKSCRRAITFDSQAQTRDESLDLGPLEQGSLTQNIQSTTGLEEVRIEEVGSSTDPNWSMNQMLKKYESLSEKEAPPTELSAENDSSEQTQPSKSQKENDTEQNGKVISSSDKENTVETILNDENHSLPGNSHGLIFCKNPPLTSIEQATCCLRKRPRAIKQAHTGSINLTGAHYNTLSAYQSMSWMHFPHIYKKKRTEKGQNPIPSSAFATATNFTRPESACSFNDPQRDHVVSKFNTWIPGPQFNICKSKTVAGHEGNNLQDKLQTCGGIVGLGQTGRTKKKPRTAKRLSSSARPERISHWEKQPIYPTNHPPPAGSAKNINTSGTCINGLFEIMHATVAKKKRTKKKPSNSALLNINKDLQDRRFVSFSPWQFFPKTLGTDSEHGNQICFIDLIAEQLKHLDINKESNNLGYREQALIPYNMQNQEHNAIVVYGRDGTIVPFNPIKKRRPRPKVELDEETGRVWKLLMGNINSKGIDGTDEENIKWWEEERKVFQGRADSFIARMHLVQGDRRFSQWKGSVVDSVVGVFLTQNVSDHLSSSAFMSLAARFPPKSKCRQASCSQEPIIELDEPEEACMFNLEDSMKLNKQIIHQQISEEDLLMKDEMEKGEGRIIVENNESSGSNVEDGSSNKEPEKKSFSSSHNILETCSNSVGEISLTETSSMQACLSGEKETYDSFSSQDCLDSSIPQTNESVEPSSEGNSEDLPSWSTEAHIDSSSEELTQMTGLNTLNANFTIDTCVEQSENTITNKLVENKCDNRIDDTSQPVDPEISLKNSVYHLSGYQTQQNQTSKSLEVDCCQTSNGVQTSNDCQNKDEQFHTEQSTLTVESDNHAIVEMELIVDIVEAPSSSSELSINAKEPCLTLQSQSSVIEDPQNVESPAECTNTVHEIPPNATEIATKPNPKECNLLSNEFKELKPASSRSQSKQVAKEKDNINWDNLRKRTETNGKTRQRTEDTMDSLDWEAIRCADVNEIAHAIRERGMNNMLAERIKDFLNRLVKDHGSIDLEWLRDVEPDQAKEYLLSIRGLGLKSVECVRLLTLHHLAFPVDTNVGRIAVRLGWVPLQPLPESLQLHLLELYPVLESIQKYLWPRLCKLDQRTLYELHYQMITFGKVFCTKSKPNCNACPMRGECRHFASAFASARLGLPAPEDKRIVSTTECREPDNNQPRTIDQPMLSLPPSTISSVEIKPSESHQSDGKTTAGACVPIIEEPATPEQETATQDAIIDIEDAFYEDPDEIPTIKLNIEEFSQNLQNYVQKNMELQEGDMSKALIALTPEAASIPTPKLKNVSRLRTEHQVYELPDNHPLLEKLKLDRREPDDPSSYLLAIWTPGETANSIQLPEKRCSSQEHHQLCCEEECLSCNSVREANSFMVRGTLLIPCRTAMRGSFPLNGTYFQVNEVFADHESSLNPIDVPRDWIWNLPRRTVYFGTSIPTIFKGLSTQGIQHCFWRGFVCVRGFDQKTRAPRPLMARLHFPASKLNRGRGKTEDQ >KGN58990 pep chromosome:ASM407v2:3:28742379:28745571:-1 gene:Csa_3G740820 transcript:KGN58990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKMEINGRNPNLNGNGNHSSNDSKVALNGKSNEMPTFINHAEIAWHERRREWVGDRAENVQREPMEPILSWTTTYEDLLTAEPFQQPIPLAEMVDFLVDIWHEDGLYD >KGN59997 pep chromosome:ASM407v2:3:36092850:36102564:-1 gene:Csa_3G860330 transcript:KGN59997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSASLAGFFPRPSIREPCSPCTSSNNNRVFFPFISRKDTRNSICTLASAFQQEPAVVSSDNLPFHGSFIKPIQEVGGTGDVDEPIRGVSSTEPEPKSQLPSRVKKKTQEDGDSIEGRFKLRNGREVFEEKAYLVGVERKGDVAQLFSIDESLKELAQLADTAGLKVVGSTYQKLASPNPRTYIGSGKVAEIKSAIHALGIETVIFDDELSAGQLRNLEKSFGGDVRVCDRTALILDIFNQRAATHEASLQVALAQMEYQLPRLTKMWTHLERQAGGQVKGMGEKQIEVDKRILRTQIGVLRKELESVRVHRKQYRSRRFSVPVPVVSLVGYTNAGKSTLLNHLTGAEVLAEDRLFATLDPTTRRVQMKNGNEFLLTDTVGFIQKLPTMLVAAFRATLEEISESSLLVHVVDISHPLAEQQIEAVDKVLSELDVSSIPKLMVWNKVDKVTDPQHIRLEADKRGDVVCVSALSGDGLDKFCDAVQSKLKDSMVWIEALIPFDRGELLSTVHQVGVVEKAEYTENGTLVQAHVPLRFSRLLTPMRQLCIT >KGN60245 pep chromosome:ASM407v2:3:37944417:37947213:-1 gene:Csa_3G890010 transcript:KGN60245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVDQAEEMSEDEDRSLTSDLVGDGGAGAGGGGDAIAESASKTRNCTGCSGDVTASASASAENILHNVLENVLHFLTSRRDRNAASLVCKSWYRVEALTRSDLFIGNCYAVSPRRVTSRFNRVRSVSIKGKPRFADFNLMPDNWGAHFTPWVAAMAKSYPWLERVYLKRMSVTDDDLALLADSFPGFKELVLFCCEGFGTSGIAVVAARCRHLRVLDLIESDVADDEVDWISCFPEKETCLESLIFECVEWPINFEALERLVSRSPSLKKLGVNRYVSIAQLYHLMIWAPRLTHLGTGSFSTSEAVVHGDSEPDFASAFAACKSLVCLSGFKDILPDYLPCIYPVCANLTTLNLSFANITPEQLKPVISHCHKLQTFWALDSICDEGLQAVASTCKELRELRVFPVDPREDAEGPISEVGFQAISEGCRKLQYILYFCQRMTNAAVVAMSQNCQDLVVFRLCIMGRHQPDHKTGDPMDEGFGAIVINCKKLTRLAISGLLTDRAFSYIGKYGKLVRTLSVAFAGNSDLALKYVLEGCHRLQKLEIRDSPFGDGALRSGLHHYYNMRFLWMSACKLSRQGCQEVARAMPHLVVEVMKSDDDNENDNQVEGMEDHVQVLYMYRSLEGPRDDTPKSVDIL >KGN57678 pep chromosome:ASM407v2:3:15629192:15637086:1 gene:Csa_3G250890 transcript:KGN57678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQGSGFSTSSNPSQLSRACLTRNLILAYQSFGVVYGDLSTSPLYVYSSTFAGKLQKHRTEEVVFGAFSLIFWTFTLIPLLKYVFIVLSADDNGEGGTFALYSLLCRHAKLSLLPNQQAADEELSAYRYGPSSHAVAPSQLKRFLERHKTLRTILLLVVLFGACMVIGDGVLTPAISVLSSVSGLQVTEAKLTNGTLLLIACAILVGLFALQHCGTHKVAFMFAPIVIIWLLSIFSIGLYNIIHWNPSIIRAISPHYVIKFFRVTGKDGWLSLGGILLAITGTEAMFADLGHFTALSIRIAFAFLIYPCLVVQYMGQAAFLSKNLNLFPNSFYDSIPDPVFWPVFVIATLAAIVGSQAVITATFSIVKQCHALGCFPRVKVVHTSKHIYGQIYIPEINWILMVLTLSITIGFRDTTLIGNAYGLACMTVMFVTTFLMALVIVFVWQKSILLAAPFLIFFWSVEGAYLTAAFIKVPQGGWVPLVLSAFFMIVMFVWHYGTRKKYNFDLHNKVSLKWLLGLGPSLGIVRVPGIGLIYSELATGVPAIFSHFVTNLPAFHKVLVFVCVKSVPVPYVSPEERFLIGRVCPRPYRMYRCIVRYGYKDIQKDDGDFENQLILNIAEFIQMEAEEPQFSSSESSSVDGRMAVISTRNIQSSIIVSGHEETGTSNSIYSSKSATLQSLRSVYEDENPQLRRRQVRFQLSPIPSMDPRVKEELIDLIQAKEAGVAYIMGHSYVKARRSSSYLKKLVIDIGYSFLRKNCRGPSVALNIPHISLIEVGMIYYV >KGN57557 pep chromosome:ASM407v2:3:14279349:14279860:1 gene:Csa_3G213490 transcript:KGN57557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDTIGENWEEMNERSGNGKPEEKQEVEYGVELERSTRWSTKERRGARMELGGVKHIIIALIPRLSNSEGQT >KGN58189 pep chromosome:ASM407v2:3:22542692:22545545:-1 gene:Csa_3G588480 transcript:KGN58189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCASIHRNPDSAMKFKLSLASKTTDMEIPPSPIKQNNTPINPTVFLKSQTSPSHLGSKDEAFFDSRGWLDSDCEDDFYSVNGDFTPSRGNTPVHSSFSSGTPRINQVHGIDDQTPITIPEPSPTGKKRLAELFRESSRNGTDRQAKPTLNELALQSNPETPYISGTNSVCSSERTPNGDVWGEKERPFGSVQCCLPSLGSCHSFGDRRKKASPAIAV >KGN59025 pep chromosome:ASM407v2:3:28939324:28939910:1 gene:Csa_3G746570 transcript:KGN59025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPLLRLTMAASLLIGCINIVAAGDVIHIGGKVLCQDCTQGWNEWINGKPLKDCKVSVTCLDERSRVMHYASDLTDELGQFDITINKFINGKKLNPKKCSVRLVSSPDLACNVMTDFAGGRSGVQLRGPSLVYRDLVKYVLGPFYFTSPMCEEPDTSGSDDNDGKY >KGN60204 pep chromosome:ASM407v2:3:37641990:37648029:1 gene:Csa_3G889120 transcript:KGN60204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNAERIANFALAGLTLAPLVMKVDPNVNVVLTACLTVYVGCYRSVKPTPPSETMSSEHAMRFPFVGSAMLLSLFLLFKFLSKDLVNAVLTCYFFVLGILALSATLLPAIKRYLPDHWNEDAISWRFPYFRSLEIEFTRSQVVAAIPGTFFCAWYALKKHWLANNILGLAFCIQGIEMLSLGSFKTGAILLAGLFVYDIFWVFFTPVMVSVAKSFDAPIKLLFPTADAARPFSMLGLGDIVIPGIFVALALRFDASRGKDGQYFKSAFLGYSVGLVLTIIVMNWFQAAQPALLYIVPAVIGFLAAHVIWNGDVKPLLEFDESKTGIASEDGGEDDKGSKKE >KGN57577 pep chromosome:ASM407v2:3:14442357:14443280:-1 gene:Csa_3G217140 transcript:KGN57577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEIVLSIIVAFSLTTQLVIAVPPNYGYGVGYGGVPGATHLVGRDGLCLEMVLLRSYKPAGINFPTRLLPCDEKKQTQLWTVVGDGTIRPMNDRFCLAADVFYGVINKAVVSECGKVSDSNKQWIHKNDGTIALAESRMVLTGDSDYVTLQSIKYTPSQSWEVTESLNPMVANIEWLNNLCLQSTDDSSNVGLNGCNTYTKYQRWALYADGTIRQHVNRNYCLTSEQNYDRSVVVSKCEDKPQQRWSLNAKDSTIDHPNTNMVLDVLNVLDSASPLVIVTNHPDGSASQRWTMN >KGN58836 pep chromosome:ASM407v2:3:27917338:27921823:1 gene:Csa_3G733900 transcript:KGN58836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWGNVTAEDLIDALREVDWSSPPRPISEFFSRFTIPRSSAKWNSRLKCNLYYYRTNYFIMIVFILALGFLRRPLAIVAAFLTALSIAFLNDSFAGTFSEKVTRTVRQFSPHMAAKMRPPLTPVIRGRPSAKRAIYICGRPRWVFVLVFSSVSFLFWFISCGLLTVLWSLSFGLLATLLHASFRTPNLKARLNTFREEFRAVWRNYSEL >KGN57233 pep chromosome:ASM407v2:3:11523602:11527622:-1 gene:Csa_3G172380 transcript:KGN57233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METFVLNCYLFLCFSLILISDAEISSKIGINYGQLGNNLPAPNLAIEMMNSMRVGRVKLYDANHEILSNLSKTKFQVSIMIPNNEISGIANNQTRADQWILNNLLPFYPQTMIRFILVGNEVLSLDSDIDRQVWNDLVPAMRRIWSSLKANNLQIIRVGTPVAMDVLETTFPPSRGTFRSDIQRTVVAPMLDFLNETRSFFFANVYPYFAWAADPMNINLDFALFNGNFEQIDGGSGLVYTNLLDEMLDSLIFAMAKLGYPDIRLVISETGWPTAGDMEQPGANLLNAATYNRNLVKRITAKPTVGTPARPKVVIPTFIFSLFDENQKPGSGTERHWGLLSSDGSPNYQIDLTGKESSVEYDPLPVVENNMPFRGRLWCVAARGVDLMELGATVDDVCGRGDGTCEALSPGRECYEPVSVYWHASYAFSSYWSKFRSQGASCYFNGLAEQTTTDPNRKTNVLGERKSTPHSGDPKFHQEEVSRLEET >KGN60433 pep chromosome:ASM407v2:3:39310433:39313485:1 gene:Csa_3G910700 transcript:KGN60433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRGVFIFAHRIFASSSVVRTSSGFIFSELNPLKSSFHGFPLCRVFSFVPAHRRAPDPNDPSNLMKEDGISACSQMWIENFREPDRIVSNLTTYLQKFELWVLAYQKVCADEMGSYMPRNAIQRSALEDLLALRNAVLDSRFNWGARLKFFIKSPKDKTDYEALSKRKIKAILTTTQPAAFQDKIVQEVLFLILEPIYEARFSPKSYAFRPGRNAHTVLRVIRRHFAGYLWYVKGDLSTILDGMKVGAVINALMRDIRDKKVIDLIKSALVTPVITSKIDEGEKKKKKKRKYQKKKVLAEDEPKPDPYWLETFFGFAPEEAVKNPSWGHCGILSPLLANICLDELDHWMEGKIKDFYSPSKSDVIWNSPEGEADQGNTSWPEFVPTSGPDKTRKMDYIRYGGHILIGVRGPRADAATLRKQLIEFCDEKYMLKLDSECLPIEHITKGIMFLDHVLCRRVVYPTLRYTASGGKIISEKGVGTLLSVTASLKQCIKQFRKLSFIKGDRDPDPQPCFRMFHATQAHTNSQMNKFLLTIVEWYKYADNRRKVVNFCSYILRGSLAKLYAAKYKLRSRAKVYKIGARNLSRPLKEKKGQSPEYHNLLRMGLAESIDGLKFTRMSLVPETDYTPLPNNWRPDHEKALLEFIMLEDPRTLEEQRRCIRELGLVSPQDYISMLVWNYKRNATMDQMSLMNSGDHRILGLNLGSHGSKSKELEEHDQAAEV >KGN57220 pep chromosome:ASM407v2:3:11460506:11462506:-1 gene:Csa_3G171770 transcript:KGN57220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNQNPQNDPPLPSLPSPSSSTTSILDAPLNAVGFEIEIISPNRVSGRIVVSPKCCQVFKVMHGGVSAMIAEALASLGAQIASGFKRVAGFHLSIDHLQSAKMGELVLAEAIPLSVGDAIQVWEVELWKAKSSSIERRDLVASSRVTLLCNMPIPKHSQPLVDTLKTFAKL >KGN59486 pep chromosome:ASM407v2:3:32253179:32274004:1 gene:Csa_3G822370 transcript:KGN59486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLANPCGLHFKPCYSHTSEFSHFGTSKISLSSYCPRFHGSTKSNFSSLSLLRSKKSAKRLVTKALSESSVPDSVAASDEAPGSLRPFSVKIPVGDRHILVETGHIGRQASSAVTVTDGETIVYTTVCLADTPSEPSDFFPLSVNYQERFSAAGRTSGGFFKREGRARDHEVLICRLIDRPIRPTMLKGFYHETQILSWVLSYDGLHTPDCLAITAAGIAVALSEVPNSQAVAGVRIGLVGDKFIVNPTTKEMENSELDLVLAGTDSAILMIEGYCNFLSEEMLLQAIEIGQNAVTAICKEVDSLVRERGKPKMLDAIRLPPPLLYKHVEEIAGNELEKVLQIRNKIPRRKALSSLEEKVITILTEEGYVSLDTTSGGLEPIPDLVEDEDEDDVVVDGEVDEGDVHIKPTTRKPIPTLFSEVDVKLVFKEVTSKFLRRRIVEGGRRSDGRTPVEIRPINSKSGLLPRAHGSTLFTRGETQSLAVVTLGDRQMAQRVDNLVDVDELKRFYLQYSFPPSCVGEAGRIGAPSRREIGHGMLAERALEPSLPSEDDFPYTIRVESTITESNGSSSMASVCGGSLALQDAGVPIKCPIAGIAMGLVLDTKEFGGDGTPLILSDITGSEDASGDMDFKLAGNEMGITAFQMDIKVGGITIPIMREALLQAKDGRKHILAEMLNSYPPPSKRLSPYAPLIHVMKVQPEKINLIIGTGGKKVKSIIEETGVEAIDTRDDGIVKITAKDLTSLEKSKAIISNLTMVPTIGDIYRNCEIKTIAAYGAFVEIAPGREGLCHISELSSDWLAKAEDAFKVGDKIDVKLIEVNEKGQLRLSRRALLPDADQDSNSKENTSNPSRNKTAMQKGADKGTSKKAGKENIEETNVQKGGAAPTSGSLEDAAKLQKKFIRKGVTVTKERPISKEQTKSTSI >KGN56455 pep chromosome:ASM407v2:3:6926306:6931880:1 gene:Csa_3G119850 transcript:KGN56455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSEEAKSVKTEKPSSPTPPDQNGVPNSASIHVFPDWAAMQAYYGPRVAVPPYYNSAVASGHAPHPYMWGPPQMIPPYGTPYAAIYSHGGVYAHPAVSVGPHSHAPGVPSSPAQAATPLSIETPSKVSGNSSQGLMKKLKGFDGLAMSIGNVSTESAEGGAEHGQSESMETEGSSDGSDGTTAGANHNKRKRSREGTPTTGGKDAKIEPQASPVTAAEMNESSNKLLGTTKATNATGKLGSVISPGMSTALELRNSSSMNAMTSPTTVPPCSVLPSEVWLQNEKELKRERRKQSNRESARRSRLRKQAETEELARKVDSLTAENVAIRSEISRLSENSDKLKKENSTLMEKLKNAQSGRSEALDMNEKKMQQPVSAEMKGPVNKSISEESMICKKNSSSGAKLRQLLDTSPRADAVAAS >KGN58557 pep chromosome:ASM407v2:3:26178447:26182481:1 gene:Csa_3G683170 transcript:KGN58557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLSHTFRCVKPPISNPPLSKSSSSSSKDPTLIVKSEPKPKLKQWCVYLIISSNSPIKTYVGVTLDFDRRLKQHNGEIKGGAKATRAGRPWICACTIHGFKDQSQACEFESKWKKVSRKISYEKKEEDVGKLLDDQTLRLLKHRERALGKVKCLFDCSQFEFDWKLDPF >KGN60251 pep chromosome:ASM407v2:3:38000319:38009725:1 gene:Csa_3G890070 transcript:KGN60251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTEGLDKSALRWVREKDDTFGTSNLRYRADPFAGVGAGTGARGFGLPPPSNFRSGHLPASAIPVSRPISSRVDDSASASENDMSTDSEEDVYGVRYSLDSSPQHNRVPNRSTYRYGNSLHGRSNNGSDYFFSDVSSSRETLVGGNRQMADRMTSKNGRYPTKQNGFTEDESSDSAASSEFSTTQVGGSINGALPRNRASIASEGYSSSLPSRVTVGNAPKKDPQNGRFSDDDGEDDIASAPPFFASSQEIKQCAERSQDVKLNGTHDHTTASGVAVPQGNKSSDQFVRPVNSEPAGNSGSARIPTYNASALGPWHAVIAYDACVRLCLHAWAMENMEAPMFLENECAVLRDAFGLRQVLLQSEDELLVKRNSELVNEGAPTKAKKTIGKIKVQVRKVKMGLDPPTGCNILALRTPAVNLETIKYQFSSFQSAVASGWHALHKIRVAPRIPPNSSLSRQSMAYVHASTQYIKQVSKVLKAGVTTLRSSSSSYEVVQETYPCLLRLKSLAEEDAVKMQAGSGETHVFFPDGLGDDLIIEVQDSNSKHIGRALLQIAAITDNPAEKLRWWSIYREPEHELVGKIQLYVNYSASTDDNSHPKCGSVAETVAYDLVLEVAMKVQHFQQRNLLLHGSWKWLLTEFASYYGISEVYTRLRYLSYIMDVATPTADCLTLVYDLLMPVVMKGHDKSTLSHQENRILGETRDQIEQILALVFENYKSLDETALSGLMEVYRPATGVAAPALEPAVKLYTLLHDILSPEVAVKKRSRRHLSETDEYMGNSNEGSLVDTVTMSTAYQKMKSVCLDIRKEISSDIEIHNQHILPSFVDLPNLSASIYSTELCSRLRSFLIACPPTGPSPSVAELVIATADFQRDLARWSISPVKGGVDAKELFHLYILVWIQDKRLSLLETCKLDKVKWSGVRTQHSTTPFVDEMYDRLKETLSDYEIFICRWPEYTFVLEQAIADVEKAIVEALDKQYADVLAPLKENLAPKKFGLKYVQKLAKRSVSSYTVPDELGILLNSMKRMLDVLRPKIESQFKLWGSCIPEGGNVIPGERLSEVTVMLRAKFRNYLQAVVEKLIENTKLQSATKLKKILQDSKEAVIESEIRNRMQPLKDQLSNTINHLHTIFESRVFIALCRGYWDRMGRDVLSFMENRKENRSWYRGSRIAVSVLDDTFASQMQQLLGNSLQEKDLEPPTSITEVRSMLCKD >KGN56124 pep chromosome:ASM407v2:3:4102701:4103054:1 gene:Csa_3G077590 transcript:KGN56124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRMDAYTNASSSQCPSMSCWVFPIVDIEVDVGNTILRHIDRTSNMTFSRFFVPVSEACVRQKVLPTLSRFRVSFVSPDAILTLSPTWFSVLGETFSTRFVILTDICMHQDNHYFL >KGN60046 pep chromosome:ASM407v2:3:36509747:36510602:1 gene:Csa_3G873260 transcript:KGN60046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPYFLDRSPSTGHNLTRISNHFHLENLAAVSGFSHGGLLQTPPRFLSAPSFHTHQSYPFFQQNKSPPLLPPPPTPTQSNIRPHRSLPSQPRSHSLKKSKSTRKEKDSAAVVDRRIPSRTISSAGAGAKRVVRVEELEKLSGCFFTVISPPPSSLPLPKFSMRPAKALNCNVEAVGVDDGAAAADDLRRLLRLH >KGN58119 pep chromosome:ASM407v2:3:21543113:21560533:1 gene:Csa_3G523070 transcript:KGN58119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDFRGNGGSKCKCKCDTAANTLQWIKAIADFIKPYSFLINSPVVNFFKDRLWEAVDEEWMECLRKEPVENLHLIPSGVVQEAPEILVDGDSSGKSGSHIGEVCGKDDGEENWPDSLKKFIRTSKSLAFQREQADLQMVLPGWCMASLNTVLSQGMNQKKKHEVEVLSAIISLIASDMKSRAIVDVGAGQGYLAQALSFHYKHSVLAIDACSHHGNVTSARSARIKKYYLSQIRKAGLEAKNLRLPKAMTFHVLSVDALKSLANMSLEDDHVEKTSMTGDDQKKINQQDSKCLTLCNSDEEPSLVLAGLHACGDLSVIILRLE >KGN58477 pep chromosome:ASM407v2:3:25560862:25564525:-1 gene:Csa_3G646640 transcript:KGN58477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKPDDTPAAGVPFSGYSKTNLLVFALSTTLLLAVVSSDDHVGSKCAMTLYPELCETTISTAVGSSSKEAIEASVNITISAVKDNYKRVQKLLKTVKNLTKRQKIAFHDCLETGEETLRELYEVVEDVNEYPKKKSLSRYADDLKTLLSSTITNQETCVDGFSHDKGDKKVRESLKEGLIHIEKLCSIALALIKNLTDTDIANLNNNNNNNHLNRKQLEEKKMEDGIKWPDWMSPKDRRLLQASSTATPDVVVAADGSGDFRTISEAVAAAPSRSSRRYIIRIKAGVYRENVNVASSKRNIMFWGDGRVNTIITGNRNVVDGSTTFNSATVAAVGERFLARDVTFQNTAGPSKHQAVALRVGSDLSAFYRCDMLAYQDTLYVHSNRQFYVQCIIVGTIDFIFGNAAAVIQDCDIHARRPNPGQRNMVTAQGRTDPNQNTGIVIQKCRIGTTSDLRPVISNFPTFLGRPWQRYSRTVVMQTSISNVIDPAGWHVWDGNFALDTLFYAEYQNSGAGADTSRRVKWKGFRVLTRAAEAEAFTAGNFIGGGTWLSSTGFPFSLGL >KGN56430 pep chromosome:ASM407v2:3:6792736:6796813:-1 gene:Csa_3G119630 transcript:KGN56430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKCMGSQMPKSVRFGGYPYKSRLSPGSILESIETRFSSISDWFRRIITILGFKHSGDQSAKKPKFWKKILDPHKQFLQQWNKIFVLSSVIAVAVDPLFFYVPVLDGKDQCLTMDRQLMIIACVLRSFIDLFYLLHMIFEFRTGYLPPSLPVFGTGELIKDPAKIAKKYLFSNFLIDFLSIIPLPQLLVLVIIPAAKGPIPLKTKDAMKMAILLQYIPRLLRIYPLYREVTRTSGILTETAWSGAAFNLLIYMLASHVVGAVWYLLSIERQAKCWIQACKEDDINCTGEFLYCGTHKRNAYPSINKTCFPKESEDGKDGFEFGIYAEALKFNLTDTMSFRRKFCYSFWWALRNVGSSGQNLEVSHFMGEVFFAVFIAILGLVLFAFLISNIQKYLQSATVKIEQMRINRRDAEHWMAHRMLPDELRYRIRRYDQYKWQLNRGVKEEELISNFPKDLRRDIKRHLCLAHLKKVPLFSSMDKQLLDAMCEYLRPVLFTEKSFIMQEGDPIDMMLFIMKGKMATIIGCDWKNDLYSDTLNAGDFCGEELVHWAMDPTTNPSSLPISKRTVETLTEVEAFALKANELKFVTSQFHSQQLNSKYFQFSVRFYSHQWKVWAAYKIQEAWHDYRERKRRGGGEGRFQDALAEIVGPWTSFNATLYASIFISHLLQVVQRNPQHTPQFTRVMTLPPPPKPEDEQNNPNFTILDH >KGN55973 pep chromosome:ASM407v2:3:3002576:3008488:-1 gene:Csa_3G043890 transcript:KGN55973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLEMESLAASIGVSVPVLRFLLCFVATIPVSFLWRIVPGRLPKHLYSALSGVLLSYLSFGFSSNLHFLVPMFLGYASMVLFRRHCGLITFFLGFGYLIGCHVYYMSGDAWKEGGIDATGALMVLTLKVISCAINYNDGLLKEEGLTEAQKKNRLIKLPSLIEYIGYCLCCGSHFAGPVYEMKDYLEWTDGKGIWKHDEQNPPPSPYWATIRALLQAAFCMGLYLYLVPQFPLSRFIDPVYHDWPFWKRLGYQYMAGFTARWKYYFIWSISEASIIISGLGFSGWTKSSPPKPRWDRAKNVDILGLEFVKSAVEIPLKWNIQVSTWLRHYVYERLVQKGRKPGFFQLLATQTVSAVWHGLYPGYIIFFVQSAVMIAGSRVIYRWQQAIPPSMAFLKTILGFLNFAYTVLVLNYSCVGFMVLSLHETLASYGSVYYIGTVIPITLILLSYIIKPAPARSKARKDQ >KGN59696 pep chromosome:ASM407v2:3:33609775:33614587:1 gene:Csa_3G838750 transcript:KGN59696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKVSYPKPGISSDYVSDPEEKDISDDEDDDRNHKHRRRETRSQSLERDAREHVLRPYGKRSKPFENGRSFRDNDSQANEAWKSFNAPTLEKDTPGKFDKRRLGAVPGPRVVGDFNQRIRGNQAFSGDLSSGRGRGRDSGTWNQFDSRFSPVDIASQIVHHGSFPPSLFAGRGLPSVSTAQTAPWNAFGLISGIPNSGLETMHPIGLQGTLRPPINPPLNIGIPRQRCRDFEERGFCLRGDMCPMEHGINRIVVEDVQSLSQFNLPLSLPNAHLIGKTTGSLPAVSTSSTTVMSGKGLLGKNIKPSVGDDSMPFHGVYSGSGSAGEADLYDPDQPLWNNNCPEKPNALSGMHSSKIDEVESFIGDDPSDRNQVRKCDAGDNGCTSRITAPSGSHVTSSSVWGRVGSRNRQDVKGKLDPVNASGCLDNEVKEQNVVLASVQGNSHQQKHMAQDDAHTKAGDLSLKAQSDANTMRTMRKPSQKATCTLFVNGIPLQSNKTETLLSHFNKYGEVIDIYIPANSQRAFVQFSKREEAEAALKSPDAVMGNRFIKLWWANRDSIPDDGVSSNNGIHVPPRHIAAASLPSLAVKGKNSVPVSTSKGSISHPLESSLAGPDHSHAFSSPKVSSPLQKKLENLEQLKEELRKKQQMLDMKRNDFRRQLDKLEKQATGNKVEAVTGSSAKRLKVGIEADTSKLVPKASDSSVALSSPHTEVNGEHPEPTTSIVQQESSKFEPVDPMTTEEPFDNDGEKLGSCSTGFKIVPPLPVDFANVCITIPPLYQVHL >KGN59836 pep chromosome:ASM407v2:3:34862708:34864625:-1 gene:Csa_3G849920 transcript:KGN59836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVPGQLIWEIVKRNNSFLVKEFGRGTAGVQFSKEANNLYNLNSYKHSGLANKKTVTIQPGGKDQSILLATTKSKKQNKPSSSLHKSLMKKEFRRMAKAVTNQVADNYYRPDLKKAALARLSAVNRSLKVAKSGVKKRNRQALKSKK >KGN55780 pep chromosome:ASM407v2:3:1270018:1272140:1 gene:Csa_3G011810 transcript:KGN55780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCIFNSVFYRLKTTPSCSFGKWNWNFGNGNKKQDKPQIKYHDIVLPFPLSLLEKTFLKRKELKCCYKATSDGFSATDFHACCDFKGPCVIIGYTDKSFKFGAFNPEGYRSTDDYYDTFDAFLFYWKDNEDDDPIILPKVGGSGAALFDYARGGPQFGADGLLIGPPLAPVMGGFAGPDTNSGVGDLRQARSRLGLSYAKRKDGKDSIFGDENRAVVAEVQVFCSPQIASLY >KGN56308 pep chromosome:ASM407v2:3:5953526:5954407:-1 gene:Csa_3G114480 transcript:KGN56308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPATNWLSFSLSPIEMLRSSDSPFLPFDSSSSSPSPHYLLDNFYHGWSNNNAANSHSKSSQLFFNNQEEEAAAAAVKDDQTTIFLHPQTQTHHHHHHHHHQPKLEDFLGDSSPMVRYSDSQTDTQDSSLTHIYDHASAPYFPHDQQDLKTIAAFQAFSANSGSEVDDSASIPTTHIPSAHSIDSSLTNNDFPSFSTGALSLAVAQSSDTAPAPVVVAVDSDSSKKIADTFGQRTSIYRGVTR >KGN57615 pep chromosome:ASM407v2:3:15001509:15002801:-1 gene:Csa_3G229410 transcript:KGN57615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSSSDDDSAAVEPTPAEETAENKEPETAIEEIAAPAEAEETTPTIAAVEAPVTKTSSRASGSGPVVRFDISQSSSLTTIAQTAIESLKPILPNTIPSSLSSAPNPALALLNDLETIAQITALLRRPTSGAGDDNLCRWLYDTFQSSNPDLKLVVLRFLPVLLSAYLSRVVSRRKSLAGFEAVLLSLYAHETNRRASQPLSVNIPDLTHPSIYHESIFPHKNNATALNLAVISPSLEPHGMVRSTKRARIVGVALELYYTKIDKIPETSKIEFCEFCRIWAGDVNNRGVKKEEATAAVVEEAEEEEEEDGIGRIPLPWEILQPILRVLGHCLLGSNSIVNCKKKERTALFDAAIGAIRSLYLRSMHDINPKAILATGSLVKLGDMAMESTDEIDYTEIPYQTIINL >KGN58997 pep chromosome:ASM407v2:3:28778391:28780133:1 gene:Csa_3G742600 transcript:KGN58997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSSNPTSSNPFWYQFLFGRWFSVLASILIMSVAGATYMFALYSSDIKSSLGYDQTTLNLLSFFKDLGGNVGVISGLTNEVAPAWVVLLIGAVMNLFGYTMIWLAVTKRIPKPQIWHMCLYITIGANSQTFANTGALVTCVKNFPESRGSVLGLLKGFVGLSGAILSQLYRAFYGNNPESLILLIAWLPAAVSVVLLRFVRIIKDLRQPNELKVFYHFLYISLGLAGTLMVLIILQSLLRFQQIQYVGSAIVVIVLLLLPLTIVFREELSVWKSKIASPVLQLESASQQPPPPLTSTVSLAPSSPPSESCFKNMFNPPSRGEDYTIPQAIFSMDLIILFMATICGVGGTLTAIDNLGQIGESLGYQSHSITTFISLVSIWNYLGRVVSGFVSEYFWKKYKVPRPLFLFATLILSCVGHLLIAFGVPNSLYFSSIVIGFCFGAQWPLIYAIISEIFGLKYYATLSSMSGGASPIGAYILNVRVAGHLYDREAQRQMEATGRRRNIGEDLSCLGVECYRKAFLIITAATVFGALVSLILVVRTWKFYKGDIYRKFREVEGEDIEMKMAAPTNGTLTAAKN >KGN59725 pep chromosome:ASM407v2:3:33850255:33850575:-1 gene:Csa_3G841490 transcript:KGN59725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLQYKFFPTDFLYPRPSSSSDVPNNIVVSVVPPKPDDLTAKPKGAIHSTNNKAAVAVEPIVISRNNPKNSTF >KGN56878 pep chromosome:ASM407v2:3:9260338:9261876:-1 gene:Csa_3G135750 transcript:KGN56878 gene_biotype:protein_coding transcript_biotype:protein_coding description:CmE8 protein MAVSPSDGFILTPLSKADANYHRPTELKAFDDTKAGVKGLVDAGITEIPRIFYQPVEDYYSHKLSDETHHQIPVIDLDEVHRNPLKRKDAINRVREASEKLGFFQLINHGIPVNVLEEMKDAVKRFNEQDTEVRKQYYTRNYTKPLIYNSNFDLYSASTTNWRDTVGYVSAPNPPDPQALPEIIRDNLLDYSKRVMGIGKLLFELMSEALGLNPNYLNEIGCSEGLAIGGHYYPPCPQPELTLGTSKHSDNVFITVLFQDNIGGLQIQDQKKWVDVPPVAGALVVNIGEIMQLITNDRFVSVAHRVLAKKEGPRISVASFFSTLGFPSTKMYGPLKELLSEENPAKYRETTIREVDKLYRSKGLGTSALSHLKI >KGN58218 pep chromosome:ASM407v2:3:22798970:22799948:1 gene:Csa_3G595190 transcript:KGN58218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLILHEIFDPFLSMINQCPVLNTPTDWKETPAAHIFIADLPGLKKDQVKVEVMEDGDGRLLQISGDRGDDATAGNDKKNDESSGHKWRRVERCRGKFCRRFRLPGNVKADEVRAAMENGVLRVTVPKEEVKKPEKKVIEIETL >KGN59830 pep chromosome:ASM407v2:3:34788217:34788441:-1 gene:Csa_3G848880 transcript:KGN59830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRDLKRGKQDKGSDDVQKVIVAVKASKEIPKTALVWALTHVVQIGDCITLLVVVPSQSSGTFLPFPHFDLCKC >KGN58310 pep chromosome:ASM407v2:3:23760522:23765918:1 gene:Csa_3G611350 transcript:KGN58310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEAEIYDGIRAQFPLTFGKQSKAQTPLEAIHNTTRRNTSVSIPEKSSSSQNDNALPSISSSSKDWLNSLRTSKTLNPPVMNDSGPSGITHDSDGPKIGPPRPPVESKLNEDDDDDAIIGPPPPPPAFEGSDDDGEMIGPPPPPPRSNLEDSDVEEEGYEENRFRIPQSNEIVLKGHTKVVSALAVDHTGSRVLSGSYDYTVRMYDFQGMNSRLQSFRQLEPFEGHQIRNLSWSPTADRFLCVTGSAQAKIYDRDGLTLGEFMKGDMYIRDLKNTKGHISGLTCGEWHPKTKETILTSSEDGSLRIWDVNDFKSQKQVIKPKLARPGRIPVTTCAWDREGKSIAGGIGDGSIQIWNLKPGWGSRPDIHIENSHSDDITALKFSSDGKILLSRSFDGSLKVWDLRQMKKPLKVFDNLPNNYAQTNIAFSPDEQLFLTGTSVEKDSQTGGLLCFYDRTKLELISRVGISPTSSVVQCAWHPKLNQIFATSGDKSQGGTHVLYDPTLSERGALVCVARAPRKKSVDDFEAKPVIHNPHALPLFRDAPSRKRQREKILKDPLKSHKPELPINGPGFGGRVGTSQGSLLTQYLMKQGGLIKETWMEEDPREAILKYADVAANDPKYIAPAYSHTQPEPVFAKSDSEEEDK >KGN58949 pep chromosome:ASM407v2:3:28496446:28499101:-1 gene:Csa_3G736960 transcript:KGN58949 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lectin receptor kinase-like protein MMLNFSSIFSSITFTLLLFSISNTVIVDFTATVAAAAEFDFGTVELSSLKLLGDAHLNNGSVRLTRDLAVPNSGSGRVLYAKPIRFRQPGIDYLASFSTFFSFSITNLNPSSIGGGLAFVISPDAETLGGAGGLLGLADERGLGFVAVEFDTLMDVEFKDINGNHVGLDLNEMVSLEVEDLQGIGVDLKSGDTVNAWIKYDGSARIFEVFVSYSNLKPTEPLMSFNLDLDPYLNDFMYVGFSGSTQGSTEVHSVDWWSFASSFDSDSTPGSVPPPPTTTLMNPTANVVRSPPPSQPPSGSDSVTQKNFKSTSCHNGLCKQGAGAVVGVVTAGAFVLALFAGALIWVYSKKIKRVKKSDSLASEIIKMPKEFTYKELKIATKCFNSNRIIGHGAFGTVYKGILPETGDIVAVKRCSHSTQGKNEFLSELSIIGTLRHRNLVRLQGWCHEKGEILLVYDLMPNGSLDKALFEARTPLPWPHRRKILLGVASALAYLHQECENQVIHRDVKTSNIMLDEGFNARLGDFGLARQVEHDKSPDATVAAGTMGYLAPEYLLTGRATEKTDVFSFGAVVLEVASGRRPIEKDSTAVGGGGKFGANSNLVDWVWSLHREGRLLTAADGRLGGEFEESEMRKVLLVGLACSHPDPMTRPTMRGVVQMLIGDSEIPIVPRSKPSTSFSTAHLLLTLQDSVSDLNGMIAISTSSSEHSFNGEDLISLDDRTVSNPSIV >KGN59609 pep chromosome:ASM407v2:3:33060273:33060818:1 gene:Csa_3G828980 transcript:KGN59609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNLLLSSLVLGLALAMEVLLLVLISYGVMMSILITASDLMQDFKTGYLTLTSPRSMFVSQVCGSAIGCILSPLVFWFFFKAYDVGDPEESYPAPYGLMYRGIALLSVEGVSSLSKNCLTPVFCFFIGAVVINIIWDVLQKFETKYRFYHLVQVQCVWQLHSTSVPILLSTCVGSLILYIW >KGN57379 pep chromosome:ASM407v2:3:12609162:12610318:-1 gene:Csa_3G182150 transcript:KGN57379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYSTFGFILLLLLPLSFQITLGDSRTRDSNMIKCESLEKNKCAFAVSWSGKRCVLEKSVKRSGEDAFTCRTSEIEADRLQNIVETEECVEGCGIDRNTLGISSDSLLDTSFTRKLCSSRCYNHCANVVDLFFNLAAGEGVFLPKLCEVQGGNARRGMSEIRSSGIVAPGPIRPVSLSIAPAVAPGPIQAISLSAAPAMAPMMNGA >KGN56266 pep chromosome:ASM407v2:3:5498046:5500843:-1 gene:Csa_3G110630 transcript:KGN56266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVLHSWTPSKNVYKVLIAAEYNGVKVDLAPDFTMGVSNKSPEFLKMNPIGKVPLLQTPDGPIFESNAITRYVARLKDSILFGSSPIDYGHVEQWIDFSSLEIDIHISTILAPRFGYGVYHAPAEEAANVALKRSFGALDSYLASNTFLVGHSVTLADIVLTCNLYYGFVYILPKSFTSAFPHVERYFWTLVNQPNFKKVIGEVKQTDAIPPVKTPEEVAAAAKAKAEPKKQEEKPAAPAEEAPKPKAKNPLDLLPPSSMILDEWKRLYSNTKTNFREVAIKGFWDMYDPEGYSLWFCDYKYNDENTVSFVTLNKVGGFLQRMDIARKYALGNMLVILSGLWLFRGKEIPQFVLDECYDMELYEWKKVDISDEAQKERVSQMIEDQEPFEGEALLDAKCFK >KGN55713 pep chromosome:ASM407v2:3:858381:862577:1 gene:Csa_3G006740 transcript:KGN55713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKLGDFKLPHFFNYPPYFTLQPVRDTREKQIQLWKELILDYCRTQKIFVIGLEEDFPLFSNSVIERSLSHEARQAFISALVSDGRAEWLDKGHRKCLILWHRIQDWADIILHFVKNNGLEDSVMTVEEIRSGVESRGTELEGIDRTILMRALKLLEQKGKLAIFKGSSTDDEGIKFSV >KGN60471 pep chromosome:ASM407v2:3:39638420:39647967:-1 gene:Csa_3G914020 transcript:KGN60471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTRFLHIDYFASETHSFHSLPVPHLISIPFSTLSDLLHFHFLPQFSLPIHNLSIHSSALAKFFDHVLPRTIHDDVHHASDPSSRLQGIFSSESVQTRFLEEEAKGTYEENMEGDWKNFGSETSEIEFKDTGADAKNRNLAYDVIQFETPQLDAYLENALLFEKEEAQVLTVLSEAEFDLETLNLGLLKYPSEVKESVYAVECIISEYLLDQRSCLFEDDFSQDRRLLDQSTFPFLEVDEIVLETLALLSLQDEIFFILDNTEPDRIQDVSLLVSNEEYLCSMKCDIEEFLSDHILDPCEVSEIVSSDIFGGSEPMSLIETLEIPGSSAIQTKSTFDFPIGPASFEEFQLLDVSMDQPFGVFFDLELSFLSEISDCISIESTDFKNFNELIVCHELALVDDTFKSLPVPILSSQGSERPLLAFIEDALANLNVQSLSASDDIYLDWYLLEENSCSSGIYLSYHNMLEEINLKPMEFDQEPFENDSTFYIYVFSDEDLVRETTEDKGELKESFPNGISMLPSQTFIVDSSKLLNDRCQRQDIAAVGNTAKTSSSWKSKSESSDPDFFLNFQKPVGMGKSESVFSTTNTNTMLPRVPCDGKLTNKPLISSTDGSSKQLNVEVHQVFLSDNILHLINNSEKTYLAILQNETGLRKTYLPYVADDYSLMLSLPKQKLIDCIEKIYLRGSSTYWEEKIMTLAMLYAIKQIGWYLCFYGIYPAHLYLKKLCQSLECLQSRLGFLASLIEEAGNSVDREITSSHPALTTIQDILCSKTSTSTLKVLIVANQIFWWSLKKLLRSLGLSFEELNYGSPTNDQVSNATITVDGLVSTCLLVSQEYVSGSFPFNKFRIILEYGGPDGSSQISTYFSNLIDMPHLHFVMLELDNCGNSKAFCEGVDLPQHNELTIEEKSLVENQARLLKKLLNFLPVEEKHTLASPEATIEADDCRVPLRVPAGSVLEKPQHIDLMSFPEAITIVNTQKFEKEMIVCRRSSYQRILALEKEGVQVVERDMSLPVDLIITSGICLMWYDCTNIIKKASTSNEASLCLNLCIENIATDLLTSLSLAFQGCVLYHNSIELLEHTFVFYLRILDLSSLGKCQKKKGEFDWSNTLDIKTASVNFVRPQKHIPWFLVCSWTLRVLNFLEFANRLELIGRLKTDVCFRYILTSNGCIIPDIGYSLFLQIVDFFQVFEGEINFLSIVMESSDGLYAAAASLEIDFQLFCSYSSELTDEIILGCIQNVSKFTTRRLYPKMSESETLAESFLTSFPSINPLTAHGILSSESILADFLEWPHERRLQAIRKYCIPDESVYLFSALCKYGEREDSKSVMTDCSSSVSSGPDSEICHFNGNSDRKRRNFTGGTQYINENMEFLSSYRLKPFTADTPETLAAASKSFCSQMFEDPEILGDLKGLSSSVNNLFNQNHNLEPFDATISMDPTRVCKPRDSWISTAPEISDEIRGRCSSFVQNQGLDRNKKKVQSYHNMNTSENQPEELIDEVVNLADNAILKDHFPTMAPLNFLPSMHDNEKDSSRKFKIQRRLSYGQSDDPFCAGDVGNNSSSDFWSSINLHGRSLPGLDSHFPDPSFEPIIMPLQYKDHHSDDRLVQTPVKDSKLLFSLAQKGTSHSDVTPLSNALRSKNLQESSPWTMEFLNRIREKSKNRRNFVPRCSSSPFPENLSNVKKTVKRSSSILEFFKYQGGSTLKKPDQKRQKQSLQSSNSSKNVLSAPSSELSSWTPIDKRSRQTLSFATDGNGSQTKLVWCNDNYGLGKSSKKLGNK >KGN56527 pep chromosome:ASM407v2:3:7299851:7300593:-1 gene:Csa_3G122510 transcript:KGN56527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLEEGRGKREEGVLEDPQGNSGEGLVLSWSVSASGSESCEMGRTPCCDKKGLKKGPWTSEEDELLINYIRENNGHGSWRSLPKLAGLVIQNFR >KGN58938 pep chromosome:ASM407v2:3:28454898:28456708:-1 gene:Csa_3G736860 transcript:KGN58938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGGGQVDRIPKRMGSATFIEVLLAIFIPPVGVFLRYGIGIEFWIDLVLTFFGYIPGIIYAVYVLIGTR >KGN57828 pep chromosome:ASM407v2:3:17856729:17857164:-1 gene:Csa_3G334350 transcript:KGN57828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVCEKGIPAEVKTRLLVDEPNKAVIFECVEGDLLKDFEMFQVKVEVRDGGKNGVSSVKWSVGFMKANEDVAPPHNYLQFGVKVCKGLDAYLSKQIMN >KGN58447 pep chromosome:ASM407v2:3:25273475:25278712:-1 gene:Csa_3G644860 transcript:KGN58447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATMATAAGAAALLYYTLNRKLHSSGDQDDGDVDGNDASTHALLGGDRVSHRLIQAPATWLETISTLSETLRFTYSETLGKWPIGDLAFGINFLLKRQGNLHVGSVFGNEDSIQLKGTEMITELKYLLHLLTLCWHFSKKPFPLFLEETGFSKENVLLQEPKAGILKPAFTILVDHNTKCILLLIRGTHSIKDTLTAATGAVVPFHHSVVHEGGVSNLVLGYAHCGMVAAARWIAKLSTPCLLKALGQYSGYNIKVVGHSLGGGTAALLTYILREQKELSITSCVTFAPAACMTWELAESGNEFITSVINGADLVPTFSAASVDDLRSEVTASAWVNDLRNQIERTRILSTVYRSASALGSRLPSIASARAKVAGAGAILRPVSSGTQVVMKRAQSMAQAAWTRPSLHLSSWSCIGPRRRAMASHSVAEEGGSSPKPSPRKMESCEPLRSSPEETVEAIEAIEPPESSTTAMQWSNEIEYSYSEEINPEGITDELEDDGQTLMGNIQDEQMTEVELWQQLEHELYDKGEPDVAEEIREEEAAAMAEVGQSDTSACGIKEAHRFFPAGKIMHMIDIQSDAPDCESDSSSSRSSISENSPLAECKIGIFLTSRSLYSKLRLSQTMISDHYMPAYRRQIEKLIKELEKEECFNLGMER >KGN59070 pep chromosome:ASM407v2:3:29218069:29221592:-1 gene:Csa_3G751450 transcript:KGN59070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKQHLHELLKQDQEPFLLSNFINDRRSLLKRSSFKSHFHLKNPKPIPHSSDFSAKFCRSTCFFSFNHSPDLANSSPFFGFQSPVKTPCRNPNPVFFHVPARTAGLLLEAALRIQKQSTAARSKSFGKSNGLGLLGSFLKRLTHRSRARKREIHGDGRMNDPRDGPPLPAKMAIEENETENDSVFRLSNVTGFDFCESNLCDSPFRFVLQSSPSPGHRTPELSSPASSPARLDHQANDVESLQKLPAEDEEEEKEQSSPVSVLDPPFEDDDEGHFEDGEDEDDYNLERSFAIVQKAKHQLLKKLRRFERLAELDPIELETFLLHDEDQDEDELSDGDGDDIDHLKEEVEQYEKDIKQHNKEGNDSSRFQIPYRPSRDTKTLVCNLITKEERNLVVIEKSEETMKRVYMRQDLWKRVDSNAIDLMVGKDLKEEVDGWNINKEPRGEIAVEIEVAIFSLLVEEMQSELHCLTH >KGN55649 pep chromosome:ASM407v2:3:439026:440364:-1 gene:Csa_3G002690 transcript:KGN55649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEIKNLATPKIPRMNHGIGDQSYAKNSISQSKYQSGSRPILRRAVAALCAGDLPTSIAVADLGCSSGPNALFAISEIVNVIHRRWRGDTPELMVFLNDLAENDFNSVFRGLSKFCENLREKKGGMLGLGECFIAGVPGSFYGRLFPCKSLHFVHSSSSLHWLSQVPPELKSEKGNGRIRNKGKIFISKTSPSEVIEAYYGQFKKDFNCFLKSRSKEVVNGGRMVLTFRGRRQPDPCPDESCLLWDYLGLAFQHLLHQGLIEEEELDNYNTPYYEPYVEDVRREIEKEGSFKIENLEIIALAWDGVNKEGESYERSKTTQQMAKAIQAVNESMIRAHFGDHIIDPLFKRFTQIMEADTKEVEHVSLVVSLLRN >KGN57382 pep chromosome:ASM407v2:3:12647464:12650332:1 gene:Csa_3G182180 transcript:KGN57382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLFGKVVISCWILPTLAHKKLKRNGFEGPSPSFPLGNITEMKKIMKAAAAGGAAINGSSSNNLSHDIHSTIFPHFAQWQNSYGKKFVYWLGTEPFLYIADPQLVKKISEAVLGKSWGKPAVFRNDREPMFGDGLVMTEGDNWIRHRHILTPAFNPANLKAMTKFMVESTKKMLEEWRRQVKSGQSEIEVEREITATAGEIIAKASFGISKCGGGGEALNNLRALQLTLFKNSSYVGVPFSSLVLYPARTLAAKRLGAQIDRLFFSIISDRKISGSGSAYSDLLSRLIGSEYKGGAGLSPREVVDECKTFFFGGHETTALAISWTLLLLATSPDWQTILRDEIKEVIGDKDIDFSMLSSLKKVPFSFFLSFFFT >KGN57485 pep chromosome:ASM407v2:3:13605948:13608153:-1 gene:Csa_3G198490 transcript:KGN57485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVHSSLSSPLGPPACERDSKPLQFIDEMTRNAHAVQHTLLSEILSRNASTEYLRRYSLDGATDPQTFKAKLPVITYEDLQPEIQRIASGDRSPILSSHPISEFLTSSGTSAGERKLMPTIKEELDRRQLLYSLLMPVMNLYVPGLDKGKGLYFLFVKSETRTPGGLLARPVLTSYYKSDHFKTRPYDPFMVYTSPNEAILCPDSFQSMYTQMLCGLLQRNQVLRLGAVFASGLLRAIRFLQLNWHDLATDIRNGTLNSRITDPSLRDCIQKILKPDAQLADFVSDECSKEEWEGIVTRIWPKTKYLDVIVTGAMAQYIPTLDFYSGGLPLACTMYASSECYFGLNLNPMCKPSEVSYTIMPNMAYFEFLPLEQNSGSDSSPKLVDLVDVEMGKEYELVITTYAGLYRYRVGDILRVTGFHNAAPQFHFVRRKNVVLSIDSDKTDEAELQKAVEKASEVLKQWKASVVEYTSYAETKTIPGHYVIYWELMVKEGGEEAGKWGRKEVMEECCLRMEESMNSVYRQGRVADNSIGALEIRVVKSGTFEELMDYAISRGASINQYKVPRCVNFTPIMELLDSRVVSTHFSPALPHWTPTTKS >KGN57044 pep chromosome:ASM407v2:3:10244180:10248674:1 gene:Csa_3G150240 transcript:KGN57044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMVAKPSCPSTSNHGPSSMTVQEKGSRNKRKYRADPPLGDLNKITSSSQDKCPSYEFSAEKFEISSSMGQSSGCDLCSISQEFSAGLKLDLGLSNGGSSDVGINWPRGELEVDEDQDADWSDLTEAQLEELVLINLDTIFKGAIKKIVASGYTEEVAIKAVSRSGICFGGKDTVSNVVDNTLAFLRRGQEIDHSREHYFEDLQQLEKYILAELVCVLREIRPFFSTGDAMWCLLISDMSVALACAMDSDPCNALVCDGTSNESSSNTIPQLKAEVKSSEMNLPKPVKPISPISCAHGSQYDGPATVGVPSISKPKDPLFSSGPLSEKELQNSTFDVVEESFSVAVNSQTSVSEEKIESSRKVHSNITKREYMLRQKSLHVDKNFRTYGAKGSSRAGKLTGLGGLMLDKKLKSVSGSTAVNFKNASLKISKAMGIDVAQDNGSHNLSTMDIPSSSLPFNLENINTVSPFSKTNLPSSMPAPSSPPALPALNTSSAPPTTDIDLSLSLPAKSNQPSVPFNCNPESSTSSFVEKPQEKFIGQWFPRDKKDEMVLNLLPRVQELQNQLQEWTQWANQKVMQAARRLSKDKAELKALKQEKEEVERLKKEKQTLEENTMKKLSEMEHALCKASGQVELANSAVRRLEVENAALRQDMEVAKLRATESAASYQEVSKREKKTLMKVQSWEKQKMLFQEEHTAEKRKVKKLIQELEQARDLQEQLEGRWKLEERAKDELLVQAASLRKEREQIEDSVKVKEDTIKLKAENNLIKYKDDIQKLEKEISVLRLKTDSSRIAALKRGIDGSYASRLTDTRNNTDHKESWSPNVSESMKDLYKYSGTGGVKRERECVMCLSEEMSVVFLPCAHQVVCTTCNELHEKQGMKDCPSCRSPIQRRIPVRYARS >KGN59339 pep chromosome:ASM407v2:3:31169152:31170146:1 gene:Csa_3G812110 transcript:KGN59339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSEDNCCKAKIRNKGSDEHSPIVLSLLDERFEGGNGVFIKMQKTERLEIEDPESPRLLRKEITMNSAADYISNGSDVHSPTVSSLLLAEIFEGENDVFLKMQKTKRLESEDQESARLLGKEITKKSANNGNIGNDGDVNSPTLSSLSEEMILSEGENDVLQKMQKRLKSEDQESPRIIISITESKKKSEKEETKESLENNSEIMGWIPGIEIPGPIPDIDPIIQQQFNLHTILDDPFLDD >KGN56750 pep chromosome:ASM407v2:3:8605182:8607950:1 gene:Csa_3G132520 transcript:KGN56750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDINQLPAECISSILAFTSPKDACRSAVASPAFRSAADSDAIWTTFLPSDYRQIISQASSSSTSSVLNSLSKKALYFRLSDHLLFIGSGNSSFVLEKESGKKCYMIGARDLEIVWGSSPAYWTWQSIPTSRFPEVAELRVVWWLEIKGKIEGRNLSPKTKYAAYFVFKFKEGDFQHDGFGTKPVSLEVYFEGEEVGEDGTKMVLLDPPEGSPVVCKERSDGWMEVEMGEFFNELGDDGMVIFHLKQIDGIIKGGLIVEGIEISPKYD >KGN57683 pep chromosome:ASM407v2:3:15664394:15664777:-1 gene:Csa_3G251930 transcript:KGN57683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGRNRREKKEPSESVARRRRKRKRKRKEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEGKLCGQLEMKGREY >KGN60179 pep chromosome:ASM407v2:3:37404858:37409143:1 gene:Csa_3G881910 transcript:KGN60179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHNKRKFVRFYSNLEKNDGNKKKGIEFPLPVFKMGTKLESESKVDSSQEQKNKRILNPESERILRWNRVFLFSCLTALFVDPLFFYLPSVIHHNRSSCMTTDFNLGIVVTVFRTFADVFYLLHMILKFRIAYVSPTSRVFGKGELVTDPKKIAERYLKSDFCVDLIASLPLPQIMIWFIMPAIRSSHADHTNNTLVLIVLLQYIPRFYLIFPLSSHIIKTTGVVTKTAWAGAAYNLVLYMLASHILGAAWYLLSVERHAMCWKFTCRREFSPMKCHLDYLDCGTLNDVDRRIWEVNTTVFSQCSPDEDFVFNYGIFADAITKNVISSRFLQKYFYCLWWGLQNLSSYGQSLETSIFIGETLFAILIAIMGLVLFAHLIGNMQTYLQSITVRLEEWRVKRRDTEEWMKHRQLPQDLQERVRRFVQYKWLATRGVDEESILQGLPTDLRRDIQRHLCLDLVRRVPFFAQMDGQLLDAICERLASSLCTQRTYIVREGDPVTEMLFIIRGMLESSTTDGGRLGFFNSITLRPGDFCGEELLAWALLPKSSISLPSSTRTVRAITEVEAFALRAEDLKFVANQFRRLHSKKLQHTFRFYSYHWRTWAACFIQAAWRRFKRRIIAKSLSLQESFSLTPEKPVAEEAEQEEEGHSTPRSSYSQAKQNLGVTILASRFAANTRRGAQKLKDVNLSKLRKPDEPDFSEEPDD >KGN59853 pep chromosome:ASM407v2:3:34965753:34971527:-1 gene:Csa_3G850580 transcript:KGN59853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALQFFLLLLLSSFALFHFVSSQNPSGFISLDCGLPANSTYTEPNTGIIYESDASFINSGEIHNISADNINNVLKQPLWSVRSFPEGIRNCYKLKVRNGTKYLIRAVFRYGNYDGRRTLPEFNLYFGANFWDSVAFVGDFTVRKEIVHIVSSNDVQICVVNNGTGTPFISALELRPLEDTAYDTGSLTVASFVRLDYGTLDNQTIRYKDDVYDRIWDPPVPIRGWTTINTSEKVSVNDPLFFQPAPAVMNTAATPSNESAPMAFFWEPPDSTTAFFVYMYFAELKVLKANESREFDVLLNGRRWHNESLSPRYLEELVFYSTAPLTGGNYQISFVRTPNSTLPPILNALEIYRVLNFSESETSGEDVLAIENIKAIYGVKRNWQGDPCAPREFIWQGLNCSFLNFEPPRIISLNLSSSGLTGEIPREIENLKMLENLDLSNNSLSGPVPDFLIQLSSLRVLILERNKLSGLIPAQLVEKSNNGSLTLRFGDNPNLFATAPRKRNIVVPVVASVVGFFLLSFLIAAAIFWRTKRRKSKGAELGDVKQTVDISQNWDTTKRCYSYSDVLRMTNNFERMLGEGGFGRVYYGKIGNDEVAVKMLSPRSVQGYQQFQAEVELLMRVHHRNLTGLVGYCNTPAYKGLIYEYMGRGNLGSIMSDGKSALLNWIDRLHIAVDAAQGLQYLHSGIKPAIVHRDVKSSNILLDDNFRAKVSDFGLSRIFPVDDSATHVTTNVVGTPGYLDPEYYTSYRLNEKSDVYGFGIVLLEIITGRPVLTKTQDKITHIYQWVDSMVSQGDISSIIDPKLKEDFEVNTIWKAVEIAMSCASPMSTNRPTMSQVVIDLNECLKMELAQSNNNPQPESVVEDHVSLFCPEAR >KGN56250 pep chromosome:ASM407v2:3:5344266:5348885:1 gene:Csa_3G107010 transcript:KGN56250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFLVFTFFSLLGFSSSHSLVSDFHVLLALKQGFEFSDSSTLSTWTASNFSSVCSWVGIQCSHGRVVSVNLTDLSLGGFVSPLISNLDQLTELSVAGNNFSGGIEVMNLRYLRFLNISNNQFTGTLDWNFSSLPNLEVLDAYNNNFTALLPTEILNLQNLKYLDLGGNFFHGKIPESYGSLEGLQYLFLAGNDLVGKIPGALGNLTNLREIYLGHYNVFEGGLPPELGKLANLVLMDIADCGLDGQIPHELGNLKALETLYMHTNLFSGSIPKQLGNLTNLVNLDLSNNALTGEIPSEFVELKQLNLYKLFMNKLHGSIPDYIADLPNLETLELWMNNFTSTIPKNLGQNGRLQLLDLSTNKLTGTIPEGLCSSNQLRILILMNNFLFGPIPDGLGTCTSLTKVRLGQNYLNGSIPNGFIYLPQLNLAEFQDNYLSGTLSENWESSSIPIKLGQLNLSNNLLSGTLPSSLSNLSSLQILLLNGNQFSGTIPPSIGELNQLLKLDLSRNSLSGEIPPEIGNCIHLTYLDLSRNNLSGPIPPEISNAHILNYLNLSRNHLNQSLPKSLGAMKSLTIADFSFNDFSGKLPESGLAFFNASSFAGNPQLCGSLLNNPCNFATTTTTKSGKTPTYFKLIFALGLLICSLVFAIAAVVKAKSFKRNGSSSWKMTSFQKLEFTVFDVLECVKDGNVIGRGGAGIVYHGKMPNGVEIAVKKLLGFGPNSHDHGFRAEIQTLGNIRHRNIVRLLAFCSNKETNLLVYEYMRNGSLGEALHGKKASFLGWNLRYKIAIEAAKGLCYLHHDCSPLIVHRDVKSNNILLNSNFEAHVADFGLAKFMFDGGASECMSVIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELLTGRRPVGDFGDGVVDIAQWCKRALTDGENENDIICVADKRVGMIPKEEAKHLFFIAMLCVQENSVERPTMREVVQMLAEFPHQSPTCFQSSSSSSPCQKLKTDEKERERQSKSKPDHLV >KGN56429 pep chromosome:ASM407v2:3:6791115:6791477:1 gene:Csa_3G119620 transcript:KGN56429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGIFNIRSLAFVAVFTCSLMVVLSQNEDLESCVPVAQALAPCLGFIKGNGKPSASCCSGVKQLARDTKTKKDKVALCECVKKSLSVIGTYDPSRIPLIPKQCGVSVQIPPIKNSTDCSK >KGN58346 pep chromosome:ASM407v2:3:24311187:24312712:1 gene:Csa_3G625120 transcript:KGN58346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRYQKVEKPKPESPINENEIRITSQGAIRNYITYASTLLQEKRVREIVLKAMGQAISKAVAIAEILKKRISRLHQETAISSVSITDVWEPIEEGLVPVEMTRHVSMISITLSNRELNKNSPG >KGN57156 pep chromosome:ASM407v2:3:10980690:10983104:-1 gene:Csa_3G166220 transcript:KGN57156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKPFFWLLLLFLLLSAIAVIADDSEVSVKPLVKIVKGKKLCDKGWECKGWSEYCCNHTISDYFQTYQFENLFSKRNSPVAHAAGFWDYRSFITAAALFQPHGFGTTGGKLMGMKEIAAFLGHVGSKTTCGYGVATGGPLAWGLCYNKELSPDKLYCDEYYKLTYPCTPGVSYHGRGALPIYWNYNYGKTGEALNVNLLDHPEYIEDNATLAFQAAIWKWMTPVKKNQPSAHDVFVGKWKPTKNDTLAKRLPGFGTTINILYGDAACGQGEVDSMNNPISHYLHYLDLMGVGREEAGSHEVLSCAEQEPFESSSSSSSSSS >KGN56918 pep chromosome:ASM407v2:3:9498181:9508885:-1 gene:Csa_3G143590 transcript:KGN56918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPSAQLPFFFLFLLLSISFPIFIQNSLADGVTPHEAKQLRDEVREMFYHAFNGYMKHAFPLDELRPLSCEGEDSLGGYALTLIDSLDTLALLGDREQFAASVEWIGKNLRFDINKTVSLFETNIRVLGGLLSAHLIASDHTTGMKIASYENQLLDLAEDLGRRLLPAFDTPTGIPFGSVNLLYGVDEHESKITSTAGGGTLTLEFGVLSRLTNDPIFERVTKNAVRGLWACRSKLNLVGAHINVFTGEWTQKDAGIGTSIDSFYEYLLKAYLLFGDEEYLFIFQEAYGAVMHYLFNDPWYVEVNMDSGALVWPLFNSLQAFWPGLQVLAGDIDPAIRTHTAFLSVWKRYGFTPEGFNLATLSVQHGQKSYPLRPELIESTYWLYKATRNPRYLDAGRDMVTSLQYGARCPCGYCHISDVEFHKQEDHMESFFLAETVKYLWLLFDLAAGPDNLVENGPYKYVFSTEGHLLPATPQISLVREHCSYLGAFCKSEVEPESGNINNATDVEEAKRSFSEGTTSAEIPSSSDFSELTSTTGLIRGVCPGLTHGQKFGITYIRSKMTDDSVNKRETVEKHLTVVETDQNSRHLTSHEINHYNSQELEEEDVLNDPHAI >KGN58769 pep chromosome:ASM407v2:3:27618719:27619000:-1 gene:Csa_3G731795 transcript:KGN58769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKALRVRRKIKRRKRKNSVGRTHLKRSPSTGRADRKRFRNCIRIQLPACVVRVFGAVVTSVAEAVGGVSVIVLGGNYMKGNNVFLPHKTPVCP >KGN60455 pep chromosome:ASM407v2:3:39537182:39539308:-1 gene:Csa_3G912380 transcript:KGN60455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVSSKGRRQFPGYEDPVFLASQTTFSVSEVEALFELFKSISSSVIDDGLINKEEFLLALFKNRKKENLFASRIFDLFDVKHRGVIDFGDFVRALHIFHPNTSMEDKINFSFRLYDLDNTGFIEREEVKQMLLALLGESEMKLADETVEAILDKTFLEADTNQDGKIDMSEWQYFVSKNPSLLRIMTLPYLRDITTAFPSFVFYSEVDEAAA >KGN57479 pep chromosome:ASM407v2:3:13525809:13528008:1 gene:Csa_3G197940 transcript:KGN57479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGDDDWQEGWCPGGENRRKEGGHVGDVSRRVDVGNVSDVRKVSDTETWPSFDLEAYLRISSKLKLGGTGHEHVLKSISTASLPAKSTGEMDIAQLITGRIPVRLYVRNLGDDFDHLEDAPPVNNWDDVSYINRPVEIREEGKCFTLHDAVRSLLPELFAEKGTTKEESTNVPSEGQSDSTEQLDCTKTEEGGSNFSEEYFQSYHPSIKLVRIQGIEPKGQIPFSWVVNNLMNPEYFLHICVFVSVQ >KGN57567 pep chromosome:ASM407v2:3:14336277:14338269:1 gene:Csa_3G214070 transcript:KGN57567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISIPKTFIFIFVFIFFTRPRVLESTRTFTIVNTCKDTIWPAITPGGNVTGGGFSLKRGESAVYTIPDSWTGRIWARTGCDFDKDGNGKCRTGSCGEVLNCTGPGSRPATLADFTLGSIDYYDVSVVDGFNLPIAIQPSGGKGNCSSGGCDGDLRDNCPPELAVKDDGKVVACRSACDVFHTGEYCCTGQFDNPMTCLPTNYSRSFKQVCPAAYSFGYDDPTSILTCSSADYVVAFCATRNQKVCSYDDKQLKCKVESSKASTTLLTISQRWQNFFFTFLFIFILFAILF >KGN58368 pep chromosome:ASM407v2:3:24527153:24532271:1 gene:Csa_3G630240 transcript:KGN58368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNETAVPKFGEWDDGDAKAPENYTAIFNKVREERQDQTVRGTPTRLIDSTNSQNHEQNQKLGQKIRWSRYCLEMDFYDRVAGELENIDEYSGYEGTYHKRLSS >KGN58958 pep chromosome:ASM407v2:3:28556000:28556917:-1 gene:Csa_3G739040 transcript:KGN58958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKLDPSQVVEVFVRVTGGEVGAASSLAPKIGPLGLSPKKIGEDIAKETAKEWKGLRVTVKLTVQNRQAKVSVVPSAAALVIKALKEPERDRKKTKNIKHNGNISLDDVIEIARVMRPRSMAKDLSGSVKEILGTCVSVGCTVDGKDPKDLQQEISDGDVEIPQD >KGN59226 pep chromosome:ASM407v2:3:30369800:30372480:-1 gene:Csa_3G782730 transcript:KGN59226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISYLSPASGVLILLVTAVVIEASDNNRVFSPCTDTTVENSDGFTLGFAFATEQKFFFNKTLQLSPCDSRLGLTNGNSLISVFRPKVDEISLLTINTSRSVSSFDPSSNGYMVAFAGRKYAARSPPIFVADQQHIVTSFTLVLEFEKGRLQNLFWKRDGCARCSNNSTFVCIHNQDCAIRTNSCKNNGGSVDCSLAIQLAFSGTDKHLSVFNSWYEVSRLRQYSLFNLYSNLKDSLTSQYNKIF >KGN56338 pep chromosome:ASM407v2:3:6269614:6286750:1 gene:Csa_3G116750 transcript:KGN56338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAASAAAAEIIELPRPRDKLPTNPSLDSASCYPPESSSSSADRISDTNESSSSPDGFQEDVEIQSSALLRSEEYRQLSRLPLDEVLIEDFNCAFQENILIQGHMYLFVHYICFYSNIFGFETKKIIPFREITAVRKAKTAGIFPNAIEICVGEKKYFFASFLSRDEAFNLINDGWLQHAKGTEAIMKKQKSINESSRQEIGILGVEKAKELDPSDSSDRSMDTPILNVSVVQANVEEVNVPTTLPPEPIVATQEAEPILDIHASTSRETLMWKPEDTDAPNVPDYYTQVAESKFPINVEDFFSFYFSDSAVDFVSSYHEKCGDKELKCSLWRHDDMFGHTRDVSFQHPIKIYFGAKFGGCLETQKFRVYRDSHLVIEVTQEVSEVPYSDYFRVEAHWEVKKDVDDESNNCCILRVYVNVAFSKRTVWKGKIVQSTLEECREAYGLWIQMAKELLKQKLTGSEEGTRGSASQSGEDHHIEKERNNNKSLEMSNEKNDERRSTESQYSTDVDQQAKNSIQGVNSTSAASWLREYTKKLWIVLRSQNYLPLALVITFAVIFLMQLSIVMLLSRPQHIHVSSPDYGRGLKFSGGRSSDATAWLEKRMHHLKDEMYMVEARLEMMRREHAQLKAQLRELEELS >KGN58708 pep chromosome:ASM407v2:3:27310306:27312661:1 gene:Csa_3G730720 transcript:KGN58708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSFSVVKKSNNFLRKHRKWPLSSHKTKWHQTFDQDEALRILKQAANPDQPHLLLSALVTSFTAYSCHPTPNAYYFVLKTLARTSQFHHIPPVLHRLQFLENFQTPEYIFVDLIKLYGRMNRIQDAVTLFRRIPMFRCVPSTLSLNSLLSQLSRNAQGLPIIPDIILNSHSMGIRLEHSTFQILITALCKVNKVGHAMELFNYMITEGYGLNPQICSLILASLCQQKKSSGDVVLGFLEEMRQKGFCPAVVDYSNVIKFFVTRGMGSDAVDLLNKMKADGFKPDIVCYTMVLNGVIADGDYKMADELFDELLLFGLVPDIYTYNVYIHGLCKQGDSVAGLQMIPHMEALGCQPNVITYNVILKSLCKTGELDEARKLRSKMQLKGLAENLRTFRIMIDGLFHNGEVIEACVLLEEMLGSRFPPQISTFSEILSWLCKRHMVGKAVELLALMVGKNFSPGPKAWEILLLSSESELTSVKSLETTLKDLVGI >KGN56985 pep chromosome:ASM407v2:3:9893219:9894054:1 gene:Csa_3G146700 transcript:KGN56985 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferredoxin-1 MATLHFVPSAFSLPKQKQPIKLSSVRPTTQISCSRRRLVVRSYKVVIEHEGQTTELEVDPDESILSSALDNGLEIPHDCKLGVCMTCPARLVSGTVDQSEGMLSDDVVAQGYSLLCVAYPRSDCHIKTIPEEELLALQLATAND >KGN60229 pep chromosome:ASM407v2:3:37805324:37809753:-1 gene:Csa_3G889850 transcript:KGN60229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKPRRRAFSIMRKLLTCTICSMALVAVLSVPVQVFPSSRDVPIFSRSYKLPEQLGLKFQRPATGQSLTQELSPLRWSKAPRLFHKTSALDDLWTPPSSRNFFPCTKPSLNYSSPGKTRGFLLVHTNGGLNQMRAGICDMVAIARIINATLVIPDLDKHSFWNDSSNFSDIFDEDHFINALSNDVKVIKKLPKEFATIARAVKHFRSWSGIDYYRDEIASMWKNHRVIRAAKSDSRLANNNLPADIQKLRCHACYEALRFSPKIEAMGKLLVDRMRSYGPYIALHLRYEKDMLAFSGCTHDLSPAEADELKMIRENTSYWKVKNIDPKEQRAKGYCPLTPKEVGIFLNALGYPSNTPIYIAAGDIYGGESHMSDFQLRYPLLMRKEFLASSKELEPFVHHASQMAALDYIVSVESDVFISSYSGNMARAVEGHRRFLGHRRTISPDRKSLVRLFDKLEKGTMQEGNNLASRIIELHKRRQGSARKRKGPISGTRGMDRFRSEEAFYVNPLPDCLCQREQPNSQTSSFIRR >KGN55687 pep chromosome:ASM407v2:3:669131:669520:-1 gene:Csa_3G004530 transcript:KGN55687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKKSPMASRLQKALMKLKAVLRLCLLPRQRSRRLGSFDSDDDQKVGIRIVLEDQSRIAESSFVRKLERASSSRYGGYEEDVDQRAEIFIENFRRQLRLERQISLQLRYYRVNSYETEYEQRSPSSIT >KGN57498 pep chromosome:ASM407v2:3:13732784:13735648:1 gene:Csa_3G199600 transcript:KGN57498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGKLKDGERRLVIPMPPKPKPDTQLATAESNALVEYTPPVKEEDEDLEVKLRRIIDNVPVRVSNTSGSSAGSGSGDFHQYRQMRRKEQDRLARMDVDYQKKKEIAEFNMRREERMKAAEERTSKKRLKRQKKKQRRKEKRSKLNSGEDENQKDESSDDEGDTDKGEDEAT >KGN60360 pep chromosome:ASM407v2:3:38847083:38848484:1 gene:Csa_3G901050 transcript:KGN60360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRQSLGSPVSKLHGHGAGAKSDEDPADDQKRKKHSPSSSSILNYGGQDDDKSSKSFRFSFPSPSPPRQEKLVHAIPILTIICFLILYIFSHSPSQSDLAQFHGFKHPSQQLEIKADGDELILPKKGNILAIQSFRNLKEIEKSYSLKSRPPRKLADF >KGN60216 pep chromosome:ASM407v2:3:37732002:37733220:-1 gene:Csa_3G889735 transcript:KGN60216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWRLLVTNLKSTQRQTLTLTNLLRHSSPYSTSFLVTKLPKKFRKKRKKHDSPRTKLVQSEPDRISHFERILQTDTVFRFLFKSKDFLSKQPEHVLRLDDAGKLYRELGFPRGRKVARSIERHPLLFEPYRHSDGKMWFGFTEFMEELMEEERKIMDSMEMDRVRTVRKLLMMSANKRIPLTKIYRCRSVFGIPEDFRDRVSQYPDYFRLVVEDDGKRVLELVNWDPSLAVSQLEREFVVNEDKVKRLFKFPVKHGKDLDLNMDDTRRLNLLNTLPLVSPYSDGSKLELWTLEAEKYRVGVVHEFLSLTLEKKASIHHIVEFKEEFSLTKHTYQMLLKQPRTFYLAGTEMNWVVFLKDAYDENGDLIHKDPQVVFNEKLRRYAQMQKME >KGN59245 pep chromosome:ASM407v2:3:30508745:30511050:-1 gene:Csa_3G785390 transcript:KGN59245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQQYNSVLLEGKDITFLSGSMLVMGNYIITCNSSQGHHKPDLLRTWKGKKVSMKDEKGSLLEFQAISYLVGGSKSTHGMWMMMPLGLQPLWLCI >KGN60344 pep chromosome:ASM407v2:3:38695571:38695889:-1 gene:Csa_3G895900 transcript:KGN60344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKFSFILIFILAFISVTVAAAIGDMSKGKDVATKFHYHDEFIWGRKERINHGSFRGPDKYLLNDPHPFHPFDQFQGPIL >KGN58389 pep chromosome:ASM407v2:3:24707916:24708212:-1 gene:Csa_3G636380 transcript:KGN58389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNIKLSSLEPNVLLISKYNGVLNEVWDLSLLSINVRPLELGEDTYGLASMVQVESLDDYDVDVDAEAFKVSEVDTYSSCKSYSIDSLQQRLNVHLM >KGN58597 pep chromosome:ASM407v2:3:26495793:26496025:1 gene:Csa_3G697900 transcript:KGN58597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQFFFTVAFSAVPLTLYVPPLRSFNLFVETMEEILRESRTYTNRVYPRARHVWLRVLDCILCSTR >KGN58803 pep chromosome:ASM407v2:3:27775563:27775940:1 gene:Csa_3G732600 transcript:KGN58803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKTEKADKQSSRKIENEMQKHPTLRRRILRLKRKKKLTYKEENYVIRFLRTVVSPSFVLSLLRSQMEEEGLSDSDISVNRTTRRQLSGATKLSYDASS >KGN56424 pep chromosome:ASM407v2:3:6774889:6777119:-1 gene:Csa_3G119570 transcript:KGN56424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METVSVGLISIPFIFNGSPQRTRPCSTNFLSSGFLQSKKLRRFPHNFSCINRPTTRNQKYEGGDRSFSSNLFWVLVPVLQSIKGVILSKTHKAQSVSEGFVNGGLNGNYLQSGSVGTALLSITATAKHRISPFVATLATNPTFVSGLFAWFFAQSTKVLLNFFVERKWDLKIMFACGGMPSSHSALCTALTTSVALCHGVADSLFPVCLGFSLIVMYDAIGVRRHAGMQAEVLNLIVEDLFEGHPISKRKLKELLGHTPSQVLAGALLGIAVAWFFCQGSMIPC >KGN57190 pep chromosome:ASM407v2:3:11262071:11275250:1 gene:Csa_3G169500 transcript:KGN57190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEAHVLHLLRRYLGEYVQGLSLEALRISVWKGDVVLKDLKLKTEALNSLRLPVTVKAGFVGTITLKVPWKSLGKEPVIVLIDRVFVLAHPALDGQTLKEEDREKLFEAKLQQIEEAESATLEAISRSKLGNPPGGTSWLGSLISTIIGNLKISISNVHIRYEDYASNPGYPFCTGVTLAKLAAVTMDEQGNETFDTSGALDKLRKLVQLDRLAMYHDSNTLPWSLDKKWEDLSPKDWIEVFEDGINEPTADHGLRSKWAVNRNYLVSPINGILKYHRLGNLERNDPEIPYEKASLVLGDVSLTITEAQYHDWIKLLEVVSRYKTYVEVSHLRPMVPVLVAPNLWWRYAVQACLQQKKMCYRFSWERIRQLCQLRRRYIQLYAGSLQRMSNTSNNEIREIEKDLDSKVILLWRLLAHAKIESVKSKEAAEQKRLKKSSWFSFRWRANSEDTSDGDASEGSYLGVEQLTKEEWQAINKLLSYQQDEDTPSHSGKDGQNMVQSLFTVSINQAAARIVNINQTEIVCGRFEQLSVSTKLKQRSTYCDVLLKFYGLSAPEGSLAQSVSSEQKANALAASFIYMPVGENIDWRLSATISPCYVTTFDIMTKVINGSGRLLGDFVWEDFSGEAATGDEAGSSLVDLKCRTKI >KGN60489 pep chromosome:ASM407v2:3:39771539:39772759:-1 gene:Csa_3G915180 transcript:KGN60489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECCRRIPSSPFGVVAISLLLVVLVSVPKADANMDPLIELDNHGGKMIKGKLDLSVVFYGQFGRIQKNRLRAFLKSLNQHGPAGSGSQVSSWWRMVSSYVPGAAEIKVKVVKQYVDANYSLGKVMTRDFIKILVKNAVAGLPGAIPVIVGARDVTVEGLCMGKCAEHGDIDGIPYVIIGNPETECPGACAWPFRRADYGPAGAILKPPSGDVGADAMVVALASGLASVVSNPFHTGLYQLGEKSNMIEAATACPGMFGTGAAPGYSGKVAVDPHTGGAYNAVGFKGKKFLLPAIWNPKTSSCWTVM >KGN56416 pep chromosome:ASM407v2:3:6723767:6728512:-1 gene:Csa_3G119490 transcript:KGN56416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWNPETLQLLSQCFLHTLSPAPGPRRSAEASLAEAADRPNYGLAVLRLVAEPSVDEQIRQAAAVNFKNHLRVRWAPGAPDESNASPLGPIPDSEKEQIKALIVPLMLSSTQRIQSQLSEALALISKHDFPKSWPSLLPELVVSLQKACQASDYASVNGILGTANSIFKKFRYQYKTNDLLLDLKYCLDNFAAPLLEIFLKTAALIDSAVSSGALAATLRPLFESQRLCCRIFFSLNFQELPEFFEDHMKEWMGEFRKYLTMNYPALENSGTDGVALVDELRAAVCENINLYMEKNEEEFQGYLNDFALAVWGLLGNVSQSSSRDQLAVTAMKFLTTVSTSVHHTLFAGEGVIPEICKSIVIPNVRLRDEDEELFDMNYVEFIRRDMEGSDLDTRRRIACELLKGIATNYKKQVTDMVSSQIQNLLNSFGLNPALNWKDKDCAIYLVVSLSTKKAGGSSVSTDLIDVQNFFGSVIIPELKNSDVNGLPMLKAGALKFLAVFRNLISKPIALQMFPDLVRFLGSESNVVHSYAAICIEKLLLVKEDSGVARYSSLDIAPIFPEMMTKLFNAFKFPESEENQYIMKCIMRVLGVADISREVAGPCIVGLTSILNEVCRNPKNPVFNHYMFESVALLIRRACERDPSLISHFETNLFPSLQMILANDVTEFFPYAFQLLAQLVELNNPPIPASYVQIFEILLSPESWKRASNVPALVRLLQAFLQKAPHELNQVGRLSQVLGIFSNLVSSPSTAEQGFYVLNTVIDSLEYSVIEQYIGHIWAVLFGQLQSRRTVKFIKSLLIFMSLFLVKHGQKNLLDTINSVQNGIFIQILRQFWIPNLKLITGAIELKLTAVASTRLICECPALLDPAFVEDWGKMLDSIVTLLSRPEQERVDEEPEMPDISENVGYSASFVRLYNAGKKEDDPLKDIKDPKQFLIASLSKLSSLSPGRYPQVISQYLDPTNQSALLQFCRSYNCPIA >KGN57339 pep chromosome:ASM407v2:3:12361846:12362238:1 gene:Csa_3G180270 transcript:KGN57339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALKSTAIPIFKSSPLSFPTKSLKPINVSINPPPSDFDFRLEISRDSRATIAETHPELLDLADDGILVLVDKTKFGPVPAWRAEFVEPQAIWLVGTSHISPKSVKDVERVVRAVKPDNVVVELCRSRQV >KGN58228 pep chromosome:ASM407v2:3:22892975:22895662:1 gene:Csa_3G597270 transcript:KGN58228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLTFLVDETQMQRLIRLLIFDSPQSSLRSTSTATPRLLSPHIFTDSSLKFVDFFLEGRAVQFSADQDKLEPDTFCYFKREVIDLLSQEDNVPSPPHNSQISGASPPFSDCIGPKLSHFKKEKLKTLLRQSVVTLSKEVNEMLEPARSTQRLKSYLRSKKNLEKVAMNNVKQAPFKKLKSLSSSTGLSAHEDCANLGSSMMIDDELQFFLDNYSEQIEDVVAKFSNDLSGTLGHMEQQLEEVLDSVLSNFRYGIKS >KGN57370 pep chromosome:ASM407v2:3:12573835:12576854:1 gene:Csa_3G182060 transcript:KGN57370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDSRRPKFPKQHFSAEKLLSFSNASPRSSVIIFFAAVILLSMFLSSRLLGLLLKLLSFSNASPRSSVIIFFAAVILLSMFLSSRLLGLLLGLKSNVMSQEPQGQRKQDPDGPMVATCPEYFRWIHEDLKPWAGRGITKSMLEEAQKKAHFRVVVVEGKAYVEAYGKAYQSRDNLTVWGVVQLLRRYPGKLPDLDLMFSCDDRPEIYQKDYSGAEKPSPPPLFRYSGDDATWDIVFPDWSFWGWPEINIKAWESMLKDIKEGNKKMGWMKRQPYAYWKGNPAVAYTRRDLLKCNVTQKQDWSARLYRQNWDKESKAGFKDSNLANQCDYRYKIYIEGKAWSVSEKYILACDSVSLIVRPRYYDFFTRSLIPMKHYWPISSNRKCSSIKFAVHWGNTHSQEAMAIGKAASKLIEEELKMEYIYDYMFHLLNQYSKLLTFKPTVPPNATELLSESLASAAKGSIRKSMMESVVTSPAESGPCALQPPYDPQSLQLLIRSKEDSIKQVEKWERSFFKNNGPIVQ >KGN58487 pep chromosome:ASM407v2:3:25634595:25635110:1 gene:Csa_3G651725 transcript:KGN58487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDTSAMKISKTAKNLASQIRELMFLRDVCSFQRTPIHILKKNLDFTVMIKHIVALNNIGIVNVTKDLDLAAHLKPNRIFMVSVNDLESINMTGGTVKDFVNGTSTSTSDSADTLKVRKGERVTLGRKRVRGAIGVVELTGGRRRGRVGGGRRQRKRNSQRCVVTLRKMEI >KGN58626 pep chromosome:ASM407v2:3:26695481:26697946:-1 gene:Csa_3G702640 transcript:KGN58626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELDLRLGNDPSPSSHPTPLRFSLSFPSIDLQHQAHNHIHQNHLLLHSLNSPPPPSAPASRPSSPTEGSGGGVFTEVISGASADGGGEIGGRSSKGIVWSIGKNNREEERKGKSENELEEEEEQEEMDMNMISSGRKKLRLSRQQSAFLEESFKEHHTLYPKQKLEVARRLNLRPRQVEVWFQNRRARTKLKQNEVECEYLKKCCATLTQQNTKLQKELQDLKALKTTHSLFINSPPTTLTLCASCERAVATPVSR >KGN59148 pep chromosome:ASM407v2:3:29889444:29895711:1 gene:Csa_3G777560 transcript:KGN59148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRHEKEKGVNVQVLLRCRPFSEEELRSNAPQVVTCNDYSREVTVSQNIAGKHFDRVFTFDKVFGPSAKQRDLYDQAVVPIVNEVLEGFNCTIFAYGQTGTGKTYTMEGECKRSKSGPNGELPPEAGVIPRTVQQIFDTLEGQNAEYSVKVTFLELYNEEITDLLAPEEITKVALEEKQKKQLPLMEDGKGGVLVRGLEEEIVTSASEIFSLLERGSAKRRTAETLLNKQSSRSHSLFSITIHIKEATPEGEELIKCGKLNLVDLAGSENISRSGAREGRAREAGEINKSLLTLGRVINALVEHLGHIPYRDSKLTRLLRDSLGGRTKTCIIATVSPAVHCLEETLSTLDYAHRAKNIKNKPEVNQKMMKSTLIKDLYGEIDRLKAEVYAAREKNGVYIPKERYQQEESERKAMADQIEQMGVTIETYQKQLEELQEKYNIQSVHCSDLSKKLDSTEKTLCQTQKLLSSTEEELKKCQYFLKEKDFVISEQRKAENALAHQACVLRSDLEKALQDNASLFMKIGREDKLNTENRAVVDNYQIELTQQIGSVCNIVSTSLSQQNEHLQCVENICHSFLDKHEKAIMDMKKKLSSSRTLYISHIEALQNVVRLHKASSNATLEDISSLASSSAKSIEEFLTTEAGEASAILDNLQSTLSTQSKEMALFARELRQRFHVTIDQTKGISEYIEEFLSKLTDESKKLGNHAAEAEEIQMKSIAEFQKVYEEQSRTDTEKLIADMTNLVSCHIRRQKELVDARLIGLQETASANKTFLDGYISSMDGMATDAKRKWQVFATQTDSETRDSADFSAAKHCRMEDLLQQCVGTTESALKQWNKTRESLTEMGTKHVSDVVSAVRGACDSNEQHDAEFTSERSAAEQDMMTNIEDTLQHVDSISKQERGSISGILDAVKTHTETMEAFRTDHSCQVSAIEEKAKETFRQQYGDYEPTGSTPIRCEPDVPSKSTIESLRAMPMEALVEEFRENNSCELLSNGKEKPSLIPRAPLLERN >KGN60402 pep chromosome:ASM407v2:3:39079681:39087038:1 gene:Csa_3G902930 transcript:KGN60402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVAASAIYFLNLRGDVLINRLYRDDVGGNMVDAFRTHIMQTKELGTCPVRQIGGCSFFYMRISNVYIVIVVSSNANVACAFKFVVEAVALFKSYFGGAFDEDAIRNNFVLIYELLDEIMDFGYPQNLSPEILKLYITQEGVRSPFSSKPSDKPVPNATLQVTGAVGWRREGLVYKKNEVFLDIVESVNLLMSSKGSVLRCDVTGKILMKCFLSGMPDLKLGLNDKIGLEKESQLKSRPAKSGKTIELDDVTFHQCVNLTRFNSEKTVSFVPPDGEFELMKYRITEGVNLPFRVLPTIKELGRTHMEVNVKVKSVFGAKMFALGVVIKIPVPKQTAKTSFQVTSGRAKYNASIDCIVWKIRKFPGQTEPTMSAEVELISTMTERKPWTRPPIQMEFQVPMFTASGLRVRFLKVWEKSGYNTVEWVRYITKAGSYEIRC >KGN58232 pep chromosome:ASM407v2:3:22904888:22909478:1 gene:Csa_3G597310 transcript:KGN58232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGAEAMSILGLAMSKNKSKILTTKKKKNEFPSAFRAGAGAGAGGAVFTYLMSYDYLFKYIIIGDTGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMVTIDARPIKLQIWDTAGQESFRSITRSYYRGAAGALLVYDITRRETFNHLASWLEDARQHANPNMSIMLVGNKADLAHRRAVSKEEGEQFAKENGLLFLEASARTAQNVEEAFIKTAAKILQNIQEGVFDVSNESSGIKVGYGRPQGPSGARDGTVAQRGGCCG >KGN59109 pep chromosome:ASM407v2:3:29642652:29649300:-1 gene:Csa_3G774730 transcript:KGN59109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVIAMTVFLRTEMKVGTVIGGSKFLGALFFSLINVMFNGIAELALTIFRFPVFFRQRDFLFYPAWAFSLPIFILRIPLSFIESGIWTLLTYYTIGFAPSLSRFFKQFLAFFATHQTALSLFRLMAAIGRTLVVAKVSDCSPFPYRWNVLHLKSDAWNNQLLFSTWLRLSSNVDTKTRKLLFSTWLRLSSNVDTKTRKLLFSTWLRLSSNVDTKTRKLLFSTWLRLSSNVDTKTRKLLFSTWLRLSSNVDTKTRKLLFSTWLRLSSNVDTKTRKLLFSTWLRLSSNVDTKTRKLLFSTWLRLSSNVDTKTRKLLFSTWLRLSSNVDTKTRKLLFSTWLRLSSNVDTKTRKMFVEEVMELIELDKLRDALVGLPGVDGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARSAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQMIYAGPLGERSCKLIEYFEAIPGIPKIENGKNPATWMLEVTAPPMEAQLDIDFADTFAKSPIYRRNQELIMELSTPAPGSKDLHFPTEYSQSFFFQCRACFWKQHRSYWRHTQYNAIRFFSTIVVGILFGLVFWNKGQILAKQQDVLNVMGAIYSAIIFLGASNASSVQSVVAIERTAFYREKAAGMYSALPYAFAQVAIETIYVFVQSIIYSLIIYSMIGFEWKLGKFLLFCYLVFMCFTYFTLYGMMVVALTPNYHIAAIVMSFFVGFWNLFTGFLIPRPAIPVWWRWYYWANPVAWTIYGIVASQVGDKDSLVQIPGVGSVRLKLFLKEGFGYEHDFIPIVIAAHFIWVLVFIFVFAYGIKYLNFQRR >KGN57947 pep chromosome:ASM407v2:3:19537855:19539856:-1 gene:Csa_3G403990 transcript:KGN57947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLTSTSSQVELQEQYQRPLPTIEPIVESAATERLTIDDMLGKYCGEFGPWQWKNFVLANLGWTLEALHTMIVIFADHQPRWQCIDPTDCNAAATSVCGMKPGSWEWVDGRRSSTVAEWSLICGDKYKVGLAQSMFFIGCMIGSALFGYLSNSALGRKRSLALVSSLNAIFGFLTAASPYYWTYALFRSLTGISTGGNAVCAFVLATEPIGPTKRGAAGMSSFYFFAGGIVILSGMAYTIRPWRELYIASSIPSLVFLLVILPFVSESPRWYLVHGKIDEAMKVMKSIAKCNGKHLPEGVILLLDREAFNKDDQISELKHVEKGNLGTLLRSPLARIRLLLAMAISFVGAVIYYGLSLNVVNLKTNLYLSVLLNAVAETPAFAITAVLLSRVGRKGIGIGTFWFSGVFCFIGSLMRSHGKWKVARMVCGIMGVFGMAGNYNLLFLYLEELFPTVVRNVAMGAAALAIQTGAALAPFVVVLGGGSAFGVFAVCGMLGGILVFFLPETRNKSLYDTMAGLEYGERSCIGD >KGN57673 pep chromosome:ASM407v2:3:15427651:15429971:-1 gene:Csa_3G239860 transcript:KGN57673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSKPAFFTHLKTLTGSHHLLQRQALAPFPIVTLRFLSFASAEEADAERRRRKRRLRIEPPLSSSSAARPLTQPPRSQTPQNPNAPKIPEHISALSGNRLNLHNRILTLIRENDLEEAALFTRHSIYSNCRPTIFTVNAVLNAQLRQSKYADLLSLHRFITQAGVVPNIITHNLIFQTYLDCRKPDTAMEHYKQLINDAPFNPSPTTYRILIKGLVDNNKLERAMELKDEMIEKGFAPDPLIYHYLMGGCVRSLDPDGVFKLFEELKEKLGATVEDGVVYGNLMKGYFMKEMEEEAMKCYEETVGDNSVVKMSAIAYNSVLDALCRNGKFGEALTLFDRMTKEHRPPRHLAVNLGSFNVMVDGYCIEGRFKEAIEVFEKMGDYRCCPDTLSFNNLIEQLCNNGMLAEAEMLYGTMDDKGVNPDEFTYGLLMDSCFKKNRADDAAAYFRKMVDSGLRPNIAVYNILVDELVKLGKIDDAKSFFDLMVKKLKMDASSYQFIMKALSESGKMDEILNVVDTLLDDDGIEFSEELQEFVRGELRKENREEDLAKLVEEKERLKAEAKAKEAEAAEAQKRSAKAAVSSLLSSKLFANKEGEKESVVNEMQSVEQEDDSGKTELAESSP >KGN58606 pep chromosome:ASM407v2:3:26539514:26541667:1 gene:Csa_3G698470 transcript:KGN58606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNLQSNFNQKIDYVFKVVLIGDSAVGKSQLLSRFARNEFSLDSKATIGVEFQTKTLNIDQKAIKAQIWDTAGQERYRAVTSAYYRGAVGAMLVYDMTKRQTFDHIARWLEELRGHADKNIVIMLIGNKSDLGSLRVVPTEDAKEFAQMENLSFMETSALEATNVEAAFNTILTEIYRVISKKALIANDETDSGGSSSLLKGTKIVVPGQEPQAASSGCCRS >KGN59074 pep chromosome:ASM407v2:3:29269659:29273625:-1 gene:Csa_3G751980 transcript:KGN59074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHSDQIPAKTRVVCCIGDVHGYITKLQNLWSNLESSIHPSDFNSALIIFLGDYCDRGSNTREVIDFLVNLPSKYPNQKHVFLAGNHDFAFAAFLGILPPPADGSPFSDTWTQFEASEEREGWFRGEGYENMHVQGRRWAGKITVKTNLAKGTDYQGSIYDAGPTFESYGVPHGSADLVKAVPDEHKKFLSNMAWVHEEDDVCLDTEDGIKHYKLIAVHAGLERGKDVQEQLNSLKAKDTKVPKIECLSGRRNVWDIPKELSEKPTMVVSGHHGKLHIDGLRLIIDEGGGLQDRPVAAVVLPPMKIVRDTDNMKQ >KGN59122 pep chromosome:ASM407v2:3:29745563:29745909:1 gene:Csa_3G776340 transcript:KGN59122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGIDPLEIEEDDDLFEIDLEAVNSIISPPNFSDDTCGFFTTAGDTTLLANCLLPITDVSSAVPVGDSNECEIFLFAMPSEPTHLEKALQLPLGFELHRALIDCLEMKITSQ >KGN59979 pep chromosome:ASM407v2:3:35933371:35936762:-1 gene:Csa_3G859660 transcript:KGN59979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLRGIIGEKTEIRESSGNSSRKVREGVQMRHLGKSHIVPEQPSSSSSPVPVEQSRWANLPPELLLDVIQRVEASETSWPSRRDVVACASVCRSWRMITKEVVRTPEQCGWITFPISLKQPGPRDTPIQCFIKRERATSTFRLYLGLSPALSGNLSKLLLTAKRIRRAMSTEFSISLVPDDFSRASNNYLGKLRSNFLGTKFSIYDSQPPHDPKLRTSRSKRRIHLKQVSPSKTPANYKVAAVAYELNVLRTRGPRRMRCTMHSIPIASVEEGGTAPTPTEFKDCIDEYHPSTPLLKGKKAITESPTESPDSQESVAPLVLKNKAPRWHEQLQCWCLNFKGRVTVASVKNFQLVAAAETSQNVSAAEQERVILQFGKIGKDIFTMDYRYPLSAFQAFAICLSSFDTKPACE >KGN55625 pep chromosome:ASM407v2:3:310801:312734:-1 gene:Csa_3G002450 transcript:KGN55625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAHLPPPPPEQNYLEKLDVFKIKGRDKQGRRILRITGKFFPARVVSLDVLKKHLEEKIFPRLKNKRFTILYFHTGVQRSQNFPGIAALRSIYDAIPAAVKANLEAVYFVHPDLQARLFLATLGRIFFTSEVYGKVRYVSRIDLLWEHVRRNEIEVPEFIYDHDEDLEYRPMMDYGLESDHPRVYGAPSVESHVYSMRCIS >KGN59761 pep chromosome:ASM407v2:3:34093709:34094730:1 gene:Csa_3G843800 transcript:KGN59761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASESGPAVPALPLQDRVAIVTGASRGIGRGIALHLAGLGARVVVNYVSSSAEADQVVADINSSSAAGSSQRAIAWRADVSDPEQVKSLFDAAEQAFGSQVHILVNSAGISDPTYPYIANTPLEIFDHLFSVNTRGCFLCCKEAANRVKRGGGGRIILISSTAVAATTAGLGAYTASKAAVEAMAKVTAKELSGTGISVNCIAPGATATEMFYKGIDEEGVKKVIDKCPMGRLGVPKDVASFVGFLASDDGEWINGQVILVNGGIV >KGN57489 pep chromosome:ASM407v2:3:13646219:13647448:-1 gene:Csa_3G199020 transcript:KGN57489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFQGEQIESFKENKGQDESNEEEEAELDEEAELEEARDQPDGVGALEWLSLSLGRNKQPLNDSQRSAASTKTFSCNFCKRIFYSSQALGGHQNAHKRERSAARRHQAHKMMTLLGLPIHNSMVRSLGVQPHSLVHKPGREDTAVVARFNHVKNGSWAAPFMLGDAMDFMWPGSFRFAQHQILPEPPPPREPPMEPFKLDLSLRL >KGN55700 pep chromosome:ASM407v2:3:774905:780285:-1 gene:Csa_3G006620 transcript:KGN55700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFCNANFASSSTIATAVLPLPSPKLACFRISHRRTHRSSVSSSMFEFMPRRHLRVLPPNLSNRQSSNASTDLDVPFPRDYSDLLNQAKKATEAALIDNKQLMEIEFPTAGLESVPGDGEGGIEMTESMQLIRQFCDCFIDPLKATRTRVFFPEANEVKFARNTAFEGVSFKLDYLTKPSFFEDFGFVEKVKMADRVKPEDELFLVAYPYFNVNEMLVVEELYKEAVQNTTRKLIIFNGELDRIRSGYYPPFFYPKLAALMKTLFPEMETVYYIHNFKGQKGGVLFRSYPGPWKVLRKVRNKFVCVHQQEEMPSLKEVALNILPSS >KGN57965 pep chromosome:ASM407v2:3:19743535:19746544:1 gene:Csa_3G415100 transcript:KGN57965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSPENPNWLFDYGLIEDIPVPDGNFPVTSSSFSWPIQPFNGSHDSGVEIDGSLADLDGHLESGSKKRVRSDSCSASSSKACREKLRRDRLNDKFLELGSILDPGRPPKTDKAAILVDAVRMVNQLRSETQKLKESNSSLQEKIKELKAEKNELRDEKQRLKADKERLEQQVKSMPAQQPGFLPPPIPTFPAQGQAPGNKLFPFIGYHPSVAMWQFMPPAAVDTSQDHVLRPPVA >KGN57200 pep chromosome:ASM407v2:3:11356838:11360112:1 gene:Csa_3G171080 transcript:KGN57200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSIKDFLISRQSPCPIDQLSKIASCGLERECDHWAQPRQGLKPTRAVAFLQFWPTNFDGIFEFGRNMDGEIPNIKRWVVLYPIYINSKKTIAEGRRIGVSKACENPTCAEIGDCCSHLKLPFAIEIDKAYPRDFMQRGRVRVQLKKEDGALSNPAITSRKQLMLRIAELVPRHPGRTKKQEPASSSTAGPSKGKGGRKKK >KGN57810 pep chromosome:ASM407v2:3:17539713:17543604:1 gene:Csa_3G314740 transcript:KGN57810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGEVIWKEIELAESYLVCAMFEEAVALSSSVLKRVSQLENGIEKNEMMESAGMVLIQSLKELGRTSQILDELKVSFPSVAAIPFTVLLFGACFHFSEGLSDMQSLLEEFLSKWSLLNEEIYVFVGSRSIDDRECFDGHAQLTVDEYLQLVHVYLRIVTEIGLKDVDLAVSWVEKAALPEGKRQIILRRLDYLQSKKAASSSQSSSSSLLRNDHRTHLSSSEGLQASETALDPAYQDGGSANRETVLRLHKLTKPSFWPFRTITLKFGSFRLVISTRKIVLSCLLVLIYYLLRRKLTALKRMAQEQGSSMKKALVDLWQLAFSYQVNPLAIAQPLSGAARGVS >KGN59408 pep chromosome:ASM407v2:3:31681192:31687119:1 gene:Csa_3G816700 transcript:KGN59408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPCGLTLSLKPPTLCTRISFNGGPTSAFALQAPILGTLVQMKGTRVCSFRHNHRLEFNDVGRRQRQCGPLRFTDFRISASSGDGSGDGFGSGAGDGGYGGYGHENSGGGEGDSGKGGNNWSFLSWYLTLLAKYPALVKSVTSGILNALGDLICQIVFEEAPSADLRRTFRFSLLGLVLVGPALHFWYLYLSQLVTLPGASGAFVRLLLDQFIFTPVFIGVFLSGLLTLEGRPSDIIPKLQQEWFSSVVANWKLWIPFQFLNFRFVPQQFQVLAANILALAWNVILSFKAHKEIITR >KGN59110 pep chromosome:ASM407v2:3:29649536:29656906:-1 gene:Csa_3G774740 transcript:KGN59110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSRIASSIREAWETPSESFPKSRRMEEEEEELRWAAIERLPTYERMRKGIIRQVMENGRVVEEVVDVTTMGFMERKELMERMVKVVEEDNEKFLRRMRERTDRVGIEIPKIEVRFEDLFVEGDVYVGSRALPSLLNVILNTFESLIGLIGLVPSKKRKIHILKGVSGIIKPSRMTLLLGPPSCGKTTMLLALAGKLDKNLKESGKVTYCGHEMHEFVPQRTCAYISQHDLHCGEMTVRESLDFSGRCLGVGTRYQLMAELTRREKQAGIKPDPEIDAFMKAISVSGQKASLVTEYILKILGLEVCADILVGDEMRRGISGGQKKRLTTGEMLVGPAKAFFMDEISTGLDSSTTFQIWKFMRQMVHIMDVTMVISLLQPAPETFNLFDDIILLSEGQIVYQGPREKILDFFKFMGFRCPERKGVXXXXXXXXXXXXXXXXXXXXGPRTILVQKKQTI >KGN56434 pep chromosome:ASM407v2:3:6822664:6824429:1 gene:Csa_3G119670 transcript:KGN56434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDDDACGLMEAQYIRRHHRHHPNHNQCTSAVFKNVRAPVPLVWSLVRRFDQPQKYKPFVSRCVVKGDLGIGSVREVNVKSGLPATTSTERLELLDDEEHILGIRIVGGDHRLKNYSSIMTVHPKVIDGRPGTLVIESFVVDVPNGNTKDETCYFVKALIRCNLKSLADVSERMAVQGQTEPLEK >KGN59328 pep chromosome:ASM407v2:3:31068734:31071885:1 gene:Csa_3G810520 transcript:KGN59328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIGSISICTRNAVPLNLRTQMGSNICTVLSRRTSTSCCSYGISRPKYADQSVTTISSCSPNTSQRFQAGGCLSTCFSRRSIDFQAFTVKDLITDGGSRGRDVEISLACKGMNVKLSIPNDGTFSKIKYNMRWPERWASAGLVFGWVVCYSTSEPVHAEAAYEKDDNEENSDSSHVKLSHGKKVYTDYSVIGIPGDGRCLFRSVADEFIKRREETEWFVEGDFDTYMSNMRNPHVWGGEPELFMASHVLQAPIIVYMYDKDSGGLISIAEYGDEYGKENPIRVLYHGFGHYDALQIPANQGVGRSKL >KGN55656 pep chromosome:ASM407v2:3:482764:483713:-1 gene:Csa_3G002760 transcript:KGN55656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASISSSLLVSLFLFFPFISGVNSSTFTILNQCDYTVWPGVLSGAGTSQLSTTGFVLEKGQSNAITMPPGWSGRIWGRTYCSQDATGRFSCATADCGSGIVECNGAGATPPATLAEFTLNGASGLDFYDVSLVDGYNVPMLITPQDGTGGGNCTTIGCATDLNNECPAELRVVASDGGGRSVACKSACEAFGEAQYCCSGEYGNPNTCRPSSYSQFFKSACPQAYSYA >KGN55962 pep chromosome:ASM407v2:3:2885031:2891552:1 gene:Csa_3G039330 transcript:KGN55962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELMLFFILTISTTMELNQLLLLLSCSIVELDVAVFGKKDYQQWRDYQADGESSAGGDSVVTQQSATPFDKCPTMASTPTINCFVVFFFFFFFTVSVRSSISSHFSSFPQCSWRRFTLMDSKKDSECLENMGRTSVLKCVFRALDADFFNNTKRDEVLKGAEELNVPIIRANRKLVASENGGLHNPSSLVFNPEWANENVRHKSKRFCYPPVSGIKRPNNEDDIAFMSVLELGELIKTEQISSQELVRIFLQRLKRYNHVLEAVVSFTEELAYKQAKEADELFAQGVYLGPLHGIPYGLKDIISVPGYKTTWGSKSFKEQVIDVEAWVYKQLKSAGAVLVAKLVTGSLAYDDIWFGGRTRNPWNIEEFSTGSSAGPAACTSAGMVPFAIGSETIGSMTYPAARCGVTAIRPTFGTVGRSGVMSISESLDKLGPFCRNAVDCAVVLDVIRGKDPHDLSSVESSLDDPFSIDISKLTVGYLDDADMEVVRILSSKGVNMVPFNLSYSVDSVQGIINFTMDVDMLAHFDEWQRLGLDDEYEAQDQWPTELRRARLIPAVDYVQAQRARGKLIREVRESFNVDALIGNATDWEKVCMGNLVGLPIVVVPTGFKNISNPPSTGTTRRKTTITTGIYAPPHRDHIALALAMAYQSATDHHRAKPPIDDLGPSDVLPDPPLVSIPPRLLHL >KGN57686 pep chromosome:ASM407v2:3:15686848:15687669:1 gene:Csa_3G252450 transcript:KGN57686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEESVSNMVKQMGNALRSMVKRKARALKARLIIYSLLAQSNFFVSSSIPLTTISTHHHNQQHSQLQAVVEDHQVAETQQETERETGVCTKYEEAEAEAEAPASGSVIEMVKNSKEKAGEEFSLEKDIDHVADLFIRNFHQQMRMQKQNSLNRSHQELLFR >KGN56531 pep chromosome:ASM407v2:3:7319329:7324818:-1 gene:Csa_3G122550 transcript:KGN56531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTSPVVNTYPLSSYTFGTKEPKLEKDTSVADRLARMKVNYMKEGMRTSVEAILLVQEHKHPHILLLQIGNTFCKLPGGRLKPGENEIEGLKRKLTSKLGANSPSLQPDWQIGECVAIWWRPNFETVMYPYCPPHITKPKECKKLFIVHLSEREYFAVPKNLKLLAVPLFELYDNVQRYGPVISTIPQQLSRFQFNMITT >KGN57096 pep chromosome:ASM407v2:3:10634547:10635449:-1 gene:Csa_3G153700 transcript:KGN57096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENDESGDQCISQWLSLRPPFQSPPPSPQTLLSLRLPTQSLTPPLSLHLSLHPPRPHPPPPQHRPLEFSPLPSTAADPPSSLLLPSQSPDLLPPDRLQPPSTQQTQNEADEEIRPTKRTQNEPNEELPRPKRTRKQADTSRIEPPYPWSTEQGAIIHKLEYLQANNIRTIKGEVKCKRCKRKDEIEYDLMSKFEEMIKFIEREKCNMHDRAPNCWTNPTLLNCKFCNKEKCVEPIIPAGNDNKINWLFLLLGNFLGRLKLTQLKHFCTQTKIHRTGAKDRLVYFTYFGLCKQLQPTFDQN >KGN60295 pep chromosome:ASM407v2:3:38362534:38366062:-1 gene:Csa_3G893450 transcript:KGN60295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIRRTLSPAYHDRVYPNGIPFSTSSPSSKLLSNAKYSSPFSSFAVGARRFISGAFFIRPPRKGSNSWRRAFFRCCVFFLLGFLLGMMPFGHDADDIRSHDFSFEIKPPHVNVQFEKDSHGQVWREDSVVDSVNLSVKSSPEVNLSFVSVPKTQLIVVTPTYNRALQAYFLNRLGQALKLVNPPLLWIVVEMNSASMETAEILRKTGVMYRHLVCTKNMTDVKDRGVHQRNVALQHIERHKLDGIVYFADDDNIYSLELFDSLRDISRFGTWPVAMLAQNKNKAVLEGPVCNGSQVIGWHTNEKSKRLRRFHVDMSGFAFNSTILWDPKRWRRPTSKPIRQLDTVKEGFQETTFIEQVVEDESQMEGVPIGCLKVMNWHLHLEVPNFAYPSDWVFQKNLDYVLPIK >KGN59369 pep chromosome:ASM407v2:3:31431046:31431740:1 gene:Csa_3G814360 transcript:KGN59369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANYKLNLMLVMAMAMAAFAFHVTARTVPNDQAAKGLNDQKNFLNYGGVGGFSGIGDSGLPFGGGIGGGGLGGGGGLGGLGGGIGGFGGVGIGGIGGGVGGGVIGGIGGIGGGGGLVKLP >KGN59450 pep chromosome:ASM407v2:3:32000995:32001461:-1 gene:Csa_3G821040 transcript:KGN59450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQTIECGTLFQTFPLSSFLTTKRSFDDGFDVGGKRRGIYDSKFSDGFTSVDSFFASVATGGAAASSATVGDSRAAGFDIGCFVVLGFLFFSTDP >KGN59251 pep chromosome:ASM407v2:3:30535146:30540213:1 gene:Csa_3G790440 transcript:KGN59251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAKKHYKDKFARHKEEKTEEPETPKYRDRAKERREDQNPDYEPTELGFHAVAPPGTVDIRAADAHKLSIEKSKYLGGDVEHTHLVKGLDYALLNKVRSEIDKKPDAVGDAEGKASAPKEDQQVLFRTATAKSVYKWIVKPQTGIKSNETFLPGRTSFIYNMEGGYSHDIPTTLHRSKADCPVPEEMVTVNVDGSVLDRIAKIMSYLRLGSSGKVLKKKKKDKDVKGKISSIVNEYVGINKPSTLDTGVPKKQMEREMLPPPPPLKKNQIVLKEKQGPVVTRVEDDDIFVGAGVDYTVPGKDLSQSPLSEDMEESPRNKEKPSYFSEPAYGPVPPSGPPQEWQETNGYGVMQPQAFPAGYQGEWQDYQYSEQLAYSEQYLQLNMQAYDMQTGANIQQDPRLMTQEEKDRGLGSVFKRDDQRLQQLRERDAREKDPNFISESYSECYPGYQEYNREIVDSDDEDDLSKMDMGGRAKGRLHRWDFETEEEWAKYNEQKEAMPKAAFQFGVKMQDGRKTRKQNKDQKLNNELHKINKILAKKKMEKEMNGDDEDIQPGKKIRV >KGN57014 pep chromosome:ASM407v2:3:10072227:10077424:1 gene:Csa_3G149940 transcript:KGN57014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASSAVFRRQQFLLRSLGGFGDCTYQNRRLQIKAMSGTSIEADADLILRAITPCLDPNRYKGQAGKIAVIGGCREYTGAPYFAAISALKIGADLSHVFCTKDAAPVIKSYSPELIVHPVLEESYSVRDEEKKFIAERVLAEVDKWLERFDCLVIGPGLGRDPFLLDCVSEIIKHARQTNIPMVIDGDGLFLITGNLHLVSNYPLAVLTPNVNEYKRLVRNVLLAEVDEQDAPNQLLTLAKRIGGLTILRKGRADLISDGETVKSVSIYGSPRRCGGQGDILSGSVAVFISWAQRQGSITDDNLSSSPKNPTVLGCIAGSALLRRAASLAFENKKRSTLTTDIIEFLWRSLEDISPAQ >KGN57869 pep chromosome:ASM407v2:3:18321284:18324052:1 gene:Csa_3G357080 transcript:KGN57869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAVLDALDNARTQWYHITAIVIAGMGFFTDAYDLFCISTVSKLLGRLYYFNPDSTKPGKLPNQINNAVVGVALVGTLMGQLFFGWLGDKLGRKKVYGVTLVLMALCAICSGLSFGSTSKSVIGTLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGMGLIFAGLVSMILSKIFLSLHKAPSYKAEPVFSTQPEGDFLWRIVLMLGALPAILTYYWRMKMPETGRYTALIEGNAKQAAADMGKVLEIQIQAEQEKLANFKSANEYGLLSKEFFDRHGLHLIGTTTTWFLLDIAFYSNNLTQKDIFPAMNLTKKPETVSALEEVYETSKAMFLVALLGTFPGYWFTVFLIEKLGRFKIQLIGFFMMSVFMAVLGVRYNYMKNHPVEFAIIYGLTFFFANFGPNSTTFVLPAELFPTRVRSTCHALSAASGKAGAIVGAFGVQNYTLDGNPSKIQKAMIFLAFTNMLGFVFTFLVTETKGRSLEEISGEDGGSAGENETQMPVRSMGKGHEMEGQNV >KGN58161 pep chromosome:ASM407v2:3:22172499:22178713:-1 gene:Csa_3G565320 transcript:KGN58161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTEKTRVEISEPLLVEPENHGGSVESDDLEEIPDWKDQITIRGLAVSALLGTLFCIITHKLNLTVGIIPSLNVAAGLLGFFFVKSWTGVLSKIGFSVVPFTKQENTVIQTCVVACYGLAFSGGFGSYIIAMDERTYKLIGTDYPGNRAEDVINPGLAWMIGFLFVVSFLGLFSLVPLRKVMVLDYKLTYPSGTATAMLINSFHTNTGAELAGKQVHCLGKYLGISFIWSCFKWFFSGVGDSCGFDNFPTLGLELYKNTFYFDFSPTYVGCGLICPHIVNCSVLLGAIISWGFLWPFVTRHAGDWYPADLGSNDFKGLYGYKVFIAISLILGDGLYNLIKIIYLTVKEICNKSTTNNNLPVIKEATDSEGYKLLAEERRKDKVFLKDRIPTWFAASGYVGLAAISTATMPMIFPPLKWYLVLGAYVVAPALAFCNSYGTGLTDWNLSSTYGKLGLFLFASLVGGNGGVIAGLAACGVMMSIVSTAADLMQDFKTGYLTLSSAKSMFVSQLVGTAMGCVIAPLTFWLFWSAFDIGLPDSPYKAPYAVIFREMAILGVEGFSELPKHCLAMCCGFFVAAFLVNLLRDVVPKKIAQFIPIPMAMAVPFYIGAYFAIDMFVGTVILFIWERINRKDAEDYAGAVASGLICGDGIWTIPSAVLSIFRINPPICMSFNPTVA >KGN55826 pep chromosome:ASM407v2:3:1732854:1739477:-1 gene:Csa_3G017200 transcript:KGN55826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKQGPCYHCGVTSTPLWRNGPPDKPVLCNACGSRWRTKGTLANYTPLHARADPDEFEDKRISRWKNLSMCKNKEVKLLKRKQYQDNGLVVGVLPDHAQSFHKVVDEDTSNRSSSGSAISNSESCAQFGGADASDLTGPSQSTAWEAMVPSRKRTCVGRPKSTAVEKLTKDLYTILREQQSYFSGSSEEDLLFENETPMVSVEIGHGSVLMRHPSSIAREEESEASSISVDNKQFSLNEVHSESSILPVHYETQNKFVNFSTLGIGRKHSTGQGFLNDQIKRDRPQSERMQALGNRNSPLCNIDLTDILNFREFTKQLTSENQQELMKYLPSVDSEELPDSLNSMFESPQFKENLNSFKQLLTEGVFDFSFPGAKREDCKILSRLVLLDLSKSKWVERYNLLKKCSSGESVQGFAAASSSLTNGKRVLDGQNKKLSETRTTMKSPKRVMTKTSTESKELVDSDGSCFSPRSLFALPSDGGSFTLEALHFDEDSSDQDLLLDVRSNSSFPQAELLHPALSFVAQPASNSSSSVNLRLMHR >KGN57002 pep chromosome:ASM407v2:3:9991392:9993291:1 gene:Csa_3G149330 transcript:KGN57002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKIEKNRDPITKSHSLISPLSPKNSFSSSSSSDFEFTISISPRKSSIALCPADELFCNGHLLPLHSRRNSLVPTLLPSSSTSSSADSAATTASRDSTGSSSTGSSSTDSSRSSSQNDPTRPTSDAADLNTGIRHRHDKKCKQFSFSRLSSVFRKEPKSVNNVSTGGSNAKPPRVKGIGSTAKQVIKKYFRKVQLKIGSKSCHSPATPPLPPESTKNSSLTSGNAEESGRFSLSFSGNLRYPRGRSSLLPSGPSSTRSSPSHSGVLRRGGVQSMSSVSGYGSSYSADMSSMEELHSAIQGAIAHCKNSLIHNKNADESSLS >KGN56725 pep chromosome:ASM407v2:3:8430918:8437014:-1 gene:Csa_3G130310 transcript:KGN56725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKADNILQTLGDFTSKENWDNFFTIRGHGDAFEWYAEWPELKDPLISHLPTLSKSPSPQILVPGCGNSSLSEQLYDAGFRCITNIDFSKVAISDMLRRNVRERPDMRWRVMDMTNMQFTNDTFDAVVDKGGLDALMEPEVGSKLGSQYLSEVKRVLKPGGKFICLTLAESHVLGLLFPKFRFGWKMSIHVIPPKPPSKPSFRTFMVVVEKDESTAWHQIESSLNFSSLDSRGDQTRELVQSLENENRIREKYSSGDDLLFSLEDLQLGAKGDLQKLHRGRRVQFTLGGQGTSIFSYRAVLLDAREHSGPFSYECGVFIVPKTRAHEWLFSSEEGQWMVVESSKAARLIMVLLDETQSGANMDAIQKDLSPLVKQLAPGEDDSGSQIPFMMASDGIKERNCVFQGTSSLTGSIVVEDVKYEHVSGDASRIFPSGDLIFRRLVFQRTESLVQSEALLTRERVDDKVSGQMDRKKSHASSKSKNKGKKRLNKESSDQMKAYHGYLASSYHSGIISGFMLISQYLGSVASAGKMVNAVVIGLGAGLLPMFLRACMSFLHIEVVELDSMILNLARDYFDFTEDANLKVHIADGIQFVREFRNYGTNGSTVALDNGNSSQVEQGNKKVDILIIDVDATDSSERCPLRAGSFYYKLGHKISNR >KGN56931 pep chromosome:ASM407v2:3:9590824:9592471:1 gene:Csa_3G144210 transcript:KGN56931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEVLSEEQIVEFKEAFCLFDKDGDGCITIEELATVIRSLDQNPTEEELQDMIKEVDVDGNGTIEFAEFLNLMAKKIKETDAEEELKEAFKVFDKDQNGYISATELRHVMINLGEKLTDDEVEQMIKEADLDGDGQVNFEEFVKMMMAVG >KGN58858 pep chromosome:ASM407v2:3:28010174:28012071:1 gene:Csa_3G734110 transcript:KGN58858 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartic proteinase nepenthesin-2 MRDYCFAFNFSSVKFLKSCFLFFFCTLFSVFHSIHLCSSLNPALVLPLKTQVIPPESVRRSPDKLPFRHNISLTVSLTVGTPPQNVTMVIDTGSELSWLHCNTSQNSSSSSSTFNPVWSSSYSPIPCSSSTCTDQTRDFPIRPSCDSNQFCHATLSYADASSSEGNLATDTFYIGSSGIPNVVFGCMDSIFSSNSEEDSKNTGLMGMNRGSLSFVSQMGFPKFSYCISEYDFSGLLLLGDANFSWLAPLNYTPLIEMSTPLPYFDRVAYTVQLEGIKVAHKLLPIPESVFEPDHTGAGQTMVDSGTQFTFLLGPAYTALRDHFLNKTAGSLRVYEDSNFVFQGAMDLCYRVPTNQTRLPPLPSVTLVFRGAEMTVTGDRILYRVPGERRGNDSIHCFTFGNSDLLGVEAFVIGHLHQQNVWMEFDLKKSRIGLAEIRCDLAGQKLGMGL >KGN55888 pep chromosome:ASM407v2:3:2297477:2297880:1 gene:Csa_3G027220 transcript:KGN55888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNAGVEGKKLRSGNNYEFMIRESLNNSLGGKIVYKHSKRKRASIYGSEKAQTLESLKLDIKGWLYIRSC >KGN58716 pep chromosome:ASM407v2:3:27351543:27354455:-1 gene:Csa_3G730800 transcript:KGN58716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNINQTINTLAGGSSDNRTNNFAMEVPKFKSFQPPPFPMSPSSYLSSFSSGLSPTEILNSPLLFSFGVFPSPTTGALNLRNDYEEVDQQEMKGDVKNYSVSAYNPQTGSSVSSYFQSSSSNLTLLNPSGLSCDESGAKSEFVNTEMAAAESKQNSQLAIYNREQQKSENDGYNWRKYGQKQVKGSENPRSYYKCTFPSCPTKKKVERSLDGQITEIVYKGTHNHAKPQPTRRSSNSGVYDPSAAETGVLQEDCSVSVGEEEFEPNSPFSNSIEDNENEPEAKRWKGENENEGYCGGGSRTVKEPRIVVQTTSEIDILPDGYRWRKYGQKVVKGNPNPRSYYKCTSLGCPVRKHIERAANDMRAVITTYEGKHNHEVPAARGSGGGGYNTINRPIPTNIPMALRPLSSVTSHSFPANFPAAFRPGNLGMSETGTQASSFPFQTSHGVLPSFQVSGFGSAAKEEVRDDTYFINSFLS >KGN60450 pep chromosome:ASM407v2:3:39496643:39505593:-1 gene:Csa_3G912340 transcript:KGN60450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTAAALTSTAFLTNKKDLDVAAFSSSLPFSYRRCERTVSKKICSVMTPQQSERKPSASGSVKTAMTMTEKIFAKASQKTELSPGENVWVDVDVLMTHDVCGPGSIGIFKKEFGENAKVWDREKVVIIPDHYIFTSDERANRNVDILRDFSKEQNIKYFYDIKDLSDFRVNPDYKGVCHVALAQEGHCRPGEVLLGTDSHTCTAGAFGQFASGIGNTDAGFILGTGKSLLKVPPTLRFVMDGEMPDYLLAKDLILQIIGEISVSGATYKAMEFIGTTIESLTMEERMTLCNMVVEAGGKNGVIPPDSTTFKYLEDKTTKPFEPVYSDANARFLSEYRFDVSKLEPVVAKPHSPDNRALARECKDVKIDRVYVGSCTGGKTEDFLALAKVFHAAGKKVKVPTFIVPATQKVWMDLYSIPVPGAGGKTCSQIFEEAGCDTPASPGCAACLGGPRDTYARMNEAQVCVSTTNRNFPGRMGHKEGQIYLASPYTAAASALTGYVTDPREFLQ >KGN57865 pep chromosome:ASM407v2:3:18294087:18296681:1 gene:Csa_3G355570 transcript:KGN57865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELNSTTLTCTFHPPFINSGGLWINIHRPQWWLNNSLPLLELQLVLFCFFMAIIHFLLKRSGVSKLSSQIITGLIFGCSWGKLNKGKFELFRVESEEILGLFSYFAYMLFMFITAVKMDVSMTLKTGKRAWIIGLPSILLPLTCGLLVSSFLLEGLTISEIRKLPLMVSMQSMISFPVIACLLNELKIVSTELGRLGLSSALVADMFSQCAVAIANQIRISRKNAAKGYYSIGGLCVQVFLVSFLFRPVVLWIMKQTTEGKPVSRGTTQTVFLVVLLSAVTSTLLGQPAIVGPYLLGLSLTDGGPTGFSLIEKLECFVSDFFMPVFVITCALQVDLSRILLVAALDNYTRVNIILAFVTYVTKFVCTFLTSLYCQLSFRESLMLSLILSSKGVVELSFCTLFTEYNILSRGILAWFTVFLLLIATFVPMVLKFLNDISKLQASNQNRNIMHLSQNSELRVLACVHTNENIYGFIHLLNISCPTQENPVAVYALHLIELVGRTAPVFISHRIENKPIGDQTYSENMLLSFDHFEKENSGSVYAECFTSISPHKFMHNEICKLAMDKITSLIILPFHITWTSDGLVDQEDNTMRNLNCSVIEKAPCSVAILADKGHLGSIASMASSGVRCEYTVCVIYLGGSDDREAISFAKRLAKDIKIELTVLKLGSSVEDNGTSKWEKMLDSEVIKDFKMTCLGDGRVKFLEEVSEDGPQTALRLRELVNDFDLMIVGRRKGMESSPQTSGLSEWNEFPELGVLGDLIASLDINTRTSVLVIQQQK >KGN55622 pep chromosome:ASM407v2:3:306695:309194:-1 gene:Csa_3G002430 transcript:KGN55622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAARQRRNGRRECPFLPKKLRGNSNLMLNFVCFLLNLVAIRKEAGVLLNFFGSNCTKKDGRGESWGQKRDRKRVSKRRSRTLQNTELVHENKWIEEEDKTFKYLPCDRTVLKVNGF >KGN57765 pep chromosome:ASM407v2:3:16741951:16742187:-1 gene:Csa_3G284010 transcript:KGN57765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMNKIICNKKNSEVQQQVEEDGKILPPERTSSPAIESTGLETRARKKANQEILRPLEERMKVREMEKKQFGELEKKR >KGN58483 pep chromosome:ASM407v2:3:25623455:25623733:1 gene:Csa_3G651690 transcript:KGN58483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFHFGPGMHPLASTVSMCSSNFTSFPALQELNPNLQAFPFAGVLKLASHSHLRFVGLIPFCVFFTLEVGNPEWAKLLI >KGN57300 pep chromosome:ASM407v2:3:12070103:12073483:-1 gene:Csa_3G177920 transcript:KGN57300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLVVPLQGVVQGRGGLLLGSLIPCALFYFLQLYLKRNRSPNSDSSSNPPSHSHSSTNLVDLHRSSSRSNLLARGSTGRPRLSSRASFIAKPNNSPYYIGLDRVREDPYDRLDNPNGIIQLGLSENRLCFDLLEKWISENYTGSINGGNSVELNITGIATYQPFDGLWELKMAMAGFMSQVMGGGVAFDPSHLLLTSGATAAVEVLCFCLADPGNAFLVPTPYYPGFDRDMKWRTGVDLIPVHCRSADNFNLNITVLEQAFNQARKRGVKVRGILLSNPANPVGNMLPREMLYSILDFAQEKNIHVVSDEIFAGSVYGNDEFVSMAEIIDSEDIDKNRVHIVYGLSKDLSLPGFRVGVIYSQNENVLAAARKMTRFCPISAPTQRLVTLMLSDRTFINEYLETSKKRIREMYVLFVAGLKQLGIKCAKSSAGFFCWVDMSGLMNSYSEKGELELWEKLLNVAKINATPGSACHCIEPGWFRCCFTTLSREDLLIVIERIKKIAGTSKSPS >KGN60017 pep chromosome:ASM407v2:3:36268066:36269132:1 gene:Csa_3G866500 transcript:KGN60017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPGPYSGTSTLALVARASAFSFGLVYGSIKLKVLKAKAKSRAKAEAKAHH >KGN60322 pep chromosome:ASM407v2:3:38570584:38571561:-1 gene:Csa_3G895680 transcript:KGN60322 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2 domain class transcription factor MSPTNHQSQISSTNNNHTQPNPPSSTTTTNNNKRVRPSDSIYRGVRMRAWGKWVSEIREPRKKSRIWLGTFPTPEMAARAHDVAALSIKGNSAILNFPELAHSLPRPVSFAPRDIQAAASKAAHMDFNFHYSSTSSSSVSTSLSPSSPEDDEDGHELSEIVKLPTLASSNYDDHEFVLMDSTEGWVYPPPWLRTMEDYYYGYHTNNINDELGIIGDDDHHSNLSWDY >KGN56089 pep chromosome:ASM407v2:3:3850034:3855393:-1 gene:Csa_3G073810 transcript:KGN56089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDTEPAKLLLPYLQRADELQKHEPLVAYYCRLYAMERGLKIPPGERTKTTNALLVSLMNQLEKDKKSLNLGPDDSLHLEGFALNVFAKADKQDHAGRADLNTAKTFYAASIFFEIISQFGPLQPDLEQKRKYAVWKAADIRKALKEGRKPQPGPPSGDDDLSVPSSTPTSANDVRPGEPQVSRTQSQSDLSPRVYDKENNDHTNIPPSPQVYDNMNNHTSPPARFHNEVDNQHPPNIPSYPAAGYPSHEFHIPPPRNEQDNSSYTQPYHHIQSYSQEHQQPPLPHNYPSNETSPNYSYPHFQSYPSFSESSLPAAPSHYPTYYQGSDASYSSQVTPPPASYPSASQYHSNGREETASEPQPTSAPAKSYHYDSNYQPAPEKIAEAHKAARFAVGALAFDDVSVAVEHLKKSLELLTNPSANV >KGN56112 pep chromosome:ASM407v2:3:3985833:3989434:-1 gene:Csa_3G075990 transcript:KGN56112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQLLLSLLLLLFFSSLIRVSNSSLVPAIYVFGDSLVDVGNNNHLKLSLAKANFPHNGLDFPTKKPTGRFSNGKNAADFVAERVGLATSPPYLSLISKFRKTVNTAPFKTGVSFASGGAGIFNETNNLFKQSVAMEQQIELYSRVYTNLVGELGSSGAAAHLSKSLFTIVIGSNDIFGYHESSDLRKKYSPQQYLDLMASTLHSQLKRLHGYGARKYVVGGIGLVGCAPSQRKRSETEDCDEEVNNWAAIYNTALKSKLETLKMELNDISFSYFDVYQVMSNFIHSPSSYGFTEIKSACCGLGKLNADVPCLPIAKFCSNRNNHLFWDLYHPTQEAHRMFANYIFDGPFTYPLNLKQLIAL >KGN56545 pep chromosome:ASM407v2:3:7390192:7390976:1 gene:Csa_3G123180 transcript:KGN56545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRTVEITVISGENLQIRGKPIKSDLFVTVRSDLQSENGSVNTKIDRDGDGFPRWNEKLVIDLPMHAAFVVVEVCRSASSGRKVKIVGKSRVPVADFVAGHLPESHLQFLSYRLRDEKGERNGIINLSVRVKLAPGVERIGVPVPVAMPARTFHGGGGGGVVTGIPIWNVGYQERF >KGN55996 pep chromosome:ASM407v2:3:3143142:3143947:1 gene:Csa_3G045100 transcript:KGN55996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFFIIQKNFPSYIVYLGSHTHGSNPSAFDLEIATESHYSLLGSLLGSHEAAKEAIFYSYNRHINGFAAILDQKVVQDLARNPAVVSIHENKGRKLHTTSSWKFLGVEHDDGIPHNSIWNRASFGESTIIGNLDTGCSCFYSNFLNILKFK >KGN55977 pep chromosome:ASM407v2:3:3035392:3038016:1 gene:Csa_3G043930 transcript:KGN55977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKSEGPSSLKRKRPDLGTKNSSGGALIGQEKVLYDLICSKQSMGICTFDMKRETKFAESVVTKSLKSLQTKGLIKIVQHYQNKGKKFYLSNDFEPAKELTGGNWYRDGELDKDYINTLKQVCARMIQRKKLMTIEGIVESFKQSGAFKVELSREQIEEIVNALVLDNQVMEVKSSGFGEFEFIPIGKICYKYCTQGGGLKGEPKTGAMASIPCGVCPRITYCTPNGIISPATCVYYTKWLDF >KGN57255 pep chromosome:ASM407v2:3:11779269:11782891:-1 gene:Csa_3G174580 transcript:KGN57255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQKKDFLFVFSLTVTFLLLVKVIEGSSMEAEALLRWKQSLPPQESSILDSWVDESSSHNSTFLNNPCQWNGIICTNEGHVSEIDLAYSGLRGTIEKLNFSCFSSLIVLDLKVNKFSGAIPSSIGALSNLQYLDLSTNFFNSTIPLSLSNLTQLLELDLSRNFITGVLDSRLFPNGFSSKSNLGLRNLRNFLLQDTLLEGKLPEEIGNVKFLNLIAFDRSQFSGEIPQSIGNLTYLNALRLNSNYFYGEIPKSIGNLKHLTDLRLFINYLSGEVPQNLGNVSSFEVLHLAQNFFTGHLPPQVCKGGKLLNFSTAHNSFSGPIPSSLKNCASLFRVLMQNNSLTGSLDRDFGIYPNLNYIDLSFNKLEGKLSPNWGECKNLTHLRIDNNKVSGKIPEEIIKLKNLVELELSYNNLSGSIPKSIRNLSKLSMLGLRDNRFSGSLPIEIGSLENLKCLDISKNMLSGSIPSEIGDLSRLQFLGLRGNQLNGSIPFNIGLLDSIQIMIDLSNNSLSGEIPSSFGNLKSLENLNLSHNNLSGSVPNSLGTMFSLVSVDLSYNSLEGPLPDEGIFTRADPSAFSHNKGLCGDNIKGLPSCNDDRNGLNDNSGNIKESKLVTILILTFVGVVVICLLLYGTLTYIIRKKTEYDMTLVKESATMATTFQDIWYFLNGKVEYSNIIEATESFDEEYCIGEGVSGKVYKVEMAEGSFFAVKKLHYSWDEDEMVVENWDNFQKEARDLTEIRHENIVSLLGFCCNKVHTFLVYDYIERGSLANILSNAREAIELDWLNRIKAVKGTARALSFLHHNCKPPILHRNITNNNVLFDTKFEPHISDFATAMFCNVNALNSTVITGTSGYIAPELAYTTEVNEKCDVYSFGVVALEILGGKHPRDIISTLHSSPEINIDLKDILDCRLEFPETQKIITELSLIMTLAISCVQAKPQSRPTMYNVSRLLELQAAVG >KGN58343 pep chromosome:ASM407v2:3:24280188:24292668:1 gene:Csa_3G625090 transcript:KGN58343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNPSQLLPSELIDRCIGSKIWVIMKGDKELVGTLRGFDVYVNMVLEDVTEYEITAEGRRITKLDQILLNGNNIAILVPGGSPDPE >KGN57848 pep chromosome:ASM407v2:3:18188463:18188918:1 gene:Csa_3G348940 transcript:KGN57848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPFPTISNLSHPLHLLFFSTHFSFLILSTSVILSIFALLIFLCTSSRKSNKSQQGRNNFVSKMNSNISSRAISMAKMISWRKVEAAEEEEEEEEERGSGGCDFIDKDEEEEVWRKTIIRGERCRPLEFSGKIDYDSDGNLLCDSNRDFK >KGN59664 pep chromosome:ASM407v2:3:33391703:33396671:-1 gene:Csa_3G836480 transcript:KGN59664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASLNDELMLPPVRNFNSSLDVRCSKHVHDNVHGNIYLDPLSLKFIDTEQFQRLRELKQLGVTHMVYPGAVHSRFEHSLGVYWLAGDAVQKLKNYQGAELDIDRGDIQTVKLAGLLHDVGHGPFSHLFEREFLSKVLSGYEWSHELMSVKMVDHIVDEHHIDIDSGMIKRVKEMILSSSEFARPKGSREKGFLYDIVANGRNGIDVDKFDYIVRDCRACGLGCNFLFERLMETMRVIDDEICYKATDYLTIHKLFATRADLYRTVYTHPKVKAIELMVVDALLKANDYLQLSSYIHDPSQYWKLDDSIVKTIETASEKELKESRDLILRIRRRNLYQFCNEYAVPKDKLENFKDVTAKDIVCSQKNGGVQLKEEDVAVSNVRIDLTRGRKNPLERIHFFKDYESNDKFKISDDRISHLLPTSYQDMIVRVYSKKPELVEAISEAFENFQLKTYGIKTQVHATPDKKKRRI >KGN57147 pep chromosome:ASM407v2:3:10937552:10939059:-1 gene:Csa_3G165640 transcript:KGN57147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMDPSDRGSESEDMSGKNSSGVSSEESQVNEQNKKTCADCGTSKTPLWRGGPAGPKSLCNACGIRSRKKRRAILGLSKGVVEDKKNKKSSNISSNSKFRDSLKQRLLALGREVLMQRSTVERQRKKLGEEEQAAVLLMALSCGSVYA >KGN59563 pep chromosome:ASM407v2:3:32711536:32713183:-1 gene:Csa_3G825080 transcript:KGN59563 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 monooxygenase CYP72A59 MQEIDTKIRDMVRGIINKRQNGMKKGEASNNEDLLGILLESNASQIEEHKNKKDVGMSIEEVISECRLFYFAGQETTAVLLAWTMVLLGRYPEWQDRARAEVLEVFGDNKKLDFDGLSRLRVVSKSFLSLYI >KGN56115 pep chromosome:ASM407v2:3:4007167:4010552:1 gene:Csa_3G076020 transcript:KGN56115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNLGPFSIISSLLFLSLIIGTSLAARNLNDNVLEWVKKDHRHFLRAVIHVSDLDRSIRFYTKGFGMKVLKRRNFPDRQYRDALVGFGPENTHFLLELRQRHDSNNVFIGTEFGHFGIATQDVYKSVEKARANGALVIQKPQKINQTMFAFVQDHDGYKFKLIQSKCLADPLVQVMFHVQDLNRSINFYTKALGMKLFEKKNNSTGQIVSGTLGYGINQSKTTVLQLEKRKNIPRDDGRDGYSMVYIGTDNVNKSADAAKLVMKELGGSVIIEPILLSNINVKLTGFFDPDNWITIMVDNKDYRKGRL >KGN58468 pep chromosome:ASM407v2:3:25484148:25486762:-1 gene:Csa_3G646550 transcript:KGN58468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQSTCHGQLVVLCVTFFIFSSIRWFIEVESHSLPENHAAFFIFGDSFLDAGNNNYINTTTLDQANFWPYGQTHFRFPTGRFSDGRLVSDFIAEFAKLPLISPFLQPGFHQYHYGVNFASAGAGALSETFHGSVIELKAQIRYFKEEVETWLKRKLGKAEGGLVLSKAVYLFGIGTNDYMSLFLTNSPFLKSHSISQYVDLVIGNLTTSIKQVYDSGGRKFGFMNLPPMGCSPGLRGERGECLEELAEYANVHNQRLVKVLGDLEKQLKGFKYSLYDFSSSLRQRVENPLKYGLKEGKDACCGTGRFRGVFSCGGRRGVKEFEVCRNPNEHVFWDSYHLTENLHKQLADEMWSGSSNSHSSLRHLFQTVCG >KGN57083 pep chromosome:ASM407v2:3:10508971:10515964:1 gene:Csa_3G152100 transcript:KGN57083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGFRRRMKLGRLKVQLSDPAQGTRSPIRNPKRGSGSNSECAAPASRHSEEHDEGQRASDVPDISNNGASGQSENWMVLSIAGEKPAPRFNHAATVIGNKMIVVGGESGSGLLDDVQVLNFDKFTWTRASSKLYLSPSSLPLKIPACKGHSLVSWGKKALLVGGKTEPGNERVAVWAFDTETECWSLMEAKGDIPVARSGHTVVRASSVLILFGGEDSRRKKLNDLHMFDLKSFTWLPLHCTGTGPSARSNHLAALYDDKTLLIFGGTSKSRTLNDLYSLDFETMVWSRIKVRGFHPSPRAGCCGVLCGTKWCIAGGGSRKKRHADTLIFDILKLEWSVALTSPPSSVTTNKGFSLVLVQHKEKDFLLAFGGSKKEPSNQVEVLTMEKKEPSLRHRSNPIDSTARQNLASAIEHGSGRKSISETSLVDPNPIPGNISLRKQFHNDESFDKTTKIAKTAEDDSSTSQVTENKLTQSGGEFHGETLPSVYEYESSNPFKEGVGYHHPIDNTDLFSESSGKIVTSSTFSSIYQFYEAKMAALIRKNGVLEGQLAAATASREAVEKNLSSALKIRQEMEKRIADMIKEMEMLRDKLAGVEIAKEEANSLSNIVHSDNVRLEHDVAFLKAVLDDTQKELHSTRGVLAGERSRAFQLQVEVFHLKQRLQSMENRAPTPRKPFHM >KGN59888 pep chromosome:ASM407v2:3:35240080:35240853:1 gene:Csa_3G851880 transcript:KGN59888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDGEYSKELDIAVRVVHLACALCRRVQEGLLENGNAQVKAKDDDSPVTIADQEDTIKSNAVIVGYRLFSSIILCFCNLVS >KGN60142 pep chromosome:ASM407v2:3:37128966:37137027:-1 gene:Csa_3G881060 transcript:KGN60142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPISETRSPMPLLFRRHSSGEIKNLTSVSSSLLPAFGTVVNDGYTHLNKFVIVPYDRRYRWWQTFLVVLVVYSAWVSPFELAFKKVATGSLLPVDLVVDAFFAIDIVLTFFVAYLDKGTYLLVDNHKKIALRYLTSLGFPMDVASTLPFQVIYRIFTGRMHRSEAFGFLNLLRFWRLRRVSQLFTRLEKDIRFSYFYTRLAKLICVTLLAVHTAGCFYYWLAVHHKDSENTWIGIEVEDFQNRSIWLGYTYSIYWSIVTLTTVGYGDLHAVNLGEKIFSICYMLCNIGLTSYLIGNMTNLIVHAAIRTFIMRDSINEILRYGSKNRLPEGLKDQMLAHMQLKFKTAELKQEQVLEDLPKAIRSSIAQHLFRHTVENAYLFKGLSEDLIVQLVSEMKAEYFPPKVDIIIQNEIPTDFYILVSGAVDVISYKTGTEQILSKLESPKMAGEIAVMLNIPQPFTVRTRRLSQVVRISHHHFKQLIQPNSNDGKVLFSNFAQHLKGLKKEEQNEIPYFSDLLEDLNFERTEPNETQNQRASNYHGDEKIEGIPEASKPQPPTVRIRVIIHEHHPDESTKDGNSNGKLVLLPQSIEELFGLAEKRFGKRGSSILMVDGSTVEDLNVLREGDHLFFV >KGN56490 pep chromosome:ASM407v2:3:7104477:7106857:1 gene:Csa_3G121660 transcript:KGN56490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSLLSSSTSSFHGHSPFFSVRFAAPVGCGNPSNVAQLGMRVKALASTGGAIVLVEKAEAEKVPKIEKIVVNCGIGDAQQNAKGLEAAINELASITGQRPVKTRAKKSIATFKIREGQPLGIAATLRGNVMYSFLDRLINLGLPRTRDFQGLNSSSFDGHGNYSIGIREQSVFPEIKFDTLGKPRGMDVCITTTAETDQEAQRLLALMGMPFRESGGAAAVMRKKKLKSHHFDSKSKGRARR >KGN58180 pep chromosome:ASM407v2:3:22475784:22476085:-1 gene:Csa_3G585910 transcript:KGN58180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQYIHLAEELMADINLLCVVFQLINRQWNMRVFPLSSSSQWESQATWDDILAARPTVLLSETPG >KGN59538 pep chromosome:ASM407v2:3:32569951:32573468:-1 gene:Csa_3G824830 transcript:KGN59538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYVGKGAPSNGSIYICNLPYGTDENMLAEYFGTIGVLKKDKRTGRPKIWLYRDKSTNEPKGDATVTYEDPHAALAAVEWFNNKDFHGSIIEVHIAESKSKDDLSFNVVVDPIVAAGDDIGSEETAVGMNGGGGRGRGRGDAPGKAWQQEGDWLCPNTSCSNVNFAFRGVCNRCGSARPSGAAGSGAGSIGRGRGRGTSNQDSGGNSRQVGAPTGLFGPNDWPCPMCGNINWAKRTKCNICNTNKPGHNEGGVRGGRGGGYKELDEEELEETKRRRREAEEDDGEMYDEFGNLKKKFRAKSQQMEAGRILPGAGRAGWEVEELGVVEKDRRERSRDRGRDWDDRDSSRNRERESRERHRSRSRERDRGRDRDLDYEYERDREYGRDKDHRNRHRY >KGN56999 pep chromosome:ASM407v2:3:9964410:9966289:1 gene:Csa_3G149300 transcript:KGN56999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAAGFSVAPSAVEFEAKITPVVIISCMMAATGGLMFGYDIGVSGGVTSMPSFLKEFFPVVYEKTQQHQGDDNNYCKYDNENLQLFTSSLYLAALTATFFASYTTRALGRKQTMLIAGIFFIVGTILNASAVSLLMLILGRISLGCGVGFANQAVPLFLSEIAPTRIRGALNILFQFDVTIGILLANLINYGTSKIEGGWGWRVSLALAGVPAFLLTLGAILVDDTPNSLIERGHLEKGKAVLKKIRGTENVEPEYLEILEASRIAQEVKHPFKNLLMRQNRPPLVIAIMLQIFQQLTGINAIMFYAPVLFNTVGFGNDAALYSSVITGAVNVLSTLVSIYSVDKIGRRMLLLEAGVQMFVSQTIIAVLLGLKLQDSANDMSRGMAIVVVLMVCSFVSSFAWSWGPLGWLIPSETFPLETRSAGQSVTVCVNMVFTFVIAQSFLSMLCHMKFGIFLFFSGWVLVMSLFVLFLLPETKGVPLEEMTEKVWKQHWFWKKFMDNTDTKDSV >KGN59627 pep chromosome:ASM407v2:3:33161597:33162760:-1 gene:Csa_3G829170 transcript:KGN59627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGGPYYNVLLGRRDGRVSKASTIPGSLPKATSPIPQIIDIFKARGFTVQEMVALSGAHTIGFSHCKEFGPQIYNYSKSSSYDTQYNPRFAQGLQKACSGYDKNPTLSVFNDIMTPNKFDNSYFQNLPKGLGILKSDHGLYNDWRTRPFVEAYAADEKKFFNDFARAMEKLSNYKVVTGNQGEIRHKCDAIN >KGN59790 pep chromosome:ASM407v2:3:34354152:34363296:-1 gene:Csa_3G846060 transcript:KGN59790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGLRVDGGTHVLPARVRKTIQSIKEIVGNHSDADIYTTLKETNMDPNETAQKLLNQDPFREVKRRRDKKKENVGYKGSLDAQRNSEDVRQGTKVYTLSDRNVRRGAYAKSSWPGISKEFRVVRDNRVNRNSNREVKPASSHLALSTNEVSTNVSKSVITPRGAHGGSFGGRISQVSFRKTDSHPSNPRDGHSTGMAQKELRDDVGVSMLSSIPDMHIGNPNDSEPHSPVLASNGAAVGLYSSSTDPVHVPSPDSRSSAPVGAIKREVGAVGVRRQLKDSSINQSSGPSVSLANSVSERDGSSDSFQPMSSTSKGEQLSQITESVIPGLVGSRTSLNNQHSSRQHQPTMGHQKASQPNKEWKPKSSQKLSTGNPGVIGTPSKSKAPADESKELHSEAANVQEKLARVDLHENQHVIIAEHIRVPDNDQYRLVFGSFGTESDSSGCLVSGLQAIRGPEELNGESSASQSVSALEISTDDASGSRQVDLLDDQVRNSESNSPDSGTATELQSADKRESSSPQPLDTYAEIGLVRDRNLKYTPAPQHQDPSELLGFSAYDPQTGYDLPYFRPTMDETVRVQGLPSQDAVNSHTANGIPASTMPMVQQQQTPVAQMYPQVHVSHFANLMPYRQFLSPVYVPPMAMPGYSSSPAYPHPSNGNSFLLMPGGSTHMNANNLKYGIQQFKPLPAGSPAGFGNFNSPAGFAVNAPGVVGSATGLEDSSRIKYKDGNLYVPNAQAETSEIWIQNPRDLPGLQSAPYYNMPGQTPHGAYLPSHTGHASFSAAVAQSTHMQFPGLYHPTPQPAAIGNPHHMGPGMGGNVGVAGGPPWGPGAAATPGPQVGTFQQPQLGHLNWTTNF >KGN59142 pep chromosome:ASM407v2:3:29844737:29848860:-1 gene:Csa_3G777010 transcript:KGN59142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTALQSSLLLSNSQFLFSNLKPISFHVSRFESKIPNLRIHTKLLPFKSINSSNPTIEHSQSQSYRPLLRTFHTFKTLVPYILSQRKHILAGWLCSVVSVFSLSLIVPKIGKFSSIIDKVDAIKLWDQSLVLGSLVFARFVASYCQEAFIWDAALNAIYEIRLRVFERVLAMDLDFFEGGTGVSSGDIAYRITAEASDVADTVYSLLNTVVPSMLQLSAMATQMLAISPVLSLISALVIPCVALVIAYLGERQHRISKMASLSIANLSSYLNEVLPAFLFVKANSAEFCENIRFQRLARTDLYERLKKKKMKAFVPHVVQALYFVSLSMLFVGLLVVSRGSFSSSSMVSFVTSLGFLIEPVQKIGKAYNELKEGEPAIERLFELIEFKPTVIETHDAVDLNCLKGELKFCNVSFTYGSNMPLVLDGLNLHIKAGETVAFMGPSGGGKTTLIKLLLRLYDPLSGNILIDNHNIRTVRFRSLRRNIGLVSQDMILFSGTVAENIGYYDLTKEIDMERVKEVAQIANADEFIRRLPKGYNTNIGPRGLTLSGGQKQRLAIARALYQNSSILVLDEATSALDSASEILVRHALERLMENHTVLIIAHRLETILMANRVFILDGGKLEELPRPAISDYNSLIKTGLVI >KGN57601 pep chromosome:ASM407v2:3:14784310:14788908:1 gene:Csa_3G223320 transcript:KGN57601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRFWTQGGGSGSDSEAEESDYGEELDDIQVDSNDQPNRSRYLQGNASDSEDSEDKKRTVRSAKDKRFEEMSATVDQMKNAMKINDWVSLQESFDKINKQLEKVMRVTESEKVPTLYIKALVMLEDFLNEAMANKEAKKKMSTSNSKALNSMKQKLKKNNKQYEEVITKYRENPEVEEEKADEMDDDDDDDDEGSEYEDDPSKIMSDSEPEPDIDEEEDLDDPSQNWEKKKSKKNKLMDKQFMKDPSEITWDTVNKKFKEVVAARGRKGTGRFEQVEQLTFLTKVAKTPAQKLEILFSVVSAQFDVNPGLSGHMPISVWKKCVQNMLSIIDILVQNPNIVVDDMVEPDENESQKPADYKGTIRVWGNLVAFVERIDTEFFKSLQCIDPHTREYVERLRDEPMFMVLAQNVQGYLERVGDYKAASKVALRRVELIYYKPQEVYDAMRKLAELSEDDGGDASDEAKVVEESRGPAAFIVTPELVPRKPTFPESSRAFMDILVTLIYQYGDERTKARAMLCDIYHHALLDEFYISRDLLLMSHLQDSIQHLDISTQILFNRAMAQLGLCGFRVGLISEGHGCVSELYSGGRVKELLAQGVSQSRYHEKTPEQERLERRRQMPYHMHINLELLEAVHLISAMLLEVPNMAGNVHDSKRKVISKNFRRLLEVSERQTFTGPPENVRDHVMAATRALRKGDFQKAYDVIASLDVWKLLRRCNEVLEMVKGKIKEEALRTYLLTYSSSYDSLSSDQLTQMFDLAEGQTHSIVSKMMINEELHASWDQPSGCIIFHDVTHTRLQGLAFQLADKLSILAESNERAVEARIGGGLDLPMRRRDNQEYGAGGAAGGSSRWPDNMSYNQGRQGGPSSRAGYSSGGRGQGGGGGYYRDRMGQSRGGNSGYQSTRYQDAAYGSGRTAYQSGSSRGSQMDASARMVSLNKGVHA >KGN56139 pep chromosome:ASM407v2:3:4209237:4211862:-1 gene:Csa_3G077730 transcript:KGN56139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALRKQLLTGLIILTLFVSGSRGWTGAIHGRVICDVCGDSSIGPEDHVLEGAEVAVLCITRSGEVLNYQAFTNAKGRYTVAETMSESDRWDACLARPISSFHEHCTHLGANSSGVKFSYNHPSGHSHTIRPFVYRPSSMPTYCI >KGN59774 pep chromosome:ASM407v2:3:34188266:34190387:-1 gene:Csa_3G844920 transcript:KGN59774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMPAFKEAEGEREFRVEVDILSRLDHPNLVSLIGYCADGKHRFLVYEYMHKGNLQHHLNHNGIGSEAKMDWERRLKVALGAAKGLAYLHSTSAAGMPIVHRDFKSTNILLDSNLDAKISDFGLAKFMPEGQESHVTARVLGTFGYFDPEYTSTGKLSLQSDVYAFGVVLLELLTGRRAVDLNQGPNDQNLVLQVRHILNDRKKLRKVIDPEMSRSSYTMESIVIFANLASRCVRTESSDRPTMAECVRELQMIIYTKLQMRKT >KGN59580 pep chromosome:ASM407v2:3:32902368:32904448:-1 gene:Csa_3G827230 transcript:KGN59580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVSSKPNRCRHCRRPYSPISRSYSMQVHHPPQAKGDSYHVVALTSSTLGSLELGINGHGGGGGGGGDGDGGGYHHHQSQRSNSYKTIEDFKTGLAEAKTWSNIITEKIPKTTSKTPIRTPPGEPETINAWELMEGLEDVSPLRSPSGFRSLSFDFGNRTVVDPFLNRSPEPISKANGNNSSQKPLWLQLKEEEEDAEIEDENPNSDSMASDFDPEVISSFRKSLQQLSPDHPFHLRPVENPKHQQPPSAIVDPKKFYSWPGEKKRDKVILYFTSLRGIRKTYEDCCHVRAILRAIRVRVDERDVSMHSGFKEELKQLLMEDQNKNSGGNLPRVFIGRKQLGDAEEIRRLHEDGQLEKLLECCEKIENGDGVCEGCGDIRFVPCETCCGSCKVFYEEEDYEEEVEEEEEEDGYGFQRCLDCNENGLVRCPICCH >KGN57604 pep chromosome:ASM407v2:3:14833557:14841669:1 gene:Csa_3G225830 transcript:KGN57604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKRQINVWDSFIDLTLANLDNKLDNMRHTEEGRETRERRKKKKKKERRKKKKKREKELSILILASWGSPNTNPKQKEKEFFTFLTMANSKGSSNVRSFMSSGKHALLPPKSPFPSVSPSYTEYVPNTVIGAKAVQRPRDGNIYHQRTSSESILIEEQPSWLDDLLNEPETPVRRVGHRRSSSDSFAYTDAANVNFDSIMQEEFRYANAVPGHSWLSQEFDHQRDARHASFYTEPNVTKQKNRVWESSLSTMNNPIALHSPRETIGIHTSGPLSTPQEADGLPSTASEKQDPVESGSHDPKVASDRKDTSHGKSTVSDTENKRAKQQFAQRSRVRKLQYIAELERKVQALQAEGTEVSAELEFLNQQNLILSMENKALKQRLENLAQEQLIKYLEQEVLEREIGRLRTVHQQHQQQQQPQQLRPSSSHRRTSSKDLDNQFANLSLKQKDSGSSRDSVTGPVRS >KGN58223 pep chromosome:ASM407v2:3:22843523:22846778:-1 gene:Csa_3G595240 transcript:KGN58223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSILSKMAKSIRITNPTLSPSHSFRYRMLCTSVATAAEQSPLDDTSFTFSNDNPRDEPVFVKAPRSNSSSRSSSSVTMPTSFMTGSIVGKRFYQKVTTREADDRNGWAVMLDYRTLKTPTKRPLKLPTLGLAKAVAAEWEYQETDGIRPFTMPLMKLACTALERVPLTRHTIIEHLIKKFNSDLVFCRAPEENVLTSGVYERQVEKIDPLLDWVHSEFGFKPIVYSSFFGGNQEDGLIKAVEDLLKKTNDCELASIDAIASAAHSLIIAIGIFRGKLQIEEAIELIRLEEDLQVDKWGLVEGGHDVDIADLQVQISSATVFLALSRRV >KGN58306 pep chromosome:ASM407v2:3:23710447:23712921:-1 gene:Csa_3G610820 transcript:KGN58306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFNARMGFLLFLCFLSLLVQPNTSTLQTYIIQLHPHGLITSVFDSKLQWHLSFLEQSLSAEEDSSSRLLYSYSNAMEGFAAQLSETELEYLKRLPDVVAVREDRKYQIQTTYSHKFLGLSVGTQGLRQKSSMGQGAIVGVLDTGVWPESPSFSDSKMPPVPQKWRGACQEGQDFNSSNCNRKLIGAKFFIKGHHVASSLPSDVAQEYVSPRDSHGHGTHTSSTAAGASVADASVFGNGAGVAQGMAPGAHIAVYKVCWFSGCYSSDIVAAMDSAIRDGVDILSLSLGGFPLPFFDDSIAIGSFRAMQHGISVVCAAGNNGPIQSSVANVAPWITTIGAGTLDRRFPAIIRLSNGEAIYGESMYPGNKFKQATKELEVVYLTGGQMGGELCLKGSLPREKVQGKMVVCDRGVNGRSEKGQIVKESGGAAMILANSEINLEEDLVDVHVLPATLIGFAEANRLKAYINTTSNPKARIQFGGTVIGRSRAPSVAQFSSRGPSLSNPSTLKPDVIAPGVNIIAAWPQNLGPTGLPEDSRRSNFTVMSGTSMACPHVSGITALIHSAHPKWTPAAIKSAIMTTADVTDHFGKQILDGNKPADVFAMGAGHVNPTKAIDPGLVYDIKPYEYIIHLCALGYTHSEIFIITHMNVSCHKILQMNKGFTLNYPSISVIFKHGTTSKMVSRRLTNVGSTNSIYEVKVTAPEGVRVRVKPRRLVFKHVNQSLNYKVWFMSEKGKEGRKVRFTEGDLTWIHCENSKYKVRSPIVVTWKN >KGN56175 pep chromosome:ASM407v2:3:4674174:4674672:-1 gene:Csa_3G088970 transcript:KGN56175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLFKAYSNSSDDYDEEDEPKPKRLALSSSPSKRPEREPFKFKPNLRSLHCSDSNSETHERIMVPGRYVSKRERALLSSTPASRAPDSFPNPSFQTPPGICPFRLFSF >KGN56859 pep chromosome:ASM407v2:3:9167608:9169148:1 gene:Csa_3G135070 transcript:KGN56859 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoenolpyruvate carboxylase kinase 4 MSDGFKRDYQVIEELGRGRFGTVFRCISKSCGGNYAVKIIDKRRISAGDSLDAECLVNETKILHLLYPHPHILALHNLYEDESHLHMVLDLCSSSDLHRRITLQVFSEAEAARIMSQLMHAVAHCHRHGVAHRDIKPDNILFDEWDSVKLADFGSTEMFKQGEESMSGVVGTPYYVAPEVLAGKDYGEKVDVWSAGVVLYVMLAGFPPFHGESVVEIFHAVLRANLRFPSRVFHSVSPSAKDLLRKMLCKDVSRRISAEQVLRHPWITRYAENMGETEVG >KGN57602 pep chromosome:ASM407v2:3:14791608:14794730:-1 gene:Csa_3G223330 transcript:KGN57602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVQANLRLLTYSQEIVDGQPIYVASNCLPIKALKYEPAGHSFHNAALKLLGWEDEEVSDENEQAADDTEQKYAPSFDSYSSKGKKKSGGSTQQDHYALLGLSHLRYLATEEQIRKSYRETALKYHPDKQAALLLAEETEAAKQAKKDEIESHFKSIQEAYEVLIDPVKRRIYDSTDEFDDEIPTDCAPQDFFKVFGPAFMRNGRWSVNQSVPSLGDDKTPLKVVDDFYNFWYAFKSWREFPHADEFDLEQAESRDHKRWMERQNAKLSEKARKEEYARIRTLVDNAYKRDPRIQRRKEEEKAEKQRKKEAKFLAKKLQEEEAVRLAEEEKRRKEEEEKRAAELAQQQKKLKEKEKKLLRKERTRLRTLSGPAISQSLLDLSAEDVENLCSSLDIERLRNICDKMEGKKGMELAKVLRDAQECNSSDTKHQECKKTEEQNGSTTANATASLSGSLQKKERPWSKDEIELLRKGMQKYPKGTSRRWEVISEYIGTERSVEEILKATKTILLQKPDSAKAFDSFLEKRKPAQSIASPLSTREELEGVSSKKPEDNVAINGNLDMSSVGQNVNNQTPSNPSANGVSSSSEQDDWSAVQERALVQALKTFPKETNQRWERVAAAVPGKTVNQCKKKFTSMKENFRSRKNAA >KGN57851 pep chromosome:ASM407v2:3:18208882:18213472:1 gene:Csa_3G353960 transcript:KGN57851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMVDTGASENRGPIVDKHPEKDEDGGYTSGGWKSEDGRLSWGYSSFRGKRATMEDFFDIKMSKVDGQTVCLFGIFDGHGGSRAAEFLKDHLFENLMKHPKFLTDTKLAISETYQQTDAEFLNSEKDTLRDDGSTASTALLVGNHLYVANVGDSRTIISKGGEAIPLSEDHKPNRTDERRRIENAGGVVMWAGTWRVGGVLAMSRAFGNKMLKQFVVADPDIQDLEVDKDIELLVVASDGLWDVVRNEDAVLVAGKEDEPEAAARKLTEAAFTRGSADNITCIVVKFHHENAGPVAEN >KGN58581 pep chromosome:ASM407v2:3:26359496:26366461:1 gene:Csa_3G690290 transcript:KGN58581 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA topoisomerase 2 MYQKKSQLEHILLRPDTYVGSIEKHTQNLWVYENEKMVHRSISYVPGLYKIFDEILVNAADNKQRDPSMDSVKVNIDVEQNCISVFNNGDGVPVEIHQEEKVYVPELIFGHLLTSSNYDDTEKKTTGGRNGYGAKLTNIFSSEFVIETADGRRLKKYKQVFNDNMGKKSEPIITKCKAGDNWTRVTFKPDLEKFNMTHLEDDVVALMKKRVLDLAGCLGKTVKVELNGQRVPVRSFQDYVDLYLKSASSIQAEPPKRYHIRPNERWEIVVTLSEGQFQQVSFVNGIATIKGGTHVEYITNQIANFVMTAVNKKNKNANVKAHNVKSYLWVFINALIDNPSFDSQTKETLTKRANSFGSACELTPDFLKNVATKSGIMDSVLSWVNFKQSKDLKKTDGAKTEKISGIEKLEDANCAGGKNSEKCTLILTEGDSAKALAMAGLGVVGRDFYGVFPLRGKLLNVREASSKQITENKEIQYIKQILGLQQGKEYNNARALRYGHLMIMTDQDHDGSHIKGLLINFIHSFWPSLLRVPSFLVEFITPIVKATHKNGTVLSFYTMPEYEAWKESLRGNASGWSIKYYKGLGTSTSKEGREYFEQLTKHKKDFIWQDDNDGEAIELAFSKKKIEDRKNWLRQFEKLNCLTNELILFSMADLQRSIPSMVDGLKPGQRKILFCSFKRNFIKEAKVSQFSGYVSEHSAYHHGEQSLASTIIGMAQDFVGSNNINLLLPNGQFGTRNHGGKDHASSRYIYTKLSNVTRFLFPRDDDKLLNYLNEDGQSIEPTWYMPIIPTVLVNGSEGIGTGWSSYVPNYNPRDIIRNVRRMLEGETMLPMDPWYRGFSGTIEKTATKEGGTTYTVSGIIEEVSDTTLRIVELPVRRWTSDYKDFLDSVTRVASKHDKDKEKAAKDPFIEQADHHSDDKIVSIDVHLTEENMLLAIQEGLKKKFKLTTTVSTSNMHLFDANGVIKKYDTPEQILEEFFPLRLEFYEKRKRILLDNLEMELLKLENKVRFILAVVNEEIKVSNRKKVDLFHELQAKGFTPFPKKTKSVEPEIAGASNETDEAEESPEVVKGERISDYEYLLALPIGTLTIEKVQELCSDRDKLKGEVDDLRKATPKSLWLNDLDYLEKELDEMDRNDAQTMELRKQQREKANIDLKPKVSRNAPKAPRNSKKTSNVETVTESMDTTYSSTTTEVANNPVVKPKGKAAPRKAPAKKKGKAAVLDDDEDDEDDEVLELKDRLAAYKIESSPEPSEVSAMETEVQEVQQQAAKKKEPSKRAPAKKKTTAKSAQISISDDDNDGDFEIKEVDPPAAKKGGGRGGGGGRKAAAGNSKTTKPPAAGAKLGQKLITQMLEPAAENSGISPEKKVRKIRASPFNKKSGSLLGRTIGEEEEDENDDEGKLMGSASFETSEEVQLARGRSRRANRTTQVRYVLSDSDGEVASQDSDFDEDEASLDED >KGN56719 pep chromosome:ASM407v2:3:8396741:8401351:-1 gene:Csa_3G129760 transcript:KGN56719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPESMEATPSVPPSLDLQAVRSELEELQRSLEENEESTTDSLGSEKLLRECALHLESRIQQVLSEYSNVDSFLGIDDLDAYVEHMKEELVAVEAESSKISNEIEVLKRTNIEDSNKLKMDLEVLKLSLDRFPSQDPEEATFNCSSMNGEDPMNVIVNRECNAFEVLELESQIEKNKKILKSLQEVDEIFKSLDVIEQVEGTIGGMKVIDVADNSIRLSLHTHIPNVEDFSTLQRLEGLIEKSELDHELIIEVLDGTMELKNAEIFPADVHLHDIINASKSISNSSLEWFVRKVQDRIVLCTLRRFAVKSANKSCHSFEYLDQDEMIMCSMIGGIDACIKVSQGWPLADSPLKLISLKSSDHYTKGVSLSLICKVEKMANSLDAHIRRNLSSFADAVEKILKEQMHLELQADSG >KGN60157 pep chromosome:ASM407v2:3:37262352:37262770:1 gene:Csa_3G881700 transcript:KGN60157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVWVFRSNGVMRLVENSQAGDDYSSDGHGHQHHHHGGGGGRKKVLVHLPSGQPVCSYGFLQKILEGLGWERYYEGDPDFFQFHKRSSIDLISLPMDFSKFNSIYMFDLVIKNPNVFHVREP >KGN56900 pep chromosome:ASM407v2:3:9383845:9392753:1 gene:Csa_3G142930 transcript:KGN56900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVVSEDAVGVRSVESFANGHHSQSGEALAEWRSSEQVENGTPSTSPPYWDTDDDDDDAGPKPSDLYGKHTWKIEKFSQLNKRELRSDAFEVGGYKWYVDGYICEINSALEGHTKSKKGKAKLLDTEEITAPIVHIEKDTFVLVDDVLLLLERAAVEPLPPKDEKGPQNRTKDGSSGEDFNKDSIERDERRLTELGRRTVEIFVLAHIFRYCVFIISLKSRSKVEVAYQEAIALKRQEELIREEEAAWQAESEQKARRLASEKDKKSKKKQAKQKRNNRKSKDKGREEKANLTALIREQVNPSNGKEEDTIVDEVQAVVEKSDLPEGVSDVSDSVEGASELLQPDSEDRDASPVNWDTDTSEVHPLMEACSSGISSLSSAQTPLSDKKSLSVMDDSSSTCSTDSVPSVVMNGPYKENSFHNYKKQKSPSGGKNQQKDAAYDRNSCANEMDNQSSELPADIEDQSDVCGSNKSKESDPVAINHFLRGKIKRVEQQGVKKEEKVVSLPKERSSKNQVDMERILRDASTAVPSSLQNHQDHMPPTVEQKSSNQSVAAVDSIPIKVSSSTSGHQMEKTVPVVTSSHVVSAVKAEAQKSTIPKPTEKASAQQAPMMSRPSSAPLIPGPRATAPVVNVVHTSPLLARSVSAAGRLGPDPAPATHSYAPQSYRNAIMGNHVAPSTAGYVHLSTSTSGASPSTAFSLASAMVSSPMYVPHNSERLDPNAVRSSYPFSMVTRDVLPNSPQWVEGSQREAVRSMHYNSPLLNDVQDLYKKPIRGSTPDVLSAEFPACTSGRQLQGFAEEFPHLDIINDLLDDENIVGISARDNSMFQSLGNGPTLLNRQFSLPGDMGGMAGDVGSSTSSCRFERTRSYHDGGFHRGYTSSISHYEPTMDFIPPSSQQQHLNGQIDGLVPNWRATSDLSLLGTRTLDFDGYQYLNAEYSNMAHGMNGYNVFRPSDGH >KGN55664 pep chromosome:ASM407v2:3:507228:509084:-1 gene:Csa_3G002830 transcript:KGN55664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFILLIFLLPISSFAEIHFTEIRNDNRPIIPFDVFGFSHGGRLELNVSHLTLSDSNPDLDLSKVGFFLCTRESWLHVIQQLEEGDISCALQSDLVKPVYTFDSLKKQDRFGVLYSETDADQYTLVFANCLQQVKVSMDVQSAMYNLEGKNARRDYLSAGKTILPRIYFVFSLIYFSLAVIWIHVLYKKRLTVYGIHFFMLAVVILKALNLLCEAEDKSYIKRTGSAHGWDVLFYIFSFLKGITLFTLIVLIGTGWSFLKPYLQDKEKKVLMIVIPLQVVANIAQVVIDETGPFEQEWVTWKQVFLLVDVICCCAVLFPIVWSIKNLREAARTDGKAAVNLMKLTLFRQYYIVVICYIYFTRVVVYALETITSYRYLWTSVMAGELATLAFYVFTGYKFKPEAHNPYFVVDDEEEEAAAEALKLEDEFEL >KGN57559 pep chromosome:ASM407v2:3:14287449:14287939:-1 gene:Csa_3G213505 transcript:KGN57559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPKRIKSITNKDEIIAPKSSQLFRPRAFETDSGGKRSNMVRSMDFLSLVQQCHNEDGKLKPALHRVAIVRIVSIIDSPEKDARKNQAHISYSHMD >KGN60487 pep chromosome:ASM407v2:3:39760666:39763729:-1 gene:Csa_3G915160 transcript:KGN60487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLYFPISSLPQIQLPFSSLPLPSPNFTPIPSLPFPSSSSYSPSSSSSTFIHRCRFSSMAGGFDSFNAFFSCRVFSGYGTGEFSSGSEEEEEDDEGNGSDEDLLVGIEHLHDINPSSSCLPDRWDVLGLGQAMVDFSGMVDDEFLKKLGLEKGTRKVVNHEERGRVLRAMDGRSYKAAAGGSLSNSLVALARLGIRPMKGPTFNVAMTGSIGSDPLGSFYRSKLRRANVHFLSPPVKDGTTGTVIVLTTPDAQRTMLAYQGTSSTVNYSPALASVISKTNVLVVEGYLFELPDTIKTIQKACEEAHRSGALVAVTASDVSCIERHFDDFWEIVGNFADIVFANHEEAAALCHFDSKESSISAVRYLSHFVPLVSVTDGHRGSYIGVKGEAVYIPPCPCVPLDTCGAGDAYASGILYGILQGVSDLKEMGTIAAKIAARVVGQQGTRLRVQDAMELADAFSSFQSDIGSSHV >KGN55672 pep chromosome:ASM407v2:3:575552:585236:1 gene:Csa_3G002910 transcript:KGN55672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMMGGRQRTYTANSTDYKLLEEIGYGASATVFRAIYTPSNEVVAIKCLDLDRCNSNLDDIRREAQTMSLIDHPNLVRAYCSFVVERNLWVVMPFMAEGSCLHLMKTAYTDGFEEVAIGSILKETLKALEYLHRQGHIHRDVKAGNILLDSNGSVKLADFGVSACMFDTGDRQRSRNTFVGTPCWMAPEVLQPGTGYNSKADIWSFGITALELAHGHAPFSKYPPMKVLLMTIQNAPPGLDYDRDKRFSKSFKEMVAMCLVKDQAKRPTAEKLIKHSFFKNAKPPEVSLKKLFANLPPLSHRVKDLQLKDAAQLALKKMPSAEQEALSQSEYQRGVSAWNFDVEDLKAQASLVNDDMAEMKEEEENINSCSVKDAFYPRSILRNFNSCNESSQDEHGVGASGQGLSQVECLNKRGNFVESDALKAGLQEKTGKRNGTSTEAEASTSGQDIVQGKTKTQMPKGRQTQSGPLLPGIVLSHSLSERVRGSERFDSEIQPSAEKNRREARQAPSFSGPLMLPNRASANSLSAPIKPSGGFRDSMDDKSKANLVQIKGRFSVTSENLDLVKDIPLSTVSRRSSQNSPLRKSASVGDWIFDSKQSVSQPPKDASNTNMSTSVLLPHLQNLFHQTSIQQDLIVNLLNSLQTADVVDATQNGKLPPLPRSSENNGSAETAAVERERLLLRKVSELQARMTHLTYELTAEKLKYIQLQEQFNSASGQEENEIKREENV >KGN56945 pep chromosome:ASM407v2:3:9694075:9694709:1 gene:Csa_3G146310 transcript:KGN56945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSFLPSPHPSHFHFSLLILTLFAFQLASASSSSSSSSSETGGWIGDRKSLVGPGSSPPTCLAKCGRCGPCEPVHVPIQPGLSLPLEYYPEAWRCKCGNKLFMP >KGN59904 pep chromosome:ASM407v2:3:35445635:35448731:1 gene:Csa_3G852530 transcript:KGN59904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTPSSFPDLSLQISLPMSTLPCEAKATKPRSSTTMDSSSSGKSDLSHESGLFHHLGFEAGDLEVPPRRPHQAACCNVRDHRDFKRSGGRVGCGVRRNIRAPRMRWTTTLHAHFVHAVELLGGHERATPKSVLELMNVKDLTLAHVKSHLQMYRTVKNTVKETADDCGRPEVEEDGMVLSSCVKKSNFGSNHFQRIQRSSLVTSMHNSCHRTKSNADAFAKSRGIKVEGSNGDYQEAITMERINLEFTLGRPSWQLDFGDSKELALLNC >KGN55951 pep chromosome:ASM407v2:3:2776087:2781318:-1 gene:Csa_3G038730 transcript:KGN55951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSGSSSNYDIPWVEKYRPNKVTDIVGNEDAVSRLQVIARDGNMPNLILSGPPGTGKTTSILALAHELLGPNYKEGVLELNASDDRGIDVVRNKIKMFAQKKVTLPPGRHKVVILDEADSMTTGAQQALRRTMEIYSNTTRFALACNTSSKIIEPIQSRCAIVRFSRLTDQEILGRLMVVIQAEKVPYVPEGLEAIIFTADGDMRQALNNLQATHSGFRFVNQDNVFKVCDQPHPLHVKNVVRNVLEGKFDDACTGLRQLYDLGYSPTDIITTLFRIIKNYDMAEYLKLEFMKETGFAHMRICDGVGSYLQLCGLLAKLSMVRETAKAP >KGN59183 pep chromosome:ASM407v2:3:30084149:30086846:1 gene:Csa_3G778390 transcript:KGN59183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGELMVRCLLMLFGYAYPAFQCYKTVVQSRIEIRELQFWCQFWIIVAILTVVERIADTLVAWLPMYGELKLALFIYLWYPKTKGTGYVFQTLLRPLVDKHEVDIEQKMGDWRVKAWDLALFYWKNCTELSQSAIVQVFNYLASQPSRPAASAPPQTRRNEHHPTPSAPPAPPPPPNELPSFFRKPPRQSKDSSRSKARKWFPSAPLLGSNSRRSTGTTEEFDVQFPLHDQTNYFYEDQNHNQARFRGSKKTH >KGN58703 pep chromosome:ASM407v2:3:27288019:27289966:-1 gene:Csa_3G730180 transcript:KGN58703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMDETQLTPDYVGGPCTESFQGPEMPKIDTKSDDLRPEIDTSAPFESVKEAVCRFGGVGYWKPSNKPFQQQQHEVEEISIEKLEDHASQLENDLILKQRETLDILQELESTRAFVEDLKMELRKEVSEVSNVNTNGAAEEEEDGDKENIGRHKAQRLKFVQGFEQAQRNLSRTTNGISEIRASMEVFNKKLERERLSLEKTRERLRQNSLKMSSVEERLNQTREKLQVAKDSNMEEHGAEILKELQNLNSEAEKFKQMGEAAKAEILRTISKIERRKSKIRTAEIRLAAAAKMKESAVTLGDAKVLTNQEVEKGDESKMKVLKQVKEATEEIKNTKFALEEVLNSMEAAKREEIAVKEAVEKWGWEQGKMEYCPIAVSGPKLVVEHEATTPVLKPSLSIGQILSRKLFLQEELMSGIAAEKRFLKQRKVSLAQMLGKQNRDMSNCVKVERWSSDQKHSNKKRKKSGFVARFPSFLAKQNDDNQKRKKPTPNLK >KGN57987 pep chromosome:ASM407v2:3:19933199:19937875:1 gene:Csa_3G418780 transcript:KGN57987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMEYLKSVLRQEVSFFDTQTGSTTHEVVSLISSDASSIQVALCEKIPDCLAYMSTFFFCHVFAFIVSWRFTFAVIPLSAMFIGPGLVFGKIMMDLIMKMIESYGVAGGIVEQAVSSIRTVYAYVGENQTQEKFSQALQKSMEFGIKSGLVKGLMLGSMGIIYAGWGFQAWVGTYLITEKGEKGGNIFIAGFNVLMGGLSILSALPHLTSITEATSATTRILEMIDRVAETDREEKKEKALSHVKGEIEFQNVYFTYPSRPDTPVLQGFNLKVPAGKRVGLVGGSGSGKSTVISLLERFYDPTDGEILLDGHKIKRFQLKWLRSQMGLVNQEPVLFATSIKENIMFGKDGASMEQVINAAKAANAHDFIVKLPEGYDTQVGQFGFQMSGGQKQRIAIARALLRDPKILLLDEATSALDAQSERMVQEAIDKASKGRTTITIAHRLSTIQTAHQIVVLKAGEVIESGSHDELMLLNNGQGGEYLRMVQLQQMAVQNETFYDTNIEMDRRYRHRMSAPTSPISVKSGGHNTPVLYPFSTAMSISMGTPYSYSVQFDPDDESFEEDRKHRVYPSPSQWRLLKMNAPEWRRALLGCIGALGSGAVQPINAYCVGALISVYFRANEPNIKSKSRNLSLVFLGIGIFNFLTNILQHYNFSIMGERLTKRVREKILEKLMTFEIGWFDQDENTSAAICARLSTEANMVRSLVGDRMSLLVQAIFSAAFAYSVGLVLSWRLTLVMIAVQPLVIGSFYARSVLMKSMAEKARKAQREGSQLASEAVTNHKTIVAFSSQKKILWLFAATLKSPKKESARQSWISALGLFSSQFFNTASTALAYWYGGRLLTQDMISSEHIFQAFLILLFTAYIIADAGSMTSDISRGSNAVGSVIAILDRKTEIDPENKSGRDHKRRMKGKVELRSICFSYPTRPEQMILRGLSLKIDAGKTVALVGQSGSGKSTIIGLIERFYDPSTGSIHIDELDIKNYNLRWLRSQIALVSQEPTLFAGTIRENIAYGKEKAGESEIREAAVLANAHEFISGMKDEYDTYCGERGAQLSGGQKQRIALARAILKNPSILLLDEATSALDSVSENLVQEALEKMMVGRTCIIVAHRLSTIQKANTIAVIKNGKVVEQGSHSELISMGQRGEYYSLTKSQATLNL >KGN59008 pep chromosome:ASM407v2:3:28831906:28838559:-1 gene:Csa_3G743450 transcript:KGN59008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPEAIVENVEGALTSAERTKSLDAISIKDFTSAITNGETVHSSESARFRVGELLLVNGELYSGSLLGNIPEGTGKYVWSDGCIYEGEWRRGMRHGNGKIRFPSGAVYEGEFSGGYMHGTGTYIGPDNLTYKGRWKLNLKHGLGYQVYPNGDVFEGSWMQGTPEGPGKYTWANGNVYLGNMKAGGMSGKGTLTWINGDSFEGNWLGGMMHGFGVYMWSDGGCYVGTWTRGLKDGKGSFYPKGSRLPAVQEIYLKALRKRGLLPDLKNKNHAHIHHATSGDMGNFKVGQNQQSSRVSSDKISKGNLLNLEQYRTKNVSLERRWSLEVSIEKVLGHDPSSGFSEYELGRNEIDTKIPILEREYMQGVLISELVLENSFTSPSSRRAKRKQRKLVKEVKKPGEMIIKGHRSYDLMLSLQLGIRYTVGKITPIQRREVRSSDFGPRASFWMNFPKEGSQLTPPHQSEDFKWKDYCPMVFRNLREMFKIDAADYMMSICGNDALRELSSPGKSGSVFFLSQDDRFMIKTLRKSEVKVLLRMLPDYHHHVRSYENTLITKFFGLHRIKPSSGQKFRFVVMGNMFCTELRIHRRFDLKGSSLGRSADKVEIDENTILKDLDLNYSFFLEPTWREALLKQIEIDSKFLEEQNIMDYSLLLGVHYRAPQQLQSHISYSRSMRADGLGILAEDDPFEDDISTYPQGLVLVPRTDDNSVIVGPHIRGSRLRASSATGDEEVDLLLPGTARLQIQLGVNMPARAEQIPGKEEKQMFHEAYDVVLYLGIIDILQEYNMSKKIEHAYKSMQFDSLSISAVDPTFYSKRFLEFIISRVFPQNARAS >KGN55721 pep chromosome:ASM407v2:3:904951:911510:-1 gene:Csa_3G008290 transcript:KGN55721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLDKMYWDDSMIVKAMDEAMWKYKIMHGHEVPRVSSEGGGVVNGCGKSDELKRSVDEESYIGENNVEFGVEETTSTLEAKENIGVEPSMACTDFSDALHVEVEETQEEPVEDSNLNLKGEEYNRLLKQYYELEEKRQKILEQLYQCGAGGWNYQNVNAGSNVGTQWGAYAANQEHPVSASQPSYYPAMPSYLPTSYPIVVGPQSTSLDDGDIIKTAMDSATRAISSSMETVNKGKESDRDDGIMRRSGDSSQTDLATVLNAWYSAGFHTGKYLMEQSHAKN >KGN58311 pep chromosome:ASM407v2:3:23769396:23772146:1 gene:Csa_3G611360 transcript:KGN58311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALWMEDGSNPLTENEKADLDAIAALKESSALEFKEKGNEFVRLGRKHYTDAIDCYTKAINQKALSNSENSVLYANRAHVNLLLGNYRRALNDAEEAINLCPTNIKAIYRAAKASLSLNLLDEAKSYCVSGIRCDPNNVEIKKIESQIDSLILEQEQREALVTKAIAEAEKLVSAVVHRGFKIGNATYQELTGLRKPVLDKNNILHWPVLLLYAEVMSSDFIEDFYCPPLPWDAENKYTREAIELYYEAGSGICLSKERILRNFLEGTAASNAESIALEDAVEDSNHTTSASK >KGN58490 pep chromosome:ASM407v2:3:25657308:25660840:1 gene:Csa_3G651750 transcript:KGN58490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLLTRHFFLLVCFSFHFVVVVFGLTSDGLALLSLQSRWTTHTSFVPVWNASHSTPCSWAGIECDQNLRVVTFNLSFYGVSGHLGPEISSLTQLRTIDLTTNDFSGEIPYGIGNCSHLEYLDLSFNQFSGQIPQSLTLLTNLTFLNFHENVLTGPIPDSLFQNLNFQYVYLSENNLNGSIPSNVGNSNQLLHLYLYGNEFSGSIPSSIGNCSQLEDLYLDGNQLVGTLPDSLNNLDNLVNLGVSRNNLQGPIPLGSGVCQSLEYIDLSFNGYTGGIPAGLGNCSALKTLLIVNSSLTGHIPSSFGRLRKLSHIDLSRNQLSGNIPPEFGACKSLKELDLYDNQLEGRIPSELGLLSRLEVLQLFSNRLTGEIPISIWKIASLQQILVYDNNLFGELPLIITELRHLKIISVFNNHFSGVIPQSLGLNSSLVQVEFTNNQFTGQIPPNLCSGKTLRVLNLGLNQFQGNVPLDIGTCLTLQRLILRRNNLAGVLPEFTINHGLRFMDASENNLNGTIPSSLGNCINLTSINLQSNRLSGLIPNGLRNLENLQSLILSHNFLEGPLPSSLSNCTKLDKFDVGFNLLNGSIPRSLASWKVISTFIIKENRFAGGIPNVLSELESLSLLDLGGNLFGGEIPSSIGNLKSLFYSLNLSNNGLSGTLPSELANLVKLQELDISHNNLTGSLTVLGELSSTLVELNISYNFFTGPVPQTLMKLLNSDPSSFLGNPGLCISCDVPDGLSCNRNISISPCAVHSSARGSSRLGNVQIAMIALGSSLFVILLLLGLVYKFVYNRRNKQNIETAAQVGTTSLLNKVMEATDNLDERFVIGRGAHGVVYKVSLDSNKVFAVKKLTFLGHKRGSRDMVKEIRTVSNIKHRNLISLESFWLGKDYGLLLYKYYPNGSLYDVLHEMNTTPSLTWKARYNIAIGIAHALAYLHYDCDPPIIHRDIKPQNILLDSEMEPHIADFGLAKLLDQTFEPATSSSFAGTIGYIAPENAFSAAKTKASDVYSYGVVLLELVTGKKPSDPSFIEVGNMTAWIRSVWKERDEIDRIVDPRLEEELANLDHREQMNQVVLVALRCTENEANKRPIMREIVDHLIDLKISR >KGN56850 pep chromosome:ASM407v2:3:9117122:9117662:-1 gene:Csa_3G134980 transcript:KGN56850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLQNDTVIEPTPSSSSTTLSRYENQKRRDWTSFGHYITNRTPPLALARCTGAHVVDFLRYLDQFGKTKIHSNLCPFFGHPSPSGACTCPLRQAWGSLDALVGRLRAAYEEHGGNAETNPFGARPVRVYLREVRDLQAKARGISYQKKKRKRPLGPPPSRSGASSCSETTMSQLKL >KGN58677 pep chromosome:ASM407v2:3:27152002:27153327:1 gene:Csa_3G727950 transcript:KGN58677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLEGLIERLMEGRKNNGKKIQLIEPEIRELCITAKQVFLSQPNLLQLQAPINICGDIHGQYPDLLRLFEMGGFPPDANYLFLGDYVDRGKQSIETITLLFAYKIKFPDNFFLLRGNHECASINRIYGFYDECKRRFNVRLWKIFTDCFNCLPVAAVIDDKILCMHGGLSPEMHSLNQVGDIERPIDVPDQGLLCDLLWADPDKDIKGWGENDRGVSFTFGADKVTNFLKKHNLDLICRAHQVVEDGYEFFADRQLVTIFSAPNYCGEFDNAGAFMSVDSSLLCSFQIIKP >KGN59787 pep chromosome:ASM407v2:3:34318209:34324284:1 gene:Csa_3G846030 transcript:KGN59787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIIERIKEIEAEMARTQKNKATEYHLGQLKAKIAKLRTQLLEPPKGSSGGGEGFEVTKYGHGRVALIGFPSVGKSTLLTMLTGTQSEAASYEFTTLTCIPGIIHYNDTKIQLLDLPGIIEGASEGKGRGRQVIAVSKSSDLVLMVLDASKSEGHRQILTRELEAVGLRLNKRPPQIYFKKKKTGGISFNSTLPLTHVDEKLCYQILHEYKIHNAEVLFREDATVDDLIDVIEGNRKYMKCVYVYNKIDVVGIDDVDKLARQPNSVVISCNLKLNLDRLLSRMWEEMGLVRVYTKPQGQQPDFSDPVVLSADRGGCTVEDFCNHIHRSLVKDVKYVLVWGTSARHYPQHCGLGHVLNDEDVVQIVKKKEKEEGGRGRFKSHTTGPARISDREKKAPLKT >KGN58356 pep chromosome:ASM407v2:3:24405727:24410496:1 gene:Csa_3G627670 transcript:KGN58356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQVQLKKDEAVPLGKLKVLGSTKRPITLHCNHAIRKADLGKRVVETLYPENYSNKKSTQFWSWIMDKFGLGSIPDREVKDFNPQSIMFPWHMIRYMDDRLLILNRSLGTLWTMDLVSGKIIEVVRGLSRIMESYGQLIMDRLSVIKQIPDGMLQRPSDANIAIGGSPYLDLLSSLTSFENCIIICDSVGQVVLKCNKKSGECSSFQFSNFGVLGLPYWFAPLPEKVITTAEFRGAGIDHLQFFKLLPGEVGIQINVDLPSDIELVESLHEDSIWRQARGTATEISIVEQVAGPSEKVGSAQQWYDELDSLAFSPQESEMVEDNVRAQNYIGDNKVHIECAVNTSPGTSEVIVYAALYLRLRRNQDSEGNGEKHHATRIADFLYPESRGKMIKENCIQFLINSKRDLRELIFVKPLHVRIKLDSSGHPKAENSKSIILTKSKVEVNVSLSS >KGN56771 pep chromosome:ASM407v2:3:8739512:8739736:1 gene:Csa_3G133220 transcript:KGN56771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPGIFLCILALIIASSFANQQPANVGTGSTKTITGGGGGGGGGGGGGGSSSGPATGSGSGSGSGSGGGGSGR >KGN60096 pep chromosome:ASM407v2:3:36804471:36806432:1 gene:Csa_3G877670 transcript:KGN60096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSTIRKAVGALKDQTSIGIAKVASNMAPDLEVAIVKATSHDDDPASEKYIREILSLTSYSRGYVSACVSAISKRLAKTRDWIVALKALILVHRLLNEGDPVFQEEILYATRRGTRLLNMSDFKDEAHSSSWDHSAFVRTYAFYLDQRLELMLFEKKGGSARGNSRGDDRFDGRDEFRSPPPRPYDNGYGEYRGEREYGNYGGMRRSRSYGDVGESTGRDGQGQGRINKGPVTPLREMTIERVFGKMGHLQRLLDRFLSCRPTGLAKNSRMILYALYPLVRESFQLYADICEVLAVLLDKFFDMEYSDCMKAFDAYGSAAKQIDELIAFYNWCKETGVARSSEYPEVQRITSKLLETLEEFLRERGKRPKSPEREPPPPAPEEEEPAPDMNEIKALPPPENYTPPPPEPEPQPAPKPQPQVTDDLVNLRDDAVSADDQGNKLALALFAGPAANGANGSWEAFPSDGQPEVTSAWQTPAAEPGKADWELALVETASNLSRQKAALGGGLDPLLLNGMYDQGMVRQHTSTAQLSGGSASSVALPGPGNSKTPVLALPAPDGTVQAVNQDPFAASLSVPPPSYVQMVEMEKKQHLLMQEQQLWQQYARDGMQGQSSLTKISNPPGYYNMGAAPMAPMPYGMPPMNGMGGYYYVPQ >KGN57375 pep chromosome:ASM407v2:3:12595714:12599597:1 gene:Csa_3G182110 transcript:KGN57375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREGSGGSFRNRFSHYAFFPDHIFKPFIKSPATFSLLFLFFSLFLLAGVFLSTRLLHSSTTAYNLTIKGSGKSQYYPTNTSQVPHNPNHQPRRPQVEFTLHCASFNNITPGACPAHYPTNWTTDEDQNPPSSSSACPDYFRWIHEDLRPWARTGITRATLEAGQRTANFRLLILNGKAYVETYKKSFQTRDTFTVWGILQLLRRYPGKVPDLDLMFDCVDWPVILTSHFSGPNGPTPPPLFRYCGDDATFDIVFPDWSFWGWPEINIKPWEPLLKDIKEGNKRIPWKSREPYAYWKGNPEVADTRKDLIKCNVSDQQDWNARVFAQDWTKESQEGYKQSDLSNQCLHRYKIYIEGSAWSVSEKYILACDSVTLIVKPHYYDFFTRGLMPVHHYWPVKDDDKCKSIKFAVDWGNSHKQKAQAIGKAASSFIQEELKMDYVYDYMFHLLSEYSKLLTFKPTLPPNAIELCSEAMACPAEGLTKKFMTESLVKRPAESNPCTMPPPYDPASLHFVLSRKENSIKQVEKWETSFWNTQSKQP >KGN59283 pep chromosome:ASM407v2:3:30785810:30789257:-1 gene:Csa_3G800660 transcript:KGN59283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEEPIPSFPSHRESPHSLLLPPSSSSLLPPPFPFNLFLLAVPNFPFFIHNPRFLRLLRVDEKRCFAVEVWRRKILVRLQVNIQPHLGEIHTVTYWNGSERGEPRTSNVVRSGAPQQVLPIETPVIPLDELNRLTGNFGTKSFIGEGSYGRVYYATLKSGQAAAIKKLDTSSSPEPDTDFAAQLSSVSRLKQENFLELIGYCLEANNRILVYQFAKMGSLHDILHGRKGVQGAEPGPVLAWNQRVKIAYGAAKGLEYLHEKVQPSIVHRDIRSSNVLLFDDFLAKIADFNLTNQSSDTAARLHSTRVLGTFGYHAPEYAMTGQITQKSDVYSFGVVLLELLTGRKPVDHTMPKGQQSLVTWATPRLSEDKVKQCVDPKLNNDYPPKAIAKLAAVAALCVQYEADFRPNMTIVVKALQPLLNSSKPPGPESQPHP >KGN60177 pep chromosome:ASM407v2:3:37391316:37391648:1 gene:Csa_3G881895 transcript:KGN60177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDRMLPAGINDGGETFVVGRADIELFRRKVDFVANFLLRHPPELVRFHNEAIVIILWIGGTYGTRFTMRRASVMKKIKLLENENSVPCFSKMVGRRTSHYPGTDHNYIK >KGN56848 pep chromosome:ASM407v2:3:9100997:9106866:-1 gene:Csa_3G134960 transcript:KGN56848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHIIGGKFKLGRKIGSGSFGELYLGTNVQTGEEVAVKLESAKTKHPQLHYESKLYMLLQGGTGIPHLKWFGVEGDYNVMVIDLLGPSLEDLFNYCNRKLSLKSVLMLADQLINRVEYMHSRGFLHRDIKPDNFLMGLGRKANQVYIIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKMLTPVEVLCKSYPSEFTSYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQFDYVFDWTILKYPQIGSSSKARPSGKPGHTPGPSAERGEKHPVGQEIRDRYPGGAEAFGRRNASGHLHGDHSRHRSDDVPSSKDVQPDSERGRSSYRNGSISKRPVLSSSRPSSSGEPSEIRSSRLVSASSRLSAGQRVQPGFESKTTTFTRSSTTKGGRDDALRSFELLSIGTGKRK >KGN59561 pep chromosome:ASM407v2:3:32705495:32706321:-1 gene:Csa_3G825060 transcript:KGN59561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGRRSDINIVLLFVVTLSISLFPIMKSQQFDKFYFVQQWPPAVCDGRTGKCVGKGMYYFTIHGVWPQKGGKSVINCPGTQFDFNKISSLANTLHQIMKDVINADDQFLWSHEWNKHGVCSESRYSMKQYFQMAINMKYKINVLSALRMGGITPNNHLKAKQRVEGAMFTAYNAYPLLRCKKDSSGQSLLTEVVMCFDNDGVTLLNCTTTKSNCDADVLF >KGN58583 pep chromosome:ASM407v2:3:26367953:26372476:-1 gene:Csa_3G690310 transcript:KGN58583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGEQEQQTHNPGQDDENEIVAEGASVVHGEPPSDDNGPPKVDSEVEVLQEKVTKQIMKEGHGQIPSKYSTCFLHYRAWTESTRHKFEDTWNELRPLELVLGKEKKEMTGLSIGVASMKSGERALLHVGWELAYGKEGNFSFPNVPPMSDVLYEVELIGFDETKEGKARSDMTVEERIGAADRRKMDGNVLFKEEKLEEAMQQYEMAIAYMGDDFMFQLFGKYRDMALAVKNPCHLNMSACLIKLKRYEEAIAQCSMVLAEDESNAKALFRRGKARAELGQTDAAREDLLKARKYAPEDKAIGRELKLLAEHDKAVYQKQKEIYKGIFGPRPEPKPKHGVIVTQLILIWQWLISFFYRLFKREEKHHGD >KGN57719 pep chromosome:ASM407v2:3:16095494:16100627:-1 gene:Csa_3G259200 transcript:KGN57719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIFSFRRVATLCVLTTGTGLAFKASNSNSNSLDVEEKFRTTIHGFRRSSRAISTISLIVLDYKYSLNGEVANTEEYRLKLSEVHLRSAKRLLKLCQVNKGFYIKAGQFAASLRQTPNEYSSILSSLQDQAVPCPFKDIKDMLISNLGSDISAMFLSLDEQPIAAASIAQVHRAILKSNKEVAIKVQYPGLMQNVKIDTTVMSFLSRTISWLFPEYRFEWLASEFGKTILLELGKFSELLWFIKRFFHGVFYLLGYSYKFCP >KGN59337 pep chromosome:ASM407v2:3:31140176:31158487:-1 gene:Csa_3G811600 transcript:KGN59337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQTTEGASESVKVVTFSFMTNEEVRKQSVVKVTAPILLDGMGRPVSGGLYDPAMGSLDETTLCKSCGQRPFYCPGHCGHIDLVSPVYNPLLFVILHNFLRCTCFSCHHFRAGESMVENCKTLLELILDGEIAKAKELEEEWMNSKSRTKSSHSMYTYERKNGQPETWTSLQFSEAISVVTKFLKPKQSNCKYCGAKSPKITKPTFGWFHMKGLAGVQKRANAIRRSKPVSVSSGAEGVSSLEEETTTEATVEDFEDVSPEVFMQKNFSSGHLLPSEVKDILKRLWKNEALLCSFISDISQQGHGNKAGHSMFFLESVLVPPIKFRPPAKGGDSVMEHPQTVLLNKVLQSNISLGNGHANKSEHSKIVRLWMDLQQSINILFDSKSAAGPGKNDASLGICQLLEKKEGMFRQKMMGKRVNFACRSVISPDPYLAVNEIGIPPYFALRLTYPERVTAWNVQKLRNAIINGPETHPGATHYIDKLATVKLNLKPSRKSRISISRKLPSSRGVVVDQGCDDYEFEGKIVNRHLQDGDIVLVNRQPTLHKPSIMAHVVRVLKGEKTIRMHYANCSTYNADFDGDEMNVHFPQDEISRAEAYNIVNANNQYVKPTSGEPIRALIQDHIISAVLLTKKDTFLNFDEFSQLLYSSGISTSKACASSEKPGQKIFTLDFDAEMLPVLPAVWKPEPLWTGKQVVTALLDHITQGSPPFFVEKDVKIPRGFFKCRDMGNNSSKVKMVDGDKSKRKRTMNENKLEKGEVLDEGNSKKKEHTKVDKLKAARLDDDSLLIFKNELVRGVIDKAQFGDYGLVHTVQELYGSNTAGLLLSVMSRLFTVFLQTHGFTCGVDDLLLIECMDKEREKQLQICEKIGEQVHLGFLKVKDGEKLDPMTLQLNIEKTISYNGEAALTSLDRKMTSQLNERTGNSKVLKDLLSEGLLKPSVKNCISLMTTSGAKGGTANFQQISSHLGQQQLEGKRVPRMVSGKTLPCFPPWDWASRAGGFIVDRFLTGLRPQEYYFHCMAGREGLVDTAVKTSRSGYLQRCLIKNLESLKICYDHTVRDADGSVIQFQYGEDGVDVHKTAFITKFEALAANQDMLYENSHHQLGKYNVFINELPSALREKGEFIYNSLSKDKVPGLVLKEDFIRLLENKYLSSLAQPGEPVGVLAAQSIGEPSTQMTLNTFHHAGRGEMNVTLGIPRLQEILMTASQDIKTPIMTCPLKEGYSMDVAKGLANKLKKITVADIIESMNVTVVPFSQRKREICSIYKLRIDFYPLENNAQHGHISPEDLENTLETVFLEELEGLIEREMVLLSKINGIKNFVPDSQSKGSSEGDEVSSSRQEENDDDDDEGNDLDVAEDLGSDMKKQKLQANDEMDYEDDSEDDLNAKESSTGFESEVDQGDEAEITNNDMIEIVKDSASENQPEIVDVSKSMSKEKTTETSKEKKKVKSELVRKETDRSIFVEAKENHFEVHFKFTNEPHTLLSQIVQRAAQKVSIQRSGKIIQCQQITCKEGQVIYHGNNLKERKNLKPEEKEKIPALQTSGVDFKTLWEMQDELDVRYIYSNDIHAMLQTYGVEAARATIIREIQNIFTSYGISVNIRHLSLVADYMTHSGGYRPMSRLGGISDSISPFSRMTFETAGKFIVQAALHGEVDNLETPSSRICLGLPVKMGTGSFDLMQKIEV >KGN57495 pep chromosome:ASM407v2:3:13696068:13699646:-1 gene:Csa_3G199570 transcript:KGN57495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSQFRPLDETSLIEYIKTVPSLSDKLDNKFDGIEIKEVGDGNLNFVYVVVGSSGSFVIKQAIPYVRCIGESWPMTKERAYFEAVALRKHGHLCPNHVPNVYHFDRTMSLIGMRYLEPPHIILRKGLIAGIEYPLLADHISEYMAKTLFGTSILYNSTTVHKESVAEFCGNVELCRLTEQVVFSDPYKVSEHNRWTSPYLDLDAEAIREDNDLKVEIAELKAKFIERTQALVHGDLHTGSIMVTQESTQVIDPEFAFYGPMGFDIGAFLGNLILAFFSQDGHAVEGNDRKAYKEWILRMIEETWNLFRKKFVALWELHKDGPGEAYLPAIYNNPELQQLVQNKYLTEVFHDSLGFGAAKMIRRIVGVAHVADFETIKDPSKRAECERRGLELAKLVLKKRRNFNSISQVISAIREIQ >KGN57138 pep chromosome:ASM407v2:3:10861106:10863632:1 gene:Csa_3G164570 transcript:KGN57138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSNFGKNFDFDLGLGSSGSKSLNDQKNKTPSYSSYTPSASSYSSTPTRPAWQPNKPSWTHQPAMNQAARPNLSNSPASMVGDIFGKTWGSKATSASTTGIGIAEKNPNLFGDLVGSALGSGKSNSNTPLKNVGPASVSSGAALNRNSFSMGNMNDSLPKSSSNPSKNSGNWSFENLSNYNNGKSNQSNTTNIKTPNLGGPSMSSTIGGGKTSSTKDPFGSLVDFGSKSSGNLNSTTKNQNIKSSEDSFGDFQNASNPSATTFPSSRSSTNGVDFKGSSFNSGINMGDFGMPTNFHSKVQDTVQTTASDPLDMLFSSSKAPAEGPPLASGTPGASQSLDADDWGLDSDFGGGGHDVGGSTTEIEGLPPPPAGVTASSAKNKGVDVYRQGQYADAIKWLSWAVILFEKTGNSAAIVEVLSTRASCYKEVGEYKKAVVDCTMVLDQDDANVAVLVQRALLYESMEKYKLGAEDLRAVLKIDPGNRVARSTIHRLTKMAE >KGN58302 pep chromosome:ASM407v2:3:23674635:23675067:-1 gene:Csa_3G610780 transcript:KGN58302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDRSLNVHDSGGDRWTGQRRNGEILDGFGEIWIQRIQDNANQRDVEEYEGLKAKSQDEI >KGN59803 pep chromosome:ASM407v2:3:34527741:34532169:-1 gene:Csa_3G848130 transcript:KGN59803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNQKQKWTSEEEDALLAGVNKHGPGKWKNILKDPDFAPSLTHRSNIDLKDKWRNLSVSTASQGSKEKSRAAPKAKAIVAAISNNQTSAPAKPNASADAAGDDTPNNSTQDGKNVPRYYSMIFEALSTIKDSNGCDIGTIVNFIEQRHEVPQNFRRQLSSKLRRLVSQGKLEKVQNCYRVKKDNSLAVKTPTPKQKDVRQRISQYNTTGGVMPSAETVEDAAKAAAYKVADAENKSFLAAEAVKEAERIAKMAEDTDSMLQIIKEMYEKCSRGEIILLA >KGN58889 pep chromosome:ASM407v2:3:28176834:28183810:1 gene:Csa_3G734900 transcript:KGN58889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEAAGLAAVTNETLGKENASSTELKRDHQCLDEDTEPESLHNKKQAKEVSNEDVRSEVSNPVVSPKENHFHDITSQPEEVENTTQVERGELTSACSGNSSSEDISSGGVRCQNDMSQNDVDMCDVNEVSRVVIEIPKHASSTGIRKITFKFSKKKGNNGASVSADKVHSYGNSDRDGKPEPSLLDDACTETSAHSCEGSAESSRYSLGPNKMELKMSKKVLPNNYPSNVKKLLSTGILDGARVKYVSTTSEMKLQGIINGGGYMCGCSTCNFTAILSAYEFEQHAGFKTRHPNNHIYLENGRPIYSVIQEIKSAPLSILDEVIMEVAGSSVNMNSFEAWKASFHQDSANIVVENHDVKLPKLSHPVERPNPNFSNAVLQHKKTAEKGTKRRDNDLHRLLFMPNGLPDGAELAYFVKGQRILGGFKQGNGILCSHCNREISPSQFEAHAGMAARRQPYRHIYTTNGLTLHDIAISLASGQKLTTGDSDDMCAACGNGGDLIFCDRCPRAYHTGCLHLQNVPEGVWSCPNCRDKVGSNSKAISGGSLSFSKPIVFRLTRVVKAPEYEIGGCVVCRRHDFSAAKFDDRTVLLCDQCEREFHVGCLRDSGLCDLKELPKDKWFCCDECSNIHVALQNTVLNGAQIIPDSLSDLIIRKHVGKGLLVDEALNDVRWQILSGKSRFPEDLPFLSRATAIFRECFDPIVAKSGRDLIPVMVYGRNISGQEFGGMYCVVLIVRSIVVSAGLLRIFGREVAELPIVATSREHQGKGYFQVLFSCIERLLSSLNVQNLVLPAAEDAESIWTKKLGFRKMSEEQLIKYMREVQLTIFNGTSMLEKVVEQSTL >KGN59597 pep chromosome:ASM407v2:3:32992879:32997479:-1 gene:Csa_3G827390 transcript:KGN59597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFFNSAKVVRLQSHLGKYLQAADDQESVRQTRNATTPHVRWTVDLVDGKPHIIRLKSCFGKYLTASDDPFILGTAGKMVVQTDLTSATQDGAVEWEPRKDGFFVKLRTRAGMFLRANGGAPPWRNSVTHDIPRRTSTQEWVLWSVDVVDIATVDDSAPGRISPAVSFSSVSSISSNGDYELETRSPSMSISGFGSGYFTGRDQSAMELFQKAKVVRLRSHHDKYLLAEEDEESVCQDRNGSVKNAKWTVEFVEHSDGLRFKSCFGKYLTASNVPFLLGMTGKKVLQTLPQRLDSSVEWEPVREGFQVRLKTRYGQFLRANGGFPPWRNSITHDIPHRTSTQDWVLWDVDIVEIRTFTSINSRSEEPILPPPPPPWEAKKSHHFFGHRSKAESSPSHDHHHSRHESSESQDHESPMKAEGRVIHYHVANEKGDVKDGQEEVKFTFKGSQVEELKEKLREETGLHDILVCSRNPLNGKLFPLRLHLPPNNANLHVVVVPSSEDSETAESP >KGN59105 pep chromosome:ASM407v2:3:29627679:29628020:1 gene:Csa_3G769700 transcript:KGN59105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHQIVSKIDPSGILNCKSLLSMLTADHPAPKASFKALRKDGKCQPFDLYLAHLEKLMVEAF >KGN57384 pep chromosome:ASM407v2:3:12653703:12661766:-1 gene:Csa_3G182200 transcript:KGN57384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPTAVEAPLLLLFLLAAALLCPLGGARLLVEMTVVRNASSIGALCLDGSLPAYHLDRGFGAGAGNWLLQFEGGGWCNDVTSCFERANSRRGSTHLMTKWEDFSGILSNNASLNPDFYNWNRVKLRYCDGASFAGDALFDNGTSVLHFKGQKIWESIILDLLPKGLGTARKALLSGCSAGGLATFLHCENFTNYLPRNASVKCLSDAGFFLDLRDIGLNHTMRNFYKDLVALQGMERNLNENCTASSYFPELCIFPQYSLKYITTPFFILNSAYDVFQFHHGLVPPSADLHGHWNHCKLNPADCSELQIQVLQGLRLSMLSALRLFHEYSSRGGMFINSCFAHCQSESQDTWFAPDSPTIDNKTIAEAVGDWYFGRRNIKEIDCAYPCDSTCHNIIP >KGN59651 pep chromosome:ASM407v2:3:33312927:33331456:1 gene:Csa_3G835880 transcript:KGN59651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELADSSDEHPQLNHLPNPTDSTTRSATGTGIGIDLNEIPSPSSFSETLSDSFDVVRTFHDNPPPSDGDPAHVPRGVRGSVCGLCGQPEVRGHVVVCDGCERGFHLACTGMRGGHALNFEDWVCGECFTTGVKSKRWPLGVKSKQLLDINASPPSDGDAYGEDGEELPGIRKHTAVDNSLRGTPFCSSAKYRNLLHSGNGYGHQRAPDTVKNKVKMGLEDVLQQNQVIGRSLDVDLGCPLGSCRSSRGTSVKLSSQNTSEVFLQALREFISERNGVLEEGWCVEIKQSVDSSELYAIYRAPDGKTFGSVYEVACHLGLMSSMQPKARRQGSSHLSGKSYIPKRRKPTKFSVANGFVDNNETLINDRCKGVLCDRQSPSGVTVVNLENSEEAVAEENGGSISSQCYEGFPLQFEDFFVLSLGEIDARPSYHEVTRVYPVGFRSCWHDKVTGSIFINEVLDGGDSGPLFKVRRCPCSAFPIPVGSTVLSKGKSENFSIEQQKEDGLINNSNDDNLQTIFSDVCPPNEDDILSCLGVCSDRDFNVHMQNGLHHEAGSIGKSGDLSDYQYLKDEIGEISVEDTSSSIAWKRMSYDLIKACSELCNQKNTFRLCCNHVGNEQSLLGHCRTRDNSELNSRLAKFCGFPNSAFGQSVVEVENNQSSLPDELEKWLDQDRFGLDMEFVQEILEKIPRIQSCSSYQFVNKRIDSTTLPAVENGVLEVQKFDGEDCKEDEPLNFLFRRFKKTKLAGDGNANYKNPPPGKLLCSRVPPELTGDVYQVWDFLSRFHENLGLKEALSLEELEEDLFNLRGGGVDILQNSENEFKKDPLLNSLNTEFSNDRVSSKFNANGDPHAFIQMETRAMKEVSEVNLASSTDSRCVGAALTKAHTSLLRVLITELQSKVAALVDPNFDSGESKPKRGRKKDADSASSIRKMKLNLLPLNELTWPELAHRFILAVLSMNGNLESAEVTARESGRVFRCLQGDGGVLCGSLTGVAGMEADAFLLAEATKQIFGTLNREKHIITIEEETPDTTGGGCEKVLVTDGNMPEWAQVLEPVRKLPTNVGTRIRRCVYDALERNPPDWAKKILEHSISKEVYKGNASGPTKKAVLSILADICGDSLPPKVEKRRKRITTISISDIVMKQCRTVLRRAAAADDAKVFCNLLGRKLMASSDNDDEGLLGPPGMVSRPLDFRTIDLRLASGSYDGSHEAFLEDVQELWNNLRYAYGDQPDLVELVETLSENFERLYENEVLSLIEKLKEFSKLESLSAETKVEVDGFLVSLNEIPKAPWDEGVCKVCGIDKDDDSVLLCDTCDAEYHTYCLNPPLARIPEGNWYCPSCVMGTRMVEDPSEHTKNHIINLHKGKKFRGEVTRDFLNKLANLAAALEEKEYWEFSVDERLFLLKYLCDELLSSALIRQHLEQCVEALAELQQKLRSCFIEWKNLKCREEVVAARAAKLDTTMLSAVREGQGSCDGARLGASDQYSSLTSLENKCHNHASFQEQMSSAHDVTDNNDAGGNVLSSSGSQNSGKPVKFNEPSLSGLPQEVDGSDQSNMETEISILPSGKQYFTPCDANGVPVAPQVPPPNESQAYHSELDSIKKDILQVQDSIASTELELLKISVRREFLGSDAAGRLYWASVMSNGLPQIISSGSSVHIGSESRDRVVKGRFFKNYTSTSNANSSTLNSNMYSSLLHLPKDFIGNSPCISYQTEADILELIDWLKDSDPKERELKESILQWLKPKLQTSSRSNNQSPEEQLKDSSSSSDVEKLECSGFLVNRASALLESKYGPFLEFVTPDDLNRWLDKARLAEDEKMFRCVCMEPVWPSRYHCLSCHRSFSTDVELEEHDNGQCSSLPASCDGIKEVGDSSKSKCNIKFESKQEESSSMVIAETSRGYFNHSMGLIKYQNDGMMCPYDFELICSKFLTKDSNKDLIKEIGLISSNGVPSFLSSVSPYIMESTLNVIDLKKDSSTPEDGTLLSEWPSLENIILENGCHQSSSIDSSIQKPAGNEISAPKTKRLAAGCLEPKSKKICMDNRFSEFGIGRCFVIPQSSQRPLVGKILQVVRGLKMNLLDMDAALPDEALKPSKLHIERRWAWRAFVKSAGTIYEMVQATIALEDMIRTEYLKNEWWYWSSLSAAAKISTVSSLALRIFSLDAAIIYEKISPNQDSNDYLDTTSSIPEQKLGGVDLTEKPRTSSRKSGKKRKEPEG >KGN57584 pep chromosome:ASM407v2:3:14555313:14558083:1 gene:Csa_3G219190 transcript:KGN57584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQIAKISEQLQLKSSAHKFYDFFTNKMDSLIQMFPHIFTSYKILEGNGFAHASVIHIKYNIGGPAEMKERLAFDDANKSIAFEVFEGDLLRDFEVFKMKMQVNNEKGSNGSLVNWSIEFVKANEDVAAPHQYLTIAAQTSKTLDDYLCNN >KGN59986 pep chromosome:ASM407v2:3:35994379:35996239:-1 gene:Csa_3G859730 transcript:KGN59986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVAQILCMNKGGGETSYARNSSLQSKIISDSKRITEEAVESLIITFSNNNDTTSVISIADLGCSSGPNTLLLVSDTLNLIYSKCKRLTYPLPEVQVFLNDLFSNDFNYIFGSLPEFHRRLVVENGGEVGPCFISGVPGTFYGRLFPKKSLNFVHSSSSLHWLSTVPEGLLEGEEKRRMNKGKLYISPTSPRSVLEAYGRQFKSDFWKFIKSRSEEMVSGGRMVLSLMGRKSIDPTTPDSCHHWELLAQALTTLASQGLVEENKIDSFNAPYYAPCMEEVKEEIEKEGSFMIERFEAFEVEWDGFASEDENGLKILTRGQRVAKTIRAVVETMLESHFGGHIMDALFQHYGTIVQHYLSNNRTKYTNLVVSFVKK >KGN59879 pep chromosome:ASM407v2:3:35187714:35189108:1 gene:Csa_3G851790 transcript:KGN59879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKVNVPTKRDWKQMGHEGATVAVHEEMKRVQQFPSNSTYATHRLRILNKILQLLSIQRTLSQEEELELLFSGLSL >KGN60026 pep chromosome:ASM407v2:3:36378903:36380740:-1 gene:Csa_3G872080 transcript:KGN60026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVAPSLSRLHSPFLYCPLKPTPSTSLSVTFSGNQRSPPSYPRIRAIDLDQNTVVALSVGLVSVAIGIGIPVFYETQIDNAAKRENTQPCFPCSGSGAQRCRFCMGTGNVTVELGGDDKEVSRCINCDGVGTLTCTTCQGSGIQPRYLDRREFKDDD >KGN57389 pep chromosome:ASM407v2:3:12699784:12700540:-1 gene:Csa_3G182250 transcript:KGN57389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDILHYQDIGYAKLANLSPEYGLYSSFVPPLIYAIMGSSRDIAIGPVAVVSLLLGTLLQEEIDSATNPKDYLRLAFTATFFAGITQATLGILRLGFLIDFLSHAAIVGFMGGAAITIALQQLKGFLGIKKFTKKTDIISVMQSVFGSMRHGVSSADCKMKIYQLQLI >KGN58239 pep chromosome:ASM407v2:3:22998078:22999532:-1 gene:Csa_3G597870 transcript:KGN58239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDPVKYKNISSGFGVLLKEQGIRGLFRGWAPTLLGYSAQGACKYGIYEFFKKYYSDIVGPEYAAKYKTLIYLAGSASAEVIADVALCPFEAVKVRVQTQPGFGRGLSDGLPKFVRSEGALG >KGN59532 pep chromosome:ASM407v2:3:32536152:32536593:-1 gene:Csa_3G824770 transcript:KGN59532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHINIVDFLIKALSSAGFSFLLKPYFTVTHAPPGRGPPIFSVVASPLRRTPSRFLSHSLVYLRKHSYLSPLFFFFSSETETVVQLLTRAIGETAHFSEP >KGN57393 pep chromosome:ASM407v2:3:12731768:12734020:-1 gene:Csa_3G182780 transcript:KGN57393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMDHTVKVILGSIAFAVFWLLAVFPAIPFLPIGRTAGSILGAMLMVVFRVLTPEQAYAAIDLPILGLLFGTMVVSVYLERADMFKYLGKVLSWKSKGAKDLICRVCLISAISSAFFTNDTSCVVLTEFVLKIARQHNLPPRPFLLALASSANIGSSATPIGNPQNLVIAVQSKIHFGQFVIGILPAMLVGVVVNALIILIMYWKLLSVQKDEEDPSPEVIADEDVLSHRFSPARLSHSQIPSLNSAEWDSRLDLMNAQSPPCSNTNVETIRNSVSSKDNEEIRRSHSTMTEPARISDASKEWLPNASTQKREEDFSSKSLNSMEKQKEPVILQSSEGKEHWSTKWRRIAWKSCVYLVTVGMLVALLMGLDMSWTAVTAALALVVLDFKDAQPCLEKVSYSLLVFFCGMFMTVDGFNKTGLPSAFWNFMEPHAQIDRVSGTVVLALVILYLSNLASNVPTVLLLGARVAASAAAISPTEEKRAWLLLAWISTVAGNLSLLGSAANLIVCEQARRTPQLSYNLSFWNHLKFGLPSTLIVTAIGLVLIK >KGN58445 pep chromosome:ASM407v2:3:25265768:25266324:-1 gene:Csa_3G644840 transcript:KGN58445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILRTLTGLMPWRNRRETQSQVLWIGIGAGGSSPGATVTNTYARGSVGGGQSVFGTLPSIRVGKWFTLADILAATDNFDEALMIGVGGFGKVYRGEIDDGTLVAIKRANPQSQQGLAEFETEIEMLSKLRHRHLVSMIGYCKGNDLGLRIYGEWHP >KGN59825 pep chromosome:ASM407v2:3:34761367:34764811:1 gene:Csa_3G848830 transcript:KGN59825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLWIFVQLITAAAFISSTIIILRNFIIPTTPLSSSSNSFTSSNNPSLPSGSLGLPFVGETLHFVSSAYSHRPDTFMDTRRRRYGKVFKSHIFGSPTIVSTDGEVNRFILQSDSKYFVPSYPKSVTELMGKSSILLINGTLHRRIHGLIGAFFKSSHLKAQITLDMQKYLHKSISTWTSTCQQNPIHIQDEAKNVCIPHLNLLIAFEVLVKTLISLESGEEMEFLKKQFNQFIAGIMAFPINIPGTTLYRSLQAKRKMVKLVEEIIEGRRKKKKIKSEKWSNNHNNNKNGVGIINDVVDVLLNDGSQQVTDEVIAHNMIDMMIPGQDSVPILITLAIKYLSDSPSALQQLTEENMELKRQKTQFGEPLKWSDYLSLPFTQNVITETLRLGNIITGVMRKAMKDIEIKGYLIPKGWCVLAYIRSVHVDENHFESPYHFNPWRWQGKDSNNLNFSPFGGGQRLCPGLELARLEASIFLHHFVTEFR >KGN58043 pep chromosome:ASM407v2:3:20777294:20779014:1 gene:Csa_3G457650 transcript:KGN58043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASFSSTEIRSWDNKESFINLNELETPTSSLHMAAKRHGSEMVETVNQQGETPFHEACKQGKADELLLLLDIDPTPSFKLCHQNYSPMFVACNNGFLDVVKVFLNHQRWLQILQERYGSLDPACFLQAASRGHLGIVKELLAKFPTLSNKDNVDDNGNSALHLACLSGHSEVVTFLLGVNPDLAVQYNSFGYLALHFIAMNGKTSIFEDFLQLAPRSFHYRTKNGDPISFLTVHYNQFGAFLYLVHIFNHHGILYSLGPLDHDGNTLLHIAVLRGQVQWSPDYLNP >KGN56979 pep chromosome:ASM407v2:3:9878121:9878880:-1 gene:Csa_3G146640 transcript:KGN56979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPIDGLTSTNYKAGSVSNLQMAISSVFSRWDGLQMAIENQWGGRDSHQKSLNLVSDVFSWFSHSKPPLYVEDLENLLHETLLLSFNTEIEDGSIEQVAEQLMMIYEENLVGSR >KGN57532 pep chromosome:ASM407v2:3:14041173:14058311:1 gene:Csa_3G207330 transcript:KGN57532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPWNIAKTAEAMFSKFAVKRLCKFLLKKKLGQFLLGEIDIDQLDVQLADGTIQLNDLALNVDFLNEKVGTAASVIFKEGSIGSLLIRMPWTSRGCEVEINGLELVLSPCLKNVHMNCCGAFSGSHSNNHHESRKSEHDVVKNAAKSTYGDIHEGVKTVAKMVKGLLASFHLKIINLIVAFDSFYDENKNRTEFDTTLVLRIADVECGTCVTEDGKLGMDAVESFLGISQLNNFVKFQGAMVEFLHMDDCDKAKTFPCMSAATSQMVLDHVPSNVATPFLTGGVGGFSGNLKLCIPLRDGSLDIYRVDGDLSFDPVQLKLQPRTIKCLLTLSEAYWNSDKNSDGCINNKVNESDYFERAFHSHSSALASAETTPDETSPHCGGMLPGSHLISNWVPLSVKSREKEKVEEFDFGASVDQFFECLDEIRSTQSALGSSGMWNSVFSAITAASSLASGSLHVPSELQPVETNLRATISGISIVISFHDDNKYHFTDTEKVQIKADSEVHFVAAKFSDVHLLMQVSTQRTRFHGTIKHVEIADYLNCNSYASKTDFCNSNGDFQTILMKRLQVDVLGALPPFDFSAEDPDLVESNSSFNMDLPCENKDNVAKITLLETYGITSSQLNMTSSSNDNSTMSKSFSLNLPPFVFWVNYTLVNMLLDLLKDVANCMPGDNNHMCFKENYTSDHEDAKSSPNQVTALSFSSMQGNVIISNARVIFCFPLESDKDFMGYSSWDRFIALDFYASPITKEETTHRGNLAVQKSYQLQKNALHFRFGSVGVFLVTFEEDIKQSSTCNLQGKKFSVHNILSASNRTNGSPLTLFWQEGHVTGPWIAKKAKSLACLEESKSSCKFIGKDYEFASVANMKDMEESNLQTRQEMILSSTSVLHVSFPLVRINVGTVQYKAFHCLLDQLIKGLSRETCDVVDVTKGVACQTSIVVDCNSLEIVIRPDLNESTKCSLQRELPGSWYHLRLEIQNFELISVSDLGGIKGANFFWLAHGEGKLLGFISEDPDQEFLLISCSNSNMKRGDGEGSNALSSRLAGCDIVHLWDPESLQGFSSVTIRCATILAIGGRLDWLDVIYSFFFLSSPPVEPEGDKIMTRENPKNSSGSCFFLNFVDVGLNYHPYLKNLLIKSGLSQSESSSSTFKQELDDDYVACLLAASSVTLSSSSVADVVEDNYRITVQDFGLLLCSVSDYEHVFDAYSVEDLRKVGYVKVARETFIEAILRTNCNNGLKWELECGKAHISVETCHDTASGLARLAAQLQQLFAPDLEESIVHLQTRWNNAQQGQERKEIDAESSSPPCHNLSVNQSEVGLMDEICEDAFLLNKNHSRECDYSKTKCSFSPNEVLHAEVCSSNSEVCETSSPAHSFMGSDPDGQTSFIQYRQFPEIIEGYCLSNLCSLPDLTIGRELHPDICNGRNSGSIDTGGRRSGWYGDLPIKILENHVSDVSKVEYSVTNDLCSTESKKLDEVEEVSGRVILNNIDVKWRMYAGSDWQVSSENGDPPMGMVKRDQHTCLELALTSMQVQYDIFPVGGMCISRLSLSIQDFHLYDSSVDAPWKLVLGYYNSKNHPRKSSSKAFKLDLEAIRPDPSIPLEEYRLCIGILPMLLHLHQCQLDFLVNFFGERSSSRNRSSGQPLDLDGSKTISTTKSHDGLTLAEEALLPYFQKFDIQPIVVRVDYSPSRVDLAALRGGKYVELVNLVPWKGVELHLKHVQAVGVYGWGSVCETVVGEWLEDISHNQIRKILEGLPAVRSLVAVGSGASKLVSSPVESYKKDRRILKGMQRGTIAFLRSISLEAVGLGVHLAAGAHDILLQAEYILTSIPPSVKVRHKTRPNVRSNQPKDAQEGLKKAYESLSDGLGKSASAFFRTPLKKYQRGDSTVSAFATAVQAIPAAAIAPASACASAIHYTFLGLRNSLDPERKRESMEKYLGPTDSWEQN >KGN58575 pep chromosome:ASM407v2:3:26301850:26304949:1 gene:Csa_3G687760 transcript:KGN58575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METRLVLPLAIRVLLLLTIELISNIYGKSIECSKPDREALIAFRNGLNDPENRLESWKGPNCCQWRGVGCENTTGAVTAIDLHNPYPLGEQGFWNLSGEISPSLTKLKSLRYLDLSYNTFNDIPVPDFFGSLKKLQYLNLSNAGFSDMLPPSFGNMSSLQYLDMENLNLIVDNLEWVGGLVSLKHLAMNSVDLSSVKSNWFKILSKLRYVTELHMSYCGLSGSISSSPMTLNFTLLSVIDLSGNHFHSQIPNWLVNISSLTLITMSECDLYGRIPLGLGDLPILRLLDLSGNENLSASCSQLFRRGWSRVEVLVLAENKIHGKLPSSMGNMSSLAYFDLFENNVEGGIPRSIGSLCNLTFFRLSGNYLNGTLPESLEGTENCKPAPPLFNLEHLDLANNKLVGGLPKWLGQLQNIIELSLGYNSLQGPILGFNSLKNLSSLRLQANALNGTLPQSIGQLSELSVLDVSNNQLTGTISETHFSNLSKLRILHLSSNSLRLNVSANWVPPFQVRNLDMGSCYLGPLFPLWLKSQHEVQYLDFSNASISGPIPSWFWEISPNLSLLNVSHNQLDGRLPNPLKVASFADVDFSSNLLEGPIPLPSFEIVSLELSNNRFFGPIPKNIGKAMPNLVFLSFADNQIIGEIPDTIGEMQILQVINLSGNNLTGEIPSTIGNCSLLKAIDFENNYLVGPVPDSLGQLYQLQTLHLSENGFTGKLPPSFQNMSSLETLNLGGNSLTGSIPPWIGTSFPNLRILSLRSNEFSGAIPALLNLGSLQILDLANNKLNGSISIGFINLKAMVQPQISNRYLFYGKYTGIYYRENYVLNTKGTLLRYTKTLFLRNDHGRLLISNYLMKLWARFFNLDMEEQQFLTLI >KGN59780 pep chromosome:ASM407v2:3:34245279:34247548:1 gene:Csa_3G845470 transcript:KGN59780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWFIIGAILSFSVTIWVYALKNPKYNGKLPKGSMGFPFVGESTQFFAPNPSFDLSPFIKHRILKYGPIFKTRLVGKPLIISADAELNHIIFQKEEELFECWYPETFRKIFGVKSVGSLHGFMHKYLKNMITNVFGIESLKNMISEVEVTSTTRLKKWASHNDIVELKDEIANMIFDLSAKRLISYDPEKCGENMRENFVAFIQGLISFPIDIPGTSYHKCLQGRKRVMRMLENILKERQQNPREQQVDYFDFVIQELKKDGTPLTQQVALDLIFLLLFASHETTSIALTLAIKFLTDHPHVLNQLTAEHEGILKKRKNKSDKISWNEYKSMTFTFKFMNETLRLANIAPGIFRRALRDVEFKGYTIPEGWAVMVCPPAIHLDPKAFADPLAFNPWRWDEEQRCDGASKNFMAFGGGIRFCIGADFAKLQMAVFLHHLVTNYKLKPIKGGNIVRTPGVQFPDGFHVQILNKD >KGN57107 pep chromosome:ASM407v2:3:10712938:10713289:-1 gene:Csa_3G154305 transcript:KGN57107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVEVHQSIETEHIENVPYTGECLIGGNKVWIGVSRAEGSKCERCWNFSLRVGSFPEHPTLCKRCFNVVAGHPEPAMAAVS >KGN57432 pep chromosome:ASM407v2:3:13030664:13035891:-1 gene:Csa_3G185120 transcript:KGN57432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMQLGCIFFVFVFLISSVISRTEPIDQTYGFDFSKIKFDTGGLSRNAFPKEFIFGTATSAYQVEGMADKDGRGQSIWDPYVQIPGNIAGNATGEVAVDQYHKYKEDVDIMKRLNFDAYRFSISWSRIFPNGTGEVNWKGVAYYNRLIDYMVDQGITPYANLYHYDLPLTLQERYGGLLGMQIVKDFAKYAEFCFEHFGDRVKNWMTFNEPRVIAALGFDNGINPPSRCSKEYGNCTNGNSGTEPYIAAHHIILSHAAAVDIYRNNYQKAQEGRIGILLDFAYYEPLTRGKQDNYAAQRARDFHLGWFLHPITYGEYPRTMQEIVKERLPKFSEEEVSLVKGSIDFLGINQYTTFYMFNPKSTQLDAPGYQNDWNVGFAFEKNGVPIGPRAHSTWLYQVPWGMYKALMYVKERYGNPNVILSENGRDTPDIQLPEALFDLERIEYFKLYIQNMKRAIDDGANVSGYFAWSLLDNFEWLSGYTSRFGIVYVDYKNGLKRYPKMSAHWFKQMLQRKN >KGN56654 pep chromosome:ASM407v2:3:8030870:8035816:1 gene:Csa_3G127170 transcript:KGN56654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACLSISCFDQSTKKKKVMTSEKEVRTLDGAVDRHGHPAIRDKTGTWVSGILILVNQGLATLAFFGVGVNLVLFLTRVVGQDNAEAANNVSKWTGTVYIFSLLGAFLSDSYWGRFKTCAIFQAIFVVGLASLSITSYIFLVRPKGCGDEHTPCNSHSSLHIALFYLSVYLVALGNGGYQPNIATFGADQFDEEDPKEGQSKIAFFSYFYLALNLGSLFSNTILGYFEDEGMWVLGFWASTASAALALLLFLCGIPRYRHFTPKGNPLSRVSQVVIAATRKWKVQMIPNSEGLFDDDDKELASNGARRILHTNGFKFLDKAAVITSSEYDQLDDGARNPWRLCTVTQVEEVKCILRLLPIWLCTILYSVVFTQMASLFVVQGAAMRTNISTFHVPPASMSSFDILSVAAFIFIYRRVIDPVFARLTKSSLTELQRMGIGLVIAICAMVSAGTVEIFRLKHANKDCLRCDNSSSLSIFWQIPQYVLIGASEVFMYVGQLEFFNSQAPDGLKSFGSALCMTSISFGNYVSSLLVTIVMKISATDNMPGWIPGNLNRGHLDRFYFLLAALTAADLVVYILCAKWYKYIKFESREADAATAV >KGN56421 pep chromosome:ASM407v2:3:6764369:6766281:1 gene:Csa_3G119540 transcript:KGN56421 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartic proteinase nepenthesin-1 MTNKQTDVKFHLLNISNASSSLCLYNNIISSCCVVFLTKKKMEPNTISLPFIFFLLTVLSLATAFSDFQTLPLTSLPSSPSFLPSDSNSFLSSEATQSELGLELHLHHLDALSFNRTPEELFHLRLQRDAIRVKKLSSLGATSRNLSKPGGTTGFSSSVISGLAQGSGEYFTRIGVGTPPKYVYMVLDTGSDIVWLQCAPCKNCYSQTDPVFNPVKSGSFAKVLCRTPLCRRLESPGCNQRQTCLYQVSYGDGSYTTGEFVTETLTFRRTKVEQVALGCGHDNEGLFVGAAGLLGLGRGGLSFPSQAGRTFNQKFSYCLVDRSASSKPSSVVFGNSAVSRTARFTPLLTNPRLDTFYYVELLGISVGGTPVSGITASHFKLDRTGNGGVIIDCGTSVTRLNKPAYIALRDAFRAGASSLKSAPEFSLFDTCYDLSGKTTVKVPTVVLHFRGADVSLPASNYLIPVDGSGRFCFAFAGTTSGLSIIGNIQQQGFRVVYDLASSRVGFSPRGCA >KGN58932 pep chromosome:ASM407v2:3:28416411:28423979:1 gene:Csa_3G736800 transcript:KGN58932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNRGQKRTEMVDRLPADKRACSSLEFRPSSSSSSIQMHLTSTNSSPGIHDNDMDTSSSASASSRSEGEHDKDSAYGSCDSDDAEQKHSDLRNYHRQRSSGDHGRFKRLLTSLGEESESSVQTELLRELCEVLSFCTENSISSMTSDSLSIILVNLVKLDSDSDIVLLALRALTYLCDAYPRASSFIVRHGGVPAFCKRLGAIEYSDVAEQCFQALEKISQEHPVACLEGGAVMAVLTFIDFFPTIIQRTALRIVVNVCKKLPSECPQNLIEAVPILCNLLQYDDEELVENVARCMIKIAECVHQSSELLDGLCQHGLIQHAIRLINLNSRTTLSQTIYNDLLGVLIKLASGSIVAFETLYELNISNTLKDILSAYNLSHGVSSSCAVVDGQRNQVCEVLKLLNELLPTEDAKTEQLSEKVSFLVSNPKQLQKFGLDILPLLVQVVSSGANLYVCCGCLTIIYKFVCLGESDMLVELLENSNISSFLAGVFTRKDHHVLMLGLKITEIILQKLASTFLKSFVKEGVYFSIDALISPDKYKQLIFPVFTGVHSSFGSCQKSSREHGRCLCYAFSSSCFPSVSETGSCKLDKDSVYSLANHIRSIYFAEDLCDTDEGVTDILQNLRTFSGALDDLLNLSLIKDTPAQDEEKLYALLAEIMSKLKCGEPISTFEFIESGIVKSFINYITNGQYLRKKGESQPISRHFSIIERRFEAFARLLLSSSDHPSVNLPVLALIRKLQISLSSLENFHVIISSQGFKHRNYFVTVPNARCVPHPCVKVRFVRGDGETDLCDINGDILNVDPFSSLTAIEGFLWPKVSSQKTEQSPEDTLREHQIKLLSKLVGSDIMSTDLPEVQVPAEVSADEKSQCSASCSKKGTAPRLLLYLEGKQLEPTLSIYQAILQQHIKENETISGIKIWSQVYTIMYRSAGEVEDSTCNQLFCASDKALKLQFSSFFCDILDCVLPSDLAKGSPAYDVLFLLRSIEGMNRMAFHIMSHERIRAFADGKIDTLDNIKLSVPSVSQNEFVNSKLTEKLEQQMRDFSAVSVGGMPLWCKELMDSCPFLFSFEARRKYFRIVVFGMPQYQLHARSHSDFGTSNDGRSSSGGLPRKKVLVHRSQILDSASKMMNQYANQKVLLEVEYDEEVGTGLGPTLEFYTLVSREFQKNGLGMWRGDHDAFISGKRLNIEDRETTESPFGLFPRPWPSTLDTDKLHLPEVMKKFVLLGQIVAKAIQDCRVLDIYFSKAFYKLILGQELSIYDIQSFDPELGTVLLEFQALVNRNKLLGSVYEENSSSKLEFSYHNTNIEDLCLDFTLPGYPDYLLTSSQDNSMVNAKNLENYVSLVADATLCSGISRQIEAFKSGFNQVFPIEHLQVFTAEELERLICGEQDIWALSDLLDNMKFDHGYTSSSPSIVHLLEIIQDFDNKQQRAFLQFVTGAPRLPSGGFASLNPKLTIVRKHSSNLVDYDLPSVMTCANYLKLPPYSSKEIMKEKLLYAITEGQGSFHLS >KGN56419 pep chromosome:ASM407v2:3:6748212:6750202:1 gene:Csa_3G119520 transcript:KGN56419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFIAFALAILFTLLVRSLCRKLFTTPKNLPPGPRRWPIVGNLLQLTHLPHRDMAELCRKHGPLVYLKLGSVDAITTDDPATIREILLQQDEVFASRPRTLAAVHLAYGCSDVALAPLGPNWKRMRRICMEHLLTSKRLDSFSAHRASEAQHLVQDVWARAQNGEAVNLREVLGGFSMNNVTRMLLGKQYFGCGSAGPGEAMEFMHITHELFRLLGVIYLGDYLPFWRWVDPHGCEEKMRKVEKQVDDFHTKIIEEHRKEREKRKVSGQQHDSDGTMDFVDVLLSLPGEDGKEHMDDVEIKALIQDMIAAATDTSAVTNEWAMTEVIKHPHVLAKVQEELDVVVGPNRLVLESDLVHLNYLRCVVRETFRMHPAGPFLIPHESLHDTKIHGYDIPAKTRIFINTHGLGRNTNIWDNVDEFRPERHWPTTDEITTTNINSE >KGN57857 pep chromosome:ASM407v2:3:18249004:18250378:1 gene:Csa_3G354510 transcript:KGN57857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENFGEWDQNKLKNELLKGMELAKQLQIQLNVRPTPSSSMAAAAASSSSSSSSSSSDGCELLVQKIICSYEKALSLLNSYGVQIMYESPSSFNGGSPRSEDSDREFKDPFDLTNANSFRKRNILPTWTQKFQVSPGMAIEGSLDDGFAWRKYGQKGILGAKHPRGYYRCTHRNLQGCLATKQVQRSDDDPTIFEITYRGKHSCSQVSNLSTPCTTTPEFQQQNQGVVVELTDQKKAHNQQTAPDALLDSWSSLRVITQNLDTTPLSYDRVEFASASTVDVNFAEFSSFLSPTTSGSGLSYFSASSSGLSEGFVGNQNLNNLQPNNCEIFSSPTSALNTQTTTALDFSFGELQMEPTFSFDNTDFFS >KGN58484 pep chromosome:ASM407v2:3:25623815:25626173:1 gene:Csa_3G651700 transcript:KGN58484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTQLKNGDDFRYLVVRPEKGGIRDVFRCWVWPDGDGARRFFESSDEGVFDAKVSESRWIVVVSILIRKIIAILGKPLEWTGNVVEFTLNLLSVNANLLGLLCNIVRGDVVVPRRGTETFISTVGLLDGRMDLLNEEKMLQGTTNFVSEERGLGLEMGNRNLVDLCVMASKLSYENEKVIQNIVLRYWKMHFVGFYNCWNDFQKDNCTQVFILCNKPKDANLILISFRGTEPFDADDWSTDFDYSWYEIPEVGKIHIGFLEALGLGNRKDTNSFNGHLQAKTSISSIASDVSHGSTSPFGHTKSTISKIDQNIEQFDEVTPEVEQLTAYYTVKLQLRRLLMEHKNAKFVVTGHSLGGALAILFPTVLVLHEEMEIMGRLLGVYTFGQPRVGNKQLGQFMEPYLVNPIPRYFRVVYCNDIVPRLPYDNKAFLFKHFGVCLYYDSLFTEHKVDEEPNKNFLGIRYLIPEYLNAFWELLRSLLMGYTHGPEYKEGWFCILARVIGLAFPGISAHSLTNYIDSVRLGKKSQSL >KGN59994 pep chromosome:ASM407v2:3:36064675:36072012:-1 gene:Csa_3G860300 transcript:KGN59994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISQFFVLSQRGDNIVFRDYRGEVPKGSAEIFFRKVKFWKEDGEGDAPPVFNLDGVNYFHVKVVGLLFVATTRINASPSLVLELLQRIARVIKDYLGVLNEDSLRRNFVLVYELLDEVIDFGYVQTTSTEVLKSYVFNEPIVVDAARLPPLGPASIFVQGSKRMPGTAVTKSVVANEPGGRKREEIFVDIIEKISVTFSSSGYILTSEIDGTIQMKSYLTGNPEIRLALNEDLSIGRGGRSIYDYSSSSGGGTVILDDCNFHESVHLENFDIDRTLVLVPPEGEFPVMNYRMTQEFKPPFRINALIEEAGSLKAEVILKVRAEFASSITANTIMIQMPLPTFTTRVSFELEPGAVGNTTDFKEANKRLEWSLKKIVGGSEHTLRARLTFSQESHGNIVKEAGPVSMTFTIPMYNASRLQVKYLQIAKKSNTYNPYRWVRYVTQANSYVARL >KGN57472 pep chromosome:ASM407v2:3:13455755:13457528:1 gene:Csa_3G194390 transcript:KGN57472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENPIRFGIVGCAEIARKVARAINSAPNSAVTAVASRSLDKAFNFAAVNGLPETVKLYGSYNQILDDPCVDAVYMPLPTSLHRRWAILAAEKKKHILLEKPTALDVDELDQILDACESNGVQFMDGSMWLHHPRTTKMEEIISDPKLFGHVNYIHSASTTSGTKQFLEEDIRVKPDLDALGALGDLAWYCIGALLWAKKYELPIQVRALPDVTKNTAGVILSCTASLHWGKSSKETTATIHCSFLSHTSMDITITGTHGSVNVYDFIIPYQETSASFDITLGAKFAELHIGWNTKPEEVEVANEIPQEALMIAEFSRLVQAIRTTGCCPDSKWPQISRKTQLVMDAVKKSIDIDCRPVYL >KGN57005 pep chromosome:ASM407v2:3:10008718:10011812:1 gene:Csa_3G149360 transcript:KGN57005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine rich repeat-containing protein MDSVTKNPERRPFSIKLWPPSENTRKMLVERMTNNLTCKSFFTQKYGTLSQEEATDESQKIEDIAFETANQNYEKQPDGDGGAAVQLYAKECSRLLLEVLKRGPKAEADKEAGSDITSAPREICFDISKGRRDFIEAEEAEELLKPLKEPKNSYTQICFSNRSFGLEAARVTEPILVSLKDQLKEVDLSDFIAGRPESEALQVMKLFSDALEGSILRSLNLSNNALGEKGVRAFGSLLKSQSCLEELYLMNDGISKEAAQAVSELIPSTDKLRILHFHNNMTGDEGAFAIAEVVKRSLLLEDFRCSSTRIDSEGGVALSLALGTCPRLKKLDLRDNMFGVEGGVALSKALSHHADLKELYLSYQNLEDEGAIAIANILKDTAPTLEVLEIAGNDITAEAASALAACITQKAHLISLNLGENELKDEGTIQISKAIEGLIKLKKVDMNTNLIRRAGTRVLAQTVVQKPDFQLLNINGNFISDEGIDELKDIFKKFPDMLGPLDENDPEGEDGDDEESVADGEEEEDELGSKLKNLEVNEEN >KGN59231 pep chromosome:ASM407v2:3:30401225:30413524:1 gene:Csa_3G782780 transcript:KGN59231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRLSVATRFSLLFFFNFNLNLNLRLKPISFLSLLNLSRLSLSTLHLCKSFVRLLASKTLALFTMDNLFPNDDEFWDIDVGSRSRPPQRPRLLDDFDYDDNDAEKVYFVPFRWWLETQNDSDQVVGVLYSVSSEEDGDSGILLELRKEKVHTEYAGEEEGFSGREYALIGESMWLQALKRHNDFEATSNYGGRLFRDEDILQAVFPLQIRILVSWETNLLTVKINRKDNSANFYKRASVIFNSNSELFHIWDFSGQTNKLFTNNSNNFLDGSLVQGVKEVLLELQVLETLDNMMGRYGKGAKLTEEQRKTEKSPTIINGITEKVKFHLTESDRMIAGNSFGVASSMGLTGLQNLGNTCFMNSALQCLVHTPKLVDYFLGNFQKEINYDNPLGMKGELALAFGDLLRKLWAPGSKSVPPRMFKLKLANFAPQFSGYNQHDSQEFLSFLLDGLHEDLNRVKCKPYFEAKDVEGRRDEEVAEEYWQNHLARNDSIIVDLCQGQYRSTLVCPACNKLSVTFDPFMYLSLPLPSTTMRSMTLMVISCDGISLPSTFTVSVPKSGRLKDLIDALSTACSLRADETLLLAEVYKNRICRVLEDPSDSLALIRNEDKLVAYRFPRDEESSKLIVYMHQQSETHDNSGKLISGSKTFGAPFVAKVPDLPHESDIRQLFLKFLDPLKMPAEESFSNCDGEAGVSANDDFEMDDASDPANLDPDGSPNDETIVNSCLPADFTFYLAHQMGASEGTLIKPNEPLAISKSTERLDVIVMWPDTMVNKYDTCLMSSLPDVSKPNLHPRRLQESVDLYKCLEAFLKEEPLGPDDMWYCPRCKKPQQARKKLDLWRLPEILVIHLKRFSYSRFFKNKLETFVDFPIDDLDLSNYIAYQNSHLSNRYMLYAVSNHYGVMGAGHYTAYVLHGGKWYDFDDDRVIPVSEDVVKSSAAYVLFYKRIAEV >KGN56087 pep chromosome:ASM407v2:3:3836721:3838987:1 gene:Csa_3G073790 transcript:KGN56087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGIIKMGRWGLVMAVVAIMAMAVGEVAALTAAECQAERDMAVNACKSVLFGRNPSPACCQRARVSHTVCICPAVTPKLMTYVDPIRAIRLIESCGRKVPRHFKCGSFTTP >KGN59764 pep chromosome:ASM407v2:3:34101705:34104489:-1 gene:Csa_3G843830 transcript:KGN59764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLGFLKWVLLVGVLGSCVEGLGVNWGTMANHRLPPKTVVQMFKDNGIQKVKLFDADQSSMGALAGTGIEVMVAIPNDQLSAMGDYNRAKQWVQRNVTRYTFDGGVTIKYVAVGNEPFLSSYNGSFLNVTFPALLNIQNALNEAGHGDSIKATVPLNADVYNSPVNSPYPSAGRFRNDINQLMTDIVQFLNKNKAPFTVNIYPFLSLYGNDNFPFDYAFFDGASNPVVDIGTGIQYTNVFDANFDTLVSSLKAVGLGDMPILVGEVGWPTDGDKNGNVGNAYRFYNGLLPRLAANKGTPLRPGFIEVYLFSFLDENGKSIAPGNFERHWGIFGYDGQPKFGMDLSGQGQNKLLVGAQNVQYLPQKWCMFNPNAKDLSKLADNIDYACTFSDCTSLGYGSSCNNLDANGNASYAFNMYFQVQNQDELACNFQGLATVTSQNLSQGTCNFIIQLASSPPSHAASFVSLASMILLFIALLL >KGN58011 pep chromosome:ASM407v2:3:20389777:20389992:1 gene:Csa_3G432440 transcript:KGN58011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDDYPLYKERETISEEGGETHLPSLPKEIVEASTKDDKNKEAMKENDEEVVDDDYIFYFINHHVCKPMEN >KGN56319 pep chromosome:ASM407v2:3:6111609:6116093:-1 gene:Csa_3G115080 transcript:KGN56319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQCSCLSWSSNPKLAPSFPKSKLSSINIAEAIDFTGRIPLPSHVKAITSISNPFVKHCVKLRFSSSYRHFHGSVVVVGATPIREICKFQESLHGVTCTLECLLLLDEVEVPEGLVNLTVRIVRVSSAVMKKLSGLQSTESIDAIALMRIPPSFCSVDGNEKEVDFKRWFPSPHRILVLEGIQDPGNLGTLIRSALAFKWNTTTRCFITLLWEEMKAIMNQMDDWMNLERWKTSSESTDNGKKAQLFHFVQEEQCSLGEGLDTCKMKGRKGGIHDEKLEEQWMKKANLNRMDHTF >KGN58154 pep chromosome:ASM407v2:3:22080390:22080830:1 gene:Csa_3G563270 transcript:KGN58154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYQAQQQYGASASSSSSYSANDPMRRIERMAAENAVVMFSMTSCCMCHAVKRLFCGMGVKTTVYELDEDPRGKEIEKALMRLMGSSSAVPAVFIGGKLIGSMDRVMASHINGSLVPLLKSAGALWL >KGN59445 pep chromosome:ASM407v2:3:31935366:31939155:-1 gene:Csa_3G820510 transcript:KGN59445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEFASLTLLKYALLMNPLARSIEELLPPRISASYGCFILLRTALVASSVCVAFILPFFGLVMSLIGSLLSILVSVIVPTMCYLKIMGSDATKIQITSSIVVVGLGIVSAIMGTYSSLSKIAKSY >KGN55796 pep chromosome:ASM407v2:3:1472435:1477818:-1 gene:Csa_3G016410 transcript:KGN55796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKHNLPANRNRGPISLFAVIGLCLFFYILGAWQRSGFGKGDSIAMEITRLSNCNTVKDLNFETHHSIEIVESTEPKAKVFKPCDKKFTDYTPCQEQDRAMRFPRESMIYRERHCPAVDEKLHCLIPAPKGYMTPFPWPKGRDYVHYANVPYKSLTVEKANQHWVEFQGNVFKFPGGGTMFPQGADAYIDELASVIPIADGSIRTALDTGCGVASWGAYLFKRNVLAISFAPRDNHEAQIQFALERGVPAFIGVLGSIRLPFPSRSFDMAQCSRCLIPWTSNEGMYLMEVDRVLRPGGYWILSGPPINWKTYYQTWKRSKEDLNAEQKKIEQLAEQLCWEKKYEKGDIAIWKKKENDKSCKRKKAANLCEANDEDVWYQKMETCVTPFPDVTSDDEVAGGKLKKFPARLFAVPPRISSGLIPDVTVESFEEDNKIWKKHVTAYRRINNLIGSPRYRNVMDMNANLGGFAAAVHSKNSWVMNVVPTISKNTLGAIYERGLVGMYHDWCEGFSTYPRTYDFIHGNGVFDLYENNCNLEDILLEMDRILRPEGIVILRDGVDVMNKVKKLAAGMRWDVKLMDHEDGPLVPEKIMVAVKQYWVVSNPPHNRTSSSDE >KGN57066 pep chromosome:ASM407v2:3:10417950:10421915:1 gene:Csa_3G151430 transcript:KGN57066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEKGKTSAFTTHFHYISQSSKSPFSPSSSTSSSSSLFSSISLNFSSIFSPRFFFISSIFFIIPTLSSLSMSLKSIIQEMRSRSRRVVQDGSTPEVGDALAQSCWAYMPQELLREVLVRIESSENAWPPRKTVVACAGVCRSWRRITKEIVKTPEVSARLTFPISVKQPGPRDLLLHCFIKRNRSAQTYCLYLSLTSALADDGKFLLAARKCRRATCTDYIISLHADDMSKASGTFVGKLRSNFLGTKFTIFDGQPPHAGAKISKSRSSRLVGLKQVSPKVPAGNYPVAHISYELNVLGSRGPRRMHCVMDAIPASSIEPGGVAPIQTEFSPSRIDFLPSLRFSWSKSNHADNQPSGSSTNQKDGVLVLRNKAPRWHEQLQCWCLNFHGRVTIASVKNFQLVASPENGVAGPEHEKIILQFGKVGKDIFTMDYRYPISAFQAFAICLSSFDTKIACE >KGN58136 pep chromosome:ASM407v2:3:21802098:21808106:-1 gene:Csa_3G538670 transcript:KGN58136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRRLLSSSLKSLKSLPSSSTPASAPLGCISQAPRLAAASHLSPSSTLPLFARHYASDSADTGLKKTVEDVMPIATGHEREELEASLEGKDILDINHPIGPFGTKEAPAVIKSYYDERIVGCPGGEDEDEHDVIWFRLRKGVPHECPVCSQYFVLEVVGPGGSPDGYGDDDHHH >KGN58367 pep chromosome:ASM407v2:3:24512564:24513656:-1 gene:Csa_3G629740 transcript:KGN58367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIQFEKRSSLALLMVLVALINLCTFSNAWSYNVVNFGAKGDGRTDSTQAFQTVWSNACASTKPTTIYVPRGRYYLRSGTFNGPCKNNAIFIRIDGTLVAPSDFQVIGNSAAWVVFRHVDGVTISGGNLDGKGAGLWTCKNSSISTTCPSGATTLQFSNSKNVVVSALTSLNSQMFHIVIHGCQNVMMKGLKVLASGNSPNTDGIHVQMSTDVAILNSKIGTGDDCISIGPGSLTVKHNNAKKNRRNAQSIIFLV >KGN59733 pep chromosome:ASM407v2:3:33895814:33897286:-1 gene:Csa_3G842060 transcript:KGN59733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKIHNMSIDDLGIENDCRDIHDSWDQRSNVMVRVLAGRNAMERQQTRRIYKEIYGEDLVDRLGTIDVEPINRALSLWMLDSHERDAVFAREALEPGDTNFKALIEIFVGRKSSQIFLIRQSYQARYKKQLDQDIINIDPPHSYQKILVALAASHKAHNADISQHIAKCDARKLYETVKDNSGAIEEAFVLEMLTKRSIPQLKLTFSCYQHIFGHNFTKDLKFRNCGEFENALRTVIKCIRNPPKYFAKVLYKSIKGGESDGALKRVMLSRAEVDLDEIQRAFKGRYGVQLTDAICERTSCDDYRDFLVALATKKAQ >KGN60411 pep chromosome:ASM407v2:3:39161994:39165412:1 gene:Csa_3G903510 transcript:KGN60411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHLWLWLLGALAASYTFVFLILKNLNQWWFITRHGRRYNLPPGDMGWPLIGTLLSFLQAFRSGRPDLFIHQFAYKYGKIGMYKTYLFGSPSVIVTAPEVCRHVLMNDEQFGFGYSKATRILTGGKALNTVPRPEHRRLRRLIASLISGNEALSLYIGHVEGIVVTCLEEWASMKKPVEFLSEMKTVAFKVLLHIFIGANTAAFIDRMEKLYNDFHLGFMSSPVDLPGTTFSRALKARNELIKIFENVLKEKRENLKNKVAENRKKDMTDLLLEVKDEDGQGLDDACIIDLLIGFFFAGHETSAHSIMRAIMFLSENPETLQKAKAEQEQIVKARPADAQHKGLTMKEIKQMEYLYKVIDETLRKTSLAFTLSRETKVDVNLNGSLICISNS >KGN57747 pep chromosome:ASM407v2:3:16461621:16464042:1 gene:Csa_3G271400 transcript:KGN57747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPISVLLLKTSPRSSQFLTSPSTSPPYLALFTSGPLQSSRPLPSDQTTPATAPHLSYQTTSATSAHHFRSDQLRSPSVLVTCCLGLKIL >KGN57403 pep chromosome:ASM407v2:3:12795244:12796312:1 gene:Csa_3G183370 transcript:KGN57403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMTFQNGGLVHDENLSVHGRKPLGDLSNSRKPVINQSSKRQNTKNLTFIDEENGAGKTKNIPKGSEKVQKGTRKVLSDISNFGKNINHNTICEERFLHNHQDCIKAQNCLDKDQFLSIIGLDHSKELKIATTIKPDSPLKLKEMEEFAGFDESPKKLFLFGRRGFESSPPCKSPESPSPSLDHFSFWADSNSIDFTLMKTP >KGN60460 pep chromosome:ASM407v2:3:39577751:39583126:-1 gene:Csa_3G912920 transcript:KGN60460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKHGPCCHCGVTSTPLWRNGPPDKPVLCNACGSRWRTKGTLANYTPLHARADPDEYEDHRVSRMKSISINKNKEVKLLKRKLQQADGSIGWMIPDQGQGYHRVVDEDTSNRSSSGSAISNPESCAHFSSADASDLTGPAQSIVWESMVPSRKRTCVNRPKQSPVEKLTKDLYSILREQRSSYFSEASEDDLLFESEKPMVSVEIGHGSILIRHPSSIAREEESEASSISVDNKQCLVNEVYSPHSTTVRVCSENKGINFPTSRIGKMKNPYGSGVQQEQIKRNDSHHECLQILGNHNSPLCDVDINDIINFEEFARQLTNEEQQQLMKYLPQIDIAEFPETLKSMFDSPYFKENLTSFQQLLSEGVFDVSFLETKKCKNGSKGPFLSHANASVSSNFTNVKQLCESYNQNIPEAKTILKSPKRLVMKENKDPGENDGSCFSPRSLFALPPDGSSLMLESLHFVEESSDQDLLLDVRSNSSFPQAELLHPTSRSGGRQASTCSSSVHPHLVHH >KGN57798 pep chromosome:ASM407v2:3:17358468:17368992:1 gene:Csa_3G307670 transcript:KGN57798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METEGSLGSLLRWAADHGISDSVDQPTSHSCLGHSLCVSFFPDTGGRGLAAVRQLKKGELVLRAPKSILLTTQSLSLEDEKLDMALKRYPSLSSTQKLTFCLLYEISKGPSSWWFPYLKHLPQSYDILATFGEFEKQALQVDYAIWATEKAALKSRTDWRGVEGLMQESNIKSQLQTFKAWLWASATISSRTLYVPWDEAGCLCPVGDLFNYAAPEGESFNAVDVLSFPSHASLNDELELLEEQRDSQWALTDGGFEENASAYCFYARESYRKGEQVLLSYGTYTNLELLEYYGFLLQENPNDKVFIPIEHDIYGSSSWPKESLYIHQNGNPSFALLSALRLWATHPNKRRGVGHLAYAGSQLSVKNEILVMQWLSKNCHTVLNNLPTSIEEDNQLLCNIAKVQDLQVPRELQKTLLTYGGEFCAFLETNGVVNRDEAESHSSQKLKRSLDRWKLAVQWRLLYKKALVDCIGKKIRMV >KGN60323 pep chromosome:ASM407v2:3:38576412:38578459:1 gene:Csa_3G895690 transcript:KGN60323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHALYFKKANNNGKSWEVLYNNRFVETDSFNFEKHEKKRPCFLPTVEGDSVALLFAFLLNWVRFGKFSKDISNTNVFEHSGKLYSIAENHLPHQIDINTLHTLGSWDLNASAWNNRPFTSHPKKAPETGELVMMGVTSTKPFMEVGIISEDGKRMVHKVDVKLGRSCLSHEIGVTKRYNVILDYALTMDFDRLIRGGQEIIVSRFLKYDKKGYTRIGVMPRYGDSDSIKWFNVKPNCCMHLFNCFELNQHEVVVWGCRASDSVLPGPEKGLNKFDWFSQRFNDQKKTVVNEDEDEDNNGGSLLPVAYQWRLNLRTGEVKEKCLIHQSIFMDFPFINLRFTGLPNKFGYAQLLHSSASSNSGIFKHNPS >KGN58517 pep chromosome:ASM407v2:3:25825699:25828964:1 gene:Csa_3G654470 transcript:KGN58517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERNSSKKENEGESGSGTDGGGERIVVEDAFKNLEVPSWRNQITFRALFTSFILSIVFNFIVCKLNLTTGVIPSLNVAAGLLGFAILKGYTSILDQLGLMKQPFTRQENTVIQTCVVASSGIAFSSGTASYLLGMSAKIAAQAEEGNMPINIKKLSVGWMIGFLFVVSFVGLFSIVPLRKMMIIKYKLTYPSGTATAYLINSFHTPKGAKLAKKQVAVLFKSFCFSFVFALFQWFFAAADGCGFSSFPTFGLQAYAKRFYFDFSSTYVGVGMICPFMVNLSLLLGAIISWGIMWPLIELRKGDWYNASLSASSLHGIQGYRVFIAIAMMLGDGLYHVCFMLFQTFYSLSKQKSGSGNADSSLEITDYDARRRMEYFLKDQIPNWVALLGYVILAAISIIAVPLIFHQLKWYHVLVAYVIAPVLAFCNAYGCGLTDWSLASNYGKFAIIIFSSWVGLGNGGVIAGLASCGVMMSIVSTASDLMQDFKTGYLTLASPRSMFFSQVAGTAMGCVLSPLVFWFFFKAYNIGDPEGSYPAPYGLMYRGIALLGVEGVSSLPKNCLTLAICFFVGAIVINIIRDVLHKFETKFRIYRFIPSPMCMAIPFYLGAYFAIDMCVGSLILFIWQRKNKVKAGEFAPAVASGLICGESLWSVPAAILALAGVKAPLCMKFLSSSTNAKVDAFLEG >KGN56623 pep chromosome:ASM407v2:3:7856894:7857844:1 gene:Csa_3G126870 transcript:KGN56623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGKENEDKDKGLFSNMAAFAAGHHYPHHHGYPPPPYGGAAYPPPGGYPPPGYPPTGYPPYGGHPHTAYPPPGGYPPAGYPGPHHYPGYGHGYGHGHGVGGLLAGGAAAAAAAYGAHHLAHARPFGFGHGKFKHGKFKHGKFGKRWKHGGMRFKKWK >KGN56544 pep chromosome:ASM407v2:3:7387902:7388432:1 gene:Csa_3G123170 transcript:KGN56544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIISRTLEITVISAEDLHRHRKPIKKNSFASVKIDSQNPVSTQIDDKGGSYPLWNNRLALELPSNVSFMTIDVHSGNFSRHKIVGTVNVPVSDFLSGFLPESYLHFLSYRLRDGKGERNGIVNISVRVLVHDNYVASSSSSSQSQNVKIPAEKSNIGGGGGVVIGIPIRSGDRI >KGN58919 pep chromosome:ASM407v2:3:28332999:28335513:1 gene:Csa_3G736670 transcript:KGN58919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASADVQEIPPPSLDATAEQPPLFDGTTRLYTAYICPYAHRAWIIRNYKGLQHKIKLVPLDLRNRPDWYKEKVYPTNKVPSLEHNGKVIGESLDLLKYIDSNFEGPSLLPDDPGKREFAEELLSYSETFNGAIIHSFKGDTAKEAGAQFDYLENALGQFDGPFLVGEISQVDIAYIPFVERFHIFLLEALNIDITEGRPKLVAWIEEFNKMDAYKQTKVDPKLVVDIYTMVFLDSKYD >KGN56265 pep chromosome:ASM407v2:3:5494031:5496698:-1 gene:Csa_3G110620 transcript:KGN56265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVLHSWKTNKNAYKALIAAEYNGVKVDLSQDFTMGVTNKSPEYLKMNPIGKVPVLETPDGAIFESNAIARYVARLKDSGLFGSSSIDCGHVEQWIDFSTFEIDIPVSTKLRPRFGYAAFHPGVEETADAALKRSFGALNSYLASNTFLVGHSVTLADIILTCNLYLGFNYILTKSFTSEFPHVERYFWTLVNQPNFKKIMGEVKQTDAVPPVKTPEEAAAAAKAKAEPKKQEEKPAAPAEEEAPKPKAKNPLDLLPPSSMILDEWKCLYSNTKSNFREVAIKGFWDMYDPEGYSLWFCDYKYNDENTVSFVTLNKVGGFLQRMDIARNVLDECYDMELYEWKKVDISDEAQKERVSQMIEDQEPFEGEALLDAKCFK >KGN59308 pep chromosome:ASM407v2:3:30929209:30929957:1 gene:Csa_3G808370 transcript:KGN59308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSGRNAAEAMKESAANVGASAKAGMEKTKATAQEKVDKMRAHDPFEKDMARERKDERIQEAELDKQQARVEHAAERQTGTGPGTRTGLGTGTHTYDPTVGGR >KGN59203 pep chromosome:ASM407v2:3:30190685:30192215:1 gene:Csa_3G781040 transcript:KGN59203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFPPEGYRRGVGICLLNSSGKIFAASRPNGHEIWEMPQGGVNEGEDLKTAAKRELMEETGVVSAEIIAELPYWLSYDFSPEVSIRHIKHWGVRYKGQTHKCFKELINVYGLYYFPFKLPRSIDQS >KGN55830 pep chromosome:ASM407v2:3:1781904:1783034:-1 gene:Csa_3G017240 transcript:KGN55830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSSSASIVSDNRFVCSSSSLSLSSIFRSSSVSSGLESEPYLPTFSPFSHAAEKKRRHLKLAKIFIHSIPFVILFCAIVLWLFSDPGTEITRVKDARRSRSTI >KGN60210 pep chromosome:ASM407v2:3:37695950:37700577:1 gene:Csa_3G889670 transcript:KGN60210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGGCSIVWFRRDLRIEDNPALTAAVRAGAVVVVFIWAPEEEGHYYPGRVSRWWLKQSLAHLDSSLRSLGTYLLTKRSTNTISALLDVFKATGASHLFFNHLYDPLSLIRDHRVKEVLSAQGIRVRSYNADLLYEPWDVKDANGNPFTTFAGFWERCLSMPCDPEAPLLPPKRIISGDASRCPCDTLVFEDESEKGSNALLARAWSPGWSNADKALTTFINGPLLEYSKNRRKADSATTSFLSPHLHFGEVSVRKVFHLVRMKQVLWANEGNKAGEESVNLFLKSIGLREYSRYMSFNHPYSHERPLLGHLKFFPWVVDEGYFKAWRQGRTGYPLVDAGMRELWATGWLHDRIRVVVSSFFVKVLQLPWRWGMKYFWDTLLDADLESDALGWQYISGTLPDSREFDRIDNPQLEGYKFDPNGEYVRRWLPELSRLPTEWIHHPWNAPESVLQAAGVELGSNYPLPIVGLDAAKARLEEALSEMWQQEAASRAAIENGTEEGLGDSSESIPIAFPQDIAMDEEDIEPARMNAHTVRCYEDQMVPSMTSSVRLEDESSLNIQSTAEDGRAEVPTNANLPQEPARDAVNPRAMPTAPTQTRRPYTAGIALRTSVEDSTAESSSSSDVRRERDGGVVPVWSPPSSSYTEQFVVDENGIGTSSSFLQGHQQTHQIINWRRLSQTG >KGN56435 pep chromosome:ASM407v2:3:6826381:6830851:1 gene:Csa_3G119680 transcript:KGN56435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKRDRQAVSNRRKNQVVSSDDHQNIVADSSSDRRLITIFVIFFIISPAISALVYLKYTSSGKFSGASVFERGLVKTDISYQEILAEHSNVAGNISRNYDYPVLAYITPWNSRGYDMAKKFNSKFTHLSPVWYDLKSHGSHLALEGRHNVDEEWISELRLTGDALILPRVAVEAPPTDLLRKKKLKDKAIDLIVTECKEMGYDGIVLESWSRWVAYGILRDPDMRNLALQFIKQLGNALHSELESTRSKQPLQLVYVIGPPHSEVLEEHEFGPKDMESLTGAVDGFSLMTYDYSGAHNPGPNAPVNWIRSTLRLLLGTKDISLVQHKAIKIFLGINFYGYDFSMSGGGGAITGRDYLSLLEKYKPVVQWEGISSEHFFLYTDYNRNKHAVFFPSLKSIFIRLEVAQSFGTGISIWEIGQGLDYFFDLL >KGN58304 pep chromosome:ASM407v2:3:23687801:23693273:1 gene:Csa_3G610800 transcript:KGN58304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTISKDDNMVLSSEDSSSPDDSEIELGLGLCLGLRDSPPNIHKTPIGGIQFRRILTAEDFPSSISSSSSSSSTSSVCSSSSLRGVNLTDASNTNSDSAPTVNGGRSSQVVGWPPIRASRISTLVNQAKPHSVEEFKVDTGKDKNKHQKIGVLKGIISGKDQAKEESRNFRNSVYVKVNMDGVLIGRKVNLSAHSSYETLALTVENMFLDPTALVNSTGSSIKEHDGVRPSRLLNGHSGYMLTYEDREGDWMLVGDVPWGMFTHSVKRLRIMRATELNQIGR >KGN57751 pep chromosome:ASM407v2:3:16580464:16582069:-1 gene:Csa_3G278920 transcript:KGN57751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMSCNGCRVLRKGCSENCSIRPCLQWIKSPESQANATVFLAKFYGRAGLMNLINAGPDHLRPAIFRSLLYEACGRIVNPIYGSVGLLWSGSWQLCQAAVEAVLKGAPITPINSEAATTGDGPPLKAYDIRHVSKDENSVASNDGGANRPVKTRCRFKRSSVKAKASKGIASTVVDESTRPVCSTELNRSSSGESSLSHQSDSRHLENESKETESMISVETAEVSPRPAQSVLFRSESTGGREGVSVPIPPSELALELTLGMEPVLRAQHVIPMKKRKIEAEVGLRPKSETCNTVLGLDFAA >KGN59102 pep chromosome:ASM407v2:3:29612768:29619418:1 gene:Csa_3G769670 transcript:KGN59102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIKWQENFEISKALIIMREKVLKLWASLLPNVKVKRLNGWDKRRLIKEIFRQENLDIVILMETNGGDFDFQFIGGLWKSRRVDWESLNSIGKSGVIKGACSISISFRWRDGENIWVTGVYCPPRIQGRDQFLNEMDYLFGLCGPIWEEELDLLENSTASLREAIGIDEEFLSVNWVNLFAEWWNTSLQGHQEGFRFMGKLRNLQKKLELWNKEVVGDIRIQKREIPEKIKDWRQRVKVEWSKDGDGNTLLFHRLVSSQNSRNRIGPLKNELGENTRDDKSIKEQVTSFFFWLYTPHVHSRPFVQIVTLEDVVEEIVGEIFDENDSKEEIQKKTGYIVMRADGVYDVDANTAIDQLSEDLNIKMPEGHQYETVSGFVCEAFGYIPRTGESVKVVLEKEDDEEESNPENKNQKERHLIFNIEILAGNARKVSAVRFERVNDDNGEVAHLVPKVMKKKWSSNDESGSVENDNLLSSEGVDDSLSREHQNDDHSSDRN >KGN55740 pep chromosome:ASM407v2:3:1052875:1056080:-1 gene:Csa_3G009460 transcript:KGN55740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNNKITDPKNPSEETPTVASTFSVFDTLFGSAGVENPPVSIFSTDNPFRRKASDSVPPPPAEISRKKGKDKRVGIDLDSTEGVKTSSEIKKSKKKEKKKSLDRELDNVDDDGERGFESQGGLKDSSKKKGTVLGSETSEKSHGFEGSKLGENVKLMKERKKRKRDELEREYEAKKYGVSDVAEDEVEGSGGNVVGKKRKALDDPSEMLVTKEGFDDESKLLRTVFVGNLPLKVKKKALAKEFSQFGEIDSVRIRSVPIDIANSKKPRKGAIISKKLNEAADSSHAYVVFKTEESAQASLSHNMAVFAGNHIRVDRACPPHKKLKVGNGPIYDPKRTVFVGNLPFDVKDEELYQLFCGIDNMGSSVEAVRVIRDPKVNVGKGFAYVFFKTREAANSVVNNQLLELRGRTLRLFHTKTNPTSTPFKKRNRPPTEADRTPAKKKYVDSGLGTPDSSKRVTPKATNVSYQGLRASKSGSQKKIHTKGSSTKWPKSHSNSKEKPIDHKKRRGPEKTSERKGKRPAVANRKAVAMATKNGIATPKQTGLKRKSDSRSPGSSHRNKRVKRFR >KGN60068 pep chromosome:ASM407v2:3:36640197:36641241:-1 gene:Csa_3G875430 transcript:KGN60068 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastocyanin A, chloroplast MAAVTSAAVAIPSFTGLKSTSSSKPTSLIRLPSPASPKLCIKASLKDVGVAVAATAASALLASNAMAIEILLGGDDGSLAFVPNNFTVASGEKITFKNNAGFPHNVVFDEDEIPSGVDVGKISMDEENLLNAPGEVYEVQLTEKGSYSFYCSPHQGAGMVGKVTVN >KGN56151 pep chromosome:ASM407v2:3:4333837:4335043:1 gene:Csa_3G078820 transcript:KGN56151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTKPLVSILLLSLFLFAVAASDHQFDDDNQSQRPWLQRCRQQIRSGERLRHCERLLTQPRRFRLLLAAEEEEDAVEHLEECCQQLKGMDDACRCEGLRQIVQRRRQQGQLQGVDVGQMLERATNLPSVCRLSRRRCDLRSTTPL >KGN57958 pep chromosome:ASM407v2:3:19660007:19660359:-1 gene:Csa_3G409050 transcript:KGN57958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLIQPHLQLSDSKDAQTTAILIQKLDKELEISNSEKMIMQCKSPTPEEKTEKKEKILVPKNESMKRSKVLKSLAKVNLECKTLI >KGN57503 pep chromosome:ASM407v2:3:13772402:13772778:1 gene:Csa_3G199650 transcript:KGN57503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEISIKSPTNFSRADVGNGIAKNGLISNFTVRLGCEISLNLCRFASFYQAMDQDSEKRTGKNTNPSIRKRLGTGNFLRKRPFAAEGEAFRF >KGN59319 pep chromosome:ASM407v2:3:31008085:31008891:1 gene:Csa_3G809950 transcript:KGN59319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEIVDYTLIASGVSVMVGYHIWLLIRILKYPNKTVIGINAINRRYWVRAMMEDASKNGVLAVQTLRNNIMASTLLASTAIMLCSLIAVLMTSGGRSESPLVVLNERSQFSFSIKFFAILLCFLVAFLFNVQSIRYYSHASILINTPFKKIRVDGHHQRLTTEYVAATVNRGSYFWSLGLRAFYFSFPLFLWIFGPIPMFSSSFLLVFMLYFLDATFELCWTEGNLEYHPQRDEEEEIGK >KGN59785 pep chromosome:ASM407v2:3:34294043:34295058:1 gene:Csa_3G846010 transcript:KGN59785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIKVLSVQNMVSWSCFHLMKNAIPPPPPSSDSTNIKLIHSDGVVRIYHRPIYVSEVLLEFPKHLVCRSDSFYIGQKIPPLSDQDLLQLGHKYFLLPTSFFHSVLSFVTIASFFSSSNNNNKNRFINNAAASHCPFDLQRTPSGCLKIRVSDDFISQLLEQGNNPKPLPPQQSPSLPLGKICTTPQLAKDYTQLVRTRKWKPKLETIKETQKKRISSFGLKKANPFPSTPIRSPYPLHHLRSIHFAYKHKIRIKARS >KGN57823 pep chromosome:ASM407v2:3:17798074:17799165:-1 gene:Csa_3G331330 transcript:KGN57823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQIAKISDQVQLKCCGKKFYDFFKNKMDHLPRVFPQYFESYKLVEGNSLTHGSVSFWKYDFGFGSSIEVKMKVLVDEPNKTIIYECLEGDLFKDFDIFNVKIKVNDGGNNGNSSVNWCLEYVKANENVDPPNNYLQFGLKLCKNVDAFLGNN >KGN55932 pep chromosome:ASM407v2:3:2650217:2653477:1 gene:Csa_3G037070 transcript:KGN55932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYYETDNGRRGEVVAEDIEVGIRCDDDGGDHNGDYVRLRQSPCDCQHEVSSEGGDSSSQPCSPARSLWLWVRLVVLFVFLVSLAVVFFKWVGPFFMNKEIIPIINWEAETFSTPVLAVFVFASVALFPSLLLPSSPSMWLAGMTFGYGFGFLLIISAVTIGVSLPYFIGSLFYRKIQGWLEKYPKRASVLRLAGEGNWTHQFRAVALIRISPFPYIIYNYCAVATNVRYGPYILGSLVGMVPEIFVTIYTGILIRTLADASQNQQFLSAPQIVFTVIGFCVTAATTVFFTVYAKRKLKELQIDDDQLLQ >KGN58535 pep chromosome:ASM407v2:3:26010955:26014382:1 gene:Csa_3G664590 transcript:KGN58535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSVSVAPASMIRDHGGSTIGVDRLPDEMNDMKIRDDKTISYMAERAVGHGSFGVVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRLLDHPNVVSLKHCFFSTTEKDELYLNLVLEYVPETVHRVIKHYNKMNQRMPLIYVKLYFYQICRALSYIHNSIGVCHRDIKPQNLLVNPHTHQLKLCDFGSAKVLVRGEPNIAYICSRYYRAPELIFGATEYTTAIDIWSAGCVLAELLLGQPLFPGESGVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKIFHKRMPPEAVDLVSRLLQYSPNLRSTALEALIHPFFDELRDPNTRLPNGRFLPPLFNFKAHELKGVPPEMLVRLIPEHARKQCNFLGS >KGN59855 pep chromosome:ASM407v2:3:34987059:34991024:1 gene:Csa_3G850600 transcript:KGN59855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDSLVLPAVFSSAIRKLIAPKSRSLLVEVKLRRFSYVELVKMTNNFQRRIGKGGFGNIYHGELDGAPVAVKLYRNENPSVAAQFESEVNLLNKVYHKNLIKVFGYCDESTKSALVFEFMANGDLRKNLTGSGCSSKLTWERRLRIAIDMAKALDYLHDGCEPPIIHRNFNSSNILLSKNFEVRLSDFVLAVNFNPSHEGESHMSNVTITGTLGYMDPQYLTTGMLSTWADVYGFGAVLMEIIAGRPAYQYGEDGVLTQWVSSMFGNGEIGRIMDPKLEGDFDVNSVMEALNIAFACLSCNSNDRPTMGEVVTKLKLCLQMETARLGTLIEDY >KGN60366 pep chromosome:ASM407v2:3:38883987:38885462:-1 gene:Csa_3G901120 transcript:KGN60366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIGRRFCIGYALLPKKRRSFIRDSLLRLAESKGIDFVRIDMDKPLVDQGAFDCVLHKLYTADWRKQLENFRTINPNVVILDSLDAIERLHNRISMLQVVSELKIENNHDESFGIPEQIVIYDKEDLSDRRAWETLKFPVIAKPVVADGSAKSHKMALVFNHDGLNKLKPPLVLQEFVNHGGVIFKVYVAGNHVKCVKRKSLPDISEDTLESVEDLQSFSQVSNLTNHERVDEKYYQMMQLDDTEMPPLSFVTDIAKGLRHALKLNLFNFDMMRDSRNKNRYLIVDINYFPGFAKMPGYEKIVTDFLSDIMRRNDRELVKAWFEHDASDPIAFDKRQVHNCENDSRKVVMLTCIGNGDGGG >KGN55716 pep chromosome:ASM407v2:3:868508:874434:-1 gene:Csa_3G006760 transcript:KGN55716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFGSQSRKKAFNRKLYRYRMIDLFLQESTFNDEQDVSSEKLRISLLSELESVLWKLLTCGGRSEVRLWLSNTIASVTSISPQHQRDLFMTLLRRKPLKWAFASQLLQMLFEKRSREAGILIAKRSYIMEKFFEGNPRRISQWFSNFATNGASDHGKGAKALAQFAFVNRDICWEELEWKGKHGQSPAVVATKPHYFLDLDVHQTVKNFIQNVPEFWSSNEFAESLKDGEILFLDTKFFVKYFVDLMLKDDPKDVWEVINEFLTHESFSSLCQHLLVTLEEADFCNFLKMLCKLLRPRIETKDFGNSSFMFEVILTKYGDSESIDQILLLNAVINQGRQLLRLLRDEDGEEQLDEIKAIVHKISSISSNCHCLFPLLKECDGRKKTIEMIKWLGLQSWVLHYRMSEECQTPELWESLFVDNGIGFRKSNEYLLLDHSCSSEDDGFELYNRARAQSKKRKKGGKGRKRRKGNFDSQDSCDDELLDFDIKNDRMDLKLNTGSWLLSTDDYTVPWNAKDLPEHLSKYCMASWMKWLFAKRE >KGN56371 pep chromosome:ASM407v2:3:6490078:6496207:1 gene:Csa_3G118060 transcript:KGN56371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSASKKERKLQAIDSGSEDFEDIDDFELPVEEEEYSSDEDDNSENEEEEVEDEEDEDEDEEQLEEEDGGEEDRKDAEMEELEKEYMDLRHQEQDILKNLKQHKDEDFLKGQAVKNQRALWDKSLELRFLLQKAFSNSNRLPKEPIKSSFCELDNGVEVAYSDLVTSSKNTLNSLLELQEALLENNKHIVQATDGTTKLLESFRTSNAHNEGDEDWSRVAQMHQRIGAFRDKSIDKWHRKTQVTTGAAAIKSKLQAFNQNISEQVAAYMRDPSRMLNQMQLGRSAIHVFGTVLDESESKEQETQVEGGDPELFDDSEFYQQLLKEFFETIDPNSSETAFYALKKLQTKKRKIVDRRASKSRKIRYTVHEKIVNFMTPMPVDLHQATPKLVNNIFGLKSHTSTTTTAV >KGN56752 pep chromosome:ASM407v2:3:8612634:8614315:-1 gene:Csa_3G132540 transcript:KGN56752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNERPPIRVFGQRSISSSFLSRSSNPFKSSNDDSQSKLSKKNAQLSLSDFLARKLPETSVPQRTVKGKSTPFSSLQGPRNSNNAPIAIDCGTDSQTESAISKVLFEQFKRSEPNQCECLVPGSASERDIFNTDGILDSRKRKKSNEGIISTTPCESQTRKMNVVVIGGDPKPDQKGTEPIPIARNKKPKPLYNHYASGSGWWDSNMEGVDSEEVGLGEVWEGVGSTTLGGIEWH >KGN59706 pep chromosome:ASM407v2:3:33690291:33713958:1 gene:Csa_3G839840 transcript:KGN59706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLAPVLLLLLLMIRGSYGATPHFSSGPHISDVNILLPPRMTNPVEYRLQGTDGCFKWSWDHHDILSVLPEYNVSSHCSTSALLRSIAPYSGRKETAVYAADVHTGNVIRCKVFIDNFSRIQIFHNSIKLDLDGLATLRVRAFDSEENVFSSLVGLQFMWHLIPETEGSSHHLAHLPLNDSPLSDCGGLCGDLNIQIKLEDSGVFSDLFVVRGIEIGHEIVSVHLLEPDVKHMADKIVLTVAEAMSLEPPSPVFVLVGATVRYSLKVIRANIPQVVTLPSPHHRWSISNSSIAHVVSDLGLTSALRFGVTAVTVEDTRVVGHIQMSALNVVMPESLHLYISPLPIVDEPVEGTERSISFANWYIVSGRQYLIQIKVFSRGPDAQEIYITESDDIQLHDNQSQCLRTYLLTNDLVPKHKWRTSRILQAISKGQGMLTASLSYYGSNYETKEVLKIAQEVVICEQVRFSLDNRSGVSRNIFLPWTPSVYQEVLLEATGGCAKTSSDYKWFSSDISVVTVSVSGVVQAKKSGKATVKVLSIFDSSNFDEVVIEVALPGSMMILPTFPVETVVGSYLQAAVSMQSSNGDYFYQCDAFNSHVKWKVESEYFIIQNNTRKMPVLDVLEKVELSGSSYGPPCSWASVYASGSGRTVLQATLYKEYQHFDFSLHGPILLKASLQIAAYPPLFVGHIGDGSQFGGFWVDPAPAEVDSLESLDKLHLVPGTCSNVMLRGGPHHWGQGVEFIESVEILEEEPDFGKGGIFVHQVSENYGSYQIQCQRLGTYTLRFERGNLVGEGHPTPVIAVVLVSVTCGLPSSIVLIADEPVNKIDIIRTAIRADRASMRLRTAPVTVANGRTIRMAAVGVSDLGEPFANSSSLHLRWELNRCESLAYWDEMYGLKMSKYSWERFLILQNESGECIVRATVTGFSDAVRDDYSAHWLDNSDNLLTDATRLQLVSTLRVHPEFTLLFFNPDLKANMLITGGSCFLDAVVNDSRIVEVIPPTPGMQCLELALSPKGLGTALVTVYDIGLNPPLSSSAVVHVADVDWIKISSQEEISLLEESSQVVDLAAGISDGSTFDSSQFAYMHILVHIEDQIVELVDTDDSRITGHGVVKASGFKIKAVSLGTTTLYVSILQQSGREILSEPITIEVYALPRVHPHSIFLLPGASYTLTVEGGPTVGTYVEFASLDNAIVNVHKSSGLLLAVSSGKSNISATFFRYGGSMICRTYGSIRVGIPSNVLLNVQNEQLGVGNEMPIYPLFPEGDAFSFYQLCKGYNWTIEDEKVLSFSLSERFSGRDYKPTSTVLEDAQSMSYMNEEIGFINMVYGRSAGITNIAVSFLCEFTSGSKVETKIFSSSASLSVIPNLPLALGVPITWILPPFYTSSKALPSSMDSYGHWESQSRKRTITYTVLRSCDKKDEDAWKNAIYINEERIKTSESNNIACIQAKDRSSGRMEIAACVRVTEVTQIRLTNQKFPFHVINLAVDTELHIPISYHDSLGNIFHEAHDVVLSYVETNYPDIVSVNYSSEDNGYIYLKARKHGRALVQVSIDKNPEKSDYILISVGALIHPQDPVIHVGSHLNFSIKDFKAQFSGRWISTNESVLSVDMLSGIAEAVGPGSTEVLFEGSNLNLRTTVIVQTGHTLSVVAPVEFLTNVPFPAKGYNFSVNFSGQSGALPNDKRVLHDCRVDPPFVGYAKPWLDLDYDNSYCLFFPYSPEHLARSATKSKAMRPDISVTIYASSRESSQIFGSASALFVGGFSVMEMDKSATQLILTPDSNKTAITILGNTDVELHWHERDLVIVGPISKEESRVGGRAEYEVKAMGTKRFRDKILITLAANGQRTEIDVVYDPGEKEASETVFDTTTIWATVLGSLSLLILTITLFICYLDKPNRAQPSQPSWPLATTHTPTVAAPRTPDRSSPVISNEQSPRTPQPFVDYVRQTIDETPYYKREGRRRFNVQNTF >KGN56347 pep chromosome:ASM407v2:3:6325388:6327887:1 gene:Csa_3G116840 transcript:KGN56347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGDSKAEDWCFVCKDGGDLILCNNGLLFLFSTAQRCTISNVSVSTPITIAQPPLLFNKSGLAIAILVLPVKKPPSFTASVAQPLSATTVSNLSSLSTCDPTKAYVQTAGLSFVLLRNFHSPRDTHECLFKEYWDIVKQEEGLSRHVLVAVEQTRSSKKATRQMKGYIPTPTRLPSTLQHEHTDSKSKSKSKPKPNNNNTHKFLGWGSKPLIEFLTSFGVDSTKELSPSEVSSIILKYVQQNDLIHPINNKKVICDRPLHLIFKKNTVSMKHIDLLLGPHLFHDNNENHGIGEKRSSFEPDEPQINGDAGLNKYYKTLNCSQDKPTFASVVPHNINLVYLRRSLVEDLLKQPESFEDKVVGSFVKIIDTNSSQFQSKVKISQQLLQVTAVSKMSSSDGRSIVLHVWGLPNIPISMLSDSDVTEEDCRDLKEKMEKGLLQRPSVEEIENKARILHQDIRKNWMEKELVNLQNLIDRANEKGRRSEYPFKH >KGN57016 pep chromosome:ASM407v2:3:10088257:10089893:-1 gene:Csa_3G149960 transcript:KGN57016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNNNNNTIPVTVSATFPQPTTMDHNLVRPVLGEMVGSFLLILCVSGVTATGQLTGSQMGILDYAVAAGLTVGVLTFCFAPISGAHFNPAITLASAISGHFPWSRVMAYVVAQTTGCVMATYAAMFVFGIKPQQLITRPLYNYSSPFSAFFLELLLTFILMFLLSSLSHQSQLVRQFSGFVIGMAIALAVFIAGPISGASMNPARSLGPAIVSWAFDDIWIYITAPAIGAITGAFISDFLRLSPPPPQPSNAKYFDVSSSANAYLIT >KGN57631 pep chromosome:ASM407v2:3:15150703:15154533:1 gene:Csa_3G234010 transcript:KGN57631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSLLVSEVMVLNLLKPSLSFLVWILLSSLLSFSLGLKQSNQICDSKDLLALRGFVNSLANNSVLSVWLNESNCCNWDGVDCGYDGNSSITNRVTKLELPNLNLKGKVSQSLGGLDQLIWLNLSYNQLEGVLPTEFSSLKQLQVLDLSYNKLSGPVTNATSGLISVRVLNISSNLFVGDFPQLVGFQNLVAFNISNNSFTGQLSSQICNSSNMIQFVDISLNQISGNLRGVDSCSKSLKHFRADSNLLTGHLPGSLYSLSSMEYFSIPGNSFFGQLSMELSKLSRLKSFIVFGNKFSGELPNVFGNFSELEELVAHSNKFSGLLPSSLSLCSKLRVFDLRNNSLTGTVDLNFSTLPDLQMLDLASNHFSGPLPNSLSDCHELKTLSLARNKLTGQIPRDYAKLSSLSFLSLSNNSIIDLSGALSTLQNCKNLTVLILTKNFRNEEIPQSETVFNNLMLLAFGNCGLKGQIPGWLVGCKKLSILDLSWNHLNGSIPAWIGQLENLFYLDLSNNSLTGEIPKSLTQMKALISKNGSLSGSTSSAGIPLFVKRNQSATGLQYNQASSFPPSIYLSYNRINGTIFPEIGRLKWLHVLDLSRNNITGFIPGTISEMENLETLDLSNNDLYGQIPPSLNKLTFLSKFSVANNHLVGPIPSGGQFLSFPSSSFDGNIGLCGEIDNPCHSGDGLETKPETNKFSKRRVNFILCLTVGAAAAILLLLTVVLLKISRKDVGDRRNNRFDEEFDRADRLSGALGSSKLVLFQNSECKDLTVAELLKATCNFNQANIIGCGGFGLVYKASLPNGSKAAVKRLTGDCGQMEREFQAEVEALSRAQHKNLVSLQGYCKHGNDRLLIYSYMENGSLDYWLHEVVDNDSILKWETRLKIAQGAAHGLAYLHKECQPNIIHRDVKSSNILLDDRFEAHLADFGLSRLLRPYDTHVTTDLVGTLGYIPPEYSQTLTATCRGDVYSFGVVLLELLTGRRPVEVCKGKACRDLVSWVIQKKSEKREEEIIDPALWNTNSKKQILEVLGITCKCIEQDPRKRPSIEEVSSWLDGVTS >KGN57612 pep chromosome:ASM407v2:3:14970093:14987464:-1 gene:Csa_3G229380 transcript:KGN57612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEPINFNSDFDLPGHSSVEFLPPPRDDNRMSSGGCMPFVSNKKRVADPDIDNPTQSLNGGNKRLRSEGPLDYDKCMDNVQQWLDKARMMYAEKEQVHQQATMNQQYLLHELQQRETFIEHLRKTKYEEQQKMQSDIYRLERELYVMGNLLDGYRKALRETNKAFADYRTQCSQSDEPLYKDVAGSGGLVLSTMELERIRLKHAEEDRLSRLIIEKFKALEDKFVDIFHAHLQQDAQNKVAAFALCHLFPDLPVHVALIEPYASLVMQWKAGESISKVDDDEKDRRASFVDSLLDASNIGSASKTLVGKVERLNIEDDKKPRALELNSHSFGESK >KGN57018 pep chromosome:ASM407v2:3:10091975:10093502:-1 gene:Csa_3G149980 transcript:KGN57018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTSFSFSILFLLFSISFAATSFRPKSLLLPVTKHPSGQYITQIRQRTPLVPVKLTVDLGGQFMWVDCDRGYVSSSYKPVRCRSAQCSLSKSTSCGDCFSPPRPGCNNNTCGHFPGNTIIQLSTSGEVTSDVLSVSSTNGFNPTRAVSIPNFLFVCGPTFLLEGLAGGVSGMAGFGRTGISLPSQFSAAFSFNRKFAVCLSGSTRSPGVIFSGNGPYHFLQNVDVTKSLTYTPLFINPVSTAGVSTSGEKSSEYFIGVKSIVFNSKTVPINTTLLKIDSNGNGGTKISTVHPYTVLESSIYNALVKTITRELRNIPRVAAVAPFGVCYKSKSFGSTRLGPGMPSIDLILQNKKVIWRIFGANSMVQVNEEVLCLGFVDGGVEARTAIVIGAYQMEDNLLEFDLATSRLGFSSTLLGRMTTCANFNFTSTA >KGN56637 pep chromosome:ASM407v2:3:7938594:7938830:1 gene:Csa_3G127000 transcript:KGN56637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIKDVYEHINRRWISAGPRNKHILNAFTTQRIEDDASKGGRGEKRSRVGANKLPGVSAHLLVHLLTLQLQIKPFVSA >KGN59222 pep chromosome:ASM407v2:3:30341201:30345502:1 gene:Csa_3G782690 transcript:KGN59222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLRMIDIAVNFTDGMFKGIYHGKQYHAADLAAVLSRAWSAGVQRIIVTGGSLEESREALKIAETDGRLFCTVGVHPTRCKEFEESGDPETYFQALLSLTKEGIEKGKVVAVGECGLDYDRLHFCPADIQKKYFEKQFELAHTTKLPMFLHMRAAAEDFCDIVERNKQRFCAGVVHSFTDSAEDRDKLLSFSNLYIGINGCSLKTAENLDVVRGIPIERLMIETDSPYCEIKNTHAGIKLVKSVWASKKKEKHDEQCIVKGRNEPCLVRQVLEVLAGCKGIMDINQLSETLYHNTCRVFFPQDLDSAADALLAGSRDLEEKI >KGN59802 pep chromosome:ASM407v2:3:34522262:34526540:-1 gene:Csa_3G848120 transcript:KGN59802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLAPEGSQFDARQYDSKMNELLSADGQDFFTSYDEVYDSFDSMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYGLVQCQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILQAGVHVVVGTPGRVFDMLRRQSLRPDYIRMFVLDEADEMLSRGFKDQIYDIFQLLPSKIQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFYVNVDKEDWKLETLCDLYETLAITQSVIFVNTRRKVDWLTDKMRSRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTTEDERMLFDIQKFYNVVIEELPANVADLL >KGN58736 pep chromosome:ASM407v2:3:27437953:27440647:-1 gene:Csa_3G730980 transcript:KGN58736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTKIGSLDTCKPQNNVVCCPSNGSVCTIQNSVPSTVVSSSDATLGRHLARRLVQIGVTDVFTVPGDFNLTLLDHLIAEPALNNIGCCNELNAGYAADGYARCRGVGACVVTFTVGGLSVLNAIAGAYSENLPLICIVGGPNSNDYGTNRILHHTIGLPDFSQELRCFQTVTCFQAVVNNLEDAHELIDTAISTALKESKPVYISISCNLPGIPHPTFSRDPVPFSLSPKVSNPKGLEAAVEAAAHFLNKAVKPVLVGGPKMRVAKACHAFVELADACGYALAVMPSAKGLVPEHHPHFIGTYWGAVSTAFCAEIVESADAYLFTGPIFNDYSSVGYSLLLKREKAIIVQPDRVTIGNGPTFGCVLMKDFLQALSKRVNNNTTAYENYHRIFVPEGCPLKAEPKEPLRVNILFQHIQKMLSRQTAVIAETGDSWFNCQKLKLPKGCGYEFQMQYGSIGWSVGATLGYAQAVPEKRVIACIGDGSFQVTAQDISTMIRCGQKTIIFLINNGGYTIEVEIHDGPYNVIKNWNYTALVDAIHNGEGKCWTTKVQCEEELVEAIETATDAKKDCLCFIEVIAHKDDTSKELLEWGSRVSAANSRPPNPQ >KGN57597 pep chromosome:ASM407v2:3:14752817:14753532:1 gene:Csa_3G222790 transcript:KGN57597 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferredoxin-2 MTSSPALSSVTLNTSFLQKQPTTRIRLVLNFGQDLFKLKGGSSRGGRVIAMAEYNVKLITPTGETEFKCPDDEYILDRAEQMGFDLPYSCRAGSCSSCAGKVVSGKVDQSDGSYLEDDQMDEGWVLTCVAYPQSDVVIETHKEEYLFS >KGN57983 pep chromosome:ASM407v2:3:19917445:19917810:-1 gene:Csa_3G418740 transcript:KGN57983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCSFARLNRVINLHQNKEEHQLKTMDQVRDHEGMENEEISTLVPMKRKVASTSTQPAKKLKDDGTKDSYSGKFPHCRKVTQWPPHEDSLLPPHNPKEQSLSPPYKDKTPSLLKNIKLLPS >KGN59525 pep chromosome:ASM407v2:3:32504286:32506090:-1 gene:Csa_3G824220 transcript:KGN59525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLRTRVGGSGLLVAVAAVVILLAAVPEVSATRWTVGGNMGWNTNVNYTTWAQGKHFYYDDWLFFVYDRNQMNVLEVNKTDYENCISDHPLHNFTTGAGRDVVHLNVTRPYYFISGKGFCFGGMKLAIHVEHLPPPPSSSPLNEKSSALRSTNTGHTPFVLTAVFAIAAVLEVFTRVW >KGN59143 pep chromosome:ASM407v2:3:29849592:29850294:-1 gene:Csa_3G777020 transcript:KGN59143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQGRDGEGFPAVDVERELNPGDNKHVEVDPVSSQVATSLEAKEHEKRKAAEDERKRAKKKKEAMQTLKTTFIVSGIIAAVAVAAFAIVKKLREN >KGN55982 pep chromosome:ASM407v2:3:3058135:3061494:1 gene:Csa_3G044470 transcript:KGN55982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDGVESESTPAISTCLTIKIAPTSSKPPGTSSDLALPELKSSIESSPYNSPSLLSPPSSAFVSALQSPYISPRAVVLKPEEKPIPAESTAALTCHSPLVSQSEDIPSSSYTPPSDQYEYSDDPSDSKVQFVACVPVPDSAPPRISFSFPVPRTSFAKCGGPLSPVSTSKLRSCDVYIGFHGQANGLIRFCKWLKSELELQGIACFIADRSKYSDNQSHEIADRVISSVTFGVVVLTSSSFHNHFTLEEVRFFAQKKNLIPFFFDMESSEISSFLNYNSMDKEYKETVQGLLRFHEYKLEANEGNWRSCIAKAAGILRGKLGRMSTESDVERYEELPFPRNRCFLGREKEIMEMEATLFGNRSYHKQDGTVSTLIVEGNSSQQSEGLADEESEPVSVRGSRFINLEIGRSDNPTLETWIEPVKGRNSFKRSKHKEMVKSGNHKSMSSSIVCINGNPGIGKTELALEFAYRYSQRYKMVLWVGGEARYFRQNILNLSLNLGLDISADAEKDRGRFRSFEEQEQEAFKRVKRELFGDMPYLLIIDNLEAEEDWWEGKDLNDLLPRNTGGSHVIITTRLSKVMSFRMINIHPLALADAMVLMRGRRKKEYPADELEYLKKFDERLGRLTYGLWVIGSLLCELAITPSSLFEAIEQVPIDECSPCPYISINEEHYCKSNPFLMKIIYFSFSILEQTNGPLASGIFLVGAWLAPAPISVSVLATAAKDMAVSRKGFKIWSKYLSFMFGCCSTCLASQAWKSEEESALLLIKFGLARKANKQTGSWIQFHPITQVFAKRKEGLSAAKSIVQGIRKCSSNTMANLDHLWASAFLVFGFKSEPPFVQLKAVDMVLYIKKAALPLAIRAFTTFSRCNSALELLKVCTNALEEVEKSFVSQIQDWCEGSLCWKKKFQGYQRVDEYVWQDVTLLKATLLETRAKLLLRGGHFDSAEELCRTCISIRTVMLGHNHAQTLAAQETLAKIVRLRSKI >KGN56307 pep chromosome:ASM407v2:3:5950423:5951738:-1 gene:Csa_3G114470 transcript:KGN56307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVCRLTELTFFDFLLHKICGADFSVPVHISTLCSSVYLGGYDKEEKAARAYDLAALKYWGPTATTNFPVSNYAKELEEMKQVTRQEFIASLRRKSSGFSRGASIYRGVTRHHQQGRWQARIGRVAGNKDLYLGTFATEEEAAEAYDIAAIKFRGLNAVTNFEMSRYDVEAIAKSALPIGGAAKRLKLCLESDQKPIPNHDQATQCSSGSNNINFGTAMQAVPPIPCGIPYDTAAVLYHHNYFHHLQPNAIGSSESTSPGIAVPGTVGPHQAAEFFVWPHQSY >KGN55640 pep chromosome:ASM407v2:3:379165:381615:1 gene:Csa_3G002600 transcript:KGN55640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVARCFLPFPLETSKHPLSASIFTSSSTDFSFALAFSSNSRRPRGFKLPITTLCCKMPHRIKAKPQDSEATLVAGSFTEFKHLLLPITDRNPYLSEGTRQAIATTAALAKNNGADITVVLIDAKQKDSIPEHENQLSSIRWHLSEGGFQEFKLLERLGEGSKPTAIIGEVADDLNLDLVVLSMEAIHSKHVDANLLAEFIPCPVMLLPL >KGN57261 pep chromosome:ASM407v2:3:11817696:11818526:-1 gene:Csa_3G175620 transcript:KGN57261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPRFLVFFSLLLLAFNFSTGVLARPAREMVAVETNWEMGRKLTARQLDTSWKGNYSPPPPNRPPPPPPYRQLPRPRPCYRRPCIPP >KGN58363 pep chromosome:ASM407v2:3:24464668:24466681:1 gene:Csa_3G628230 transcript:KGN58363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMVEDGRSLAGYTLDDVLANNRLGPPATARATEIRGRTLLDIIRDEEPSTGKGLFGKDKRTWKSFRDKLRLKRAGSAWVSTVPIPTSDIPVHNKRSLMGRRNQVRFNTGSSKNSPDNQSRHPSEETSKSTRRQMCRQTSTMNSSSDSSAFHYDESSEYSSSPDAAPVRIMRPQMSRHNSVRVSNPMENYTDPTEPDRDNRIRHLNLSEHRMMSAREAVAAQEAADAASAAAAAAAAAEAAEEEKKQNENNNESGNENEEEESTTESEEEEEESSPASEPVRMSLMDLLHETDREMGFERSSYGMGFEGNFLEEDEDDEYEEDEDDGNGEEFSCCVCMVKHKNGPLASCGHTFCRLCSKELMVSRGNCPTCSNFILEILDAF >KGN56935 pep chromosome:ASM407v2:3:9625727:9632158:1 gene:Csa_3G144740 transcript:KGN56935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVRQMKESSEQHLVIKTHLQNTVQKAPKSTQNGKGPPNLEHQNIKFRNPSSPPSKNRGRRRSRGGRKSDQGDVFMRPSSRPCTVARKPDEPEFNAGAMVASTNPNGGIISGMQMGFRNSSKSLSFAPRPGFGQVGTKCIVKANHFFAELPDKDLNQYDVTITPEVASRTVNRAIMAELVRLYRESDLGKRLPAYDGRKSLYTAGELPFVWKEFTIKLVDEEDGVSGPKREREYKVLIKFVARANLHHLGQFLAGKRADAPQEALQILDIVLRELSSKRYCPIGRSFFSPDIRSPQRLGDGLESWCGFYQSIRPTQMGLSLNIDMASAAFIEPLPVLEFVAQLLGKDVLSRPLSDSDRVKIKKALRGVKVEVTHRGNVRRKYRVSGLTSQPTRELVFPVDDNSTMKSVVEYFQEMYGFTIQHAHLPCLQVGNQKKANYLPMEACKIVGGQRYTKRLNEKQITALLKVTCQRPRDRENDILQTVQHNAYDNDPYAKEFGIKISEKLASVEARILPPPWLKYHDTGKEKDCLPQVGQWNMMNKKMINGMTVNRWACINFSRSVQESVARGFCSELAQMCQVSGMEFNPEPVIPIYNARPEQVEKALKHVYHASMNKTKGKELELLLAILPDNNGSLYGDLKRICETDLGLISQCCLTKHVFKISKQYLANVSLKINVKMGGRNTVLLDAISCRIPLVSDIPTIIFGADVTHPENGEDSSPSIAAVVASQDWPEVTKYAGLVCAQAHRQELIQDLYKTWQDPVRGTVSGGMIRDLLISFRKATGQKPLRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFIVVQKRHHTRLFANNYRDRSSTDKSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENNFTADGIQSLTNNLCYTYARCTRSVSVVPPAYYAHLAAFRARFYMEPEMQENGSAGRSAKSTRVTGECGVRPLPALKENVKRVMFYC >KGN57788 pep chromosome:ASM407v2:3:17138268:17138507:1 gene:Csa_3G302130 transcript:KGN57788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIEETQRIRGRKEGRKEGNGETELRDKETDSDLERAVFFSSLFLHGISPF >KGN56962 pep chromosome:ASM407v2:3:9824563:9825473:-1 gene:Csa_3G146480 transcript:KGN56962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFVLLTRTIPLLAVAFAVVILPASGQINSPCSPSIIARFTPCMNLLTNSTANGTSPTADCCDYLRSLTGSGMDCLCLIVTASVPFQLPINRSLAISLPQACNMPGVPVQCRASAAPIPAPGPIPLGPALSPEASPSPQGSGIPQPVTPAQSPETDTTEFLTPPSTEGGAETPTSTTDAGNGISPDLTPSSSTMPSYNFHLLALALSCAALKLS >KGN59912 pep chromosome:ASM407v2:3:35498617:35500141:1 gene:Csa_3G852610 transcript:KGN59912 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MDLPLLLISSSNFALPAILFTLLFFLYALFASFIHGRRNRLPPQPDGAWPVIGHLPLLTGKELLHNTLGKFADNYGPIFMLRFGTKKTLIVSGWEVAKECFTTNDKIFASRPKFAAAQLLGYNYAMFGTSPYGSHWRHIRKIVMLELLANHRMNRLQHIPRLEVQNSIEELHELCRSNKKAVVEMEKWFGDITLNTIFKMVIGKRFSTTFEGCHGEEYQNALKDFFNLFIAFVPSDLFPFLRWFDFGGYKKSMKKTAKIMDEMHDKWLREHREKRNSDGLVIEDQDLMDIMLSITKDEDFSGYDVDTIIKSTCLVVVNNFDENCHDIGWISHHHSTNGMGSFITSKT >KGN59195 pep chromosome:ASM407v2:3:30164979:30165638:-1 gene:Csa_3G779000 transcript:KGN59195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRQPHLNSNFYGPAVPPPSKSRRGRRILCTILMVAIGLIVGAGILLLILGLVYSPHKLVFNVSSARLTQFNLTTTSSNQLHYSLALNVTIRNPNKRYRVYYDYNEMAVLYKNQRLATQWLPSFFQETKSTVVVSPNNFDGQKLMFLTSDEHVEFNAEKANGIYSIDVKFFFRLRMKSGQVVLKFKPKVYCGLKVPLGSDIDPKSISLFSNTDCDFSF >KGN59845 pep chromosome:ASM407v2:3:34915310:34918224:-1 gene:Csa_3G850500 transcript:KGN59845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSRLVVTEDSKDFGSVVRINQMRFYPTMRILQFFLMFIVLGLGVSIISMNTIRYFGVRSAAPVVPSVSIVQPRLEEPSGNGIDSWIKTPSDLLHSMSDPELLWRASFVPQVKEYPFKRVRKIAFMFLTKGPLPMAPLWQRFFKGHEGLYSIYVHTAPSYIADFPPSSVFYRRQIPSQVAEWGEMNMCDAERRLLANALLDISNEWFILLSEACVPLHSFPTVYHYIARSRYSFVDSFDEQGPYGRGRYNTKMAPGINITDWRKGYQWFEVNRELAVKIVKDKTYYPLFKEFCRPACYVDEHYFQTMLSIESANLLANRSLTFVDWSRGGAHPATFGKYDITEEFFKKLFESKRCLYNDQPSSLCFLFARKFAPSALDRLLEVAQKVMGF >KGN56037 pep chromosome:ASM407v2:3:3452386:3453255:1 gene:Csa_3G048940 transcript:KGN56037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLTPNKPTSINNTPNTNHHLSNGGTATGNSSATSPLSVAATANNNEQNQQCVVREQDQYMPIANVIRSMRRILPSHAKISDDAKETIQECVSEYISFITGEANERCQREQRKTVTAEDVLWAMGKLETLLHDENM >KGN58179 pep chromosome:ASM407v2:3:22461699:22464906:-1 gene:Csa_3G585900 transcript:KGN58179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMNNNNPPKTLGGASSSPFGNSGMVPPSMAANSTSFSQPQPQAQLGAGFQNPFPLTTAQVLAQAQYKAHAHAQAQAQAAHAQFQAQLQAQGLSLTQSQNVGGGNVGSPSPGFSTPGLAGVKRIPQKPPVRPPILSPGTTFSPLKTMELTPAARKKKQKLPEKQLQDKVAAILPESALYTQLLEFESRVDAALARKKVDIHEALKNPPCIQKTLRIYVFNTFANQVNTIPKKPNADPPTWTLKIIGRILEDGIDPDHPGVVQRSNPLYPKFSSFFKRVTISLDQRLYPDSHIIVWENARSPAPHEGFEVKRKGDKEFSVNIRLEMNYIPEKFKLSPALMEVLGIEVDTRPRIIAAIWHYVKARKLQNPNDPSFFHCDPPLQKVFGEDKLKFTMVSQRISQHLFPPQPIHLEHKVKLSGNSPAGTACYDVLVDVPFPIHRELSALLANAEKNKEIDACDEAICTAIRKIHEHRRRRAFFLGFSQSPVEFIDALIESQSKDLKLLAGEASRNAEKERRSDFFNQPWVEDAVIRYINRKPATGSDAPGST >KGN59581 pep chromosome:ASM407v2:3:32915531:32919354:-1 gene:Csa_3G827240 transcript:KGN59581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPIEASKGVWIWSECQQVMTAAVERGWSTFIFSPHNTELAHEWSSIALIHPLFIKENGVLDGEDRLIASVVEVSNPQQLEQLQPARASADIVVVDLQDWQIIPAENIVAAFQGSQKTVFAISKTPIEAQIFLEALEHGLGGVILKVEDPEAVFQLKDYFDRRNEASNLLNLTKATITQIHVVGMGDRVCVDLCSLMRPGEGLLVGSYARGLFLIHSECLESNYIASRPFRVNAGPVHAYVAVPGGKTSYLSELQAGNEVIVVDQEGRQRTAIVGRVKIETRQLILVQAKRDSDEQTPYSVLLQNAETVALVCPGQGNNEKKAIPVTSLKVGDEVFLRLQGEARHTGIEIQEFIVEK >KGN57409 pep chromosome:ASM407v2:3:12838965:12839189:-1 gene:Csa_3G183915 transcript:KGN57409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPAHPSWAQAPPSRNEACENSLWAEKPYVSNLGLEDPFPICKFGRKSPATAPSRSPPCLPFISRCHFSNSQN >KGN57476 pep chromosome:ASM407v2:3:13483828:13485508:-1 gene:Csa_3G196420 transcript:KGN57476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPFLDLEKEPSCLANDSDISSQVASSNYISPLHENSNDNSLITNSSFFANPNIPAADQPGFESVCLDLTLNFNIEDIETRPDSTGFSLSTTSDQSSNEPTACATMAVPRVFSCNYCQRKFFSSQALGGHQNAHKRERTLAKRAMRMGILAERYASLASLPLKGSSFKSLGIKAHSSLLHGIAAPMKPNEIRSSARFEPEPGYIGMPIFLEDDETEVMWPGSFRPMVETRNTDELTRPSFILTGISSISSADKTWEDVENSTLDLTLKL >KGN60079 pep chromosome:ASM407v2:3:36704971:36705234:1 gene:Csa_3G876025 transcript:KGN60079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKREKNGSGKGESEWVGEKEQWVLEDGYIAMGRLLMKRNAEIRRIKAMGWWLLPVYPSLSSLRLLLRRPSNLSRSFIAISSAPKNR >KGN60319 pep chromosome:ASM407v2:3:38551528:38552834:1 gene:Csa_3G895650 transcript:KGN60319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGPEYFQPGFSSQFSTEDRQSSDANKTNTAAAPPTTADHFIVEDLLDFSNDDDVVFTDGTFDNQTPTSTDSSTLTLLDSCNSYPNTGNAHNYHFADANFSTDLGVPYDDLAELEWLSNFVEDSFSTDDLEKLSLISGMNSRADVHDDDASKAREFQTGFNRNHSPGFRHEMSVVPAKAARSKRSRAAPCIWNSRLSVLSPTNSSSETDVVVTLTPHPNTAKKTTKKKEIPDDTSSAAGNNGEGRKCLHCATDKTPQWRTGPMGPKTLCNACGVRYKSGRLVPEYRPAASPTFVLTKHSNSHRKVMELRRQKEMMRAQQQQQYLHHESMGFDHVSNDDDYLIHQHIGPLYQ >KGN57816 pep chromosome:ASM407v2:3:17656451:17657359:-1 gene:Csa_3G319280 transcript:KGN57816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNNSLSISTPGSSALGSMDEIIRVFNKFDKNGDGKISVTELAAALGELSGNISTDEIHRIMSEIDKDGDGFIDLDEFTDFTSSSTGGNKDLQDAFDLYDIDKNGLISAKELHSVLKRLGEKCSLKDCCRMISSVDVDGDGHVNFEEFKKMMTRS >KGN55957 pep chromosome:ASM407v2:3:2814470:2818118:-1 gene:Csa_3G038790 transcript:KGN55957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLLLIGAIVVLSFTHWVYSWINPKCNGKLPPGSMGLPLLGETLQFFAPNTSSDIPPFIRKRMDRYGPIFRTSLVGRPLIVSTDPDLNHFIFQQEGQLFQSWYPDTFTEIFGRQNVGSLHGFMYKYLKNMVLHLFGPESLKKMIPEVEAVATRRLKQWSSHNPVELKDKTASMIFDLTAKKLISYDSENSSENLRDNFVAFIQGLISFPLNVPGTAYNKCLQGRKKAMRMLKNMLQERRTNPRKQRIDFFDFVLEELEKDGTLLTEEIALDLMFVLLFASFETTSLAITAAIKFLLNNPHVLEELTAEHEGILKRREISDSRLTWGEYKSMTFTFQFINETVRLANIVPGIFRKALKDIEFKGYTIPSGWAVMVCPPAVHLNPEKYVDPLAFNPWRWEKSELNGGSKHFMAFGGGMRFCVGTDFTKVQMAVFLHCLVTKYRFKAIKGGNIIRTPGLQFPDGFHVQIMEK >KGN59380 pep chromosome:ASM407v2:3:31488009:31488654:-1 gene:Csa_3G815440 transcript:KGN59380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSKLFLCFCFFIFLISSSALAAVQRPNGGGGGGGGGGGSGSGSSSGSGSASGSGSGSGSGGGSGDSVGISSDQAGGSTHRKASP >KGN60047 pep chromosome:ASM407v2:3:36514726:36519674:1 gene:Csa_3G873270 transcript:KGN60047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELASNGELDQNPPTKMEEQEVKLSKMSFFGLFGAADGIDCLLMVFGSLGAFVHGASLPVFFVLFGRMIDSLGHLSKHPHRLSSRIVENALYLIYLGLIVLASAWIGVAFWMQTGERQTARLRMKYLNSILKKDINFFDTEAKDFNIMFHISSDMVLVQDAIGDKTGHAMRYFSQFIVGFAIGFTSVWKLTLLTLAIVPLVAIAGVAYTVIMSTLSQKGEAAYAQAGKTAEEVIAQIRTVYSYVGESKALEKYSESLQNALKLGKRSGFAKGFGVGFTYSLLFCAWALLLWYASILVLHHETNGGKAFTTIINVIFSGFALGQAMPNLAAIAKGRVAAANIFSMIDADYESSSRSNNGVALSSVAGKIEFSEVSFAYPSRPQLIFDKLSFSISAGRTVAVVGPSGSGKSTIVSMVQRFYEPSSGKILLDGHDLRTLELKWLRRQMGLVSQEPALFNTTIAANILFGQENATMDEIIAAAEVANAHSFIQELPDGYSTQVGERGIQLSGGQKQRIAIARAVLRNPKILLLDEATSALDSESELIVQQALVRIMLNRTTIIIAHRLSTIQEADTIFVLKNGQIVESGNHSELMSKNGEYAALESLQLPGQVNDSSIISPPGSSRHSSFQEAFSSHNSILDSKSFRETKLQSANKDLKTLNYSPPSIWELLKLNAREWPYAILGSIGAILAGIQAPLFALGITHVLSAFYSPHHSQIKEEVHHVAFMFVGVAIFTIPIYLLQHYFYTLMGERLTARVRLLLFSAILSNEVGWFDFDENNTGALTSILASNATLVRSALADRISTIVQNVALTVSAFVIAFIFSWRLAAVVVASLPLLIGASITEQLFLKGFGGDYGQAYNRATAVAHEAIANIRTVAAFGAEEKISSQFAFELNKPNKQAFLRGHVAGFGYGISQFFAFCSYALGLWYASTLIKHRHSNFGDIMKSFMVLIITSLAIAETLALTPDIVKGSQALGSVFNILHRKTIIDSNNPSAEMVTNIIGDIEFNNVSFKYPARPDITVFEDLNLRVSAGKSLAVVGQSGSGKSTVIALVMRFYDPISGTILIDGRDIKSLNLRSLRMKIGLVQQEPALFSTTIYENIKYGNQEASEIEVMKAAKAANAHGFISRMPNSYQTHVGDRGVQLSGGQKQRVAIARAILKDPSILLLDEATSALDAASERQVQEALDRLMEGRTTILVAHRLTTIRDANRIAVLKSGRVVEIGSHDSLLKNPHSIYKQLVNLQHETTVQSLE >KGN59727 pep chromosome:ASM407v2:3:33856104:33862528:-1 gene:Csa_3G842000 transcript:KGN59727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHLVRERLFIGNIGDAAEVLQLGTSDITHMLSVLSSESISFFSEWRSGLIIPSKEIKKVYVGGTGCDLASESDYVDGSKSSLSPEKLLYSLEYAGNGLKVERMAVPLRDMENEDLLDYLNVCYDFIERGRKEGSVLVHCFAGVSRSAAIITAYLMRNEQLSLEDALDSLRQSNEFVSPNDGFMEQLKLFEKMGFKVDYASPIYKRFRLKVLGESYNRGEKINISKLGADPGLSREVASEVQSSQQVDFSHARAYRCKKCRRLVALLENVVDHIPGEGETSFDWYKRKSGNPFNKSEEPECSSIFVEPLRWMTGVEEGALEGKLSCAHCEARLGYFNWSGIQCSCGSWITPAFQLHKSRVDISTV >KGN58138 pep chromosome:ASM407v2:3:21867138:21870368:1 gene:Csa_3G543170 transcript:KGN58138 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP/ADP transporter MEAVLQSKGLLSLPPNPKTRTFLPSHGLRQRILSTNPRNLSGFSLSSNGFQRFQGFVSKKPSFFSKERVSLICRAEAAAAPAADSDGQSGFGEIDTPKFLGIEVETLKKIVPLGLMFFCILFNYTILRDTKDVLVVTAKGSSAEIIPFLKTWVNLPMAVGFMLLYTKMANVLPRQALFYTVIVPFIAFFGAFGFLLYPLSNYIHPQAFADKLLNALGPRFLGPLAIMRIWSFCLFYVMAELWGSVVVSVLFWGFANQITTVDEAKKFYPLFGLGANVALIFSGRTVKYFSNMRKHLGPGVDGWAISLKAMMSIVVGMGLAICFLYWWVNKFAALPTRSKKKKAKVNMGTMESLKFLASSRYIRDLATLVVAYGISINLVEVTWKSKLKAQFPSPNEYSSFMGDFSTATGIVTFVMMLLSQYIFNKYGWGVAANITPTVLLVTGVAFFSLILFGGPIAPMLTQFGLTPLLAAVYVGAMQNIFSKSAKYSLFDPCKEMAYIPLDEDTKVKGKAAIDVVCNPLGKSGGALIQQFMILTFGSLANSTPYLGGVLLVIVLAWLGAARSLDSQFSALRREEELEKELERSAVKIPVVLQNDGDNGSLASRSPLNPTTGDSASSSSETSAPTNA >KGN56839 pep chromosome:ASM407v2:3:9067332:9071046:-1 gene:Csa_3G134870 transcript:KGN56839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPSTRRLRDRSGGSAPTINPSKPLTPVSTSNRKNNSDSSSRFASAGKENPKSTSKLPIMTQKPSIRAVPRVNKAAAIAVSDSETRSRWSSSSVPRGRSSSPSEFIRSSVDSRRERRVSVDRGRGSVGENDLTALSSGRASRVRGSESDKQKVGVKDLDVMVGGGGLAGLRVYRELKENVKLRTNMDSKIRISEVKPLADEEKIEDKSLETKDLESHTRERIDEVLRSHENSKNSTVPEKVQSVIVVNEEHKEKPCIVPEFSSADRQRVNSSLESNQKSGQKDLEIVNESGQIGGEGNSSCAGNKYTSKLHEKLAFLEGKVKRIASDIKKTKEMLDLNNTSSSKLILSDIQEKISGIEKAIGHGAVSSGVKMGLMSTNEKDTKMMPKDETNESGINTSVKGLNTKELEERLFPHHKLLRNRMSLKSTSDSSQSNEIHLTGPSHVVKVEDMPIDENPIALEFLASLNREHAKVTMRTEQVGLEFCEVQEMDENTSGGLQESSTQFKGKQEAEVILTSDEILDDFDDQENKQGGLIGDETDDAGINQMNEIGIKTSTGGWFVSEGEAVLLAHNDGSCSFYDITNTEEKSVYKPPAGISPNIWRDCWIIRAPGADGCSGRYVVAASAGNTMDAGFCSWDFYSKNVRAFQIEGAMTSSRTALAPLPHNIVQKRYAPGYMLVPETEQWWYKPCGPLIVSTATCQKTVKVFDVRDSEEIMNWEVQKPVAAMDYSSPLQWRNRGKVVLAETESISLWDVASTSAQALLSVHSPGHKISALHVNNTDAELGGGVRQRISSAEAEGNDGVFCTTDSVNILDFRSPSGIGIKLQKASLGAQSVFTRGDSVYVGCSSARSGGKKPQASSVVQQFSIRKQGLFCTYALPESNAHVHHTAVTQVWGNSNLVMAVCGLGLFVFDALNDEASQSSSVDTEGSQVFREIVGSDDLYSPSFDYSSSRALLISRDRPALWKQLS >KGN57364 pep chromosome:ASM407v2:3:12547796:12552519:1 gene:Csa_3G182000 transcript:KGN57364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVKNLWDILESCKKTLPLHRLQNKRLCIDLSCWIVELSSVSKSHCHSNSKFYLKGLFYRLRTLIALNCSLIFVTDGSIPGIKLSTYRRRLNNGNEVAQTDANPQQICSLKRNKGSEFSLMIKEAQALGLALGIPCLDAVEEAEAQCALLNSELLCDGCFTSDSDAFLFGARTVYRDICLGDSGHVVCYEMDDIETRLGFGRNSMITFALLLGSDYSQGVYGMGRESACQLVKAVGDGAVLQKITSEGLALAKKGENSKKQGLPKSGHGHYMLKCDEFSEVIDAYLKPKCHSADSEAVTRVLDQHPFQHVKLQQICAEFFEWSPEKTDENILPKIAERDLRRFANIRSRTSELGFNIPLQEIPVSCPISGIVKHRKVQGNECYEVSWKNIGLNLSVVSADLLQRACPEMIIEFEEKRADGKKQNKGKTKTKKSAAVMAEIDKRLKTLLLEIESESRVVHNISHVPIDSKTSGTGVHELNQEVFLNIEPIIVDHARSCSTKRIEVIDLLSPSPAIQTRNVSKFQQKTSQKIDVIDLSDTEIDQSPEHERKARELRSFLASLKGK >KGN58812 pep chromosome:ASM407v2:3:27807336:27808829:1 gene:Csa_3G732680 transcript:KGN58812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPNKFAFLFCISSLFVSAAFGEIVCEELSVDVCAFSIASSGKRCLLETSETKEGKFEYQCRTSEVIVEWMADYIESDQCINACGLDRNSVGIASDALLEPQFTAKLCSPSCYQKCPNIVDLYFNMAAGEGVFLPDLCEKQRTNPRRAMAQLLSSGVAAGPVSSAQINAVFPTIIAEAPAPM >KGN58909 pep chromosome:ASM407v2:3:28286476:28287056:1 gene:Csa_3G736570 transcript:KGN58909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPYIISAAFSFLLLLHLAVAGVQPQSPPTSTSKNSMPATTASPRRRSYIREFLQAHNKFRSAFHIQPLTWDRNLTRFARRWGEQRAADCRMIHSYGPYGENMFWGKLEHWTPTEVVNSWAGEDKHYNLDTNECADGQTCGHYTQIIWKESLRLGCVRVNCDNGGLLVICEYDPPGNYVNEKPI >KGN55592 pep chromosome:ASM407v2:3:126096:130305:-1 gene:Csa_3G000160 transcript:KGN55592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVFCLCFCLLLTGAAASGGDGGSHSRDLDNTPTWAVAAVCFFFVLISIVLENVIHKLGTWLTKKHKSSLYEALEKVKAELMILGFISLLLTFAQAYIVQICIPPAIANSMLPCRREEKNASTDEDEHHRRLQWLIRRSLAGGHNVVSCEDGKVSLISIDGLHQLHILIFFLAVFHVLFSVITMTLGRIKIRGWKEWEQETSTHNYEFFNDPARFRLTHETSFVKAHTSFWTRLPFFFYISCFFRQFYGSVSKADYLTLRNGFITVHLAPGSKFNFQRYIKRSLEDDFKVVVGVSPFLWSSFVIFLLLNLSGWHTLFWASFIPLLIILAVGSKLQAILTRMALEISEKHAVVQGIPLVQGSDKYFWFGRPQLILHLMHFSLFQNAFQTTYILSTLYSFGLNSCFFDGHILTIIKVGLGVVALFLCSYVTLPIYALVNQMGSGMKRSIFDEQTSKALMKWQETAKKKRAKRASATKTLGGSSNASPLHSLRRFKTTGHSIRVPTYEDLESSDYEGDPLATPTQASTSESINVDVKDGDEIQQIAETEQPHSTIQTKEGDEFSFIKPATLG >KGN55866 pep chromosome:ASM407v2:3:2083620:2090586:1 gene:Csa_3G020050 transcript:KGN55866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEEKAGPPNKDLYALLHISPEASDEEIRKAYRQWAQVYHPDKYQSPHMKDIATENFQRICEAYEILTDENKRQIYDIYGMEGLTSGLELGPKLNKADEIKEELERLRKRKEQEKISAHFRPSGTILANMSLPHFLEGDGIMRGMAMTSEVQSQISKSNTVGVGGNLAVTGNVGGGAASAMFRHQFSSVSSVEFMASTGLRSLIGMQASRHLTLHSTASMGISMSLKDGSLNLSNSWTRQLSETANGNIQLILGPESSVAVGWQKKEEKRSVAGEVKFSTSSFGASAHYTHRFSSKSHGRIAGRVGSTMLELELGGGRKLSKFSTVRMLYSIGIQGIFWKFELHRGGQKLIIPILISRHLNPIFAAGAFIFPTSAYFLLKKFLVKPYYQRREKQKALENMQKTSAQVLEARAGAEKAQQLLQNVANRKRNRQSEISGLVITKAIYGNQKDLKKKDELKEPNDELSLSIVDVTLPLNFLVNDSGQLKLHEGVKKSGIMGFCDPCPGEPKQLYVEYTFGGQTYEVVVDDYEELLIPKPFQ >KGN56803 pep chromosome:ASM407v2:3:8884279:8884938:1 gene:Csa_3G134520 transcript:KGN56803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSMNQGATDLLLISLVCILITSTSDSSSILVLPVLPDWLILFLWNIFLPGIDISCRSLFAYDGQILK >KGN57180 pep chromosome:ASM407v2:3:11183724:11189817:1 gene:Csa_3G168910 transcript:KGN57180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKHEDLPIPVFSSLDPVYGDGSQLEEARLRFDHLKAKFLQVFGHPPDVFARSPGRVNLIGEHIDYEGYSVLPMAIRQDTIVAIRKHDAGEGNHLLKIANVNDKYSICTYPADPDQEVDLKNHKWGHYFLCGYKGYYEFAKSKGQDVGMPVGLDVLVDGTVPTGSGLSSSAAFVCSSTIAIMAALGANFPKKEIAQLTCDCERHIGTQSGGMDQAISVMAKSGFAELIDFNPICATDVQLPDGGSFVIAHSLAESQKAVTAATNYNNRVVECRLASIVLGIKLGMKPEEAIKKVKTLSDVEGLCLSFAKERNSSDPVLAVKELLKEEPYTAEEIEQITVDNLPSVLGNSPTSLDVLKAAKHFKLYQRASHVYSEARRVYAFKDAVSSSLSEEDKLKKLGDLMNDSHYSCSVLYECSCPELEELVKICRDNDALGARLTGAGWGGCAVALVKEAIVPQFILNLKENFYKSRIERGVIRKDDLGLYVFASKPSSGAAIFQF >KGN55852 pep chromosome:ASM407v2:3:1963955:1964516:1 gene:Csa_3G019920 transcript:KGN55852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQYKRSNTSPFNKTGIEQIELPKRGLLQTSFAEIPVILIEEATVEIPLIEKLVKEQITQKGDKQKPLNPPQKSKQATTTEESSTRSVNKNSTESENLIFKKSTQRRREIRSSKQHHSSKQQSRSGKPPSGDENTKDRYDSSTAKQIKSRNGNRNT >KGN58874 pep chromosome:ASM407v2:3:28097552:28100710:1 gene:Csa_3G734270 transcript:KGN58874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPVTYSAIDDKDFDDAALWAVIDSAAAAAASSSSSSKPRKSLALNCINKSNPSPPPKFPKSPKTPYQAQRNSRVFIEGEVVHEPWVFQPPRKIAKTRASEVSDSSPLAVVCNNALRTPPAPVYLSPEAYLSPQIGSGSEGSPGCSRSGVNAEREMSRHCLSGQFPSVSLFKEYQNAAMAILEKSDFTMIAGNPFIKKSGWRKISFYFNLSFEIKDKTIEFDENRNVQRAEFVVRAYMQGGRFCDGWGSCERREKRFVKPNHDVPSTAETRAKNKACQDLLGIGEYRPGACQGQK >KGN58090 pep chromosome:ASM407v2:3:21227411:21228167:-1 gene:Csa_3G498910 transcript:KGN58090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSFSDAETEDNYLESKFESPQISSSNNSKCGRQEDESWNPEMEDQELEGKLSVEVSNSQLSDKVYRLKKRFILMKANKSCDNNKMRTFSDSNYKKLFELSSNIWGDEENPKLSEDPSARGFYNDEKVFQMALEKLKVDITFIPPHDLQNIRKEWEDVMSARNGYELKYAKLLEEIS >KGN58651 pep chromosome:ASM407v2:3:26888164:26889931:1 gene:Csa_3G710780 transcript:KGN58651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVATAGFIAPSSSSSSSSCFPHTNRPNKNRTSTNQFRVSSSFSSSVADPYRTLRIQPGSSESEVKKAFRRLALKYHPDVCKGRNCGVQFEQINEAYVIVMNNLRGIATSIETYETKYSEGTDEPKTKYGEPDWDSYEEWMGYEGAWMGDYSSQY >KGN59990 pep chromosome:ASM407v2:3:36030628:36034842:-1 gene:Csa_3G860260 transcript:KGN59990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLQGPVVCPTICAKQAGFCSLPINRSLMAFSFRRGQLWGFTGINGLNAKLPAISLKRCTRKCKTLRCSFSSSSDDNGSTAENFNEKNEEYVESSVVEAVEVKSGADGFVIKMRDGRHLRCVHNNPHGGHLPDYAPHPAIVLKMEDGTGLLLPIIVLEMPSVLLMAAVRNVPIARPTMYQVVKEMIDKMGYEVKLVRVTKRVHEAYFAQLYLSKIGCETDCLSFDLRPSDAINIAVRCQVPIQVNKYLAFSDGMRVVESGKLSTQALATDGLLFTELDRPSGQPCVEAKEFNLVRNMLIAAVEERYRDAAQWRDKLNLLRARRNLA >KGN58992 pep chromosome:ASM407v2:3:28752817:28754251:1 gene:Csa_3G741330 transcript:KGN58992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFFFFLLLLLISPAAASWPPSPGFWPSTRFRSMEFYKGFRNLWGPQHQSLSQNTLSIWLDRTTGSGFKSLRPFRSGYFAASIKLQPGYTAGVITSFYLSNNEAHPGFHDEVDIEFLGTTFGKPYTLQTNVYVRGSGDGRIIGREMKFHLWFDPTKDFHHYAILWRPNEIIFLVDDIPIRRYPRKSATTFPLRPMWLYGSIWDASSWATEDGKYRADYRYQPFVAKYTNFKAGGCSAYSPAWCRPVSASPFRSGGLTRQQKNAMKWVQSHQLVYNYCWDNKRDHSLTPECWH >KGN58796 pep chromosome:ASM407v2:3:27759700:27760038:1 gene:Csa_3G732530 transcript:KGN58796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFRSLDEFWVFYMNQHSKPSTRRWHFIGTLSAIFFFLCSLLFSWWFLFFVPLTGYGFAWYSHFFIEENVPATFAHPFWSLLCDFKMFGLMLTGNMDREIKRLGKRPVLQIF >KGN58887 pep chromosome:ASM407v2:3:28172429:28173129:1 gene:Csa_3G734890 transcript:KGN58887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWNQIRTLPHDRFSLRLKWIENENLIPHNLHNAIERNDIVESLVPLARRIRSIIIGHRRRSRRPCGYRISAAALLRWSSKKSTRVRTTRFDRRSRSNWRWGVGEVIGGHENALRRPPLVPAGSLRHFTVRFGNGEEQRGGNRERNSESSPA >KGN55924 pep chromosome:ASM407v2:3:2600647:2603064:-1 gene:Csa_3G036500 transcript:KGN55924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNAIKGMFISCDIPMAQFIINYNASLPASHRFIIHILDNTHMFVQPHVADMIRNAISEFREQISYEKPT >KGN57715 pep chromosome:ASM407v2:3:16055154:16056840:1 gene:Csa_3G258170 transcript:KGN57715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGVVFPNRSFPMDISAFSQIDTFHWVLDMNTFVGEAYDQIREICIFLLNNFTLPPDKALAVYIQSPGSPFLFCGAVTLARPSAVLSLPWPEPGGQMQLMPPDSAPLSAKIGVSVQDLASLQSLDVTAEKRIERLALKVGENLFNFMQSFCGVDGSKLIVPMDILDRWFKKFQEKAKRDPEYLKGFVL >KGN56899 pep chromosome:ASM407v2:3:9382480:9383100:1 gene:Csa_3G142925 transcript:KGN56899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTFPDSLPTSILSSVFLQSGTWLSISSFKPLPFLDLKHLPLSTIASLHPPTTLSSSTTFLLRPRVPATDPTTSELVHFSTALRLGFRLDRGSSLLFILTKIEVNSPHLMVSPSCKANASCGGSLISLTNVPFEESRSRSIQLPDTDSDSIERCLVEIPASLMATELPRTMRPIRIVAPGGKSSVSPSRGPLTMMRVIEEDSIERK >KGN57949 pep chromosome:ASM407v2:3:19543016:19543435:-1 gene:Csa_3G404010 transcript:KGN57949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDTVEERDLKLVAESEPERLTIDAMLQKYCGEFGPWQLRNFLLSNLSCTLEAFHTMVMIFADRDVEWRCLDRNACDAAAKDVCDLNPGTWEWVGGRGSSTVVEWSLVCGDKYKVGLAQAIFFIGCTLGLSKTMYIYLS >KGN60291 pep chromosome:ASM407v2:3:38327036:38333081:1 gene:Csa_3G893410 transcript:KGN60291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENEGKDNGEFSFVSDGGAETGRRGLPKIHTEKNAPTTERDICHDDSTTPMRARTLEHLHSLQKKRSTPTTPLTDSQGVFSPVSEAERQKQQLISISASLASLTRETGPKLVKGDPEKKKEAHKASVLDHLHFGEPILNLSDSALKSTHILYNLSPAELYEQAIKYEKGSFITSTGALATLSGAKTGRSPRDKRVVKDDTTEKELWWGKGSPNIEMDEHTFLINRERAVDYLNSLDKVFVNDQFLNWDPENRIKVRIVSARAYHSLFMHNMCIRPTAGELEDFGTPDFTIYNAGQFPCNRYTHYMTSSTSIDMNLDRKEMVILGTQYAGEMKKGLFSLMHYLMPMRQILSLHSGCNMGKNGDVALFFGLSGTGKTTLSTDHNRYLIGDDEHCWSDNGVSNIEGGCYAKCIDLSREKEPDIWNAIKFGTVLENVVFDEHTREVDYSEKSVTENTRAAYPIEYIPNAKIPCVGPHPKNVILLACDAFGVLPPVSKLSLPQTMYHFISGYTALVAGTEEGVKEPQATFSACFGAAFIMLHPSRYAAMLAEKMKKHGATGWLVNTGWSGGSYGSGNRIKLAYTRKIIDAIHSGALLEANYSKTRVFGLEIPDAIEGVPSHILDPINTWSDKDGYHETLLKLGGLFKKNYEGIHTYQVERDSELAEEILAAGPTL >KGN56737 pep chromosome:ASM407v2:3:8509728:8510066:-1 gene:Csa_3G131900 transcript:KGN56737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRIKHPHIFQTRDGLPKKVDWVESAAVTPPRDQGPSPTCRAYSGVAAIESMNKIKRGQLVNLTVVDVIIDNIRFWMDGAWPDVVFHDGVK >KGN57007 pep chromosome:ASM407v2:3:10026967:10028272:-1 gene:Csa_3G149380 transcript:KGN57007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMVCRGPQPCVDSQFIETTWPRIKLHPHPHPPPSLHFALNSCFLDLDNSSNSLPQCHQLNQILLNHNAINSLPPPPSPPPPSDDDDVSKKSVKSAMASKELQSDYVHPLVKRSRSALSDESLALCTENLGNETGDDFVEDVVFSDLINERSSMRKRVKSKRNQGNNKVFPPPLTTIAGVDSIRVRSYREDGRLIMEAVKTPARLSYFHVERSHGRLRLSILKSSTSSSRFDCGEESTEKQKVREKEKEEEEEVRRNDDVEVVGEKGNYEEDLGGKVEENGNLGGAEIEIEKVERPCRCNEAGENNNIDEIKNNNEMLVLTREPLSIWVSS >KGN57126 pep chromosome:ASM407v2:3:10799025:10802457:1 gene:Csa_3G159460 transcript:KGN57126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQDSRRPTNHPPPPIVKLESTDDRKPSSPAPLSKKIVIKSADMFTDMQKEAIDTAIAAFEKHSVEKDIAEQIKKEFDKNHGPTWHCIVGRNFGSYVTHETNHFVYFYLDQKAILLFKSG >KGN59043 pep chromosome:ASM407v2:3:29055770:29058956:-1 gene:Csa_3G748230 transcript:KGN59043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASLSNMGEAVNGSTIGPGLGDIPENCVARVFLHLTPPEICNLARLNRAFRGAASSDAVWESKLPSNYQDLLDFLPPERYQNLSKKDIYALLSRPVPFDDGNKEVWLDRITGRICMSISAKGMAITGIDDRRYWNWIPTDESRFNVVAYLQQIWWFEVDGMVKFPFPADIYTLTFRLHLGRFYKRLGRRVCSFEHTHGWDVKPVRFEMSTSDGQQATHEFCLDEHGFIDVSGHRKRGSWIEYKVGEFLVNKSGSTTEIRFSMKQIDCTHSKGGLCVDSVFIIPSILKERKR >KGN57907 pep chromosome:ASM407v2:3:18896546:18898442:-1 gene:Csa_3G386310 transcript:KGN57907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNPLHFLSLSLCFLVLFNGCLATKENFHDVSRRFREGQSRYRECRLDMLEALEPSRRIEAEGGVIEMWDPSHEMFRCAGVAIQRYIIDPNGLLLPQYTNAPRLMYIESGRGIKGVVLPGCPQTYQESQKSAGAFRDQHQKIRHVRAGDLFAVPAGSAHWTYNDGNEKLIAVVLLDVSNHANQLDFHPRAFYLAGNPEEEFPEWRSQWKGEQGRHSGRKEGSSNKNNIFYAFDDRVLAEILNINIELASKLRGGDDFRRNIIKVEGQLQVIRPPRSRGGRRGEEQEWEEEQEEEMQRQRERHQGRRWDDNGLDETICSMRMKENIGDASRADMYTPEAGRLSTTNSHRFPILRWLQLSAERGVLYRNAMYVPHWNQNAHSVIFVTRGRARVQVVNCRGQTVFDGELQQRQVLVVPQNFAVLKKASDEGFEWVSFKTNDNAMINTLAGRISAMRAFPVQVIASAYRVSTEEARRLKFNREETNLIPPSMSSYRPA >KGN56847 pep chromosome:ASM407v2:3:9097859:9098584:-1 gene:Csa_3G134950 transcript:KGN56847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFLLQPPLFALFFLTSFSPSLSFPRNSSSFGESSSNPSLNNMLFTSSESSGRPSRRKNTIFGAPCGLCGQVIFGSEALNHHYNYHFLQNELASFRGHSNPSSGISQRPPLWSNYFHGQASASREPQMTLNDYLTMPPSLRSYSGAAIDMAHFLPLHPLLAQPPPLPRTSTANRMNFQQNQAVAGHRRQGNQVQWNNGGVREVGGQPASEEQPPQDVIDLNSEENDCSSDDSEGLDLTLSL >KGN55818 pep chromosome:ASM407v2:3:1666344:1670397:1 gene:Csa_3G017120 transcript:KGN55818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKPNDQESSRLTLPILIFVSLVSCAAVYTFLPLLLRLNGGDPSKLESFAVIRDGDGGNLDEGGEKCCRGIENLELWGPAVKWGSEFKFNSSELCCQACKAMCSGNDGPCLCDTWVYCGDQEKCGPKYGECWLKKQKDTLVPDRQEGGTTSIWTSGIIFGRGEGIVALETYYGTLHIKLFPDCAPHSVAYILELLGLRHCAGCHFYRAEGRGESWDSKGNHIENAPLGPPFALVQGTLESQGIQFKKIPVEVCPYIKRGSVAWVGSGPEFFISLANHQEWNKVYTVFGSILPEDMEIAEKIARLPTKPDVWNNINVSLLQKPVSLRITRMKKSHGELNVKSD >KGN59170 pep chromosome:ASM407v2:3:30004865:30005140:-1 gene:Csa_3G778260 transcript:KGN59170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAKTIKSFTHLQQEHIGPWSTLLDLQVHGEEERLNAKIDDEDKGLYGVEIGKGIIPKDYIREKTRRIRTKNDSEIVEGIKKMMKKKIKEN >KGN60373 pep chromosome:ASM407v2:3:38917353:38920632:1 gene:Csa_3G901180 transcript:KGN60373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLDALSSNDLFNFIIYDTISATPNTSNNNVVPHHDSSENTFLSDDKCSKPNSRKRCTSEVEISNRVVVPLSTTTTTTTTTTQHGKKKRKRKAKVCKNKEEAETQRMTHIAVERNRRKQMNEHLSVLRSLMPESYVQRGDQASIVGGAVEFVKELEHLLSTLEAKKLQILQQEVDQHQEQEMNEDSRIRKNDNNDNNNKLFSFASLLMNNSDQNNYSSQYSTKYTSKSKASSADIEVTLIETHANLRILSTRSHRQLLKLIAGLQALRLTILHLNLTDFHPLVLYSISLKVEEGCQLRSVDDIAAAAHHMVRIIEEEAVLC >KGN59622 pep chromosome:ASM407v2:3:33118775:33121820:-1 gene:Csa_3G829120 transcript:KGN59622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVKSILLAIVFLLRFQALLYCGTAAMILAEMTGNVAARFLAERRNRMTMGDRTRSRSSEVRGFLSLFLGLFLLSISWDRIDCFPFATSFIDKYGFSVLPRENCMRIWPMLLPFLSGFLGCYERISMNWGSVKQLGQKRVRLVSLFFTTIILFVPAVISMLLFEAEGKSVSFGNLAWPLANTVVFGVLLNENYSDDKLVSSKDFRSEFLVTFVCTVILELLYFSELSLWGLLFCGLLLYVAVRELDPVYLNYLELGVESSDSIVTMVMRPVRHILTERKSRKIALFLLLNTGYMVVEFVAGFMSNSLGLISDACHMLFDCAALAIGLYASYISRLPANNQFNYGRGRFEILSGYANAVFLVLVGALIVLESLERILDPQEISTSSLLTVSIGGLVVNVVGLIFFHEEHHHAHGGSGSCAHSHSHSHSHSHSHSHSHSHSHSHSHSHSHSHSHSHSHSHSHSHSHSHSHSHSHSHSHSHSHSHSHSHSHSHSHSHSHSHSHSHSHSHSHSHSHSHSHSHSHSHSHSHSHSHSHSHSHSHSHSHSHSHSHSHSHSHSHSHSHSHSHSHSHSHSHSHSHSHSHSHSPSKSHSCAGSHHHDKHEHDSGRKHENAISVTKECHESSVSGHHEHKQVDVCSENHLSNQCDDHHHEHTDHHDHKHSHNHDHHHQHDCKHEHHDHVHQHDQHGHLSEPKSDVPKMVSYSVSENSHSHPSKQPVEGTVRKKHHHHHIDHNMEGIFLHVLADTMGSVGVVISTLLIKYKGWLVADPACSIFISIMIISSVIPLLRNSAEILLQRVPRAHEQDLKEAVRDIMEIHGVQGIQNLHVWSFTNTDVVGTLRLHVSTETDKPSIKAKVEHILHDAGIKDLTLQLEHNQELS >KGN58400 pep chromosome:ASM407v2:3:24865412:24869513:-1 gene:Csa_3G636980 transcript:KGN58400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSKVLIIGGTGYLGKRLVKASLKLGHETYVLQRQEIGVDHIEKVELLLSFKKKGAHLICGSFNDHNTLVKAIKLVDVVISSISGVHIRSHHILLQLNLVRAIKEAGNVKRFLPSEFGTDPARMEDAMEPGRVTFDDKMVVRKAIEEAKIPFTYISANCFAGYFLGGLCQPGSILPSKDHVLLLGDGNQKAIYVDEDDIAMYTMKSIDDCRTLNKTVYIRPPKNILSQREVVEIWEKLIGKQLIKTSISSQEFLANMKDQDYAAQVGLSHYYHVCYEGCLANFEIGKDGEEACNLYPEVDYTTVEEYMKRYL >KGN59266 pep chromosome:ASM407v2:3:30672965:30676746:1 gene:Csa_3G792060 transcript:KGN59266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRSYSYSPSPPRSYGRRYRSPSPRGRGGRRRDLPTSLLVRNLRHDCRPEDLRGLFGRFGPLKDIYLPRDYYSGEPRGFGFVQFVDAADAADAKYELDGQVLLGHELTVVFAEENRKRPEEMRARDSSRGRSYSYSHRHSPLRYSQSPHYDRKYSRCPEYYSPARSRRYSRSPRGPRYREQSYSRSPSDPRGRSYSRSRSRSYSRSYSRAIFALDH >KGN56856 pep chromosome:ASM407v2:3:9143641:9148532:-1 gene:Csa_3G135040 transcript:KGN56856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATASVAFKSREDHRKQLELEEARKAGLAPAEVDEDGKEINPHIPQYMSSAPWYLNAERPSLKHQRKWKSDPNYTKSWYDRGAKIHQADKYRKGACENCGAMTHDAKACMERPRKVGAKWTNMHIAPDEKIETFELDYDGKRDHWNGYDPATYARVIERYEARDEARRKFLKEQQLKKLEEKNNKQTEDDEVSDEDEDEDEDEDDLKVDEAKVDESKQMDFAKVEKRVRTTGGGSTGTVRNLRIREDTAKYLLNLDVNSAYYDPKTRSMREDPLPDADPNEKFYGGDNQNRISGQALDFKQLNVHAWEAFDKGQDIHLQAAPSQAELLYKNYTVIKEKLKSHTKDAIMEKYGNAAAEEKLPRELLLGQSERQVEYDRAGRIVKGLELALPKSKYEEDVFINNHTSVWGSWWKDHQWGYKCCKQTIRNSYCTGAAGIEAAEAAADLMKSNIARKATSEDTPASTEEKKLATWGSEVPDDIVLDQKKLTEALKKEDERRKEEKDERKRKYNVRWNDEVTAEDMEAYRMKRVHHDDPMKDFLN >KGN59150 pep chromosome:ASM407v2:3:29905563:29911522:1 gene:Csa_3G777580 transcript:KGN59150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLFTFLSLLLGFSFFSLFINNGVVFVDALHKIYPELQSIQTDASVIKPLHRTRFHFQPRRNWINDPNGPMYFKGIYHLFYQYNPKGAVWGNIVWAHSISRDLINWKPLKPAIYPSKPFDINGCWSGSATVLPGDKPVILYTGIDPQNRQVQNYAIPANLSDPYLTEWIKPDNNPIVDPGPGVNASAFRDPTTAWLSKSGHWKTVIGSKRKKRGMAYLYRSRDFVKWTKAKHPLHSAANTGMWECPDFYPVPLRGKLGLDPSVTGNWVKHVFKVSLDLTRYEYYTVGKYFPKKDKYVPDNTSVDGWSGLRYDYGNFYASKSFYDPLKKRRVLWGWANESDSAQDDVSKGWAGIQLIPRTVWLDHNQRQLKQWPVKELNTLRGKKVVLSHQKLLKGHTVEVKGITAAQADVEVMFSFSSLDKADPFDPRWVDAQAACDQLGNSQGGVGPFGLLTLASENLDEFTPVFFRIFKAHHKHVVLMCSDARSSSLKEEGLYKPAFAGYVDIDLSRKKLSLRSLIDHSVVESFGGGGKTCITSRVYPTKGVFDDAHLHVFNNGTEAITVEYLRAWSMKSARMN >KGN59470 pep chromosome:ASM407v2:3:32135496:32137740:1 gene:Csa_3G822220 transcript:KGN59470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHLEDSLRSLSLDYLNLLINGQAFSDVTFSVEGRLVHAHRCILAARSLFFRKFFCGTTTEAGASSGLSPVGSPSPSTGSSSTQVIPVNSVGYEVFLLLLQFLYSGQVSIVPQKQEPRPNCGDRACWHTHCTSAVDLALHTLSAARSFGVEQLALLTQKQLASMVEKASIEDVMKVLLASRKQDMHQLWSTCSHLVAKSGLPPEVLAKHLPIDIVAKIEELRIKSSLARRSLMPHHHHHHHHDLSVAADLEDQKIRRMRRALDSSDVELVKLMVMGEGLNLDEALALHYAVENCSREVVKALLELGAADVNYPAGPAGKTPLHMAAEMVSPDMVAVLLDHHADPNVRTVDGVTPLDILRTLTSDFLFKGAVPGLTHIEPNKLRLCLELVQSAALVLSREEENANANANVNVSSSPIYPPMSEDHSSSSSNNNNIGNLNLDSRLVYLNLGASGRMGGSRVDGEDDNRHGSQGGGGCIPTMYHHSHDFR >KGN57830 pep chromosome:ASM407v2:3:17881654:17883151:-1 gene:Csa_3G337350 transcript:KGN57830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQICSISEEVKLKACGQKFYHFFLTKMDVLNQMFPQNINCTYEFVEGNSFTHGTVIHWKYDFGGGLEESKVRLTVDEPNKSIAMECLEGDVLKEFQVFKVKVQVKDSDVVGVNSVKWCVEFVKANEDVAPPNNHLKCGIKVCKDLDAYLCNNSN >KGN60434 pep chromosome:ASM407v2:3:39314023:39314568:-1 gene:Csa_3G910710 transcript:KGN60434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENPTSRSILFLLCVPTLIQLAYGLDIVQHSCKLAAKTDPYVDYKLCVQTLKASPNSKDAEFKDLVVISINQSKANATEIGSEISELMKRRSEKWGQYSLNCLKSCLELYSEAVSDLEKALKGLKMEDYETAKTGVSAAMDAPVSCEDGYKEKDGEVSPLSEINDGFFQLVAISLAFINMC >KGN59555 pep chromosome:ASM407v2:3:32653208:32654933:1 gene:Csa_3G825000 transcript:KGN59555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKPNDSVEVSYIAALIQNKLQNLPCVTEECCIYRVSKRLVNIYPSVYEPQLISIGPFHHGREHLKLMEQFKLQFLLRYLSRLSRRPLSFETKARKCYEDCAISMNSHDFVHMLLVDGCFVVEFLIASEQLQTQTTSRVDPLVSKAMNINLYHDLILLENQLPFFVLQGLLYFIDEPNNDDSFTVLVNIVHNFFQANFMKHYCKIPQNIFSPTRKNIRHLVDFLGFYYSPTTTDIINQGNDRLLFLPPSTTELYEAGVILEKAITTNDHYNIMGISFEGGVLKIPPFEIHDLFEITMRNLLAFENFQGGSASESSAIHYILFLGALISKEKDSSLLMKKGILSNLIGGSDEEVSNMFNNIGKGVRFRGHFCYDSTSRNLRKHCDAKSNQWMAILKRDYFNTPWTITSFIFAVIFALITLLQTTFTIYHTTDKDRHV >KGN60156 pep chromosome:ASM407v2:3:37253903:37254987:1 gene:Csa_3G881690 transcript:KGN60156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRAISAALLFNFLLFSAASAFNITKLLSQFPDFTNFNDLLTQTKLADDINSRKTITILAVDNGAISGISGKPLDVMKRILSVHVILDYYDVQKLGKLSSDNTTVLTTLYQTSGTATNEQGFLKVTLINEGEVAFKSAVKGATADSKLVKSVVSQPYNIVVLQITSPIQVPGIDTKPTNSTTAPPPSKSSSPAGSPKEAPVPSAETPSEADAPAAESPKSDAPVSSSPPKPEADSPDAAGDDADAAAPGPSDSSSSSSGVRLVGGAAVVVAVLSSFLAM >KGN56707 pep chromosome:ASM407v2:3:8331544:8332054:1 gene:Csa_3G129640 transcript:KGN56707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSLFLFFLFSIIFPLVFLQTHFSFCDAILDLRSFKGSEMEVMAKGVCNQKIGECLTDPEMESEISRRVLMMQKKYISYDTLRRDMVPCSRPGVSYYECHPGPANSYDRGCEVITRCARDVHDINT >KGN59462 pep chromosome:ASM407v2:3:32082466:32083487:-1 gene:Csa_3G822140 transcript:KGN59462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLPPSTTKLYLCPSLQNSRTEELHGSISLCSMWSTESERLWLTKSAAVFLLVWPLPTKSPAPPELATLALFTLADRRLLQFHQFLTLWSALDL >KGN59820 pep chromosome:ASM407v2:3:34699200:34700304:-1 gene:Csa_3G848290 transcript:KGN59820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDRVHPNAAAAAAASNGAPPAPPKTAPFPATKSQLYGASRPAYRPQPHHRRRHSRSCCCSVCLWLSLTIILLIFLLAIASAVVYLIYRPHRPSFSVSAVKLSQFNITSSSLLNSKFDLNVSTRNPNKKLVFTYNPVSISIFSNEIDIGDGVLPGFVHETKNTTLLKTSVISKGQQLDSSSESTLKSSMKSKKGLPLQIQLDTKVKLKMGALKTPKIGIRVTCDGISVNVPTGKSPATASTSGAKCKVDLRIKIWKWTI >KGN56172 pep chromosome:ASM407v2:3:4640544:4641334:-1 gene:Csa_3G088940 transcript:KGN56172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKVKRILTARTTRASFPACGSSVITSSDLRELADRSGDAPSSMSEPFRNWLGMGPRDQPESSQRESPPPKKVCLFPLGICEVRPQKRKEQCILLSRPSLEWECSVAHGARLELSGSLNGTPTGLLSAAKLICPRSGESQVPERLARDLNCLSCAREGPPVPRQHLEVHFDVLDETRDIPHSMAWHLSLIHSARPDAEPFFHYHLPPLYLMRENS >KGN57150 pep chromosome:ASM407v2:3:10949293:10949752:1 gene:Csa_3G165670 transcript:KGN57150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPDNNPNNSRSSAFDPAATTGGIQPPTKAEKNPNSKASTATATATAASNNVGKALAERAVYGSQQRRTGRRRRNLIHEHGATRLLPSRLSKVSLADHHYNSSPSD >KGN60107 pep chromosome:ASM407v2:3:36882961:36891719:1 gene:Csa_3G878760 transcript:KGN60107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLVSGKNTSSLSIFVLLFSKTIRHTSDRRRRRNCDSMMKRGLSTSSISSLLSLRFTRQQSSSSKFGVNPLPLRFLSSFTKPPGLLDKYRVLVERGELQHDPFQERVASELESLLGRLEQYEKDMEEYHVKLAEWKQNREKERRRLLMEEAESKQQGDAVNRRRSKLLETLMFRKKSENIEPGVGKWVSYLNREKKLDSLVGRCPSAPHAPRGLYIYGNVGSGKTMLMDMFFNATEGIVKHRQRYHFHEAMLKINEDMHKIWKNQVREKSSQSSISSWIMNLPFDTKVKEWLAGEEKYKQEVQMKNILPAVADKFLVDQQAGHVGASILCFDEIQTVDVFAIVALSGIISRLLSTGTVLVATSNRAPNDLNQDGMQKDIFQKFVIKLEEHCEFVLIGSEIDYRRFIAQRSFDQVHYFWPLDCTSMRKFENMWVEITSQLEGQITSETIRVMFGRKLEVPESCNGVARFAFDFLCGQPVGAADYIALAKNYHTVFISNIPIMSMRIRDKARRFITLIDELYNHHCCLFCLAATSIEDLFQGTEEGALFDLESFQFETEAEGGKLRRNVLVEGNVGSVGAPTAIVSMLSGQEEMFAFRRAVSRLIEMQTPLYLEGVRNVHPYFQRREQESSVDPPAPRFEFHQLT >KGN56563 pep chromosome:ASM407v2:3:7485716:7486075:1 gene:Csa_3G124825 transcript:KGN56563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEETEGHSNGNDLHQVLVFGSPWVLPALQSQFSNRFHFLLPSLSDLPLLQFLSSYAQSTQALLISSSCSLVTSPVLDFLPALKLLVTTSTGVDHIDLPELRRRQIAIAYVPDLYSEDG >KGN59092 pep chromosome:ASM407v2:3:29538435:29542356:1 gene:Csa_3G769090 transcript:KGN59092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISSLSATIIPSPTLFTSLSSHSSKPKFPPFPASSSTALKLKPLQFSFPSTRLYAAPEVLDSPDTIEPPPEILEGSGVSTLEGEDFEVPGTSALSIGEDVDKLAPKQKIRIKLRSYWVPLIEDSCKQIMDAARNTNAKTMGPVPLPTKKRIYCVLKSPHVHKDARFHFEIRTHQRLIDILYPTAQTIDSLMQLDLPAGVDVEVKL >KGN56605 pep chromosome:ASM407v2:3:7754753:7758503:1 gene:Csa_3G126200 transcript:KGN56605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWASVVTNCGIKILRCRPLWLPRVLITPIPLPISPSLLSMDRSNTTSSSSFAHSSKSGGRGRDLDLRNDRERTRGRGGGGGGSGKDKIDALGRLLTRVLRHMASELNLNMRSDGYVKVEDLLKLNLKTFANIPLRSHTIDDIREAVRKDNKQRFSFLDENGELLIRANQGHTVTAVETESLLKPILSAEEVPVCVHGTYKKNLESILDSGLKRMKRLHVHFSCGLPTDGEVISGMRRDVNVLIFLDVQKALEDGMKLYISDNRVILTEGFDGVVPMKYFQKIESWPNRQPVPF >KGN56788 pep chromosome:ASM407v2:3:8806472:8807407:1 gene:Csa_3G133880 transcript:KGN56788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVKLHENWLSLSACKVIWALSLKDIPYRYEEEGITNKTPFLPYSNSVSVIVDGKSISDSSVILEYIDETWPQNPLLPSDLLDKATARFWIRFIDDKGAAITRMFYPGGKEQGAKVESLEMLRILEEEVIGEEKFFGGEKIGMVDLAFGEFVEWLGVFEEVIGEKLLESGSFPRLEAWSRAFMAVPAISENRADRNGMVENIKRFRIPLPQHRSALNSSNDMKNVLGFLELFRCFCELVSIVGRFF >KGN56514 pep chromosome:ASM407v2:3:7240192:7245507:1 gene:Csa_3G122380 transcript:KGN56514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKSKRITVRYEKDQSGCMWGLISLFDFRHGRTSRKLLADKKHPSRQTVVTGNSRNKFEILANLDEDCSSTLDSEERKRLDIGKPSVKKLIEEEMFNEQDSRKIECEQPGHLKTSESKKTKKSRKKSRDIDADSFNSSEYSKGQSVDNLPVDAMLKEIYSQIHRKSTSEMKFDPDDNADMQSNEYIADLEQKVVDAIKEYLGQKFNIGKDFTEIQKVQHSREIMEALQIPHSDDELFLELAQNPNSVLLKYIRSLHDVSTERGEEPKSHEFSEVRQSEELVDHKQRLFFRRKVKHRGRNLSRGDENSDKSSKIVILKPGPKGLLNSEADTIRPSVQDPTANDKRKVLNERVSSNFFLSEIKRKFKYAMGKDHHELSANGSDRFPSDHHSERENEKGVIKENGARNSTSKDHFFIERISRPSSDGTRGEKAGKLKSLEINQDLGNIYNNRRSPSNIYVEAKKHLSEMLSSGDESVDFLRGHVPKTLGRILSLPEYNFSPTRRDCKLSPVTSEKRISSSSRLLSVNERMPSFKGESNDIPISPGKSPLCISDNTPNSVQPPIDDNHNINRDLVDQSIREEAVSASTNGMISEGDIESLKVNEIAVHEERSFLEAPSESIESSLSREDQNGEMPDACNDTSVSDVPSDPVASPPIGEDHNDEMPDMLVDEPSINLPQDQGLSEDNQSPPSPSESPSTSFTPGKGVGDLDGGSDVPERPSPVSVLEPLFVDDNMSPVHVISRPAGLPIQPVHIEFDDREPVESDKANIPKSLKKDKEVIFDYVKTVLSASGLTWNQICVRWLSSEQLLDLLLIEEVDLFPNQLCSDQKLLFDCINEVLADVCQNFPPWFSFVKPCLRSDYLVEVCEGVYWHLLPMPQPLTLDHLVTKDMNRTRTWINIHSDAESIGTETCDAIFDDLVDDTILSCVCDSSDDL >KGN60094 pep chromosome:ASM407v2:3:36796870:36799448:1 gene:Csa_3G877650 transcript:KGN60094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQLELELSVPDPTRGFDLNRNACDGKDVFGSDPRSYLCAESTSHGKRNKRGFEDAFFKTKGSFKEMSLLLWNGHPNKEDDDRKDTNQRSSCAIHIKAVEENKAVGWPPIASWRKRHLHGLQQGGPGSDNYWMEEDEDDGIVFNPKYVKVKMEGVPIARKIDVGMYNSYQTLKTASINMFSDSCYQKCGNSNASLTLTYQDKEGDWLLAGDLPWQNFVESVQCMKIIRRQPSN >KGN56022 pep chromosome:ASM407v2:3:3342268:3343180:1 gene:Csa_3G047830 transcript:KGN56022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLILNLSPKTPHSPFFPSLSNPKTTTLNFTTTLPRRWLARPRILTVRSTGDDNNNNSADAPDRLISAICYFYPFFDGVQYGKYVITQYTPFQVLIQPLVPAIRVFKSFPLNSFLVFLTLYFVVVRNPNFSRYVRFNIMQAIVLDVLLIFPDLLERSFNPRGGLGLDLVMSLDSTVFLFLLICLIYGSSSCLLGQIPRLPIVADAADRQVM >KGN55655 pep chromosome:ASM407v2:3:472647:474692:-1 gene:Csa_3G002750 transcript:KGN55655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLFAIFFFFSVFLTLHGSFGAKFTFVNKCDFTVWPGVLSGAGSLKFDTTGFELRKGSSRSFQAPAGWSGRFWGRTDCSFDGSGRGVCNTGDCGSGEIECKGAGAAPPATLAEFTLGSGSSPDFYDVSLVDGYNLGMIVEGTGGTGACGSTGCVTDLNRQCPLELRTEKGGACRSACEKFGTPEYCCSGAYGSPATCKPSKYSEIFKSACPRSYSYAYDDATSTFTCSGADYTVTFCPSSPSLKSSTDSPPKTTTGTTGVVAQTTMPLPESSWLVDMAMGGSTRTHQVDVSFLLFVLIFGSSLVFFSS >KGN57069 pep chromosome:ASM407v2:3:10433993:10437174:-1 gene:Csa_3G151470 transcript:KGN57069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLDNLSIDTALKIASSLQVSDICSLGCCSRFCRQLCDSDYLWESLARERWPYINGSSSSTLAQSSISMGWKSFYIQRHIEISGRAQAAVKFVEQCSPSTPIEGGDYLRTIGGLWDLKLSFVDAQMVLFKPQLNELLNLVGLHYCRIWLQIPANQIMEALQRCKIAERHICVKWWKLGRWFYGFRMRDEQQTRRVSLAELMTEEGEDVLGVLSRGAVHEVLRVQVSVGDSFAGHWSRQST >KGN56530 pep chromosome:ASM407v2:3:7315753:7317047:-1 gene:Csa_3G122540 transcript:KGN56530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLSLASGNVVNKDCCLEFPRLYFAEGPLAGKLLRSSYRRGPEACAAILWKSSQKTPKAAEKLRITAQEHYKLKIADGIIPDTWDNIISNGAPIDKELIEELEGVKAELVNILKTANLEVIGVVKKSAAAPTEEVKEKVQRLKEEINGEIQKAIEVEGIGEKIEELKAKMANVLDLKEVKRVEAEIKEKIVEALEASGLKEKVETLKMEVASSMAAGAEGMVGVR >KGN56825 pep chromosome:ASM407v2:3:8987447:8991433:1 gene:Csa_3G134740 transcript:KGN56825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHSHNVRRESLSGHLSVARPDYHVDVFENDLVWPFNKLDGIDRDNVRETAYEIFFTACRSSPGFGGRNALAFYSSSNNDNADGASGPKPNGVVMTPTSRIKRALGLKMLKRSPSRRMSSGGNSGSNPSSPSSHSSSGSSPALSYTLPSPRPRRPMTSAEIMRQQMKVTEQSDNRLRKTLMRTLVGQMGRRAETIILPLELLRHLKPSEFTDANEYHLWQKRQLKILEAGLLLHPSIALDKSNTFAMRLREIIRGCESKPIDTGKNSDTMRTLCNSVVSLSWRSANGIPTDVCHWADGFPLNIHIYVALLQSIFDVRDETLVLDEVDELLELMKKTWSTLGITRPVHNICFTWALFQQYVVTAQLEPDLLCAAHAMLAEVANDAKKPDREAVYVKLLSSVLSSMQGWAEKRLLHYHDYFQRGTVGQVENLLPLALSASKILGEDVTITEGAGKNEGDVLVVDSSGDRVDYYIRCSVRNAFAKVLENGNLKEVKGEVSEALLQLAKETEDLALKERESFSPILKKWHPTAVGVAAVTLHNCYGTMLKQYLGGVSTLTSETIGVLHRAGKLEKVLVQMVVEDSADCDDGGKAIVREMVPFEVDSIIMNLLKKWVDERLKRQRECLSRAKESETWNPRSKTEPYAQSAVELMKQAKETVEEFFEIPIGVTEDLVQDLAAGLEHIFQDYITFVASCGSKQSYLPQLPPLTRCNRDSKFVKLWKRATPCSVVGEDMNHIGPHEPHHPRPSTSRGTQRLYIRLNTLHYIFSHLHSLDKVLSLSPRVTPPTSNRFSSSRSYSNSSSYFELANSGIESACQHVSEVAAYRLIFLDSASVFYDCLYVCDVANARIRPALRVLKQNLTLLCAIVTDRAQALAMKEVMRSAFEAFLMVLLAGGSSRVFYRSDHEMIEEDFESLKKVFCACGEGLIAENVVEREAEPVEGVIALMSQITEQLVEDFSIVTCETSGIGVMGSGQKLPMPPTTGRWNRADPNTILRVLCHRNDRPANQFLKRTFQLAKRK >KGN58766 pep chromosome:ASM407v2:3:27602008:27604053:1 gene:Csa_3G731770 transcript:KGN58766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRNLVWNVVKKSFTFGIIGVTISDRYASVVPIRGASMSPTFNPIATSLTGPMTGDYVLVEKFCLEKYKFSPGDVIVYRSPCNYKEKQVKRIIALPGDWVGTRQTYDVVKVPEGHCWVEGDNPECSMDSRSFGPIPMGLIQGRVSHIVWPPQRIGAVEKKYPQGESNPTNSTKTQGRERSSFS >KGN58277 pep chromosome:ASM407v2:3:23460570:23462686:1 gene:Csa_3G604620 transcript:KGN58277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDVTGKSLECQKIMDGKVTNGNGREKVIPSCCLKAKTSDPELEAQCHSTVVSGWFSEFQSGSDKACKKLYFNNPMWPGEAHALEVENILFKGKSEYQEVMVFESASYGKVLVLDGIVQLTEKDECAYQEMIAHLPLCSIPSPKSVLVVGGGDGGVLREISRHDSVEHIDICEIDQMVIDVSKKCFPQLAVGFEDPRVHLHVGDAVEFLRNGKQGKYDAIIVDSSDPVGPAQELVEMPFFRMIAQALRPGGVLCNMAESMWLHTHLIQDMISICRQTFKDVHYAWTSVPTYPSGVIGFLLCSTEGPKVDFSNPVNPIENVGHPKSKRELKFYNSEMHSAAFALPSFLKREVQALNDAPASGKNGCISS >KGN56785 pep chromosome:ASM407v2:3:8794875:8798733:-1 gene:Csa_3G133360 transcript:KGN56785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSDFLGGGARWKSRKKKKKRETVSDERGQQNSKTRNPLLQDPFEVLGSDLLLAILSYLDARSVALSLPVSRSWYAVASSDRLWSSKCMELWLGKAHIPRLAQSRGLPKLAAYSLSVMDGKRTRIMREDLCDHAWQFHFNKEAPVYWRDLDPYWQGSHPLMRRYFHPDGTQTADPDDRVWGGHESCFSTVTSFVGDGEIREHYVRINRWPRMFVSRNEDWSWRMSNHFTSYSSIADPEKTGGTGPL >KGN58344 pep chromosome:ASM407v2:3:24297645:24301094:1 gene:Csa_3G625100 transcript:KGN58344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFSMSIPTSAFSTVTRLRSLTLSLSPYHHYFHCPNHIIPTLFLPAYSVKVRRQLPRIRAFASGSFVKQLVYDHDSPSESEEHLSSSFSNGGDGFHFENGFASVDLKHLGTPVLEVKELDELPEQWRRSKVAWLCKELPAQKPGTVIRLLNAQKKWMGQDDATYLIVHCLRIRENETAFRVYKWMMQQHWYRFDYALSTKLADYMGKERKFSKCREVFDDIINQGCVPSESTFHILIVAYLSAPVQGCIEEASTIYNRMIQLGGYQPRLSLHSSLFRALVSKPGDLSKHHLKQAEFIYHNLVTSGLELHKDMYGGLIWLHSYQDTIDRERIVSLRKEMQQAGIKEEREVLLSILRASSKMGDVMEAEKLWQELKYLDGNMPSQAFVYKMEVYAKMGKPMKALEIFREMEQLNSTNAAAYQTIIGILCKFQVIELAESIMAGFIESNLKPLTPAYVDLMNMFFNLNLDDKLELTFSQCLEKCKPNRTIYSIYLDSLVKVGNLDRAEEIFSQMETNGEIGINARSCNIILRGYLLCGNYMKAEKIYDLMCQKRYDIDPPLMEKLEYILSLSRKEVKKPMSLKLSKEQREILVGLLLGGLEIESDDERKNHRIQFEFHRNCKTHSVLRRHIYEQYHKWLHSASKLTDGDVDIPYKFCTVSHSYFGFYADQFWPRGRRAIPNLIHRWLSPRVLAYWYMYGGCRTSSGDILLKLKGSHEGVEKIVKSLREKSIHCKVKRKGNMYWIGLLGSNATWFWKLIEPFILDYLKESTQADSLNLVGVLNGSENINFDSESDSVEETSN >KGN56692 pep chromosome:ASM407v2:3:8264347:8265346:1 gene:Csa_3G129500 transcript:KGN56692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADESIPVLNKDMFVGLLFKFYVSGYGGDVLSVLVCSFLVWVLFFWKPNCGVQITTLVKILHGFYVFISVKMDLIWTLIFYLQFLIAIIFLGCTGFLIYCCCLVESAS >KGN56204 pep chromosome:ASM407v2:3:4935988:4936577:1 gene:Csa_3G099650 transcript:KGN56204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSAVCRAWFVAASIGAVEALKDQGVCRWNNVIKSLQQHGKTKVRSYYQAKKLSASSSSAIANQIKKSREDKMRKVMDLSCLGPSTIRF >KGN56793 pep chromosome:ASM407v2:3:8842409:8846868:-1 gene:Csa_3G133930 transcript:KGN56793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQVNLAVGSLVWVEDPEVAWLDGDVLEVNGEDIKVLCTSGTTRSVMSEFSWERFCRIIFGSFVQKGISALVEVKSSNVYPKDPEFPPCGVDDMTKLAYLHEPGLLQNLKSRYDVNEIYTYTGSILIAVNPFRRLPHLYDNHMMQQYKGAALGELSPHPFAIANSAYRQMINESISQSILVSGESGAGKTESTKMLMRYLAHVGGRAGGKAATGERSVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDQSWRISGAAIRTYLLERSRVCQVSDPERNYHCFYMLCAAPTEDIEKYKLGNPRTFHYLNQSNCYELDGVDDSKEYLSTRKAMDVVGISTTEQDAIFRVVAAVLHLGNVEFAKGTEIDSSEPKDDKARFHLKMAAELFMCDEKALEDSMCTRVIVTRDETITKCLDPDSATLSRDALAKIQILFKHLLRRYRIVDKINNSIGQDPDSKNLIGVLDIYGFESFKTNRCLTGTLLLSSLVKDEISSSNFTCICWQHITYM >KGN55680 pep chromosome:ASM407v2:3:633104:634255:1 gene:Csa_3G003480 transcript:KGN55680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTTATADSLTPPSSKRNKLALHHHPSSPLLPGLPDHVAQFCLSHVPPSLLFSVSRSWRRLLYSPSFPPFSSLYALLSSSSNSLDFFNFDPISSKWSPLPPPPNSPSSHLLIHHPSFLSRHLPVQSLTVSGHLLLLAATTYNLLPALPRPLLFSPFSNSWRLAPPLPTPRRWCAAGALHGSVYVASGIGSFFSTDVARSVERWDFKSNGADGWEKVSGLKDGKFSRDAIDAVGWKGKLCMVNVKGHALKEGLVYDLEKDEWEEMPEGMIEGWRGPVAAMDEKDMYVVDEISGSLRRYDSEKDFWEEVMESNRLKGAVQMAAGGGRVCVVCGGSRGEIVVVDVVTSPARLWVEPTPPGTEVVAVHVLPRMNGAADS >KGN55970 pep chromosome:ASM407v2:3:2967325:2968410:-1 gene:Csa_3G041380 transcript:KGN55970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFQILECDCKAPKKATLSHGVVHRRRTAKFPPSPWGDYFLSCEFSILNNTKNEDDENNVKKDVEKLKEDVIRMFRAVSENSSQTLSLIESIQRLGLTDHFEKEINEFLNMPNSFNLDDNEEDIYYTTLKFRLLRQQGYSIGCGK >KGN58183 pep chromosome:ASM407v2:3:22482341:22482739:-1 gene:Csa_3G585940 transcript:KGN58183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSDKTLTTNIDMFPPPPQLLAGALSSPSYSILLISLSSSTAPPHFLQRQLIDTDPHYPVARRLPFFFIFGNL >KGN58409 pep chromosome:ASM407v2:3:24967928:24973857:1 gene:Csa_3G639040 transcript:KGN58409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGKLKEDSTINEQLAQKPFEKPDFKAEKKKKKRRDRDSVRLEENEVSPKTKVQEGTDSELQKKKKKHKKSKDVNLDIKISDEKEEAKVDENSTDGSVVVTGKGVKEAKFVPLKSFVESGLPDEVLECCRTFKSPSPIQSHAWPFLLHGRDFIGIAATGSGKTLAFGVPGIMHVLKKRKGKMPRGRSPLCLVLSPTRELAQQISSVLENAGKPCGVMSTCLYGGVSKGSQISCLKSGVDIVIGTPGRLKDLMEMEVCRLAEVSFVVLDEADRMLDMGFEPEVRSILSQTCTERQMVMFSATWPLQVNQLAQEFMDPDPVKVVVGSEDLAANHDVMQIVEVLDDRLRDDRLGALLEKYHKSQRNRVLVFVLYKNEAARVERMLQRRGWKAVSIHGDKAQTERTKALSLFKSGSYPLMIATDVAARGLDIPDVEVVINYSFPLTTEDYVHRIGRTGRAGKKGVAHTFFMQQNKGLAGELVNVLREAKQVVPDALLKFGTHVKKKESKLYGAHFKEITADAPKSKKITFNDSDDE >KGN59322 pep chromosome:ASM407v2:3:31022278:31024671:1 gene:Csa_3G809980 transcript:KGN59322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRPPPEDFLLKETNPHLGGGKVTGDKLTSTYDLVEQMQYLYVRVVKAKDLPGKDVTGSCDPYVEVKLGNYKGTTRHFEKKSNPEWSQVFAFSKDRIQSSVLEVTVKDKDFVKDDFMGRVLFDMNEIPKRVPPDSPLAPQWYRLEDKKGDKLKGELMLAVWMGTQADEAFPEAWHSDAATVSGTDGLANIRSKVYLSPKLWYLRVNVIEAQDLQPTDKGRYPEVFVKAVLGNQALRTRISQNRTINPLWNEDLMFVAAEPFEEPLILSVEDRVAPNKDETLGRCAIPLQYVDRRLDHKPVNSKWYNLEKHIILEGEKKKEIKFASRIHMRICLEGGYHVLDESTHYSSDLRPTAKVLWKQSIGVLELGILNAQGLMPMKTKDGRGTTDAYCVAKYGQKWVRTRTIIDSFTPKWNEQYTWEVFDPCTVVTIGVFDNCHLLGGDKGGGTKDSRIGKVRIRLSTLETDRVYTHSYPLLVLHPNGVKKMGEIHLAVRFTCSSLLNMMHMYTHPLLPKMHYIHPLTVSQLDSLRHQATQIVSMRLTRAEPPLRKEVVEYMLDVGSHMWSMRRSKANFFRIMGVLSGLIAVGKWFDQICNWKNPITTVLIHILFIILVMYPELILPTIFLYLFLIGVWYYRWRPRHPPHMDTRLSHADSAHPDELDEEFDTFPTSRGGDIVRMRYDRLRSIAGRIQTVVGDLATQGERLQSLLSWRDPRATALFVLFCLVAAIVLYVTPFQVVALLTGFYVLRHPRFRHKLPSVPLNFFRRLPARTDCML >KGN58255 pep chromosome:ASM407v2:3:23144035:23151865:-1 gene:Csa_3G599480 transcript:KGN58255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSQGLSVDRLCLPFVGRKGEGEGDDQKLGSGNRRIWHIKMKRQTSPLANHRSVSIYDFLSSIMVVKSRVLRSAANGELHSPVTPEEKPKRSKVATPGGKSAKAAAPEGDAEHPSPSPMRRTSARIIKMKAEKKLLARQRVELLDEPSSGSKRKKTNSKVKSKRNTPNVKEEVREDKGEVVEDEAVVVPASKDVTKSKDGDARKPMEVCAPEKRTGDDVGAGNMVEKSDHVKVKETLRLFNKYYLHFVQEEEKRCKKAEVAKKAPKRSKSKKEVPVEDTKNKSKRPDLKAVSKMLETNEILNHEKRIGNVPGINIGHRFYSRAEMVAVGFHSHWLNGIDYMGLSYSKKFLPLPLCAPSSTLLVPLVGMLRYSTMSSSLILNLKLANLVWNLLCCLWYPFGD >KGN59617 pep chromosome:ASM407v2:3:33097623:33099593:-1 gene:Csa_3G829070 transcript:KGN59617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQKIETGHQDMVHDVQMDYYGKRIATASSDFTIKIVGVSKDSGSQILATLNGHKGPVWQVAWAHPKFGSMVASSSYDGQVIIWKEGNQNQWSQAHVFSAHKSSVNSIAWAPHELGLCLACGSSDGSISVFIARSDGGWDNNPIEQAHPVGVTSVSWGPMTAPGSLVGSSSEDPVWKLASGGFDSSVKVWTLKDGSWRRDSSLSLQIHTDWVRDVAWSPNLGLQKSTIASGSQDGTVIIWSTKEGGKWDATLVNDFKTPIWKVSWSLTGDLLAVSDGNNHITLWKEGTGGKWQQVTTVEP >KGN56845 pep chromosome:ASM407v2:3:9092704:9094377:1 gene:Csa_3G134930 transcript:KGN56845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFKRYVEIGRVALVNYGEDYGKLVVIVDVIDQNRALVDAPDMVRSQMNFKRLSLTDIKIDIKRVPRKKELMEAMKAADVQKKWEDSSWGRKLLVKQRRASLNDFDRFKLMLAKIKRAGLVRQELAKLKKSAS >KGN56174 pep chromosome:ASM407v2:3:4662553:4664475:-1 gene:Csa_3G088960 transcript:KGN56174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHTICIWNVWSTGQKLAFKSNFHNAAVKDVQWSQQGLSVLSCGYDCASRLIDVEKGTEVGVFKEDQGVAVVKFHPNNPNLFLSGGLKGSLRMWDMRIGKMVNKYNRRLGPILDVEFTPNSNQFISSSDVSTSNSSESSIIIWDVTREVPLSYQVYMEAYTCPSVRCHPSDPAFIAQSNGGYIAIFSLNPPFKLDKYKRYESHGVSGFPIKCNFSLDAKQIISGSSDGSIYFYDYKSSLLIHKLKASEQACIDIAIHPTLPNVIASCSWNGKISVFQ >KGN58813 pep chromosome:ASM407v2:3:27812449:27815893:1 gene:Csa_3G733180 transcript:KGN58813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPQEVSQSDIDLEQGNHRRSVSGSDLSADCSVYFSDADEGSCYSQFFSTNGGSYDEYRFAFVSQPEVGVVSDSRRVSSVSDRSVEVENENGITEIKVHSAKVERDCRICHLGLESNSQESGIPIELGCSCKDDLAAAHKHCAETWFKIKGNKTCEICHSIARNILGPNEVELTEQSNESNNASSTTTVAASIPSSDSQSFWCGHRFLNFLLACMVFAFVISWLFHFNVPS >KGN58578 pep chromosome:ASM407v2:3:26330133:26338348:-1 gene:Csa_3G689280 transcript:KGN58578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEGAFDLASGVGGKMSKADVLCAVEKYEKYHGYYGGEKEEREANYTDMVNKYYDLVTSFYEFGWGESFHFAPRWIGESFRESIKRHEHFLALELGLKPGQKVLDVGCGIGGPLREIAKFSYTSITGLNNNSYQITRGEELNRIAKLDKTCNFVKAEIEIGSGLPDIKTIGKCLEALKQAGFEIVWEKDLTEDSPVPWYLPLDGGQFSITNFRATAIGRCVTKYMVRALEYIRLAPKGSERVQNFLEQAAQGLVEGGKKEVMTPMYFFVVRKPLSSGE >KGN58825 pep chromosome:ASM407v2:3:27867203:27869955:1 gene:Csa_3G733300 transcript:KGN58825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTNNQLEKIKRDQLSEEDDQIWVYDSSVDHKGNLPLRASTGVWKSSLFIIAIEFSERLSYFGIATSLVIYLTKVIHEDLKTAARNVNYWTGVTTLMPLFGGFLADAYLGRFSTVLISTVIYLLGLSLLTLSTLVPSLKPCGGETCEEPRKLLLLLLGTGNWVTLQILLNFMKFKVVISFRGGSCPIPRISSKTSPYTLTIYFIQSLSLKS >KGN55646 pep chromosome:ASM407v2:3:414572:425624:1 gene:Csa_3G002660 transcript:KGN55646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISDGVEDEEKWLAAGIAGLQQNAFYMHRSLMLSELRTSKLSPHKYYDLYMRAFDELRKLEIFFMEETKRGCSIIDLYELVQHAGNILPRLYLLCTVGSVYIKSKEAPAKDILKDLVEMCRGIQHPLRGLFLRSYLSQVSRDKLPDIGSEYEGDADTVIDAVEFVLQNFTEMNKLWVRMQHQGPARDKEKREKERSELRDLVGKNLHILSQVEGVDLDMYKDIVLPRVLEQVVNCKDEIAQFYLMECIIQVFPDEYHLQTLDVLLGACPQLQPSVDIKTVLSQLMERLSNYAASSAEVLPEFLQVEAFSKLSKAIGKVIEAQVDMPTVGVVTLYSALLTFTLHVHPDRLDYADLVLGACVKKLSGRGKIEDSKATKQIVALLSAPLEKYNDIVTTLKLSNYSHVMEYLDGETIKVMATVIVQSITKNKTQISTADNVEALFELIRGLIKDLDGSLPDEVDEDDFKEEQSSVARLIQMLYNDDPDEMFKIISTVKKHILTGGIKRLPFTVPALVFSSLKLVRQLQGQEENPFGDETPTTPKKIFQLLTQTIEILSSVPAPELAFRLYLQCAEAANDCDLEPVAYEFFTQAYILYEEEISDSKAQVTALHLIIGTLQKMHVFGVENRDTLTHKATGYSAKLLKKPDQCRAVYACSHLFWLDDHDNMKDGERVMLCLKRALRIANAAQQMSNATRGSTGPVSLFIEILNKYLYFFEKGNPQITVATIQGLIELITTEMQSDTTTPDSSADAFFASTLRYIEFQKQKGGAVGEKYEPIKV >KGN55731 pep chromosome:ASM407v2:3:1004847:1007004:-1 gene:Csa_3G008880 transcript:KGN55731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLWEITLGTAYFLGLKRTYRLALKLQRRIISPKLPKIRQFVRRRTRDVFDVALKVHLNIQKRDLEVGRNLGNWILRLLDRYKPSAQIRKQDGESMANAKKQISSSSILKMPESSQTLKKPKSSRQLFTSSTNIWPKQFPTISMMIKSSNPASNMIQHRHFGILTPAALGPKYVRGWQGGVIRDDIRQWMLQN >KGN58448 pep chromosome:ASM407v2:3:25297543:25298283:1 gene:Csa_3G645860 transcript:KGN58448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSKLQGKVKFQNKGSYNSSNPSSNVNLHYTLIFSSNQKIFTLLLTHYFTLHDYDIYIYICHIINKPAIEQPAEESSASLPLGLLAIGTFGNNINELKVVKTDDENAIIDAKSTLNETDDDNDGSLEGVPELEEELAKLWQQNSALREEESDDFDDDQIEEQIVKKNVGLVVREWEGDVEKNNDPRISIVKRSVSFLIKKIFICGSGFAPLPPSPPPNFMDRPQDATMKKVRKQPIMCYFKR >KGN59860 pep chromosome:ASM407v2:3:35026816:35028825:1 gene:Csa_3G850640 transcript:KGN59860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKCELCGHQARMFCESDQANLCWDCDEKVHCANFLVAKHSRTLLCHVCQSPTPWAASGRKLTPTVSVCEGCVQVHDGKCDQERRRENEVEVDDGDDFVDDEGFDSYEGGDSEEDDDGEEDDDEEEDDEDGENQVVPWSYASSSSPPPPPTVTSSSEGEISAGAGVASKRMREYGVDLDSDDEIESCSAPQSTRPFFNDEATSSSSLRPLKQARVTGPTQSITSTPDDEACKAELKSTAVVRSIQRLQNRLPTDINDASKMIFGICKMSRDQNR >KGN59748 pep chromosome:ASM407v2:3:33998554:34001110:-1 gene:Csa_3G842690 transcript:KGN59748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQSPFPWRSSSSSSTSSSSTNWFRGLFFIPTCLALNSFLFILFYISSTSTPNPFPSQIPSHFSDSSSRYVSSLNLSITTLRVAQKVSLPDDNGGPPLPQFQTQPFPPLPSFGKRIHEQAEGVFHDEELFLEDYKEMNKSFKIYVYPHKRSDPFARSLLPENFEPHGNYASESYFKKSLIKSHFITNDPKEADFFFLPFSITGLRNDRRVSVSGIPNFIRDYIFDVSHKYPYWNRTGGADHFYVACHSVGRSAMDKSSEAKSSIVQVVCSSSYFLTGYISHKDAALPQIWPRKEDPSNLASSKRTRLAFFAGAMNSPTRQALVQVWGKDSEIFAYSGRLKTPYADELLRSKFCLHVKGFEVNTARVGDSIFYGCVPVIIANYYDLPFGDILNWKSFSIVVTTSDIPRLKEILKGINDEEYARLQSNVLKVRKHFKWHSSPVDYDTFHMVMYQLWLRRTSVRLPLLD >KGN59686 pep chromosome:ASM407v2:3:33542779:33549311:1 gene:Csa_3G838650 transcript:KGN59686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKFLSKELAQEEIDKHLEQRNFFITLLAGDDFGVEVDNIDWDTEDELEIENIPLGSHASLAFPGVEANMASSSAGPSNSKTVDYFIGMGFSAKMVAKAIEDNGEENTDSILETLLTLSALENSPPKQQHVDTDDSFSDYEGSFLDDFSDLDSDYENEATAKPVSDADNKMTFLVDMGYSEDEAYAAIERCGVHSSFAELTDFISAAQISKAADVLLGDLPVRPKPKNLNGCSKLNKRRHYDDDTWKKKKPRCFEDEDDDTIRLPNPMIGFGVPNELCLTVHRKIPEAAMGPPYFYYENVALAPKGVWNTISRFLYDVEPEFVDSKYFCAAARKRGYVHNLPIHNRFPLLPLPPHTVHEALPLTRRWWPSWDTRTQLNCLQTCIGSARLTDRIRRALEDYDGVRDPPLHVQKFVVEQCRKWNLVWVGKNKVAPLEPDEVEMLLGFPKNHTRGGGISRTDRYKSLGNSFQVDTVAYHLSVLKDMFPGGINLLSLFSGIGGAEVALHRLGIPLKNVVSVDISEVNRNIVRCWWEQTNQKGTLIDLADVQELDADRLQYYMNLFGGFDLVVGGSPCNNLTGSNRYTRDGLEGKESILFYDYFRILDLVKCIATKTE >KGN56059 pep chromosome:ASM407v2:3:3603809:3608460:1 gene:Csa_3G062610 transcript:KGN56059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSSKDVSPDADNLLTNSSDSPNSSDAIVPSPPFTGPALCLFRFAGDSVAGAFMGSIFGYGSGLIKKNGFKGSFAEAGSCAKTFAVLSGVHSLVACMLKTLRGKDDVINHGVAGCCTGLALSFPGAPQALLQSCITFGAFSFILESLNKRQPALAHPIFSRTRSEMERNRPPLVLPLQFALPVELKGAFSSFCKSLEKSRRCSW >KGN55876 pep chromosome:ASM407v2:3:2174181:2180449:-1 gene:Csa_3G021130 transcript:KGN55876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVSSMNGDGRRSANFPPNIWGDYFLSSTFETMKMEDEVEKKVEEMEEVRKMLITCVEKPLEQLIFIDLIQRLGLAYHFDIEIKEALDRVYMNYNNRITSESNEDIHTVSLRFRLLRQQRYSISCDIFNKFTNDEGNFKETLTKDVKGMLSLYEACHMRMHGEEILERAFAFTTTNLRATINNSKGGHEDKEISYALKWPFFKAMPRLASRNYISSYEEDPLHNPTLLCFAKLDYNCLQKLYQKELHEISRWWKEWKLMEKLSFARDRCVECYIWALGIYFEPKYSLGRTIITKIVVLTSIMDDIYDLYATFEELQLFIHAIERWDDTCIKGLPEYMKVYYEALLETFEGIERDISKYDNPYAIYYAKEAMKRQARNYFFEAKWYKEEYTPTIEEYLRVGRITACYSLFSPISFLGMGNVASIEAFEWIESDPKSLIGAGVIGRIMNDIVSHKFEQERGHVASAVECYMMQYNVLEKEAIRELLEKQVANAWKNIIEDYVESIEDVPNTIFKSVLNLARLSETFYKDEDGYTCSDGETKRIVISLVLDPIPI >KGN56184 pep chromosome:ASM407v2:3:4740835:4741131:-1 gene:Csa_3G094520 transcript:KGN56184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIILKSENSEQPTSSRDRDETQVNGQICAHISSEANEGDVGGNPVVSAGGAGEGNIREVDGGGDAEEALRGVWEMATEAVCPEEPGCLSYYGYDIIL >KGN56132 pep chromosome:ASM407v2:3:4158232:4161593:1 gene:Csa_3G077660 transcript:KGN56132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENFGAASLRSRRLESYFNTSSEPNKREVPKYSVDEQIPKREKTDIYLDDNGWSSVLISCFRTVFCFLTMMVTTFVWALIMLLLLPWPYQRIRQGNIYGHVTGRLLMWILGNPIKIEGSEFSNERAIYICNHASPLDIFLIMWLTPTGSVGIAKKEIIRYPLFGQLYILANHLRIDRSNPVAAVESMKQVARAVAKNNLSLIIFPEGTRSKDGRLLPFKKGFVHLALQTQLPIVPIVFSGTHRAWRKGSLHVRPAPVTVKYLPPIQTNNWTVEKIDEYVKTVHETYAKHLPESQRPHQ >KGN59020 pep chromosome:ASM407v2:3:28912467:28913323:1 gene:Csa_3G745530 transcript:KGN59020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQSILIIYFLSLVVFVNGGVPFEFFQFVEQWGPNVCNTGARVSPCHTPPQAKFTIHGLWPSNHSNAHLLCQSKTIYSKVISLEGQLNISWPDLKYGNNDKFWGHEWDTHGKCSDPPFSLFQYFQISLNLLRKFDLLTILKAAGLNPQTSQNLAIQNIMAPIQRTTKKYPGIRCNKNVKTGKSQLNEIVLCLEKDGATLIDCPTFVSNTCAKSFVW >KGN59586 pep chromosome:ASM407v2:3:32944748:32945031:-1 gene:Csa_3G827280 transcript:KGN59586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEEKIWSGEREGESNGKKPNASSERNLTMQNSFLRSELQNQEIDYQEEQMEDDDDDADRSDSDWRKSTNNV >KGN56814 pep chromosome:ASM407v2:3:8939223:8939537:1 gene:Csa_3G134630 transcript:KGN56814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVNGKNRLSLTSNDDGDAGVLSRFSRSDSESSIYRQSKRAASNTAFVTNKLLRSTGKAVCIAGTTFLILIVPLIIEMDREQQLNEIDLQQATLLGASTVSVQK >KGN57405 pep chromosome:ASM407v2:3:12807179:12812309:-1 gene:Csa_3G183390 transcript:KGN57405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQSQLTILHSKSPELQTPCFSSTKFSVGFSRSISMATHPPLQSLSSSSLTAEITCPRFWSFRKSSNGNVQSRRDVAVRSHLKLNLPLVSPYDQWGNWTVLFSIGAFGIWSEKTKVGSALSGALVSTLVGLAASNFGIIASDAPAFAIVLEFLLPLAVPLLLFRADLRRVIKSTGTLLLAFLLGSVGTTVGTVVAYFLVPMRSLGQDSWKIAAALMGRHIGGAVNYVAISDALGVSPSVLAAGLAADNVICAVYFATLFALASKVPPEPTTLDNGVGKDAEVEPSNKLPVLQSASAVAVSFAICKVGSYLTKYFGIQGGSMPAITAVIVVLATIFPKLFAYLAPSGEAMALILMQVFFAVVGASGNVWSVINTAPSIFLFAFVQISVHLVIIIGLGKLLRFDLKSLLIASNANVGGPTTACGMATAKGWSSMVIPGILAGIFGIAMATFLGIGFGMMVLKYM >KGN57336 pep chromosome:ASM407v2:3:12343444:12346584:1 gene:Csa_3G180250 transcript:KGN57336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFPHCPLLLLLLLLLPFFFFHLLYASPGDSDPIYKDCVVQCEKSGCAGDKCFHHCKFSSDGKPVDGPWYLQEPLYLRWKQWDCQTDCRYHCMLSREEERTSLGDKPVKYHGKWPFRRVYGIQEPVAVALATLNLAVQFHGWISFFILLYYKLPLKPNKKTYYEYTGLWHIYGILAMNSWFWNAAFHCRDVELTEKLDYSSAVAFIGFSLIVAILRALNVRDEAAKVMVSAPIISFVTTHILYLNFYKLDYGLNAKVCLVMGITQLLVWAVWAVLSRHLSQWKLWILVFGGAVAILLEAFDFPPYGGYVDAHALWHATSIPLSYIWWSFVRDDAEFRTSALLKKVK >KGN56646 pep chromosome:ASM407v2:3:7981088:7981267:1 gene:Csa_3G127090 transcript:KGN56646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNQLHPNLGLRVVYGLSKRSKLHVVLSRRHVVSVSASFQKPTLRRANKRKAPKPESGS >KGN58004 pep chromosome:ASM407v2:3:20200788:20203474:-1 gene:Csa_3G426900 transcript:KGN58004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAYGVAKSITCQLVSYVSIGIRHRAKKSPYNYLLQEGNYAIIQTPVPHFLLVPVFTFTIQAQMAHYVCREKYGFVISKHGRQTERVARFLKPCATTADEAERVSYRAHLLFEEMSSGQKRWPNRVNFQSWACPQRNWNKWVEKLEVQHSVMWKQIGIYDAIMGSCCDIKRNKDIILGLVEFWCSEMNTFVFPWGEATITLEDVMILGGFSVLGEPIKKDVTTKDLIMVVDAMRKRKSAISKGKSRKTTHGAWMKHFVDIDNDIEVEHAGFLSYWLSRYVFPLPTKDAVSNGVFPIAAHLVGGTKMALAPAVLAGLYKNLSLLKEKALSASNYGEITVIAPFRLVLLWAFEHFPQLVRTSPNVLKSGEPRAARWYKFICQMDKSFVDPVFLSGQYFQWRPYAADITNWNHSSYYRAEDHLEIDSGKNSDQNLQCYLICMTMCYLVGLDCRESYMPHRVAMQFGIDQDLPGEFSGLVFGPKDVCFFVPPRSFEPGVSLKYSNWWKNSEFICGGKLPSVLRRSLDTFQMPPGGAEISSKDYHSPNFTARSKDSEIVSRSSIKDEVVVADECESVKWNNNFDVLLEPISESDFPTREAVSLERSSSPSIEEVKGGGGSFTAAKSFDSGSPDCSTPCSVGVRSLASITRELSPTKWFQDSVATDHFVTPQKMDKDSKEIDDNPPSESRHGVNGWNSETTSDEVHLNQTNRKRKLYTFTEVSSDREETISIMNVNSDGMQGDCVNATIDVDERILEFQILELEKRIERLEKQKGISSGRG >KGN59775 pep chromosome:ASM407v2:3:34190850:34191487:-1 gene:Csa_3G844930 transcript:KGN59775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAFSLVSAWNKRRRRKKSSHLNDHHQTTTDDPWIYRPVQLWQLEDQTPLERPSKRHHGSAVFTLREMEDATSSFSDANLLGKGGFGRVYRGTLRSGESHSNSFQSFEKLKN >KGN57419 pep chromosome:ASM407v2:3:12912026:12914031:1 gene:Csa_3G184010 transcript:KGN57419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASNNPKDDTETNLTKKITVRTPMVITKEALDSILQHQNLIEHFQSSLPIVFPTISSPMRQSHAVQPHSSLLLMPSWSSSPSLPYIGVKLVTHFPQNSAINLPAIHASYSLFSSTTGQTLGSMDGTALTLYRTSCISGLAAKYLARPDSRVMVMVGAGALGPHLIKAHLAVRPSVKKVIIWNRTEERAKKLADEMRENAGLDGVCFESSANLDDAISVADIVSCATNSEVPLVKGDKLKGGAYLNLVGSFQHSMRECDDMAMKRGRVFVDCEEALEEAGELVGALERGVIEKSDIMGLVDLIKKGEIVGRRNEDEIFVFKSVGSAVFDLVAAQLAYETTT >KGN56219 pep chromosome:ASM407v2:3:5050513:5054630:1 gene:Csa_3G100790 transcript:KGN56219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCILIGSSLSSASFQPGVPFSGHSLPPHSPSHSNSPMASVHATPPHTFTSFTTAKRAPAPSPPRAFINFHAPKLPERSIFSTIGRNSNWALNSAVEEFDVIPVQSSDFTDQQEGVALGRAERDGAEGEMGTAVGGFGELSLGGAGEIQGFSSSASVADGGGTETGEMERVMIDRIINATIVLAAGSYALTKLLTIDQDYWHGWTLYEILRYAPQHNWSAYEEALKTHPVLAKMVISGVVYSLGDWIAQCFEGKPLFEFDRTRMFRSGLVGFSLHGSLSHYYYHFCEGLFPFQDWWVVPAKVAFDQTAWSAVWNSIYFVVLGFLRLESPVSIFNELKATFWPMLTAGWKLWPFAHLITYGVIPVEQRLLWVDCVELIWVTILSTYSNEKSEARISEVATDLSSDPLPTDSTQS >KGN57849 pep chromosome:ASM407v2:3:18200156:18203681:-1 gene:Csa_3G353940 transcript:KGN57849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRVKSFDRVKRFDLVLSIAEQLRPPLCLPPPSDLVSSWPDLHLKCHPLNALTNKRHVINV >KGN58635 pep chromosome:ASM407v2:3:26757970:26758422:1 gene:Csa_3G707670 transcript:KGN58635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVHSPTPDQRRVNLFPVIRCKNNDSLFPTTRPKSIRKVQKPRQRHAPHLLLVILTRRYLRNTIRRQVNRTINIFNHNHRPVRRLNKKRTKLRIIRNLRQFQIIHIVAEEIRHCRNQARFPRSRRSIKQIPTFPSTANSTIKLPPGGKRH >KGN56534 pep chromosome:ASM407v2:3:7337011:7339302:1 gene:Csa_3G122580 transcript:KGN56534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTGTLDKCKACDKTVYVVDLLTLEGNPYHKNCFKCSHCKGTLSMSSYSWMDGVLYCKTHFEQLFKESGNFSKNFQTKSSDKPNDLTRTPSKLSSMFSGTQDKCSVCTKTVYPLEKVSLEGECYHKKCFRCAHGGCHLTHCSYAALDGVLYCKHHFAQLFMVKGNYNHVLEAAANKKTTTPPADHTDEDAEPSPRSGEQAVEQS >KGN59054 pep chromosome:ASM407v2:3:29111342:29111548:-1 gene:Csa_3G748830 transcript:KGN59054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGKRARGSEEKRGRRRWWRCSLKMDVAPANLARGGEGNEKVVKKEEDFNFERYLSACMACMYFNYHN >KGN58404 pep chromosome:ASM407v2:3:24913357:24918768:1 gene:Csa_3G638010 transcript:KGN58404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRCCAKLRSAAAKPSQILNSVSSTRRIFHSAPSLYQPLHFSSNNLNSRSLFNASQQTHSPLAAYQCIRSSPLPLSVVQVRHVSSRDRRNKRKPTTPATSKLKKTKLKAYSSYKSRFWLMKDGSIKRRMEGKRHNAHSKSKRSKRKLRKPAIVPLAYAKVMKKLNFCS >KGN60188 pep chromosome:ASM407v2:3:37506337:37512260:1 gene:Csa_3G882990 transcript:KGN60188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSASLAALASIHPQQNLHTVFVYGSLMADEVLRILLKRTPQSSDAVLNGYQRSSVKGRVYPAIIPVTSKKVSGKIISGIKDSEMYLLDAYEDFEYKRITVEVSLMDSLEKLLVYAYVWGNEKDPDLYGDWDFEDWKRDHLEAYIEMVKEFMEEYEHSGP >KGN58956 pep chromosome:ASM407v2:3:28545642:28548557:-1 gene:Csa_3G739020 transcript:KGN58956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRSQGGIQQLLAAEQEAQHIVNAARNAKLARLKQAKEEAEKEIAAYRAQVESDFQRKLAESSGDSGANVKRLEIETDEKIYHLKEESARISPDVVNMLLKHVTSVKN >KGN59921 pep chromosome:ASM407v2:3:35561920:35563090:1 gene:Csa_3G853680 transcript:KGN59921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMGYELYGANPHLEIQRRQSVGSHNVETRASQFITLIQTFGNTALSSLSIYLSPINARSIFRPSTPSSVSRFLSFFLSCISQTIRRRLFLNSTSAPLRQPHQSVSTLSFSRSGFAVSRVEQLQPIVPSLSFSHQVGRGSSSQPPHDVGACRHI >KGN59515 pep chromosome:ASM407v2:3:32463896:32465425:-1 gene:Csa_3G823630 transcript:KGN59515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINSGHVLPLGKEGALLGVVHGCISGVGNDIEKDHKRAKFTESFDICRFEAFEPAAEVLIALGFFSASILSLPSLPSVSSPLASLTTHTAVDSLTHCFRWFFSHAPALSRASFPGIWILVGSSEIMLSATSLLDRLCTKVRQKLAAASLNGDNIRALAVEPFERLGSHTRGGLNRVHLPSKSPAVTVSQSE >KGN59397 pep chromosome:ASM407v2:3:31607930:31610244:1 gene:Csa_3G816100 transcript:KGN59397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERGGFGRGFGGRGRGGGDRGRGGRRRAGRRDEEEKWVPVTKLGRLVKEGKIQSLEQIYLHSLPIKEHQIVDTLIGPSLKDEVMKIMPVQKQTRAGQRTRFKAFVVVGDGNGHVGLGVKCSKEVATAIRGSIILAKLSVIPVRRGYWGNKIGKPHTVPCKVTGKCGSVTVRMVPAPRGAGIVAARVPKKVLQFAGIEDVFTSSRGSTKTLGNFVKATFDCLLRTYGFLTPEFWRETRFTKSPFQEHTDLLAKPTVKALLLEDPDRVTA >KGN60299 pep chromosome:ASM407v2:3:38414815:38416506:1 gene:Csa_3G894470 transcript:KGN60299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAHPFPKSSMYLDQNPEAALKNFDDDGRQKRTGTWVTASAHIITAVIGSGVLSLAWAIAQLGWVAGPAVLVAFSMITYLTATLLADCYRSPDPVTGKRNYTYMDVVKAHLGGNNVKFCGLAQYGNLVGVSIGYTITASISMVGNDWC >KGN57521 pep chromosome:ASM407v2:3:13922031:13922366:1 gene:Csa_3G203520 transcript:KGN57521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIVARARSASYFSKSGNRSMIISAAPAETALCLVCFTKQRANKALTPTLSARGYAVGSLRISTTPSNNSSIPQIWVRSSDARVPAITVSINAAALIRSSMEESKRRCER >KGN57467 pep chromosome:ASM407v2:3:13352312:13352594:-1 gene:Csa_3G190370 transcript:KGN57467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMRSEGEDVKIKSTELKYTRIQWLQKFSPFFSILGNITFTKTNEISEEAARENSTIV >KGN57714 pep chromosome:ASM407v2:3:16039015:16044968:-1 gene:Csa_3G258160 transcript:KGN57714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAPAQIPTSFGHELRACLRCRLVKTYDQFRESGCENCPFFKMDEDHERVVECTTPNFNGIISVMDPARSWAARWLRIGRFVPGCYTLAVSEALPEDLQNLCEEERVQYAPPKRV >KGN58913 pep chromosome:ASM407v2:3:28299840:28301006:1 gene:Csa_3G736610 transcript:KGN58913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELEPPSVITAALATLSPPRLADLSHSIFSEIHQHRRRLTFILSSPTLFSLTLRHLNSLSLSHKSLLLARFLLSALRRLSRPFQSPSKLLPYHPSTAAISPQDLDAAVLLLLLCEVRQHNPAALRTPITKWRATLCKIYSDSLLTVSGLATGGGGALIPYIDTVVRCWKFVGFVGSCGGKVRREVAASPMAVVELPSVAVGGGGAAVECVICKEEMGEGRDACKLPCDHLFHWLCILPWLRKRNTCPCCRFQLPTDDVLGEIQRLWEILFKVGSTMCTSDGD >KGN59346 pep chromosome:ASM407v2:3:31205409:31208356:-1 gene:Csa_3G812180 transcript:KGN59346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENETGSASSSALAWRWTIEALASFDQVKPSLLHELLDGKRNNAGEMVALKCLEGLFRPLDDIGENSRPAQESKVMFDSSESCLDVVKRIYNETPKSALGVAGPDMLKWDVKPFIDQKRASMRCTLLQLKDSILDGTHPYADFLMQKSGLTPINKKDGTSLNNVDCVQLDRRLDNNSSSSQGKKEGKGSPPLEDERRMSVVTPSSSSLLPSKRSSIDFTSEDEARQVPGCDDGFINVKKLKHHSAHNLYSGQEVASSHGTEVVEDSSDERSELQIERDDTDHLDRHQITLEEDKLVEEEGFGSKKSAQFTATDEDSSERSGLQIGRDDTDHLDRHQINLVEDKLVEEEHSGSKNGAQCTATDELHLGESGIPCYTVLGSTQDGEMLEVVDAKKVGDGSELPFEPKAPNHSPAEENLDNSSPNNSESNFRHDHHVNETNPVSHSGFLSTTVATYSDVGMIPDEEEKDMSSDSDGYHETIDIAMRKKEFLSSQCMVDSDSFLVADRTDLTVCVKCNEGGQLLSCNIGDCPLVVHAKCLGSLAAMNDEGDFRCPFCLYSFAISEYLEAKKHAALAKKNVTSFFRSDLVHHSIGFKEVLQPKNLDPSQRAGVEDVAKICEDVDMENKDNQVTLDGEHVSEVVDHRSTEQTIELSKQMDIANTNHRENESSLSRVAPDVLSSEKDDNGFVDQECPRNTAAELVDQECHGNTAVELVDQESEGNAAELEDGENATKQHGIHEILHEDRGPVEPAAVQEDLQYQTNDNKDEATCAIITEEEKSSDDGNDESIISRYSIRFRQKYHQLVSSYLNSS >KGN58466 pep chromosome:ASM407v2:3:25470574:25472709:-1 gene:Csa_3G646530 transcript:KGN58466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSNAQYANLQLLLPYLYPGNKRYIDGINFASGGAGALDEINRGLVISLKTQARSFKKVEKILRKQLGKTQAKTLLSRAVYLISVGTNDYRTFASDSKLFDSYSIEEYVDLVIGNLTSVIKEIYKNGGRKFVVMNLWSFNHVPAVLEAVASQGKDAQLKQLNQLVEMHNKQLYKALQKLTTELQGFRYSYVDSYKVFEEITTNPAKHGLKEVKSACCGSGIYRGIQSCGGKGDVKEYELCKNPKEHLFFDSNHGSEKAYQILAEMAWNGDSNTSTPVNVKSLFLS >KGN57055 pep chromosome:ASM407v2:3:10292604:10298777:-1 gene:Csa_3G150840 transcript:KGN57055 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inosine-uridine preferring nucleoside hydrolase MKAEEMLLHKNFWVILVFIGVAFGANLMVVKGLPRRILLDTDVDTDDLSALLYLLKLNRSEFELEAVTISTNAWTSAGHAVNQIYDILYMMDRDDVAVGVGGEGGILEDGTIQPNVGGYLSIIEQGLTTTGGCRYRQAIPVGVGGRLDADTNYGLRKAFLPQGSRRYNPLQQSTAQQVMIDKISEGPINIFLIGSHTNFAIFLMSNPHLKKNVEHIYIMGGGIRSENPTGCCTQNASQSCTPRQCGDPGNLFTDYTSNPYAEFNIFGDPFAAYQVIHSGIPVTIIPLDATDTIPVTKNFFEVFEQNHDTVEAQYIFQSLKIARDYRLGELFYTNYFMWDSFASGVATSIMLNSHIDDGQNDFALMEYMNITVVTSNKPYGISDGSNPFFYGRQIPKFGLQKGGVHSGHAQTGLRDPFCIVNNGKGKCQDGYTAEVMGPEGVRTLVATRAKPNRNITSPLDREFYLGFLDVINSPINTGRFNFTTQFPDYEQVMYIPDFRNIRLGKPVVIDMDMSAGDFLALFYLLKVPVEVIDIKAIIASPTGWANAATIDVIYDLLHMMGRDDIPVGLGDVFAVNQSDSVLPIVGDCKYAKAIPNGCGGYLDSDTLYGLARNLPRSPRRYTAEGPVKHEAPQNSQQPELRQPLAMEIWESILTTLEPGSKISVLTNGPLTNLAKLITSNKNASSLIQEVYIVGGHIKDDDTDKGNVFTVPSNIYAEFNIFLDPLGARTVFESTVNVTLVPLGIQRRVSSFENILETLHKKKKTPELLFANRLLSRLFRLKQSDICYEHVDTFLGEILGAVVLAEEDLVKPVFQFKHVKILADGVESQDGQTVIYEKGGKLIQVLQNLNQTAYFDLFANRLSDTKQSAVIKSFNDQKRIWYKLKNLTCNYCHGSCVN >KGN57543 pep chromosome:ASM407v2:3:14130568:14143355:1 gene:Csa_3G207920 transcript:KGN57543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLKRPGVIINLGSASGLYPLSIDPAYTASKGGVVMFTRSLSPYKRKGIRINVLCPEFVKTELASTVVGERFAERLGGFVPMEMVIKGTFELINDESKAGSCLWITNRRGMEYWPSSTEEAKYLLPSSRLGKQSSTAFFQKVDIPQSFEKVIVHTLSHNFRGATSIVHSPLRLPIRPDHVLVKIVYAGVNASDVNFSSGHYFGGSSKDLQSMLPLDAGFESVGIIAAVGDSVTHLKVGTPAAMMTFGSYAEFVTVHSKHILPVARPDPEVVAMLTSGLTASIALEKAAQMESGKVVLVTAAAGGTGQFAVQLAKLAGNKVVATCGGKAKATLLKDLGVDRVIDYRSEDIKTVLKTEYPKGIDIIYESVGGDMFSLCLNALATYGRLIVIGMISQYQGENGWEPSNYPGLCEKILKKSQTISGFFLIQYAHLWQQHLDRLFNLFSSGKLKVLVDPKRFLGVQSVVDAVEYLHSGKSVGKVVVCIDPTFIDHKSKL >KGN59479 pep chromosome:ASM407v2:3:32187638:32188387:1 gene:Csa_3G822300 transcript:KGN59479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPRSDPSIAIAIAAAISTFVFVAVLTLLLLLLLLLLPFLLMMITPMDLILDLVHYPFLVRPFSMVLLVLLVFIVMLTLVLALVSVVVLSLVIASNVELLADFLNESRHVLMMNLEWGFEEDACLWKMGKERRGIYRWRGRRKDELKRINSVYPQCIR >KGN58509 pep chromosome:ASM407v2:3:25763031:25764740:-1 gene:Csa_3G653410 transcript:KGN58509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIWRSLASTSMLLAAKSTASACGRTAMRDFRRPYSLVPMVIEHTSRGERAYDIFSRLLKERIICINGPISDDTAHVVVAQLLFLESENPTKPISMYLNSPGGAVTAGLAIYDTMQYIRSPINTICLGQAASMASLLLAAGAKGERRSLPNATIMIHQPSGGYSGQAKDMTIHTKQIVRVWDALNELYSKHTSQSVEIIQKYMDRDYFMTPEEAKEFGIIDEVIDQRPIALVTDAVGNEGKDKS >KGN56759 pep chromosome:ASM407v2:3:8656271:8662755:1 gene:Csa_3G133100 transcript:KGN56759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSAIISPLPRSIPFTTITPSVSTNVQRSRSLVVRASISNVTTQSPPPSVVVDSPSPSSTAAQKLNKYSSRITEPKSQGGSQAILHGVGLSDDDLNKPQIGISSVWYEGNTCNMHLLKLSEAVKYGVNAAGMVGFRFNTIGVSDAISMGTRGMCYSLQSRDLIADSIETVMSAQWYDGNISIPGCDKNMPGTIMAMGRLNRPSIMIYGGTIKPGHFQGHKYDIVSAFQVYGEYVSGSISDEERKNVVRNSCPGAGACGGMYTANTMASAIEAMGMSLPYSSSTPAEDPLKIDECRLAGKYLLELLKMDLKPRDIITEKSLRNAMVVVMALGGSTNAVLHLIAIARSVGLDLTLDDFQKVSDQVPLLGDLKPSGKYVMEDIHKIGGTPAVIRYLLENELLDGDCITVTGKTLAENAKLFLPLSEGQDIIKPLENPIKKTGHLQILYGNLAPEGSVAKITGKEGLYFSGPALVFEGEESMIAAITKDPSSFKGKTVIIRGEGPKGGPGMPEMLTPTSAIMGAGLGKDVALLTDGRFSGGSHGFVVGHICPEALDGGPIGLVQNGDIINVDIENRRIDVQLSDQEMEERRKNWVPPAYKATRGVLYKYIKSVKAASKGCVTDE >KGN58115 pep chromosome:ASM407v2:3:21480922:21481485:-1 gene:Csa_3G516540 transcript:KGN58115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNGVELEITELRLGLPGSGGCRTSSSKNEKKRVFCESSSTNNDGGDQPFPKRNQVVGWPPVCSHRRRSSGSGNNKDLSETETPKIYVKISMDGAPYLRKVDLGSHKGYSDLVVAMENLFGSALGCSDFVLIYEDRDGDWMLVGDVPWNMFVESCKRLRIMKRTEVKGFEIHVPSETLSSSSSKEL >KGN60367 pep chromosome:ASM407v2:3:38893224:38895698:1 gene:Csa_3G901130 transcript:KGN60367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKGDMNVVGKMGKFQVSFWEVGVFTTVVFAFLSGLLCVYLTMPASDYSFLKLPRNLQDLQILRDHLEEYTSDYTAQVLVGYCVVYIFMQTFMIPGTVFMSLLAGALFGVFKGVALVVFTATAGASSCYFLSKMIGKPLAFTLWPDKVKFFQDQVSKRREGLLNYMLFLRLTPTLPNTFINVASPIVDVPYHTFFLATVVGLIPAAYVTVRAGLALGELRSVGDLYDFNSIATLFLIGIVSVTPTLVGKSKS >KGN56451 pep chromosome:ASM407v2:3:6900245:6904638:1 gene:Csa_3G119810 transcript:KGN56451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMGRGELSPQDFKATKNNWIQYTKKNNIRLPQGSYTDFEWKDYCPAVFRNILELEKIDYADYMLSICGDETLREVSSPGRIGNVIFLSNDDRFVIKTLRKSEVKVLREMLPKYYRHLKKHGFSLLTTLYGLHVVRPIGGIKVYFAVMSNVLQSDLHLHRRYDLKGSSRGRNFNKVIVHEEIVYKDIDLDFYFYLEPSLRNKILTQLKYDCEFLEAEGIMDYSLLLGVHIDASSRQGFHSGLLDRRNSVSGTRTGPVHDNADLTVADILKHNGRFGAKLPASAVRTPRNEMGIVSSPSRVRVPECYNVLLYFGIIDIFQNYNVIKRLEHAYKSIQYDSKSISAVNPKVYSSRFQDFLAKVFLARESNKNWNFEF >KGN57032 pep chromosome:ASM407v2:3:10175758:10181569:-1 gene:Csa_3G150120 transcript:KGN57032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTIKLFREASKASSNPSHPLPVTSSTARFETVNVTHDFKINGYSLNKGMGIGKYITSDTFLVGGYEWAIYFYPDGKSMEDNAAYVSIFIALVSDGADVRALFELTLFDQSGKGNHKVHSHFERRLESGPYTLKYRGSMWGYKRYFKRTLLESSDYLKDDCLSIKCVVGVVKSQTEGPKIYSITPPLSSIGQQFGKLLESGKCADVNFEVDGEIFAAHKLVIAARSPVFRAQLFGPLKDKDTRCIKVEDIEAPVFKALLHFMYWDNLPDMLELVGANSKWASTLMAQHLLAAADRYALDRLKLLCEANLCEDIAINTVATTLALAEQHHCFQLKAACLKFIAMPENLKAVMQSDGFDYLKESCPAVLTELLQYVARVTEHNVLTSGFGNLTFLDGSDLNGRRVKQRLY >KGN57866 pep chromosome:ASM407v2:3:18307168:18309874:1 gene:Csa_3G355580 transcript:KGN57866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEIQLNPNMTATICIDIPPYVNSKGLWVEFDDSEWWLNPSLPLLEFQLIVLCFSLAITYFFLKRFGISKLSCQILVGLAFGWSWNEEEEAKLKHLNVGSQDVLVLLANFGYTLYILLTVAKYDLKMIMGTGRSSLIIGMSALLLPLIIQTLVESMVVEEWELTEIQIESLPLLISFHATTSFPVVASLVKELHIMNSELGRLGLSSALVSDIFGTFIMIIKGQILQYRINPSLISTEICVYIMLILVALFVLRPTMLWIIKHTPQGMPVKNCYIEGVIFVTLLYTVLETFTGHACIIGAYVLGLAIPAGAPLASTLVNKIECLVENIFMPIFVTTCALRADLSKISATTFDVVFTKLNITMLCLACTVKFVASVSSSKYCQLPFKDALALSLIMCSKGPVELISYTMSVDYNVVDNELFGCFIVYILLFATIVPIAVKGLYDPSRKYAGYQNRNIMHLNRFNDELQLLACIHQHENVNAIIHLLNLSCPTIENPIIVHLFHLIELPGRFAPIFISHKRQNNPFDKRSYSRHIIHAFDRFERENDGTVYVECFTAVSPCTVMHNDVCTLALDKTTSFIILPFHITWTMDGYIERVDNNVRTLNYNVLKRAPCSVGIFADRGKLEHIKARKRSSYSVCVIFLGGKDDREALSYAKRMVKDLRVELTVLRLKAPQDYQNRSMLRNSWEYIMDEEVVKDFKGKCLGDERVVYEEEVCRDGQETAFVLRKVVDMFDLMIVGRRDGLETPQTDGLNEWNEFPELGHLGDLIASSDINTGTSLLVIQQQQISHDTSQHIL >KGN56588 pep chromosome:ASM407v2:3:7634085:7634378:-1 gene:Csa_3G125550 transcript:KGN56588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEKGVEPNEVRRRQRWSQIGRSYEEENERKSIDHDVKQPRRRKPCSGGNAPTSATTLKYRRRFSQRPFVSIILQIRRESEIIFNGIKQKIAISRQK >KGN59306 pep chromosome:ASM407v2:3:30918626:30918974:-1 gene:Csa_3G808350 transcript:KGN59306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKNKWRKWRAESR >KGN58379 pep chromosome:ASM407v2:3:24617033:24624913:1 gene:Csa_3G634310 transcript:KGN58379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPGVPLSGPAKQAEILRKDGNHYFQKGRIGAAIEAYTEAITLCPNVPVYLTNRALCHRKRNDWNKVEEDCRRAIQLDSSSVKAHYMLGLALLQNKEYPEGIKHLEKALDLGRGENPKSYIVEEIWQELAKAKYREWEQASTERSWELQTLKEACEAALEQKYFLDQSELEGFVDEADIAHRKQLKSLRSVFEKVTEADSPSEVPDYLCCKITLDILRDPVITPSGVTYERAVILDHFNKVGNFDPITRELLNESQLIPNLAIKEAVQSFLDKHGWAYNMD >KGN57951 pep chromosome:ASM407v2:3:19556627:19558832:-1 gene:Csa_3G405510 transcript:KGN57951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSHQLFGFGVNSAAGLSFTPSCSSVPSLPNTLLGPLKFNLSNSPALSPLFSSHFEFDSFTTTTVSDSPDQRNSPDNLSGLSPSCNSSFETSSFNQIASSYLDTFEDESRQLYSRSNAFVYDGCSTENISYTLKQLESVLMGPDNEEVVNKPDVSFGVSGRPQMMGPRSYSWSQDRHGGSYGVQSQASPVSRSQKSEAVHLGKRQKSMDESLLQQQGGLPSDNLKDLLIACAKALSDNRMKDFDNLVATARGAVSIGGEPIQRLGAYMVEGLVARKEESGANIYRALNCREPASDDLLSYMHMLYEICPYLKFGYMAANGAIAEACRNEDRIHIIDFQITQGTQWLTLLQALAARPSGAPHVRITGIDDPVSKYARGDGLEVVERRLAEISIKYGIPVEFHGMPVFAPHITRDMLDIRPGEALAVNFPLQLHHTPDESVDVNNPRDGLLRMVKSLSPKVVTLVEQESNTNTTPFFNRFLETLDYYLAIFESIDVTLPRNNKKRINVEQHCLAKDIVNVIACEGRDREERHELFGKWKSRLTMAGFRQSPLSSYVNSVIRSLLKYYSDHYTLDEKDGAMLLGWKNRNLISASAWY >KGN58173 pep chromosome:ASM407v2:3:22403762:22408640:-1 gene:Csa_3G585360 transcript:KGN58173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLQASEFDRILFFEHARKNAESTYATNPLDAENLTRWAGALLELSQFQSVPESKKMILDSISKLEEALMINPKKHDALWCLGNAYTSHAFLNPNQDEAKEFFDKATIYFKQAVEEDPGNEIYLKSLEVTAKAPELHLEIHKHGFAQQATGAAEPSASSSTKKKNSSDLKYDLFGWIILAVGLVAWVGFAKSNVPPPTAPR >KGN57407 pep chromosome:ASM407v2:3:12826108:12829436:1 gene:Csa_3G183900 transcript:KGN57407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDVILHIYDVTNSGSDKTNNTIVNINKIFKDGIGLGGIFHSAVQVYGDDEWSFGFCEQGTGVFSCPSGQNPMYTYRESINLGRTNCSIFKVNQILRELSREWPGSSYDLLSRNCNHFCDQFCEMLNVSKLPGWVNRFANAGDAALEVAGNTAVRFKQAKTEIISASKVAYRFLLGATNNVMSSPESTSNSNRGTPRFQAAWFKNLITTGAKPSSSSELGNQVEGTQQQQQQCKANEDTPMLLNSRSQHDM >KGN57353 pep chromosome:ASM407v2:3:12463388:12466191:-1 gene:Csa_3G180410 transcript:KGN57353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRQDIESREVKNRGSSSLSSSSYLIEDTETQWTSWLVPMFVVANIAVFIVVMYINNCPKHNDEFEGKCVARFLERFSFQPLRENPLFGPSATTLEKMGALESTKVVNKHQAWRLITCIWLHAGVFHLLANMLCLVFIGTRLEQQFGFVRIGVIYLVSGFGGSVLSSLLIQNNISVGASGSLFGLLGAMLSELFTNWTIYTNKAAALATLLVIIFINFGIGLLPHVNNFAHIGGFLTGFLLGFALLPRPKYGWLEQRNLPGVGAGLSSKYKTYQYVLWIVSVVLLIAGFTIALVMLFREENANDRCHWCHYLNCVPTSRWQCD >KGN56652 pep chromosome:ASM407v2:3:8014311:8018156:-1 gene:Csa_3G127150 transcript:KGN56652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFQSKTIHLPSPDDDPPPPQPKPDPANGEELEEGQVPAFKEFELVELRAATNGFSSELIVSESGKKAPNVVYRGKLRNNRLVAIKRFSKESWPDPQQFVTEASGVGKLRFKRLVNLIGCCAEGDERLLVAEYMSNDTLSKHLFHWEKQPFPWEMRVRVANYIAQALDHCSTENRKIYHDLNAYRVLFDEDGDPRLSSFGLIKNSHDGKSYSTNLAYTPPEFLRTGRVIPESVIYSYGTILLDLLSGKHIPPSHALDLLRGKNLLLLMDSSLEGQYGDDDATQLIDLASKCLQYEARDRPDIKFVLSAVASLQKQEVASHVLMGLTKTPVVLPTMLSALGKACVRMDLTAVHDILLKVGYKDEEGAESELSFQEWTQQVQDMLNTKKFGDIAFRDKDYKNAIEYYSKLVSMMSVPSGTVFVRRALSYLMVGQPELALRDAMQSQVCLPEWPTAFYMQALALSKLGMESDAQDMLNDGTSFEAKKQNIWRS >KGN55884 pep chromosome:ASM407v2:3:2251160:2251797:-1 gene:Csa_3G026690 transcript:KGN55884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSDKSFSLALFFCLNLILFSSLAIAQPIVPESSPTTNCSGNVSACASVIGFITVSAGSQEPVRPCCDLIQGLVATNAKICLNLALDLAVDINPISVNTSVEAMLDILVNTCNN >KGN56029 pep chromosome:ASM407v2:3:3390758:3394556:-1 gene:Csa_3G047900 transcript:KGN56029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVKGHQIATHHSPNAKVEVGEIDTSAPFQSVKDAVNLFGEGAFSGERLIVRKAKQPHSAEKVFAKETQLHLAEKELSKLKDQLKNAETTKSEALVELESTKRAVDDLTKKLQLLRESKESAIKDSEVAKARAKQFEEANGSNHSGNDYGWKQDLETTRDQYMVVIGELDAAKQELRKIRQDSDASLEAKVAALKQVSEAEESVKTHKLKANELSKEILAARESIEKLKLASLQAHKEQEKIFVEKDIQRQSYKAALEESAKKLFSLQKEIDPDLTRNLELQLNETMNEIGKLQKQMEDKKALDIDSVKNVTSELDDAKESLQKAAEEERSLRNLVEALKLELENVRKEHSELKEKEAEAESTAGNLHVKLRKTKSELEAYLTEESKARGACEDMLSTLNQLSSETENARQGAEEMTNKAEDLRKEAEGTRIALEDAEKQLRVALDEAEEAKAAEARALDQIKVLSERTNAARASTSESGANITISREEFESLSRKVEESDTLAEMKVAAALAQVEAVKAGENEILKKLEASQKEIEDMQTATEEASKKAKMAEAAKKAVEGELRRWREREQKKAVEAASRILAETEVSLESSPSHNRIQKQSTTVKRVESKKLEKDKTFSKKVLLPNLSGLFVRKKNQVDGGSPSYLPGEKSA >KGN58105 pep chromosome:ASM407v2:3:21389979:21390284:1 gene:Csa_3G511980 transcript:KGN58105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRIESKVTTPRIGILFRGKPLARLFLSPFHSFISFGMKIRKRNLVTRCPSFKARPMLVPSVAFEDT >KGN55974 pep chromosome:ASM407v2:3:3014574:3016700:-1 gene:Csa_3G043900 transcript:KGN55974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLNFSLFFRLHNGSHPPSPSPFPGLGDLPESCAADVLKYLTPVEICVLGKMNRAFRAASWADFVWDSKLPSNYSVLVQRLIGDVALIMSKREIYTRLCRLNPLDGGNKNVWLDKYSGGICLSISSMDLRITGIDDRRYWNRISTEESRFHTVAYLQQIWWFEVGGEVEFPFPPGSYSLYFRLQLGRTSKRFGRRIYNLEHVHGWNIKPVQFQLWTSDGQHAKTQCYLEEAGKWTLHHAGNFNVDARNESTRIKFSMTQIDCTHTKGGLCLDSVLIFPVEYKQRSSQRC >KGN59833 pep chromosome:ASM407v2:3:34829369:34830374:1 gene:Csa_3G849890 transcript:KGN59833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDPSTFQPIKPQFPEQEQLKCPRCDSTNTKFCYYNNYNLSQPRHFCKNCRRYWTKGGALRNIPVGGGTRKNSKRAVAATVKRPPSSTSSTHPNTITVPDHNPIRGYGGGLDIPGSFSSLLASNGQFGNLLEGVDPNQSEVKMVELGEFSGSGRKSAAEEQSAGVPENYLGVLQNGDSNCWNGGGSNGWPDLAIFTPGSSYQ >KGN56014 pep chromosome:ASM407v2:3:3304690:3305285:1 gene:Csa_3G047750 transcript:KGN56014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKWILNSAFTQVLGLTEKQQQNQKEAGGEGIKNVEQVKEGRDCFYGGDLNFQSGFQMPLHYPRYTKRDYEEMEEGKLDLLLKQYGLCFDGTLEEKRAFAIGTFIWPDQL >KGN57867 pep chromosome:ASM407v2:3:18313947:18314246:1 gene:Csa_3G356080 transcript:KGN57867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVSLYCQCRDVKIEYKQLKEKVREYTKRDAQFYSNILAKMNKLKHVESGKSGGKQGAEPMIIDSKT >KGN57075 pep chromosome:ASM407v2:3:10459171:10462836:-1 gene:Csa_3G151530 transcript:KGN57075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVVSSSRRLSNLLKSSSTSLQFYRFLVSSFPVNQDPPTSHQWRTVSGIARQCCSPMAQDCSTPMYLSMPNASFSSVAHAAEETATVTAKELYDKMLESVEVKRSMPPNAWMWSLIQNCKTEEDIQLLFGILKRLRIFRLSNLRIHDNFNSHLCREVTKACVRAGALQFGKKTLWIHNLNGLTPSVASAHHLLAYAEEHNDLKLMGEVVALIRRNKLPLQPGTADIVFRICYNADNWALLSKYFKKFSKAGVNFRRTSFDTLMRFASKIGDVDCLWKFDRLRAETTKRHTLGTAFSRAKGLLLERKPEEAAAIIHEIYQVFSNSKSDFMTEIQKMVNEWPSQVSEHQKEEHRKEFDADLNSYISTMLSNLQNVGAEVNVNI >KGN59828 pep chromosome:ASM407v2:3:34780780:34781634:1 gene:Csa_3G848860 transcript:KGN59828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNYGTIPTSSAAAANLEYISRAKQRFKDGLGHRRPWRLIADYHSFTLPSNLHDTLSRIKINLTYFRMNYAIVVLVVLFLALLWHPISLLVLFLMLSLWLFLYFLRDQPLILAGRVLEDWIILLLLSLFTLGFLFLTNATLNILIALLVGAALVLAHAAVRKTDNLYLDEEEATGLIAPGS >KGN57061 pep chromosome:ASM407v2:3:10347030:10353638:1 gene:Csa_3G151380 transcript:KGN57061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFHLIHPSRELKDKKKNWPISQIVLNFTRPSAPKSFVNLFFEKLCNLFLGVIRGAKLFGFPLTSTSLISSPWGSRKEIGFQIFVQIEVMETGDSAPPSLGPRYAPDDPTLPKPWKGLIDGSSGLLYYWNPETNVTQYEKPVSLPPPLPHGPHHGVSTSKPTSILEPHSMVSNGTLAPHVQQNHHALTQDGQVNNQLSQQPGHLISQQHNSVTGQVAVNHHPGMQMAPDGRQHSSQSNQVMQQQGVFAMSSQHIGHQQVHQGQKMVHANQQMSQHPNIQPPQNPGQALQNPGQQMPQPSVQHLGHPNIQNPTPLVGQPQGPQYCQQQAQYISYQQNIPSNVQQNSQQQVQQSPLGMPFGNHLEQKPAFLKREENIQSGNQVGYSSSQLQQSGGTSSIHNLHSGTNSSQMQQFGLASDQARQFGSSPRNMQQQHPVVQLQNAGVESTHRHHHSRFQDQMGPAVMQGQQAGAENLPGRVGNEYYFGRNEGPGIGPHQPRLAAIPMARSQQDTRMSAVPFPTAAPGHPSGTNFSAVPTHNLYSHGSGGPTLSNNLMGPTHIGASDVTNMSPVEVYRQQHEVTASGDNVPAPFMTFEATGFPPEILREIYSAGFSSPTPIQAQTWPIALQGRDIVAIAKTGSGKTLGYLLPAFILLRQCRNNPQNGPTVLVLAPTRELATQIQDEAIKFGRSSRVCCTCLYGGAPKGPQLKELDRGADIVVATPGRLNDILEMKMINFRQISLLVLDEADRMLDMGFEPQIRKIVNEIPPRRQTLMYTATWPKEVRKIANDLLVNSVQVNIGSVDVLAANKAITQYVEVIPQMEKQRRLEQILRSQERGSKVIIFCSTKRLCDQLARNLGRGFGAAAIHGDKSQGERDWVLNQFRSGKSPILVATDVAARGLDIKDIRVVINYDFPTGIEDYVHRIGRTGRAGATGVAYTFFCDQDWKFAADLIKVLEGAEQPVPPELQNMAMRGGPGFGKDRGGMGRHDAVMGGSRWDSGGRGGMSDGGFGGRGGARDGGFGGRGGMRDGGFGGRGGMRDGPGGRGGRGDFFSIRGRGRGFGGPPGGHVGWGRGDRGGPHNRFNGVDGRGRGRGQGRFDNRRDLSNRSRGRSYSRSPERVRTWGYSPSRSRSGSRSRSSRSWSRSRSRSRSRSRSRSRSRSRSRSRSRRSRSRSRSKDTNERPRVRNFDKKDNPPLESVGTASPDTQKNCFEEQEDAGQLAPMPGSNDMEAMNPENGDTSDQIVNAAAPSNINESE >KGN56666 pep chromosome:ASM407v2:3:8132381:8138633:1 gene:Csa_3G127770 transcript:KGN56666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTPGIFTDWPWKPLGSFKYLVLAPGVIHSFYHYIAKDWTERDISYLLIFPFLLWRMIHSQIWISFSRHRTAKGTARIVDKGVEFEQVDRERNWDDQILLNGVLFYITSNCIEKASNLPLWRTDGVVMVFLLHAGPVEFLYYWFHRALHHHYLYSRYHSHHHSSIVTEPITSVIHPFAEEFAYFVLFAIPIMTAVFSGTMSVAAYVVYITYIDFMNYMGHCNFEFIPNRLFTLFPPLRFLLYTPSFHSLHHTQFRTNYSLFMPFYDYLYATVHKSTDDLYFESLKRDEEFADVVHLTHLTTPDSIYHLRLGFAELASRPHNSTWYLCLLSPITMLLTWIYGSTFIVESNQLEKLKMQTWYLLQWETESINNLIEEAITNADQKGCKVLTLGLLNQGEELNKYGEIYIQRNPKLKVRVVDGSSLAVGVVLNNIPKFATQVLLTGKITKLAFALYRSLSKRGIQIGVLNEQLYKKLNKVSNNYEGTLVLAKGHSHHIWLVGEGLTDEEQLKAPKGTTFIPFSQFPPKIVRKDCFYHCTPAMKAPPSLENMHSCENWLPRRVMSAWRIAGVVHAMEGWTEHECGYGMSDIDRVWKATLGHGFQPLDTLIT >KGN58819 pep chromosome:ASM407v2:3:27835904:27836489:1 gene:Csa_3G733240 transcript:KGN58819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTTSHHNLGAVPPPSLETNPAEKAVVHKSLYWGHDAQVLFTGWPGTNSGMYALAVIFVFVLAVMVEWLNSCNFMKQNGESVGKVVVQTAIHAVRTGLSYMVMLAVMSFNGGIFLAAVGGHAVGFVLFKRRGERKDVL >KGN55972 pep chromosome:ASM407v2:3:2990805:2991523:-1 gene:Csa_3G042390 transcript:KGN55972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITTKESAAGVANGSPRFVSHVRLIEYGSARKTNRIWLGTYPTPEMAAAAYDVAALALKGCNAVLNFPNSVAFYPVPASTSPNDIRIAAAAAAASKKVDDQGENSYHNSHYQSPPTNEFVDEEALFGMPNLLHDMAEGMLLSPPRMNSSPSRHDYYSWNSSGDGNLWSYH >KGN55669 pep chromosome:ASM407v2:3:545184:550541:-1 gene:Csa_3G002880 transcript:KGN55669 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nut2 MLDDLALNPQIRPLLKFLLVSKLQAFTGLTFSPCSPPPAQFFPQSSSIKAPRCLRSGKKIMDSAQNASSAAGTGGSGGNGSVINDTPVSTTVDDSKQNLNQVINSIQKTLGILHQLNLTVSNFNAAYQLPLLQRLNSLVLELDNMVKLSEKCPIQVPMEVLNLIDDGKNPDEFTRDVLNSCIAKNQSTKGKTDAFKGLRKHLLEELEQTFPDEVESYREIRAASAAEAKRIAQAQSMLPNGDMKVKMEV >KGN55782 pep chromosome:ASM407v2:3:1276010:1282588:-1 gene:Csa_3G011830 transcript:KGN55782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGVSSLFSCLKPETRPAALPADNSDILFSASDPLDETLGHSFCYVRSSNRFLSPSHSDRFLSPSQSLRFSPPHDPSSLPTTTTRTRTGPPETAFKAISGASVSANSSIPRSVLMLDAVYDDATDTALGASAGGCGVRGSILNGFESTSSFTALPLQPVPRGGTEPLERGGFFLSGPIERGALSGPLDANVDAAAAAAAAGGGSGGGRVHFSAPLGGMYVKKKRKKGISGFRKAFTRNFSDKRPWVVPVLNFVGRKESSTAGDEPEVRNESDVQWALGKAGEDRVHVVVSEEQGWLFVGIYDGFNGPDAPEFLMGNLYRAVFNELQGLFWEIDDNEEAAANSNPPNVAGESTVGVRVNETNADPSSRPSSQTIQTNGNISVVGNEVEVEQPAADRGSAKRVTFQSEDVPENRRRRRLWEYLAEDDTQDGLDLSGSDRFAFSVDDALSVNSAGSVAGRRWLLLSKLRQGLSKHKEGHVKTLFPWKYGLGDKEKADETENRVEETSYRSGKRRKEGLIDHELVLGALSRALEITELAYLDMTDKLLDTNPELALMGSCLLVVLMRDEDVYVMNLGDSRAIVAQYEQQEIGPSEDMKEEGHKRTGMEGIIEESTTSEGKITPTNQPSAQTTRLTALQLSTDHSTSIEEEVRRIKNEHPDDKQCIVNDRVKGRLKVTRAFGAGFLKQPKLNDALLEMFRNEYIGTAPYLSCLPSLRHHRLCPTDQFIVLSSDGLYQYLTNQEVVSYVENFMEKFPDGDPAQHLIEELLCRAARKAGMDFHELLDIPQGDRRKYHDDVTVMVISLEGRIWKSSGKYL >KGN59468 pep chromosome:ASM407v2:3:32106263:32110126:1 gene:Csa_3G822200 transcript:KGN59468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDGFEAEPNPVEFGTCLSSYIDDGTTESHRYFLSRRTVVEMLKDRGYTINSPNIDFSLQQFRETFGQFPDVDRLKLSAAHHSDPSKRVLVVFCGTGAVKVNAIRNIAGQIANKDSLHGLILIVQNQITNQAMKAVELFSFKVEIFQITDLLVNITKHALKPNHQVLTEEEKQKLLKQYSIEEKQLPRMLRTDAIARYYGLEKGQVVKVTYDGDMTRAHITYRCVW >KGN60053 pep chromosome:ASM407v2:3:36567729:36567908:-1 gene:Csa_3G874310 transcript:KGN60053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICRQGQHVGNTLMPMLGQSVNNICLRPSSQRVVTLQQVGVFPTQEGIDEGEELSSTLG >KGN60264 pep chromosome:ASM407v2:3:38093436:38093787:-1 gene:Csa_3G892180 transcript:KGN60264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIPSSSVVPSPIEIVDFEAFQLPLAKLLLPPASEACAAGRAFPVNYNIVRKDQNLRL >KGN60032 pep chromosome:ASM407v2:3:36416083:36419360:1 gene:Csa_3G872140 transcript:KGN60032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVAAVGSLPPLRSPTWIARPRFTMAVGSKAVSVSPILTKFQKDCDTPLPVLRHVADSMANDMRAGLAVDGGSDLKMILSYVDTLPSGNEEGLFYALDLGGTNFRVLRVQLGGKEERVIATEFEQVSIPQHLMFATSQELFDFIASGLEKFVESEGDRFHLSPGRKRETGFTFSFPVKQISIDSGILIKWTKGFAVSGVVNDTVGTLAGARYYDDDVVAAVILGTGTNACYIERKNAIPKLQGQGSSSGKTIVNTEWGAYSNGLPLSVFDREMDAASINPGEQIFEKTIAGMYLGEIARRVLLAMAEFSPLFGKSIPEKLSRQFILSTPDLCAMHQDVSNDLQAVGSILYNVFGVESDLSARKIVVEVCDTIAKRGGRLAGAGIVGILKKIEDFEEVKAGKRRVVAMDGGLYENYPQYRKYLKEGVTELLGTELAKNVAIEHTKDGSGIGAALLAASNSIYRT >KGN55960 pep chromosome:ASM407v2:3:2870904:2878731:-1 gene:Csa_3G039310 transcript:KGN55960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCHSSPKRRQSRGGVYSAAAAPGEAPTVSTTESFSSSSSSSFLYSTKPRWWKKNSTKTFGVAVCSEKVHEFEVPGRICSNGSSRIACLYTQQGKKGVNQDAMIVQENFSSKRDTVFCGVFDGHGPFGHMVAKKVRDTLPLILCTQWTSKSNEDQSKTRHSRSSNSEDSVALEGDEDSYKSLEGEENEKFPKMFLPLKVSLLKSFKLMDKELKLHQKIDCFCSGSTAVTLIKQGQNLILGNVGDSRAVLATIDEDNSLIPIQLTVDLKPDLPGEAARIQQCKGRVFALQDEPEVARFWLPNNDSPGLAMARAFGDFCLKDFGLISVPDVYYRHLTPRDQFVILATDGVWDVLSNKEAIEIVASAPSRETAARALVDCAVRAWRLKYPTSKNDDCAVVCLFLEYEPDVDENTETCAMPVEEVPATPSNDAKDIEVDLDDCRTTVFEQSGTVQSCNEIVPISDSTDEKVVAGGRNRSKRSLAECISNEEEEWSALEGITRVNSLLSLPRFLSGDKRSGSWRKWL >KGN58742 pep chromosome:ASM407v2:3:27469583:27476351:1 gene:Csa_3G731040 transcript:KGN58742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGESSSCERFKLYDQLELHEFQDRFVVKSVEFPDRGFSINRGDGNIEPLDCDTGFGDATKVSTIYGVVGTIRLVAGVYMLVITSRKEVGNFLGFPVFQVTSMKFLPCDEALKLSTSQEKKDEAYFLSLLKTVVTTPGLYYSYQTDITLNLQRRCKFAEGWTAKPLWKQADPRFVWNKNLLVELIELKAIALGVFPCYLRISDIDTSYSQSQVLAVQLKLKESLVQLTLISRRCTRRLGTRMWRRGANLEGDTANFIETEQLVEHGGLKASLLQIRGSIPLLWEQIVDLSYKPQLKILNDEKSSKVVERHFFDLSQRYGEIIAVDLTDKHGEEGQLSAAFAAEMQKLPNVRYVPFDFHHTCGTAKFENLQLLYDQISEDFENQGYLLIDPEGNILQEQKGVIRSNCIDCLDRTNVTQCFLAQKSLTNQLQRIGLLTSAECITSFSEDYTKFRTLWAEQGDEISLEYAGTHALKGDLVRYGKQTFSGVIKDGMSAITRYYLNNFHDGVRQDAIDLICGRYSINKHGPSPFQLNGFESLSYLPVASALVVGGLTITSLTLQQAGRNAQQFMSSVLWAGVTAGVMAVVKANGKQFCSRPRLCGLR >KGN58842 pep chromosome:ASM407v2:3:27948259:27949580:-1 gene:Csa_3G733960 transcript:KGN58842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANIRNQRWSLKGMTALVTGGSRGIGRATVEELAEFGARVHTCCRSQEDLDKCLKEWEAMGFKVSGSVCDVQSKEQRKKLMETVSSLFNGTLNILVNNAGRTLSSLKSTVEVTEEDISSVMSTNFESSFHFSQLAYPLLKASGNGSIVFISSVSGLTALPFSTPYAASKAAINQITKNLACEWAKDNIRTNAVAPWIIKTRLVERSNDDPMHVKGIEQLLSVTPLKRAGEPHEVSSMVVFLCLPAASYITGQLFVIDGGHTVKAYPIPDL >KGN59908 pep chromosome:ASM407v2:3:35468312:35468632:1 gene:Csa_3G852570 transcript:KGN59908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRNVRYSPIFVPLFEEESHVNLKIFVVFMLFHLIRHSLGNDMEVKQERAVRLLLETILPECEFTVIHCIAAV >KGN58718 pep chromosome:ASM407v2:3:27361093:27363159:-1 gene:Csa_3G730820 transcript:KGN58718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTILTSPTSPVFSKALEIKNYLSNGLNFFNQLKHIHARLLRLHLDQDNYLLNLILCCALDFGSTNYSKLVFSQVKEPNIFLWNTMIRGLVSKDCFDDAIHLYGSMRGGGFLPNNFTIPFVLKACARKLDVRLGLKIHSLLVKAGYDHDVFVKTSLLSLYVKCDNFDDALKVFDDIPDKNVVSWTAIITGYISSGHFREAIGAFKKLLEMGLKPDSFSLVKVLAACARLGDCTSGEWIDRYISDSGMGRNVFVATSLLDMYVKCGNLERANLIFSAMPEKDIVSWSTMIQGYAFNGLPQQALDLFFQMQSENLKPDCYTMVGVLSACATLGALDLGIWASSLMDRNEFLSNPVLGTALIDMYSKCGSVTQAWEIFTAMKRKDRVVWNAMMVGLSMNGHAKARVFSLTPSIEHYGCMVDLLGRAGLLNEAHQLINNMPMKPNAVVWGALLGGCKLHKDTHLAEQVLKKLIELEPWNSGNYVQLSNIYSGNHRWEEAEKIRSTMKEQQIQKIRACSWIEIDGIVHEFLVGDKSHWLSEKIYAKLDELGRELKAVGHVPTTEFVLFDIEEEEKEHFLGYHSEKLAVAFGLIASPPNHVIRVVKNLRVCGDCHDAIKLISKITKREIIIRDTNRFHTFIDGSCSCRDYW >KGN57306 pep chromosome:ASM407v2:3:12103039:12106714:1 gene:Csa_3G177990 transcript:KGN57306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCFRKSKFVFDAFRNPSSKIFPKDLIQGSRSRISHTGYSFSSGKTSNSHGFQSVSPIVRRFGEIGRRYNPFFGDSKRFYYVDRYRIQHFKPRGPRRWFQDPRTLLIVVVLGSGVFITVYYGNLETVPYTKRRHFVLLSKPMERKIGESEFEQMKAAFKGKILPAIHPESVRVRLIAKDIIEALQRGLRQENVWNDLGYASEAVIGAPEGSGHETLMALKDSGSEKLEGKWYREDEILDDKWVEHSRKKGQGSQANTSHLDGLNWEVLVVNEPVVNAFCLPGGKIVVFTGLLEHFRSDAEIATIIGHEVAHAVARHSAEGITKNLGFAVLQLILYQFIMPDIVNTMSTLFLRLPFSRRMEMEADYIGLLLIASAGYDPRVAPKVYERLGKVTGDSALRDYLSTHPSGKKRAQLLAQAKVMEEALSIYREVRAGHGIEGFL >KGN58539 pep chromosome:ASM407v2:3:26079702:26081321:-1 gene:Csa_3G668600 transcript:KGN58539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKSRSRTTATLLFDPKKKKNHFWFYVISFAIFNIPSTSSTSPTLINFGDSNSDTGGVLAGTGLPIGLPHGITFFHRGTGRLGDGRLIIDFFCEELKLSYLSPYLEALAPNFTSGVNFAVSGATTVPQFVPFALDVQVRQFIHFKNRSLELQSFGKIEKMVDEEGFRKGIYMIDIGQNDILVALYQSNLTYKSVAQKIPSFLAEIKLAIQDVRDDGGARNVLT >KGN59400 pep chromosome:ASM407v2:3:31628574:31629087:-1 gene:Csa_3G816130 transcript:KGN59400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFPLSPLNRHHSSHLIQRWRCLQDQPSMYCGPTDNLAVEKVSDFFSWVFSHNWQPGRKRIVSSFFRYENRLERDTEIFVVKLNAGIPGWEIWL >KGN56495 pep chromosome:ASM407v2:3:7126765:7129383:1 gene:Csa_3G121710 transcript:KGN56495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKPQEPHRPFFPFGNPFRAISPKGAKVSSRLSFLLATFEDSLAERLKKLTPKSENDILSFSWMELAMKLLRETHNDVKTLVEELGFPVSEWDEKWLDEYLNISVKLLDICNDFSSELSQLNQGHLILRCALHNLESTSSNQSVRAPSSLDAWNQHISSRTSRVDSCYPILDSLGESLDLPKVKNSSKGKVLMHVLYAVKVVTLFICSVFASSFSGSSEWLLPTNVPDSFRWASAFTELQKYVNMEIKKIYSSGRFTALRDVDAVNERVKKLHSMIQGNMDDCKEEFQNLIVELRREAENLTQGVDHLTKQVDEFFHIVLSGRDELLSNLRANEAVFSQGMGGLCTRQL >KGN57771 pep chromosome:ASM407v2:3:16851344:16857325:-1 gene:Csa_3G285540 transcript:KGN57771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLQMAFSGPYFLHPSSASRLNPLLFTKHRRSFGLSRFRFRRLHQRQLAVAASANGVFTSPEIAKTFDFASEERIYKWWESQGYFRPHHDQDTVPFVISMPPPNVTGSLHMGHAMFVTLEDIMVRYNRMKGRPTLWLPGTDHAGIATQLVVERMLASEGIKRVELGRDEFTKRVWEWKEKYGGTITNQIKRLGASCDWTKEHFTLDDQLSRAVIEAFVRLHERGLIYQGSYMVNWSPNLQTAVSDLEVEYSEESGTLYHIKYRVAGGSR >KGN58193 pep chromosome:ASM407v2:3:22560951:22561376:-1 gene:Csa_3G588520 transcript:KGN58193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKPRCYKRVFEHFDSDGDGKISPSELRECIAVVSGEKLSVLEAQEAVAEFDSDGDGQLKEEDFVRFVDGGGEEERVKELREAFKMYEMKGSGLITAESLRRMLRKLGETKSLRDCTAMIAKFDIDGDGVLSFDEFRVMMS >KGN60298 pep chromosome:ASM407v2:3:38401024:38401271:-1 gene:Csa_3G894460 transcript:KGN60298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGLNREKLHCTATICKCPKTTFDLQRRSEKLPVGDQAIEENRVKDETGRKLPGFLGSKEGSED >KGN57785 pep chromosome:ASM407v2:3:17122768:17125159:1 gene:Csa_3G302100 transcript:KGN57785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTPLDMSLEDVIKKNNREKLRARGRARRGRGAGGSFNGGRGVVIGSVRRGPLGINARASAYSIRKPPHRMKNVQWQHDLFEDSLRASGISGIQIGTKLYVSNLDYGVTKEDIKELFSEIGDVKRFAIHYDKNGRPSGSAEVVYTRRSDAFAALKRYNNVLLDGKPMKIEMLGDNAEMPVSARINVTGTNGRNRRTVVLTRKFLHIILFHGHFGVFRGLNFKLVEWVSGAASGVSAPVVLSRCLFKEVIDQRHLVAPSLMKKASASSNICVFFLFLFN >KGN58427 pep chromosome:ASM407v2:3:25148728:25148988:-1 gene:Csa_3G642680 transcript:KGN58427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSNLWANTSKQNNNPTISISSEDLEDLIKEQEESVIEELSGKDLITLFANQYDLRSFDPCFSPLKSSDIPAHLTAIVKDCGVILA >KGN55776 pep chromosome:ASM407v2:3:1256963:1258137:-1 gene:Csa_3G011775 transcript:KGN55776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLVCSGCYTLLMYSRGAKSVQCSCCRTINAASEANQMAHINCGNCRVLLMYQCEAHSVKCTLCNFVTSVGILRFN >KGN60147 pep chromosome:ASM407v2:3:37202820:37205427:1 gene:Csa_3G881600 transcript:KGN60147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSVKLMSLIVAALGVTSFIFGVIAENKKPASGTPIPGKGIVICQYPGDPTVVLGYLSVAFLLASSAAGYLSLFYPYQGKSVPRGAMFKSSSFSTFFNIALFTTGLAITLLVWPTVTEQLHLTRNVHHNIETACPTAKTGLLGGGAFLSLDSSLFWLVALMLAGNAREDYFDEIAEKGSNSESLKSSA >KGN57811 pep chromosome:ASM407v2:3:17548094:17548373:1 gene:Csa_3G314750 transcript:KGN57811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNEETEIESIAELEPVASLQNLFPLSKEVVVVLLEYDLCIQAEREAQAAKASTSQGPDE >KGN58181 pep chromosome:ASM407v2:3:22479497:22479830:-1 gene:Csa_3G585920 transcript:KGN58181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILRRLKAHASGEATWGLLAYVSVKASTFSQVLACLCHQPLQRRAPKLDGNMIEGFTGLSWSPNDKRLVKPDSKN >KGN57123 pep chromosome:ASM407v2:3:10770646:10779005:-1 gene:Csa_3G159430 transcript:KGN57123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSCIPIASSSSSSTTQCPLPVCENERVDLNSIRGLVVSINQYIHEFLSNAEARTAVKLRCTSKLRNQRPGFLEFLEQSIISNLYWGIENIEDAVQTSSSEARATRLQTAEQMLQVPALVDEHGETSGMENCYLVCCSYFYLSVVKKLQGDEWQVALHFLQSLLVSPRLVLTEFAQEFCYSLLLSFATCSRQDNFRSMGFNSSVEFGEGDYGESSIRQVARKYKDWLMYYQVMSYGETHQWQQLGSSNMTSSEDGPHSLHGSFSRIEASEATDCGFPRPTLSHYDIIPPLDHIDVFQDKRKASQDFPRCEDTGNSPKELGFIPEPQFNNWGFCRDSSTKCIGDVLKDSHPGSPTSLFSSMNNSESDSDFEAGMNDINHPKKSGQEDMPENFYQKLQYGCSKFDGEPSLISLSSASLSRVKERYSKANMMKSISNKFNGYKSRSIEQKNLQPQVFQNFLEESEPKKKSVNPCKLQTFDSSLPSSFGQGSACPILKQNSAKGQLYHANSRRDSKSEILGLVEKAISRLCFSEGLGNYDDECAVEVSTVYKMLNNKTGVQYTMLKDLIMDQLVTGISTSKEEKVIRASVSLLTTIISENNSVIEDIKKKGLQLCDLATALKQNVHEAAILIYLISPSPREIKSLELLPVLVEIICTSKCYNAWSPSLMLTPPAASMMIIEVMVTAFDEDTNKMHLVEISSPSVLCGLLEVARTNNVEGLMSLGSILVKCMQLDGECRAYTSKFISVAPFLSLLESDKKEAVHIALQVFNEILHVPRSSAISLLQRVKNEGKNDVIHILMLCVNHLQTEYQLLAANLLIQLLVLDNCSTTSLLKEEAVQVLLRSVTCEESSAMQLLSASILSTIGGTFAWTGEPYTVAWLLKKVGLSSDHQNMIKSINWLDQSLQDAGMDSWCSLMARNIICIGEPVFHALEKGLKSDIKKVSRDCLTTIAWLGCEIAKSPDSIRCSACEILLSGIELFLHPGVELEERLLACLCIFNYTSGKGMQKLTRFSEGVRESLRRLSHITWMAEELHQVADYLMPNNSRISCVHTQVLELGFNSSGAVCALIFYKGLLFGGYSDGSIKVWNIKGQSASLLWDIKKHRKAVTCFAHFESGESLLSGSADKTIRVWKMIHGRLECIEVIESKEQIQHLGAYGQIIFAVTHGYGLKVIDASRTTKVLFKSKNLKCIKVVQARVYAGCTDSSIQEFSVTNKWEQEIKPPSKSWILMHQKAINSLAVYKDWLFSASSMVQGSLLQNWRRHEKPEMNIITGKGDVVQAMSVVEDFVYIICKSSANSIQIWLRKAQHKVGRASAGSKITCLLTANDMVLCGTETGKIKGWIPL >KGN57829 pep chromosome:ASM407v2:3:17867675:17867884:1 gene:Csa_3G335350 transcript:KGN57829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNTSLPDADFKGLAEGTQERSTKEIWILKERGRRKSKVEEEIFEEFEKGVKRNYLSKEKVSKCKKRRR >KGN56440 pep chromosome:ASM407v2:3:6852645:6854163:-1 gene:Csa_3G119707 transcript:KGN56440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSSDCLRVCVTGGSGYVASSLIKKLLLNGHIVHATLRNLGDESKVGILKRLPNATNNLVLFKADIYEPHQFEAAIRGCHIVFHVATPLHHTHATQYMDVTEASVAAAKKIAKLCVELGTVRRLIYTASIVSMSPMKEDGSGFKDFLDESCWTPLNLSYPFSNSFLVGYLDSKTITEKELLKFGKSEESKGLEVVSLVCGLVAGESPHPSAAITTMVTFSQFIHESEPFKFLRFLEELDGKVPLVHIDDVCEAHIFCMEQTSIHGRFLCASSFLSSTEIANYYHLHHSHLQQKHGKLDEVAKRNIKMNSKKLIERGFVYKYDGDMILEDAFHCCKNRFVG >KGN58407 pep chromosome:ASM407v2:3:24946887:24950848:1 gene:Csa_3G638530 transcript:KGN58407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASFTIPHLSFSPLSFSNYLHPTLSLPSHSHSKISSISFSASSRCFHKSHSPKESNKPLFRFPSSHPRFLPTDSSQSTPTYRDVLLKSAASDGGVSTSSSFSQTVLGVVHLIVSLGIIVATDKLLKGAFVAAAIKFPSALFGMFCIFSVLLVLDSTVPAAATGVMNFFEPALLFIQRWLPLFYVPSLVILPLSVKDIPAASGIKICFIIVVGWLATLCVAGYMAIAVRNIVKTEMTDAEPMKKPSSFSSVEMWSWTGIFLASFVAALFYPTALGTSARTFLPFLLASTVLGYIVGSNLPGSVKTVFHPIICCALSADLAALAFGYLSRSGLDPILASYLTKTSSNPGAGDILMGFLGSVILSFAFSMFKQRKLVKRHAAEIFTSVIISTIFSLYSTALIGRFIGLEPNLTVSILPRCITVALALSIVTFFEGTNPSVTAAVVVVTGLVGANFVQATLDNLKFRDPIARGIATASSAHGLGTAALSAKEPEALPFCAIAYALNGIFGSLLCSLPLVRQSLIAIAG >KGN56923 pep chromosome:ASM407v2:3:9536161:9537759:-1 gene:Csa_3G144130 transcript:KGN56923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHYKNLISHCLHCNYALFPTQIPVPAAAMETGLRPTATPTPTPATTLLRKYQLGRLLGRGSFAKVYQAVSLADNSTVAIKIIDKSKTIGAVMERCIVREVAAMRRLDHHPNILKIHEVMATKSKIYLVVEFAGGGELLAKISRRGRFTETVARRYFQQLVSALKFCHDNGVVHRDVKPQNLLLDEQGNLKVSDFGLSALPEQLRDGLLHTSCGTPAYSAPEVMTCRSGSGYDGGKADAWSCGVILFVMLSGFLPFDDSNLAAMYRKIHRREFQIPNWVSKPVRFLIYHLLDPNPKTRMSIEALMQNPWFKKSLHLKQANENLLQSMRDYRMGKERGIGEMNAFDIISMSSGLDLSGLFETTERRAERRYASRATVMEVEKRVREMGVELEYEVEVGGKGGSIGMGKRGVVVVVEVVEVAVALTMVEVRVVKGGEEFEEHHWRCFEGKLQGFAVPWQRKCNL >KGN59259 pep chromosome:ASM407v2:3:30606877:30609531:-1 gene:Csa_3G791500 transcript:KGN59259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSCMLDNMTILTDIMDRQLNRVVYRSRFLPLFSLARRVGLSLRKRSLRSSPTNLTADVTQLVVVITVGGPPAPPVIPAARSARSPHSLLYTATRSDISFSLPLKATHSLC >KGN60422 pep chromosome:ASM407v2:3:39237450:39240354:-1 gene:Csa_3G904110 transcript:KGN60422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATASPSGRSNRDGTAKAMVADHISQSVRSTSNLLHLMQQSSSAQAQLTKLPKNLLAKASTMKNTGKILEQMPQVVSSLDAYVEKGLESIPRLQTVVQLLTNMESSQLKSLSQFQHPQEESESLPQPKDVD >KGN59823 pep chromosome:ASM407v2:3:34740518:34746883:-1 gene:Csa_3G848810 transcript:KGN59823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTLSATSTSIVASWDTQQRLSYNPNAPRNPNKKPKSPSFSPTSQATGNAILTATNIRTNQIVSDLLNRRNPSSIEGCKLEEPDGLYLGYDQWLPDPPKVQKPRSVFNAASLAYIGDCIYELYARRHFLFPPLSIEEYNDRVMAVVRCEAQDVLLQKLLNDNFLTEAERDILRWGKNVGSSSKTRTKKRAGAAVYNRASSLETLIGYLYLNNVKRLDEIMQKLGFSTDSSLQMISEESKVINQGS >KGN59862 pep chromosome:ASM407v2:3:35053191:35054404:-1 gene:Csa_3G850660 transcript:KGN59862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPQHQLRNHSLDPDPQLPSIKIHHPASPRHPSATTPVATPTPTAGARRKVGVAVDLSEESAFAVRWAVQHYLRPGDAVILLHVSPTSVLFGADWGSIDISLNTTDDNPDDGDAADAENNPNQNRADRSKRKLEDDFDAFTASKAADLAKPIKDAQIPYKIHIVKDHDMRERLCLEVERLGLNALIMGSRGFGAAKRGIDGGLGSVSDYCVHHCVCPVVVVRFPDEKDIGFSGSAAVALKDEGDAEMKSETSEAAES >KGN56635 pep chromosome:ASM407v2:3:7909711:7928418:1 gene:Csa_3G126980 transcript:KGN56635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGGFVTRAFESMLKECSGGKKYPALQKAIQAFLDATKEVNRSQQATPIETNQPAASAGDTSETGGEADESQTAQSAQEVENNGKKAAPREHISIVLANAGHVLHGDDAELVLSPLRLAFDTKHLKVLELALDCLHKLIAYDHLEGDPGLEGGKNVSLFTDILNMICGCIDNSSPDSTILQVLKVLLTAVASAKFRVHGEPLLGVIRVCYNIALNSKSPINQATSKAMLTQMISIIFRRMETDQVSLSTSSGTKDSSSAEVSSVVDEETTVNEENDKETTLGDALNSVKDTSIASVEELQNLAGGADIKGLEAVLDKAVHIEDGKKMSRGIDLESVNIIQRDALLVFRTLCKMGMKEDTDEVTTKTRILSLELLQGLLEGVSQTFTKDFHFIDSVKAYLSYALLRASVSQPPVIFQYATGIFSVLLLRFRESLKGEIGIFFPLIVLRSLDGTDFPVNQKTSVLKMLEKICREPQILVDIFVNYDCDLEAPNLFERMVTTLSKLSQGTQNADPNLAALSQATSIKGSSLQCLVNVLKSLVDWEKSRLHSEKEGLVHSSEEESSGNENLEVKSREDVTGNFEKAKAHKSTVEAAISEFNRKPVKGVEYLISNKLVENTPSSVALFLRNTPSLDKTMIGDYLGQHEEFPVAVMHAYVDSMKFSGMKFDAAIREFLKGFRLPGEAQKIDRIMEKFAERYCADNPGLFKNADTAYVLAYAVIMLNTDAHNPMVWPKMSKSDFTRMNVMNDPEDCAPTELLEEIYDSIVKEEIKMKDDLLDKAKSRRLEVEEKGGLVSILNLALPRRKSSTEAQSESEAIIKQTQVIFRNQGAKRGVFYTSQRIELVRPMVEAVGWPLLATFSVTMEEGDNKPRVVLCMEGFRAGIHITHVLGMDTMRYAFLTSLVRFTFLHAPKEMRSKNVEALRTLLALCDLETESLQDTWNAVLECVSRLEFITSTPSIAATVMYGSNQISRDAVVQSLRELAGKPADQVFVNSVKLPSDSVVEFFTALCGVSAEELKQTPARVFSLQKLVEISYYNMARIRMVWARIWSVLSNHFISAGSHHDEKIAMYAIDSLRQLGMKYLERAELANFTFQNDILKPFVVLMRNSQSESIRSLIVDCIVQMIKSKVGNIKSGWRSVFMIFTASADDESESIVESAFENVEQVILEHFDQVVGDCFMDCVNCLIRFANNKSSHRISLKAIALLRICEDRLAEGLIPGGALKPIHDNESAEPAFDMTEHYWFPMLAGLSDLTSDPRPEVRSCALEVLFDLLNERGSKFSMSFWESIFHRVLFPIFDHLRHAGKESVNSSGDEWLRETSIHSLQLLCNLFNTFYKEVCFMLPPLLSLLLDCAKQPEQSVVSLALGALVHLIEVGGHQFSEDDWDTLLKSIRDASYTTQPLELLNALGFENPSHDELNIVDDGSLKWSSQQEAKNHHIDVNEHGKVSPVPSPRVAEIITRSPIAESGLQITTDESAEGIPSPSTRATRAAEAANLQRSQTIGQRIMGNMMDNIFVRSLTSKSKGRASDASVPSSPIRLPPDTVDPEVKDDEESPLLGIVRGKCITQLLLLGVIDGIQKKYWVKLSAPQKIAIMDILLSLLEFSATYNSYNNLRQRMNHIPDERPPLNLLRQELAGTSIYLDILLKATSGFNTIEAEQEKIADSLEVDSESPKDDLTSIQDSSAVSNVDGIAENRLVSFCEQALREVSDLQSSAVETTHMDVHRVLELRSPVIVKVIKGMCFMNSQIFRRHLREFYPLLTKLVCCDQIDIRGALGDLFKIQLKALLP >KGN56370 pep chromosome:ASM407v2:3:6489249:6490292:-1 gene:Csa_3G118050 transcript:KGN56370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVVPKVSKGSKTSIYCGSWVMDYALSGSKTKNICRRVGRGWVNGSCAAGKRKCKVSIEYGFCEKLNHKAIPPEVAPKDWKWAVTARSITLLCSVSSSTSESSRFFPVSISHLRCPNLERSLRLYDRDFNRFSRLWLINTFPEHHAYHSWAAID >KGN58169 pep chromosome:ASM407v2:3:22336181:22340436:1 gene:Csa_3G576340 transcript:KGN58169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSDVKIKRGRSTGKGENIRKIMKCLCSGEKKAGDNMIPALKSPSAFENSGSGHSSRTGEIINKPEIGNIEEAESSLRESGCLNYEEARALLGRYEYQKGNIEAALHVFEGIDITAITSKIMISISRRGDRLRKRSQNFTAPPMSMHAVSLLLEAILLKAKSLEGLGRFGEAAQSCKVILDILESSFPEGLPENFGADCKLQETVTKAVELLPELWKLADASQEAILSYRRALLHQWNLDAETTARIQKEFAIFLLYSGSEACPPNLRSQMDSSFVPKNNIEEAILLFMILLRKVVLKRIDWDPSILDHLSFALIISGDTRALAGQIEELPPGILHRQELHHALALCYYGAGENLTALNLLRKVLGSHEDPKSLPALLMASKICGENCDLAEEGTSIAHRALQNLDRECDQLEGVANCLLGVSLSVYSKSATADSEKFTRQSEAIEALEAARKKTRMTDSNVLYHLSLEYANERKLDSALHYAKKCLKLEGGSNIKTWLLLARILSAQKRFADSESIINAALDQTGKWDQAELLQTKAKLLIAQDEFKGAIETYSQLLAFFQVQSKSFNLGDKKLLKSSRNYAGRLQLEVWHDLALVYIRLSQWHDAEACLSKSKAISSYSASRCHITGMLYEAKGLYKEALRGFMAALEIDPIHVPSLVSSAVVIRHLGHQSHPVIRSFLMDALRLDQTNHNAWYNLGLFYKSEGTKSSLGEALECFEAATFLEESAPVEPFR >KGN58999 pep chromosome:ASM407v2:3:28791545:28793396:1 gene:Csa_3G742870 transcript:KGN58999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNQRTFSLAFLIILTSTLLWASVLSHQPGEVCEFDYIGGSNKGPTKWGAIKEEWSKCNNGKMQSPIDLSTNTINVVNSLGPLNREYNPFSATLRNRGHDISVAWEGNVGSIEINWTKYLLKQIHWHSPSEHTLNGRRYDLELHVVHESLNSNAKYAVVSHLYEIGPPDAFLSKVSGGIKELSTGKKEIKLGSINPDEIRNASGINYYRYIGSLTAPPCTEGVVWSINKQIGTVSQEQVMLLRSTVEHCAETNARPVQPLNGRHVQLYSQDPSSMPIPK >KGN58831 pep chromosome:ASM407v2:3:27891742:27894921:-1 gene:Csa_3G733360 transcript:KGN58831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVFGTLYSVEFGNEVVLGKMVWSQGVAFGCRSFRSVVKEVAYPISDRKGIGRCIGVKRKNKFSVVMSFSQSHLNSDAPAAAVTVSKEEDDAIRGRDFRSMEMSEVVSDKHDAELIDGNGGNGAYNNGGGGGGGRGGDGGDDSRGDREEEEFGPIMKFEEVMKELESRGVTLPSDMLEAAKSEGIRKLLLLRYLEMQGLGWPLGFLMRSCAMIRNRVLADPSFFFKVGVELVIDSCCATFAEVQKRGKDFWTEFELYLADILVGVAVNFALVALLAPYARFGQPSVSKGFLGRIQHACEALPSSVFEAERPGCRFSVQQRIATFFYKGLVYGVVGFGCGIIGQGIANLIMTAKRSIKKSENEVPVPPLFKSAALWGVFLALSSNTRYQIINGLERVVESSPLAKNIPAVAMAFTVGIRFGNNVYAGMQFIDWARWSGVQ >KGN60065 pep chromosome:ASM407v2:3:36625129:36625939:1 gene:Csa_3G875410 transcript:KGN60065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIMEKHSNPKSKFMKFLPRAASAVNFHNPPVSPGRETRPLAGRGFSGPMNFSIIPREARITKSNNSGFETPEPTSPKVSCIGQIKHKKKLKGMAKTAAAATVESKNRLPPSRIKRIFTGGKVLGRAKSNVAGAAAQSGKPPLPERAPGLNQMKRFSSGRGALANFDWTAQIAPEDEVEGEGRRTVWIGEEVGPFQPRKEVNIWKRRTVVPPTPLQLNSTIVKQK >KGN56033 pep chromosome:ASM407v2:3:3421057:3421458:-1 gene:Csa_3G047930 transcript:KGN56033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNSSLPLSCLPIYISQHSQLPSELEFLLLLLLLFSLFFYLLFFFRSSFILIVALEPPNSSLCPFKFLSPLLEK >KGN60234 pep chromosome:ASM407v2:3:37843494:37855410:1 gene:Csa_3G889900 transcript:KGN60234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHIPATMEEQLILKAIKEECAWESLPKRLQATLSSKEEWHRRIIDHCIKKRLQWNTSFARKVCKESEYYEDMMRYLRRNLALFPYHLAEYVCRVMRISPFRYYCDMIFEVMKNENPYDSIPNFSAADALRLTGIGRNEFIDIMNKCRSKKIMWKLNKSIARELLPTQPIDFVIEPWWGVCLVNFTLEEFKKLSEEEMATIDKVCKEEANSFILFDPEIVKGLYRRGLIYFDVPVYSDDRFKVSRLEGFVSNREQSYEDPIEELLYAVFVVSSENATVAELAATLQADLLQLQAAASFACRLGWAVKVIDPASVLQDASIPNSPRTIFTDEDGSLAASGSSNMFSDGDGSQGYSGTDGLGPDSANRVAFVVDANITSYLMMGSVSPGLKSHAVTLYEAGKLGHACIADLCKDLTTLEGAKFEGELQEFANHAFSLRCILECLLVGGVAINAKGEEGIYDKQDAEASDKKESSSLITDTASIEKLEHLTIDEDQKCADDSSSSALVFEGSAGDDMNSATSLDGGTSFSQASDPVPHLQIDNKSMQIDELDIGGESFKRIKKYQVDILRCESLASLAPSTLNRLFLRDYDVVVSMIPLPPSSVLPGPTGPVHFGPPSYSSMTPWMKLVIYSTVSSGPLSVILMKGQCLRMLPAPLAGCEKALIWSWDGSNIGGLGGKFEGNFVKGSVLLHCLNALLKYSAVLVQPLSKYDLDKNGRAITVDVPLPLKNSDGSIAQVGNDLGLSEEEISDLNSLLVVLANKIELWTVGYIRLLKLYKERELENFSSDGKAYEWVPLSVEFGIPLFSPKLCENICKRVVSSELLQSDLLHKHHDAMQGLRKRLRDVCAEYQATGPAARLLYQKEQPKEVSKQLMNYASGRWNPLVDPSSPISGAGGEHQRLKLANRQRCRTEVLSFDGTILRSYALAPVYEAATRPIEEALPATMKSESDESDSKEVVLPGVNMIFDGTELHPFDIGACQQARQPIALVAEAAAASAAVATK >KGN58406 pep chromosome:ASM407v2:3:24941594:24945280:-1 gene:Csa_3G638520 transcript:KGN58406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVIDLLTRVDAICQKYDKYDIEKQRDLNVSGDDAFARLYATVEADIEAALQKAEDASKEKNRASVVALNAEIRRTKARLLEDVPKLQRLAVKRVKGLSTEDLTTRNDLVLALPDRIQAIPDGTVTTTKNNGGWTTSASRTEIKFDSGGRFDDEYFQHTEESSQFRQEYEMRKMKQDQGLDMISEGLDTLKNMAHDMNEEIDRQVPLMDEIDTKVDKAASDLKNTNVRLKDTVNQLRSSRNFCIDIILLCIILGIAAYLYNVLKK >KGN56982 pep chromosome:ASM407v2:3:9886324:9887911:1 gene:Csa_3G146670 transcript:KGN56982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLKKRNFHLWFSKLRCFPATVKPSSPPQTPNKKPFSITHLENSDYSSTSTAADDGFFSDDSSSDSDAIVPDFSAAVASHRFFFSSPGCSNSIFDSSPDTHHSTAVSAAVHGGVEVRKVSMDPFVDFRASMQEMVEARDRPVDVRRDWEYLQELLLCYLQINPVDTHKFILRAFSDLVVYLLESSPESFSDRRIRPHNINSNSW >KGN56852 pep chromosome:ASM407v2:3:9127506:9128156:1 gene:Csa_3G135000 transcript:KGN56852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRITMEKKLRTAKKAWKKLTKSLQSKFHALNISKSINTAKRRLISAVQTSLRLLIPSKFHRRLLRRKSSPSSYYHRNQNKNQFLRHYDDQHFHNPNNFAAIHIDELFPDLSDPIAKRSGGEITETSRGKEVMKEAEKDNHDEETSIYSIEDAWKIVVASSPHLRPVDERAEEFIRKFRREIILEKEKSLLEFERMLARSAA >KGN59484 pep chromosome:ASM407v2:3:32239367:32242082:1 gene:Csa_3G822350 transcript:KGN59484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLSSLSKQRGFIIFLNLSFLLVGSAFLVNRFHSTESHFHVLNKSSRRIHGNPQEDCKSFIALTESEAKCSVLKSNNPCDTQGYIDYLYIFYCEYGSFSILGYTLLFLWLLVLFYLLGNTASEYFCSSLESLSKLLNLSPTIAGVTLLSLGNGAPDVFASLVAFMGDGTSDIGLNTVLGGASFVTCVVVGIISILLRRRRMKVNRSGFIRDVLFFLLVLLSVFLILLRGHINLWGSIGFSSMYIVYVLVVYVSHAQWLNFRSDICEPLLKEPSKDEFEGRNEPQDNSDDDDDVRINVYEICFCPRLSPSCLFFLRILEIPLYLPRRLTIPAIAEENWSRVYAVASVILAPVLLSLLWAFHHQGEPSQSNLIICVVALLLGISFGIIAFVTTENSSPPKKCLFPWLAAGFTMSLTWSYIVAQELIGLLVSLSYIMGISPSILGLTFLAWGNSLGDLVANVTMALNGGQRGAQIAISACYAGPIFNTLFGLGMSLVGASWKKYPEPIAIPPDPYVMETLGLLVGGLLWAVVALPRREMRLDAVLGGGLLAIYLTSLLLRLLQAFGSSH >KGN56070 pep chromosome:ASM407v2:3:3669212:3673960:1 gene:Csa_3G064190 transcript:KGN56070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVIPKESIEIVAQSIGISNLSPEVALALTPDVEYRVREIMQEAVKCMRHSKRTVLSSSDVDNALKLRNLEPIYGFAACDSLRFKRAAGHKDLFYIDDKDVELNNVIESPLAKATVDTSVATHWLAVEGVQPAVPESLLTEEPHDGKKSDLKEEELPYDSKAPTKHVISRDLQLYFEKITGLILNKSGSILIREALRCLAVDSGIQPLLPYFTCFIADEVSKNLCNCQLLIALMRMVWCLLRNPQIHMAPYLHQLMPSIITCLVAKQLGKRLSDNHWELRDLAASLVSLICKRFGHVYHNIQPRVTKTLLHVFLDPSKLLPQHYGAVRGLADLGPDVVRQFILPNLEPYMQYLEMEKQKNEMRRHEAWQVHRTLLDAAGKCMHGWLKVFPLSLSLSPPMRSTSKINANINGKVVKTISNKRKAILDDSVQQPALKKMATDSTLGAIPMNSMMVDMQGATTGLPTPLGGSNISVARNFPNETRPGREGELGFKGSTALAIAWKEDLDAGPLITSLFQLFGEDLFSFIPKPELSFFL >KGN59943 pep chromosome:ASM407v2:3:35703727:35713465:-1 gene:Csa_3G855370 transcript:KGN59943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNTGNPQGIIVPPVEGVAGGGTAYGWNDGTLHTSTLPKRSIDPTEVPTADLVDVWCMPSTANVGPQEMPRRLETINLLAARNERESVQIAMRPKISWGASSVAGIVQVFSGDLCSTSGDRLVVGQSLRLRRVVPILGVPDALVPLDLPVSQINLLPGETTAVWVSIDVPNMQPPGQYEGEIIITAIKTDAESSTQYLGKAEKHEIYKELRSCLDIMEIVDEKPLEEVVKRVKSATASLKRVILSPSFSEFYSENGSIDVMDEDAFSNLSVRVKIMLTVWDFTIPATPSLPAVIGVSDTVIEDRFGVEHGTDEWFEALDDHFKWLLQYRISPYFCRWGDGMRVLTYTCPWPADHPKSDEYFSDPRLSAYAVPYRAVFGGDTGKDYLQREVEILRTKTHWKKAYFYLWDEPLNMEHFDSVRSMSSEIRAYAPDARVLTTYYCGPSDAPLAPTTFEAFVKVPSFLRPHTQIYCTSEWVLGNREDLVKDIIAELQPENGEEWWTYVCMGPGDPHPNWHLGMRGTQHRAVMWRVWKEGGTGFLYWGANCYEKATVPSAEIRFRRGLPPGDGVLFYPGEVFSSSHEPVASVRLERLLSGLQDIEYLKLYASRYGRDEGVALLEKTGVYQGPERYTHDHMPVDLMRGEVFNLCRS >KGN57264 pep chromosome:ASM407v2:3:11834140:11838257:-1 gene:Csa_3G175650 transcript:KGN57264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDGAAPSRYVKLKKDQAPLEEIKPGELNQPIDVPQLNVRKCNECGQPLPESFEPPADEPWTTGIFGCAEDPQSCWTGLFCPCVLFGRNVESLRDDDMEWTRPCVCHAIFVEGGIALATATAAFHCIDPNTSFLICEGLLFTWWMCGIYTGLVRQSLQKKYHLKNSPCDPCMTHCCLHWCALCQEHREMKGRLADNFAVPMTIVNPPPVQEMKSENDEEGTTSSSNMRNGQTNLEMQAL >KGN59430 pep chromosome:ASM407v2:3:31850063:31850981:-1 gene:Csa_3G819870 transcript:KGN59430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITIVDHEVPSDSHNYFDITFDTDEPILTLLTTSPSMVDGWISQTLAIQTPPLLVGLDIEWRPNNRSYDNPVATLQLCIGRRCLILQLLHMPEIPKSLFEFLENESFTFVGVGIDEDAEKLNCDYGLKVGKRMDLRDLAESVTGRGELKNAGLKRLGKEVLGKEIHKPKSVTMSRWDQEWLTLNQVKYACIDAFFSFEIGKFLQSASY >KGN59668 pep chromosome:ASM407v2:3:33418824:33423075:-1 gene:Csa_3G836520 transcript:KGN59668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTASINTCLFSLNKSSSSSSSSSPSPNIIRSSSISARLSNNNTPPSFPNLIQNRPVFAAPSPLITPTWTEDMANGSYEEAIEALEKLLREKGDLKATATSKVAQITAELKTADGEKPAFDPVERIKTGFIHFKKEKYDKNPELYGELAKGQSPKFMVFACSDSRVCPSHVLDFQPGEAFVVRNVANLVPPYDQDKYSGTGSAIEYAVLHLKVQYIVVIGHSACGGIKGLMTFPYDGKYSTDFIEEWVKVGLPAKAKVNSAHGGADLGELCTHCEKEAVAVSLGNLLTYPFVRDGLVNGTLGLKGGHYDFINGTFELWGLEFNVTKPLSV >KGN57236 pep chromosome:ASM407v2:3:11540327:11545303:1 gene:Csa_3G172410 transcript:KGN57236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHRDQRQENHGVHVCNKCGWPFPNPHPSAKHRRAHKRVCGTIEGFKLVESEANALLTVVSDDDVDDKISSPKVLGGRCGDDSVGMKTKSKESEDEVFSDAVAEFSESVGPKKPMGDALDSSAAKMVVEEEISSSQTLKDKEVLVIAETTINQSGCEQEKKVNQEFVNIETESKTPLSSSSTENQKDESSVAAETEIDQLGNEQETKVNRELVDLETSSTSTENQNVENSVVVETEQENKINQLYGNLETNFRHENSMIPSIDHINTTTTTGDLYPNDPDTIVTALEQPQYSLLSPDRICDDEDFDSCKNSTEVAAASEKIDSDESGPSPKMEETIEISTEPLAHDGTFQLVVDNDMSIHSEIPQSVLSAANPQSVVVSDVKPIDLTQVTYDTGKELESCSSNNLLETDIIKGENDNVHLPSVSSDLNTLDHPEALVEELENHKEVKLTSCVVQDPHGGVSGLKDKSKDPIPKGSYFNLQAEPFDQVASFDTKIMESRQKQEEVVKNVSVDVKGDCSSHSGQEAAEIPIQETNAAQIKNLLSENEGHSKSQILSDVAIGIGSIPSASLSSEVESVAPSKNSLDNLSDNVTEVLFSEVERGEVLLQDDENKEGACGRDFEDTVQIHLPVDAHERKDNFVNEKDKFDNLYIAGVEDKKDPPEEKFSMGIDATPEPATTNKENQCIAVAEEIAEESPRKISLIESIGSRKFDTSLVSDTQESVKENDCSSFVHVVCPTEVNADYGQYHIGDSNSVQDSSVVHAIANREGNLVSVSNETVAGKSDTFQDGSVTQLAGDGVASETWKDDGAKTDAPKTDVKPQLTSSLLDASVDAISQTDSLEGNWGSVSVLSTQSDLLAVVDGEVTPQARAGAEETDLRKANAAPERQHSDRSDLFEPPSFMTLVEPNGGGIPNSATTEIQTARNREQPNPTSLQAGWFPSYTHVANDSPGRKKNEAIIAKVTNWSAGKPHTALKNLLDDAALENKQKSSPTQKDNLASMIQKDEKPMKKVDSIPKKVDSIALPNSPTSQLVNREFANEWNSPARYPSDIRRERRKGRPYWAQFVCCSSVH >KGN57359 pep chromosome:ASM407v2:3:12521357:12522827:-1 gene:Csa_3G181950 transcript:KGN57359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLQILSIYRNLLLHVALSLSLSVFIIFFNIPSIFLHGIFTYIHPDNTNSGVRAAIRRPDSSSSGTGLKGYRNLSSTAAAEIKKRTKSKDKAEFDESKAQIFRLKLDENHLQTRIYFKEYRDAFTFSFVGISCLLLQIFVGASKNSGVWGNGIFVPLLFVIFAGCKLFVSLTKVAFEKSASRTLDRQLSLLFGVFGFLFGLLTCSAFSPLILDFDLREIGGMGACFVAILMGLCAGFLFIPATKITRSFWLGTDQIRCNLDMVYCGWFSRIVLYSSQAAMAFTTLLWVNPLAEIFIKKNIGEGTIGHMSSEIRNADRLVGSIGFSKEDFAKLRLWCLTLSGFLQIIAVRQNLQMYLNEALLSWYQRLHAGKVPDLDFSRAKVFLHNHYLCVSALQFFAPPALVLLFVGLSQIDINSFKNTNLVSFLLPCSSFVNQVALLMAWWIVSVLTLYTSATIVLYRRGILYVS >KGN56021 pep chromosome:ASM407v2:3:3337923:3341225:1 gene:Csa_3G047820 transcript:KGN56021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETAALLSRYPQLGSCFSKQVRFQKINNLHSFSTTPFFSNHFLDRRLFTSSNNNCIISMDAREKSSRKIVNSKRHKIVPVYVMLPVDIFERGPSGIVTLTKMKAVRASLRALKLAGVHGVAVEVWWGVVECFSPMIYDWSLYEALFRLISEAGLKLHAALSFHSDTRWTVKGKEGVSLPLWIMEIGARNKHIYYQDQKGMTNGDYLTLGVDHLPVLYTRSALQCYEDFIFNFVKNFGHSIGDLIQEISIGLGPSGELRYPAHPFADGRWMFPGIGEFQCYDKYMLADLKIAADQIGKPQWGNRGPQNAGDYNSSPSGAPFFEGGEGSFLSEYGHFFLNWYSGRLIEHADAILGKAAQILKRYLQKDHPSVTLVAKLGGIYWWYKTFSHPAELTAGYYNTETRDGYDSVTSMLSRHGAALHFPCLEMVDDETPSLYDCSPERLFKQIVDASKQNFVHLIGRNTNERFDKDGFWQIHANCCHPGNDAVKSFTFFRLTKQFFWHENWINFVPFIKMMSTNSY >KGN56651 pep chromosome:ASM407v2:3:8010410:8012655:-1 gene:Csa_3G127140 transcript:KGN56651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTTVPGRNAPEEVTRESLISISYEEPETVLSSNQPSEKISRENINLANGINHNQMEGKEYDGDEKFRSELIAISFLESLPETGSVPVAELKG >KGN56058 pep chromosome:ASM407v2:3:3597015:3603281:1 gene:Csa_3G062600 transcript:KGN56058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSNPTGSMETGFLGNLSSSSFRNFLPRSISSKKSLISSISKKTHKSNSENTPPVHPNIPLNDHQIPISKSPFDSNLDLSVSQSLSLKDEVLQSDNQFEVPNPPDPPIKVVVRIRPNDRENEVERTVKRISSDELTFGDRKFSFDSVFDSDSKQEDVFSKIGIPLVKDALAGYNTSIMSFGQTGSGKTFTMWGPPSAMVEDPSPLSNQGLAPRIFQMLFSEIQKEQENSEGKLINYQCRCSFVEIFNEQIGDLLDPTQRNLKIKDDAKNGLYVENVTEEYVTSYDDVTQILIKGLSSRKVGATTINSKSSRSHIVFTFIIESWCKETSSKCFGSSKTSRISLVDLAGLDRNVTDATGRQSTREGKNLKKSMSRLGHLVDSLSKETERPSEDRLYRGSCLTHLLRESLGGNAKLTVICAISPDNNHSCETLRTLRFGQRLKSIKNQPIINEIKEDDVNDLSDQIRQLKEELIRANANSGKSVRKTGYFQGPNVRDSLNHLRVSINRSLILPCIDNDSDEEVNCNEEDVRELHQQLDKAHSFSEENSDKRDSLHFSSVGESFASYSMSDDEVSYPQTMEEINPVEHHEDKIILTDNLSSRDSKVPDPVNRRSISVSSFYHFSNLEDPPLSESPKIGNSQRKSLAVAPSFADHHGSKMSSDSFKFNKDVLRQSLSQSKSIRSSLRSSNNFEDPTESLAASLQRGLKIIDYHQQSSAINKSSVSFSFEHLARKSCPEVNKAVGSLQTLEEDNAVAISSPHQLCVSCKRKITENDTSEMPSSNNELVAVNQSRNLKAIVGLNHVDDLEKESVQEKCEIKAQNNQNCFTDVSEKEELLKEIQNLRSKLQTFADVSANKSTDKLRSSLLLSRSIHLRKSCLGGGGGSQTNEAELEKERERWTEMESEWISLTDELRVDLESIRQRAEKVEQELNTEKKCNEELEDALHRSVLGHARFVEHYAELQEKYNELVGKHRAIMGGIAEVKRAAQKAGSKGNGSRFSKSLAAELSALRFERDREREFLKKENKSLKLQLRDTAEAVHAAGELLVRLREAEHSASVAEESFTSVQQENEKLKKQMEKLKRKHKMEMITMKQYLAESKLPASALEPLYHDDHDDVGTDKRASYVDDDQAWRSEFGAIYQEQHY >KGN59425 pep chromosome:ASM407v2:3:31835682:31836341:1 gene:Csa_3G819820 transcript:KGN59425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSDKPINSDDEHCNQDQDSSKVPESEQQKQPETETKTKDETRVFYCKFCSRKFSNLQALGGHQNAHKRERDIAKREKAAAAAAAAGGRTTDAFDSIGSFYHPYYSAMAIHCLRNKSPGIPIRPQSVIRKPSRSRVELGGGATAAAYGYRWWPREQYLAAAVVAQQQQIQSGGLLQGVNNGFASMAMANRNASSSSSSSQIFGADQYIVNGLDLSLKL >KGN60206 pep chromosome:ASM407v2:3:37664808:37668073:1 gene:Csa_3G889140 transcript:KGN60206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFYFKARPDVGDYTIFMGLDKYENEELIKYGFIEDIWFHVDKMSSAHVYVRLQKGQTIDDISEGLLEDCAQLVKANSIQGNKVNNIDVVYTPWSNLKKTASMDVGQVGFHNPKMVRTVRVEKRINDIVNRLNRTKVERKPDLKAEREAVNAAERAERKQHLRDKKRREEMERLEKERQAELRSYKGLMVSEKMTSNKQIAATSKSLQELEDDFM >KGN55864 pep chromosome:ASM407v2:3:2069183:2071470:-1 gene:Csa_3G020040 transcript:KGN55864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDADNLFLQKTDELFQCGQFCAVFINPCVFHTGLFVLQPSKRVFDDMMNEVRVGRDNPDGADQGFIGSYFPDLLNQPMFYPPSNSSILDGNFRLPLGYQMDATYYYLRLRWSIPCGPNSVITFPGAPWLKPWYWWSWPILPLGLQWHEQRRHTLGYGAEMPLVLIQILLYLGILAMIRLARPNLTKLSHRRSEKPTASFHSALKLGAFWSILAAYVLPFFIIPTTIHPLLGWGLYLLGSSTFSFLASTVFLLPLLPLLLPSIGILGALLVMAFPFYSDGVVRGLFIFLYAFCAAPVVWSAAVRTAAAIQSSASFVVKSGETLPSSRFNKWC >KGN59286 pep chromosome:ASM407v2:3:30795422:30797581:1 gene:Csa_3G800690 transcript:KGN59286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKFGEGDKRWIVEERPDGTNVHNWHWAETDCLEWSRNFLSKLLSNLPLLDGEGGLFIKTKKVDKVDGEAYVNIRKGKIIPGYELSVTLSWEGEAKDSAGEALQKVDGLVEIPYISDENADEDPEVRVSVKDEGPFGKRMKDAMLAKGKPIVLEKVRLYVQSMAKGGPAKDDLEAKKVEPKSNQSAPAAAPAAATAPAASKPAVVAEKKKVKKGFKTITLTEKFSCRANILFEILMDDNRWKGFTQSNAKISKEVGGEISIFDGSVTGKNLELEEGKLIVQQWRFGSWPDGIHSTVKLTFDEPEPGVTIVKLVHTDVPEEDRYGNATVVENTERGWRDLIFHKIRAVFGFGI >KGN57081 pep chromosome:ASM407v2:3:10495389:10496077:-1 gene:Csa_3G152080 transcript:KGN57081 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin MERIAKVASQKAVVIFSKSSCCMSHAIKRLFYDQGVSPAVYELDEDSRGKEIEWALLRLGCNPAVPAVFIGGRFVGSANAIITLHLNGCLNKLLKEAGALWL >KGN60171 pep chromosome:ASM407v2:3:37339794:37342637:-1 gene:Csa_3G881840 transcript:KGN60171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNLIKCKSKSASCSQGYSLADFQVEINSCCSALEVFQSMYFVIQILVQDWFIDSKEFLMDGYSSKRVHVGIVSRKGPGVVLRDNMKHRDQSNKCSNRPGCNGRICSSSGAQVGCSSKFSSKRPFHSSSGKEGFGSSSGIQNLRKSFPDPFGKLSSKLETDSSENDSIQDDLEELEFISPHGLFHTGLHAKSESPVVANAMLMERGSCSTDSSNISKRVSIQSYEVDNEDPHASMRPRSLCQKSNGSSSRYNSRNLTCDSVSEVIPSNNSLLESNLVRRKNVMKKMTFDGENSSSSRGKKVSGTSRGQKCIYRHGISISDQIPGRNVFHRKNILSSHGTRSLTSVCSGGRHSYQGISDNLSLQDSLATTSWRPQANVSSSSNASTSLQLSSESHSRQHTTSPKPEGYSQRLHDIRQATSAEVGLSSTSTNASRFRCHNVDGIAEVLLALERIEQEEELTYEQLLDLEEKMGTVSTALSEEALTKCLNRSIYQSKPKGVTAMDPIGELSDVKCCICQEEYVSGDEVGRLQCEHQYHVACIQQWLRLKNWCPICKSSAATSHPFR >KGN56956 pep chromosome:ASM407v2:3:9778348:9780873:1 gene:Csa_3G146420 transcript:KGN56956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANLFPTISIPPNFIKPTTQNDSKHTPPHHFQIGLFKSCKTIDELGQLHCYALKQGLIRKQSTVTKLISTCVEMGTSESLDFARKAFELFHEDGEANVTLFMYNLLIRGYSAAGLYDEAISLYVQMIEFGFMPDNFTFPFVLSACAKTAAFVEGIQLHGALLKIGLEGDMFVANSLIHLYAEGGEFLFARKVFDGMLERNVVSWTSLICGYSRTDFFGEAVALFFQMIEAGVKPNSVTMVCVISACAKLKDLELAKRLHAYIEESEMELNTHMVNALADMFMKCGETGAAKRLYDECVDKNLVLCNTIMSNYARHGMPNEVLAVLVDMLQLDLRPDRVSLLPAISACGQMGDYLLGKCCHNYSLRNGYEGWDNICNAMIDMYMKCGKQEMAYRVFDGMLNKTIVSWNSLLVGYIRNKDLESARKIFNEMPEKDIVSWNTMLNALVQESMFDEAIELFREMQLKEIKVDRVTMVEVASACGNLGALELAKWVYSHIVKNAIYCDMLLETALVDMFARCGDPHSAMNVFNNMHRKDVSAWTAAIGAMAVNGNGDRAIELYNEMLRQGVKPDQVVFVNILTACSHGGFVEQGEHIFESMKQHGLSPQIVHYGCMVDLLGRAGKLEEALDIIESMPMRPNGIIWGSLLAACRTHKNIDMATFAAERLAEVAPEKTGIHILLSNIYASAEKWDDVANVRLQLKEKGVQKMPGSSSIQVDGVIHEFTSGDRSHPENYSIDMMLNEITSRLGDVGYVPDVTNVLLDVNEQEKQYLLNRHSEKLAVAYGLISTKKHVPIRVMKNLRMCSDCHSFAKYISKVYHREITVRDNNRFHVFRQGSCSCGDYW >KGN58056 pep chromosome:ASM407v2:3:20942328:20949954:1 gene:Csa_3G471200 transcript:KGN58056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLEALAAASSTSSSASPPSTSNSNLPPPPEDAWTRASQRLLPRWKSLSHSHLSPIPISISKVNQVDAARLDIEMSAMLKEQLVKVFALMKPGMLFQYEAELDAFLEFLIWRFSIWVDKPTPGIALMNLRYRDERSMEIPGKVRTGLEGPGLTVAQKIWYCVASVGGQYIWTRLQSFSAFRRWGDSEQRSLARRAWLLIQRIEGIYKAAAFGNLLIFLYTGRYRNLVERVLRARLVYGSPHMNRAVSFEYMNRQLVWNEFSEMLLLLLPLLNSSSVRNFLRPFSKEKSTNSAEDDSACPICLASPTIAFLALPCQHRYCYYCLRTRCMATQSFRCSRCSEPVVAMQRHVESSTTTRNLKR >KGN56034 pep chromosome:ASM407v2:3:3430312:3436201:1 gene:Csa_3G048430 transcript:KGN56034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPSHPLWALQRRLFCFIRTTNYSSAAVTAPSTASNSSFPLKNVTKSNFEAALSELRSHVRAADFVAIDLEMTGITSAPWRDLLEFDRFDVRYLNVKDSAEKFAVLQFGICPFRWDSAKNSFVAHPHNFFIFPRHEIEVDGPSSEFLCQTTSMEFLAKYQFDFNACIYEGISYLSRAHEDAARKRLNFAYGDEMLDASSNVTNVMDKKRVGIPDVLFKERMKNRLHEWRDRLLSERNMEFQGQEGSNDHKRQFQTIFFKMRPALTLSDFTSHQIKLIQLVIKKHFEDLCFVVVNDGNADLQQLVVFVDSRDDKELLMQEVNRDQKEVNEMKIQSAIGFRHVIDLLSSEKKLIVGHNCFLDMLHIHRKFIGSLPSTTEEFVSSLGKHFPYIMDTKILLNGNNILIRRMNKSNTSLSSAFGLLCPHIAFSSQRVSAWNSGVQVEVQVDDLRSSKWNSGDTHEAGYDAFMTGCVFAQACDLMGIDFVDRSTSENLLGNEILQKHINRLYLSWARGDIIDLSTGNQVTESFTTRKHRAKVSFQNIVLIWGFPTNLTARKIKACISKAFGQTNVSSVNHLDESAVFVQFTKPEMVSEFLDLKEKLEKRDDPLSVLHPLTELFDVRTTHAAGYEAYKKICSSPMSKHLFSDQAEAVGINRKTTSIKSEEKRSRMHQAVETTEIQGTEVEANDLSNTNYHSLRSSSM >KGN56401 pep chromosome:ASM407v2:3:6650867:6654558:1 gene:Csa_3G119350 transcript:KGN56401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPIFVGNFGYDTRQSELERLFSKYGRVERIDMKSGFAFVYFEDERDAEDAIRGLDNLPFGYDRRRLSVEWARGERGRHRDGSKSMANQRPTKTLFVINFDPIRTRVRDIERHFEPYGKVLNVRIRRNFAFVQFETQEDATKALECTHMSKILDRVVSVEYALRDDGERGDPFDESPRRAGGYGRPGDSPYRRSPSPVFRRRPSPDYGRAHSPAYDRYNGPYERRRSPDYGRNRSPEYGGRFRSRSPIRRSRT >KGN56020 pep chromosome:ASM407v2:3:3335859:3336658:1 gene:Csa_3G047810 transcript:KGN56020 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipid binding protein MAKFALFITLLFLFSPFIGLVFANDSPAASPKPPKTLHSPSPAHSPVVSPPSHTVSPSKSPAASPPVVTPVISPALATPPSVAPSKAPAAVPISSPSENSPLSSPPSPLPFDASPVGAPVEPEIPANPISGGSPVGTPSIFPSSSSPPMATPTSLAPETAERPGNDASASGKYKIGVEVILSGLSIWAAWAF >KGN59100 pep chromosome:ASM407v2:3:29603537:29609962:1 gene:Csa_3G769650 transcript:KGN59100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQSSTLGSSAFSLGAKPFSLLHCCRYKAVPVRNFRNNNRYPSLYSSTCVQFRSSGSVMCTIYEETDVFANGARLGCGVSESSNCPGVPELNRDFVREIAKRGILFTAIVYGVLVVGCKNVLATEGVVSLSKDIAGQGILAFRNAWPKALLVLKIFKEQGLILALLLGLSAFFSMAETSITTLWPWKVRELAEKEPEDGVFKMLRTDVTRFLTTILIGTTVVNIGATALVTEAATAIFGEAGVSAATGVMTVAILLLTELTPKSIAVHNATEVARVVVRPVAWLSVILYPVGRIVTYLSMGMLKMIGMKGRSEPFVTEEELKLMLRGAELSGAIEEEEQDMIENVLEIKDTHVREVMTPLIDVVAIDGSATLVDFHNLWVTHQYSRVPVFEQRIDNIVGIAYAMDLLDFVQKGEVLDSTTAGDMAHKPAYFVPDSMSVWNLLREFRIRKVHMAVVLNEYGGTVGVCKLNISWFLSYEMTFTI >KGN59931 pep chromosome:ASM407v2:3:35622290:35623349:-1 gene:Csa_3G854760 transcript:KGN59931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPLLLLLLLLSVAANAATFKEAPQFYNSPDCPSIADDLEDPDENDQHLCFNRAVHVAMTLDTAYLRGSMAAVLSVLQHSSCPQNVIFHFVHSASANSSSLRATLSHSFPYLKFQLYPFDDEAVSRLISTSIRSALDCPLNYARSYLANLLPTCVARVVYLDSDLILVDDIAKLAAHSLGADSVLAAPEYCNANFTAYFTPSFWSNPSLSLTFANRKACYFNTGVMVIDLDRWRAGDYTTKIEEWMELQKRIRIYELGSLPPFLLVFGGRIASVEHRWNQHGLGGDNIRGLCRDLHPGPVSLLHWSGKGKPWARLDANRPCPLDALWVPYDLLETPFSIDS >KGN55728 pep chromosome:ASM407v2:3:997431:999343:1 gene:Csa_3G008850 transcript:KGN55728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNKQPIKLDDEQIAELREIFRSFDRNNDGSLTQLELGSLLRSLGLKPSPDQLESLIMKADTNSNGLVEFSEFVALVEPELVSAKCPYTEEQLKQLFRMFDRDGNGFITAAELAHSMAKLGHALTAEELTGMIREADTDGDGRINFQEFSQAITSAAFDNSWA >KGN57606 pep chromosome:ASM407v2:3:14877696:14881647:1 gene:Csa_3G228340 transcript:KGN57606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPIKEPCKKEACDIQACLTKNNFLPHKCVRVIQLLQHCCENCDYKSTHCASVSGLLKQIKPKGQKS >KGN57322 pep chromosome:ASM407v2:3:12232490:12232792:1 gene:Csa_3G179120 transcript:KGN57322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKVQGIELKLIEKDENSQRSCWNAKNLFSSKVVGVLVKRLEQAYSVAGLDMGTSTIGWRKPKEKRGRKEKEKEEVTSNLCERLNKSTAICFQNCFDKID >KGN58817 pep chromosome:ASM407v2:3:27832224:27833222:1 gene:Csa_3G733220 transcript:KGN58817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTRMISSFFPTNRKEQYYVEDRSGCEKCPTEDRKERYQGEDRRMFDKFFDDRKERYYVENRHSYRQFPEKDVVSADSFRSSVAPNSSAINAQIDWKETGDAYVFKLDLPGVKKHEVKLEIEENGALCISTEIRAEREERTDIWHRMERSSGRIYRRIVLPDGADVDKVRAEMYNGVLNVTVPKYQFRKPMARVVQISGH >KGN59137 pep chromosome:ASM407v2:3:29822276:29824848:1 gene:Csa_3G776970 transcript:KGN59137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPFSSIFVVSLLFLGFFVQAHGHGVQSAAFTYEGSHGPQKWGSLSPSYAACSNGKLQSPVDISKDHSVFGKELQTLARKYSIANATLTNNGFNIGVHFWENSGGTAIIDGKNYILRQLHWHSPAEHRLNGEQYAAELHLIHQAEDGTLSVIAILLQIGEPEPLLAKIQDKLVELANEKCGGDEVAHVALGDLDTKHLRKKTRKYYRYIGSLTTPPCTENVIWNVLGKVRTISQQQLEALKAPLDPVYKNNARPVQPLNGRKIEIYDELSEY >KGN56643 pep chromosome:ASM407v2:3:7972502:7974233:-1 gene:Csa_3G127060 transcript:KGN56643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNEIRVKGSNLDVLKIQKQIEKLSKKKVELISPKVKPKEKDPPKPIDDKPKPTIVNRIITAKVHLHCPKCEQDLKNKLLKHKGIYSVKTDIKAQTLTMEGSIEAEKFKSYLKNKLQKHVDITVDTKSTDSSKSTAVASEKKKESNTDKKEKPKEKASSETTITTTDKKTIVVAEIQSKENNSNDINNKNNNVPYFIHYVYAPQLFSDENPNACRVM >KGN59606 pep chromosome:ASM407v2:3:33051213:33053216:1 gene:Csa_3G828950 transcript:KGN59606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGKNQQSFINHKTFPTIDRCSSIGREKHTVVADMDGTLLCGRSSFPYFALIAFEAGGVLRLLFLLLASPIAGFLYYFISESAGIRVLIFSTFAGMKVSDIESVARAVLPKFYAHDLHVETWRVFSSCGKRCVLTANPRIMVEPFLKDFLGADMVIGTEIHTIGQRATGLIQSPGIIVGKNKAKAVQQITQPDIGIGDRLTDYPFMKLCKEGYVVPAKHEVKPVTVDKLPKPIVFHDGRLVVKPTPFMAFLLILWIPVGFILACLRIAAGSLLPMPIVYYAFWALGVRVEIRGTPPPPAKKSTGQTGVLFICSHRTLLDPIFLSTALGRPIPAVTYSISRLSEIISPIKTVRLSRDRAADAAMIKNLLQEGDLAICPEGTTCREPFLLRFSALFAELTDEIVPVAMSNRMSMFHGTTARGWKGMDPFYFFMNPSPVYEVTFLNKLPYELTCGGGEKSSHEVANYIQRMIAATLSYKCTNFTRKDKYRALAGNDGIVPEKSKVQSTKIMGC >KGN58782 pep chromosome:ASM407v2:3:27687635:27690973:1 gene:Csa_3G732400 transcript:KGN58782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAYRQFPSISKCTTTHDRSHQTVAADLDGTLLISHNAFPYFMLVAVEAGSIFRGLLLLASSPLVYLIYLFLSESLAIQTFIFVAFAGLKVADIEMVARSVLPKFYSEDVHPLTWKVFNSFGKKIIVTANPRIMVEPFVKTFLGADKVIGTELGVTKSGRATGFVKKPGVLVGDLKKTALVKEVGTDLPDLGLGDRETDHDFMSICKEGYMVPRSKCEPLPRNELLSPIIFHDGRFVQRPTPLAALLTFLWLPVGIILSIFRVYTNIPLPERIAWYNYKFLGIKLIVKGSPPPPPKKGQSGVLFVCNHRTVLDPVIVAVALGRKISCVTYSISKFTEIISPIKAVALSREREKDAAHIKRLLEDGDLVICPEGTTCREPFLLRFSALFAELTDRIVPVAINTKQSVFYGTTVNGYKLLDPYFVFMNPRPTYEITFLNQLPADMTCSSGKSAIEVANYIQKVLGGTLGFECTNLTRKGKYGILAGTDGRVSPEKENGELVVKKKST >KGN59060 pep chromosome:ASM407v2:3:29144000:29146463:-1 gene:Csa_3G750370 transcript:KGN59060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQIRTPKNRKVKRELEKRAPKLVETGKKTLILHGTKTSGVLNEVLTELYHLKKESAVKYSRKNDGIKPFESGGDASLEFFSLKTDCSIILYGSHSKKRPNNLVIARTYNQHIYDMVEVGIENFKSMHSFAYDKKLSPRVGSKPFITFIGEGFENVEELKHLKEVLLDFFRGEVVENLNLSGLDRVYVCTAISPNKVFLTHCALRLKKSGSVVPRMELVEVGPSMDMVIRRHRLPTDGLRKEAMKTAKDKAKKKEKNVSKDIIQGKIGRIYIPDQKVGDMAIVDKSKGVKRERREAKMKNRDDGPASKKPKEDSEKPKEDSE >KGN57166 pep chromosome:ASM407v2:3:11069100:11071491:1 gene:Csa_3G166310 transcript:KGN57166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEKVKVEIREFNEENRDIEMVEKLERSCEIGSKIKGASIFTNMMGDPLCRITFFPLHIMLVAELPENGEIVGVVRGCIKSLGIARAGVGVGEANTMKIGCILGLRVSPAHRRMGIGLKLVHSVEEWIIRNGANYAFLAIEKKNKASKNLFAKKCNYVKFSSLVIFRQPLIVFPTTKEVIISKGEIIKTEKLNIEQAISFYTNTLTTKGGVYPMDFDMILKEKLSLGTWVSYFNQEDWTHHLICSQKDSDQIYQRMPSSWVVFSIWNTCKAYKFQIRESKNDQLLPLRFFKSARKKFISCFKMPNSVSFGKSFGFFFLYGIFGEGERVGELVESIWIFASRLAEDEKDCKAIVTELSVSDPIINHVPRNVSMSRVNDNLYLKRLSVHSDDEKDETLLSKDMETAANVIVDPRDF >KGN57984 pep chromosome:ASM407v2:3:19919623:19920370:-1 gene:Csa_3G418750 transcript:KGN57984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQREKEARKAFEQGVYDEYTHACHKFSEKVKNVRTMAGKKKSWRRFKMPGKERRKGRKRCSIGDDEPHLEGRLSPEYEEMKVVGTSHSKTLKTGSSRLEETQADSEKGNSIDKERKFFTGATKIRMDVVHKFYDMKFHQFDLFVTIDGKKTYFSAKVINELYGLPRDAEYLGQAIITKLIKGLAK >KGN58826 pep chromosome:ASM407v2:3:27870748:27871152:1 gene:Csa_3G733310 transcript:KGN58826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVFWLAPQFFIIGIGDGFALVGLQEYFYDQVPDSMRSLGIAFYLSVNGAANFFSSFLITIVDQITKKSSGKSWFGDDLNSSRLDNFYWLIAGIVAVDLCVYVFLARRYTYKSVQKTTVADCHDVKGRDVSSAV >KGN56217 pep chromosome:ASM407v2:3:5027506:5027910:-1 gene:Csa_3G099780 transcript:KGN56217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCFCFLIHQKRKVRQTKPVAGTCSRCGRGAQVAEMKTSTTFCRIPIHSTSWKAIICSTCGALLKSYR >KGN56177 pep chromosome:ASM407v2:3:4679724:4679969:1 gene:Csa_3G088975 transcript:KGN56177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYTTMSTLSYCRQVDLTSTELFCRHSDLTSTLVYCRRVDLVGESCVSQNPILTSSRTNVSITSYNTSIMTSMLMCPSTSV >KGN55597 pep chromosome:ASM407v2:3:157001:157231:1 gene:Csa_3G000700 transcript:KGN55597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSALAASWYREICSKYDTRHGHFFIHCGGAQSSRKEVGIRLNTGPLAYEPAPPHREEEFGCGCCANM >KGN57555 pep chromosome:ASM407v2:3:14254311:14257812:1 gene:Csa_3G212480 transcript:KGN57555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGFHLFGMGSSLTSIITWEQIVGLKAIAFPLVKIARIVASLHVFSIPSIFIFHNVKDYLADEEPVDQKRYLEEACKPKCVKPLLEYQACVKRIQGDDSGHKHCTGQYFDYWSCIDKCVAPKLLTKLK >KGN59518 pep chromosome:ASM407v2:3:32475465:32477286:1 gene:Csa_3G823660 transcript:KGN59518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRFGECFEGHLIKSLPGQPIVNFKQFGGYITIDELQSRSLFYYFVEAQSDPTSKPLVLWLNGGPGCSSLGAGAFIENGPFRPKGDVLILNEFSWNNVANVLYLESPAGVGFSFSKNTTFYDTVNDKITAQDNIVFLERWLEKFPEYKNREFYITGESYAGHYVPQLARLIVQSKLSIKLKAIAIGNPLLEFNTDFNSRGKYLWSHGVISESTFELLNTVCSISQIVREGINGEISDACLSINDLIAREMSPFINEYSINLDVCLSGDQTQTALSALHSFTFTKQLGDEQSNAKTTSSTPLPQFSGDAGKVDVCIGNEIDAYLNRVDVQQALHAQLIGVSTWSLCSDILDYDRTNLFVPTINIVGSLVRSGIRVLIFR >KGN60317 pep chromosome:ASM407v2:3:38531821:38538139:-1 gene:Csa_3G895630 transcript:KGN60317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTSACKDAASKMSSLDNGKYVRYTPEQVEALERLYYECPKPSSMRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKEASRLQTVNRKLTAMNRLLMEENDRLQKQVSQLVYENSYFRQQTQNATLATTDTSCESVVTSGQQNLTPPHPPKDASPAGLLSIAEETLAEFLSKATGTAVEWVQMPGMKPGPDSIGIVAISHGCTGVAARACGLVGLEPTRVAEILKDWPSWFRDCRAVDVLNVLSTGNGGTIELLYMQLYAPTTLAPARDFWLLRYTSVLEDGSLVVCERSLNNTQNGPSMPPVQNFVRAEMLPSGYLIRPCEGGGSIIHIVDHMDLDPWSVPEVLRPLYKSSTLLAQKNTMAALRLLRQISQEVSQPNVTGWGRRPAALRALSQKLSRGFNEAVNGFTDEGWSLLENDGVDDVTLLVNMSHGKTMMGANISYSNGFPSMSNAVLCAKASMLLQNVTPAMLIRFLREHRSEWADSSIDAYSAAAIKTGQCGLPGSHAGTFGGQVILPLAQTVEHEEFMEVVKFENVGHYRDDMLMPGDIFLLQLCNGVDENTVGTSAELIFAPIDASFSDDAPILPSGFRIIPLDSGMDASSPNRTLDLASALDVGPAGNRASGDCAGQSGKSKSVMTIAFQFVFDVHLQDNVAAMARQYVRSIIASVQRVALALSPSNFGPHANLQTPAGAPEAQTLARWITQSYRCYMGMELLKNEGRESVLKSLWHHSDAVMCCSLKALPNFTFANQSGLDMLETTLVALQDITLEKIFDDNGKKTLASEFPRIMQQGFICLQGGVCLSSMGRAVSYEKAVAWKVLNEEENAHCICFMFMNWSFV >KGN59276 pep chromosome:ASM407v2:3:30742299:30743478:-1 gene:Csa_3G799120 transcript:KGN59276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKSTSERETHDFMNVESFSQLPFIRPAPKEKGIRLFGIEFGSRNAATVSASSIEESESGETIIACEDAKENNNDNNNGGESSRRFECHYCCRNFPTSQALGGHQNAHKRERQHAKRAHLQSNAAAMVHGIGPFSDAAHVYGLMNYQRLGATHINNYPSWNRNSPAATAAAAAATRFYGSSGGQYSSAATATPINGSPLAMWRISAVQNSNLPSSFGGRERSSIHPLPLFSGDDMMKGGGGGGGPRR >KGN56674 pep chromosome:ASM407v2:3:8188973:8191006:1 gene:Csa_3G128840 transcript:KGN56674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRAAVIRHLRVPVQFSALARRECHATQCSVEFLRLFSSHDDRSTKEEVTERVLSVIKRHQKVDPSKVSPNVHFQKDLGLDSLDTVEIVMALEEEFKLEIPDNEADKIASCDLAIEYVYNHPMAS >KGN58964 pep chromosome:ASM407v2:3:28598123:28602468:1 gene:Csa_3G740080 transcript:KGN58964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYEGILLGMGNPLLDISAVVDDDFLKRYDIKPNNAILAEEKHLPMYEELANNPKVEYIAGGATQNSIKVAQWMLQHPGATSYMGCIGKDKFGEEMKKNSKSAGVNVQYYEVDSTPTGTCAVCVVGGERSLVANLSAANCYKSEHLKKPENWALVEKAKYFYIAGFFLTVSPDSVLLVAEHAAANKKYFSMNLSAPFICEFFKDALEKVLPYMDFVFGNETEARTFSKVQGWETENVEEIALKIAAWPKASGTHKRIAVITQGPDPVIVAEDGKVKKFPVILLPKEKLVDTNGAGDAFVGGFLSQLVQEKPIEDCVRAGCYGSNVIIQRSGCTFPEKPDFN >KGN56270 pep chromosome:ASM407v2:3:5524883:5533197:1 gene:Csa_3G110670 transcript:KGN56270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKKSKTIEEVGDDFCFTCKDGGLLRFCDFKGCLKAYHPECVGREESFAESEDRWICGCHSCFLCHKTSKFRCVGCPQAVCGRCIYSTEFVCIRGSRGFCNHCLKLALLIEDGKDVDIDGTKVDFNDRDTYECLFKEYWELMKKKEGLTAEHVHKASNLLKKGRNYRCDFNSNEIELSEEDTDEGEISDYEELVYTEEDDHAMVRKCKRRKQKLGSTRKKMKSSNKEFSGWGSKPLIDFLSKIGKYTSKKLTQHDVTSIITAYCKENKLFHPQKKKRILCDAKLQSVFRRKTVNVNSVNKHLTAHFAENMEESSEDESTSSIEKNDVAYVRPSKLGSVRKPPEQNPSDMSHNCSAAIIVANIKLVYLKRSLVENFLEDEECFKAKMMGSFIRSKSDPNDYSQKNFYQLLQVTGIKMDSSRSNTGKQGILLQVANRLDYIPTYNLSDDDFLEE >KGN58098 pep chromosome:ASM407v2:3:21326615:21331258:-1 gene:Csa_3G509940 transcript:KGN58098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPQVPISGTNEEVPAGSLSMFLQSPPRFNDVSSCNLAGVCNGGLSRCAGSSTYSSSGDSERNFYMELPNFCENLARVGGGLENASDYQGPKIGSMEDGGWFKFKCGRENHKPVSRIVGFVSGETSSRNDENIVDIRLNESESSGSAVRKRLLSPLSSMLFPDQFKGDLLDIGGRKTDNSISENLRISAPHDFKKAHVGSKHDFTLGSQSLAGLLEQKQMLYDDSDVVKSIVLSDSPLLENKKSISQDEILSCPGHDQLSKLSRVRTHVDSESLSPESVSVVPLSLSPLGPKISERMKNAGRCRNIKKENVGYHSFLGDIEKTIGGSDSHILFASDEEEIKSFEDVILDKEFRPSSLESSKSARWIMSQDSVPTSQSMRFVRSLSGLPVRRSLVGSFEESLLSGRFLSGKLCQKIDGFLAVLSITGGNFSPQSQKLPFSVASVDGDRYLLYYASIDLAKNSLLNKYRAQKSKQVSNTDESQLVKSRLRVPMKGRIQLVLSNPEKTPLHTFLCNYDLSDMPAGTKTFLRQKATIGSSVAASMQSREGKVDHNNKMADNVILASQRGEDKVVSKNIMETNGINTGHKWESLKNGNENRRRESSDMVDFIDNGDGSEQIFDNQKPDSASVGVENQYERRPDQKDGCWVENFCATDKKLLHVCSKVNENTAGALRYALHLRFLCPFPKKSSRSSRKSKSDSLSAQNKPHLDIDGERKFYLYNDMRVVFPQRHSDSDEGKLKVEYHFPEDPRYFSIS >KGN59257 pep chromosome:ASM407v2:3:30597909:30599526:-1 gene:Csa_3G791480 transcript:KGN59257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVSLKIGDGTARFKRATLCSSALNILMLISVVTTNLFALYAFTYSPKDREIHTLNRTHKNISLISEQVSLILREIDVSQKKLAQMEKEILGYESIDLSRSNVANELKLFLQRHPLPLGKDSKSGITEMVASVGHSCEKSMDLLSQYMNYKVSGPCPDDWSLAQKLILRGCEPLPRRRCLAKSVPKVGLQPFPISLWKPVSDKIVMWSGLGCKNFQCLNSKKLGRDCVGCFDLINGFENQRFVKARGKNDFPIDDVLALTSGGIRIGFDIGGGSGTFAARMAEKNVTVITSTLNIDAPFSEFIAARGLFPLFLSLDHRFPFYDNVFDLVHASNGLDIGGKPEKLEFLMFDIDRILRAGGLLWLDNFYCANDEKKKALTRLIERFGFKKLKWVIGEKSESGKSEVYLSAVLQKPVRV >KGN56198 pep chromosome:ASM407v2:3:4897181:4897765:1 gene:Csa_3G099590 transcript:KGN56198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSAEKSGFEDWLPTMAERLGGEGLIGELCNGFNLLMNREKGVIDFESLKRNAAALGLGDLSDEDLRSMLREGDFDGDGALNQMEFCVLMFRLSPELMEESWFLLEEALHQEFKDFC >KGN57257 pep chromosome:ASM407v2:3:11804346:11805785:-1 gene:Csa_3G175580 transcript:KGN57257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFSITAFIPKVVIFALLLLVSFNVSAMNQLVETNKAARIASKTRIEKKGVTNDKCRRLIAREFDWPPWNGNYAIPPPSNPTRSCLTC >KGN59710 pep chromosome:ASM407v2:3:33743283:33743633:1 gene:Csa_3G840365 transcript:KGN59710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDNHHLREKINCLLSISILLIPSYHRSPHNHIWLRKSQENLKCLVHFSTFRVQFNQGSPNKHVNISFLNFLDKPMNHLSKFKSLQPCTGLQNYRNGVIVGFPFCNSIRYHIMKEM >KGN58385 pep chromosome:ASM407v2:3:24680119:24681300:1 gene:Csa_3G635360 transcript:KGN58385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSKFLRPILSSCRRPHLFSSPFQSLLNPILPSHLHHRFLCSLSPPSSALLPPQNSDNLNLPIPKVRTQTPLEKQFESWVQKLKPGFSPSDVNEALQAQSDPDLALDLFRWTAQQRGYKHNHLTYLTIIKILIYRRRCHLAETLVEEVIAGACEMSVPLYNSVIRFCCARKCRFNRAFDVYKKMWKSDDCKPTLETYAMLFNSLLRRFNKLSVSYVYLHSVRSLTKQMKSSGVIPDTFVLNMIIKAYSKCLEVDEAIRVFREMGLYACQPNSYTYGYIAKGLCEKGRVEQGLEFYKEMRVRGLIPSSSTYMILICSLAMERRFEEAIEICFDMLCNSMAPDLLTYRTLLEGLCREGRDSEAFDLLDELRKRDKLMNEKTFKTLLNGLHTVGRD >KGN60154 pep chromosome:ASM407v2:3:37247825:37250462:-1 gene:Csa_3G881670 transcript:KGN60154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITALSTALSASPSGGRCKYTGQSVRAVPIRVLTVGKKRSRGVQLLVDEYIDKLKNYCHVEDVQLRSNPRNARDPKAQVDDEDVAVVNLLKPDDWVVMLDENGKELSSELMAELVADAGNTSASRLSFCIGGPYGHGQQLRQRANLSIKLSSMVLNHQIALLVLMEQLYRSWTILKGQKYHH >KGN56708 pep chromosome:ASM407v2:3:8332861:8333350:-1 gene:Csa_3G129650 transcript:KGN56708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQKWTEVHLGATEAEIGGMSKNMATCFGKKYETNDRGDAFVAHDDENEVWQTTFIWQTGGLEEEELPPIDDMIDVGNGLKRRKLSQQFSLY >KGN58362 pep chromosome:ASM407v2:3:24453412:24455829:-1 gene:Csa_3G627730 transcript:KGN58362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGHSVFTLAEVASHDNRNDCWLIIEDKVYDVTKFLEDHPGGDEVLLSGVGKDATNDFFDVGHSSTARAMMEEFYVGDIDSSTIPAKRDYTPPKQPLYNQDKTPEFIIKVLQFLAPLVILGLAFGIHLYIKTT >KGN58305 pep chromosome:ASM407v2:3:23692459:23696829:-1 gene:Csa_3G610810 transcript:KGN58305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKKRMKSITQILFCVFLYCCIGFYTHCSASGFSEEALALVSIKSGLVDPLKWLRDWKLDDGNDMFAKHCNWTGVFCNSEGAVEKLSLPRMNLSGILSDDLQKLTKLTSLDLSCNGFSSSLPKSIGNLTSLKSFDVSQNYFVGEIPVGFGGVVGLTNFNASSNNFSGLIPEDLGNATSMEILDLRGSFLEGSIPISFKNLQKLKFLGLSGNNLTGRIPAEIGQMSSLETVIIGYNEFEGGIPSEFGNLTNLKYLDLAVGNLGGGIPTELGRLKELETLFLYKNGLEDQIPSSIGNATSLVFLDLSDNKLTGEVPAEVAELKNLQLLNLMCNKLSGEVPPGIGGLTKLQVLELWNNSFSGQLPADLGKNSELVWLDVSSNSFSGPIPASLCNRGNLTKLILFNNAFSGSIPIGLSSCYSLVRVRMQNNLLSGTIPVGFGKLGKLQRLELANNSLFGSIPSDISSSKSLSFIDLSENDLHSSLPPSILSIPNLQTFIVSDNNLDGEIPDQFQECPALSLLDLSSNNFTGSIPESIASCERLVNLNLRNNKLTGEIPKQIANMPSLSVLDLSNNSLTGRIPDNFGISPALESLNVSYNKLEGPVPLNGVLRTINPSDLQGNAGLCGAVLPPCSPNSAYSSGHGNSHTSHIIAGWVIGISGLLAICITLFGVRSLYKRWYSSGSCFEGRYEMGGGDWPWRLMAFQRLGFASSDILTCIKESNVIGMGATGIVYKAEMPQLKTVVAVKKLWRSQPDLEIGSCEGLVGEVNLLGKLRHRNIVRLLGFMHNDVDVMIIYEFMQNGSLGEALHGKQAGRLLVDWVSRYNIAIGVAQGLAYLHHDCNPPIIHRDVKPNNILLDSNLEARLADFGLARMMARKNETVSMVAGSYGYIAPEYGYTLKVDEKIDIYSYGVVLLELLTGKKPLDPEFGESVDIVEWIKRKVKDNRPLEEALDPNLGNFKHVQEEMLFVLRIALLCTAKHPKDRPSMRDIITMLGEAKPRRKSNSGNEGFGTNKEKPVFSTSPVNGLL >KGN56607 pep chromosome:ASM407v2:3:7764162:7766376:-1 gene:Csa_3G126220 transcript:KGN56607 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronate 4-epimerase 3 MSHLDNIPSTPGKFKMDKSPYIHRLRWHSSLTKLTFWSLVILGSILIFFFRSPSSSPLPSDHSRRSLSTYDWGGPAWEKRVRSSARVRSRNGISVLVTGAAGFVGTHVSVALKRRGDGVLGLDNFNNYYDQSLKRARQALLERTGVFVVEGDINDSALLKKLFEVVPFTHVMHLAAQAGVRYAMENPSSYVHSNIAGLVSLLEVCKSANPQPSIVWASSSSVYGLNTKVPFSEKDRTDQPASLYAATKKAGEEIAHTYNHIYGLSLTGLRFFTVYGPWGRPDMAYFFFTRDILKGKSIPIFEGADHGTVARDFTYIDDIVKGCLAALDTAEKSTGSGGKKKGPAQLRVFNLGNTSPVPVSDLVSILEKLLKMKAKRNIMKLPRNGDVQFTHANISLAQRELGYKPTTDLQTGLKKFVRWYMNYYSQGKKAAG >KGN56123 pep chromosome:ASM407v2:3:4094214:4100687:-1 gene:Csa_3G077580 transcript:KGN56123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWCAARTFHMPAFDVTALRSKSPLAVGVGCVSVAGTTLWRSSSTSKRQIPFASLGISTSSSIKEAVQTDKAPAALGPYSQAIKANNLLFVSGVLGLNPETGKFVSDDVEDQTEQVLKNMGEILKAGGSSYSSVVKTTIMLADLKDFKKVNEIYAKYFPSPAPARSTYEVARLPLDAKVEIECIATL >KGN57388 pep chromosome:ASM407v2:3:12691600:12693545:1 gene:Csa_3G182240 transcript:KGN57388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKQPNTGLFVGLNKGHIVTKKELAPRPSDRKGKSSKRVLFVRSLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKKKREEMSSVLRKMRAGGGAEKKK >KGN58457 pep chromosome:ASM407v2:3:25359207:25368851:1 gene:Csa_3G645950 transcript:KGN58457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHQRLKQQQQALMQQALLQQQSLYHPGLLAPPQIEPIPSGNLPPGFDASTCRSVYVGNIHIQVTEPLLQEVFGSIGPVEGCKLVRKEKSSYGFVHYFDRRSAALAILSLNGRHLFGQPIKVNWAYASSQREDTSGHFNIFVGDLSPEVTDAMLFACFSAYSSCSDARVMWDQKTGRSRGFGFVSFRNQQEAQNAINDLTGKWLGSRQIRCNWAAKGAGVNEDKQGSDTKSVVELSNGSSEDGKESVNNDAPENNLQYTTVYVGNLAPEVSQLDLHRHFHSLGAGVIEEVRIQRDKGFGFVRYNTHAEAALAIQMGNTRSFLCGRQIKCSWGSKPTPPGTTSNPLPLPAVASTPGLSAADLLAYERQLAITKMGGVHAALMHPQGPHPMKQAPMGMGAAAASQALYDGGFQNIAAQQLMYYQ >KGN59436 pep chromosome:ASM407v2:3:31879938:31883287:1 gene:Csa_3G819930 transcript:KGN59436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWALRRASTPLRNQGYRVRTSYVFGKLEVPYFWEGNVAGFGTAAALSDRFIYFDRNNLTTWPSSEVYISSHGLSTQAGAENSGEEGNVEDGCSELDETLPSTSPLEDSKTADDNEEELTSGSEIDDDDDVVDDGTQNELDLPEGETGLVEKISIKRAPSELLNVIWKAPGLTVSSALDKWVSEGKELSRDDISSAMLNLRKCRMYGKALQFSEWLETSGKLDFIENDYASRLYLIGKLRGLRMAENYIAKIPKSFQGEVVYQTLLVNCVIASNVHKAEKVFNKMKNLEFPITAFACNQLLLLYKRTDKRKIADVLLLMKKENVKYSTSTYRILIDVNGLSNDITGMEEVVDSMKAEGIKLDVETLSRLVKHYVSGGLKDKAKAVLKEMEEINSEGSRRPCRILLPLYGELQMEDEVRRLWEICESNPHIEECMAAIVAWGKLKNVQEAEKIFDRVVKTGEKLSARHYSTMLNVYREDSKMLTKGKEVVKQMAESGCRMDPFTLDAVVKLYVEAGEVEKADSFLVKAVLQNKKKPMFTTYITLMDRYASRGDVPNVEKNFAMMRRLGYVGRLSQFQTLIQAYVNAKAPAYGMRERMKADNVFPNKDLAGKLAQVDCLKMRKVSDLLD >KGN56150 pep chromosome:ASM407v2:3:4323771:4323986:-1 gene:Csa_3G078810 transcript:KGN56150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGGELSKGCYNHYRRTTSMEMKKEKWGLMGLLIGQSLRVEVEAPIRVKAEEKTFVSPRKIVVESRVLNA >KGN55657 pep chromosome:ASM407v2:3:487230:488389:-1 gene:Csa_3G002765 transcript:KGN55657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEERLTGVVQWFNDSKGFGFIKPDVEGPDLFVHQSSIKSDGYRSLVVGDHVEFQIAPGDDGKSKAIEVVALDGSSGNRRDNFGGGGGGRGGRGGGGGGGYGFGGWRGGDRRNGNSGAGGGGCYQCGEQGHLARDCTRPSNRGGGGGGGGGCFTCGEVGHLARDCPRGNSGGGGGGGGGGGGACYNCGGFGHLARDCNRGGAGGGSGGGGGGGGCFNCGEYGHIARDCQNESRGSGGGGGGRFGGGGGGGGGSNTCFNCGKSGHFARECPDAS >KGN59598 pep chromosome:ASM407v2:3:32999292:33001615:1 gene:Csa_3G827400 transcript:KGN59598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSSIQLQSTSSLSSSIPLFLIETRNYPKVRFNKIKIKPRTRIPIFTASSSSTSKDIWRRQTPSEKSTTTLLPQKYQRSGRRPRESSHLDHSIDMDELLASIGQTKNEQELYSVLSPYKGRELSMRFMVSLLSRESDWQRSLAILDWINEEALYTPSVYAYNVVLRNVLRAKQWELAHGLFDEMRQRALAADRYTYSTLITYFGKEGMFDAALSWLQKMEQDRVSGDLVLYSNLIELSRKLCDYSKAISIFSRLKRSGITPDIVAYNTMINVFGKAKLFREARFLLKEMRAVDVMPDTVSYSTLLNMFVENEKFLEALSVISEMKEVNCPLDLTTCNIMIDVYGQLDMVKEADRLFWRMRKIGIEPNVVSYNTILRVYGEAELFGEAIHLFRLMQRKEIKQNVVTYNTMIKIYGKTLEHEKATNLVQDMQKRGIEPNAITYSTIISIWGKAGKLDRSAMLFQKLRSSGAEIDQVLYQTMIVAYEKAGLVGHAKRLLHELKQPDNIPRTTAITILAKAGRIEEATWVFRQAFDAGELKDISVFECMIDLFSRNKKHKNVLEVFEKMRNVGHFPNSDVIALVLNAYGKLRDFDTADALYMEMQEEGCVFTDEVHFQMLSLYGARNDYKRLESLFERLDSDPNINKKELHLVVASIYERGNRSKDASRIINRMNKIAISRSL >KGN57902 pep chromosome:ASM407v2:3:18830064:18830740:-1 gene:Csa_3G383780 transcript:KGN57902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSILSEFESLNNNNNQPFGVVVTNNTLQNLVVGSSSSSSSSSSSSRYENQKRRDWNTFCQYLKNHRPPLALSRCSGAHVLEFLRYLDQFGKTKVHTPICPFYGHPNPPAPCPCPLRQAWGSLDALIGRLRAAFEENGGMPEANPFGARAVRLYLREVRDLQSKARGISYEKKKRKRPQQQQIAQSSNGN >KGN56470 pep chromosome:ASM407v2:3:6999515:7003396:1 gene:Csa_3G120490 transcript:KGN56470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELDHQLRPDNPAAMTFDEASMERSKSFVKALQELKNLRPQLYSAAEYCEKTYLHSEQKQMVVDNLKDYAVRALVNAVDHLGTVAYKLTELLEQQTSDVSTMELKVSCLNQQLLTCQTYTDKEGLRQQQLLALIPRHHKHYILPNSVSKKVHFSPHIQTDARQQPFQARGRLQPSGIAASKTLSWHLASETKSTLKGGSNSLNSNEDQKIAEKTSGTFHLIDNDAAIRPKYLAGHSQPSNGFPASSAILQSLGGTHREAFEGSKHLTAFRSFDAPSRHERVHVPTRSKSVLSAFFVKQKTPKLKAGSVS >KGN57244 pep chromosome:ASM407v2:3:11629026:11636550:-1 gene:Csa_3G172990 transcript:KGN57244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHFMQPRNPILRDTHLADLPPSSSPSPNGAGIKGGRPPRKPKSTSKENAPPSDPNSMVSDSKPSPAKLKSPLPPRPPSSNPLKRKLSMETVPENSIPGLSDSGVKVVVRMRPPCKDRDEGDNIVQNVTSDSLSINGQTFTFDAVADTEATQLDIFQLVGEPLVENCMAGFNSSVFAYGQTGSGKTYTMWGPANALSDDNLLSEQKGLTPRVFEQLFARIKEEEVKHADKLLKYQCYCSLLEIYNEQITDLLDPNQRNLQIREDVKSGVYVENLTEECVSTMADVTRLLMKGLSNRRTGATSVNSESSRSHTVFTCVVESRSKRMADGLSSFKTSRINLVDLAGSERQKLTGAAGERLKEAGNINRSLSQLGNLINILAEISQTGKQRHIPYRDSRLTFLLQESLGGNAKLAMVCAISPSQSCKSESFSTLRFAQRAKAIKNKAVVNEVMQDDVNHLREVIRQLRDELHRLKSNGNSLNDTNGGHSAAWIRRSLSLLKSSISRPMALPHVDDDGDEEMEIDEEAVEKLCDQLDKQNAGLEAGEGKQAETVQSGSSIALEGMQLTKFQPCASGSESRKENSDDTDVNMEDETSAQDEVMIIGSTEEPVYDTPVCSVANVPNHGNLETENQRITDLCDQILIDESSDNITMKSSMERVKSGELKISGDVPLCTSSEPLSGFQATKCELNTPNNSSNGILSCVSPPGLSIVPCDVSPLLKSPTPSISPRINDSRKSLRTSTMLSASQKDLQAETKLGLDHLQKSCEKSLKRSSANVLSLLPTQSKNAGVTTEQLAASIRNGLEIIDSCRQSSALRRSSFRFSYKPAEKVNVPINKIDVGVQTSCDDEAAGENLFMCTSCKIRKQLEVREEDSSSDLQLVPVDGTGSAEKSRIQVPKAVEKVLAGAIRREMALEEYCNKQAFDISQLNRLVQQYKHERECNAVLGQAREDKILRLESLMDGVLPTEEFMEEELLSLTHEHKILKEKYENHPEVLQRRMELKKVQDELQSYRNFYDLGEREVLMEEIQDLRSQLQYYIDSPSASLRKQNSILQLTYSEPTVAPSLGAIPESTEESAEEKIEQERARWTEEESKWISLAEELRSELEASRLLADKRKREFEAEKKCAEELEEAMQMAMAGHARLLEQYADLEEKHMQLLLRHRKIQDGIVDVKRAASRAGVKGAESKFINALAAEISALKVERERERRYLRDENKGLQAQLRDTAEAVQAAGELLVRLKEAEEGVSAAQMRAIEAEQEAEKAYKQIDKLKQKHEKEISTLNALMADSRLPKEAIQPAYDDESKAKYDMDESHDQRWREEFEPFYNSEDGELPKLSEPSWFSGYDRCNI >KGN56461 pep chromosome:ASM407v2:3:6962984:6966594:1 gene:Csa_3G120400 transcript:KGN56461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLKPNLPSWTSFSSTRVDFETNVSSASDSIFRDGEEGPSEQPRRLSDANLSFGERSLSAAGAAFLSAILVNPLDVAKTRLQAQAAGVPYQGQCRMTSLETNTVIPNLRCSSVSSSRSLLGLEPNCSPECNRYTGTFDVFNKVIRQEGFRRLWRGTYASLTLAVPTVGIYMPCYDIFRNLMEDFTTKNAPSLTPYVPLVAGSTARSLAVVSLYPIELARTRMQAFREKQTGTKPPGVWKTLVEVVNPTRGNRIQDLQNYRLLWTGLGAQIARDVPFSAICWTTLEPLRRKILGLVGEEANAASVLGANFSAGFIAGSLAAAATCPLDVAKTRRQIERDPERALNMTTRTTLAEIWRDGRIKGMFTGVGPRVGRAGPSVGIVVSFYEVIKYALYHRHPKERMSE >KGN56586 pep chromosome:ASM407v2:3:7628698:7630935:-1 gene:Csa_3G125530 transcript:KGN56586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPSILSDNVPRELSDGDLHRLPFYPIYISQCVVWKSRTGETFKLSNYFYAKLPLSCGIWILRLVTAIKTPYLPDGRFDLEAYDALVNRQIENGADGVIVGGTTGEGQLMSWDEHIMLIGHTVNCFGGSIKVIGNTGSNSTREAIHASEQGFAVGMHAALHINPYYGKTSIEGLISHFNCVLSMGPTIIYNVPGRTGQDIPPYVIQTVAESANLAGVKECVGNDRIEQYTKQGIVIWSGNDDQCHDARWNHGATGVISVTSNLVPGLMRELMFEGKNPSLNAKLLPLMDWLFCEPNPIGLNTALAQLGVVRPVFRLPYVPLPKTKREEFVKLVEQIGREHFVGVKDVQVLDDDDFILVSRY >KGN56258 pep chromosome:ASM407v2:3:5435899:5440906:-1 gene:Csa_3G110060 transcript:KGN56258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMDLCFNMLPFSRPFSSSAFGVSAHRRPIFARSSSSTQEPTSIASLSLNNNNGFSGKAEADVVVIGSGIGGLCCAGLLARYGQDVLVLESHDLPGGAAHSFEIKGYKFDSGPSLFSGFQSRGPQANPLAQVLDALGESLPCANYDSWMVYIPEGEFLSRIGPTEFFKDLETYASPNAVQEWQKLLETILPLSAAAMALPPLSIRGDWGVLSTAAARYAPSLLKSFIEMGPQGIIGSTKLLRPFSEIIDSLELKDPFIRNWLDLLAFLLAGVKTNGILSAEMIYMFAEWYKPGSCLEYPLHGSGAVVNALVQGLQKFGGRISLGSHVQNIIVENDRAVGVKLKSGQFIRAKKAVVSNASMWNTLALLPEDVVPKSYRDSVNTMPQCESFMHLHLGFDAEGIRDDLGIHHIVVNDWDRGVDADQNVVLISVPSVLSPDLAPPGKHVLHAYTPGTEPYTLWEGLDRRSSEYKNLKAERSEVMWRAVERALGAGFKREKCEVKLVGSPLTHERFLRRKRGTYGPAIEAGKGSFPGHSTPIPQLYCCGDSTFPGIGVPAVAASGAIVANSLVSTSQHSQLLDAIGI >KGN58205 pep chromosome:ASM407v2:3:22675696:22676685:1 gene:Csa_3G592100 transcript:KGN58205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSVAVKLYSVFFKFLLKHRLQNLIQAPLDESSPFGVTSRPEETVASANPLFTDGVATKDIHIDPFTSLSIRIFLPESALTPPESDSKPSSKSSKPKPKRSNQDAQPDLVHHNRNNLQQYPSRRNSYGPSGNTRDELRVSRIGGYSNEMEGLNLIPGPAPGGVYRGYAPVTENSRRLPVMLQFHGGGWVSGSNDSAANDFFCRRIAKLCDVIVVAVGYRLAPENRFPAAFEDGLKVLNWLGKQANLAECSKSMGNTKGNSNEFKKSDNHRHIVDTFGASMVEPWLAAHGDPTRLSFSYQHSSYYIFFFFLNHWVNLVMTLLSFSCFLLC >KGN55941 pep chromosome:ASM407v2:3:2711794:2713492:-1 gene:Csa_3G038150 transcript:KGN55941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKRSRDEKTSGAATAPTQGRPSGRPLPPPSQTKAPPPNPRPRFEPVDREKTCPLLLRVFTKVGGHHTDEDFAVRGKEPKDEVQIYTWKDATLRELTDLVKEVAPEARRRNAKLSFAFVYPDKRGRFVLKQVGMTHSYGNGRRLDDSKALGELDFQIGDYLDVAIL >KGN56157 pep chromosome:ASM407v2:3:4386396:4389181:1 gene:Csa_3G081340 transcript:KGN56157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSKQLEVHKEDHPTPSKWSVSLGEEVFRRFLGQANSAIQKVFGDGSLFSPLLFGKFFDPADAFPLWEFESDLLLSNLRISGKSSIDWSQTDQEYVLQAELLEAWRNALQISIEEDGKVLEISGQLKEQQREGKTTVDWRRVHWWEHGYVRRLELPEDADSSRMEARIKNDLVLEIKIPKLETNQGSESKSKDNSDEDA >KGN59794 pep chromosome:ASM407v2:3:34392292:34394622:1 gene:Csa_3G846590 transcript:KGN59794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVENNEQEIRIAMSCLQENIRKLYVAAEKGCIESLKTLIEEDPCIIQKVVISSSNNNENRHPLLHLSISNGHLEFTRLLIHYEPQLAAEVDLLQRTPLHLASKLGETEIVEALLLEKNMNSYFVYDSDGLIPLHYAVLSGQTDIMQKLIKARPRSLWMKLKNNGQTVLHLCVESNHLEGMKFLIETYVNDDEDFLNTIDDNGNTILDLSMMLGQRKMVGYLLSALEVKTETSIITNLEASDDTHESLELQKLSNTRNPRGKKSRKHGLKNTSKLRWRAWRMNLKYKGDWFQEVQGTMMLVATVIATVTFQAGLNPPGGVWQQDTPFNSSSYFNNDPYYRPFNSSSYFSYYPYDSPRIPLGNIFPAGTAIMMYFKPYRYSYYMQVNTISFLASISVILLIVGRFPLKNKICSWLLALAMCVAVVTLGNGYFSGVAMVNYSGMNDSLSAFNSLYFLAISCLGLVRVTGVWHILSFKLWIVKTLFSTFISKLKFYCSKRTTP >KGN59948 pep chromosome:ASM407v2:3:35762079:35764312:-1 gene:Csa_3G855420 transcript:KGN59948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTRERERDLELLIPVATVSENGASKSSSGPVAALSNHHSGREAFAKVIRSWASKKFMTGCVILLPIAITFYITWGFIRFVDGFFSPIYNHLGINIFGLGFITSITFIFLVGIFMSSWLGASVLTIGEWFIKKMPLVSYIYTASKQISTAISPDQTSHAFKEVAIIRHPRAGEYAFGFITSSVILQRRMEEEELYCVYVPTNHLYLGDIFLMSSKDILRPNLSVREGIEIVISGGMSVPQILTTVDARAMPTSVDARAMPTSVDPRAIPTSTGIEKLSKTKSMV >KGN57626 pep chromosome:ASM407v2:3:15117615:15123230:1 gene:Csa_3G233470 transcript:KGN57626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATATSIAALWSLRRAAQFSSFRRPLALNLNRLCFNEARFPSSPLGTSGICQLVQAVKGDIDVLLNGVGDKGVIVDVKQILVMAKRSLSRREVLHTNFLTPPVVKESMLAIQKLADVKAIAQGGYPEAERCRISVGHADELTSDPDIISALSITGNFTFHPCSHGDFLGSILGTGIAREKLGDIMLQEETGAQVVIVPELVDFLISSLRKVGNVTVSCTRIPLTALEYEPPKTKTFKTIEASLRVDAIASAGFKISRSKLVDLISSGDVRVNWTSITKNGTILKTGDIVSVSGKGRLKIGEINSTKKGKFAVELIRYV >KGN58880 pep chromosome:ASM407v2:3:28131646:28132343:-1 gene:Csa_3G734330 transcript:KGN58880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDATASSMNRSPPPYPTPHRTVDFSPIEFILGLVAVITIPALVYSFIFAIKCPPNFLRLWRQRSTRLSGAGATVVVGNRTPSSDVAAAVKYNKDEHCKEVGNECPVCLSAFDDGEEIRQLAVCKHSFHVDCIDMWLYSHPNCPVCRAAVPVTVKRPIHNHAPRRPAASRSDDFHQGLPDAGYLV >KGN59446 pep chromosome:ASM407v2:3:31939209:31942473:-1 gene:Csa_3G820520 transcript:KGN59446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKTNDPDSEFFLDGDVYADDDLERSKSMEDRSSSTYSDADDAPNGLGGRTSTFTSQQWPQSYRETTDSYTIMASPNFAMFRVPSILQTSLHNLSRSSLEMDAKAPLLSDPGGDDQNEDFYNISRLQSLRSERTTISKQMTGELPIGRGCSFTQTIFNGINVLAGVGLLSTPFTVKEAGWASLGVLVLFAIVCCYTATLMRYCFEKREGVITYPDIGEAAYGKFGRLFVSIILYLELYCYCVEFIILEGDNLTSLFPGASLSLGSIQLDSMHLFGILTALIVLPTVWLRDLRVISYLSAGGVIATILIGLCLIFLGTFGGVGFHQTGEVVNWKGVPFAIGVYGFCYSGHTVFPNIYQSMADKTKFTKALIVCFILCVLIYGGVAIMGFLMFGQNTLSQITLNMPKHAVTSKVAGWTTVINPFTKYPF >KGN59551 pep chromosome:ASM407v2:3:32621397:32626094:-1 gene:Csa_3G824960 transcript:KGN59551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNVTHGAPTPTITLTHTPTIFCSIGFTVAATKLPLRRGKWKPTQIIAVSSASLTQSNTFHSVSLSNLGPSEEHRQLLPHISEEDFVVVNFYHFVFIEDPQAEVSKHLYFMRDLDIHGRIYLNEQGINAQYSGPSKHALAYANWLREDPRFLDILVQVSPAINDHAFPKLKLRYKPSLVQMEGDFSHVSLLDSSKRAVPLTPSEWRKRLETLNGKRDTKYILLDIRNGYEWDIGHFQGAQRPTVDSFRCTSFGLSQTEDAASDPLANVDKEKTEVLMYCTGGIRCDVYSTILRERGFQNLYTLKGGVSNYLKDEGSKQWVGNLFVFDSRLSLPPSAYNPEAESCSTPQFSENNAFARCYICSSQVSQLRHRNCANLDCNLLFLCCKECVGELRGCCCSECTSSPRLRPVLAGPQRYQKWHIYRDFKQEQPT >KGN60169 pep chromosome:ASM407v2:3:37335462:37337752:1 gene:Csa_3G881820 transcript:KGN60169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPQHSSRIDLGDLKAQIVKKLGNDKSKRYFFFLSRFLGQKMSKVEFDKVCVRVLGRENIQLHNQLIRSILKNACVAKTPPPINASGHAQSVLQASNNSPCREDGPEQTGSAFPNQNQSKPIWPNGVLPVSPRKGRSGLRGKFRDRPSPLGPNGKSTCLSYQSTGSEDSSSKVITENGNVTLCDYQRPVRYLQSVAELPENDIDGAVQRPSEKPRIHPTEAAILEEGEEVEQSDPLSFLRGPLLPPLGIPFCSASVGGARKALPVSSSGSSDFLSCYDSIGLSDSETVRKRMEQIASAQGLEGVSMECPSILNNTLDVYLKQLIKSCLELVRARSTFEHSGHPIQKQQNQGKVLNGMWPTNHLRVQNSNGRSEVLQEKSLECSVSLLDFKVAMELNPKQLGEDWPLLLEKISMRAFEE >KGN57835 pep chromosome:ASM407v2:3:18003747:18004292:-1 gene:Csa_3G345360 transcript:KGN57835 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative calcium-binding protein CML28 MADSGVNSADLERIFKRFDANGDGKISATELGDALNEFGVSSEDAKRMMDAIDKDGDGYISFQEFFDFAKDNRALMKDFAKAF >KGN59755 pep chromosome:ASM407v2:3:34054886:34055164:-1 gene:Csa_3G843740 transcript:KGN59755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLNSPLVLWVANISADLCQYIACNPERFSSHHVLYLIFCFPFRHFRRISLSIFSSLCLPSLLSSSSSSSSDSNSDSDTDDLDSYDYNSHSD >KGN59889 pep chromosome:ASM407v2:3:35246166:35248401:1 gene:Csa_3G851890 transcript:KGN59889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFVYIAFILLQSLSGTRDWSVQATVSWILSEYFGRKNISIVAEEDVQTLSTPDSRSLLSAVVKTVNECLAEAPKYGLQSPARELGTSEILEAISRCNSTGGPTGRHWVLDPVDGTLGFVRGDQYAVALALIENGEVILGVLGCPNYPLKKECFHYHYKVSTPKLLLQPCSDTLEKGCVIYAKKSCNGAWMQPLVHGDKKLEWPNSASLIQVSSIDDPAHAIFCEPVEKRNSNHSFTAGLAHSVGLRKQPLRVYSMVKYAAIARGDAEIFMKFARTGYREKIWDHAAGVIIVEAAGGVVTDAGGRPLDFSKGVYLEGLDRGIIVCSGPILHEKIIGAVYASWDSSNL >KGN57208 pep chromosome:ASM407v2:3:11403574:11404911:1 gene:Csa_3G171160 transcript:KGN57208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVLSIKAGGVGLTLTAASTVIFAELSWTPGDLIQAEDRAHRIGQVSSVNIHYLLANDTVDDIIWDVVQSKLENLGQMLDGEENTLEVAVKQQPITSSSPNSKQKTLDSFIKRCNNASVDTQSKLKLPKH >KGN57861 pep chromosome:ASM407v2:3:18279547:18281499:1 gene:Csa_3G355040 transcript:KGN57861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEDVQQEAHNLDLVEGEAEESLKNAKLAHQEAIKQGEEEIHMCARELLSCLDSVSQYKAVVSCKISDMESCLSKAAAGISEAFKISMPAV >KGN60009 pep chromosome:ASM407v2:3:36197413:36201586:1 gene:Csa_3G865440 transcript:KGN60009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGKIQIKRIENPTNRQVTYSKRRNGLFKKANELTVLCDAKHWKTSRVFKKANELTVLCDAKVSIIMFSSTEKLHEYTTPATSTKELFDQYQKTLGVDLWITHYERMQDNLKKLKDINRNLRRQIRQRMGECMNDLSFEELRCLEQDMDNAVRIIRERKYRVISNQIETHKKKLKSVGEIHKSLLQEFVSVIKFIGRYTLWCVTM >KGN59300 pep chromosome:ASM407v2:3:30877784:30881308:-1 gene:Csa_3G806810 transcript:KGN59300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSWLARMASACWKPVRRYARMNKDDDEDCSLEDPLLWSRELEKHSYGEFSFAVVQANEVIEDNSQVETGPDATFVGVYDGHGGPDASRFICDHLFRNLMRLAQENGNITEDILRSAVCATEEGFLTLVRRSWGIKPTIAAMGSCCLVGVIWRGTLFVGNVGDSRAVIGSLGKSNKIVAEQLTRDHNASIEEVRQELRSLHPDDSHIVVMKHGVWRIKGIIQVSRSIGDAYLKKPEFSLDPSFPRFHLAEPLRRPVLTAEPSLSTKVLQPSDKFLIFASDGLWKRLTNQQGVEIVYNNPRAGIAKRLVKTALTEAARKREMRYDDLKKLEKGIRRFFHDDITVVVIFLDHELQGKKARVPELSVRGFTNTVGPSNFNVLRGIDENGRSVG >KGN56395 pep chromosome:ASM407v2:3:6631835:6633233:-1 gene:Csa_3G119300 transcript:KGN56395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLPRLGRTRQRLSSVPPPVPAAAQPAVEPKYETMPYATSITTPAPASPRRESPRPLSSPSKKATSPFASRVDSSPAAKATRSPPDSIGDKYLERRNGETTPPLTPAKSRPAKTSPLSPLALPRSQVITGNGTTAQPRVQPEVETKGIVYNKAADEKPSKSNRQSEHGSGKSVHQKQQKPGVMKLKGHNVGAVMEVNKSSAGYRLGGETLKKKETEDDGDVDGYGHEDKKTGRKKKPPISAFMNSNFQSVNNSLLFDSSCQHRDPGLHLSFPNAADGGGAVVDGDKSYKPKLRQ >KGN60419 pep chromosome:ASM407v2:3:39214629:39222763:-1 gene:Csa_3G904080 transcript:KGN60419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFNLSTGVPLLKSDSTRLADRLASCIIVSDAFGLEVKSGNMCLQSKQIGLVRCLRIVEQREVASYNGSLDTDQDVSNSTLELQSNAFHRSRTKLTTKSRRKTKVVCTIGPSTSSREMIWKLAETGMNVARLNMSHGDHSSHQKTIDLVKEYNAQFNDKVIAIMLDTKGPEVRSGDVPKPILLKEGQEFNFTIKRGVSTKDTVSVNYDDFVNDVEVGDTLLVDGGMMSLAVQSKTDDSVKCVVIDGGELKSRRHLNVRGKSATLPSITDKDWEDIKFGVDNQVDFYAVSFVKDARVVHELKDYLKSCNADIRVIVKIESADSIPNLHSILSASDGAMVARGDLGAELPIEEVPLLQEDIIKRCRSMQKPVIVATNMLESMIDHPTPTRAEVSDIAIAVREGADAVMLSGETAHGKYPLKAVKVMHTVALRTESSLPINSTTLIPSSVHKSHMGDMFAFHATTMANTLNTPIIVFTRTGSMAILLSHYRPGSTIFAFTDDERIKQRLVLYHGVMPIYMQFSNDAEETFSRALEFLLDKGHVVEGDHVTLVQSGAQPIWRKESTHHIQVRRIQG >KGN57115 pep chromosome:ASM407v2:3:10743196:10743444:1 gene:Csa_3G154375 transcript:KGN57115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISAFDVKPSFKPRRLPRRRSTFRFRRTKKPLDSCERCGHSFTRSTCHLRPISSPLNVYALLPSQSSFSPSDCLKKFLCIGV >KGN58060 pep chromosome:ASM407v2:3:21000792:21002905:1 gene:Csa_3G480710 transcript:KGN58060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDGISEELVMSEVHLGCPPGFSGSYVSNFTISFPSGSDDLDTETKTYVSDSSSNKQLIGFDEDGDLVLPRRINVEEPSFRSFNVSIQHDVMSTIPSVGLQVWKAELVLSDFVLHTMLTSSEFDGIVALELGAGTGLVGILLARVAKTTFLTDKGDRVLDNCAKNINLNSGGFSAGVAVHVRELDWTEPWPPKRTQGECPPNNR >KGN59848 pep chromosome:ASM407v2:3:34937037:34938785:1 gene:Csa_3G850530 transcript:KGN59848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDLLWNSSWSNEENSGSEESLFVSDDPSRCSSPPLQELQTVARILGLPEIDTSTRETRVGKLAEDSKVLFECEKSWPMEVTTGGVLFEVLENDKGIASKGGFLDILENQKPNQQNTIIENQPSSTNSTTLSPSPSPSSSNIEEIEQAVDRDQVVSQMKEWIYYAAVFRPVNLGLETVEKKRRKNVKMSKEPQTVAARKRREKISEKIRVLQRLVPGGSKMDIGSMLDEAASYLKFLRAQIKALEGLTYKFGSIDCLSTCTPLNSTFPTNP >KGN59590 pep chromosome:ASM407v2:3:32956125:32956358:-1 gene:Csa_3G827320 transcript:KGN59590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAALALKNEDNLRICWTEEEAQTQPIRRVKRRRRDPAAATTTADQTNKQQSPKQQSDQAPTTTMKRSSRFRGVSR >KGN58687 pep chromosome:ASM407v2:3:27200477:27200862:-1 gene:Csa_3G728040 transcript:KGN58687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESACSTQALLFLDKTLFLRLPSLDQLATPVTGAYFLILAVLILRDSWACCNARIFLSLELLKVGMMIGMNKNSVNSPGRPHIGNISANELTARSNKDGWENDWDV >KGN58781 pep chromosome:ASM407v2:3:27679239:27679693:-1 gene:Csa_3G731900 transcript:KGN58781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGYVEYVNWLCQWHQGIAYKCSIESCSREFAYLSSVNRHLKEFHDDNALLEVECQKQFVCPEDKCGEVFRYASRLQKHEDSHG >KGN59752 pep chromosome:ASM407v2:3:34037290:34037880:1 gene:Csa_3G842740 transcript:KGN59752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLDDWELLDCHEDHDQEKSRPHENVVISGQKLIGDTKNEMEAVAEFDVEEEEKVDSRGGMDMAMEKRKQWGRTVVCSFGIAVAATICSVLLGSHSHHHGGGAFVNKRHC >KGN60164 pep chromosome:ASM407v2:3:37302105:37303720:1 gene:Csa_3G881770 transcript:KGN60164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAWFIGIPILLSQFSTSSAQGGSGGGNPTSIVIAFSVVGSVVGLLILIAIAIFSYKFAKKLVPGVVREWRNTSTPAPAEIWGVDAPKMEKILRGIAEESPIRFTALQLNAFTSNYSTRLGSGGFGDVYKGQFPNGLKIAVKILKKNSNKKAENQFMAEIETIGRTRHRNLLRLYGFCYDETMSALVLEFMENGSLDRFLYGKTKNELDWEKLLEIAIGTGRGIAYMHEECERKIIHYDIKPANILLDENFSPKIGDFGLANLCNKDNTHDSLTEYRGTPGYSAPELLRFNFPVTYKCDVYSFGMVLFEMVGRRKNAAVSPLGSIDWFPIQVWERFEKGELVNMSGDYDVEEDGERKMKVDRMCVVALWCVQDSPEDRPPMSAVVRMLEGSVEIMPPPKPFQYMFPIPTDSSTVTVAMDSEQMSFGSTSSYSMSKGTLPIWYKAASRT >KGN58795 pep chromosome:ASM407v2:3:27754627:27757887:1 gene:Csa_3G732520 transcript:KGN58795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSSLPKPSTTAINYLSPKYPSRFSTKNPTFINFSTFHSVFQSKWRSQLGFDCVRVAGDRRGSAVVRCTAEGIERSIPFGRRSIGSTAEDRAAAAAGVAFGLPERFKVVALTAFVMCLCNADRVVMSVAIVPLAAKYGWSSSFLGIVQSSFLWGYIFSSVVGGALVDRYGGKRVMAWGVALWSLATLLTPLAANHSTTSLLAIRAFFGLAEGVALPSMSTLLSRWFPGHERASAVGMSMAGFHLGNVIGLLLTPIMLSSIGVTGPFLLFSSLGLVWLTSWIPGVTNNPRDSQNISTSELRLIEAGKVDSSRHNATHLPLSRLLSKLPTWAIIFANMTNNWGYFVLLSWMPVYFKTVFNVNLKQAAWFSAIPWGTMAVSGYFAGTMSDALIKSGYPVTLVRKIMQSIGFIGPGLGLLCLNFATTPTVAAVLMTVALSLSSFSQAGFLLNMQDIAPQHAGFLHGISNSAGTLAAIVSTIGTGYFVEWLGSFQAFLTVTAMVYFMAAIFWNLFATGEQVF >KGN59734 pep chromosome:ASM407v2:3:33905614:33908676:-1 gene:Csa_3G842070 transcript:KGN59734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNLIFFMGFFIFCLTEVWAKHQYMRYKDPKQPLNVRISDLLGRMTLEEKIGQMVQIDRTVASKKVMKKYLIGSVLSGGGSVPSKEASPKVWIDMVNEFQKGSLSTRLGIPMIYGIDAVHGHNNVYKATIFPHNVGLGATRDPNLAKRIGAATALEVRATGISYVFAPCIAVCRDPRWGRCFESYSEDPKVVQEMTEIISGLQGEIPSNSRKGVPYVAGREKVAACAKHYVGDGGTTKGMNENNTLASRHGLLSIHMPGYYNSIIKGVSTVMISYSSWNGKKMHENRDLITGFLKNTLRFRGFVISDWQGIDRITSPPHANYTYSIIAGITAGIDMIMVPFNYTEFIDGLTYLVKTNVIPISRIDDAVKRILRVKFVMGLFENPLADSSFVNELGKKEHRELAREAVRKSLVLLKNGESADKPILPLPKKVPKILVAGSHANNLGFQCGGWTIEWQGLGGNNLTSGTTILSAIKDTVDPKTKVVFKENPDMEFVKSNKFSYAIVVVGEYPYAETFGDSLNLTIPEPGPSTITNVCGAVKCVVIVISGRPVVLQPYISSIDALVAAWLPGTEGKGISDVLFGDYGFSGKLSRTWFKTVDQLPMNVGDAHYDPLFPFGFGLTTNPIKAN >KGN58820 pep chromosome:ASM407v2:3:27837219:27838891:-1 gene:Csa_3G733250 transcript:KGN58820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMAESLDDNEFWLPPQFLADDDNMLHQNDQNCCLDESLEGSSETLRDEEDSVSGLILRMPRFTIDDILVLSGSPQSTLCDMESGSSCSQVSSRGSPKANSKAQSPPPSRDLLHAVAEEVARMRINESHGGFLHQNRGTSQVFVPVKNPTTGTGFYLKLHGPQRQNLTVDEQINSHEMVQNGMSDYNRLSSSAWLPPPQGSRTEGGKREIAGTGVFLPRHSNAEAEERRKQPACSTVLVPAKVMKALNLKLDDICNNPHFEPVDGGRFNSDNDVLLRMQTNHGGNYQKRNTRREPSTDQEIKLPQEWIY >KGN59047 pep chromosome:ASM407v2:3:29080216:29082990:1 gene:Csa_3G748270 transcript:KGN59047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELEIIAGFILLLFLWGLWLWRCRGAGADRQLPPGPPCWPVVGNLLQLSFSAHESFTKLAAKYGPIMTLQLGSMKTVVVSSSTVAREMFKTHDVPLSGRMIYEAMKGNHGTQGSLITSQYGPHWRMLRRLATSEFFVARQLESMKHVRRHCIDAMIRHVEEGCDPCGNAPALDVGKFVFVMGFNLIGNLIFSKDLLEANSKRGKEFYYHTRKVMEMAGKPNVADFLPALRWLDPQGIRRKTQFHVNKAFEIAGEFIKERLLIREKRDIINDDDDEKKEESGKKDYLDVLLEFRGDGVEEPSRFSSWIINVIVLEMFTAGTDTTTSTLEWAMAELLHNPASLQKLQAELRATIAPNDNLEETHLQNLPYLDAVVKETLRLHPPLPFLFPRMAMKPCNILGYRIPAETQVLVNFWAIGRDPKNWKDALVFSPERFFDPSSGSKDFKGQHFDFIPFGSGRRMCPAVPLASRVLPMALGSLILSFDWALPDGVSPKEMDMSEQMGITLRKKVPLKAIPTPHKRRRFD >KGN60390 pep chromosome:ASM407v2:3:39011421:39013317:-1 gene:Csa_3G902320 transcript:KGN60390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDDNPKLFPHPQRHSSSSSSMTLFSRLDHLDFVMKELEKKQRLERLFGESNLEEGMGGRSISMDVALKDTYSKGSLLDRVAALEHRLLQLCLEMESGSSSNPSSLTTSSQTSSSLEITSSSSPKKFCNGQTSSSSYPTFHYPNNGTTSQVSQFQEKPQRQQQKKKQQSTPKGQVVVGKTMTEKDEVGSCKNVKKGNNPSAFKWPHLRMFGC >KGN58747 pep chromosome:ASM407v2:3:27498057:27499919:1 gene:Csa_3G731090 transcript:KGN58747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKIAPLTMVLMGRTGNGKSATGNSILGKKMFESKRSSSGITSTSELKTCVRTDGQVINVIDTPGLFDLSHGTEHITREIVKCLDLVKEGFHAVLLVFSAKNRFTQEEEATLKTLQNLFGLKIMDYAIVVFTGGDEFDDDDDDSSTFDDYLLGCPVPLKDILVACKGRQVLFDNKTRSGTKKAEQVNNLLNLVKEVVDQNEGQAFTHSLFLTNKFEEKLEVVKSKLEKQIEEEKEARRKAEERFEELQKQHGDNIKQLTDLQRQVLEQQKKQQELLHKVATREPEIKICTIT >KGN57748 pep chromosome:ASM407v2:3:16464052:16467927:1 gene:Csa_3G271410 transcript:KGN57748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVKESKTMKNKVDLDNHDDAFWWLIHKGVKAIFLSISLFALLVRLAVSLHPYSGAGNPPKYGDYEAQRHWMEITINLPAKDWYMNSTTNDLNYWGLDYPPLTAYQSFIHGLFLKLFDSDSVSLFTSRGYESYFGKLLMRWTVLSSDVLIFFPAVFYFVLAYFSGNSRFHKSDIAWQIAILLINPCLILIDHGHFQYNCISLGLTVGAIAAICTDKDLVGSFLFTLALNHKQMSAYFAPAFFSHLLGKCMRRRKPIVEVLKLGVVVLGTFAIIWLPYLHSVDTLLQVRRIVK >KGN59232 pep chromosome:ASM407v2:3:30415196:30421768:1 gene:Csa_3G782790 transcript:KGN59232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKKKITQYRERLDKTLASPDLTNQESIYSLVSNQIHRSNLRHGTEGCNDNVVARRSAEVSNFLDMLRSPSSNDNASSRASETAHSEWKTKHDDEEFRVMYREGPKGTPYHTLLVEGFVDGPVDICLCTSWESELYKRWWPQFTLPSFKILTSKCLQKVRIGEQIALVRVKVSWPLSTREIVVHYFLFEYFQDDLIVILLNSISDLDSIDVTTHGFTRHAIPDADDFVRIDVVGGFAIQKVTDNRSYFRTIANMDMKLDFVPPSLINFVSRQLIGSGFRLYQKVVGSFKSDEDFMKALKDPLYTRINEALYQRNRKEKVFKEKEVGYQIDQAGVHYFQEEQLKEQEENSMEDQTVNVIYDTNEPTKTIEINETKSFGEIEEEESKESRDDKEEEEEEEDEEDKNDILDISIAEKSNFKGKRISVISPEVEKALQTLDEVINMMRKCRLNTEAKAASCLIDEKPPNMEKKDTEKNLSISKDSNVHSEVKLFANLSKKETTERGSDEPHRTSSNHSSRRLGSSNSLSKDVNHNKIVPASPEQKSLPSGPAEVNHTISSFFEHGMTQNLHSDQNSHDHVKQSISQHNSINDISEDAVERYVRSRKTRYWCFPGNPIRLKKGRS >KGN57465 pep chromosome:ASM407v2:3:13322524:13333270:-1 gene:Csa_3G189860 transcript:KGN57465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADENHIEVPVPDDGAPETSNGHEQDSVELAPTSQKGEDDVKEGTKKKKKKNKSKKKKEAPQQTDPPTIPITDLFPSGDFPEGEIQQYKDDNLWRTTSEEKRELERLEKPMYNSIRRAAEVHRQVRKYIRSIVKPGMLMTDLCETLENTVRKLISENGLQAGIAFPTGCSLNWVAAHWTPNTGDTTVLQYDDVMKLDFGTHIDGCIVDCAFTVAFNPMFDPLLEASREATNTGIKESGIDVRLCDVGAAIQEVMESYEVEINGKVYQVKSIRNLNGHSIGRYQIHAGKSVPIVKGGEQTKMEEGEFFAIETFGSTGKGYVREDLECSHYMKNFDVGHVPLRLPRAKQLLATINNNFSTLAFCRRYLDRLGETKYLMALKNLCDTGIVQAYPPLCDSKGSYVSQFEHTILLRPTCKEVISRGEDY >KGN57639 pep chromosome:ASM407v2:3:15230884:15231770:-1 gene:Csa_3G236560 transcript:KGN57639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDDCYKDALQFERGRLDRNREAESVHTHTHTHTPLLFFFYISNIFIISSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSLKKKKEIILIHFISLLSGHV >KGN58318 pep chromosome:ASM407v2:3:23826697:23828394:1 gene:Csa_3G611910 transcript:KGN58318 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MEFWTCILFLSLLFFISSLKSKWSTSTSKKLPPGPNPLPLIGNLLDLGDKPHKSLATMAKLHGPIISLKLGRVTAVVVSSSAMAKEVLQTNDQFLCNRVVPDALTAHSHHEVGFPWIPVSSLWRNYRKICNNTLFAGKILDMNENLRRKKVEELVEIVRKSALKGEAVDLGRLVFATTLNLLSNTIFSVDLADPSSELAKEFKKYVRGILEEAGKPNLSDYFPMLRKFDIQGTRKRMEIHMGNVLNLLDSMIKQRMKQQELNPDSVSNNDLLHCLLKNETDTKIDQNQMIHLLLFTRVDIGA >KGN56357 pep chromosome:ASM407v2:3:6407849:6408290:1 gene:Csa_3G117430 transcript:KGN56357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGGGGPQRGSAAATASMRRRRTTSSGTSGGAAGTMLQFYTDDAPGLKISPNVVLVMSIGFIAFVAVLHVMGKLYFVRREA >KGN57860 pep chromosome:ASM407v2:3:18270097:18275314:-1 gene:Csa_3G354540 transcript:KGN57860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVFKYHHHCRPQILGSLLLNHFIGECPSISSRFGSKQCFATHSEDQHMTQFMEYLDSLKNYEKLGVPRGSGTDSDDGFDLGRMRRLMERLGNPQSRFKAIHIAGTKGKGSTAAFLSNILRVEGYSVGCYTSPHIETIRERISLGRSGDMVSGKALNSLFKRNKEVFDQSVELENGHLSHFEVLTAMAFSLFAQEDVDVAVIEAGLGGARDATNIICSSELAAAVITSIGEEHVAALGGSLESIATAKAGIIKRGCPTILGGPFLPRIEYILRDKALSMSSPVISASDPGNRSTIKGVNLLNGGLSQCCDIVIQIDNEFIELLDVNLRMLGPHQLQNAATATCVILTLRNLGWRISDASIRSGLEQTFLIGRSHFLAAREAEVLGLPGATILLDGAHTKDSAKALLDTIQMAFPEAQLALVVAMASDKNHVGFAREFLQGGKLESVVLTEALIGGGKSRTTSAAFLRDCWIQASNELGIPISLETKDAEVFFTSKLGNRPVLTTETSLLHAIKIAAEILKQRTKGRQGLVVVSGSLHAVSMVLASLHS >KGN57651 pep chromosome:ASM407v2:3:15306530:15309956:1 gene:Csa_3G238170 transcript:KGN57651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALSTPLSHNGFSRSFFSTRRSKIVYPFPHSLPRITCSATRDGAEPRENLSPCKHISTVACGLLAVWALTNTSPVIAANQRLPPLSTEPDRCERAFVGNTIGQANGVYDKPIDLRFCDYTNEKNQLKGKSLAAALMSDAKFDGADLSEVVMSKAYAVGASFKGVDFSNAVLDRVNFGKANLQGALFKNTVLSGSTFDDAQLEDAVFEDTIIGYIDLQKLCVNPTISPEGRAELGCR >KGN57131 pep chromosome:ASM407v2:3:10832154:10833303:1 gene:Csa_3G164500 transcript:KGN57131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAMEDPSTPSPSGIKDFALSGKIMLSAIIILLFVVLLIICLHLYARWYVLRARRRGNLRRRNRLVFYFEPENSSAPHGAALSQHRGLDSSVLNSLPVFTFSSKSHSDPIDCAVCLSEFEENEKGRTLPKCSHSFHIDCIDMWFHSHATCPLCRSPVEMPTEAPVEVEISMEEPVIAESGSSSSGFCAECDRSDRMAPSSTGSRSFRARRKPSETGGVSIEIPTRREGEFASSLSPTTPSFKSPISRVMSLSFKMIIGLERRGGASPTGNGVGCSSGSGVEVDIEKGKESVQ >KGN58713 pep chromosome:ASM407v2:3:27345797:27348061:-1 gene:Csa_3G730770 transcript:KGN58713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTFLSLRNFFRALLIPVFLLVAHFSYVVITTGQSCITGNFCFSPKISNYRASGLHPRASAIIDGAAPTAEELLRRDLYTTKDWIKAVHFYSSIFQDLLSVGFLSHKSKSLCVETPNGQDVFSLKQIGVSDSIGIFKKASKPLVIKGQGHEIPFDDDTFDFIFLGVGRLDQSSRPGDFAREIARTLKPEGFAVVQIRAKDTYSFHSFIALFNCCKIVTSQDINGPDSSMPFLRQIVLTKGSDDIFGHDDVLTHQPKSDGKCSIPGFKEELIRKAEPLILEEPLKPWITLKRNIQKIRYLPSMADVSFKQRYVYVDVGARSYGSSIGSWFKKQYPKQNKTFDVYAIEADKIFHEQYSSKKGVELLPYAAWVRNETLTFEINRDPGQKVQDKGRGMGRIRPVVSSTRAFDGEVDEIQGFDFADWLKNTVTEKDFVVMKMDVEGTEFDLIPRLFKTGAICLIDELFLECHYNRWQRCCPGERSAKYDKTYGQCLDLFASLRRSGVLVHQWW >KGN58009 pep chromosome:ASM407v2:3:20356195:20359845:-1 gene:Csa_3G431430 transcript:KGN58009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEETPQNSSFLSTTEYYKLLEKNKKTLQFIEDVTANADQVQRRVLTEILSRNAGVEYLRRHGLGGATDCKTFKNTLPLISYEDIQPDINRIANGDSSSILCSSPISEFLTSSGTSGGERKLMPTIEEELERRSSLYSLLMPVMSQFIEGLEKGKGMYFLFIKSESKTPSGLLARPVLTSYYKSPHFKNRPFDPYTNYTSPNEAILCSDSYQSMYAQLLCGLLHRTDVLRVGAVFASGFIRAIRFLEKHYTLLCHDIRTGTLNSEITDQGIRESVRKILKADGKLADFVEGECGKKCWGGIISRIWPNTKYVDVIVTGTMSQYIGTLDYYSNGLPIVCTMYASSECYFGVNLKPMCKPGEVAYTLIPTMAYFEFLPVNRSKEKELEKELVDLVDVKLGHEYELVVTTYSGLYRYRVGDILRVAGFKNNAPQFNFICRKNVALSIDSDKTDEVELQNAVKNALTHLIPFDVTLSEYTSCVDTSNIPGHYVLYWELTLNNEIKSTPIPPSVFEDCCFAIEESLNAVYRQGRVSDKSIGPLEIKIVETGTFDKLMDFAISLGASINQYKTPRCVKYEPIIQLLSSRVVSNYFSPKCPKWVPKQWI >KGN57205 pep chromosome:ASM407v2:3:11386121:11387125:-1 gene:Csa_3G171130 transcript:KGN57205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEERAKRHEVFHWVLASFVEIKYFSFKFNQSKIMNIDPHRAFIGFSLSVSHSRVSVPANATVPPMNRQHSNHLQSNSISHCQQCGISQSACWILHNVRHKATFRRLCTNCVLKHNLSRFCPLCFDVYEDSTPPPSHHRVMCFRCPSISHLSCVSFRFSSTFLCPLCSDPRFVFFDGFDSGGSLCQSESTVAFLAGKNVDAKSGKAIVAAARVSAQSMRRAALDARAVAEMKIKNAAFAKKQATLALEQLAYLVLQEKDKNGYSKSNGDAVDSERKVEEEEYKLQEKDVTAIFDL >KGN58538 pep chromosome:ASM407v2:3:26045730:26050009:-1 gene:Csa_3G665600 transcript:KGN58538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETFVPLRGIKNDLKGRLVCYKQDWTGGLRAGYRILAPTTYIFFASAIPVISFGEQLERSTDGVLTAVQTLASTALCGIIHSIVGGQPLLILGVAEPTVIMYTFMFNFAKERPELGRNLFLAWSGWVCVWTAALLFSMAILGACSIINRFTRLAGELFGLLIAMLFMQQAVKGLVDEFQIPERENPKLIEFIPSWRFANGMFALVLSFGLLFTALRSRKARSWRYGSGWLRSLIADYGVPLMVLVWTGISYIPSKSVPEGIPRRLFSPNPWSPGAYENWTVIKDMLEVPVIYICGAFIPATMIAVLYYFDHSVASQLAQQKEFNLRKPSSYHYDLLLLGFLTLICGLLGIPPSNGVIPQSPMHTKSLATLKHQLLRNKLVETARSSMRKNASLGQLYGSMQQAYQQMQTPLIYQQPSVRGLNELKETTIQAASSMGSFDAPVDETMFDIEKEIDDLLPVEVKEQRVSNLLQAAMVGGCVAAMPVLKMIPTSVLWGYFAFMAIESLPGNQFWERILLLFTAPSRRYKVLEDYHATFVETVPFTSIVLFTIFQTVYLFICFGLTWVPIAGVMFPLMIMLLVPVRQYLLPKFFKGAHLQDLDAAEYEEAPALPFNLATEAELGAGASFGGDGEILDEVITRSRGEFRRISSPKITSSTATPISDRKSIDSPHRSFSPRLSELKGEHSPRAGGRGTPGQRSAEAKPSSLGKSPLNNTASK >KGN59835 pep chromosome:ASM407v2:3:34848131:34859979:1 gene:Csa_3G849910 transcript:KGN59835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGGPSKLGRPGGGAGRGHAGKRPHSSFPLPPSHRPSGRLSLGGGAAGSVSNPRNRTTTATTSEASQSAEENFSLVTGNNPLAFGMIIRLAPDLIDEIKRVEAQGGTPRIKFDANANNSSGNVIDVGGKEFRFTWSRERGDSCEIYEERKSGEDGSGLLIESGNCWRKLNVHRVLDESTTNHVKKLSEEAERKSKSRRAIVLEPGNPSMKNQIKQLAAAEANPWRHFKNKKEPPFKKQKNELSQVGPPKSTYKPGMPSLPASKDRLSSSPIPLPPEQFGAPVSQFGSANTSKTHVIAEDIRPRVPAKINPAASNEKEIPTIAPKGVLETPGQEGNSGTKPTDLQGMLYNLLLENPKGMSLKALEKAVGDKIPNAVKKIEPIIKKIATYQAPGRYLLKSGVGLEGSKKPTSEGESSPLISHHQTSVHEDLPDQTNAPELQLEARCGMDLEEKVETSQANKESNFLETNGIQQPDPFAEKKSSENSEGQAASSSDNESDSDSDSDSSDSGSDSGNHSRSRSRSPVGSGSGSSSDSESDGPSNSQEGSDVDVDIMTSDDDKESKQKLQASVQGFSTSPAAWKSPDGGPVQIIDDEKEDGQEYDAIDIEKDSSDDEPDAKIDGRSLLPTEEGVRPVEEPRSFSPYPDEFQERQNFIGSLFEDRENNVVDSARHEQSDSTGRISKGKSKRSSDLECLEEKSDHTKRLKSESLAQQPVSGNWGVQLQSPRNLSPSKLNRDSVRNLTSQVTNKGEIKGNSDFRPKKGNKETVSEKNSSDVSQAGWRPHDQSGVRAVDTATRADKHGDIGRGTKHTEKSGHANENFHVFKDTFYGNPDNEGTKEKKVSKNSRSGGPGDKQIQPLDSHHSKPGEIVGKFKDGQTFSSSQMGYSPRDNNNRVSANRSPVNGKGRILQREPSDLELGELREPFHEEARGKKKFERNNSLKQLENKENTTDIWGSDLNKGKSNLKASLEYGKRSSPHVSTKFPSNPEGSNKKKNSEHIVEDSNRINNRSLLSHSQYNSRIDHAEVDKSADGNVKPNQGNGPEGYVESNRKASVGISQLNDTKREQPPSKKGSKRQAPNPITEVTDGLKNPVSAERENSDPKRRDSSSDENSCSYSKYEKDEPELKGAIKDFSQYKEYVQEYHDKYESYLSLNKILESYRTEFCKLGKELDSARGQDSEKYFNVLGQLKESYRLCSTRHKRLKKIFIVLHEELKHIKERIRDFVQTYAKD >KGN56191 pep chromosome:ASM407v2:3:4844652:4848515:1 gene:Csa_3G098040 transcript:KGN56191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEESTGLAIGISIGVVIGVLLAILALFCFRYHRKRLQIGNSSSRRAANLPIRTNGADSCTILSDSTVGPESPMKTSRNSMSSWFDGFKRSSVISASGMPEYSIKDLQKATGNFTSVIGQGAFGPVYKATLPSGETVAVKVLATNSKQGEKEFQTEVMLLGRLHHRNLVNLVGYCAERGEHMLVYVYMSKGSLASHLYSDKNGLLGWNMRVRVALDVARGLEYLHDGAVPPVIHRDIKSANILLDESMRARVADFGLSREEMVDSRAANIRGTFGYLDPEYMSSRAFNKKSDVYSFGVLLFEIVAGRNPQQGLMEYVELAAMNFDGKVGWEELADSRLEGNFDVQELNEVAALAYKCVNRVQKKRPSMRDSVQVLSRILKQRHSKKRNQNTLSTTTDEVAVDVDQLEGRSPRPEHRRQQSADSTTESCEV >KGN59144 pep chromosome:ASM407v2:3:29851244:29855956:-1 gene:Csa_3G777030 transcript:KGN59144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGIDRNRKREVWNFVQRIGSQRKEKTTTTQNETDVLQRSPSHFPSPSSSSSANDGSHPHTLINSPTINHCLQFHLGSEEEEEEEEEEERDREGDQLSLLTLLVAAFRKSLIGCRSTSSGSARTASQNLSSMEIGWPSNVRHVAHVTFDRFNGFLGLPVEFELEVPRRAPSASANVFGVSTESMQLSFDSRGNSVPMILLLMQKHLYTQGGLEAEGIFRITAGNSQEEFVRDQLNRGVVPDGVDVHCLAGLIKAWFRELPTGVLDTLSPEQVMEAQTEEECAELARLLPATEAALLDWAVNLMADVVQFEHQNKMNARNVAMVFAPNMTQKECDLRCNSTHLFLQMADPLTALMYAVKVMNFLKTLIEKTLKDREDLVVESAPVLRINPSDEDGHQSASQFYLDSQNEIKNDEAEDEQVFVTEEPTSESPLHPCENNCTAKTGSQSLLSSIENIIPGGSQSLANNCPCEIVSEVNSLVNEEQESGLASQVRTAQSCRKNNLDRSNSLNLKKGTKKVNESVKVHTTGATQRLGKKNGIVGRLNSRTELAEAWR >KGN56153 pep chromosome:ASM407v2:3:4340937:4341176:-1 gene:Csa_3G079320 transcript:KGN56153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMQIFVKTFDGKVLSLDLEPTATIEGLKAKLEAKYGVRADSQNIVYAGKVLENHKTLAENNIKNDSALYLVIRLSGGF >KGN56354 pep chromosome:ASM407v2:3:6390558:6391802:-1 gene:Csa_3G117400 transcript:KGN56354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRHKCKLCFRSFSNGRALGGHMRSHMLNLPLPPKGEEDAAPPHPHLSEDGDSASFLSSPSSSEGDAEEKGVSYGLRENPKRSIRVVDPEFSFAVDAASVVLQDRESETESSKNPTRRRSKRTRKPDRRHHHHLHHHYNVFKRLQEKKMNHPESWTEAEPVSSISGSTTEEDVAFWLMMLSRDKWTKQQGGYEEEEDEEDEDEDEDEEEEEEELEESMEETDDSEAVKFPKNRNRGNYKCETCNKVFRSYQALGGHRASHKKIKVSLTYNNPQLGSHHENAATPSSGSMAERKIHECPVCFRVFSSGQALGGHKRSHVTGYSNPPKAAQKKFPDSLIDLNLPAPFEEDDVSQIELSAVSDADFVNAIRQ >KGN58444 pep chromosome:ASM407v2:3:25265384:25265765:-1 gene:Csa_3G644830 transcript:KGN58444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEIGIKGSFGYLDLEYFRRQQLSEKSDVYSFGVVLLEVVCARAVTNPTLPKDQINLAEWAMKWQ >KGN55959 pep chromosome:ASM407v2:3:2859529:2861372:-1 gene:Csa_3G039300 transcript:KGN55959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSFNSSHQEHQQEPEQQEDHCLQMRQLLIRCAHFISQSDFISAHHLLSILSSNSSPYGDSTQRLLHYFSSSLSHLLPSSNYNSSFHHHHHDIEKIQSCYLSLNQITPFIRFTHLTANQAILEGIEESGMIHVLDFDIMHGVQWPPLMQALADRFPSPMLRITATGVDLNFLHKTGDRLSKFAQSLGLRFQFHPLLLLHDRDHHRVIPAALTLFPDEALAVNCVLYLHRLMKDDVRVLLNKIKALNPKVVTIAEKEANFNHPLFMQRFVEALNHYTLLFDSLEATLPPNSRERLAVEQVWFGREINDIVSGEVNKKKQHYAERYESWETMLKSLGFSNIPLSPFALSQAKLLLRLHYPSEGYHLQILHDSLFLGWQNQPLFSVSSWH >KGN56645 pep chromosome:ASM407v2:3:7976789:7980594:-1 gene:Csa_3G127080 transcript:KGN56645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNYDFVEEEFQACCGSSKFAKEMVSASPFFSLEQAIAAARHIWFNQVDVSGWLEAFSAHPRIGGQVSKSSNQTSAQWSKGEQSTAMATATGSSLQELAEWNIQYQQKFGFVFLICASGRSTSEILAELKKRYPSRPIVEFEIAAQEQMKITELRLAKLFSTKQNTSSTRESHPPPDFARKVEEDRVNVIGGHLGATSEASTGKTSQILTRTRPPITTHVLDVARGSPATGIDVRLERWIGTQPRPLFGEADVGGWALEGTSATDKDGRSGQLLSMVEAINPGIYRISFNTGKYFPAGFFPYVSIVFEIRESQKLEHFHVPLLLSPFSFSTYRGS >KGN56954 pep chromosome:ASM407v2:3:9762838:9762990:-1 gene:Csa_3G146400 transcript:KGN56954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEVDVDVVPNGKWRQFVYAPLPAQQDEADVSSCHGVCHATNMDPPLSF >KGN59186 pep chromosome:ASM407v2:3:30095469:30098762:1 gene:Csa_3G778420 transcript:KGN59186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMRLQAPFLRPNIVSTPSLPLIAATFYTAPPPISKFRMPETSSSLQLRMRTPRRKFNVSATLVPDQRPLDLTEENVRQALGEARVELAQIFDDSVGITGVVELAELDGPFVKISLKGRFWHKRSTVVARVGNYLKNRIPEILEVEIEDESQLDDSPASF >KGN58372 pep chromosome:ASM407v2:3:24555022:24558620:-1 gene:Csa_3G630280 transcript:KGN58372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLRRRKLLGLYSGKASFVAPVLKFSENLTAEDHVHCTSFVRVYPICSDKVNKIEENPTANIEPESSGVSVLDTSKEQIDTTNDEPIADPPVKRRKRHRRKHFPDESFLMRGVYFKNMKWQAAIKVDKKQIHLGTVGSQEEAAHLYDRAAFMCGREPNFELPEEEKQELRKFNWDEFLAMTRNTITNRKQKRLSPESKKSELSSPGNDDSNKRHDKFIDPSFLEDVEPVASTS >KGN60200 pep chromosome:ASM407v2:3:37618976:37626507:1 gene:Csa_3G889080 transcript:KGN60200 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adaptin family protein MAPPAPSHRTSSPSQPSGKSEVSDLKSQLRQLAGSRAPGVEDSKRELFKKVISYMTIGIDVSSLFGEMVMCSATSDIVLKKMCYLYVGNYAKVNPDLALLTINFLQRDCKDDDPMIRGLALRSLCSLRVANLVEYLVGPLGSGLKDSNSYVRMVAVTGVLKLYRISASTCTDADFPATLKHLMLNDRDTQVVANCLSALQEILTSEASSLEEASREREALLSKPVVYYLLNRIKEFNEWAQCLILELVSKYVPSDSNEIFDIMNLLEDRLQHANGAVVLATTKVFLHLTLSMTDVHQQVYERIKAPLLTLVSSGSPEQSYAVLSHLHLLVMRAPFVFSADYKYFYCQYNEPSYVKKLKLEMLTAVANESNTYEIVTELCEYVANVDIPIARESIRAVGKIALQQYDVNAIVDRLLQFLEMEKDYVTAEALVLVKDLLRKYPQWSHDCIAVVGSISSKNIQEPKAKAALIWMLGEYSQDMQDAPYILESLVENWDDEPSAEVRLHLLTAVMKCFFKRPPETQKALGAALAVGLADFHQDVHDRALFYYRLLQYNVSVAERVVNPPKQAVSVFADTQSSEVKDRIFDEFNSLSVIYQKPSYMFTDKEHRGPFEFSDELGNLSIGVESADTVVPTQQVEANDNDLLLSTSVEEETRVVSNNGSAYSAPSYEGSIGSLIPQAPLESAVSNPSIPGPAPQSSSPFDDLFGLGLPTASASPITPAAPSPPPLQLKSKAVLDPGTFQQKWRQLPISISQEISVSPQGVAALTSPQVLLRHMQNHSIHSIASGGQAPNFKIFFFAQKQEEPSNFLVECIINTASAKAQVKVKADDQSVSQAFLSLFQSALASFGMP >KGN59601 pep chromosome:ASM407v2:3:33024091:33024847:1 gene:Csa_3G827920 transcript:KGN59601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIASMANFMEYDVYDLELKSVKDNTEILIALLISPGNGGRRKERKRRKTLLRRVKKKRLQNVVDGIRSACGGERLIIFTTNHKEKVDEALIRRGRMDKHIEMPYCGNRDGPADVAEILTQKYEGEKREECLKRLVEALEKTKKEAEKKKG >KGN60051 pep chromosome:ASM407v2:3:36542878:36548760:-1 gene:Csa_3G873800 transcript:KGN60051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSISFCVFFALVCVTFFLVSENVKVADAAEDARNGVYIVYMGSASSGFRTDFLRLLNSVNRRNAVVHTYKHGFTGFAAHLSEHEAQAMRQSPGVVSVFPDPLLKLHTTHSWDFLVSQTSVKIDANPKSDPPASSSQPYDTIIGILDTGIWPESESFNDMGMGPIPSRWKGTCMTGDDFTSSNCNRKIIGARFYESSESDGIRYHSPRDGAGHGTHVASTAAGSAVANASYYGLAAGTAKGGSPGSRIAMYRVCMADGCRGSSIMKAFDDSIADGVDVLSLSLGTPSVFRPDLTADPIAIGAFHAVEKGITVVCSAGNDGPSSGTVVNDAPWILTVAASTIDRDFESDVVLGNKKVIKGEGINFSDLQKSPVYPLIEGKSAKKASDSEDSARICSEDSMDEAQVKGKIVICENSVEGGGSDWQSQAETVKNLGGVGLVLIDDDSKLVAEKFSTPMTVISKKDGLEILSYVNSSRKPVATVLPTETIINYKPAPAITYFSSRGPNPAVLNIIKPDISAPGVNILAAWLGNDSSSTPQATKSPLFNVISGTSMSCPHVSGVVASVKSQNPTWSPSAIRSAIMTTAIQTNNLGSPMTLDTGSVATPYDYGAGEISTNGALQPGLVYETSTTDYLLYLCGRGYNLTTIKSITTTIPDGFDCPKNSNADYISNMNYPTIAVSELKGKESKKVIRTVTNVGGNGETVYTVSVDAPQEVEVKVIPEKLKFAKNYEKQSYQVVFTPTVSTMKRGFGSITWTNGKHRVRSPFVVTSESSEP >KGN56076 pep chromosome:ASM407v2:3:3728467:3728949:1 gene:Csa_3G064250 transcript:KGN56076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIIHSHLPLPFQFFPRPLALYPPFPWKWLISTTVFSAGRTFIGANATNTISFRFTPQNFGQVVDHSTESPTLYDSNRIFKDSSFYEFEVDQDVVHIVRLHFSPFNFSTDLSTSLFNVSAVSPSTKH >KGN57430 pep chromosome:ASM407v2:3:12997102:12999771:-1 gene:Csa_3G185100 transcript:KGN57430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTGRGFEKLADELPKLNWKGKKRKTKRCFLSEETQSVASTINQLLQCALDLRCCFTGDMWNTQVDHAASSRRLSEINKAQGKCSKYPSLSMLQFSRPCTCHKEEI >KGN60345 pep chromosome:ASM407v2:3:38697280:38699524:1 gene:Csa_3G895910 transcript:KGN60345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGTSVLNYNHHLLPSKDLPQSSSELNLKQKEQEYLCLVKKCKSLEEFKQVHVQILKFGLFLDSFCSSSVLATCALSDWNSMDYACSIFQQLDEPTTFDFNTMIRGYVNNMNFENAIYLYNDMLQREVEPDNFTYPVVLKACARLAVIQEGMQIHGHVFKLGLEDDVYVQNSLINMYGKCRDIEMSCAIFRRMEQKSVASWSAIIAAHASLAMWWECLALFEDMSREGCWRAEESILVNVLSACTHLGAFHLGRCAHGSLLKNITELNVAVMTSLMDMYVKCGSLQKGLCLFQNMTRKNQLSYSVIISGLGLHGYGRQALQIFSEMVEEGLEPDDVTYVSVLSACSHSGLVDEGLDLFDKMKFEYRIEPTMQHYGCMVDLKGRAGLLEEAFQLVQSMPIKANDVLWRSLLSACKVHDNLKLGEIAAENLFRLSSHNPSDYLVLSNMYARAQQWENAAKIRTKMINRGLIQTPGYSLVEVKSKVYKFVSQDKSYCKSGNIYKMIHQMEWQLRFEGYMPDTSQVMLDVDEEEKGERLKGHSQKLAIAFALIHTSQGSAIRIIRNLRMCNDCHSYTKLVSMIYEREITVRDRNRFHHFKDGNCSCRDYW >KGN56103 pep chromosome:ASM407v2:3:3942587:3944758:-1 gene:Csa_3G073930 transcript:KGN56103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVTNQEEDKKPTDQSAHINLKVKGQDGNEVFFRIKRSTQLKKLMNAYCDRQSVDLNSIAFLFDGRRLRAEQTPEELEMEDGDEIDAMLHQTGGGGAII >KGN56210 pep chromosome:ASM407v2:3:4974810:4976580:-1 gene:Csa_3G099710 transcript:KGN56210 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fiber expressed protein MPILSSNYHWILSLKILLISTGLLSMALFLKLSVPLLADFVFSEIPSIWTSFLSWLTPPYLYLLINCIIISIVASSKLQSNLENDPIPETPAPPPVTKISSDYAVCGSSDILNGYSSYNANQNVVTKVSDLEIDDSNEVYGRIEESRVSEMEKKGENDSMIAMKGGDESSVLSSITNTLPRKDSIGVLFSNKEEKPPVSSRFGQRKFVKSSPEGKPLGVSKPKRQDTLENTWRKITEGRSMPLTRHLRKSDTWESHGRKAPTMVVEDPATPPSKVMKKSETFKEGRGGGSPGGSGRMKREASPSQDELNRRVEAFIKKFNDEMRLQRQESLKQYQEMVGRGGAQSF >KGN57013 pep chromosome:ASM407v2:3:10071660:10071998:-1 gene:Csa_3G149930 transcript:KGN57013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKETAKLNAARSGLVVIGALAFGYLSLQLVFKPYLEKAQSSLQHPEPEPSTIPKDAISNLDVSRDFGKQEKDALLLIEEDYI >KGN60097 pep chromosome:ASM407v2:3:36810196:36813048:1 gene:Csa_3G878170 transcript:KGN60097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRTGCRPFECVRRAWHSERHQPIRGSLIQEIFRVVSEVHCCATKKNKEWQEKLPIVVLKAEEILYSKADSEAEYMDVTTLWTRINEAINTIIRLDKDAETGEFLHPCIEAALYLGCTPRRSSKSNRGSNLRGYLNSCTPQVLDTSPNFTNPIRPTVLSSQHLSHCPNLSKQTRNVPKSGMENQKHVGVPSTNLTSTVYKNICPSIRNRQFLTETVAGWDMFSLCPLYQGRNQHVRDIEIQPNPVYNNPSFSGPAPFKYFHSNDVIPPRNNRVDLVNSTTLHKQQKTTCDLSLRLGSLFVPHPSIDDNQSKMINNIDANTSQKQITSSSDRSFQLDKTFPFFPLHGTYDALDFH >KGN59182 pep chromosome:ASM407v2:3:30080252:30081427:1 gene:Csa_3G778380 transcript:KGN59182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFGYAYPAFQCYKVVVKSPVQVQELLYWCQFWIIVAILTVVERIADAFVGWFPMYGELKLALFIYLWYPETMGSGYVFQQLLRPLVDNNDKDIEKMLLELRVKAWDLAIFYWNHCTELSQSAFVKVVNYLASQNNNPGSTSSAPSKKER >KGN57290 pep chromosome:ASM407v2:3:12005253:12014953:1 gene:Csa_3G176850 transcript:KGN57290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTYCVLFGCVEQSSVGVVERWGRFEKLAQPGLHFFNPFAGECLAGILSTRISSLDVRIETKTKDNVFVQLLCSIQYRVIKENADDAFYELQNPQEQIQAYVFDVVRAVVPKMNLDELFEQKGEVAKAVSEELEKVMGDYGYSLEHILMVDIIPDASVRRAMNEINAAQRLQLASVYKGEAEKVLLVKKAEAEAEAKYLGGVGVARQRQAITDGLRENILNFSHKVEGTSAKEVMDLILITQYFDTIKDLGNSSKNTTVFIPHGPGHVRDISGQIRNGMMEAAASAQPRVTNDEVAEQRRLGFYRKLHSTSANTLHSEMGFWGIEVKPGKPYTYHSDNVTGKLRITQATLGPGSSKERSIVQCSVGNKSPIFLCSLIPNKIESCPLDLEFEEDESIAFSVSGPQSIHLSGYFVANEQHVIRDDYESDSFGEDIAETDTEDSSEYDTGDEYDDEFIDDDDDYPGMYSTSPVPKSGVVIEEIVDDEKSNDANGQAKKVKKNKSSDSEDIRNSQRQIVLKRNVEKTVSESEDEDGFPIPTKSKSKANIQKLESEQEQKGRSTEDVKETKAKDGSDASSLKRKVENDEQVDLMERKKKKKQKKKVKDEKAQVTHNDGDMGSEKQQAEDENAAVNPISDGDEKDKKISKQRDASTHTKVVDGENHKEDKQKKKTKKKGKKNKEAESGSKSDELTKTAGDQIESALGSKEKENESKPSRVRTFANGLVIEDVAMGKPDGKRASPGNTVSVHYIGKLKNGKIFDSNIGRAPFKFRLGVGQVIKGWDVGVNGMRIGDKRRLTIPPSMGYGDAKIGKIPQNSWLTFDVELVGVR >KGN57685 pep chromosome:ASM407v2:3:15672627:15673718:-1 gene:Csa_3G251950 transcript:KGN57685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLQSCVRAKRLWNVLKVTLILMARKGLISKRRFIMDMNLMVKRGKLFRKSVTNYFMFHHHHNHSSHRDMTRGVFGIQDYEFSCSNTPINSVFSHMSKRTTKYQAYFPCINLPVEIETRRRQQDEDEEEEEDSYQEAKADSYLLMTPENTLSHLQYNGCTFALSPAMEAVSPFNVRISNYSSEEENEISSESGQVDNQAEEFIRRFYEQLKMQKRLQLLQYN >KGN57799 pep chromosome:ASM407v2:3:17371145:17373043:-1 gene:Csa_3G307680 transcript:KGN57799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGGVQLGILAACIVLFVPMGMAGWHLSRNKMLFFSGALFITLAIGVHLTPYIPSVSDFVTTVSSVVVFDSRASCVSQLHEIVWDVKQSDGFNPLSNNSVNYEKSWKWGRSAPVIACDFQKLAPTDVADLLNGSWVVVAGDSQARLMALSLLDLTLDSQRMEAVRGDLFKRHSNYQILIGETGMKLDFIWAPYASNLTDLMGEFKKNRSYPDVIIMGSGLWHMLHFTNASDFGLSLESLRSSVVSLIPLTPELGSDGPLTGSVSIRTPHLFWIGMPTLINSMLNTEEKRKKMTDTMRAAYDAALGDSKLLRSSGGPLLLLDIETLSWNCGVRCTVDGMHYDGVVYEAAIHIMLNALLIESHQKL >KGN58376 pep chromosome:ASM407v2:3:24598424:24598615:1 gene:Csa_3G633790 transcript:KGN58376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEEAMDNVMSDKGSMVSGQQGVAADSLYKEGAQLSFLLPTQLEWRRVGQHGRAEKRRLTQTS >KGN59427 pep chromosome:ASM407v2:3:31840794:31841429:1 gene:Csa_3G819840 transcript:KGN59427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPILLNITDLHIPYYTHDYYDITIDDDEILTLRTASIDVVNFWVATILEVNNRRIRPLIVGLDIEWRPYFGPKPNPVATLQLCVGHRCLIFQLLYCPAAPQALVNFLFDSSCTFVGVGIHQDVQKLYHEYGLTVSNVVDLRDLAVNKLGRTYLRYAGLKSLWREVLGREIEKPKYITLSNWDSVWLNYAQILYATIDAFISFEIGRSLMNL >KGN57004 pep chromosome:ASM407v2:3:10000783:10006784:1 gene:Csa_3G149350 transcript:KGN57004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQKRLDQNLPERKGHKRKLEEEFEEEREISVPTGDAKQAILTEVSDQVEILNSTFSWKEADRAAAKRATHVLAELAKNEEVVNVIVEGGAVPALVKHLQAPPTIEGDRSLKPFEHEVEKGSAFALGLLAVKPEHQQLIVDIGALSHLVELLKRHKDGSSRAVNSVIRRAADAITNLAHENSFIKTRVRMEGGIPPLVELLEFTDTKVQRAAAGALRTLAFKNDENKNQIVECNALPTLILMLRSEDAAIHYEAVGVIGNLVHSSPNIKREVLLAGALQPVIGLLSSCCSESQREAALLLGQFAATDSDCKIHIVQRGAVRPLIEMLQSPDVQLREMSAFALGRLAQETHNQAGIAHNGGLMPLLKLLDSKNGSLQHNAAFALYGLADNEDNVSDFIRVGGVQKLQDGEFIVQATKDCVAKTLKRLEEKIHGRVLNHLLHLMRVAEKAVQRRVSLALAHLCSPDDQRTIFIDNNGLELLLGLLGSSSLKQQLDGAVALYKLANKATTLSSVDAAPPSPTPQVYLGEQYVNNPTLSDVTFLVEGRRFHAHRICLLASSDAFRAMFDGGYREKDAKDIEIPNIRWEVFELMMRFVYTGSVDVSLDIAQDLLRAADQYLLEGLKRLSEYTIAQDISLENVSSMYELSEAFNAISLRHTCILFILEQFEKLSLMPGHSLLIQRILPEIRNYFAKALTKVNLQSSRL >KGN59737 pep chromosome:ASM407v2:3:33923443:33925696:-1 gene:Csa_3G842100 transcript:KGN59737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGSVSIPVIGKEEKTKVELDWEVVKVDKEVEKEKLEVKMKNKEVKHEDDKKEKTAAKLQRKSSSVQKEKAKDIENKKEKSLKSDDEKDKKVKVKEDGDSKLEGKNKKEEKEEKHKNKDEAKEEKESKKKHKDEDGAEKETEVNKKKEKNDEKKEKKDEKKPKKKDEKSGENDGVKEKKGKKKEAEEDEDFEKKEKKQEKGKKDKEKGKGSDVVEDKKVKKEVEKEEEKEDENKEEKKKKKKKDEKENKKKDKGEEEDDGNEEKKKKGEKKKEKKDKGGEEDGGKEEKKKKTEEKEKKKKEKGGEDDSKEEKKKKTGEKEKKKKDKEEEGDKSKEEEKKKKVEKEKEKKDKGVTMKGKDEENDEVKENKGEKKKGKDEEDTANEEKKLKQEKKDEKKKDKGEKEKEEKKKDKKIVEDENKKDEKKQEKGEKEKEEKKKDKKIVEDEEKEDKDKRKDKDEVEDKKGRKEKKKEKGNDTKTEASVTDTSREIKIEESKKTDTSVTNTSREIVIQESDKGPKGEDEKKNKKDKEEKRMKGEERNKTRDLGKLKQRLEKLDVKINALLLKKVDIMKQIKEAEDGNCNNVAAKAVEVA >KGN58361 pep chromosome:ASM407v2:3:24444924:24448845:1 gene:Csa_3G627720 transcript:KGN58361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRSDMLQLQQHVSLAKSVRHRCNEWIFRDVPSDITIEVAGVTFSLHKFPLVSRSGRIRRLVAEHRDSDISKVELLNLPGGAESFELAAKFCYGINFEITPGNVAQLCCVSDYLEMAEEFSKDNLGSRAEEYLESIVSKNLEMCVEVLQQCENLLPLADELKVVSRCIDAIASKACSEQIASSFSRLEYSSSGRLHMSKQAKCDSDWWIEDISVLRVDLYERVITAMKCRGVRPESIGASLVNYAQRELTKKSSLWNPSGQTKVDFVTGSNGQEQIVVETIVSLLPVEKLAVPINFLFGLLRSAVMLDCSVGCRLDLERRIGSQLDIATLDDLLIPSFKHSADTLFDVDTVHRILVNFSQQDDSEEDMDDASVFESDSPRSPSQSALFKVSKLLDNYLAEIAPDANLKLSKFVVIADSLPSHARTIHDGLYRAIDIYLKAHQGLPDIDKKKLCKLIDFQKLSPEAGAHAAQNERLPLQCMVQVLYFEQLRLRNALSNSCGDEDYKPLHQSWRISSGALSAAMSPRDNYASLRRENRELKLELTRLRMRLNDLEKEHVCMRRDMQKSSSRKFMNSFSRKFSKMSIFGHSSSRGSSSPSKHSQRTDSKVIERTCTSAE >KGN59584 pep chromosome:ASM407v2:3:32927906:32928187:-1 gene:Csa_3G827265 transcript:KGN59584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRTNTLNIIGDSPKSESELGPGGHLGSKCQLQAPNHTSYPPNCLYHLISPESRSRARIELEWFSGSMHLSGSVGSVWLFCLSWHSRIRRGAE >KGN55765 pep chromosome:ASM407v2:3:1182931:1183500:1 gene:Csa_3G011670 transcript:KGN55765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDPNKRAIQEKDISSIDGPTRQPFTNRSFNHKTPSPNYIEFWRANAAPYLTSTWSNKDSLTTTNIQFQAYLCYVNTMAVVLEKDHRCLFQDGIDQIMLQKILSVAIYADCFL >KGN57874 pep chromosome:ASM407v2:3:18382220:18385067:-1 gene:Csa_3G358620 transcript:KGN57874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKNSFIFVEILLFLAFISSGVLTEPVEDKQALLDFFHNIPHSPSLNWNQSSSVCKAWTGVFCNSDESKVVALRLPGTGLRGPIPVNTLSRLSALEILSLRLNRISGPFPFDFSKLGNLSSLYLQYNKFSGPLPSDFSVWNNLSVIDLSNNLFNGSIPSSISKLSHLTVLNLANNSFSGEIPNLDIPSLQRLDLSNNNLTGNVPHSLQRFPSWVFAGNNVTEEHSAIPPSFPLQPPTAQPTRKGRLSESAILGIAIGGSVIVFIFLAVLLTVWWLKKGKENTSPSMDPKKKELSVKKRGFESQEQKNNLNFFQDSNLAFDLEDLLRASAEVLGKGTFGVSYKAALEDSTTVVVKRLNQVTVGKREFEQQMELIGKIKHENVVSLRAYYYSKDEKLMVYDYYGQGSVSAMLHGKEGDGLRVLDWDTRMKIAIGAARGLAHIHTENGGKCTHGNVRASNIFLNSKGYGCVSDVGLAGLMNSIPLPATRTPGYRAPELTDTRRASEAADVYSFGVVLLELLTGKSPIHVEGCNEVVNLVRWVNSVVREEWTAEVFDVELLRYPNIEEEMVEMLQIGLSCVAKMPEQRPKMIDLMLRIEQVRQHSTGTQPSSGSKSAYSTPVHVMEIGSSSHLP >KGN58097 pep chromosome:ASM407v2:3:21318705:21319013:1 gene:Csa_3G509930 transcript:KGN58097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERNLAQMKLGRNWLTCRLIVQHGLQLGFDGMRTDSIINGEACSDDPRWVAKDLINGKWPLGFFFRRWRRWRISGT >KGN59010 pep chromosome:ASM407v2:3:28850861:28856453:1 gene:Csa_3G743960 transcript:KGN59010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASKTSLLLLQLSLLAAGVVSEDVIFHFENQCPYSIWLSSNPPIGDADPESPPDTLEIFIMPDTWTGSLWVRTKCSNDQDYHFTCETGDCGSGTIFCDSSPPALPVTLLNFAINNSVVHYDLSLIHGFNIPVRIQPDGGHLVDGGSGLCPTVDCVQDLSNVCPSFLVAKNKDGVYVGCYSACDALKSPEYCCSGWDCQPDYYSAKFKELCGLAHVYPRDNTPPTYGCTGFNTINEFLTAPILFSPSDPLPNKSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSFSSRAPSLPQEFNFQRVYLNFLTMAKKRKTSQKKKGEKQSPLIDNIPKYSQQRRSSPPKRRTDFSSLFFYSSSIPHQDTAALLRLSLNEVGSSKLMVHHSDNTTEELSSSSLVKCSNSLEVDEGLSLCSSPDEMQSVECHLSNSSCKAVSKRKGTSKISFPVGKKLPDSESNSLSGTPENVQLWSTESFEERSSNTTVEFQDQSCDKGSSSNSSRIEDNDLHQDRGRGKRERKPKVPFDEEMTISLKSTRKFRRMRIMRYLGLAAPVGSPFSPIA >KGN57308 pep chromosome:ASM407v2:3:12118187:12120586:1 gene:Csa_3G178500 transcript:KGN57308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESAPKLKQNHGCTFLHSTQLLISVLSSFFQLQNFFILILLVSMETYIFLNGWNPISYFFLLLSSYTFCKYIIKLPILNAPRPVYLVDFSCLKPPSFCRVPFSLFLENATLMNTFDNNSLSFMAKTLKSSGQSEETCLPPALHFIPPKTHQQESIHEVHMVLFPVMDDLLTKTHLSPCDIDILIVNCSGFCPSPSLSSIVINKYSMRSDIKSYNLSGMGCSASAVAIHLAENLLQVHENSNVVVLSTEILSNGWYAGKEHSRLILNCYFRMGGAAILLTNRKEAKLFSKYKLFKTLRTQTSYDDRSYLSAIREEDKEGKLGVSVTRDTLQVFPETLRINITLLGSSILPLSEKLRYVVSRLRKRFVDKSQEIYIPNFKRVIQHFCLPVSGGAVIRAIGNVLKLNDKEVEAALMTLHRFGNQSSSALWYELAYLEAKERVEKGDKVWQIGMGTGPKCVSLIWECIRPILGESSNDPWADVIDRYPILGPST >KGN58350 pep chromosome:ASM407v2:3:24334740:24337539:-1 gene:Csa_3G625650 transcript:KGN58350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQQSLIYSFVARGTVILAEYTEFTGNFTSIASQCLHKLPATNNKFTYNCDGHTFNYLVDNGFTYCVVAVETAGRQIPIAFLERVNEDFVKRYGGGKAMTATANSLNKEFGSKLKEHMKYCVEHPEEISKLAKVKAQVSEVKGVMMENIEKVLDRGEKIELLVDKTENLRSQAQDFRQQGTKMRRKMWYQNMKMKLIVLAIILALILIIILSVCGGFNC >KGN56142 pep chromosome:ASM407v2:3:4230394:4240543:1 gene:Csa_3G078000 transcript:KGN56142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTGILTDNDEVKLRKKENLTDYGTSHCSNNLRPPYSKVSSNLRSKRSNASNVSDYVNSSTNILSDEFRKQEPINSERTKNVVTINRMENKAPLLKTTEFSSGQCNGDSNSSAGRLSMTKPENNDLYNQGVLMQSKKKCTSSQIGKGSIVPLVPDVSLNGRNQSTSLGKVNSVPKTLKFTEAANGMEGSVAVEKMSKRIINGSGTKVMEAPATACKPDIKERLIGVYDSVLVVDSVSAAKEVVSMLTTKYRNLVHACDTEVAKIDVKQETPIDHGEVICFSIYSGPGADFGNGKSCIWVDVLDGGGKEILLQFAPFFEDPLIRKVWHNYSFDNHIIENYGIKLSGFHADTMHMARLWDSSRRVSGGYSLEALSSDRKVMSDAELGEEKELIGKVSMKTIFGRKKKKMDGSEGKLVVIPPVEELQREERKPWVSYSALDSICTLKLYESLKNKLSHMPWERNGEAIPGQTMINFYEEYWKPFGELLVKMETEGMLVDRSYLAEIEKLAIVEQEVAANKFRNWASKYCSDAKYMNVGSDAQVRQLLFGGACNSKNPVEFLPTERTFKVPNSEKVIQEGKKTASKFRNITLHCIKDKAFSTEIYTASGWPSVGVDALKILAGKVSAEFDDIANDLCFDNEVDKDFEMMPHEESKGHLSDNDTALKEFKSLEESKEACHAIAALCEVCSIDTLISNFILPLQGSNISGKNGRVHCSLNINTETGRLSARRPNLQNQPALEKDRYKIRQAFIAAPGNSLIVADYGQLELRILAHLANCKSMLEAFKAGGDFHSRTAMNMYPHIKKAVEEGSVLLEWDPQPGQEKPPVPLLKDAFASERRKAKMLNFSIAYGKTPVGLSRDWKVSLEEAKKTVKLWYNERKEVREWQDLRMAEAAESSCVRTLLGRARQFPSMKYATRFQKGHIERAAINTPVQGSAADVAMCAMLEISKNSRLRELGWRLLLQVHDEVILEGPTESAEVAKAIVVECMSKPFNGKNILKVDLVVDAKCEQNWYSAK >KGN55587 pep chromosome:ASM407v2:3:103177:103660:-1 gene:Csa_3G000110 transcript:KGN55587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEKRGMANPRSYLHCIKNEDVNHLVLLLDGQSDLSLTQHPLGFPLKRLRMPQLLVKVKAYYDFEDVIDSDEELDLASMATEQ >KGN59332 pep chromosome:ASM407v2:3:31093497:31095617:-1 gene:Csa_3G810560 transcript:KGN59332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIFADTEPNHFTFATILTSCYGSLGFETGRQIHSIAIKRNYESHMFVGSSLLDMYAKSGRICDAHGVFHCLPERDVVACTAIISGYAQMGLDEEALKLFRQLQIEGMNSNSVTYASVLTALSGLAALNHGKQVHSHVLRSGQYSYVVLLNSLIDMYSKCGNVCYARRIFDSMPERTCISWNAMLVGYSKHGMAREVLELFKLMREENKVKPDSITYLAVLSGCSHGQLEDMGLEIFYNMVNGKDGIEPDIGHYGCVVDLLGRAGRVEEAFDFIKKMPFVPTAAIWGSLLGSCRVHSDVEIGIIVGQKLLELEPENAGNYVILSNLYASAGKWEDMRNIRDLMQEKAVTKEPGRSWVELDQIVHTFHASDHTHPRREEVAKKVKELSIKFKEDGYVPDLSCVLYDVDEEQKEKVLLGHSEKLALAFGLIATPEGTTIRVIKNLRICVDCHSFAKFVSRLYARTVILRDKNRFHNIVGGVCSCGDYW >KGN59039 pep chromosome:ASM407v2:3:29020681:29031534:-1 gene:Csa_3G748190 transcript:KGN59039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKASKNQVSLRGASAKEITRDALVQKVIQERELRQYARKAAAAALFIQRVWRRFRVTKIAALQLQEEWEDLLNNHSGAQGGTFISCNILRPFLFFISSFLKRPQNIKTKDIDCMKNCFKILLESINSTESKNNFCSLATGTSEERRMWTYQSRKLISVCLFILVHFDKLQVKEQEIIVTTSLAMRLVVVLTDHHVWKNANESSQAVADAALEDLIHYLGTSESGLYVSVREYMYKWSVLQSTQNNSTIKTNDLLVITVSAITLALRPFHLMISDTIGTTPWEGHHVAEQFCLFLLTIPGFIQNLPQLLVPAVKHRSILFPCFSTLLAKKETILLGMSNLSQLSVECGSKVVPAVGWALANIICLVAGSETKARDSGWFSQSLDYVLYVRVVFTLAENFLDLSGDLGCGKKENPDILSVNVTSYEPSNAAVPKNETTSMSLSTSFIDMLRPVCDQRHLTDLLKIVNTDVYSDVSIDQSNNMECMKSLKLLDISYFYMYMLRIFSLLNPVVGSLPILNMLSFTPGFLVDLWGVLESSLFPSDVDEPEDHFPGSSKILNKGKNEGSGKKQNQVSKDGSSRWVTVFNKFTSKSSPGSDHMDTIEVQSSSRQGDDDSCDLWDIKSLSCGPQGISKDLSCLLYLFSATYAHLLLVLDDIEFYEKQVPFRLEQQRKLASMLNTLVYNGLSHGTGQQNTSLMESAIRCLHLMYERDCRHQFCPPRLWLSPARTSRPPVAVAARTHEALSGNLGADDTSTVPSVGSIITTTPHVFPFEERVEMFREFVKMDKVSRKMAGEVGGPGSRSFEIVVRRSHVVEDGFRQLNSLGSKLKSAIHVSFVSECGLPEAGQDCGGLSKEFLTDIAKAAFSPEYGLFSQTSTPDRHLIPNAAARYLDNGIQMIEFLGRVVGKALYEGILLDYSFSHVFVHKLLGRYSFLDELSTLDPELYRNLMCVKSYEDDVKELSLDFTVTEESFGKRHVIELKHGGKDISVTNENKMQYVHAIADYKLNRQILPFSNAFYRGLTDLISPSWLKLFNASEFNQLLSGGNHDIDVNDLRNNTRYTGGYTEGSRTISIFWEVIKGFEPKDRCSLLKFVTSCSRAPLLGFKYLQPAFTIHKVSCDVPIWASIGGQDVERLPTASTCYNTLKLPTYKRSSTLRSKLLYAINSNSGFELS >KGN59426 pep chromosome:ASM407v2:3:31838189:31838821:1 gene:Csa_3G819830 transcript:KGN59426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLTISDRQIPLNSHDYYDITFDDDEILTLVTASPSMVDMWISEILRIHRRRLHRLVVGLDVEWRPNFDRHFRNPVATLQLCVGRRCLIFQLIHASETPQSLIDFLEDDTFTFVGVGIDNDVLKLYNDYDLNVANTVDLRELAADEMQSDEFRTAGLKTLGREVLGREIDKPRNVKLSRWDRQWLNPAQILYATVDAFLSFEIGRYLLS >KGN56315 pep chromosome:ASM407v2:3:6064582:6065448:-1 gene:Csa_3G115040 transcript:KGN56315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNSLEEEIRQKSSSGRRKENKNGDNCEDQELLGGVKCPRCDSTNTKFCYYNNYSLTQPRHFCKTCRRYWTKGGALRNVPIGGGCRKTKKLKSSSSSSSSAKFFTGIIPPLPVPPPSVDFGGTGGGGGSCLSLASSIESLSSLNQDLHWKLQQQRLAMILGDHEQPPPQNKVQNHNFFQPILFHNLHHQDSPATTTTITTATTTDQWLFPTQLSAPNNGASRSINDGHGGWNNNNSNDDHNIGVEGWEDLHHFTAFP >KGN57942 pep chromosome:ASM407v2:3:19468477:19470551:1 gene:Csa_3G402460 transcript:KGN57942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGEFVRAATKMAGAGAGAVNAGLRGSSAVPQFGQLLRTASKPSSVYLGSSSPVPPSKATPGAEVDVVHKPTWEFDDWEFANFENDMGMDSVGFKPRIVFGAVPSFEEAKEATTEVKEALDKVYLSSSPESDGSNMIVPINRKIESVSCLSNETSLQSQTSVPQHAIQAFKLLKESAEAQTVVASIASDPNVWNAMLGNEALKSFLQSYQTNKIVEYHELPEGVEEAPVSYRVGEQPQNESTNGFQKMLENIKTSIDDVLTKASSFIQIIFGSSPAEVSGRNNEATSGFSTAEIAMGSSIMGLVVIVVAVLLVKRS >KGN56215 pep chromosome:ASM407v2:3:5005245:5006345:1 gene:Csa_3G099760 transcript:KGN56215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKEVEAEAKVGVGIETLWKALVKDLRFIVPKLMPNTVEKVELIHGDGGLGSVLLFHLVHDEEMMKRQKEKIVKLDETKHEFGIEVMEGNILKRGFRSFNTTFRLSSISEKETLVDFKVVYETELSDDEVEQTHLEKMATSTALSFFQLLENFLLHSS >KGN57185 pep chromosome:ASM407v2:3:11237860:11241991:1 gene:Csa_3G168960 transcript:KGN57185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMAQQFTGLRCAPLSSSRLSKPFSSKHFLNKSRSLPVQAAVISGPIPSPQTRERFKLKEVFEEAYERCRNAPVEGISFTLEDFHAALEKYDFDSELGTKVKGTVFCTDNNGALVDITAKSSAYLPLQEACIHRIKHVEEAGVFPGLREEFVIIGENESDDSLILSLRSIQYDLAWERCRQLQAEDVVVKGKVVDANKGGVVAVVEGLRGFVPFSQISTVMLIFHFLPPQLSIGYIEQSRLVLSNRKAMADSQAQLGIGSVVTGTVQSLKPYGAFIDIGGINGLLHVSQISHDRISDIATVLQPGDSLKVMILSHDRERGRVSLSTKKLEPTPGDMIRNPKLVFEKAEEMAQTFRQRIAQAEALARADMLRFQPESGLTLTTDGILGPITPELPVEGLDLNDVPPAEE >KGN58393 pep chromosome:ASM407v2:3:24745200:24762683:-1 gene:Csa_3G636420 transcript:KGN58393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPEEVLEELLNVEVQINEVQAEINLLLERQDNLYQRKSELQSLLELCNETEDSVSQGTGTSTNSENWSGSFKWDSEADDVKLNIFGISSYRANQREIVNAVMSGRDVLVIMAAGGGKSLCYQLPALLYDGIALVVSPLLSLIQDQVMGLAALGISASMLTSATSKEDEKLIYKNLEKGEGSMKILYVTPEKVSKSKRFMSKLEKCYHAGRLSLIAIDEAHCCSQWGHDFRPDYKNLGILKTQFPNAPVIALTATATQRVQNDLVEMLRIPKYVKFVSTVNRPNLFYMVREKSSVSKVVIDQIAEFIQESYPNNESGIIYCFSRKECEQVAKELRLRGISADHYHADMDSVAREKVHMRWSNSRLQVIVGTVAFGMGINKPDVRFVIHHSLSKSMETYYQESGRAGRDGLPSECLLYYRPGDVPRQSSMVFYENSGLENLYGIVQYCQSRRQCRRSVFFRHFAEPLKDCNGMCDLCAVASEVKEVDVCSHARVIISILQDVQKSNQKMTMLQLVDKLKTKHNGLVSDLRKEEIEQLIIQLLLDRVLKEEFQHTAYATNAYVTVGPLARQVLQGKKVVKIEISGKQKVTGVKSKRKSVAPSGLECKLDKLRKELASIDGGIFPHSVLSTQQIVVLSAQKPTSLDQLETMIGKVKAEKYGDRILEEIEKWSIGQNPNASEEEQEEDENRTPKRPKTIDTHVVIESSDEEA >KGN56488 pep chromosome:ASM407v2:3:7090037:7095045:1 gene:Csa_3G121640 transcript:KGN56488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMSHFPGIDSVRTPTPPPLRTAALPTSTSNSVAAFPVSEDASKKIRKPYTITKSRESWTEQEHDKFLEALQLFDRDWKKIEAFVGSKTVIQIRSHAQKYFLKIQKSGKSEHVPPPRPKKKASHPYPQKAPKNATTQHPGMYQPLSSPFEPRYIYIPDSTAGFGLPSPNATFSSWSCSPMPTIDVSQVPKGGSTLAHSSSSESTPRTWKLGEISDQGNQSMRNRVMPDFAQVYSFIGSVFDPTVSGHIQRLRKMDPINLETALLLMQNLAINLISPEFENHRKLISSYDEDRKKAKSGSLFNSLYNVRSDSTILSA >KGN56613 pep chromosome:ASM407v2:3:7801353:7804568:1 gene:Csa_3G126770 transcript:KGN56613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLTEAKDNQLRESNNQKVHPQPMEEAMNQKPEAMEALISKLFVNISSLKSAYIQLQGAHTPYDPEKIQAADKLVISELKKLSELKHFYRENNPKPVCVSPQDSRLAAEIQEQQSLLKTYEVMVKKFQSEIQNKDSEILQLQQQIEEANQKKVKLEKNLKLRGLSMKESEGSADESGNFHVDLTPDLFISVVEGAFKAIHDFSKPLINMMKAAGWDLDAAANSVEPNVVYAKRAHKKYAFESHICQRMFCGFQHETFSIKVDDVALTKEDFFRQFISLKDMDPLDMLGQNPDSIFGKFCRSKYLLVVHPKMEASFFGNLDQRNHVAGGGHPRTPFYQVFLKLAKAIWLLHRLAYSFDPSVKVFQVKRGNEFSDVYMDSVVKNLIIDESDLKPKVGLMVMPGFLIGGTIIQSRVYLSGVKVAE >KGN58773 pep chromosome:ASM407v2:3:27631481:27634627:-1 gene:Csa_3G731830 transcript:KGN58773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFLSDVFLLTISLVFANTLADESDRTALLDLKGRVLNDPLKVMSSWNDSTYFCDWIGVTCNDTIGRVVSLNLETRDLTGSVPPSLGNLTYLTEIHLGGNKFHGPIPQEFGRLLQLRLLNLSYNNFGGEFPANISHCTKLVVLELSSNGFVGQIPNELSTLTKLERFKFGINNFTGTIPPWVGNFSSILAMSFGRNNFHGSIPSEIGRLSKMEFFTVVENNLTGIVPPSIYNISSLTLLQFTKNHLQGTLPPNIGFTLPNLQSFAGGINNFDGPIPKSLANISSLQILDFPNNNFFGMVPDDIGRLKYLERLNFGSNSLGSGKVGDLNFISSLVNCTRLRILGLDTNHFGGVVPSSIANLSNQLVAITLGDNMLSGSIPLGITNLINLQVLAMEGNMMNGSSIPPNIGNLKSLVLLYLGRNGLIGPIPSSIGNLTSLTNLYLSYNKHDGYIPTSLGECKSLVSLELSSNNLSGTIPKEIFSLTSLSITLTLDHNSFTGSLPDGVGGLLSLLQLDLSENKLSGNIPSNLGKCTSMEQLYLGGNQFEGTIPQSFKTLKSLVKLNLSHNNLIGPIPEFLCELPSLMYVDLSYNNFVGKVPEEGAFSNSTMFSIIGNNNLCDGLQELHLPTCMPNDQTRSSSKVLIPIASAVTSVVILVSIFCLCFLLKKSRKDISTSSFANEFLPQISYLELSKSTDGFSMDNLIGSGSFGTVYKGLLSNGGSIVAIKVLNLQQEGASKSFVDECNALSNIRHRNLLKIITSCSSIDVHGNEFKALVFNFMSNGNLDGWLHPPNQGQNQRRLSLIQRLNIAIDIACGLDYLHNHCETPIVHCDLKPSNILLDDNMVAHVGDFGLARFMLERSSDQIFFSQTMSLVLKGSIGYIPPEYGTGSIISIEGDIFSYGILLLEMIIGKRPTDDTFGNDMDIHLFTRRALPRDALSIIDPSILFEETCQEENNDDKVKSGEDHKEIVPRWKVECLVSIMRIGLTCSLRAPSERTSMSVVVNELQAIKSSYLKGTLKVL >KGN58593 pep chromosome:ASM407v2:3:26469529:26470193:-1 gene:Csa_3G696880 transcript:KGN58593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFGGIMAMQEHDIMSMNMSMDSSSTSSSSSQLPFSATGHNSFDGSSMPFLDNHFEMASMAGNTNGFLQAPLTEMGMGMRSMEERFRYGSLEAQRSMELEREVLCVPHEEMNHPPLMNNRSSFDQYLGTNEQNLNVEEMFGDMGSHGQLQESLKMGNEWDLESFMQDISSFPHFL >KGN58411 pep chromosome:ASM407v2:3:25007240:25015622:1 gene:Csa_3G640040 transcript:KGN58411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLNIFFEIGVGAAVTSAELGEYAQAASLLEDLIKEKSDDSDIFRLLGEVKYKLKDYDGSVAAYKSATKLFEDVNFEVLRGLTNSLLAAGKPDEAVQFLLDYRDNLNNVKLGEGKEMETKLSIDPVQVDLLLGKSYSDWGHVSDAVSVYDQLISSHPNDFRGYLAKVCLSFSLFLSLSHTHDTHGIILKENGRSGDAERMFIQARFFAPENAKMLVDRYSR >KGN58869 pep chromosome:ASM407v2:3:28062806:28075583:1 gene:Csa_3G734220 transcript:KGN58869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEMDIGSPSESAPLKPRDRILRRLAVLGVPDELLDQLFRGLVTFVKDNKFLIPELVSAILPTDVEVVEVIRDAIPGAKKSLAGPTMKANFRESMMWLQWLMFESEPAYALKNLSKMSVGQRGVCGAVWGHNDIAYRCRTCEHDPTCAICVPCFQSGNHQDHDYSIIYTGGGCCDCGDATAWKREGFCSKHKGAEQIQPLPEEYVKSVGPILDALFTSWKNKLLSAEDISVEDPKLSDRVTEHKKVANELTFAVVEMLLDFCKHSESLLSFVSKRVISSAGLLDILVRLERLLTDGVVKKVHELLLKLLGEPVFKYEFAKVFLNYYPTVISEAIEDSSDHALKKYPLLPTFSVQIFTVPTLTPRLVEEMNLLSILLGCLEDIFISCVSEDGRLQVVKWSNLYETTIRVVEDVRFVMSHAVVPRYVIYQQQDILRTWLRLLTFVQGMDPQKRETGLHIEEENENVHLPFGLDHSVANIHSLLVKEAFSAASSSSCEDSADAMYFQTYKQNVDDIDSVRHAKVGRLSQDSAACNVLGKSSASTSASRVDDVCSDAISSTIMWLTYECLKIIDSWLGTENISGSIPNMLDESISLAPSCKFYSLRKTSALASKKLSYKMEKGKFEKLSRRSKYHNRQYSSRMYSGLQMSIDNEHGISLGEDNHLMDVTNDTVTDEDYAMEIDALHFLSLSSWPNIVYDVSSQDISIHIPLHRLLSLLLQKALRSCFSESGVPSATGASSSNLSSEYVDFFKSVLTDCHPFGFSSFVMEHPLRIKVFCAEVNAGMWRRNGDAALLSCELYRSIRWSEQCLELDLFLLQCCAAMAPPDLYVSRILERFRLSNYLSLDVERPSEYEPILVQEMLTLIIQVVNERRFCGLTVAESLKRELIYKLAIGDATHSQLVKALPRDLSKCHQLQEILDTIAVYSNPSGFNQGMYSLHWKYWKELDLYHPRWSLRDLQVAEERYLRSCGVSALTSQLPKWTKIYPPFRGLARIATCKTALQFIRAVLFYSVFSEISTKSRAPDSVLLSALHLLALALDICFQQKESSDQSFDAPDSIPLLLFATEEIDEGLAYGFGRQSLLSLLILLMKMHKKKEGRENLLEAGSCNLSSLVESLLKKFSEIDSHCMGKVQQLAPEILGYLSQSVPTSTTSRPTETSDSEKRKAKARERQAAILEKMRAEQSKFLASVDASVDDDDTEFGQEPEKPNVSDSAEQSETVCSLCHDSSSSVPISFLILLQKSKLVSLIDRGAVSWDQPYCRDEHTSTTSKRDLDQSGVSTSSAGSVVISSPQFSELIQNAVKEYTNHGLPGEVGAFLDFVKSHFPPLRDIQVPGTSNVKGEKIIFSFDTLEEDIYLSVCKEMHDTLHSKFNDDEKISKVASGGDSRSVLHVKYIAALSRELAENHSTSESARNIHMPVESLQPTILNEIGPTDCDGIYLSSCGHAVHQGCLDRYLSSLKERFARRIVFEGGHIVDPEQGEFLCPVCRRLSNSTLPAFPREFQKIWSPRTSSVGTLSHVSGHLNKSNERVNPLYIQEAVALLQSAAKAVGKNNVLKDISVHRHKKVSRNLEAVSLVLSKLYFSWKQDKLISSSRVNPSILMWDTLKYSLVSMEIAARSKTDMNPSIGLNTLYKELKTSGGFVLSLLLKVIQSVKCEDSLLLLQRLCGIQRFADSICSGMSNENASDSCGRGILHILTSLRSELPQFDSQFLSRGSDPVIAHDPFASLMWVLFCLPFPFLSCRESLLSLVHIFYLVSVTQAIITYFIKSQWEVDGLGSSDCLITDICKIMGESGYARQYFVSNYTEPSCNVKDTVRNLTFPYLRRCALLLQLLSSSARVPIFDGETALETYLVGNNMIDNITVELNEVEKLQKMFEIPPLDIVLKDRTSRLLVSKWFCHFNKEFEFQRFKIIKHCTPAVAFQLIRLPHVYHDLLQRYIKKRCADCKHVIDDPALCLICGKLCSPSWKSCCRESGCQAHANICAAGTGVFLLIRRTTILLQRSARQAPWPSPYLDAFGEEDIEMRRGKPLYLNEERYAALSYMVACHGLDRSSKVLGQTTIGSIFVI >KGN57090 pep chromosome:ASM407v2:3:10586168:10599976:1 gene:Csa_3G153150 transcript:KGN57090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPNPHCCSASSSSSSSSSPPFYCPLWISSSSLLKLMAPSRKSRSVNKRFSSANEASSSKYVEDASKSKQKKRKFADLLGPQWSKDEVEQFYEAYRKYGKDWKKVAAAVRNRSTEMVEALFTMNRAYLSLPEGTASVVGLIAMMTDHYSVLRDSESEQESNEDSGAIRKPQKRLRGKSRSSNLKGSDAHFGDASQSQLLLTNYGCLSLLKKRRSGIKPHAVGKRTPRVPVSYSYDKDGRDKLFSPSKHNSKAKVDDPNDDDVAHEIALVLTEASQRDGSPQLSQTPNPKIESHVLSPIRNDRMRSESDMMSTKFRCSEMDEGGCELSLGSTGADNADYDLGKSTREVQRKGKRYYGKKPEVEESMYNHLDDIKEACSGTEEGQKSGSLRGKLENEDLDVKSVRSSFKGPRKRSKKALFGDECSAFDALQTLADLSLMMPDTNAETEPPAKVKEENLDVMGKSKMKGSHSVAGSEISALKTSKTGKAFGSNVGPISEAEGIQGSNNGNRKRKLKSSPFKISSKDEDNDSRLHDTLKIKAADEAKSSVGKVKRSPHNAGLKSGKISKPLDHHSSSSTDHKREDGDYALSTAQVLSNNPISLPTKLRSRRKMKLWKSQRDAKISDSTSIDQLNITAQTIDDRQHDLKERHSSCLSWHKLRRWCIFEWFYSAIDFPWFAKCEFVEYLNHVGLGHIPRLTRVEWGVIRSSLGRPRRFSAQFLKEEKQKLNQYRESVRKHYAELRAGTREGLPTDLARPLSVGQRVIAIHPKTREIHDGSVLTVDYSRCRVQFDRPELGVEFVMDIECMPLNPVENMPANLSRHGVTLDKIFGNLNEVKINGLLKEAKIEDYMKSTSNDKLESTEGSVYISPSTHHINKLIKQAKVDLGCSNLQAKFGLSETVGIQQETSSQPSALAQIQAKEADVHALSELSRALDKKEVVVSELKRLNDEVLENQINGDNLLKDSENFKKQYAAVLLQLNEVNEQVSSALYCLRQRNTYQGTSPLMFLKPVHDSGDPCSHSQEPGSHVAEIVGSSRAKAQTMIDEAMQAILALKKGESNLENIEEAIDFVSNRLTVDDLALPTVRSAAADTSNAAPVSQNHFNACTSNTSTASFVVGPKSNGSSDKTEMEIPSELIAHCVATLLMIQKCTERQFPPSDVAQVLDSAVSSLQPCCPQNLPLYAEIQKCMGIIRSQILALIPT >KGN57120 pep chromosome:ASM407v2:3:10759482:10760494:-1 gene:Csa_3G154410 transcript:KGN57120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKSNLLVLVMVFGALGSAPLMAEAQFNIIGSLLKLINIQGTVFCTADGNIGTNATSTPVFPNALVQLQCGNGNIVSTTTTNNGGIFSMFLNPVQFVLSSLLSNCNLVVKTPISDCNATLPSTGFLTSSLQFLGSFVQDGLMQIMKVAPNPFTFIPSP >KGN59654 pep chromosome:ASM407v2:3:33343121:33346954:1 gene:Csa_3G836400 transcript:KGN59654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSIYTILTIGRWESLNHMNYKFASLRPIHGVLALKFLKWVIKQPGLEPNHLTHILGVLQSCITYLLGQQTLTIQLLVGICIGRSKWIKVPNKAASDPSQQ >KGN57471 pep chromosome:ASM407v2:3:13448736:13454911:1 gene:Csa_3G194380 transcript:KGN57471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLEGIFIEPSSTSLPDLSLQISPPNVSSSSSSSSSSSSSSYSSIRTLSHGFSETSFDLLIQRNSQFQNNFSNDSRTQTELSLGTNNNNNNSLFGGATLHPPPTLPHLRGLSMFDVSSDGLRPIKGIPVYHNRPFPFLGVDQKDHHYFHQFPSACFFPNYSNTNGNNGGGGVNQMGYHHRGGGGVGGNNNNCSARFNNGVVCVEAINKCLNNNSNSNSNNAASCCCSSSSSDVCSSHGMMMMRSRFLQKLPPKRSMRAPRMRWTTSLHARFVHAVEHLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKTTDKPAASSGQSDGSGEDDVSPTIMGTTRGDHGGSKQFSDQRAPPDRSGQSPPDVEFGCSTLWSNSSRDVWPQTNSNEMDNNVNTPTLSTQQKTMHQIQECDSGAMKRYNSECKKPSLEFRLGRAEWDVKQDH >KGN56786 pep chromosome:ASM407v2:3:8799689:8800777:-1 gene:Csa_3G133370 transcript:KGN56786 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase MEELKLHGTWPSPFSYRVIWALALKGIPYQYIEEDLSNKSPLLLQYNPVHKKIPVLVHAGKPISESMIILEYIEQTWPQNPLLPSDPYERATARFWIKFIDDKGTVVFKIFRAKNEEEREDAKKQSLEMLRTVEEWGFSGGERKFFGGDDIGMADLAFGAIAYWLGMIEQVTGVKLLDVDEFPQVCGWIGRFKEAPVIRDNLPDWNPMMENFRRRREQLLESAKT >KGN59454 pep chromosome:ASM407v2:3:32035468:32040296:-1 gene:Csa_3G821570 transcript:KGN59454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAKKGPKFAVMKKMVTSKAIKNYKEEVLNPKRKDLTKENLPRNVPNVPSALFFKYNTALGPPYRVLVDTNFINFSIQNKLDLEKGMMDCLYAKCTPCITDCVMAELERLGQKYRVALRIAKDPRFERLPCTHKGTYADDCLVERVTQHKCYIVATCDRDLKRRIRKVPGVPIMYITRHQYSIERLPEATIGGAPRM >KGN59077 pep chromosome:ASM407v2:3:29296863:29301265:1 gene:Csa_3G758990 transcript:KGN59077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTDQELSQAIQCLLRDSASPTNPNPFSSLNAVVQELQTKLGLDLSHKLDFIHAQIQFILRSHPQQPHHHQHHHHHHHQQQQQQQLPSSQKDLFALHQSPNFQSAPSPTSSAFHTFSAQPPPAKPDSVVAPTVPGSDPPKESTQTKTKRRGGPGGLNKLCGVSPELQAIVGQPELPRTEIVKQLWAYIRKNNLQDPSNKRKIICNDELRLVFETDCTDMFKMNKLLAKHIIPLEPTKPSSSKKARVENESAVSVKSAEPSICPSVIISEALANFFGVDGREMLQSEVLRRIWEYIKVNHLEDPLNPMAVLCDAKLRELFGCESISALGIPEVLGRHHIFRRS >KGN57317 pep chromosome:ASM407v2:3:12176581:12177439:1 gene:Csa_3G178580 transcript:KGN57317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPFNDSSHDIDDYQIHLQNLFSYQLISSQEGCSRTENLNTNSKIDETTQNEEKKIMHRDLERERRKQMTSLLTNLRSLLPLEFIKGRRSRVDIVDEAVNYIEYLRGRMNELHVKRDAIVKRLHLESSSSCNNDIPSTSCVVIKQYSGGLEIVISNGIISEQNFQLSGVMRVLIEQSIEIETCSSTKLNERMLHTIQTKVDDPTKIDLHELKQKLYQVCNSE >KGN58529 pep chromosome:ASM407v2:3:25938715:25939026:1 gene:Csa_3G664040 transcript:KGN58529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGHWAIWPDLPTSIDFEGVIEDTYGEFFYPFLACPDPLMNTNLSIESSMTSTTLVFSLFILLVVFLSQN >KGN58717 pep chromosome:ASM407v2:3:27354989:27358698:-1 gene:Csa_3G730810 transcript:KGN58717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRQKFIEHTNPFDLISDEIIFSILDLLTSNPIDLKSFSLTCKSFYYVEAKHRKILKPLRSEHLPSVLQRYTQLTHLDFSLSPRVTDASLVIISKACNSKLRSLDLSRSKFFSATGLLSLATNCTNLVEIDLSNATELRDAAAVALAKAKNLEKLWLGRCKLITDMGIGCIAVGCTKLRFISLKWCMSIGDLGVGLIAVKCEQIRGLDLSYMQITEKCLPSILKLKYLEDLVLEGCFGIDDDCLGVIRYGCKSLKKLDVSSCPNISPTGLSSLTRATTSLQQLTLAYGSPVTLALANSLKNLSMLQSVKLDGCVVTYDGLEAIGNCCASLSDLSLSKCVGVTDEGLISILKKHKDLKKLDITCCRKITDVSISNLTNSCTSLTSLKMESCSLVSREGFILIGRGCHLLEELDLTDNEIDNEGLRSLSRCSKLSILKLGICLNLNDEGLGHIGTCCSKLLELDLYRCAGITDSGLLAIIHGCPDLEMINIAYCRDITDKSFSSLRKCSRLKTIEARGCPLITSFGLAEAVAGCKLLRRLDLKKCCNVDDAGMIPLAHFSQNLRQINLSYSSVTDLGLLSLASLGCLQHLTVLHTNRLTPSGVAAALLANSSLTKVKLHALFQALLPERLLKHLEVRGCTFEWREKIFQAELDPKCWKMQLEDEMQIVF >KGN59890 pep chromosome:ASM407v2:3:35249940:35253497:1 gene:Csa_3G851900 transcript:KGN59890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVRFLMKLNNETVSIELKNGTVVHGTITGVDISMNTHLKAVKLTLKGKNPVTMDHLSVRGNNIRYYILPDSLNLETLLVEETPRVKPKKPTAGRPLGRGRGRGRGRGRGRGR >KGN60431 pep chromosome:ASM407v2:3:39293751:39300722:-1 gene:Csa_3G910680 transcript:KGN60431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLLLFNVFSFFTLIIHIFFSLISSHASISSSSSTSLVHNAQFGAMAAMESLIALVNRIQRACTVLGDYGGDSALPTLWEALPSVVVVGGQSSGKSSVLESIVGRDFLPRGSGIVTRRPLVLQLQKVEPGREEYAEFLHLPKKKFTDFSLVRKEIEDETDSLTGRLKQISPVPIHLSIYSPNVVNLTLIDLPGLTKVAVEGQPDSIVQDIETMVRTYIEKPNCIILAITPANQDIATSDAIKLSREVDPTGERTFGVLTKLDLMDKGTNALEVLDGRSYRLQHPWVGVVNRSQADINKNIDMITARRREREFFASSIDYRHLAGTMGSEYLAKLLSKHLESQIKTRMPGIASLINKSIDEIEAELDHLGKPVSIDSGAQLYTILELCRAFDLVFKEHLHGGRPGGDRIYSVFDNQLPHALRRLPFDRYLSLQNVRKVISEADGYQPHLIAPEHGYRRLIEGAVNYFRRPAEASVDAVHFILKELVRRSMAETQELKRFPTLQAEVSRAANEALERFREDSKKTTLRLVDMESSYLTVDFFRKLQQEDEKGGNSPATPSTDRYTEAHFHQIASNISSYIRMVSETLRNTIPKAVVHCQVREAKRSILDYFYVQLGQMEGNQLAALLDEDPDLIERRKQCVKRLELHKSARDEIDSVSWF >KGN59480 pep chromosome:ASM407v2:3:32197145:32199899:-1 gene:Csa_3G822310 transcript:KGN59480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSTTQDVISPPHFKDLAIKLFGRTIPLPESQISAAPLHNPDACNNLKKAEQSVSGAEDSCPSERSSVLVGDNEENQASNVTSNKGESELHLKEEQEDGNGTDQERAFKKPDKIIPCPRCNSLETKFCYFNNYNVNQPRHFCKNCQRYWTAGGTMRNVPIGAGRRRNKQLASQYRQIIVSSEGVATTRLETSDATNHHHLLSNIESPSALRPSNGSSTVLKFGPEAPLCESMETVLSLGDQKRSIEIGSAYCGDSPEEPSSCGSSMTTTSIRGNELPKSVIERPEAVRLSNSSSDITASNTVHCYPVPQLVFPLNQGGSSLISSAMTQSSDSTSVPNTSSHPNPPVQWLPATVLAVPGFCTPSLPLQFVPASCWGCTPVWTSTGTGNLTVVPSDVCASQTSTCPTSSSPTLGKHLRDTNSLAEDEKSEKCVVVPKTLRVDNPSEASRSPIWTTFGIHPYPKENISKGSVFETSETTNADSKGHFRDTPQILEAKTGSFYSLL >KGN57570 pep chromosome:ASM407v2:3:14368200:14368817:-1 gene:Csa_3G214590 transcript:KGN57570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGIRGCSMVGKVVFCLLLLQQLKMGYATIYNVGEELGWTFNVSSWPIGKNFHAGDILAFSYNPSMHNVVVVDKVGYNWCLTHPIEATVHRSGKDQIKLVEGMNYYICSRPGHCQMGMKLAINATS >KGN56216 pep chromosome:ASM407v2:3:5017976:5019407:-1 gene:Csa_3G099770 transcript:KGN56216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKEAKAQAKVRVGIETLWKALVKDLRFIIPKLMPNTVEKIELIHGNGGLGSVFLFHLGRGVKIVKIQKERIVELDETKHEFGLEVMEGILLKRGFSSFKTTFKLFSMKEKETLVEVKVVYGTERDDEDEVHMEEVATKPALSFLQLLEKFLIDSSS >KGN56934 pep chromosome:ASM407v2:3:9624192:9625586:1 gene:Csa_3G144730 transcript:KGN56934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLIYGMWVLTSLLHVIKKTPKATLHACIKLLLHHHLSFSTLFSSSSSSSSSSSSSSSSSSSFSNLSHIKPPLNPLFALPFFTFFTHFLFYSLFFCFVCSSFGTSHCLIGLVWFWFWFWQDDVLNP >KGN59159 pep chromosome:ASM407v2:3:29939898:29942744:1 gene:Csa_3G777670 transcript:KGN59159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAIRKAMAITSNRRVLPSLFPPPSRLVSYRGIATKLFVGGLSYYTTDKGLSEAFSQYGQVIEATVVMDRVSDKSKGFGFVTFASLDEAHTALSEMNGKPLNGRVIFVNYAKPTTSSRGAIPIARGPPEEKTDK >KGN56353 pep chromosome:ASM407v2:3:6375465:6379800:-1 gene:Csa_3G116900 transcript:KGN56353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTWSSGQCFQFQAFQFPLQTHKLLHSLHPHLPPPSSPALISKSHNNNPISSIELPPNALRRKLDPHWRGGFSLGVDLGTSRTGLALSKGFSTRPLTVLELRGQKLEAKLIEIAEQEEADEFIIGLPKSCDGKETPQSNKIRSIAGRVAARAAERGWRVYLYDEHGTTAEAESHMISRGLNKSTRQKKIDAYAAMMVLERYFSTSGQGTELLVPKSLVLQDKLIEGPPTDPDFRD >KGN57176 pep chromosome:ASM407v2:3:11140412:11141215:1 gene:Csa_3G168380 transcript:KGN57176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWQRGVGHEATCNPTPGLVPKKLFHHNYCVPAHLGQLGHPQLAKPLKSPPLNKLRSVPFSLSLSLSLSPCHRSLLYNSVSFLPSLAVTGGLDLICEVKKAVRTGFVVE >KGN58911 pep chromosome:ASM407v2:3:28294070:28296445:1 gene:Csa_3G736590 transcript:KGN58911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYSAYGRLIQHCTDHLFFRVGKQLHARLVLSSVVPDNFLGSKLISFYSKSGSIRDAYNVFGKIPRKNIFSWNALLISYTLHNMHTDLLKLFSSLVNSNSTDVKPDRFTVTCALKALASLFSNSGLAKEVHSFILRRGLEYDIFVVNALITFYSRCDELVLARIMFDRMPERDIVSWNAMLAGYSQGGSYEKCKELFRVMLSSLEVKPNALTAVSVLQACAQSNDLIFGIEVHRFVNESQIKMDVSLWNAVIGLYAKCGSLDYARELFEEMLEKDAITYCSMISGYMVHGFVNQAMDLFREQERPRLPTWNAVISGLVQNNRQEGAVDIFRAMQSHGCRPNTVTLASILPVFSHFSTLKGGKEIHGYAIRNTYDRNIYVATAIIDSYAKCGYLHGAQLVFDQIKGRSLIAWTSIISAYAVHGDANVALSLFYEMLTNGIQPDQVTFTSVLAACAHSGELDEAWKIFNVLLPEYGIQPLVEHYACMVGVLSRAGKLSDAVEFISKMPLEPTAKVWGALLNGASVAGDVELGKYVFDRLFEIEPENTGNYVIMANLYSQSGRWKDADTIRDLMKEVRLKKIPGNSWIETSGGMQRFC >KGN56687 pep chromosome:ASM407v2:3:8233101:8237768:1 gene:Csa_3G128950 transcript:KGN56687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVNVRRLQNSFKVHWSSSLSSSQTLIPKLFNEYCSSSSSDSSTRSFDYIAQFLPSNDGTLKLISVNSVTTNDRRRVTVGLSKAIKLYQGYVLKGLSRNFCPFLLVKIMKLFECRETAYAFFKLAFKDDSEETVRSCCVLAHLLAAEQLRFLAQDIVSWVVARIGPGRSKNLAAFMWEGHRVYESDYSVLDTLMRAFVKSEMHFEALEILSKMREVGVTPNPSAISILFRLLIRAGDCGAVWKLFGDVVRKGPCPNNFTFNLLILEFCRKGWTRIGEALLHVMGKFRCEPDVYSYNIVINANCLKGQSSYALHLLNLMIENGCKPSIATFCTIIDAFCKEGNVELARKYFDEIEDMGLSQNTIVYNIMISGYVKARDISQANLLFEEMRTKDIVPDGITFNTLVAGHYRYGKEEDGNRLLRDLSVSGLLHDSSLCDVTVAGLCWAGRYDEAMKLLENLLGKGIPPSVVAFNSIIAAYGNAGLEERAFYAYGIMVKFGLTPSSSTCSSLLISLVRKGSLDEAWIALYDMIDKGFPVTNMAFTVLLDGYFRIGAVNMAESLWNEMKGRGVFPDAVAFAAFINGLCISGLMTDAYDVFSDMLRKGFVPNNFVYNSLIGGFCKVGKLNEALKLVREMNKRGLLPDIFTVNMIICGLCKQGRMKLAIETFMDMCRMGLSPDIVTYNTLIDGYCKAFDVGGADDLMMKMSDSGWEPDLTTYNIRIHGYCTVRKINRAVMILEELISVGIVPNTVTYNTMINAVCNVILDHAMILTAKLLKMAFVPNTVTVNVLLSQFCKQGMPEKAIFWGQKLSEIHLDFDETTHKLMNRAYRALEEGGEDYYAKDATLPDMMLECQRCAIGILNNDFLEQDHDAQERDIPFTSLPLDGMDKKSQLAAFAPVSLLMIIFYHERTNQRVANVLKYQASVKSVTLKVVRAAEQQLTLFRATRSYSPTKRRMFLEDLSVEI >KGN58527 pep chromosome:ASM407v2:3:25926699:25930399:-1 gene:Csa_3G659030 transcript:KGN58527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFSCCEEDEIQKAADNGGMYAGKQNTGNNGGYHKPEAAPAVTQAVKMQPILVPTIPIEELSEVTDNFGNDALIGEGSYGRVYYGMLKNGQPAAIKKLDASKQPDDEFLAQVSMVSRLKHGNFVQLLGYCVDGSSRILVYEYASNGSLHDILHGRKGVKGAQPGPVLSWAQRVKIAVGAARGLEYLHEKAESHIIHRDIKSSNVLIFDDDVAKIADFDLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLNAKSDVYSFGVVLLELLTGRKPVDPTLPRGQQSLVTWATPKLSEDKVRQCVDARLGGDYPPKAVAKLAAVAALCVQYEADFRPNMSIVVKALQHLLNARPGPSGDASNS >KGN58982 pep chromosome:ASM407v2:3:28701681:28707058:-1 gene:Csa_3G740250 transcript:KGN58982 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multidrug resistance protein 1, 2 MSQYSQEIKTTEQWKWSEMQGLELLSSHPLPPPDPFITNNPTPENTDPEHLRPTQEPQDGVGRAKYREMESTTDSKKENGGGGGGSTSGEKPEAVTAIGFGELFRFADGLDYVLMAIGSVGALVHGCSLPLFLRFFADLVNSFGSYANDVDKMMQEVLKYAFYFLVVGAAIWASSWAEISCWMWTGERQSTKMRIKYLEAALDQDIQYFDTEVRTYDVVFAINTDAVMVPDAISEKLGNFIHYMATFVSGFVVGFTAVWQLALVTLAVVPLIAVIGGIYTTTIAKLSAKTQDALSEAGNIVEQTIVQIRVVFAFVGESRALQRYSAALKISQKIGFKSGFSKGMGLGATYFVVFCCYALLLWYGGYLVRHHATNGGLAIATMFAVMIGGLALGQSAPSMSSFAKAKVAAAKIYRIIDHKPTLNRNNESGLELESVSGLVELKNVDFAYPSRPDVRILNNFSLTVPAGKTIALVGSSGSGKSTVVSLIERFYDPISGEVLLDGRDIKTLKLRWLRQQIGLVSQEPALFATTIKENILLGRPEADQLEVEEAARVANAHSFIIKLPEGYDTQVGERGLQLSGGQKQRIAIARAMLKNPAILLLDEATSALDSESEKLVQEALDRFMIGRTTLVIAHRLSTIRKADLVAVLQQGSVSEIGTHDELFAKGENGVYAKLIRMQEMAHETALSNARKSSARPSSARNSVSSPIIARNSSYGRSPYSRRLSDFSTSDFSLSLDASLPNYRLEKLAFKEQASSFWRLVKMNSPEWLYALLGSIGSVVCGFLSAFFAYVLSAVLSVYYNPDHAFMSREIIKYCYLLIGLSSAALLFNTIQHFFWDIVGENLTKRVREKMLTAILKNEMAWFDQEENESAKIAARLALDANNVRSAIGDRISVIVQNTSLMLVACTAGFVLQWRLSLVLVAVFPVVVAATVLQKMFMTGFSGDLEANHAKATQLAGEAIANVRTVAAFNSEEKIVRLFSTNLEIPLRRCFWKGQIAGSGFGVAQFSLYASYALGLWYASWLVKHGLSDFSKAIRVFMVLMVSANGAAETLTLAPDFIKGGRAMRSVFALLDRKTEIEPDDPNVTQVPDKLRGEVELKHVDFSYPTRPDIPVFGN >KGN59372 pep chromosome:ASM407v2:3:31449876:31452287:-1 gene:Csa_3G814390 transcript:KGN59372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACCIYEQAENILIELKKFPMYLRRMQYTMLSLKTILKDAEKEEYRHCLNDWLQKLQSVFLQIEELLYESNREVKKQEATGKWVFLPSFNFSQIDQTKKMMKLCDDLDEIASHMYGFNLTNMETTHSFLSATEVSTRLMKPSWQLLYSLTNAPKVFQDKRYHNFLDHFKKSTHGLFHIVGEPGIGKTTLAKFFYNNLVNTFPSRLWICVKEEFDPQRLIKEMLSFSHCQVTCDNLTEKQLCFAVQQFLRDKKFLIVFQDISIKNLGNCSIFKSLLGMGNRGSKIIVTTQNEKIADAVGLKKLYKNESQVVPSPEATKPSDVNKDNMKHQTIFKVERLSKENSLSLFKVHAFTETQEAQIPNLTKIQEVIEQKCHGVPLAIKCLGGLLSKTSIAEWNGVIDKLWEHEEEEDGNKSILPTLRLCYDQMPSHLQRCFLYCSQLKKDRILSSNDVIQLWIASDLLPKENYLSLEKIGENYFKELCSRCFLQELEEYGFGYWFKLHPLIEKLARLLTQKQVFEVTKTQSIAFTIRDKVPPSAFLANACIDKFKYLRLLHLGNANLQGIPSAVENLVQLRYLDLQGNKKIKRLPNSIFKLKNLQTLILASCSALKELPNDIRQLTNLRYLWVTANNLRLHKNGVGTMTSLRFLAIGGCQNLQDLFKKPSCLVRLETLMIYDCKTLKSLPNEIGSLISLKNLVIWSCKKLTLTLKGVEFRLQRFTIRELPIVKKLPEWTQRFTETLRVLEIIDCPIEWNDDVLKSYKSLERFSIHGAVRTKNQIGGYNIDYRNFVRSRKVKKEVKTCVYY >KGN58899 pep chromosome:ASM407v2:3:28228519:28230043:1 gene:Csa_3G734990 transcript:KGN58899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTWRHKLPTRRHFLLSQIGISVHPLAAHLSQSFTNLNDLFLFYYATHIPYLLFFPISRSADFRTVPDFLNLRLRGVLHLLVVEFEGDVFEKSVVSFSFFREEISVKEKSTEMNLFVCLFVPVLLLNVLRWDNVNPFVCNIKSRVFFFIKSSLSVIIFLDVTEARESKLLGKVIAMQVRARFSNLISS >KGN60176 pep chromosome:ASM407v2:3:37390151:37391803:-1 gene:Csa_3G881890 transcript:KGN60176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASDTLFDVIVVGAGVMGSSTAYHLAKTGNRVLILEQFDFLHHRGSSHGESRTIRATYPEDYYYGLVMESYELWRMAEEEIGYKVYFPTEQLDIGSPDDKSLTAVVDTCRKHSIPHLVLDSGELREKYSGRVEIPADWVGVWSKYGGVIKPTKAVSMYQTLAYKNGAVMKDNAEVVEIKRDESNGRIVVSIANGESFRGKKCVVTVGAWSKKLVKSVGGIELPIRPLEVSVSYWRIKEGFEAEYAIGGGFPTIASYGEPYVYGTPSLEFPGLIKVAIHGGHECNPDKRSWGKGGRLPIAALKEWIDEKFGGRVDSSGPVSTQSCMYSMTPDGDFVIDFLGGEFEKDVVIGGGFSGHGFKMSPTIGRILAELALDGAAEGVELKYFKLARFEENPKGNVKSFADQVKLH >KGN55750 pep chromosome:ASM407v2:3:1116299:1117050:1 gene:Csa_3G010050 transcript:KGN55750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISRVLCIGFLLFVGLGLASATRTLLDYDPRARRYDYDRPTPRVGYDPSHRDQSYDNVYGGSSSRGYGAGDSALGAKEVEKKGVGTVDMLSKIPSQRRIENSFSSNEPNIILC >KGN59759 pep chromosome:ASM407v2:3:34078394:34089448:1 gene:Csa_3G843780 transcript:KGN59759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFQMPSHGIQSMLKEGHKHFSGLDEAVLKNIDACKQLSTITRTSLGPNGMNKMVINHLDKLFVTNDAATIVNELEVQHPAAKLLVLAGKAQQEEIGDGANLTISFAGELLQNAEELIRMGLHPSEIISGYTKGINKTIEVLKELVEKGSENMDVRNKEEVVSRMKAAVASKQFGQEDFICSLVADACIQVCPKNPENFNVDNVRVAKLLGGGLHNSSVVRGMVLKSDAVGSIKRIEKAKVAVFAGGVDTSATETKGTVLIHTAEELQNYAKTEEAKVEELIKAVADSGAKVIVSGAAVGEMALHFCERYKLMVLKISSKFELRRFCRTTGAVAMLKLSPPNPDDLGHVDSVSVEEIGGVRVTVVKNEEGGNSISTVVLRGSTDSILDDLERAVDDGVNTYKAMAKDSRTVPGAAATEIELARRVKEFSFKETGLDQYAIAKFAESFEMVPKTLSENAGLNAMEIISSLYAEHASGNTRVGIDLEEGICKDVSTMNIWDLYITKFFALKYAADAVCTVLRVDQIIMSKPAGGPRRGDQPAGMDED >KGN58354 pep chromosome:ASM407v2:3:24391614:24398122:1 gene:Csa_3G627650 transcript:KGN58354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRFRRLKEISRSIPQIYSEFYHQHHRRYGVSSLALSVAPFRVSERIGRRLFYNGRYFTRFSTTTELQCESSPTSDIFSFIKSTLDESEGPNHYWLNTSNENKVIFEEDGKYLILANQFLEMTSSDSVVLVENVKFLQQRFPHLHVIGFQCSSTLSVAEKSDMIQFIMREYISFPILLSNKIFEVAGCYIISKDLSNPLLVSERGMDLSILRKAIEELHEPENEKSGLSNMGKTTYLKQAEMIKEPNSCSFMHNFLLHYPGCISADEEGGRLFLSDSNHNRIVIFNSYGKILDMIGSYPGFEDGEFELVKLARPAASFYHSTQNCLYFVDSEVCASSLQYFYVNF >KGN58553 pep chromosome:ASM407v2:3:26162069:26163269:1 gene:Csa_3G681660 transcript:KGN58553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKGLFMLMLPNFLILTPLADWIDAPDSVLPIIQELLEAQYRIWIYSGDTDGRIPITSTRYSIKKMGLRVEEEWRAWFLRHQVAGWVETYQEGLTLATIRGAGHQAPVFAPQQSLALLVYFLAGNRLPVTPKI >KGN56538 pep chromosome:ASM407v2:3:7363795:7365432:-1 gene:Csa_3G122620 transcript:KGN56538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFTGTLDKCKACDKTVYVVELLSLEGNPYHKSCLKCSHCKGTLSMSSYSWMDGVLYCKTHFEQLFKESGNFSKNFQNAKPSEKQNDLSRAPSKLSSMFSGTQDKCAACSKTVYPLEKVTLEGECYHKNCFRCAHGGCHLTHSSYAALDGVLYCKHHFAQLFMIKGNYNHVLEAAANKKAPTPPPEPAEEEEGATEAQPQADEAPVEQT >KGN56974 pep chromosome:ASM407v2:3:9859843:9860201:-1 gene:Csa_3G146590 transcript:KGN56974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLFLIKFPNPTPVSATSFCENYHEKMRAEGLSLDRFCFPPLLKAASRNLSLRTGMEIHGLASKLGFGSDPFVETGLVRMYAASGRIMEAGLVFDKMSHKDVVTTD >KGN60417 pep chromosome:ASM407v2:3:39192024:39193398:-1 gene:Csa_3G904060 transcript:KGN60417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSVCVIIGSVLGVYFFVRKLNEVWYLVKLGRRVYKSLPSGDLGWPLIGSSFSFYKAFKAGGDPDSFIHHLISRNGRVGMYKSHLYGRPTIIVTDPEICRRIYLDDQKFKPNYPKSVKLLEVNGLFSRIDHKTFYRIMASPLNGYEILSKYVSFIEEIMSKGLEEWSTMKEPIELLSEIGSLLFKVIIRIFLGNEIPTPTLNKLEALYKHLGPVILSILPYDVPGLTYHHALKGTPVDDFNV >KGN58166 pep chromosome:ASM407v2:3:22291649:22291882:-1 gene:Csa_3G568330 transcript:KGN58166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKRFTVRKRFVVEVVHCGSRSLWKSFAAWQPFAGWKSFVAWKSFAAFVVRRSPLCVVWKSSVEMRVEFQRGDVRDY >KGN58985 pep chromosome:ASM407v2:3:28723417:28723710:-1 gene:Csa_3G740770 transcript:KGN58985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDDGRVRIWEKKEKGVGGKRGKGGGKVGRRRKRKRRKEKGRPWMRALRNEKNGELGIGVERMEECEEGGEESEDEKWQPTEGENRKGEALEATKDT >KGN57642 pep chromosome:ASM407v2:3:15249010:15251503:-1 gene:Csa_3G236590 transcript:KGN57642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRKSRAKPPPKKRMDKLDTVFSCPFCNHGTSVECRIDMKNLIGEASCRICQESFSTTITALSEAIDIYSEWIDECERVNNLEDDAA >KGN55903 pep chromosome:ASM407v2:3:2479400:2482405:-1 gene:Csa_3G035320 transcript:KGN55903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGTFASSSLRAAFSHCVQQVRSYDYHHYLCLLELPPSMRKAAFALRAFNVETSRAMDVATDPRIGLMRLVWWQEAIDKIYASKKIEHPIAQALSSVISENKISKLWLKRSVEARINDARREDTDIPTAIDELEKYAEDTASTLLYMTLQAGGIRSTAADHAASHIGKASGLLLLLKSLPYHATRNRHFSYIPVKVAENHGLLAKEGVRFEIRLDSRESLCDAVFEMASVANAHLQKARDLAGTVPREALPVLLQAVPAQVLLDSLRKVQFDVFDSRLQRGVLGVSPLWFQMRLKWSSWRGKY >KGN56593 pep chromosome:ASM407v2:3:7669861:7671153:-1 gene:Csa_3G126090 transcript:KGN56593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRRSGGSCTKGKGGGSNGSGGPCGACKFLRRKCMPECIFAPYFDSEQGSTHFAAVHKVFGASNVSKMLLHIPEHKRLDAAITICYEAQARVREPVLGCVSHIFALQQQAARLQIEISYLQAYLATSELPRSAPHLQAQPPALVKKPTLSSIISLPSDCPSFPAESDLSLLLDPVEQVSQEKLQSPLESYQIGSNNFPSMGSIDQQVVQKQLSSMQRIGASLFPNIPKRA >KGN57094 pep chromosome:ASM407v2:3:10621474:10622319:-1 gene:Csa_3G153190 transcript:KGN57094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKRLVLKFNSTNSQFSLKNNSFPGPEELRTTLSATPLLNTLQLNPINAHRIFCLRASVFQSLATELLISALSNLSFLVIQQ >KGN55929 pep chromosome:ASM407v2:3:2638707:2641539:-1 gene:Csa_3G036550 transcript:KGN55929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTSPLLYSFSIPKSPLPISTDFAPTFQLPTGVSFRRPISRLGFPSKTMVVLLGTGFTLALLGPESASAATELSSSLLFNEPQNALSLPTWAIHVSSVVEWITAMALVWQYGEKSGNESWKGLSWGMVPLLGGAFCACTWHFFYNSESLEVLVAIQAMLTAIGNATMCIAAFRIYKSSQERSKNL >KGN59929 pep chromosome:ASM407v2:3:35606514:35607161:-1 gene:Csa_3G854250 transcript:KGN59929 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin MAISSIAVIPFKWFTKTNFKLNFPLLHSKHKPTSSKPSTSGPGPRPLAEVAFRHLDVDGDGKISCNELQSYFASIGEYMTWNDARSVIGDFDRDGDELLELGDFERMVKGEEEEEEDLKRAFEMFEGEKGCGFIEATGLQKMFGRLGYVKSKEECMAMIKVFDVDGDGVIDYHEFLRMMT >KGN59753 pep chromosome:ASM407v2:3:34039026:34039391:1 gene:Csa_3G842990 transcript:KGN59753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGASNKISSPSSTLPFKSNKNVSSNSTSLLKDPQSLSSKTPEKPAERTRKRKKVALSIEEVERAAQSVHESNSQLLPHDLTTEGSKVVRRRMDSRSNESQSSSSDSTCVDNKYSNKLPEK >KGN55756 pep chromosome:ASM407v2:3:1140697:1144638:-1 gene:Csa_3G011100 transcript:KGN55756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATHLVDSQELDPFLVPPLGIEAGSWHSTTTEDALTQQSLLHSSSCRNGNFSFSPMDASANQSWGSSSINPTGSSPFSTYSSDSDGLDALPPLPGSSLEWSTSEEAFPENSVSAQETESLSAGAGLANLGNTCFINAILQCLTHTAPFVEGLRSANHDMPCNSEGFCVFCAIRDHIELSLTSLGGIISPVKLVDNLNYFSSFFWRYQQEDAHEFMQCFLDKLERCMVSEGKDRVSSEDDDLVNKVFGGRLISNLCCCNCGHCSETHEPLVDLSLEIENVDSLSSALESFTKVERIGDPETKFKCENCKEEVWVEKQLKLEKIPLVTTFHLKRFKADSSFVEKIEKHLEFPLELDLKPYTLGGKDAEVELKYELYAIVEHTGFSSTSGHYFSFVRSSPDTWHRMDDSLVTSVTEEYVLSREAYILLYKRKGTSWFSSLIQRSDPCLNSDSSNPSPKSVLQNIGTGCSSFAAESKVNHESANAPADTLQPSPARFSCEMENQDTGVSDLENATSANLNIVESATMKSSPIVTKDLCLNDEDESIDGFHPLSPVRSPSPDNVFRTPEPQYRIPVDHLKTEKCSTSGSPLNKGVEDSERKAAMRYVKKNMPGSRGSKMIAAILGSQSEGSSLNKKKRLRSLPCKRSSPPNTRRKTSIVL >KGN58856 pep chromosome:ASM407v2:3:28002533:28004343:1 gene:Csa_3G734090 transcript:KGN58856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHDDASGPNPSFVPRLRRSGRNGEDRSSFNRIIVLRDPSNSGVVSNNGIGGGGNYEIFCDDGTGASLRPLPSNVSEFLMGSGFDRLLNQLAQLEVNGVSPLENPPASKAAIESLPVVKILANHVRVESHCAVCKEPFELDSEAREMPCKHIYHLDCILPWLSIRNSCPVCRHQLPTDVHSSGRNSPASAEEVVGLTIWRLPGRGFAVGRFTWGRGAAEHDLPVVYTEIDGGFSTTSGIPRRITWESSGRRSSESGGFRSVFRNLFSFFGRFRSSSRHSGSGPGSVRRSFSARLFNRHSRRNNQPIASDINYTFSA >KGN56659 pep chromosome:ASM407v2:3:8056074:8058055:1 gene:Csa_3G127210 transcript:KGN56659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEKKLSNPMRDIKVQKLVLNISVGESGDRLTRAAKVLEQLSGQSPVFSKARYTVRSFGIRRNEKIACYVTVRGEKAMQLLESGLKVKEYELLRRNFSDTGCFGFGIQEHIDLGIKYDPSTGIYGMDFFVVLERPGYRVGRRRRCKSRVGIQHRVTKEDAMKWFQVKYEGVILNKSQQITG >KGN56678 pep chromosome:ASM407v2:3:8201524:8205396:1 gene:Csa_3G128880 transcript:KGN56678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYLRTAMDSAFWDLNISSPQTLAGTAKAVPGEPFPLDGARASRTLRIQQLSFLGNGFPLGIIPSYCPTAHKELGSFSLQSLLFMMPSVKWWAGLVGQFRPKKLISSIKAQISAVEQLELSDLKDIASLFLDKSLYTYGICSQFSTGPFSSVYVSTEKLGERKGHRHKAMFYHRLPEHDINVDAAWPELFIDHKGQYWDVPESISLDLSSLKSESGLRYRVGLHKNGGVPRALNSTNSDDPPLTLLPGLCAKAAFSIEKNRDLWRDNLSEEEMTINYIRTGLKKEPAYDVRLDEPHAAISGIIGGTVSSWFGGSDTVGSNGDGNLTMGHKKRSPLNADLFGSICYTYQHGKFLNDFNDLTRIDARLSISSASGFAKRVFHVFKKSVDDLERSKSSPRLNLIFQQQVAGPIVFRLESKLLLDSASGKIGPHVEDTICSLTYSFLDLESAKAVFWYSPKRKEGMVELRLYEF >KGN57049 pep chromosome:ASM407v2:3:10270060:10270788:1 gene:Csa_3G150780 transcript:KGN57049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSKQKTLTGAGDLIRILPTGTVFLFQFLSPSLTNSGHCNSFNKSLSLIFILFCGLSCFLSSFTDTYIGHDGAIHLAFATPSGLWPAPAPASVDMANYKLQLGDFVHATLSVAVFSVLVVLDSDTVRCFFPSLVAEDKLLVQVLPPVVGAVSSAVFVMFPNTRHGFGYHSTATSIGVQKAALS >KGN57784 pep chromosome:ASM407v2:3:17101685:17104783:1 gene:Csa_3G300600 transcript:KGN57784 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphomannose isomerase MESDALPTKKQSNVFQLKCSVQTYDWGIRGQDSLVARLFALNSGSLIDPDKPYAEFWMGTHDSGPSFLIPPAVENGGRIDSYSTSLKSWVLENPNVLGDKVVQKWGSDIPFLFKVLSVAKPLSIQAHPDKELAKELHILHPKVYRDANHKPEMALAITDFEALCGFISLEELKDVLHTVPESVEMIGTAATNRLLLLDDEDEEEDLKSALRLAFTRLMSAPTLLISKVISELTRRLHSESEVRQLTAKEVLVLNLEKQYPDDVGVIAAFFLNYVKLSPGEALYLGANEPHAYIKGECIECMATSDNVVRAGLTPKFRDVQTLCAMLTYKQGFPEILQGVPVNPYITKYVPPFDEFEVDRCALPMGTSVLFPASPGPSIFLVAGGKGMMLLNEESLKSDVISEGDVLFVPAETEINLTSEVELLIFRTGVNNKFFDTFMNGK >KGN57195 pep chromosome:ASM407v2:3:11336457:11339897:1 gene:Csa_3G171030 transcript:KGN57195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMRMCRQYAYMCVYTIGIVGFLSIYFMRINLWEGYIFLVPEETCSSLSTNSSNSGASLILVRFSSSSPSFSILKLVRGHQEEPREKTALPPELANNVIRLYRECLRRAKFLGHRQHNTELIVDMVRQQFKKNMHETDPEKIQKMKDDAARGLINHMLYEHERMSGKKFSQSS >KGN56734 pep chromosome:ASM407v2:3:8491791:8494144:-1 gene:Csa_3G130890 transcript:KGN56734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKVGSIFFCCSSSNKYNRLDAKLGRKMVEVKRNSAGHDNFKSLNGIILRFPQFKEGLQNIRGVFEQYDEDSNGSIDREELKKCLQQLQMHMTEEEVEDLFHSCDIDGSAGIQFNEFIVLLCLIYLLKDEHSLTKSKLGSPQLEATFDTIIQAFIFLDKNGDGKLNRKEMVKALNEASPYERSPARITKTRFKEMDWNNSGKVNFREFLFGFINWVGVDTDDDLSPT >KGN57732 pep chromosome:ASM407v2:3:16343102:16350047:-1 gene:Csa_3G270270 transcript:KGN57732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPADRLRPVVKFSGQGIRFDDDDSTQTRPLLSRMLTYPIGIFHHQLRRRLGVGKPQVPRRQQSFSRDFGHAAAETFLITRLSFTLLRSLGVGYRWVVRLTALAVYAILLMPGFLQVMYDYYFSSQVRRDIIYGTQPRNSLDLYLPTNTDKKKPVVIFVSGGAWIIGNKAWGALLGLQLAERDVIVASIDYRNFPQGTISDMVKDVSQGISFVCKNIADYGGDLDRIFLMGQSAGAHISVCALLDQAIKEARKGESVDWSVSQIKAYFGLSGGYNLWKLVDHFDSRGLYRSVFLSIMEGEESLSQFSPEIRIQDPSVSDVVSSLPPFVLFHGTGDYSIPFDASETFVETLRKVGAQADLFLYEGKTHTDLFLQDPFRGGNYELFDQIVAILHAGDEEALAKDSMAPPKPRLVPEVLIRLARMVSPF >KGN58686 pep chromosome:ASM407v2:3:27194839:27198883:1 gene:Csa_3G728030 transcript:KGN58686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFKLFLILVLAAAGVSAVDTAFNDDVLGLIVFKAGLQDPMGKLVTWNEDDETPCNWFGVKCNPKTNRVSELVLDGFSLSGHIGRGLLRLQFLQILSLANNNFTGTINSALSHLGNLQVIDLSDNSLSGPIPEQLFLQCGSIRVLSFARNNLIGNIPQSLTSCFSLELLNFSSNHLSGTLPSGLWYLRELQSLDLSDNLLEGQIPTGIQNLYDLRFVSLHKNRLSGKLPEDIGGCLLLKSLDFSENILSGGLPESMQMLSSCTYLNLRGNFLTGEVPRWIGELKNLDTLDLSANNFSGQLPSSIGNLQFLKKFNVSTNYLTRNLPESMENCNNLLSIDASHNRLTGNLPIWIFKAAMPSVPFSSYRLEENLSSPASFQGLQVLDLSSNIFSGHIPSNVGELGNLQLLNISRNHLVGSIPRSIGELKSAYALDFSDNQLSGSIPAEIGGAISLKELRLEKNFLTGEIPVKIGKCPLLTSLILSHNNLTGSIPAAVANLSNLVDVDLSFNKLSGSLPKELTNLSHLLSFNISHNHLEGELPVGGFFNAISPLSISHNPSLCGAVVNRSCPSVHPKPIVLNPNSSDANGNSPSHNHHHEIILSISSIIAIGAASFILLGVVAVTILNIRARSSQSRSAALVLSVREDFSCSPKTNSDYGKLVMFSGDAEFVVGAQALLNKDCELGRGGFGVVYKTVLRDGYLVAIKKLTVTSLIKSREDFESEVKKLGQIRHHNLVALEGYYWTTSLQLLIYEYVPNGSLYKHLHDRTGDNYCLSWRQRFKIVLGMAKGLAYLHHNNIIHYNLKSTNVLIDSSGKPKVGDYGLAMLLPMLDRCILSSKIQSALGYMAPEFACKTVTITEKCDVYGFGILVLEVVTGKRPVEYMEDDVIVLCDMVRVALDEGTVERCVDERLQLNFRVEEAIPVMKLGLICASQVPSNRPDMNEVVNILELIQSPSEVDEELE >KGN55594 pep chromosome:ASM407v2:3:137078:139462:1 gene:Csa_3G000180 transcript:KGN55594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSVGAFLATDYSNLLPAHARISLNKIVFAVFTPCLMFANLAKTVTFQDIVSWWFMPLNIAFTFLFGGLLGWIVIKLLKPKPYLEGLVMAVSSTGNLGYLLLIIIPAICYENGSPFGNHSTCASLGLSYASFSMALSGFCQWTYTYHLLKTSSLRLNAIEEASGIDHLHTHLVNKQNGLDSIEQIESQETVPTNISSSIWAQTLQILYTIMQESITPPSLGAIVGLSFGAVSWLQNLVVGENAPLRVIQDSVQLLGNGTIPCTLLILGGNLIQGLRSSKVKLRTILGVIGVRYFALPAIGILVVKTADTLGFLAPDPLYHFLLMVQYTTPPAMSISTMTQLFGVGQEECSVIMFWTYLIATLSLALWSALFMWILT >KGN59165 pep chromosome:ASM407v2:3:29991382:29994184:1 gene:Csa_3G778220 transcript:KGN59165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRQNLAAELIPGKIRKRGCSSSASSSSSILHNYRFKRAILVGKRAGSSTPLPSWRLMSSRSRSPASAFRSTESPNYELYQCGSGRSKQAPVSARKLAATLWEMNELPSTRVKESLALDERKSRKEMKAREKTTRSVHSGSLPPHLSDPSHSPVSERGDRSGTGSRCRRTPSMSQRLKLADHGVGVLDSVSNASLMEIESRSRAPTPSASIVGVKTRLKDVSNALTTSKELLKIINRVWGHEDRPSTSMSLISALHAEMERARLQINQLIQEQRYEQSDISYLMRCFAEEKEAWKSKEQEVVEAAIESVAGELEVERKLRRRFESLNKKLGRELAETKSSLLKVVKELESEKRAREIMEQVCDDLANDVGDDKLELGERQRESAKLCDNVKKEREMKRLAAALHEERTHTDASDKYDLEDKNVAVDKLRNQLEAFLGIKRAKEKEFGSNDSNEVKFAAYLSKNGIRSFQSEEKEEGEVVDGVECEEDLAESDLHSIELNMDNNNKSYDWIHSSGIPHDTRRPSVDDELKARKSTSKKGSRKSTSIQRSISDGVEWGNQADNHPISGDHVLDWDRSSVLEKVASGKVYGDHFLGYNSSSKNLRDQILSGSRLGSLKVTASPTRLWEQARPSRDLADPVTERASMVQGSNGLKSRLMEVRGDGLGSRKYK >KGN55817 pep chromosome:ASM407v2:3:1664537:1665570:1 gene:Csa_3G017110 transcript:KGN55817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGIREGRWGLVMVVVAVMAMGVGEVMALTAAECKTERDMAVRECLAVVFGRNPSPACCERARVSHTECICAAVTPKLMTYVDPSRAIRLIQSCGRRVPRHFKCGSFTTP >KGN56000 pep chromosome:ASM407v2:3:3177297:3178179:1 gene:Csa_3G045140 transcript:KGN56000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSITSTPSTSMTRSHYHTHKLFLYTNYILLGAASSCIFLTLSLRLLPSLCGLSLIFLHILTIAAAVSGCAMAAASSTRWFGVHMVFTVLTAIFQGSVAMLVYTRTGDFLSELKSYVREEDGAVILKLAGGLSVVMFVLEWVVLTLAFFLRYYAFVEEGSNNNGAAMRSAKVQQDEDLKDWPWPFQV >KGN59964 pep chromosome:ASM407v2:3:35852545:35857021:-1 gene:Csa_3G857050 transcript:KGN59964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRDDNEEGRDLRKPFLHTGSWYRMGSRQSSMMGSSQGIRDSSISVLACVLIVALGPIQFGFTCGYSSPTQSSIMKDLRLTVPEYSVFGSLSNVGAMVGAISSGQIAEYIGRKGSLMIAAIPNIIGWLAISFAKDSSFLYMGRLLEGFGVGIISYTVPVYIAEIAPQNLRGGLGSVNQLSVTIGILLAYLLGLFVPWRLLAVLGILPCTVLIPGLFFIPESPRWLAKMGMTEEFETSLQVLRGFDADISIEVNEIKRSVATTTKRTTIRFAELKRRRYWFPLMIGIGLLMLQQLTGINAVLFYSSTIFAAAGVESSNVATCGLGAVQVVATAVTTWLVDRAGRRILLIVSTAGMTFSLLIVSVVFFLKDLTSDTSSLYNILSILSVVSVVALVVFFSLGLGAIPWVIMSEILPINIKGLAGSMATLANWFIAWLVTMTANLLLEWSNGGTFAIYMLVSALTMAFVILWVPETKGRTLEEIQFSFR >KGN58464 pep chromosome:ASM407v2:3:25445479:25445972:-1 gene:Csa_3G646510 transcript:KGN58464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLGKLTKLKSAIKRWPSISKLSRATTPTSSSAVSNATLDNDNHNHNHHLHPVFVGRSRRRYLLSSDVVHHPLFQELVDKSSPNSEEQGLVISCEVVLFEHLLWMLENAATQLGSADELVQFYT >KGN59489 pep chromosome:ASM407v2:3:32284508:32286876:-1 gene:Csa_3G822400 transcript:KGN59489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPAVSNKCLKTSEAEEEQLNCGLLHCAHLVLPWLTSLELATISLSCKSLNATSKSITLRRTLDASRSLEKIPIPFHNSIDDRLYAFFIYTPTVIISNQHFQRQCWGSISDPQSVHDESESINLVDNWVDGVFGCDCENCGDFELQCPCLSFDGLEDVASECGPRCSCGLECENRLTQRGISVRLKILRDEKKGWGLYADELIQEGAFICEYAGELLTTEEARRRQKIYDARAKGGRFASSLLVVREHLPSGNACLRMNIDATWIGNVARFINHSCDGGNLVTRLVRGTGVMLPRLCFYASQSISKEEELTFSYGDIRLKHEGLKCFCGSSCCLGTLPSENT >KGN56991 pep chromosome:ASM407v2:3:9928649:9930364:-1 gene:Csa_3G147740 transcript:KGN56991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPIYTVASDSETTGEDRTTSTFPEIAVGIDIGTSQCSIAVWNGSQVELLKNTRNQKIMRSYVTFKDDTPSGGVSNELSHEYDMLSGAAIFNMKRLIGRVDTDPVVHACKSLPFLVQTLDIGVRPFVAALVNNVWRSTTPEEVLAIFIVELKMMAEYQLKRPIRNVVLTIPVSFSRFQLTRVERACAMAGLQVRLMPEPTAVALLYAQQQQQAVHDSMGSGSEKIALIFNMGAGYCDVAVSAIGGGVSQIRALAGSPIGGEDLLQNTMKYLLPNSESIFSHRGIDEIRRMGLLRVATQDAIHKLSFQSSVEINVSLGNGSKLCKVLSREDFEMVNSKVFEKCENLVKQCLHDARLGIEDLSDVVVVGGCSYIPKIRHLLMEISKKKALYEGIDPLEAAVSGAALEGAIASGIGDPFGSLDLLSIQATPLAIGIRADGNSFIPIIPKNTTMPARKELVFTTIQDNQSEALIVVYEGEETRAEENHLLGYFKIIGIPPAPKGVPEITICMDIDSSNMLRVLAGATLPGAQHPATPYMEVKMPTVDDGHGWCAEALHGKYGATMELVTLRKKS >KGN59042 pep chromosome:ASM407v2:3:29041903:29044832:-1 gene:Csa_3G748220 transcript:KGN59042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWATGSFRPFVSRAPESSFGFLYNYNLEQFQGVDVKHSAMAGASETVLQGLVPGMDMNSYGNLEKKKRLSSEQLESLERSFQEEIKLDPDRKQKLSKELGLQPRQIAVWFQNRRARWKAKQLEHLYDTLKQEFDAISREKHKLQEEVMKLKSMLRELQAARNQVSTVYTDLSGEETVESTSVGAGCSSKPRPVAAAAAVAAANHYTTPPEQCNYVFNTEEYNPMSPAFWGSLPSSYHPQ >KGN56540 pep chromosome:ASM407v2:3:7371703:7374410:1 gene:Csa_3G122640 transcript:KGN56540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSSSSSPIPSLIFVSPTIQIKDMSEQNLIGTIQMFNELTGSGAIPDDCDTKAFYSHITNGHVRQLHRERGRLTYLLCVKPAVANVYGFLHGGFVATVAELVSIACARTVVGEDKKLFIGELSISYLSGAPENAEVIVEASVLRSGRSLSVVEVEFKLQKTGKLVYTARFTLYNMPMAKL >KGN56164 pep chromosome:ASM407v2:3:4466453:4468959:1 gene:Csa_3G081890 transcript:KGN56164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVVKKLGSLAEKISEILGQSLGIKSNYFKERCEKGKSSFRMNRYPPCPIASQVYGLIPHTDTDYLTILYQPQISGLQLKKSAKWFPVKPNPRALLLNIGDLFQVVSNDIFRSLKHRVVASEGVERYSFAYFYCPSDDVIIESWLKPSIYKHFSYKEYRQQIEKDVEKTGNKVGLSRFFLHNIALPH >KGN56510 pep chromosome:ASM407v2:3:7204009:7209735:-1 gene:Csa_3G122340 transcript:KGN56510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVSAMQATTPRVGPINYAEASPIMISSSREIVEEPVGAISEDIIYVAVGKDVKECLSVLRYALKSSRGKKICLLHVHVPAQMIPLMGTKFPANSLEKEEVKAYHEFEKQNLPRVMNEYILYCLQEGVHADKLYGEAEYIEKGIVDMISMHRIDKLVMGAAVDKCYSRKMVDLKSKKAIYVRSQAPAFCHIEFICKGNRICTREGMSDEAQVETIISSPQISPDAESSEVTHRRSQSLPLGQVNSREVGSPSSSLRPKRRSLLLDHFRGNILDPSSPDIKNGVHAAKHLDVNEAMDEWGLLTRRSPSERSENSIRSPRGVIDMAPSPFFRVELCANGLEDGKTSDNLYNQCERVMMEAANARREAFLEAIARRKSEKETVNALHRVRAAEGLYAEELKQRKEVEQELAKEKGKLESIKTQLNEEMEKLRIAQDEKASLERDLLESDLTAKELEQKILSAVELLQSYKREREELQIHRDSALREAEELRKNQSTGRDLTQFFTEFPFREIEEATKNFDPSLKIGEGGYGSIFRANLRHTMVAIKILHSDSSQGPSEFQQEVNVLSKMRHPNLVTLIGACPEAWVLIYEYLCNGSLEDRLSCKDNTPPLSWQTRIRIATELCSALMFLHSSKPHSIIHGDLKPANVLLDANFVCKLGDFGICRLLSRDEMLNSETLVWRTDNPKGTFAYMDPEFLSSGELTTKSDVYSFGIILLRLLTGRSAVGIAKEVQYAMGNGKLESILDPLAGDWPFVQAEQLARLALRCCDMNRKSRPDLITDVWRVLGPMRASCGGRLSIQLGSAEHSQPPSYFICPIFQEIMQDPHVAADGYTYEAEAIRGWLDSGHETSPMTNLRLENRNLVPNRALRSAIQEWLHHN >KGN55632 pep chromosome:ASM407v2:3:349401:350243:1 gene:Csa_3G002520 transcript:KGN55632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIYSCTECGTNLNLNSTHLFPPDFYFEAGNKGTLSFSFIDSTKFRLEKEDKLRPFFETLNYWGIQRKRTKLKCNSCGHLVGYVYDDGPPLTDSPGQFHFGPSQVIPRAPRYRFKIKALRITSES >KGN56980 pep chromosome:ASM407v2:3:9879092:9881360:1 gene:Csa_3G146650 transcript:KGN56980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFLSQNSLASVVELAVSVRSSLLGRAAHAQILKTLKTPFPAFLYNHLVNMYAKLDHLNSAKLILELAPCRSVVTWTALIAGSVQNGCFVSALLHFSDMLSDCVRPNDFTFPCVLKASTGLRMDTTGKQLHALAVKEGLINDVFVGCSVFDMYSKLGFLNDAYKVFDEMPHRNLETWNAYISNSVLHGRPEDSVIAFIELLRVGGKPDSITFCAFLNACSDKLGLGPGCQLHGFIIRSGYGQNVSVSNGLIDFYGKCGEVECSEMVFDRMGERNSVSWSSLIAAYVQNNEEEKASCLFLRARKEDIEPTDFMVSSVLCACAGLSEIEFGRSVQALAVKACVEQNIFVASALVDMYGKCGSIDNAEQAFNAMPERNLVSWNALLGGYAHQGHANKAVALLEEMTSAAGIVPSYVSLICALSACSRAGDLKTGMKIFESMKERYGVEPGPEHYACLVDLLGRAGMVECAYDFIKRMPFPPTISIWGALLGACRMHGKPELGKLAAEKLFELDPKDSGNHVVLSNMFAATGRWEEVTVVRNEMKEVGIKKGAGFSWITVDSRIHMFQAKDKSHEKDPEIQDILGKLRKEMQDAAGCIADPNYALFEVSN >KGN57744 pep chromosome:ASM407v2:3:16448716:16451356:1 gene:Csa_3G271370 transcript:KGN57744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIAPSSSSSSSFSPSPNALSMMLSMAVQLQPNVNFCFNTSNFTAQTASKPFKLPFAVRQRTTASSCIPNFHTVFGLQLRRQNFRTQEISASAAPIEAVEAASELLVADDSDSVSSPVKEKLGVVVKPMEKPRLVLKFIWMEKNIGIALDQMIPGHGTIPLSPYYFWPRKDAWEELKVLLESKPWISQKQMIILLNQATDIINLWQQGGGNLA >KGN57970 pep chromosome:ASM407v2:3:19788272:19791154:-1 gene:Csa_3G416140 transcript:KGN57970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSPENPNWLFDYGLIEDIPVPDGNFPVTSSSFSWPIQPFNGSHDSGVEIDGSLADLDGRLESGSKKRVRSDSCSASSSKACREKLRRDRLNDKFLELGSILDPGRPPKTDKAAILVDAVRMVNQLRSETQKLKESNSSLQEKIKELKAEKNEKQRLKADKERLEQQVKSIPAQQPGFLPPPIPTFPAQGQAGNKLVPFIGYHPSVAMWQFMPPAAVDTSQDHVLRPPVA >KGN56026 pep chromosome:ASM407v2:3:3359932:3363380:-1 gene:Csa_3G047870 transcript:KGN56026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGNHAEDVAPQSKLTDDMHDHKNHSSFSIQGEVEENDCPIEEVRLTVPITDDPSEAALTFRTWFLGLVSCCLLAFVNQFFGYRQNQLYISSVSAQIVALPLGKLMATTLPTKVFKLPIFNWSFSLNPGPFTLKEHVLITIFANCGSSSVYAVNIITIVKAFYHRNLHPAAALMLSMTTQMLGYGWAGIFRKFLVDSPYMWWPANLVQVSLFRALHEKEKRPRGGHTRLQFFLLIFISSFSYYLIPSYLFPSISCISIACLIWKDSIVAQQIGSGFYGLGIGSFGIDWSTVAAFLGSPLATPGFAILNILVGFFLIVYVMLPIAYWSNVYEAKRFPFISSHTFDSLGNPYNISRVLNSKTFDLDQVGYESYSKLYLSVFFAFSYGLSFATLTATISHVALFHGKTIWQLWKKTTTAMNQIGDVHTRIMKKNYKEVPQWWFHIILIAMVALALYACEGFDKQLQLPWWGILLACAIALFFTLPIGIIQATTNMQPGLNVITELIIGYIYPGKPLANVAFKTYGYISMAQALSFVGDFKLGHYMKIPPRSMFTVQLVGTVVSSSVYFGTAWWLLTTIPNICDTNVLPEGSPWTCPGDDVFYNASIIWGVVGPLRMFTKYGVYGQMNWFFLIGLLAPLPIWLLSLKFPEKKWIKLINMPIIIGATGGMPPARAVNYITWGIVGIFFNFYVYKKYKGWWARHNYILSAALDAGVAFMGIVIYFTLQSKDIFGPDWWGLGADDHCTLAKCPTAPGVVAKGCPVL >KGN56614 pep chromosome:ASM407v2:3:7806051:7814129:-1 gene:Csa_3G126780 transcript:KGN56614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGSDTAETVTLTCARCGKPSHLQCPKCMDLKLPRENAAFCSQDCFKASWSTHKAVHLKAKLSSIGTGNSGEQNSDLANEGWLYCLKKGQARTPKLPHFDWTGTLRPYPISSKCEVPPHIDRPDWADDGIPKIEPNSDFQHVVEIKTPDQIEKMREVGRISREVLDAAARVIRPGITTDEIDRVVHDATIAAGGYPSPLNYHFFPKSCCTSVNEVICHGIPDARKLEDGDIVNVDVTVCYKGYHGDLNETYFVGEVDEESRRLVQCTYECLEKAIAMVKPGVRFRDVGEVINRHASMSGLSVVRSYCGHGIGELFHCAPNIPHYGRNKAVGVMKAGQTFTIEPMINAGVWRDRMWPDGWTAVTADGKRSAQFEHTLLVTETGVEVLTARLPTSPKVFPWLN >KGN56512 pep chromosome:ASM407v2:3:7212326:7218115:1 gene:Csa_3G122360 transcript:KGN56512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAELENNQAQLHAMEDVNLTDAQLVDFIRGFLSSVSLGDIDGYNQLVGVIHHRDRLSPDEVALLVTCLKALSGAVSCIDIILHESLLAAIFKMSLWDYGSNVMDALIELIISLAVSNGKYVDSCLDMLVNNFMPPNSYMDLLKKPHGLTRKEEVLSRVHTALKDISDLVPLAPLRLEQKVVHKMQRVFFNESLTTIYVENMLRLEKGALSEFVGRKILTALVDKLLDLDVEIGWDDILQDDFSKGIFEMELEDDDDTTDDINEDSSELPRELSRRSLGGNVIAETLDSLIVLTFEHLESCERDGRLNEVFDILLLSFQRTVLTAYKSKFAQFIVFYACSLDPEVCGARFAISLADMFVSCNDPPLIRMSAVSYLASFLSRGKFLTTSLVTTILKRLVDWCLEYGKTLNVDPNPKAHKVFYSGCQAIMYVLCFRMRSILEIPRLKSQLLLMPIGPLLTHRLSPLKVCLPSIVEEFLRQAKVANLFTPSENFIFNGLLESDYSRSFGGLERLDMFFPFDPCLLKKCDRYLRPHFVYWSMVRPTYDEEEEEEEDDGGSSDEDAEIFPDRIDENLMDDEAMAISYDDQDFDLDSGLNKMSITPRNSLQYRCDFVQMPSRIRPSMSPEFF >KGN57398 pep chromosome:ASM407v2:3:12764181:12769375:1 gene:Csa_3G183320 transcript:KGN57398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSKISVSTLPFPPSSPTSTCSRLSPSTSTSSSILYPITPKFHLSLCNFPLGLRLFSPWTGLKHLGISTRGRFPERRKRIPKGKAVFASLFGVGAPEALVIGVVALLVFGPKGLAEVARTLGKTLRAFQPTIRELQDVSREFKTTLEREIGLDEIESSVNSSYNASKSTYSNPPSVSKAEEFVSNSPFLPKAEELSVAEPTDDSSSASKAYSSEEYLKVTEEQLKAQDELQTNFVAQNQSGSQVQREGTVEEPATGAPELQNLDIGKVEESTASAPGPPGTET >KGN59197 pep chromosome:ASM407v2:3:30169684:30169998:1 gene:Csa_3G779015 transcript:KGN59197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELVAGDVEAGELGSGDVELELIGTEYEPKYQDSYTKDDDYGDEELEKGGEKAAGAASAVAVAMVGLCRRRDGRAVKGAVEMGLFVSHIMCIYVLVKKRENDIK >KGN59935 pep chromosome:ASM407v2:3:35658804:35659103:1 gene:Csa_3G855290 transcript:KGN59935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKIGVLVATTTLETLHLPLFESLKKEALLCKPFFCRSGRVVKGQLPTLLGRHQVSPNADACINALVDLHQFLMPLVMALEIIFDLPMWCKSVGSDYLS >KGN56048 pep chromosome:ASM407v2:3:3525527:3526875:-1 gene:Csa_3G061020 transcript:KGN56048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETKDSTAAHIVEIPVEQENHTQNLMISVIQHHPLRQISESSGHLLLLKLWQRDEHLFGLRIGRRETKMESLKQQIFQLCCFFFLFHALSLTLLYTSSDPIVCKKWWVPAVVIGATSGVFVIVVQLKLWMYWKARGQLQKEKTENRALTRCVQELRMKGSCFNLSKEPQIGNRMKSSSVEIKWGPLTWFSRNFITISLLGFSAIIFVTSKFILCGF >KGN59398 pep chromosome:ASM407v2:3:31612559:31616409:1 gene:Csa_3G816110 transcript:KGN59398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVQERSTPKSPKTQIRTLPTLHSHRFSESKSLDFSTWLSDNVYRVVTILLLIVTVAALFFLRNVGDSAALLCFQSQTAALEKIQFPKIDWNSIASIPASSNLYPEFRSEQWIVVSVSNYPSDSLRKLVKMKGWQVLAIGNSLTPADWALKGAIYLSLDEQSKLGFRVVEYLPYDSFVRKTVGYLFAIQHGAKKIFDVDDRGEVIDGDLGKHFDVQLVGEGARQEIILQYSHENPNRTVVNPYIHFGQRSVWPRGLPLENVGELAHEEFYTEIFGGKQFIQQGISNGLPDVDSVFYFTRKSGLEAFDIRFDERAPKVALPQGMMVPINSFNTLYHTSAFWALMLPVSISTMASDVLRGYWGQRLLWEIGGYVVVYPPTIHRYDKIEAYPFSEERDLHVNVGRLVKFLNSWRSSKHRLFEKILELSFVMAEEGFWTEKDVKFTAAWLQDLIAVGYQQPRLMSLELDRPRATIGDGDRKEFVPQKLPSIHLGVEETGTVSYEIGNLIRWRKFFGNVVLIMFCNSPVERTALEWRLLYGRIFKTVIILSETKNADLVVEEGRLDHAYKYLPKVFDTYSGAEGFLFLQDDTILNYWNLLQADKSKLWITDKVPKSWTTVSAESSDWFTKQSNMVKKIVSMMPVHFQVSHKQSVASENSLTICSSEVFYIPRRFVSDFLDLHGLVGDLEIHHKVAIPLFFTAMDSVQNFDPVLSTMNYREKPPATNSSTIYSAHVPAVHPWNVSSEQDFIKLVRIMAEGDPLLAELV >KGN57711 pep chromosome:ASM407v2:3:15995293:15995716:1 gene:Csa_3G258130 transcript:KGN57711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METEQREQIENSPQKRGKGSERGGLNRGGRGLVITITKRMKLEATPLKAFFLQNPREKMKGDMQLQGEREGW >KGN55678 pep chromosome:ASM407v2:3:600151:604757:-1 gene:Csa_3G002970 transcript:KGN55678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTELGSVLNRICCSNHWSYGVFWSFDRRNSMLLTLEDIWYEEQVVLVAANMLQQVHMLGEGVIGTAAFTGKHQWIFSDASNGEWNSSMFQDNLELQQQFSYGVKTVAVIPVHPHGVIQLGSTHKIWESLEILANAKRSLCEVINGGGLTLEKTTCMASSTDIAHYNDLFTSIVLPANSDDWSLSAMHNNSHTDFTRKSYASFDKQPAFDTSSYFSKSSCENSVLTSSELLPASDIREQDAQYPSYSDANVLDFCRNTVEFGNGSSTFASVSSGTGSLHMDNDQQSAQLFSMNEGELTDSINSLPDFCDKHLSEDFTMDLPDISFVDDLFQWFDSSPENGTNGATATLSHNLLHVTGVSTSSSNLVEVNKFVDDSSKVSVVSAQSLITNTSKSSEQDNTIIMQNAKDKLFDSLGLGTGCPVGKTWDSMITDTHGSYSGGCNSMSTCTSKLATGSTDLPRKRLFWELGIEELLDGLSNTSSATKSSVENHHSIGSRRSKMERLSFDSNPIQLLDPCTSMNLTQPSCTVGRFPCKKEAVPKSQVSSWIDDSYSTNIGGSILELSHKSEEPAKICKKRAKPGESNRPRPKDRQQIQDRIKELREIIPSGAKCSIDSLLDRTIKYMLFLQSVTKYADKLKETNKPKLIDQRDGVAVNDKCITERGSGGVTWAFKVGATPTVCPVIVEDLSSPGQMLVEMLCEERGFFLEIADMIRSYGLTILKGVMEIREDKIWGQFVVEVKVNANQSITRINVFLSLMELLQQTNIGGTEAVAN >KGN57948 pep chromosome:ASM407v2:3:19541329:19542643:-1 gene:Csa_3G404000 transcript:KGN57948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLYIYICAGIFGHLSDSSFGRKRSLILVCILNTIFGCLTALSPSYWIYVLLRLLTGVSTAGNALCAFVLSTEPIGPTRRGTAAMSSCYFFSGGIALLSGIAYIFQSWRKLYIVSSIPSLVYLIVVVPFLSESPRWFLVRGKLEKTMKTLTTIANSNKKHIPKGVVLTLDTKSNQTIDNKNNNIIDIMRSPTARTRLIVGVGVNFMNAVVYYGLSLNVVNLKTNLYINVLLNAVVEMPAFAVTTVLLGKMGRRGVGIWTLWFSGVFCLMGSLIENGSSSSNSEGKWGILKMVCGLLGLSGMAGNYNLLGLYVAELFPTVVRNVALGCASQATQMGAILAPFVVVLGGRWPFLVFAICGILGGMLMFLLPETLNRPFYDTLAGLEDGENNNTNNIVASSSSSINVMPISVNNI >KGN57520 pep chromosome:ASM407v2:3:13921354:13922989:-1 gene:Csa_3G203270 transcript:KGN57520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGTGSVAVQPLDLSLHIPYHFRCPISLELMRDPVTVSTGQTYDRSSIESWVATGNTTCPVTRAPLTDFTLIPNHTLRRLIQEWCVANRSYGVERIPTPKQPAEPSLVRSLLAQASSRSSGSSLRISALRRLKGLARDSDKNRSLISSLNAREILLDVVFSNLDSGSDSFSPDLSRESLALLVMLPLTESECVLVASDPQRIGYLSHLLFDSSIEDRINAAALIETVIAGTRASELRTQICGIDELFEGVVEILRDPTAYPRALKVGVKALFALCLVKQTRHKAVSAGAAEIIIDRFPDLEKYDAERALATIELICRIPTGCDAFAAHALTVPLLVKVILKISDRATESAVGALVSLCSASEENRREAVAAGILTQLLLLVQSDCTERVKRKSQVLLKLLRDSWPQESVGNSDDYACSEIVVPFC >KGN59382 pep chromosome:ASM407v2:3:31493503:31497556:1 gene:Csa_3G815460 transcript:KGN59382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPFRGMLYLQRRSLARCYIDQWGIGLRAFSSQGAAAPGSPQPPAPPPPPEKTHFGGLKDEDRIFTNLYGLHDPFLKGAMKRGDWHRTKDLVLKGADWIVNEVKKSGLRGRGGAGFPSGLKWSFMPKVSDGRPSYLVVNADESEPGTCKDREIMRHDPHKLLEGCLIAGVGMRATAAYIYIRGEYVNERKNLEKARKEAYEAGLLGKNACGSGYDFDVHIHYGAGAYICGEETALLESLEGKQGKPRLKPPFPANAGLYGCPTTVTNVETVAVSPTILRRGPEWFASFGRKNNSGTKLFCVSGHVNKPCTVEEEMSIPLKELIERHCGGVRGGWDNLLAVIPGGSSVPLLPKHICDDVLMDYDALKAVQSGLGTAAVIVMDKSTDIVDAIARLSYFYKHESCGQCTPCREGTGWLWMIMERMKVGNAKLEEIDMLQEVTKQIEGHTICALGDAAAWPVQGLIKNFRPELERRIRERAERELIQAAA >KGN60029 pep chromosome:ASM407v2:3:36395141:36397447:1 gene:Csa_3G872110 transcript:KGN60029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLTNSFQDHKKPLSNKRRKKHPNPTPPPSSAQSSWDHIKNLITCKQVEVSRVQEPGKRSPAYSKLGSSCSSICSFRDVVHGNAKVVHRADNSPESSSVGQETRLLTRKSANGSSSRSLTAPTPARTKNGGSGSASYNSSSSRGIQLRKLSGCYECHTIVDPSRLPIPRSSICPCPQCGEVFPKIESLELHQLVRHAVSELGPEDSGRNIVEIIFKSSWLKKDRPICKIERILKVHNTQRTIQRFEDCRDAVKTRALGSTRKNPRCAADGNELLRFHCSALFCDLGSRGSTGLCGSIPACGVCTVIRHGFQSKPGGPPGVRTTASSGRAHDSFDCGDGRRRAMLVCRVIAGRVKRISDDAAATGTTTTTATEEENVVSAAAAAASYDSVSRHSGMYSNLEELVIFNPKAILPCFVVIYEALQT >KGN55922 pep chromosome:ASM407v2:3:2580144:2584381:-1 gene:Csa_3G036480 transcript:KGN55922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPFHSLSAAQASLGRNLTFFETLWFNYSNDKSDYFLFCHNILFLFLIFSFIPLPLIFLELLHATGIHKYKIQPKVRLPFNEIFRCYKDVMRMFFLVVGPLQLVSFPSIKMIGIRTGLPLPSGFEIVSQLVVYFMVEDYTNYWIHRFLHCKWGYEKIHCVHHEYTAPIGFAAPYAHWAEVLILGIPSFLGPAMVPGHMITFWLWIALRQIEAIDTHSGYDFPWSLTKFIPFYGGADHHDYHHYVGGQSHSNFASVFTYCDYIYGTDKGYRYQKKILQKLKEEVKNSEESYYNTAQNVKSD >KGN55933 pep chromosome:ASM407v2:3:2661823:2665202:1 gene:Csa_3G037080 transcript:KGN55933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKVNQLCLSHALLFFILFLFGFCSAVTEKDILLQFKDAVTEDPFNFLRTWVAGEDHCRSFNGVFCNSDGFVERIVLWNSSLAGTLSPSLSGLKFLRTLTLYGNRFTGNIPIEYGAIVTLWKLNLSSNAFSGLVPEFIGDLPSIRFLDLSRNGFTGEIPSAVFKNCFKTRFVSFSHNRFSGRIPSTILNCLSLEGFDFSNNDLSGSIPLQLCDIQRLEYVSVRSNALSGSVQGQFSSCQSLKLVDLSSNMFTGSPPFEVLGFKNITYFNVSYNRFSGGIAEVVSCSNNLEVLDVSGNGLNGEIPLSITKCGSIKILDFESNKLVGKIPAELANLNKLLVLRLGSNSITGTIPAIFGNIELLQVLNLHNLNLVGEIPNDITSCRFLLELDVSGNALEGEIPQTLYNMTYLEILDLHDNHLNGSIPSTLGSLLKLQFLDLSQNLLSGSIPRTLENLTLLHHFNVSFNNLSGTIPSVNTIQNFGPSAFSNNPFLCGAPLDPCSAGNTPGTTSISKKPKVLSLSAIIAIIAAVVILVGVCVISILNLMARTRKARSTEIIESTPLGSTDSGVIIGKLVLFSKTLPSKYEDWEAGTKALLDKECIIGGGSIGTVYRTSFEGGISIAVKKLETLGRIRSQDEFETEIGRLGNIKHPNLVAFQGYYWSSSMQLILSEFVTNGNLYDNLHSLNYPGTSTGIGNAELHWSRRYKIAIGTARALAYLHHDCRPPILHLNIKSTNILLDENYEGKLSDYGLGKLLPVLDNYILTKYHSAVGYVAPELAQSLRASEKCDVYSFGVILLELVTGRKPVESPRANQVVILCEYVRELLESGSASDCFDRNLRGIAENELIQVMKLGLICTSEIPSKRPSMAEVVQVLESIRNGLGS >KGN55593 pep chromosome:ASM407v2:3:130800:134591:1 gene:Csa_3G000170 transcript:KGN55593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLSLLEVASMPNIQLLLISLLGAFLATDYCNILPPHATKSLNKIVFAVFTPCLMFANLSKTVTFQDIISLWFMPVNIGFTFLFGGMLGWTIVKILKPKPYLEGLIVASSATGNLGNLLLIIIPAICGDEGNPFGDRETCTSRGLSYASFSMALGGFYIWTYSYHVVKTSSLRFKQLEVPHDDSQLHTHLLPQKPDQGQPQDSYLPSTNNNTLKSDQIESQLLLEDGGSVVPISEKQYSDDVISSKGSRLLILWGKLQHLLRSIVKELMEPPTLGAIVGFIFGAVTWLRHLVIGESAPLRVVQDAVKLLGDGTIPSTTLILGANLRQGIQSSQTSVQPVIILALILSRYVVLPAIGIAIVKAAMWLGFLPPDPMYHFLLMVQYTLPPAMSIGIMTELFGVGQQECSVIMFWTYSAALLALALWYTLFMWILST >KGN55983 pep chromosome:ASM407v2:3:3064486:3071546:-1 gene:Csa_3G044480 transcript:KGN55983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSIENPPPDPPYQQLKTNKDERPSQNFPLPEEDLSNAATAAAAVLDHSTFSNFSLRDYVFDSRGKDIRNNWPFSLKSLQLCLKHGVKDLLPPLQSPNCVRNQRLVELGGGSSTSEFRDTSVFHEEFSGPKEHVELDTSDAKLDQKQVSTCIESSSCRCEGENGFSSTMTSISQPQKELVSTSGPSSSSLKPDHLLETPVVVQPSGFPASEKNGSKIKTPGKRCKIIRKSTNHGEQTSAADIAMSFSTLSESMASKICPVCKTFSSSSNTTLNAHIDQCLSIASTPKCTSDSKLTRLRIKPRKTKLMVDIYATARTCTLEELDRRNGTAWASLSGLPAQDIENCQINGGKKQKVMPDHPDEDDIGNNAGAVYIDANGTKLRILSKFNSPPSNLPKVQNDLGSKKLGGLKGRKFHSVKKKKYHASKHHKHFKLAAQGSKVPPQKCISQVQEGENQWKGCSSLEAHKITKQAKPHDSGTLRQWACSKRTRASKSSRKEGYQPSTFKWHLSHETVVDTDRSVLADSFIERSQVRDQTNFSEHCVSSPESSERTDNSEYEAHISDKRGWSLVRRNLRSSFSGEMVDSGSPTQTKKTTNHLSKGSGYVDNNYMVNSQNTNGKIIKDYQPSDFPPGFNKISRNYHANGVKTRNLNSSRRKEIHVSGRSSTGSKSPQFNQFSTYEKPDEHFGSHVDEEIIAWHSSFDHSHSSSDESIESDQSAKEEVTEVASPKVSIELKNRSNREAMSKAMALMSSSDSEPEYDGRHKDKNMDSHVRMGAEFQEKIKRLELGSKENSFHEDVSVDSSSKLAPKEGFMCFCKSMDPQFQKTNNNVTRCGMLQSSQNCSCSFYGSDGTKGGLSESSFGHGQEMFFADEDCSAMMGHDAQRELDSEARQGSSCFEVDPISIPGPPGSFLPSPPRDMRSEEYRGNSSLSNSWVHSCQDQHDLIDGDSSGSPISATSTISNSTASRSCFKHNNSSGVSSDIFHEKLGSVSSKAGALPSVENDVGLTHVVCTDDGRINGDKFKVSKLSVERGTPGAVNDGQPCRCQRVDRVSQGINVTYQEPQLTRQQMSTLETMPTIDRKQITYSLNVRPNNLDIMPEGPALSNGRQATPENMGFPVNKSPFKSYPIDGFSDSGPRFSSNCEPASPVTSNPVLRLMGKNLMVVNKDEEDVAMPVKKTQPHPQQQPQHHHVSSQVPSFSSGSMQNVRNQASGSFPHWPHQDSLKDQNAGNVLGQYLDVRLSKGFRNPGNLNMPLSHGREQTTLFLKQQTDGGHTASQAYERDYTNEALNRPERKLSEASMYNTSRALKMPDHQQMNSLSTTNAIKEINAMGDTSYCEARFIANDPKYPGGMRTTLQIIAPAVSIPFSSSGNPLHVNAFCYQPKDALNLDKPAPIHNSSFQSTPSRKDRASPVKWDCNSEPPYVCRRGVF >KGN58605 pep chromosome:ASM407v2:3:26527872:26528414:1 gene:Csa_3G698220 transcript:KGN58605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFGIEPVSSFRPSNARLNICNFPKSDGISPVIRLFLRSNCVRLPIFTIDAGILPEIAFSPRLSRVRRFKFPISEGISPLILFPTRSITRRLLSFVKHFGISPDIPFQSATTRIVRLSSLQISGEIEPVIYPVLPAFSKIGSSDSPRRVMSVTLRVSGSQLTPYQPPQQEFPVHELKIPK >KGN58903 pep chromosome:ASM407v2:3:28251892:28253920:1 gene:Csa_3G735030 transcript:KGN58903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRKGMRSLLFQFSSPSNNSISRYSISSPSRTPALTPRRSFNDAMIEQAVESAAAIVMKWNPDSSTYAKVTSMFYEDKREAMQFIKRVNDLQKAMHLMASDDSVSSSDRLVYAQGLMEIAMKRLQKEFYQILSMNRAHLDPESVSTRSSRCSTRSSTSVDFDEDGTLDDEIQVVEDSISEVEQVSFIVMEDLRAIAECMISSGYAKECVNMYKVIRKSIIDEGVYRLGLEKLSASRINKMDWEVLDLKIKNWLDAIKLAIRTLFVGERILCDHVFSSSESIRESCFADISREGALLLFGFPELVAKSKKSPEKMFRVLDMYSSIAENWPDVESIFSSESSSVVRSQALTSLTKLGELVRAIVMDLEYSIQKNSSKSPVAGGGVHSLTLLSMNYLTFLADYCNSLTDIFADWSPPEKSSLEHIFFSSTSETDDSQSSSGISLRMGWLILVLLCKLDNKAKRYKDVSLSYLFLANNLEHIVSKVRSSNLQYLLGDEWMAKQEVKVRQFAAKYEALAWGRVFDSLPENPTEKFSQEEAKEIFRNFNMAFQETHRKQKSCVIPDPKLRDEVKLSIGRKLVWFYGEFYRAQKAYGGANEKPYIRFSPEDIGNYLSDLYFEPSDWASVSTSSPSSTSSSHRREPGPR >KGN60443 pep chromosome:ASM407v2:3:39410399:39418952:1 gene:Csa_3G911780 transcript:KGN60443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEDQVAYLLQRYLGNYVRGLNKEALKISVWQGDVELTNMQLKPEALNALKLPVKVKAGFLGSVKIKVPWSRLGQDPVIVYLDRIFLLAEPATEVEGHSEDGIQEVKKTRVREMEMKLLERMQRTKTEMNNSWLGSLVSTIIGNLKLSISNIHIRYEDIESNPGHPFAAGVSLEKLSAVTVDDDGKETFITGGALDRIQKFVELNQLAVYLDCDISPWYLDKPWEDLHPSEWDKVFRFGTKNGKPAEGLTKKHGYILQPVSGYARYTKLRENDLADSHEPLQKASVYLDDVTLCLSKNGYRDILKLIDNFAAFNQRLKYAHYRPRVSVKTDPSSWWKYAFNSISDQLKKGR >KGN56074 pep chromosome:ASM407v2:3:3707985:3708398:1 gene:Csa_3G064230 transcript:KGN56074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACKLFFLMLLGVFFCATGARKLAHDPLKDEKFFHGGGLGGGGGGGLGGGGGAGGGFGGGSGFGGGSGFGGGAGGGYGGGGGLGGGSGGGFGSGGGFGGGYGSGGGGGFGGGSGGGGGLGGGYGGGVGSGGGFGEFP >KGN58993 pep chromosome:ASM407v2:3:28758058:28760386:1 gene:Csa_3G741830 transcript:KGN58993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCLACLLPLFLIPVVNALPVLFYLIMGKIYGLFGWEYRKPQVVPPACPYRPAAKQNSNVELEPLAGQQHPPPKPVDAMDDKQD >KGN57452 pep chromosome:ASM407v2:3:13182420:13182743:1 gene:Csa_3G187265 transcript:KGN57452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLLPQSLPLKPWSTSIFDAAFHLRASFFRRWHLFLCHPELLHHRLSTQSPSPSCRSVFNSHGSPWQGCLPVDFSLRRHHQPHLLHSDQIEKHISFVYGQLFPGEKW >KGN59928 pep chromosome:ASM407v2:3:35600263:35602796:-1 gene:Csa_3G854240 transcript:KGN59928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSISSLAFSLSSLSFSSQVSHKPSALSFPRSKSLLISPSSSTSSLVVTASAAAVVETADLKTFVKSSLPGGFAAQTLFGTGRRKSAVARVVLREGTGKVIINYREAKEYLQGNPLWLQYVKIPLVTLGYESSYDVFVKAEGGGLSGQAQAISLGIARALLKVSDDHRSPLKKEGLLTRDARVVERKKAGLKKARKAPQFSKR >KGN59530 pep chromosome:ASM407v2:3:32526893:32529725:1 gene:Csa_3G824750 transcript:KGN59530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCRIVSRSSLFLLQSCSPRRTQRIFSSVFLPSLHLPSFSLFFTNRFSRSNPNAVSTRCFASISAATSPLEVEDIVADVEPPEVRTQDTVEHLLTHSNDVARLMKMERRSVELDGAEYPHKGRWFPYLDKYSCGSSWLNSGEILEALDSLILDSRKERFKNVVRNRSYSVCLVVEGLTDFGNVSAAFRSADALGFQSVHVVSCDASKRYRENRHVSMGAEKWLDIELWNSTPECFKILKSRGYRIVSTHLGANAVSVYDMDWSCPTAIVVGNESRGISNEALELSDLHCSIPMNGMVDSFNVSVAAGILMHHAVCNRTSRLGCHGDLTSEERQILLAEFSLRHSKSAISIANELAKRKGSAISSTEVLL >KGN55990 pep chromosome:ASM407v2:3:3114185:3115648:-1 gene:Csa_3G044550 transcript:KGN55990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIILLKGGENELLAIRTLRNLSLSLSFSFSSPGIAFFLPPPPERLLISTLCTSLHTVLELQLYYVFSSADRFLEFEICFLVGPRVRNCAIGSVLDLEIHCWDGLCE >KGN59678 pep chromosome:ASM407v2:3:33483178:33487183:-1 gene:Csa_3G837590 transcript:KGN59678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIASKA >KGN56241 pep chromosome:ASM407v2:3:5268394:5268829:-1 gene:Csa_3G104940 transcript:KGN56241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLQPGERNRQLQMAMEKLTKPSAYYNCEENDESPSTLSECTICLEDLGERVSCRVFPNCKHIFHTHCIINWLEINQTCPISQPINARTFNLGYKFMLN >KGN59522 pep chromosome:ASM407v2:3:32491660:32492702:-1 gene:Csa_3G824190 transcript:KGN59522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKCKQWIISILLLVGVILCSRIECSKESDRILRLPGQPSSSTVNFQQFSGYITVDDYQNRALFYYFVEAYTDPSSKPLLLWLDGGPGCSSLGVGAFVEHGPFRPEGDVLIHNRFSWNNVANILYVESPAGVGFSFSENITFYTTVNDTITAQDNLVFLERWFKKFPEYKNRDFFISGESYAGHYVPQLATLILQSKLSIFNLKAIAVRKFILK >KGN59509 pep chromosome:ASM407v2:3:32424336:32428707:1 gene:Csa_3G823080 transcript:KGN59509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDLDSHHHSQSEEDCRNADDGASSLDKSPARQQKSEFSLGIISSSSSSSSSSSSSDESTPSSILDSAPNFMKTTTSSEARRNYYQKSNRSGSKPSRTLTRMSSSRFKRTLIRKSTDERELEFPVSSRKSKLENQNIGQQKSNSTISGIMLTRKPSLKPVRKLAKLAASKSKKCSNMEISELNPESCVEKATCSSTFKGSKFPDSIELQPGEEKESEKLAVKKICPYSYCSLHGHSHGNTPPLKRFKSIRKRALRANNNKSESEPPFQAKQSGNRKKGLRASKMVDRERSVANETMNADMLVRAAEEESDPSVLRDIDTGVLSNMKESKLDADECKLDAVNWMQMNASKVVDRERSVANETMNGDMLVCATEEESDPSVLRDIDTGELSNMKESKLDADECNLKDTLGSSAFGYEEMEHQREADENPKEDLAVEIDSLSRTSSSSSISLNFTAEVQEINPKYIRMWQLVYKNVVDSDSGNVGNELPILQVKETSKEVDNKLLVDTNSNSFKLVSNVDQEGADVSPHAAAAYRKLELFKNEAIKLVQEAFDRILLPEIQEQQSLSRDWNSEEKLPERIPAEVRGSNLLTSSSSTHSAGEDLAQDAEDTQTKVENSPSIEEKKTMPIENRNQSGPKRWSNLKKLILLKRFVKALEKVKKINPQKQPRFLSLKPDPEGEKVHLQRQTTEERKNNEEWMLDYALQQVISKLQPAQKKRVSLLVEAFETVLPVPGVEAHIKTKVASDGTDKESERQNSADNTFFGNLLNMKNIVQASAGQANNINKVGNRNSMTFSIKSEANLEQLEKPEQDQAIHENTGIGWRVGDVAVKKEVNVKGSYPEPVDICLPEANYAILDRETAKKPKDTSYEEVSVNGKLLKISKNVIARLNTELLQNEDLEPDKNISKSDGSISVTSGVSDISKSLSSEEYETSAAARSLTCEEHKKSTEVNELLEKTRAAIFDRSRIAQSKPGSTQAKSVTPEETNAASSIGEASEKRVEEKKNASMWFLIYKHMASSIDAENGSKPLVSEEADKDEKEFSSRKQNMELENNFVNDPDVKLQCIEAIKLVNEAIDEIPLPENNTSPHDGSFSSNLIRDPGLFLEEKQDASEIKDRKGEAYDTTDSNVDEGSTNAVDLNSQDDEKEPKFGSKDNRQVLKNWSNLKKVILLKRFLKAIEKVKKFNPKKPNFLPLTQDAESEKVQLRHQDTEDRKNAEEWMLDYALQQAVAKLTPARKRKVELLVQAFETVNPTISK >KGN55916 pep chromosome:ASM407v2:3:2543000:2546500:-1 gene:Csa_3G036420 transcript:KGN55916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVIRTTTNIILREILHSQPYFSLSPPSIFPKLLHHPNATLRFRLRDISFGRVLCAASDSPGSGGKVSSRMSQMQQLLLEAEERALLADTEPAPKITLDHVIVNFARSGGPGGQNVNKVNTKVDMRFNVKEAYWLSERIREKILQTEKNRINKDGELVISSTKTRTQKGNIEDALEKLQAIIDAASYVPPPPSEEQKKKIAKLAAIGEQRRLKSKKVHSDKKAFRRSRNSWD >KGN57112 pep chromosome:ASM407v2:3:10728988:10732806:-1 gene:Csa_3G154350 transcript:KGN57112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKILLRNKGAGQINCLDLKCGNPIKFSVRFFSSWIGDSSQTTNGNGGPVPGGGDLLPSAKNENKRQVIDSVCQILETGPWGSSVENRLAELDLNPNPELVIGVLRRLKDVNNAVNYFRWAERLTDRAHCREAYNSLLMVMARTRKFNCLEQILEEMSIAGFGPSNNTCIEIVLSFIKSRKLREAFTFIQTMRKLKFRPAFSAYTNLIGALSTSRDSDCMLTLFQQMQELGYAVNVHLFTTLIRVFAREGRVDAALSLLDEMKSNSLEPDVVLYNVCIDCFGKAGKVDMAWKFFHEMKANGLVLDDVTYTSMIGVLCKADRLNEAVELFEHMDQNKQVPCAYAYNTMIMGYGMAGKFEDAYSLLERQRRKGCIPSVVSYNCILSCLGRKGQVDEALKKFEEMKKDAIPNLSTYNIMIDMLCKAGKLETALVVRDAMKDAGLFPNVITVNIMVDRLCKAQRLDDACSIFEGLDHKTCRPDAVTYCSLIEGLGRHGRVDEAYKLYEQMLDANQIPNAVVYTSLIRNFFKCGRKEDGHKIYNEMLRLGCSPDLLLLNTYMDCVFKAGEIEKGRALFQEIKNLGFIPDARSYTILIHGLVKAGFAHEAYELFYTMKEQGCVLDTRAYNTVIDGFCKSGKVNKAYQLLEEMKTKGHEPTVVTYGSVIDGLAKIDRLDEAYMLFEEAKSKGIELNVVIYSSLIDGFGKVGRIDEAYLIMEELMQKGLTPNVYTWNCLLDALVKAEEISEALVCFQSMKDLKCTPNYITYSILIHGLCKIRKFNKAFVFWQEMQKQGFKPNVFTYTTMISGLAKAGNIVEADTLFEKFKEKGGVADSAIYNAIIEGLSNANRASDAYRLFEEARLKGCSIYTKTCVVLLDSLHKAECIEQAAIVGAVLRETAKAQHAARSWT >KGN59700 pep chromosome:ASM407v2:3:33644151:33644627:-1 gene:Csa_3G838790 transcript:KGN59700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRPQPHQLQVHPQRRYDDVGAKGRGGPSASTILAVVTLVPLGGSLLGLAGLTLAATLFGLAVSTPVFIIFSPILVPAILTIGLAVLAFLTSGAFGLTALSSLTWAFNYLRRATGFMPDQIDQAKRRMQDMAGYVGQKTKDLGQEIQSRTQEQGRRT >KGN57768 pep chromosome:ASM407v2:3:16797871:16798374:1 gene:Csa_3G284530 transcript:KGN57768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDEICTITSLNLVINLHKSKAKDKPLKTKNTWKRLLRMMKWKLKMKKKKKKKKKSHLSTRGKAKARRPGQRKEEKKPRDKESKDPLPLVVITLPTAQTTKATILPSPSKTPKTTTTTNLRTPSFSSQIQTSNSPKIQNVKPFLSNPNYYYFPAARRKSSISCHKLA >KGN57491 pep chromosome:ASM407v2:3:13653360:13655985:-1 gene:Csa_3G199040 transcript:KGN57491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGRRDMTFNAFVALSWERKDLVAPARPAVSSCKPSSSSSSNFHHQNLTNNSIDKMAWRSSGSLSRSLISTLRPSSLRSTPSLPRLRPPPLPSRPGLQSRRFSFSPSRNLGELGCTHSLLPMLGTTCLTSHLCVDVRAFCELSHGTFYRTCQDR >KGN58587 pep chromosome:ASM407v2:3:26389015:26392763:-1 gene:Csa_3G690840 transcript:KGN58587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMHQQNRLFIFFIAFSLFSLCFGSYLEDPFPVSQYHDQIYSDYPTPASLYTSRNTDFHSSMMTKKRPDRGSSFSGSTKVVNVDDFGAKGNGQDDTKAFELAWKEACSSRKATLLVPKGRTYYLKPIIFSGPCRSPLTLKINGMIKASTRISDYENDGRRHWLKFHEVDNFVVEGNGIINGNGRKWWQNSCKVNKGLPCKRAPTAVTFYQCINLVVSNLMFKDAQQMHLTFQKCTNVKALNLRVVAPGNSPNTDGIHITETQNAIIRNCVIGTGDDCISIVSGSRNVQAMDITCGPGHGISIGSLGADNSEAEVSNIRVNRALISGTSNGVRIKTWQGGSGYAKNIMFQNVVMRNVSNPIIIDQNYCDKKESCPEQKSAVKVSNVVYKNIRGTSASGDAIKFDCSKSFPCQGISLLGIRIVGQGNEEAVTASCENVRWETKLKVYPQC >KGN59112 pep chromosome:ASM407v2:3:29678967:29683176:1 gene:Csa_3G775250 transcript:KGN59112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVHSQPLSTSLNHTSFPHSLNSSAIYDPPSENHQSSSSGNSPVIGTSESDAFEMPFSSDGDPLPTQMVNRDGGDDLGLAALKLQKMYRSYRTRRLLEDSADPPSENHQSSSSGESPVIATSESDAFEMPFSSDGDPLPTQMINRDGGDDVNTALQLSAVKLQKVYRSYRTRRLLADSAVVAEELWWLALDYARLNHSTISFFNYLKPETAASRWNRITMNASKVGKGLSKDAKAQKLAFQHWIEAIDPRHRYGHSLHLYYEEWCKAKAGQPFFYWLDVGEGKDVDLKECPRSKLRQQTIKYLGPQEREHYEYVVVDGKIVHKQSGTFLDTKRGPKGTKWIFVMSTLKRLYAGEKKKGAFHHSSFLAGGATLAAGRLEVDDGVLKAISAYSGHYKPTDDNLDIFLKFLEENGVVLKDVEVNRANDDLESYDDLKSVGGGQMKADFMNKLEALDIKTIEEVGGADISSKSAQISQAGGKTEYKRTLSGGLKSPKADVPEKAILKRINSKKTVNSYQLGHQLLLKWTTGAGPRIGCVADYPVELRVQALELVNLSPRTPPTPPDWKRMVAFPTPTTPTAKDIFNADDSFNF >KGN57846 pep chromosome:ASM407v2:3:18147456:18148794:1 gene:Csa_3G346930 transcript:KGN57846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDGDGDGDGVRVKRATGMGLKPHTHTILTEVNHELSLPLHLQQTSLEYKNMVLYDGELEHVGSKVIRGQVAYLFHVSKANKNVSFTIGSV >KGN58235 pep chromosome:ASM407v2:3:22929088:22931351:1 gene:Csa_3G597340 transcript:KGN58235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYPYGYNHPPPETYPPPGYPPPQPGYPGYQPHPPPPPHQGYQGYFYDGYQPSAPPPPPPPPPCHHQHYHYDDRNDCSSFLHGCLATLCCCCILEECLACFR >KGN57331 pep chromosome:ASM407v2:3:12324203:12324875:1 gene:Csa_3G180200 transcript:KGN57331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEGMSQGGSGQNGVEENMAAWLLGVNNLKIQPFHLPPLGPRDVRVQMKAVGICGSDVHFFKTLKLAHYVVKSQW >KGN58217 pep chromosome:ASM407v2:3:22784861:22785361:1 gene:Csa_3G593690 transcript:KGN58217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTGQCETSKPNTRIVDKRVGVDVDVDVDLKNFPVLLAEFSRQFPGNGVWRDGLRRMEEKNLKDMNEKWVLLHIEGAELKARKTALLKQQLRTTETNEDVN >KGN56942 pep chromosome:ASM407v2:3:9671706:9678393:-1 gene:Csa_3G145790 transcript:KGN56942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAVPSTPSRTNSRPQDTAEYLIGTFVGEESFPISSDFWQKLLELPLSLQWPTHRVHQACELLATNNYRTRHLAKILTHMAWCLQECITNSGTSSLTYEKAINAVYISSVFLKHLIENTKSGRIEELYLSLNDNESASKDFIADQNVEDFVIHAVLSFIGSVNISDEKYFLHVELLNFMLIAMSTQLLSGPSPRPKDFNPFIDAAMAQDSALVIVVMRKLLLNFISRPNVPLNSSYPIFSDGNQSGVLQRVSSAAANFVLMPFNYLVSSTSQGSGSPLADCSLNVLLILIHYRKCIVSNESLASGDGFISDSLLKESATFYDNPYCKALENASDVEFDRVDSDGNAHNGLLVRLPFASLFDTLGMCLADEGSVLLLYSLLQGNPDFLEYVLVRTDLDTLLMPILEALYNASTRSSNQIYMLLIILLILSQDSSFNASIHKLILPAVPWYKERLLHQTSLGSLMVIILIRTVQFNLSKLRDVYLHTTCLATLANMAPHVHRLSSYASQRLVSLFDMLSRKYNRSAELKNIKADNAKIDSMEVNFPADDASTEMHIYTDFLRLVLEILNAILSYALPRNPEFIYALMHRQEVFQPFKNHPRFNELLENIYTVLDFFNSRIDAQRMDDDWSVEKVLQVIINNCRSWRGEGLKMFTQLRFTYEQESHPEEFFIPYVWQLVLSTCGFNFNSGVINLFPANVPSEKCNDGDPTQDDKQANGEVQKLAIYVDP >KGN57279 pep chromosome:ASM407v2:3:11930279:11931192:-1 gene:Csa_3G176260 transcript:KGN57279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSLSLLLLLLSILTISASAFNGGASSFIKSKCSAATYPDLCVQSLSSFSSTIQRNPRQLVQTALAVSLSHAQSTRSFVWKLTKFSGLKPRERAALKDCMEEVGDTVDRLNKSVEELKRVSGSKKDFQWHISNVETWVSAAMTDENTCSDGFAGSALNGRIKSSVRGRIVDVTRVISNALSLINKYAENQS >KGN58440 pep chromosome:ASM407v2:3:25254618:25255918:-1 gene:Csa_3G644790 transcript:KGN58440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAISPPVPENRAAAVLIGQMDVEFAKCECCGLTEECTGAYIEGIRRRFDGKWICGLCSEAVQDEILKSHEIITTEEAIAKHMNFRKKFAAAIGPPPDPTPHLITAMRQILRRSLDSPRALRSSPATPSTAADNQIRRRALA >KGN59703 pep chromosome:ASM407v2:3:33671103:33675854:-1 gene:Csa_3G839810 transcript:KGN59703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTSKSPSFFQDLSSRELNGFRVRKRPRFSSDIALSFKEVGAMSVEHDGDQTPPLAVSFCKTLNNSHIFALSDEDGYVSLFNTRSRFSLFSSHEENTEKSRISEWEAHRNAVFDVCWIKDDTQMITASGDQSMKLWDVQEMECIGILRGHKGSVKSISSHPTNHDLIVSGSRDGSFALWDLRTPSCSKVGSEELYLRATAVVKGAHLSPYAKRIRRQKSASKSITSVLYLKDELSVATAGAVDSIVKFWDTRNLKNAITMCQPKSSEKDTRLHGISSLSQDANGAFLSASCMDNRIYLYNVLQLEKGPVKSFSGCQIDSFFVKSAISPDASYLLSGSTDGNAHVWQVDKPHEDPITLTKHNGEVSAVDWSSFEVGKFVTSSDDFTVRIWNSQNNSCSSSKRPTSCNRKRIMAMPSIEHAKDSDSSDSPFFDRARAAPSNSKSYMDMEHKLSTPESKVKRSISDATADLIESFEKTPEANSKSPSSVLHPPSSLKRKTIRDYFLVAP >KGN55819 pep chromosome:ASM407v2:3:1673767:1676243:1 gene:Csa_3G017130 transcript:KGN55819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHPSKDLLYLERKDLSSSSLESSLLVCKKNSTSKDPTRNEKPITEPASKSLVLGRVKDFLGVISEANKKLQMDSKENAEKYDIEALDGNESEVIQLDLMLGIADLHTPEAVTAAESAIIGNHPVIPLTCSSSESESEESSDDSITSDHDNDDNEKSDDQKTDCSNRLSVKLKRSNSRKTSCRSKSKGKGKSKKRPKIQVLS >KGN56778 pep chromosome:ASM407v2:3:8774897:8777704:-1 gene:Csa_3G133290 transcript:KGN56778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTKTLISASAERHLNSQLNKPTTTTSPRKRMQSSPAPPSLAPSPAALALKDSKTTLSIIFATALFSLIFFLSISSSANLSPFSSPALPRRPKPNPFLFPTRQAHRTVFHSSNASSDPTPPSIAYLISGSNGDSDRILRLLFAAYHPRNHYLLHLDLSAPQSERDSLALAVESVPIFRAAQNVDVIGRADFVYLKGSSAISSTLHGASLLLHLSPNWDWFIRLTADDYPLVTQDDLLHILSFLPKDMNFVTHSSYIGWRETKKLKPIIVDPGLYLSEKTAMFYATQKRELPNAFQLFTGSSLSILSRNVVEFCILGTDNLPRTLLMYFSNTQSGHLNYFPTVLCNSHQFNKTVFNDNLLFAIYDKPPKEEPHVLGSSDFDLMIDSGAAFATRFKLNDPVLNRIDNEILNRGPGHTVPGGWCLGEAGNDTCLVWGNADVIRPGLGARRLEKRIVGLLSNGTFRSNRCVVVE >KGN58010 pep chromosome:ASM407v2:3:20386766:20387410:1 gene:Csa_3G432430 transcript:KGN58010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLMNTFNINEAGEKKHKENEERTSRKGVDKEEEKKKETDKRVEEDEEDKVGKEEEEENEREHEKEPFLPIAETEFGRLVKEAQKRSEKVKFGLLKIKDQAKGLKALTEKKCQKEVEREFKKEVNETSPLEDNVGEEQPTKKKASEEQDVKKRLKKEKA >KGN59763 pep chromosome:ASM407v2:3:34100073:34101438:1 gene:Csa_3G843820 transcript:KGN59763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYQQETASVNYKCEQDDCSNLEAEFSSTIDDILYSSQAMTMDVDVSLEWLSAFVEECLSTKGSTLPLPPPSQLSTQLNNPPTKPSSLSQLVPTSSNSQFAHFPAVPGKARSKRRRRTPSKMSVLPLISRRLRQLNLLQNKHSLQLTTSTDPLLLQQTYWLADSELLLPPKARGGEREKTVDMGQIETTVENSMKKQQQQGAGSGRRCSHCQAQRTPQWRSGPLGPKTLCNACGVRYKKSGRLLPEYRPANSPTFVSLLHSNSHKRVMEMRMMNASSSTSTSTTTFPSSS >KGN59034 pep chromosome:ASM407v2:3:28998913:28999119:-1 gene:Csa_3G748140 transcript:KGN59034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAFHLSYSNSWNSGGRMYVILALVPLHVILRLKLSSLFMACGHAYLFCRSTIVYTKVSIFFNIGLIL >KGN57319 pep chromosome:ASM407v2:3:12188930:12189384:1 gene:Csa_3G179090 transcript:KGN57319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCGERSLKLPNGTKRDIVQWATPYAQTEDFDQIADPRLNQNYDVNDLKSIVTIALRCTDGNAESRPSMKQVVAWLKDGLDTKKEMPHLKNNETPREDEDQGQENYEKKHTEYEELGKQQFDDGGIWR >KGN56453 pep chromosome:ASM407v2:3:6913313:6915848:-1 gene:Csa_3G119830 transcript:KGN56453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRFTKFKSLSLSADSGAHQLLQRCWVSRTAKGKSKIKAGQPLKRSKITVKKGGAASKGGDGGGGKKIPPDQEKLYDQCLNAPTPLRFLKAKEREREAKREKLGLISKERQREIDMMKMDKSKLGISDSPSIIGTPGLDLISLGLVDADKIPKYELTVEDGQRLAKEYSRVLMKQHRARRAAETMLLKMKSEAIEALPDHLKAAALVPDMTPFPADRFMATLTPPIEGYVEKIDEAAKKSTGKEKLR >KGN58219 pep chromosome:ASM407v2:3:22807403:22810219:1 gene:Csa_3G595200 transcript:KGN58219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHALSNWCPTSCSGVELGSYSVVHRSWKRVKSFGFSDCRCGNWGFSLISFNLSVLRSGFCYENSRFVCNCEFRHGCSKLRVVPLMKTNRNSLGAFCLSAWAVEQPTIDDEITRVESNSRDGLPERGLDWDDDDDGKVNGENSHGGGSFKDEGELEGVGDVRVDVRALAAQLQLARTADDVDQVLKDMVELPLQVFSSMIRGFGRDRRLECAVALVDWLKRKKIETNGRIAPNLFIYNSLLGAVKQSGELSRMENVLTDMAQEGIVSNVVTYNTIMSIYLEQGLAMKALGILEEMPKKGLTLSPVSYSTALRAYRRMKDGNGALKFMVELRERYRNGEIAKDDNVDWANEFLKLENFTRRVCYQVMRIWLVKGDCASTKVLQLLMEMDKAGLSLDRAEAERLIWACTCAEHYNVAKELYFRIREKQCGISLSVCNHVIWLMGKAKKWWAALEIYEDLLEKGPKPNNMSYELIVSHFNVLLTAAKKRGIWRWGVRLLNKMEEKGLRPGSREWNAVLVACSRAAETSAAIDIFRKMVEQGEKPTVLSYGALLSALEKGKLYDEARSVWDHMIRVGVEPNIYAYTTMASVFTGQGKFNMVEVTINDMVASGIEPTVVTYNAIITGCVRNGMSSVAYEWFHRMKVRNISPNEVSYELLIEALAKEGKPRLAYELYMRAKDEGLNLSSKVYDAVIESSQLYGASVNIKLLGLRPPDRNKSS >KGN59865 pep chromosome:ASM407v2:3:35083552:35084019:-1 gene:Csa_3G851175 transcript:KGN59865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGQCALTVAVWFRQTYGGVRRSLEVVAEMVEERKEMIIGFCLSSAIGIATVSVQTKTKLPNSFQFLSIAVLICFLSVGFARMISSIFKTLSKILYCFGGFLCATCCFIATAIPYDTHFHIIVIVLYSIFCIIFVMLISITHNPSSPSSSHTLPL >KGN57198 pep chromosome:ASM407v2:3:11349554:11352032:1 gene:Csa_3G171060 transcript:KGN57198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPPFSVIFGHQNLTFSWSLLLFLLPPLILSPSLVFASPCRNSCGNIPIKYPFGIDDGCGAPQFRRMFNCSNDLFFLTPSGSYKVQSIDYDKQSMVIYDPAMSTCSILQPHHDFIMTDIQSIIIPPSPDTVFALLNCSIDSPILNHYKYLCFNFSGHSCDELYGSCNAFRVFHLLANSTSPPCCFTGYDTVKMMSMNILDCTHYTTVLNTENLKGVGALDWEYGMKLSFSVADLGCDRCSKSGGNCGFDTETEGLLCLCSVSSNHTRDCVGGNIPNGGPNQASVLFIGEFLFLLLAILHLNFKSLTYY >KGN56097 pep chromosome:ASM407v2:3:3906661:3910718:-1 gene:Csa_3G073880 transcript:KGN56097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVTKLFSRSSRTILRSSLLSSAPQSDKPIHFPILTNRLHSLANQSKDKESTLYRSFFLQKHGLSTSASPETSNKENGNTVPRNGGSKTNAEPTAETNGRTGESDSDSDDNLSMDDLVKVVAEKEELLKVKHKEIEQMQDKVIRTYAEMENVMARTKREAENSKKFAIQNFAKSLLDVADNLGRASSVVKGSFSKIDSTNDSSGAVPLLKTLIDGVEMTEKQLSEVLKKYGVEMFDPTNEPFDPHRHNAVFQVPDGSKAPGTVAAVLKKGYMLHERVLRPAEVGVTKAVENEDGATGDATEKGSQG >KGN58077 pep chromosome:ASM407v2:3:21115793:21121742:-1 gene:Csa_3G484840 transcript:KGN58077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGADMSNNNNTNNPLAFTKDFFSSPALSLTLAGIFRRSDHEVGDVEMEEVDDGSVGGARRDNHDTMTAEVSSENSGPVVRSRSEEEEEEEEEGGGQDDQENELVDHGCQLKRRKKYHRHTTEQIREMEALFKESPHPDEKQRQQLSKRLGLSPRQVKFWFQNRRTQIKAIQERHENTLLKAEMEKLREENKAMREISKKKIGCPNCGTADATQDDLVFTTTEQLRIKNAKLKAEVEKLRAALGKYPQAAASPSTYSSGNEQETSNRICLDFYTGIFGLENSRIMEKVDEAVEELKTMAAAGDPLWVRSVETGREILNYDEYLKTFQFSNNNSNTRNCLKTHIEASRETALVFMEPSRLVQSFMDENQWKEMFPFMISKAATVDVICNGEAAKWNNGAVQLMFAEVQMLTPLVPTREMYFIRHCKQLDAEQWAIVDVSIENVEDNNIDVSLVKYRKRPSGCIIKDESNGHCKECQH >KGN57237 pep chromosome:ASM407v2:3:11546891:11559181:-1 gene:Csa_3G172420 transcript:KGN57237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEMANADPEGIDGVRMSWNVWPRTKVEASKCVVPLAASIAPIRHHPEIPTLPYAPLRCKSCSAVLNPFSRVDFTAKIWICPFCYQRCHFPPHYAMISETHLPGELYPQYTTVQYAPPQSTHPNPALDSAQQANPSPVFLFLLDTCMIEEELGFVKSELKRAVGLLPDNALVGFVSFGTQVQVHELGFSDMSKVYVFRGTKEVSKDQVLEQLGLAVGARRVAGAYQKGVQNGFPNSGVTRFLLPASECEYTLNSLLDELQTDQWPVSPGNRASRCTGVALSVAASLLGACLPGTGARIIALVGGPCTEGPGTIVSKDLSDPVRSHKDLDKDAAPYFKKAVKFYENLAKQLVGQGHVLDLFASALDQVGVAEMKVAVEKTGGLVVLAESFGHSVFKDSFKRVFEEGEHSLGLCFNGTLEINCSKDIKIQGIIGPCTSLEKKGPAVADTVIGEGNTTMWKMCGLDKSTCLTVFFDLSSSDRSSAPGTANPQLYLQFLTSYQDPEGQSMLRVTTVTRRWIDTAVSSEELVQGFDQEAAAVVVARLTSLKMEMEEGFDAIRWLDRSLIRLCSKFGDYRKDDPSSFTLNPCFSLYPQFMFNLRRSQFVQVFNNSPDETAYFRMLLNRENITNAAVMIQPSLISYSFNSLPAPALLDVASIAADRILLLDSYFSVVIFHGMTIAQWRNMGYQNQPEHQAFAQLLSAPHDDAQIIIHERFPVPRLVVCDQHGSQARFLLAKLNPSATYNNAQEIAAGSDIIFTDDVSLQVFFEHLQRLAVQS >KGN59585 pep chromosome:ASM407v2:3:32929961:32932620:-1 gene:Csa_3G827270 transcript:KGN59585 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein C10orf22 MGIERSLADRKGKQFCELPKETTTNNKSRKSRRRMRRSSSPLPVQKLYETCKKVFASSGTGIVPSSEDIERLQAVLDKMKPVDVGLSPDMPYFWTTSSQRTPPITYLHLYENNKFSMGIFCLPPSGVIPLHNHPGMTVFSKLLFGTMHIKAYDWAEAGAVNGASACVDTSSGTAPSRSVRLAKVKVDADFTAPCDSSILYPADGGNMHCFTAVTACAVLDVLGPPYSDPDGRHCSYYLDFPFTEFSVDRISVPEAERESYAWLEEREQPEDLAAVGALYEGPKIVETR >KGN56492 pep chromosome:ASM407v2:3:7109752:7115948:1 gene:Csa_3G121680 transcript:KGN56492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFPYMEAVVGFMILMYTFETYLDLRQHTALKLPTLPKTLEGVISQEKFEKSRAYSLDKSHFHFVHEFVTIVMDSAILFFGVLPWFWKKSGEFVVFVGLNAENEILHTLAFLAGVMIWSQVTDLPFSLYSTFVIESRHGFNKQTIWLFFRDMIKGILLSILLGPPIVSAIIIIVQKGGPYLAIYLWAFMFTLSLVMMTLYPILIAPLFNKFTPLPSGDLREKIEKLASSLNFPLKKLFVVDGSTRSSHSNAYMYGFFKNKRIVLYDTLIQQCKNDEEIVAVIAHELGHWKLNHTMYSFIAIQILTLLQFGGYTLVRNSSDLFRSFGFNTQPVLIGLILFQHTVIPLQHLVSFALNLVSRSFEFQADAFAQKLGYSAPLRAGLVKLQEENLSAMNTDPWYSAYHYSHPPLVERLAALDLADKKEE >KGN56004 pep chromosome:ASM407v2:3:3196648:3207478:1 gene:Csa_3G045180 transcript:KGN56004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPGNPNPNPTNPPFDVQKFFKPTTISNPTPTSQNPTLMNSPPFPPPSSSYPPPTGPFSYPLQNAPFHHPYHSPHHPNQLPYSQDQFSNLHHQRSLSYPTPPLQPSPPPVNVVVPQNNPAQSSGARIMAMIRAPGSNLEQFPQPSAPLGSMPSPSSAVPESSTPPPNVPIMTTIPMMQGVNPGISPTGPVRMPSSKLPKGRHLIGDHVVYDVNVRLQGEIQPQLEVTPITKYGSDPQLVLGRQIAVNKTYICYGLKQGNIRVLNINTALRSLFRGHEKRVTDMAFFAEDVHLLASVDVGGRVYVWKISEGPDEETKPQITGKVVISLHMEGGEGEIVHPRVCWHCHKQEVLVVGFGKAVLRIDTTKVGKGESFSAESPLKFSLDKLIDGVQLVGKHDGEVTELSMCQWMTSRLVSASMDGTIKIWEDRKTSPLLVLRPHDGQPVNAATFLTAPNRPDHIVLITAGPLNREVKIWSSASEEGWLLPSDAESWKCTQTLELKSSAESQVEEAFFNQIVALSQAGLLLLANAKKNAIYAIHLDYGLNPASTRMDYIAEFTVTMPILSFTGTSEILDRLTHIVQVYCVQTQAIQQYALDLSQCLPPPLDNVGLEKADSSVSQDSAGVEGLAALFPSGSKPTDTPFTSSTPRGSVLVNGPESAIAERYPASTNSQDAVLVANTESKPATLSPVPSNTDIVSTASPPLPLSPRLSRNLSGFRSPVVAFDPISAVSDHAGDRRGNDYTVNRQLDAMHTNLSEVSSLDDESRNNEEKIAREDLSNVLSPPIVFKHPTHLITPSEILMAVSSSETTNIIEGGKSDSETNIQDVVVNNDNEDAELEVKEVGEMKSPQNGEYGSRGEPQNLSLENKEKYFCSQASDLGMEVARECSALSSETYVIEEAPQVDGNIIASEVDSQAGEGDRTSGKDVSDKLPESSMSTTLQIPTPSSKGKKNKGKNSQASGFVSPSPSAFNSNESSIEPCGSSSLPQSDAAFPPLLAIQDTLNQIMSTQKEMQKQMQMTFSVPVTKEGKRLEAALGRSMEKALKANHDALWARIQEESAKNEKLLRETTQKVTSLVANFVNKDLPAFLEKAMKKEMSAIGPAVVRTITPAIEKTISSAITDSFQRGVGDKAVNQLEKSVSSKLEATVARHIQAQFQTSGKQALQDALKSSFEASVIPAFEMSCKTMFEQVDSTFQKGLVEHSAAAQQHFDSSHSPLAHALRDSINSASTIAQSLSGELAEGQRKLIALATAGANASSLNPLVSQLSNGPLGALHEKVEVPLDPTKELSRLLSERKYEEAFTAALQRSDVNIVSWLCSQVDLRAVLANPLALSQGVLLSLLQQLACDINKDRSRKIAWMTEVAAAVNPADPMIAMHIRPIFEQVYQILNHQRSLPTVSPVELTGIRIIMHLVNSMMVTCK >KGN58190 pep chromosome:ASM407v2:3:22545486:22546138:1 gene:Csa_3G588490 transcript:KGN58190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENRKWGVREKWKEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEERGGCFVEGSGKVVMGKTNERERIRA >KGN60258 pep chromosome:ASM407v2:3:38046989:38048804:-1 gene:Csa_3G891630 transcript:KGN60258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSNRVEAERLLGIAEKLLHNRDFTGSKDFAILAQETEPLLDGSDQILAVADVLLASEKQINNHNDWYSILQIERRSDDSDLIKKQYRKLALLLHPDKNKFPFADQAFKLVADSWAVLSDNTKKSLYDNELNLYAKIDLSHQDKLPVRRSQRSGGKKQQEFESNDSANADDDQSPNQRLKLLSFWTACPYCYVLFEYPRVYEGCCLRCQNCKRAFQAVLLPSLPPLVQGQEAYYCCWGFFPMGFAAQHNEKSGKGSETAPPTTSFPNWMPPIFSNKPQETSRNGETAAPVAAEPTRTGREGVVVDAPPIVRAPIVRGTGTGKKRGRPRKNPIAA >KGN58793 pep chromosome:ASM407v2:3:27744939:27747076:-1 gene:Csa_3G732510 transcript:KGN58793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPSTDGQPLPPPLPPLPHRPSATCPRHPQEHFTAFCPLCLCERLSLLDSSSAASSSSSSSRKPHSTASSALRALFRPPPPNRPSSFFPELRRTKSFSASKNEAFSTAIFEPQRKSCDVRLRNTLCSLISQDASSSSKLLAPAASEIAVETKNLEDPSSSWVEPIPDVDGDIRVSGQPNVGDFVIENSVKEIVEEEIQVELGSESVQLQEEFKTMKDHIDLDSHTKKPSGRGSFWSAASVFSKKLQKWRDKQKEKKQRNGGGSTTLPVEKPIGRHFRETQSEIADYGFGRRSCDIDPRFSLDAGRMSFDDPRYSFDEPRASWDGYLISRTFPRMPTMLSVVEDAPIHVFRSDTQIPVEDSINSTNEEENIPGGSSQTREYYSDSSSRRRKSLDRSNSIRKTAAAVVAEIDDMKSSVSNAKVSPATTDVSHGPKLGIPDRDSNSNSLRDDYSGSFEDAASVVGTANRKEESKKSKGWGKGWKIWGLINRRGGNKDEEEDRESSRPNGVERSYSESWPELRGDRNGDVKAGGFNPKMFRSNSSVSWRSASMIGGSFSSSRKSNAESNGNGKKKKEEAQPVLERNRSARQSPTNVDNGLLRFYLTPLRGSRRGESGKVKPSQAQSIARSVLRLY >KGN58704 pep chromosome:ASM407v2:3:27290993:27292552:-1 gene:Csa_3G730190 transcript:KGN58704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVAPGFCFLFIFCLNLSPSISRTDLVTGYEVHLAVPAEYIEGFIGRAFLMETEHLMPPNFRVALAIEATQGQYSCSLQVFLGEVKMWSSGHFSRFFTAEKCVLELTADGDLRLKGPTGHVGWRTGTSRQGVERLRISRNGNLALVDAIEGIKWQSFNFPTDVMVLGQSLNVKTHLTSFPPNSTFFYSFEIQTQRIALYLNSPKCKYSYWEFKPPNNINLSFITLNPEGLDFFDDRANKIATIPSGTPHSLRFLALGNKTGNLGLYSYSPQNGIFEASFRALTTTCDLPLACKPYGICTFSNSCSCIGSKCGEEMGGEFCEAKGEMMELDGVSSILRDGAKRVNVSKEECGEWCLDDCKCVAALHYSGVEECYLYRVVIGVKQIEKGMGLSYMVKVRKGTALGSHKSGLKRWVLAVVGVVDGLVILAVSGGLGYYFIKRRKRKNLMDTDVRS >KGN56872 pep chromosome:ASM407v2:3:9241415:9243565:-1 gene:Csa_3G135690 transcript:KGN56872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENRRGTGSGIPDPTPPRVISKFTSSPPNNTISVQNTHQTKMANLTPFSKLDQTFHRTSELKAFDQTKAGVKGLVDSGITEIPAIFYYPHKERSNSDKTSVPDEPHFGVPVVDLEDIDKDPLKRKQVVDKIREASETWGFFQLLNHGVPVSVQEEIINGTRRFFEQDIEEKKQYYTRDNSKPFLYNSNFDLFIAPFANWRDTILTQIAPTSFGPQELPQVCRDILLDYSKHMENVGELIFGLLSEALGLKSTHLVDIGCNEGHALLCHFYPSCPQPELTIGITEHADGTFITVLLQDHIGGLQVLHDNKWVEIPPIPGALVVNVGNLLQLISNDKFVSSIHRVLATRNGPRVSVATFFSTGYAETFKLYGPIEELLSEQNPPKYKQTTVRDYRLYFSKKGLDGMDPLTHFRI >KGN59125 pep chromosome:ASM407v2:3:29760499:29763790:1 gene:Csa_3G776850 transcript:KGN59125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGVSRKISAASARAHTRRAKKSSSSPISSGLLRNIAVLLFFGFLAWGYQAIQPPAPKICGSPEGPPITAPRIKLRDGRYLAYKEHGVPKDSAKYKIIYIHSFCSCRHNAIIANTISPAQD >KGN58256 pep chromosome:ASM407v2:3:23151577:23151903:1 gene:Csa_3G599730 transcript:KGN58256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARAVGEWRVSERVSEARLGKRVGVFICSRGAGAAPPMDVRTLICDWQVGKFGASFLCAKFCDSRFPVFDRLLLPRPFVPRRGDKAGPRSNLGTPPFEPLFHFFQLYI >KGN55858 pep chromosome:ASM407v2:3:2013104:2014120:1 gene:Csa_3G019980 transcript:KGN55858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEERHKCRLCSRSFTNGRALGGHMKAHLATFSIEHQKTFKSPDLEMLSANGSISIVQDRESETESKNPTRRRSKRTRRLNTESLPSPSPEPASSISDTSPEEDVAICLVMLSMEKPSSWKDQSRTPESEKSTAAMVGRVRKSFRCGKCRKTFRSNRALFGHRKVCRKEGEEEDGEEEEKGMINGGNWKIFKCPYCCKVFGSGQALGGHKRSHIQGSIRTAIDRSSSKLEIGLDLNLPAPLEEDDYSVVSDV >KGN57121 pep chromosome:ASM407v2:3:10765100:10765926:-1 gene:Csa_3G159410 transcript:KGN57121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSVAGIWRAEGTVFCTPDGNIGTIAATSTPVSPNIVVHMQSRNGSIVSTTTTNNMGFLASFLDEGEIVVTSPMSYCNAMLPSTGYLTSRMQYIGTILKDDNIEMMKVTPNNSHSFYLLKMQVVGVNIFTKGKKE >KGN56105 pep chromosome:ASM407v2:3:3953571:3954107:-1 gene:Csa_3G073950 transcript:KGN56105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKETSKWHPMRRPRRLPTSSPFQHYSYSKLSLIKQMILTANIKMKKIGIFPTACRSNNNTNMGMTNPFQLVASYSYSSMSMADYFPPSLFPRRYGKPSKDEAEHSGGERSKAERAPSTAEEFNRMATEKQSKDHQEEEVDAVHGRDKFAKESEAAMEKSHDPNNHINKGPNYRPCS >KGN57915 pep chromosome:ASM407v2:3:19036046:19036776:-1 gene:Csa_3G389850 transcript:KGN57915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSYFSFSDSDFSTDSSFGSTESSFSWTDFLSIHSALENSIGIEEFLGKQKLDSESKIVVKEEIEVNSIDFKEEKQRKTTEKSYRGVRRRPWGKYAAEIRDSTRHGVRVWLGTFDSAEAAALAYDQAAFSMRGTMAVLNFPVEMVRESLQDIEYELEEGCSPVVALKRKHSMRRKSVAAATAAVAVEKKKMKKKNNVVILEDLGTEYLEELLMLSSCESTSPF >KGN55608 pep chromosome:ASM407v2:3:216111:217183:1 gene:Csa_3G002290 transcript:KGN55608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGGKSKGAPKKTDTKLKSKSAGASKKSAKAAKDPNKPKRPASAFFVFMEEFRKQYKKEHPNNKSVAAEKAPYINKAEKRKTEYNKSMQAYNKRIAEGGNGAEEEESDKSKSEVNDDDEDDDESGEDEDDE >KGN60348 pep chromosome:ASM407v2:3:38711740:38716730:-1 gene:Csa_3G895940 transcript:KGN60348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYEDDPYRDEDGEPLMDFDHIQSDGEQSPEPYNPDELLDEDIGDWAGRQRSQTPVYDAEEPQARPRKRLVKKSLAGKGSVASNLDDDYDDARDFTPDQFVREGSEERKRKKGISSGKKEKRFKGDKKLGSGSGGKSRLPKKAFSGKGMKDQDGDVKEMWETIAGGGSDDDQEGIRTVDDDNFIDDSGVDPADRYGSDDEPRSPRYAPEAEEGEEDDEINELFKVGKKKKKNEKSPAEIALLVENVMAELEVTAEEDADLNRQGKPAVNKLKKLPLLTDVLSKKQLQQEFLDHGVLTLLKNWLEPLPDGSLPNINIREAILKILTDFPIDLEQYDRREQLKKSGLGKVIMFLSKSDEETTSNRKLAKDLVDQWSRPIFNKSTRFEDMRNVEDERVPFRRPLAKKSGNKAAGMESRDGDLDLDEFSQGRKSGQSSSRQHASRPEATPLDFVIRPQSKIDPDEIRARAKQAVQDQRRMKMNKKLQQLKAPKKKQLQATKLSVEGRGMVKYL >KGN56950 pep chromosome:ASM407v2:3:9735630:9737957:1 gene:Csa_3G146360 transcript:KGN56950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGKNKRISKGKKGGKKKATDPFAKKDWYDIKAPSVFTVKNVGKTLVTRTQGTKIASEGLKHRVFEISLADLQEDEEHAYRKIRLRAEDVQGRNVLTNFWGMNFTTDKLRSLVRKWHTLIEAHVDVKTTDNYTLRMFCIGFTKRRPNQVKRTCYAQSSQIRQIRRKMREIMVNQASSCDLKELVRKFIPESIGKEIEKATSSIYPLQNVFIRKVKILKAPKFDLGKLMEVHGDYSEDVGVKVERPADETAVEGATEVVGA >KGN58649 pep chromosome:ASM407v2:3:26881598:26882665:1 gene:Csa_3G710765 transcript:KGN58649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVYACLLISLLLILQTVWISSLSNASNNSFPYESLSNPPLQTHHLHQQPRLVKGGGGKEENNKTAAESWTVSKAKGGGRSGAGGAGANNHRPGKAKNAASTLMNSNNNIIIITTLLLSSFLYLF >KGN58616 pep chromosome:ASM407v2:3:26603042:26607016:-1 gene:Csa_3G701560 transcript:KGN58616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLKVVDATVSDFSAVFDKFRSELPNNKANFILFLADKDPSTSRSWCPDCVRAEPVIYKKLEAASDDIALLRAYVGDRPTWRNPQHPWRVDPRFKLTGVPTLVRWEENDKISGRLEDHEAHVENKIDALIAGK >KGN57214 pep chromosome:ASM407v2:3:11428848:11434632:1 gene:Csa_3G171220 transcript:KGN57214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKASASPHSKAPFKFRIPTAENLVPIRLDIEIDGQRFKDAFTWNPSDPDSEVVVFAKRTVKDLKLPPAFITQIAQSIQSQLTEFRSFEGQDMYTGEKIIPIKLDLRVNNTLIKDQFLWDLNNYESDPEEFSRTLCKDLGIDDPEVGPAIAVAIREQLYEIAVQNVASARESRMSKKGRRGFEHVPVSKTGGASVDLVKLFGHRSSVVRKRKDWDIYEPIVDLLSNEEVDALEAKEERTAR >KGN56145 pep chromosome:ASM407v2:3:4268819:4269967:1 gene:Csa_3G078270 transcript:KGN56145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSATSRICSHREEDTCEPMFHEDRSWSTSSSMDDLLMFDVRTTTHSSSYESFQTSLCCSSAVDGGAQPSASSFRLKRRKMAADDDLEDTATSPPHPSIVSKMDERSSKQQKKQRAMMNQTKHKGNGSEDYGEVEDRITEVGFVGRDNNKNHCSTGLKNIGLCLVPVSMALNYFA >KGN56881 pep chromosome:ASM407v2:3:9279031:9279961:-1 gene:Csa_3G141770 transcript:KGN56881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTVEKRGNFFILTLTGDDDHRLSPSLINSILKALSQVKAQASPASVLITTSHGKFFSNGFDLPWALSAGSVSAARHRLNHLIEIFKSVVAELISLPMPTIAAITGHAAAAGFTLALSHDYLIMRSDRGVLYMRELDLGLTLPDYFMALFKSKIGSSLAMRDLILRGMKVRGEAAVRMGIVDSAHDGEDSVVNAAVRLGEQLAGRNWDGEVYAEIRKSLYPEVSEVLGLPGKPISISKL >KGN57610 pep chromosome:ASM407v2:3:14947809:14964521:-1 gene:Csa_3G228870 transcript:KGN57610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKELISCWGKKAIGLIICARERLDYNTQNESSRLRLEHENKMRTKKYQEMLKNRAALPIASLRGDMLRLLKENNVLVVCGDTGSGKTTQVPQFILDEMIESGCGGLCNIVCTQPRRIAAISVAERVSDERCEPAPGSNGSLVGYHVRLDNARNENTKLLFCTTGILLRKIVGDETLTGITHIIVDEVHERSLLGDFLLVVLKNLIEKRSVESSSPLKVVLMSATVDSNLFSGYFGNCPVITAEGRMHPVTTYFLEDIYESTGYHLASDSPAAVRYEVSSGKKVLETCFLLY >KGN58360 pep chromosome:ASM407v2:3:24436080:24439268:1 gene:Csa_3G627710 transcript:KGN58360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEESHRVVLNLYDLSCGLARQFSTALLGKAIEGIWHTGIVVYDNEYYYGGGIYHSLSGNTPFGTPIHVIDLGITHVPKDVFETYLTEISPRYTAESYSLLGHNCNNFSNEVAQFLVGSTIPEYILQLPNEVRSSPMGRLMLPMIQNLETTLKSGSVPKVPHISHHQPTTTSAPISALDSNVEESPDCDVELSGGDSSNATKRQTAKQNATKDLTHLSIEPAAGVGQQKFPGKTVDESLVNDAHVMLEDRIKGEFTSIMATGKYRASEAAALAVKRVMSKYNRHTSTAASQH >KGN59851 pep chromosome:ASM407v2:3:34951121:34951399:1 gene:Csa_3G850560 transcript:KGN59851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQCYAAIFPGRTVEIVSLKQVYVLINVIHENSDDEMKDGGNSNSYPVSYEIR >KGN59911 pep chromosome:ASM407v2:3:35492794:35496391:1 gene:Csa_3G852600 transcript:KGN59911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDFPSPIIIFLFIFSSYYLWRKWQKNKKTKGVSEGKKAPSPGGALPIIGHLHLLNKRGKLPHHVLGSMADKYGPIFRLNLGSRPALVVSNWEMAKESMCTNDAAAASRPELSVSKNFSYNFAMFGLASYSSYWRDMRKITHLELLSNPRVDQVKSVMLGEMSTSLRELYTRWGGERKKLEEISVEIKHWFGDATLNMLLKIIMGKRCVGPNASEGNENDARTLQMGIRESFHLMGEGLLRDYIPWVANLGFDGRVKAMEKIAEQMDAILQRWFEEHMHHRSTDDLDRRDGDFMDSLISLGRANQLPTHHNQNTIVKATTLNMIAGGTESSTVTLTWAISLLLKNPCALEKAYQELDQVVGRDRKLNESDINNLVYLQAIVKETLRLYPAGPLLGPREFYKDCFVAGYFVSKGTQLIPNIWKIQTDPRVWPDPFEFKPERFLTTHKDVDLKGNNFELIPFGSGRRGCPGVSFGLQMVHFALAGFLHSFHIKNPLGEEIDMREDFGMANEKVVPLNVLVTPRLPLHLHTPITV >KGN58755 pep chromosome:ASM407v2:3:27554418:27557484:-1 gene:Csa_3G731170 transcript:KGN58755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIPSTTPKTVEEIFKDYTARRAALVRALAHDVDEFYGLCDPDKENLCLYGHPNETWEVVLPVEEVPPELPEPALGINFARDGMNRKDWLSLVAVHSDSWLLSVAFYFGARLNRNERKRLFSLMNDLPTVFEVVTERKPVKEKPSVDSGSRSQGSSKRSNDGQVKSNPKLAEQSCEEDVDEHSETLCGSCGENYSADEFWIGCDICERWYHGKCVRITPAKADSIKQYKCPSCSMKKGRQ >KGN57618 pep chromosome:ASM407v2:3:15013275:15015446:-1 gene:Csa_3G229430 transcript:KGN57618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPNLALEIKCRESERQALLSFKQSLVYRYDILSSWTTQAKANDDCCNWIGVGCSNNITGGDYHITRLDLHNTGLMGEIGSSLTQLSHLTYLDLSSNEFDQIFLEDVASLINLNYLNLSYNMLRGPIPQSLGQLSNLEYLNLQFNFLEGEVSEVHFSKLKNLKALDLSDNFLRNCTLGPYFPRWLRTQNFSVLDIAGNMISDKIPRWFWNNLSPNLLFLDVSYNFIKGKIPNLSLKFKTMPVIILGVNEFEGTIPPFLFGAQNLDLSGNKFSDISSLCEVNYSSPLYLLDICGNQIFGHLPRCWNRMLNLASLSLAYNYFSGKIPHSLSNLTRLKSLNLRKNHFSGEFPSWFNFTDLIVLDVVDNNFSGNLPSWIGLRLPNLVRLLLKSNNFHGNLPLSLCNLRRIEVLDISQNYNISGTIPTCIYKFDALTKTLNASEVPDYLKDLVMMWKGKETLIHGRNLQLQRSIDLSCNRLTGEIPNKITELVGLVVLNLSRNELTGQIPYNIGQLQSLDFLDPSRNNLCGENQDRLIVQDLLFAISSGFIIGFWGIFGSLLLFKRWRLAYFKFLRNIIEKPL >KGN57795 pep chromosome:ASM407v2:3:17312862:17321099:1 gene:Csa_3G305660 transcript:KGN57795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIILLLFSLFQELLHDLLSRILPACKAGLEDPDDDVQAVAADALIPAADSIVSLKGPTLHSIVMLLWDILLDLDDLSPSTSSVMNLLAEIYSQKEMFPNMFEVLTLRETQEYDLNEAIRVHDTPEGICLQENPYALTSLAPRLWPFMRHSITSVRYSAIRTLERLLEAGLKQNISVPSAAIWPTTILGDTLRIVFQNLLLESNDDILECSERVWRLLLQSQVKELELVARSYASSWMELATTPYGSTLDSSKLFWPVALPRKSHFRAAAKMRAVKLENESSSRVGMELAKVTISHERNGDSSSSFSKIIVGADADISVTLTRVVTATALGIFASKLNEGSLQDVISSLWNAFKSSSGVRRQVASIVLISWFKEIRNKENSIGHGAISCLPNYLREWLLDLLTCSDPAFPTKDSSLPYTELSRTYSKMRGEATQLVRAIESSGLFKDSFSGTQIDFENLTADDAINFASKISTPKISTPIGDIDENGLEGRQAIDDIESLKQRLLTTSGYLKCVQSNLHISVSAMVAAAVVWMSELPARLNPIILPLMASIKREQEEILQQKAADALAELICQCVLRKPGPNDKLIKNICTLTCMDASETPQAAVICSMEVIDEQDILSSGTNTRKSRTKVHVPSGTDDRSRIEGFISRRGSELVLRCLCEKLGAALFEKLPKLWDYLTEILLPATVENVTAEDEQKIMHTIESVKDPQTLINNIQVVRSLAPMLNEMLKPRLLTLLPCIFRCIRHSHVAVRLAASRCITSMAKSLTTDVMGAVIVNAIPMLEDMNSVNSRQGAGMLISLLVQGMGVELVPYAPLLVVPLLRCMSDCDQSVRRSVTRSFAALVPLLPLARGLPPPSGLSEVFSKNKEDAQFLEQLLDNSHIEDYKLCTELKMTLRRYQQEGINWLAFLKRFKLHGILCDDMGLGKTLQASAIVACDIVERLTLNDRENIPPSLIICPSTLVGHWAFEIEKYVDVSILSTLQYVGSVQERTSLRECFNKYNVIITSYDVVRKDVEYLSQFHWNYCILDEGHIIRNAKSKITLAVKQLRSQNRLVLSGTPIQNNVMDLWSLFDFLMPGFLGTERQVMAPYRFT >KGN59260 pep chromosome:ASM407v2:3:30616605:30621910:1 gene:Csa_3G791510 transcript:KGN59260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSLLTMAVTANGAQSYDTPPFGKRKIMPIKPLNFRPSPVQLSEGCFSIFRSLIQTPLYSSSSSPRLREASSQAMAKEHSVGEEAKPQEIWDFGGALWTFLRPHTFYGTLLASCSLAGRVWIENPNLMQWSIITRAVWGLVELLCGNSYIVGINQIYDVDIDKVNKPFLPIAAGTMTGKQAWFLTMSFLVVRGFLINFGVYYASRSVLGLPFEWSSPVAFITMFVTLFGLVIALTKDLSDIEGDRKYKITTFATKLGVRRLAFLGSGILLLNYVAAILAAIFMPQAFRRSILISTHAIMATSLIFQTRVLDQAKYTKEAASNYYMFLWKLFYAEYLVFPFI >KGN59335 pep chromosome:ASM407v2:3:31128753:31133962:1 gene:Csa_3G811580 transcript:KGN59335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKNDTKNIRYNLEFFTFFIFFFTPFSLHPQLFSRSFFHINHPKQTPPPPPPLPFFLPSAQSTLPPLINVDADEGEEVIEGGSLESPAGTELNDCDGDIILEPYEGMEFESEDAAKIFYDKYARQLGFVMRVMSCRRSEKDGRILARRLGCNKEGHCVSIRGKFGAVRKPRPSTREGCKAMIHVKYDKSGKWVITKFVKEHNHPLVVSPREARQTMDEKDKQIQELTIELRNKKRLCSTYQEQLAAFMKIVEEHTDQLSKKVQNVVTNLKEFESIEQELLHPR >KGN56179 pep chromosome:ASM407v2:3:4694104:4694854:1 gene:Csa_3G088990 transcript:KGN56179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAISPHFSIFIFYISLISLSLPSSLSLSSTTIHDLLRSKGLPPGLLPKEVKSYSLSPNGLLKVFLDGPCLTKYENRVIFESVVTANLSYGSLIGVQGLTQEELFLWLPVKDIIVDDPKSGLILFDIGVAHKQLALSLFEDPPSCKSQSKGVLRNHVRKEKGFEGFR >KGN57378 pep chromosome:ASM407v2:3:12606824:12607458:-1 gene:Csa_3G182140 transcript:KGN57378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCAFAVSWWGNRCVLEKTVKLDGEEGFTCRTSKIMEVKKLRNRVETEKCVRRCGLDRNTLGISSDSLLDTRFTQNLCSSRCYNHCPNIVHLFTNLAAAEGLSLPKLCNAEGGNMRSREMSNIRSSGIVASGPIQSASISIAPAVAQEPLQFNSMSFAPAPNGV >KGN59720 pep chromosome:ASM407v2:3:33795644:33800222:1 gene:Csa_3G840460 transcript:KGN59720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLQSLAGQSRSLVRFLGQRRSFAVSTEEYRKRNYADNVAEYKTVIASLTAQRRYFSLTDVYDDMMLDGVQPTMDVFHLLISGTMKGARFQHAFFFRDEMKAMGLIPDVSLYNMLISLCGKCNNSEEAVRILDEMRRYEVKPNSQTYICLLNACAAAGRLDRVYTIVRDMTSAGLGLNKFCYAGLIAAHMNKKPVADDFDTKVVEFVERSKEWLSVDASSVTAENFMMGVSEEELYNIPTAEYVHRRGGFLNKQLTIYHVALNACANLKNVKVMETIMDMLKKDGKTPDVYMIMQVMRCYLHSGDIDRGHKAFEEHLNSGGSIAPELYTTLVEGAMIGYTPKGMQLAQETLENMNSRGFFLNPRSGSELLLAAAGEKTGGYTTANYIWDLLRAREITPLFPAVDAYYKGLKKREIPEDDPRLVLVTRIHENLQARFGGDRA >KGN58423 pep chromosome:ASM407v2:3:25121259:25124540:-1 gene:Csa_3G642640 transcript:KGN58423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRAHQCKPLHWIFASLSSVILARPSVSVSAARLEPATVTTSFVSDQNDSVREILIGLNSLGFRAYVGGCNFRTVVSTLSETVVDGVLDRLRTLKPDVAVAFFYFLINEYGFRHSIFSQFVVSHILAGKGRFKELDSVIKNLIVDQGLGSASIICDLLLEKFRNWDSNGLVWDMLAFAYSRHEMIHDALFVIAKMKDLNFQASVPTYNSLLHNMRHTDIMWDVYNEIKVSGAPQSECTTSILIHGLCEQSKLEDAISFLHDSNKVVGPSIVSINTIMSKFCKVGLIDVARSFFCLMVKNGLLHDSFSYNILLHGLCVAGSMDEALGFTDDMEKHGVEPDVVTYNTLAKGFLLLGLMSGARKVVQKMLLQGLNPDLVTYTTLICGHCQMGNIEEALKLRQETLSRGFKLNVIFYNMLLSCLCKVGRIEEALTLFDEMETLRLEPDFIVYSILIHGLCKEGFVQRAYQLYEQMRLKRKFPHHFAQRAVLLGLFKNGNISEARNYFDTWTRMDLMEDVVLYNIMIDGYVRLDGIAEAMQLYYKMIERGITPSVVTFNTLINGFCRRGDLMEARKMLEVIRLKGLVPSVVTYTTLMNAYCEVGNMQEMFHFLHEMEANAVVPTHVTYTVLIKGLCRQNKMHESLQLLEYMYAKGLLPDSVTYNTIIQCFCKGKEITKALQLYNMMLLHNCDPTQVTYKVLINALCIFGDLKDVDRMVVSIEDRNITLKKVTYMTIIKAHCAKGQVSKALGYFNQMLAKGFVISIRDYSAVINRLCKRGLITEAKYFFVMMLSEGVTPDPEICKTVLNAFHQQGNNSSVFEFLAMVVKSGFISH >KGN56627 pep chromosome:ASM407v2:3:7882948:7887238:1 gene:Csa_3G126910 transcript:KGN56627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARQMEEIQRKLSMLNYPRANAPAQSLLFAGMERYALLEWLFFRLLGDKSPFSQQNIQGDAIDRDEETGRIQYLAEIAKFLGITTTIDTEVIQGRGSYEERTEMIRLIVDLVEASIYADNPDWSVDEQVAKDIQLIDSIAEKQAQIFSEECKLFPADVQIQSIYPLPDVSELETKLAEQSKILLNLQQKVDDLASKHAYNPDEEYTEVESQLRAHLESFLETARSFNIIYTKEIRPWTHMMEVPQLHGFGPAANRLLEAYNMLLKFLGNLRNLRDSHAALAIGSSETIAGEPSSVTRIISECESALTFLNRDLGILSASIARERGQQGEEVTL >KGN58172 pep chromosome:ASM407v2:3:22395174:22398805:1 gene:Csa_3G585350 transcript:KGN58172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIINVKPGLHPSTASSLSSSRAFAPIRFPSPPSATPFDGFETSRRLQVLAMVVKRSPKRLKYSAPRFTKEGSLIYVKAESGEDGWKLDPIVNLLKEGAVGVIPTDTVYGIVCDLKNPSAIERMQRIKNIEPTKPLSILCRSFRDIDKYTTGFPRGDGQGHSSIFRMVKHCLPGPYTFILTASKELPKHCIRYGTTTAKYASRKNVGVRIPDDSICQAILEKMDDPLISTSVKSPKENEWLLDPVVIADIYGQEGLDFVVDGGVRVADPSTVVDMTITPPKILRQGKGARLPWMVAEGDDEPNIGEDIRT >KGN57022 pep chromosome:ASM407v2:3:10104820:10106358:1 gene:Csa_3G150010 transcript:KGN57022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHIAVERNRRRQMNEHLRVLRSLTPSFYIKRGDQASIIGGVIEFIKELHQVLQSLESNKRRRKSISPSPGPSPKAQLVALGSDNSPFGFENGVDVGACCNSSVADVEAKISGSNVVLKIISRRIPGQLPKMIGVFERLSFEVLHLNISSMDDTVLYSFVVKIGLECQLSLEELAFEVQQSFCSQLYLCQ >KGN59813 pep chromosome:ASM407v2:3:34627498:34628188:1 gene:Csa_3G848230 transcript:KGN59813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACLAALRTQVQLRSSAFSIARKGFSSFQRIAQSRLQSGTEIRQNVEVSGGEIGGTISANESEIIFIGTGTSEGIPRVSCLTDPVKKCPVRISKF >KGN60060 pep chromosome:ASM407v2:3:36601858:36606353:1 gene:Csa_3G874380 transcript:KGN60060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDENQALTNSGSCRSSRFSSLLASGGRDYLLSPTGAQVKVSNLDGKVLGLYFSANWYPPCRNFNQILVRTYEKLQDNGSNFEVVYVSSDEDSDAFNEYRASMPWPAIPFSDLETKIALNRKFGIEGIPCLIILQPRESKDETATLHEGVEVVYRYGVDAFPFTQERLEQLKEEDREKEENQTLVTLLTNNYRDYLFAHSFPKQVPVVSLVGKTVGLYFSAKWCLPGMFTPKLISVYSKIKRMVEMKEDEDFEIVFVSSDRDEDSFHSYFGSMPWLGLPYGDPMIKELAKHFDVQGIPCLIILAPNGKTITKQGRNLINLYRENAYPFTEARLEELVKEMGEEAKKLPTSVRHVGHRHELNLVSEGNGGGPFICCECNEQGSGWAYQCLECGFEVHPKCVEMNNRNSPIN >KGN56410 pep chromosome:ASM407v2:3:6688634:6693729:-1 gene:Csa_3G119430 transcript:KGN56410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKNLSNSKKKNKKSNKSKDERNVPSLASEQAGINNDQSKKKIITDARFSSVHSDPRFQNAPKHKAKVVIDSRFNQMFKDKRFSSTSTALDKRGRVKKGKSENPLRRYYKIEEKSEKDEDEDDDEEGVEVEEDDSDTVGSDVEVEKKNLRLENLDSSSELEESESEDDDDVETEESSYTTDTDEGDLDDIYDDETPELPVENIPEIDKETHRLAVVNLDWRHVKAVDLYVVLSSFLPKGGQILSVAVYPSEFGLQRMKEEELHGPVGLFDGEQKKNDEDDDDDNDDEEMDNEKLRAYEMSRLRYYYAVVECDSIATADYLYKTCDGVEFERSSNILDLRFIPDSMKFEHPPRDTATEAPSSYEVLNFHTPALQHSKIHLSWDEDEPQRVKALKRKFNADQLADLELKEFLASDESESDDESDDGEDQVDKKRKKGDKYRALLQSDEDGEQDGGQDMEVTFNTGLEDISKRILEKKDKKSETLWEAHLRKKHEKRMASRNKSADSSDDESSDTDREVEEVDDFFVEEPPVKESGKDRTKNIKGREHVGEDGAAEASRAELELLLADDDGVDTSIKGYNLKHKKKKGKEDITEDKIPTVDYNDPRFSALFNSPLFALDPTDPQFKRSAAYVRQVALKQPKGDGYQPTKSRHGKSSTKQPAAPGEDESKGDVSVKTEGDSSKKEKYELSSLVKSIKMKSKQLQLPSGGGKIPKKDRKDQFPTTEEELQPPTKNKSGKKQRKM >KGN57925 pep chromosome:ASM407v2:3:19151590:19152400:1 gene:Csa_3G392775 transcript:KGN57925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKFPNCVGQVISKYGSTHLLSFEEKKFEGLPMDNGAYMNNNTNNGKQQQQQQQRGYAKEGTFSSNEFQWPAKNYGCNFCKREFKSAQALGGHMNVHRRDRARMRLLPSWVSDNNYNNNYYSCPNPNFSNFSPSCFNFRSSSNKNSLCSSLQDQDKKEIGSCSWNNNIYKPSSLVVPHDDQEHVDDDADQVLHVFKKKKKGMVNLELKMGSLGDASSNNEDLDLELHL >KGN59053 pep chromosome:ASM407v2:3:29101300:29107009:1 gene:Csa_3G748820 transcript:KGN59053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLGFLLQASIPSWEAVAILFIFFFYLAIAGSVLPGRVIPGVILSDRSRLQYRCNGLLSLLVLVALLGVGVKMNYISPTAIADRGLELLSATFWFSVLATFLLCVAGYSSSSQSASLKPHITRNFIHDWWFGMQLNPHFLGIDLKFFFVRAGMMGWLLINLSVLARSVLNATLSQSMILYQTFCAIYVLDYFVHEEYMTSTWDIIAERLGFMLVFGDLVWIPFTFSIQGWWLLTNKVELTTAATIANCLVFLIGYLVFRGSNKQKHMFKKNNKALIWGKPPKVVGGKLLASGYWGIARHCNYLGDLLLALSFSLPCGISSPVPYFYPMYLLILLIWRERRDEARCAEKYKDIWAEYTKLVPWRILPYVY >KGN59005 pep chromosome:ASM407v2:3:28821635:28823872:1 gene:Csa_3G743420 transcript:KGN59005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPGRRNGVVDEDDHEEDNALFEEDGVIEFDSDTPPHLRPLATAAQLGDVDCLRTALDNLTLGSINDAVEDGDTALHLTCLYGHLPCVRLLLERGAALEVQDEDGAIPLHDACAGGFVEIVQLLINSANDTECVKRMLESVDAEGDTPLHHAARGEHAAVVRLLLASGASPTKRNTYGKTPTELPDPGTEARAILEAAASTHMAMGCQ >KGN60153 pep chromosome:ASM407v2:3:37242062:37247040:-1 gene:Csa_3G881660 transcript:KGN60153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYNTLRSSLTSLPLLKTLPNYSSLKPVIHIAVKSASRRIFVMNSSSKLDSRSPSSSSTCQVPGLESEEMDRVVEQMFRRYSSSSGFKRGKGVAIVWFRNDLRVLDNEALNKAWISSEAVLPVYCVDPRLFGSTCYFGFPKTGALRAQFIVECLADLKRNLTKRGLNLLIQHGKPEEILPSLAKALGAHTVFAQMETCSEELYVEKMVSKGLKTVVLSPTSEKSASAKSSAARSPTFQLVWGTTMYHIDDLPFDTNSLPDVYTQFRKSVEAKCKIRDCIRLPALLGPAPSIDDWGSVPSLDKLGLQPPSVVKGMRFIGGESAALSRIYEYFWKKDLLRIYKETRNGMLGPDYSTKFSPWLASGSISPRLIHEEVRRYEREREANQSTYWVLFELIWRDYFRFLSVKYGNSLFHLGGPRKVESKWSQDKNLFESWRDGRTGYPLIDANMKELSTTGFMSNRGRQIVCSFLVRDMGIDWRMGAEWFETCLLDYDPCSNYGNWTYGAGVGNDPREDRYFSIPKQAQTYDPEGEYVAYWLPQLRMLPKDKRHFPGKMLYIEQVVPLKFVNAGRPQSQDSTRRKTFGGKQAKDFRR >KGN56168 pep chromosome:ASM407v2:3:4518885:4522836:1 gene:Csa_3G081930 transcript:KGN56168 gene_biotype:protein_coding transcript_biotype:protein_coding description:Minor allergen Alt a MATKVYIVYYSMYGHVEKLAEEIKKGAQSVEGVEAKLWQVPETLTEDVLGKMSAPPKSDVPIITPSELSEADGFVFGFPTRFGMMAAQFKAFLDATGGLWRTQQLAGKPAGIFYSTGSQGGGQETTALTAITQLTHHGMIFVPIGYTFGAGMFEMEQVKGGSPYGAGTFAGDGSRKPTTLELEQAFHQGKYLATITKKLKGSA >KGN60024 pep chromosome:ASM407v2:3:36361357:36364651:1 gene:Csa_3G872060 transcript:KGN60024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METHQLFQITKTNSRFKRICVFCGSSPGKNPSYQLAALQLAQQLVERNIDLVYGGGSIGLMGLVSQAVHDGGCHVLGVIPKTLMLRELTGETVGEVRPVSGMHQRKAEMAKQADAFIALPGGYGTLEELLEVITWAQLGIHHKPVGLLNVDGYYNALLSFIDKAVAEGFITPAARNIIVSAQTAQELIFKLEDYKPKHCGVEGW >KGN59051 pep chromosome:ASM407v2:3:29093275:29094402:-1 gene:Csa_3G748800 transcript:KGN59051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSFSISRLSLSFSSSSSSFATTSLFPSARPTALSFSSLPFNSLRRLSPKPLRFSSSPASKSTISATISVGDKLPESTFSYLDSAGELQTTTVSDLTKGKKAVFFAVPGAFTPTCSQKHLPGFVEKSADLKAKGVDTIACISVNDAFVMKAWKDNLNIKDEVLLLSDGNGDFTRAIGCELDLSDKPVGLGVRSRRYALLAEDGVVKILNLEEGGAFTFSSAEDILKAL >KGN56730 pep chromosome:ASM407v2:3:8474871:8477917:1 gene:Csa_3G130850 transcript:KGN56730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNATLVLPELDANSFWHEKSGFHGIYDVENFIRTLRYDVRIVESIPDIRKNGKTKKIKPFQLRPPRDAPISWYTSAALEKMREHGAIYLTPFSHRLAEEIDNPEYQRLRCRVNYHALRFKPHILRISQSIVDKLRNQGHFMSIHLRFEMDMLAFAGCFDIFTPEEQKILKKYREENFAKKRLVYSERRAIGKCPLTPEEVGLILRSMGFDNSTRIYLAAGDLFGGERFMKPFRALFPRLENHSSVESSEELVENVRGVTGSAVDYMVCLLSDIFMPTYDGPSNFANNLLGHRLYYGFRTTIRPDRKALAPIFIDRENGRTTGFEEAIRRVMLGTHFGGPHDRISPESFYTNSWPECFCQMSPKNPADKCPPDNVLEILNGQLVESQTENDLDVSTQSNSTTSVRR >KGN60461 pep chromosome:ASM407v2:3:39589047:39592288:-1 gene:Csa_3G912930 transcript:KGN60461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKLLQFGRRALFYVRVLSGYEERRIRSLRFDLDKRLKQAEERKSAIRKLPEQTILGEVRRMVEEMQNLNKKLEETETAIEEYFKPIDKEAETLMKIQLEGEERNMRDMVKVMQQQALLEKAEAGKVTSRNLQTEKNQQTQNLASDTTPQKT >KGN59660 pep chromosome:ASM407v2:3:33375991:33377274:-1 gene:Csa_3G836440 transcript:KGN59660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSRKSRGRNQNPQLPSHIIETIISKLSVSVLLNLRRVCKAWNNIILTGKLLHLNSKANLFAHIPPHQMLHCIDFDSKTLKEKKINCIVGSFTFHPHFSTFKIINSCNGLLCFVNSKIMSKEHEKIGFELTQPFAIILSPITNEYVELPLNDAWKKTFYTGYSFGFGYSPNKKQYKIVKLSSIRRGLYVADIFTIGTFTRGSYGKWSRVPILIRFCVLGSGDGVYLNGSLYWNGYNGNYLDMRNGGKVVLLRFDVEDEKFEVVSFPHGVEDEVFRGSSNIWIFNNTLYLSCFDFNTNNGIGRFHVWKLMEEGYSWFKLEQEFVIRQPISNHWSTVALLHYIRYLKYRGYYDFSSSCTRYHFQLIKVFEDEKMLFLISRKALILYDSKTEQFEVTYNDLNQDQDGKLWIYDIDYSLNVDSLPKTLGVN >KGN59619 pep chromosome:ASM407v2:3:33103435:33110698:1 gene:Csa_3G829090 transcript:KGN59619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSSFTGGSGARRGGWAGSILPFSSVSPSAKPKHNRKYRRRLAIRDFIFSNFFTIGLLISFFFFLIVLLRYGVPKPISSPFKSHAIRSHRPRKPIVSENWNSEVLSSNVDITTKELYDRIEFLDIDGGPWKQGWKVTYKGNEWDSEKLKVFVVPHSHNDPGWKLTVDEYYDRQSRHILDTIVEALSRDSRRKFIWEEMSYLEKWWRDASDEKKESFAALVKNGQLEIVGGGWVMNDEANSHYFAIIEQMAEGNMWLNETIGVVPKNSWAIDPFGYSPTMAYLLRRMGFENMLIQRTHYELKKELALHKNLEFIWRQSWDAEETTDIFVHMMPFYSYDIPHTCGPEPAICCQFDFARSRGSLYELCPWRQDPVEINKENVQERATTLLDQYRKKSVLYRTNTLLIPLGDDFRYINIDEAEAQFKNYQLLFDYINSNPSLNAEANFGTLEDYFRTLRDEAEKINYSLPGEVGSSLVGGFPSLSGDFFTYADRQEDYWSGYYVSRPFFKAVDRVLERTLRAAEMMLALLLGPCQRSQCEKLPLGFSYKLTAARRNLALFQHHDGVTGTAKDHVVRDYGVRMHTSLQDLHIFMSKAIEVLLGIRHDKSDQNPSQFEPEQMRSKYDAQPVHKSIDLQEGTYQSVIFFNPLEQTREEVAMVIVNRTEVTVLDSNWTCVQSQISPEFQHDKAKVFTGRHRIHWKILVPALGLQTYYIANGLFDCEKPKPAKLKIFSTSTSLPCPTPYACSKVNGDVAEIENQHQSLVFDVKHGLLQKVINKDGSQNFVNEEIAMYSSWGSGAYLFKPTGEAKSITEEGGLTVVTEGPLMQEVFSYPKTGWEPSPISHSTRLYSGGNSIQEHLIEMEYHVELLGREYDDRELIVRYKTDIDNKRIFYSDLNGLQMSRRESYDKIPLQGNYYPMPSLAFMEGSNGQRFSVHSRQSLGVASLKDGWLEIMLDRRLYRDDGRGLGQGVTDNRAMNVVFHILLESNVSTKLNPVSSYSPLSPSLLSHCIGARLNYPLHAFIAKKPQPSSLQPTSRSFSPLAAPLPCDLHIVSFKVPRPLKYTQQSLEDPRFLLIFHRRHWDSSYCKTARSNCARVADEPFNLFNMFKGLAVSDARASSLNLLHEDTEMLGYNEQSGDVGHEGQLHIPPMEVRAYKLELKPR >KGN57826 pep chromosome:ASM407v2:3:17842768:17843984:1 gene:Csa_3G333840 transcript:KGN57826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQICEISEQVNIKSSAHKFYQFFKNKMDYVFVQMFPEIYKSCKVVEGNGFSDGSIIHLKFNAGKPEEVKERLAIDDANKSITFECLEGDPLRNFEVLKLKFQVLENGNNGGTVNWSIEFVKANEDVASPHHYLLCVTKVAKGLDDYLCNN >KGN56404 pep chromosome:ASM407v2:3:6661354:6667850:1 gene:Csa_3G119380 transcript:KGN56404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMESRVSRTRQKKADLHSILRKSWYHLRLSVRHPSRVPTWDAIVLTAASPEQAQLYEWQLNRAKRIGRIAHSTITLAVPDPDGQRIGSGAATLNAIYALAKHYHNLGLVHSTEVDSIGNGCGESDLLLPNLSNNNNGVSLSQLASFTSKKHILLLHAGGDSKRVPWANPMGKVFLPLPYLAADDPDGPVPLLFDHILAIASCARQAFKNEGGILTMTGDVLPCFDASALILPEEDSCIITVPITLDIASNHGVIVASKNETAGRGYTLSLVDNLLQKPSVEELTKNDAVLSDGRTLLDTGIIAVRGKGWAELVLLACSCQPMISDLLKCGKEISLYEDLVAAWVPAKHEWLQPRPFGEEVIRRLGRQKMFSYCAYDLLFLHFGTSSEVLDHLSGDESELIGRRHLCSIPATTSSDIAASVVILSSRIGPGVSVGEDSLIYDSSISVGVQIGSQCIVVSVNISETNNQLPGGAFRFMLPDRHCLWEVPLVGYTERVIVYCGLHDNPKISVSNGGTFCGKPWKKVLQDLSIEESDLWPTARTQEKCLWNARIFPVLSYSEMLTYAMWLIGLSDAKTEHLLPSWKSSHRVSLEELHKSINFLKMCTGSRNHQAELAAGIAKACINFGMLGRNLSQLCEEIKQKEVLGLETCKDFLDMCPKLHDQSIKVVPKSRVYQVHVDLLRACSEETAAFELEREVWAAVADETASAVRYDFKDLLDQPDKDKLGHSNNHSDNGIDQLIHHKRVTVNLPVRVDFVGGWSDTPPWSLERPGCVLNMAINLEGSLPVGTCIETTKTSGVLFSDDAGNELHIEDLNSITTPFDSDDPFRLVKSALLVTGIIHDHILTVVGLQIKTWANVPRGSGLGTSSILAAAVVKGLLQITDGDESNENVARLVLVLEQLMGTGGGWQDQIGGLYPGIKFTTSFPGIPLRLQVIPLLPSPQLVSELQNRLLVVFTGQVRLAHQVLHKVVTRYLRRDNLLISSIKRLATLAKIGREALMNCDVDELGEIMVETWRLHQELDPFCSNEFVDKLFAFADPYCCGYKLVGAGGGGFALLLAKSSVLAMELRNKLENDKNFEVKVYDWNISL >KGN59804 pep chromosome:ASM407v2:3:34539234:34546285:1 gene:Csa_3G848140 transcript:KGN59804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYASQIINHSKKLRSTPKLLRQASVVRWFSEDAQSSIAKDNEIWKIQGCGYKASGKGGIKNLANFNNRSQFLQCQRGVSMMTTSIGNPFSGSQINPARGFSSDSGLPPHQEVGMPSLSPTMTEGNIARWLKKEGDKISPGEVLCEVETDKATVEMECMEEGYLAKIICGDGAKEIKVGEVIAITVEDEEDIAKFKDYKPASSNTGAASAAESPASSPPKKEVVEEPVRSPEPKTVKQSPPPPAGERIFASPLARKLAEENNVPISSIKGTGPDGSIVKADIEDYLASRGKESTAPKAKDAAGAPLDYSDLPHTQIRKITASRLLFSKQTIPHYYLTVDTCVDKLMDLRNQLNALQEASGGKRISVNDLVIKAAALALKKVPQCNSSWTDNYIRQYHNVNINVAVQTDNGLFVPVIRDADKKGLSAISDEVKKLAQKARDNTLKPEDYEGGTFTVSNLGGPFGIKQFCAIINPPQSGILAVGSAEKRVIPGSGAQEFKFASFMSVTLSCDHRVIDGAIGADWLKAFKGFIENPESMLL >KGN57707 pep chromosome:ASM407v2:3:15972955:15973206:-1 gene:Csa_3G257850 transcript:KGN57707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCWGQMFAFHVVHVGGLMHKSHPSLKCCCYQVIVAYFNLFEIFVGCLGDEAYSLQDRSVRAVKDSKSSSLVLEVYHLCLGIV >KGN55614 pep chromosome:ASM407v2:3:260063:271088:1 gene:Csa_3G002350 transcript:KGN55614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFSLRAHNNASTSRLIDLIKLHRLSHAFMYPAADFHSVGRQDAARDDGLSGEIHAVKVAWMLTNVKKKGAANGDMPKWNKINGRAFGLTRSMIPSSSWKVLEVLHREGFEAYLVGGCVRDLLLRRVPKDFDVITTAGLTQIHNLFCRSRIVGRRFPICMVHIRGSITEVSSFDTAAKHSEENKITAHSQIPKKCDKKDLIRWRNSMERDFTINSLFFDPFSNVIYDYAEGMADLRSLKLRTLIPASLSFKLDCARILRGLRIAARLGLSISKETETAIHKFSPSITSLDKSRLMMELNYMLSYGAAVPSLYLLQRFKLLGSLLPFHAAYLDKQGIEKSSLSSVMLMKLFFNLDKLVSCAHPSNCNIWVALLAFHLALVNNPQNSLVVLAFAATLYHGEWNEGVNYAREKSLVEINLRPEITRSAKFKSEEKLAEGVTRFALKVQGCIAALTSKDCLLEAMSTFPASSNSGLVFVSNKTARDVAIIFEVLAKHVKSYKDEKKDFKIDYKRLGKGLFLRENRYVLGKIILETLEDAILQGNENIPDRNQNLRIDAPTKETSDSPVADLVQEQLVKGNKKVRKRPSVSEVELKANKKYKLVRKEGSISDKVVENGRCINMTEMYKKGVEGSQLPLAPMEESMEPILESRKCHHLEVRATENMRENPESMGNEVKKIIPKKAFQKVTKELLHPVEINPRKMDKVAGQEVKSEKKEHHRVSQGKKNIKKKRRDITDTVEINPRKMDKVAEQGKLKKNEHHQVPQGNENIKNEHGDITNIKQCKGPLSSLFK >KGN57038 pep chromosome:ASM407v2:3:10205529:10208691:-1 gene:Csa_3G150180 transcript:KGN57038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKLLGANLTNVTSSDTDLNNEQCENAMIVKAYPIDMVRATDAVDGENARNCMLEPFVGQEFDSADAALNFYTSYAQRAGFKVRIGQLYRSRTDGAVTSRRFVCSKEGFQLSSRTGCPAVIRVQRRDSEKWVIDLFHKDHNHHLEHDGGETPPPVIQVKAPRSAKLAVNVSHRRKVHLFKDVEDAFSCPSGFINSKHLNEIGNVILRKGEPCVGLEFNSANEAYQFYNAYAANAGFRIRIGQLFRSKNDGSITSRRFVCSKEGFQHPSRLGCGAFMRIKRHESGRWVVDRHKKDHNHDLEPQPEAQKRNLIASKRFAGELNCGFQGKEPVNLNNGLVMKRTRDNKIGSDWYPGLFEYFQSKQAEDTGFFYAVEVENSNCMSVFWADGRSRFSCSQFGDTIVLDTSYRKNAHAVPFATFIGVNHHKQPVLLACALLADESVESFSWLFQTWLRAMSGCHPVSIIADQDKAVQQAVAQVFPRTLHRFSSWQIREKEQGGLAMLDENFRFEYEKCIYQSQTAEEFDVSWNTLIGKYGLKENAWLKEMYIKRNNWVPLFLRGTFFAGILATDNFESFFGTPFNAQTPVEEFISRYEIGLERRRDEERKESLNSLNLQGFLQTKEPVEEQCLRLYTHAVFKVFQKELLNCYRYLGFKIYEEVALSRYLVRRCENDDEKCIVTVISTNLTVNCSCKMFEYEGILCRHILRVFQILGISEIPPRYILHRWTRNAEYGTLQDMDSDGGPQELKTVMLWSLREAACKYIEAGATSLEKYKLAYEIMREGGRKLRWQR >KGN57514 pep chromosome:ASM407v2:3:13872102:13877765:1 gene:Csa_3G202720 transcript:KGN57514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQVQAQPQNAISGINPAANGGANFVTTSLYVGDLDLNVTDSQLYDIFNQVGQVVSVRVCRDLTTRRSLGYGYVNYSNPQDAARALDVLNFTPLNGKPIRVMYSHRDPSIRKSGAGNIFIKNLDKAIDHKALHDTFSAFGSILSCKVALDSSGQSKGYGFVQFDNEESALKAIEKLNGMLLNDKQVYVGPFLRKQERDGVVDKSKFNNVFVKNLSETTTEEDLNKAFSEFGTLTSIVVMRDADGKSRCFGFVNFENADDAARAVDTLNGKLVDDKEWYVGKAQKKSEREVELKHRFEQTMKEAADKYQGANLYIKNLDDSIGDDKLKELFAPFGTITSCKVMRDPNGISRGSGFVAFSTPDEASRALVEMNGKMVVSKPLYVALAQRKEDRRARLQAQFSQIRSVPMPASVAPRMPIYPPGGPGIGQQLFYGQAPPAMIPSQGGFGYQQQLVPGIRPGGGPMPNFFVPMVQQGQQGQRPGGRRAGAVQQTQQPVPMMQQQMLPRGGRVYRYPPGRGLPDVAMPGVAGGMFSVPYDMGGMPLRDAAHSQPIPIGALASALANATPDQQRTMLGENLYPLVEQLEPDNAAKVTGMLLEMDQTEVLHLLESPEALKAKVAEAMEVLRNVAAAQQQQQAGNAADQLASLSLTENLVS >KGN56248 pep chromosome:ASM407v2:3:5309813:5312807:-1 gene:Csa_3G106000 transcript:KGN56248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPISLQLTPFISPLIHRPNLRLHRPPIPPLSPPRSLTVRSVQQNNEHPSPSPPPKPTGLDDFLSTAASLYPLYVTAGGIVACLEPSTFSWFVQRGPSSYSLSLGLIMLAMGLTLEIKDLFNLFMQRPLSILFGCVAQYTIMPASAVLIGKLLGLSQSLLFGLVLLGCCPGGSASNVVTLIAQGDVPLSIVMTVCTTLGAVIFTPFLTKFLVGAYIPVDAAQLSLSTLQVVVAPILLGSCLQKAFPSLVKLVLPFAPLVAVLTSSLLASSVFSENVIRIKSSMVSATLASDASLWTVLKSILSGELGVVILSVFCLHFAGFFVGYIAAAICGFRERERRTISMQVGMQNSSLGVVLAASHFSSAMVALPPAISAVIMNMMGSTLGFCWKYIQPSDEVKTSVVAK >KGN55837 pep chromosome:ASM407v2:3:1827315:1831356:1 gene:Csa_3G017310 transcript:KGN55837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNRHNGCVVSKQGIDSDLKALKIYHWIKCCVSIFHFCNRSVIEEAQKTTMAAAIHETSSSAHKRWLPLEANPDVMNQFLWGLGLPEDEAECGDVYGLDDELLDMVPKPVLAVLFLYPITEKSEEERRQQEKEAKKDYSNQVYFMKQTVGNACGTIGLLHAIGNVTSEIKLSEGSFLDRFFKSTKSMDPIERAAFLEKDDEMEVAHSVAATAGDTTAPEKVDTHFICFSCVDGKLYELDGRKTEPISHGPSSPNSLLQDAARVIKDMISDNPESLNFNVIAISKRTGPEGSI >KGN58421 pep chromosome:ASM407v2:3:25084047:25089737:1 gene:Csa_3G640630 transcript:KGN58421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLVPNHDENKDDILERAPILSQLDFLPQSVGSSSSAEIAEIIAIGRESSVSDDDLHNPNIDETCHLVNDQPQCRICLDTGGEDLIAPCHCKGTQKYVHRSCLDNWRSTKEGFAFAHCTECRAMFVLRANVPPDRWWLRLKFQFLVARDHAFIFIIVQLIVAFLGVLVYKFYGEELREMFGYEEHPYGFYAMAVLAIILVGLLYGFFIAIICGQRINERHYHVLAKQELTKEYIVEDREHNKNVPELDPSHVMELRLLGLY >KGN58450 pep chromosome:ASM407v2:3:25309849:25312282:-1 gene:Csa_3G645880 transcript:KGN58450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSLELSQTPEQIILRWDSAATEEVKDKMIFDSDREEIDRYLQAVDEIQRSMSSAPISDDHNKADSTMQIAMARLEDEFRHILSSHTTPIEVDSLTDPSPSSRSMSTSGSAVFEDENDDEPDLQEVQLDLTGSPSFGSSGRSSYRATSSIREIDLIPSDAVIDLRSIAERMISAGYQRECIQVYGSVRKSTFDSSFRRLGIEKLSIGDIQRLDWNELETKIRRWIRAAKVSIRTLFSSEKKLCEQIFYGIRTAIDDDCFMETVKGPVTQLFNFAEAISISRRSPEKLFKILDLHDALTELIPDIDVIFESKSAEYIRIQATEIVSRLAEAVRGTLSEFENAVLREPSLHPVPGGTLHPLTRYVMNYVSLISDYKVTLDELIVSKPSTGSRYSADPSIPDMDFGELEGKTPLALHLIWSIVILQFNLEGKSRHYRDPSLAHLFIMNNVHYIVQKIKGSPELREMIGDVYLKRLTGKYRQAATNYERTTWVNVLYCLRNEGLNVGGSLSSGPAKSLIRDRFKTFNAMFEEVHRTQSSWLIPDSQLREELRISIIEKLIPAYRSFLGLHGHHIESGRHPENYIKYSVEELENAILDFFEGCSVTHNLRRRSH >KGN58800 pep chromosome:ASM407v2:3:27767207:27770167:1 gene:Csa_3G732570 transcript:KGN58800 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ataxin-3 MDGACNGGMLYHEVQESKLCAVHCVNTVLQGPFFSEFDLAALASDLDRKERQMMLSGSTTGDFLSEESHNVSLDGDFSIQVLQKALEVWDLQVIPLNSPVAEPAQIDPQLENAFICHLQDHWFCIRKVNGEWYNFDSLYAAPQHLSKFYLSAYLDSLKGFGWSIFIVRGNFPKDFPISSSEASNGYGQWLSPEDAERITKSCNSTQAPPPPQRANWTEQQDTFLSSGETEMLIDMEDEDLKAAIAASLMDSSAVMAAGVANPPNEPVVSSTQAGSPQNVPAVALETANTQDVLAVSPNASILEDVPAVSPEAATLQDVPAISAKAASPQNAPNVSPEASTSQDVCELSPNAADIPQDLHTVSTAKAAIPKNKSAVCTEVSVHQNESGNESVGNADTAFCDSGSADNTECAVSSPRKKISRTNEGTA >KGN60371 pep chromosome:ASM407v2:3:38907564:38910567:1 gene:Csa_3G901160 transcript:KGN60371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYSGGNVVHVISGTSNENWPGEVWATEDEYRAWNNGGDGSVDTPSNSSYDQRQSQSRSGSEPPNKKSRSSQDVTSSNRSKAIGKMFFKTKLCCKFRAGTCPYITNCNFAHSIEELRRPPHNWQEIVAAHEEEKAVLSEPREEFQIPSLGTSNFGSESQRSYKGRHCKKFYTEEGCPYGDSCTFLHDEQSKNRESVAISLGPGGYSGGGGGGGGGGGGGGGSGNGSNTKPSNWKTRICNKWELTGYCPFGNKCHFAHGAAELHRYGGGLMETETRDSSAAPPDLKQGVLPKAPGDTLVASVPSAPHSDVYHVVVPSQRSTIVIQRPGQRTHQKWKGPDKISRIYGDWIDDIE >KGN57458 pep chromosome:ASM407v2:3:13228227:13228613:1 gene:Csa_3G187320 transcript:KGN57458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATARIVKDVSPHEFVKAYAAHLKRSEKVELPPWTDIVITARFKELARYDADWYYVRAASMARKIYLRGGLGVGAFKRIYNGSKRNGSRPPHFCESSGAIVRHILQQLQEMNIVDVDPKGGKRITSSG >KGN57229 pep chromosome:ASM407v2:3:11510298:11511691:1 gene:Csa_3G171860 transcript:KGN57229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLYRKLETDVVIEAPAWKFHEMLHRNPHHLSNIAADKVQSCELHEGEWGKVGSIIFWNYVHDGKACVAKDVIEAVDEENNSFTWKVIEGDLLDHYKNFRVTIQSIPKDKGSVIHYTLNYEKLHEDIEDSHTLLDLCVSISKAIDAHLMKEKN >KGN56320 pep chromosome:ASM407v2:3:6119460:6122293:-1 gene:Csa_3G115090 transcript:KGN56320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMTSYLFLFFILFLFLSPEIHSISFQINNFNSNSNIVYDGDAKPINESITFNGDLGWAIYTQDLLLCDHTNFKTHFSFLMKNNNNNNSNGGLAFFLAPFEFSPPFNSSPPFLGLYNSTQLIQPSQSQILHVEFDTFPNPEWDPPFKHIGINKNSISSSIYSPWNSTNQKTLVWISYNSTAKNLSVSFNNNIYTTLSLQIDLMEILPEKVTIGFSAALVEDLSIEYWEFSSNLDGNYENDSEKSTDMNLLAVLIAWVGVFVIAIVSIIIISFIRKKKKDDYEEHGVMKLASIYSDLNKEEALKPRRFSYTYLAMATDNFAKKRKLGEGGFGEVFEAHLPGANKTVAVKKIFKSSRQVKREYVSEVKIINGMKHKNLVQLIGWCHEGDDSEFLLVYEFMPNGTLHSHLFGDLPPLSWPIRYKISLGLASALLYFHEERENSVVHRDIKSSNVLLDSSFTAKLSDFGLARLAKHELNSKRPKLVGTFGYMAPEYISSGRASKESDIFSYGVVLLEIVSGKKCCDHSGKGLIELVWDAYGRGELVKAILDKKLGVEFVEAREVERLSMVGLWCVHPDSTQRPSIKQVIQVLSFQEAMPNLPLEMPLPTFNHASRIYKLNVAYPEENWSMTCSLKPLRT >KGN59189 pep chromosome:ASM407v2:3:30133269:30137802:1 gene:Csa_3G778450 transcript:KGN59189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTGGCTVQQALTCEALNVVKQAVILAKRRGHAQVTPLHVASTMLSPPTGLLRTACLQSHSHPLQCKALELCFNVALNRLPASNSTPPILTPSSHHHHHQSHPSISNALVAAFKRAQAHQRRGSIENQQQPLLAVKIELEQLIISILDDPSVSRVMREARFSSTQVKTKVEQAISIEHNTTPASNNNDDNSNNNTTLLGGATTTSGRAREEDIAAVINELAEMKKRSLVVVGECVGNVECVVEAAIGRVEKKEVPECLKEVKFINLSISSFRDRSRIEVDEKVMELKSLIRSNYCIGKGVILYVGDIKWSIDYRENYYYHSSNQRRGYYCPVEHMIMELGKLVYGNYDQQIHQPKGGGVNVWIMGIATFQTYMRCKTGNPSLETLLAIHPLTIPTGSFRLSLITDSDIIQSQSLEEKRQEIVLEEEKELNCCGECSAKFEIEARSLQNYSNNNSESTTSSTPLPAWLQQYKNEQKAVGENDQTKCVTVRELYKKWNSICNSIHKINSNNNNSISCSDKSLSFSCILPNSSSSASGFSYDHHHHHNNNHYDFLRNTQKEKLQEDHLGHFYEGNVEPKTLMVLSSNYNNNNNHGSTPSSGSSGSDVVLEGEYVSRFKELNSENFKRLCNALEKKVPWQKNVVGDIASAVLQCRSGMGRRKGKMGHGDFKEETWLLFQGNDLRGKEKVAEELARVIFGSATSNLVSITLSSFSSTRSADSTEDNCRNKRSRDEQSCSYLERFAEAVSINPHRVFLVEDVEQADYSSQMGFKRAIEGGRITNSDGQQVSLADSIVILSCESFSARSRACSPPIKKQQENEQEQEQNKGEEEQEQKREEEETAPCLALDLNISIDDDEDRAANDQSIDDVGLLDSVDRRIIFQIQEL >KGN58672 pep chromosome:ASM407v2:3:27098787:27116619:-1 gene:Csa_3G727900 transcript:KGN58672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGARTGTTGSVDLDAGPSENTQRWARFVATCLIGGLVIGISVLGLHFGAPHQPSLRIWRRKKKPVRVYMDGCFDMMHYGHCNALRQARALGDQLVVGVVSDSEIIANKGPPVTPLNERMIMVNAVKWVDEVIPNAPYAITEEFMRKLFDEYKIDYIIHGDDPCVLPDGTDAYALAKKAGRYKQIKRTEGVSSTDIVGRMLLCVRERSISDNQNHSSLQRQFSHGHSQKLDDGGSGTGTRVSHFLPTSRRIVQFSNGKGPGPDARIIYIDGAFDLFHAGHVEILKHARSMGDFLLVGIHTDLTVSANRGAHRPIMNLHERSLSVLACRYVDEVIIGAPWEVSKDMITTFNISLVVHGTVAEINDFQKRDCNPYAVPISMGIFKILDSPLDITTTTIIRRIVSNHEAYQKRNEKKANSERRYYEDKAYILGD >KGN56304 pep chromosome:ASM407v2:3:5923341:5932508:-1 gene:Csa_3G114440 transcript:KGN56304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLVGSLQLSYHLRPWRNYLHEENLKFTSQRYGIRLLNVSSSSSLLFQKDTWSTHLFSMKYPPNYTVPSRYNVFRCQSSLMTNQPLDPPGMKAAIVTLMRFCNVLGGCPPPVIKLLPPVCIILFAVWGLGPFLCYTRSLFHNDSNWKKSRTYNVMTLFLQPLLLWTGATLICRALDPIVLRTESSQVVKQRVLNFVRSLSTVLASAYCLSSMIQQAQKFFSESTESSDARNMGFQFAWKAVYSAVWVAAISLFMELLGFSTQKLLTAGGLGTVLLTLAGREIFTNFLSSVMIHATRPFVVNEWIQTKIEGYEVSGTVEHVGWWSPTIIRGEDREAVHIPNHQFTMNVVRNLSQKTHWRIKTHLAISHLDVNKINNIVADMRKVLAKNPQVEQQRLHRRVFLENVDSENQALLILISCFVKTSHFEEYLCVKEAIILDLLRVIRHHGARLATPIRTMQKMHSDSDLENVPFSDSIFGHRGATLNRRMLMIEPPYKVYGEDRKQSHSRTSRTTGEQNGKPIARSSGDSKAAKETMSSDRKIEVKTGGDGDTKKHSKASMSASEDKSSNELKYKPSSKSAASTSDTPTSNAKPTKSDADNSLEDSRPKQSKDSLGSNMQNFKPSLPAVSSPEDVKKPGGTTSAAASQPRIEGEQTTVSNPSTKKPGVEENIILGVALDGSKRTLPIEDNVPTTASGAKDLAAFNGATTTDKNTKRQSPSSPTTSSSE >KGN60405 pep chromosome:ASM407v2:3:39097435:39099652:-1 gene:Csa_3G902960 transcript:KGN60405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPVPLAPYPTPPAPYTQPSNATQSQLVCSGCRNLLLYPVGATSVCCAVCNAVTAVPPPGTEMAQLVCGGCHTLLMYIRGATSVQCSCCHTVNLALEANQVAHVSCGNCRMLLMYQYGARSVKCAVCNFVTSVGMSTSAIDQKA >KGN56285 pep chromosome:ASM407v2:3:5706081:5718393:1 gene:Csa_3G113280 transcript:KGN56285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRNHVDEIDVEHPADKEDGVVDEDMEVLQRAYRLAGVNPEDYINPRLSSPAAGDADPGSDSDDVDDFELLRDIQNRFSILADEQPQSTPVSADEEEDEFEMLRSIQRRFAAYESDTLSNKPNQSRDYVGSLKLDSDDIAVESQTSSKRPSMLAFEKGSLPKAALAFVDAIKKNRSQQKFIRSKMIHLEARIEENKKLRKRCKILKDFQGSCKRRTSCALSQMIDPRVQLISAAKPQAKDSSKKDKRLSGMYYGPDENSHVACYRMGLAKFPPVDRKKWSIVERENLGKGIRQQFQEMVLQISVDQISGPQGISGDSDDLDNILASIKDLDIAPDKIREFLPKVNWDKLASMYLQGRSGAECEARWLNFEDPLINRDPWTTSEDKSLLFTIQQKGLNNWIEMAVSLGTNRTPFQCLSRYQRSLNASILKREWTKEEDDRLRSAVATFGVRDWQAVASTLEGRAGTQCSNRWKKSLDPARTRKGYFTPDEDIRLKIAVLLFGPKNWNKKAEFLPGRNQVQCRERWFNCLDPSLRRCEWTEEEDLRLEIAIQEHGYSWAKVAACVPSRTDNECRRRWKKLFPDEVPLLQEARKIQKAALISNFVDRETERPALGPADFRPRPNTDSLCNTDGPIPAPKRNVKTRKMPVSRNEKSATGDAPKKRKSNYQRFQTDATAQVGIAYNTSFVPEEVQSSKPQRKRNRRGAYTAKRIGVPELRSDSEWCAKQNLDTESLGLQLNSKESERSNSNCTETVDENIMEVLENKVAEKLTEENACFSEPEKNQNSTGSSGVSVLSEMTNDLVDYNPSILTDTTLFASTTVDDIEELKGKSAADRDLDDSNSFSLAHSCLELRTVDSEGVDSYSVDEYTAKSNGVCNPTQGRRKKNSKTSNNSHDNLLIPRQQIVQETLGTKKPLHHNQSKKRKHSNTGPSTLKTSEAVEEVDDCTLVGFLQKRLKRTAMTHNETVDCSSNAPLKVDNDDNEPTIASFLNKLKRKKHQRPSGDELN >KGN58822 pep chromosome:ASM407v2:3:27848075:27849486:1 gene:Csa_3G733270 transcript:KGN58822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKDRKIGVALDFSNSSKNALRWAIDNLADKGDTLFIIYVNPNSLEESAHRLWAESGSPLIPLSEFREPEVLKKYDVKIDIEALDILDTGARQKEITVVSKLYWGDAREKIVDAIEDLKLDSLVMGSRGLSTIRRILLGSVSNYVITHAPCPVTVVKDSNFPHH >KGN58008 pep chromosome:ASM407v2:3:20286645:20286951:1 gene:Csa_3G427430 transcript:KGN58008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIKQDVHRDEAMNGRRKPQSHSYKGTAMDNVGGHVRGMGAMIFRWTVSGFEGFYQRDEGFLRDVHLTREAPP >KGN56131 pep chromosome:ASM407v2:3:4140712:4153889:1 gene:Csa_3G077650 transcript:KGN56131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERQGLDAKVSTNDCKSENVSRKRKLAEHRKSLPVASVESSLMQEVLRNDTLIIVGETGSGKTTQIPQFLFNAGFCRDGKAIGVTQPRRVAAVTVAKRVAEECGVEVGQKVGYSIRFEDVTSSSTRIKYMTDGLLLREALLDPYLSRYSVIIVDEAHERTVNTDVLLGFLKKVQKTRSRSLNDSFNTENMNTNGKLEKGNNGKYVSSLRQHRGRKLHPLKLIIMSASLDARLFSEYFGGAKAFRVQGRQYPVAISYTRKHVLDYTEATLITIFQIHLEESPGDILAFLTGQDEIESIETLAKETIQKLPESKRNLVVIPIYSALPSEQQLRVFAPTPPGVRKVILATNIAETSVTIPGIKYVIDPGFVKARTYDPNKGMESLIVFPTSKAQALQRSGRAGREGPGKCFRQYPEDMFYKLEDSTKPEIKRCNLSNVILQLTALGVDNVTEFDFLEAPPRQAIFKSLEQLILLGAITNDGKLKLSDPVGHQMARIPLDPIYSKALIVASQFNCLEEMLITVSMLSVESIFYHPREKQEEARAKMKCFSSPEGDHLTLINVYRSAVDFLNKKKLELSKEKLEKSLRKWCKENFINSRSLRHARDIHSQIRRHVEQMGLPLNSCGDDMLQFCRCLAGSFFLNVATKQPDGTYRDFSSGEVVQIHPSSVLFRKKPDCFGGIQHWTAPLQKVFGEVISTERSTKFLDSATVSEGYTVD >KGN57460 pep chromosome:ASM407v2:3:13249434:13251252:1 gene:Csa_3G188330 transcript:KGN57460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLFSNHSSHGITSMPNNSSFKHSISLSKPSFLYSTWHSPPPLAALADPVLAAVSTAINNAQTKPLASSLRRLLPSFKPHHFIDLINQNPFSLSPSSLFSFFNWLSSIPTFRHTSQSYCAMANFLSAHQMFQECQSIIRFLVSRKGKDSAASVFAAILDTAGTRCSNFVFDALMIAYWDSGFVSDAIQCFRLVRNSNFQIPFHGCGYLLDKMINSNSPVTIWTFYSEILEYGFPPKVQYYNILINKFCKEGSIRDAKLIFNEIRKRGLRPTTVSFNTLINGLCKSRNLDEGFRLKKTMEENRIYPDVFTYSVLIHGLCKEGRLDVAEQLFDEMQQRGLRPNGITFTALIDGQCRSRRIDSAMNTYHQMLTMGVKPDLVMYNTLLNGLCKVGDVNKARKLVDEMRMVGMKPDKITYTTLIDGYCKEGDLESAMEIRKGMNEEGVVLDNVAFTALISGQMKNANMLLEAMLNLGVTPDDITYNILLEGHCKNGKAEDLLKLRNEKGLIVDYAYYTSLVSEYNKSLKDRQKR >KGN59217 pep chromosome:ASM407v2:3:30283305:30300479:-1 gene:Csa_3G782650 transcript:KGN59217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPEPYVLFAQTFAHPHLDEYVDEVLFAEPVVITACEFIEQNASSTSQAVALAGATLPPSFAVEVFVQCEGETRFRRLCQPFLYSHSSSNVLEVEAIVSNHLVVRGSYRSLSLVIYGNTAEDLGQFNIGLDDSSLNNLVTSTEGNLEDLPLALHSNSLMIDEPVTSLMKLSQPGVVLDISAEVKQFLQLMDSMLQQLSLGDAIHKVLVIVISAASSYISYIRESSKDSERLCAIFNNAKKDLLKLCKAMQESEDLSAHFSTEFSFLESEDDLASTKQLVDILSKHWNFNLSSSTVGCPWKSKNTSVIFGLSVALFLCSARESCFHFVNGGGMEQIVHVLCNDLQDSTSATLLLLGVIEQATRHSFGCEGFLGWWPREDENVPSGASEGYSQLLNLLLKKPRHDVASLATHILQRLSFYEVASRYECAILSVFGGLSSTGRVSNVHLDVLISMKSQLKKILNLINLCGPIQDPSPPSIAAKSLFLGHTDVFLTCKATSCLISSSKCRFSQWDADPQLLALLKERGFFSLSAALLSSSVRRSEESKIMDVFLEIVSSIGAIILSLLFSRSGLIFLLQHHELSATILHALMGDEEASLEECMPIRYASTLISNNFFCKPSHVSMIVRIHLRVVSAIDRLLMTTPNSEEFLWVLWELCSISRSECGRQALLALTYFPEAIVILIESLRLVKEPESASRNSGALPLNLAISHAAAEIFEVIVTDSTASSLGSWIVHAMELYKALHSSPPGSNRKDAPTRLLEWIDAGVVFHKSGAVGLLRYAAVLASGGDANSNLANTLVSELTDLDNTAEPDVNVMDNLGKTISEKTFDGITLRDPSIAQLTTAFQILAYISENSTVAAALYDEGAVAVIYAVLVDSRYMMERCSNNYDYLVDEGTECNSTSDLLLERNREQSLVNLLVPCLVLLLNLLQTLQVAKEEHRNSKLMNALVRLHREVSPKLAACTFDLSTSFPNSALGFGAVCHLLVSVLACWPVYGWSPGLFSSLLDSVQATSLQVLGPKETCSLVCLLNDLFPDEGIWLWRNGMPLLSAVKKLGIKTILGPQMEDVVNWYLEPCHQEKLLGQLSLQLEKISQVVQHYAISTLVVIQDMLRIFIIRLCCLKADSASILLRPIFSWIRARVSDLSSLSDIDAYKICRYLDFFASLLEHPRAKALLLNEDVIQLLIEVSHRCLDDLDTDEKLIAGCRFSTKCGFSLLNWCLPVFKSCSLLCYSRPSLKHIGKHNLRHFGLLSAEDYSLILHSVLVFCQVLPVGKELVACLAAFRALGSCSEGKTALASILIDIFNGDERGSQGHKKGSDCTFNVSSWRMNPPLLCCWKKLLISIDSNDYMPTYAIQAVDALSSGSLSFCLDGSSLVLDRIGEIKFLFGFSDAVDGVNDSSPKDVIGYIQEMIDVFKLKLRLGDYPEDSNMPTFMHKVLESAESLSLLLEKPTGSVNVEDVNLPDNASLTPSNVLDSLKLYQFADDSIGNVDDNLLLGLGDKFMWECPETLPDRLNALPAKRKMSTMDGQARRARGENSPAEISSQNTFSRGSGISTAPSLPSRRDTFRQRKPNTSRPPSMHVDDYVARERNVDGAINSNVIAIQRVGSSSGRPPSIHVDEFMARQRERQNPVAPVVGEAASQVKGGVPANDTDLEKLSKPKQLKTDLDDDLQGIDIVFDGEDSDPDDKLPFPHLENGLQQSDPVLVEQGSPRSIVEETESNGNDTGHFSPMRGPSVSNVDENTQSEFSSRMSVSRPEFPLARESSVSSGKKYFEHPDDGKNAIPVRSTGGVDTSAAVNSSYNNATTPPSKFLPEPRANTPNHFLKNSPQHLGSGPPPSIGSQGFYEQQRFFPSQPPLPPVPPPPTVTPAISQPSDLAPSQSSPFGNFVSDTQQRYSSTFHVPSDYPSGYNSSTSFSSGSVRPPPPLPPTPPPLSSSPHNLSSSKISLPSTPVYNMESVGMAEIPHNPTASSSDTRLGGASAPGVMLASNSLPGLPHLVFSRPSMPGNLYGGISTQQQSENTSNILPNLAIPPSSMPSLHPLPQLQPLQPPQLPRPPQPPPQHLRPPIMASQQPEQAVSMQSSVQMQMHQLQMLQQPRVSPQFYQSQPVGLSHPPPQQQFEHPQHQTMHQLGDTATTSQQQQDSAMSLHEYFKSPEAIQSLLSDREKLCQLLEQHPKLMQMLQERLGHR >KGN58184 pep chromosome:ASM407v2:3:22483738:22484396:1 gene:Csa_3G585950 transcript:KGN58184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVITQVNNCDIAIIVVFVIIAIVVIIFSRRHTTLHLQLSLVHRNISDVSFVSALSLLLHLLCQADLRSQFLNLLRSHISFVSFDISSNFDISNLQHLLYSPNLISPSS >KGN59646 pep chromosome:ASM407v2:3:33294598:33295380:-1 gene:Csa_3G835830 transcript:KGN59646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLALYRKGQGRRMAAENRRVEIRKVKRGKEKRKRMETILKASWNNVAEKPSFMNVDRVLGGLFKESTFFEAVSQTVTVFVAIHCFNLEFWYSVGEDGKKRSVANSDLNI >KGN57796 pep chromosome:ASM407v2:3:17329813:17331302:1 gene:Csa_3G307160 transcript:KGN57796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFQSTYGKPLLAARDSKCSARDAEAGALAMEALHKQVMPFLLRRTKDEVLSDLPEKIIQDRFCDLSPVQLKLYERFSGSHVRQEISSMVKSNESEVPQESSGSTKASSHIFQALQYLLKLCSHPLLVTGEKMSDSMKCILTELLPDSSDIISELHKLHHSPKLVALSEILEECGIGVDTLGSDGAVSCGQHRVLIFAQHKALLDIIERDLFHAHMKNVTYLRLDGSVEPEKRFDIVKAFNSDPTIDVLLLTTHVGGLGLNLTSADTLVFMEHDWNPMRDHQVV >KGN57064 pep chromosome:ASM407v2:3:10391851:10397346:1 gene:Csa_3G151410 transcript:KGN57064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLSRISDHESGEKILQRETRVAIVTILRTQKILLHFSLLSLLPFSLIFLFQFSAQIASTNSSVTHPFSLSSVRSLKLIQSCKRFNWKFIVSSAVRLMTMELFEIQPAELKFTFELKKQSSCLIQLINKSEQHIAFKVKTTSPKKYCVRPNTGIIKPKNTCDFTVTMLAQRTAPPDMQCKDKFLVQGTVISPGTSEEDITSDVFAKDSGKHIEEKKLKVFLASATPTPVLLPINGELKLDSNHETSMPRDRMQTGVENIPPPSKVAEDSNGLDTRKHIDELRPVDTPVSLSPPYKVAEGVEKIDTCKDADENGAAENVPTRRSEVAESVENIETMPAEGIEESKLSKDLPELNLTKDFQELKSKLALMDAELLEAEATIMRLKKERTVTTQEREMLKRDLETLRKSGQRSIQVGFPLMYVLMVACISLLVGYFIHPY >KGN58675 pep chromosome:ASM407v2:3:27142258:27145151:1 gene:Csa_3G727930 transcript:KGN58675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMAITTATATANGRWSFTTLRSALPSVGSEICTRTRVSFPLSNARVSRRTCSKPIASFSGLCPLNPLISTGSADYNGFEHNFEIVDNGYRVFAMRHGKRVPKLNRPPDQRRALLRGLTTQLLKHGRIKTTRTRASAMRKYVDKMITLAKDGSLHKRRQALGFIYEKQIVHALFAEVQDRYGERNGGYTRIIRTLPRRGDNAPMAYIELV >KGN58195 pep chromosome:ASM407v2:3:22571353:22576979:-1 gene:Csa_3G588540 transcript:KGN58195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLGLKGKHRRGDIVQVDYRIHVQDIKPWPPSQSLMSLRSVFIQWENGDRHSGSSNLVIPTIGSIVGEGKIEFNESFKLPVGLVRDMPVRGKDADTFQRNILEFNLFESRREKAGKGQLLATATIDLAEFGVVKDTTSVTVPIHCQRNFKNTLQPILSIKIQPIDKGRSNNSLKDTLSRRMSLDSFDGESAAASIHEEFADPNKIASFTDDDVSSHSSMTTSSALEPDSCVAPPIEEDGGLSTLINGTDHRQEHASILNLEREKSNVTTENGAHGGLNVNSSSSSSIELSSDPGSPENNLSSISSSPKVGSMSIERNGKKSFTVYFSSSPKHEQHEIDIHNHVKIEDAEHLAKESNGRKSDGMNYQEASNVETKEDGDHLSSRQGDTFGQKNDRLKHVKSVRSPLESAKCNGFSSKQLTGVEEGGAPVYLDNSLESVRRNEKRDSKPYAKDTKSSVWDSKVQQLQHKIKMLEGELREAAAIEAALYSIVAEHGSSMNKVHAPARRLSRLYLHSCKESSQSRKAHAARSVVSGFVLTAKACGNDVPRLTFWLSNSIVLRTIVSQEDVSLKMQVISGSHSSKNGANRESSKAASTLKWKASSPNNRENGNTKHGSSGDWENVQTFTSALEKVEAWIFSRIIESIWWQTLTPHMQSATAKTINQVSNSTSGKSYKRNSSSVDHDQGNFSLDLWKKAFKDACERICPVRAGGHECGCLPLLSRLIMEQCVTRLDTAMFNAILRDSADEMPTDPVSDPISESKVLPIQVGKSSFGAGALLKNAIGNWSRWLTDLFGLDDDDQCEDENDNTEGKDASTLKSFHLLNALSDLMMLPKDMLLNQSIRKEVCPSFSAQVIKRILEHFVPDEFCEDPIPDAVLEALDIEEDPSELDDKFVTSLPHAAAAVAYHPPSEASVAAFIGNVGTNSELRRSRSSVLRKSNTSDDELDELCSPFASILDVTISPSTTSKPSRTSENTRNQNATRYELLRDVWGE >KGN60195 pep chromosome:ASM407v2:3:37573593:37578977:1 gene:Csa_3G888540 transcript:KGN60195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISKLAILSLFLALVFTQLRADESLDVEAQHIVEVVRSDDSEFSDLKLELDQLKFKIQKLESDLDVKNQELKRRDEVIAQKEKVITAKLDSISLLESEIASLQKKGKLDAEEQVGKAYSRAHELERQVDDLKRQLEILNGEKESWETLANEAEKKTLEASLRLESLQKIHEEQKSKIRVTERALEVSKEEMRKAKFEAATRIKELTEVHGAWLPPWLASHYDQFQSLIKTHWNKHAKPAIDVVIQKASDKTAQAAKWAEPHVKTVKIKYIPIVKERWLVVKTNVKPHVETLTAKTVEFYQTSKSVITPYAVKSKEAISPYYLEVKKFSKPYIDQVATVTKPHVEKVRVVLKPYTKKLVRGYGKFLESAAVYHQKVQGTVKETLNKHELTKPLATRELEWFAASAILALPIIFLFNMISALFWKKTKKPTRNTGHHARRKGKRGHSDK >KGN57669 pep chromosome:ASM407v2:3:15400393:15401042:1 gene:Csa_3G239330 transcript:KGN57669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKAAKSVAKAISEYQYPWQEKLAKYKNELSKGVWGYWELGAWKSLGISARHRARLRKEVLLAGQDWPYDPERKEMRTKRKGHKCDRIAAEKRENTARLMEKMPDMLLQYKKRRWEKKMKEEEKKKQDS >KGN56561 pep chromosome:ASM407v2:3:7479145:7484067:-1 gene:Csa_3G124810 transcript:KGN56561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEQFRSLNASFFILLCSEISLIYIHFLVQEILVFDDLKYERFFSSAVSKVLLLAVAPPPPPPRERRLSPRHFLLLPEPRSQMKTSELIVQDEEAAQQTMKNTEWPDGLDKKDEKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKQKEHKTQKDEQKEEKESDTEPWVKNEEIGFATGWRDCSDKKDREKKKKHKKKQNEHNAQKDEQKEEIESDAEPGESETETYVKKDDEKPKKKKAKLSEGIDGNNHKREPNSGKEKKKKKQKTEDSTESSSPITKEKKRKKTKYVEKVQEGGAGLRENEEISSSPERQSPKGSSKKVRFSEDVEIFPLVDDQNSGKTKEDDGLIRGKRFSKEEDEIVKKAVFEYIEKHALGDEGLKMVLHCREYPEIKSCWKDIGKAIPYRPYLSVYYRAHILFERDEKRKWTHEEYELVRKFHDTHGSDWKGLADVLGKHRFHVKDTWRRIKLPNMKKGQWTQDEYQKLFDLVNKDLRLKAYEEKRSKHGMLRDNICWGAISDVLSTRSTALCCQKWYRQLTSPMVAENEWADVDDYRLVDALSSLDACSIEDVEWDQLLEHRDGDVCRKRWSQMVKHIGDNGNKSFSEQVEILSQRYSIDVLDAREAYDNAPVVD >KGN57292 pep chromosome:ASM407v2:3:12022624:12026188:-1 gene:Csa_3G176870 transcript:KGN57292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLELEQECLEVYRRKVDQANHSRAHLRQAIADSEAQLAAICSAMGERPVQIRQSDQKAVSLKDELKQIHPLLDEMRKMKSERSNQFLEVLQEIQKVSDELNGCEHSASKIALDETDLSLKKLDELTRQLHALQQEKSDRMKLVHNHMRTLDSLCLVLGMDSKQIAIEVHPSLGDFEGPTNITIHTIKQLAAMIEKLRDIKLQRMQKLQDLASTMFDLWELMDTPDEEQQMFQNITCNVAASEHEIIEPNALATDVIIYVEAEVSRLEELKSSRMKELVLKKRLELEEMCRQLHVVPAEDSAIEYVIDAIDCGSLDPACVLEQIDSQIHKLKEEAFDRKEILEKVERWLGACEEECWLEEYNRDENRYNAGRGAHLTLKRAEKARALVNKLPGMVSALTSKTMSWEKERGIEFTYDGVRLLSMLEEYTILRQEKEQEQRRQRDQKKLQGQLIAEQEALYGSKPSPSKPQSVKKGPRMSTGGAGAVAGTGSRRFSLGGALLQTPKPDHKGLRPGRKSDRGHQNNQAFHLDEGFVEALSAGKRGLDGLLPVKKHSLGANAGEGESPMVVRKPFAPIPTPTALFSNSANSSNSLNDLNEKLQKLNMSSSKTPIKTTSISEEVITPKIIPIPLPSTPSTVSVPMQTAMTPALPHPVDLTARISEDISEVVEYSFEERRAGFVLPKALHIKAMIQV >KGN57167 pep chromosome:ASM407v2:3:11072898:11079599:-1 gene:Csa_3G166320 transcript:KGN57167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVFSSPPSTASFNLFSSSQNPTNLSKYRCKIFSTHLHSAHNSFSVPPCCSARDSSTAFLVADRSSERANGETSEEVITIRRPVMEFPGEDEEREVESSTPMIDVRLTEIAKKMPIFEPENRVDSSALERPLIINLDLALYRAKMLARNFQYDEAQQVLQKCINKWPEDGRAYVALGKMLGKQMKAAEAKAVYERGCQATQGENSYIWQCWAVLESRMGNIRKARELFDAATVANKKHIAAWHGWAVLELKQGNIKKARNLLAKGLKYCGGNEYIYQTLALLEAKSNRYEQARYLFKQATKCNPKSCASWLAWAQLEMQLENNLLARELFEKAIQASPKNRFAWHIWGLFEANTGNIEKGMKLLKIGHVLNPRDPVLLQSLGLLEYKNSSASLARVLFRRASELDPKHQPVWIAWGWMEWKEGNIVKARELYQRALLIDSDSESAARCLQAWGVLEQRVGNLSAARRLYRSSLNINSQSYVTWMTWAALEEDQGNAIRAEEIRNLYFQQRTEVVDDASWVMGFLDVIDPALDSIKRLLKLEQDPFTASRTADGGPRNTSIDDSAASSSSNNVGESETGFDLDAFIMKKLSIDTSKLEIQMETTRPKRFKYQRRQLKSENRQEMAVSKSQGTESSSG >KGN58917 pep chromosome:ASM407v2:3:28314234:28315403:-1 gene:Csa_3G736650 transcript:KGN58917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDFTKELSKNTLPGPKQTLPLAFGIAAAGNGHVDQHQNRRSCGTKEGIESQSSAVGRIPESHASVFDIFKKIRRAERFGIPVRLSEAEKRFSRAERFGIDSVCGPDEMAKAEELKRKARAERFELLTLSVATDEEAKKKARLARFAPCL >KGN58122 pep chromosome:ASM407v2:3:21615197:21620194:1 gene:Csa_3G524580 transcript:KGN58122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFISNSMPCKTLPCRTLFPQCAASSGPRQWLPSSLSNAGKRVRELRCEFEVNLNGALSGDFDPRSVDRKKALEAAMNDINGSFGKGSVTRLGSAGGALVETFPSGCLTLDCALGGGLPKGRIVEIFGPESSGKTTLALHAIAEVQKLGGNAMLVDAEHAFDPTYSKAVGVDVENLIVCQPDHGEMALEIADRMCRSGAVDLICVDSVSALTPRAEIEGEIGMQQMGLQARLMSQALRKMSGNASKAGCTLIFLNQIRYKIGVYYGNPEVTSGGIALKFFASLRLEIRSIGKLKSAKGDEEIGIRVRVRVQKSKVSRPYKQAEFEIIFGEGVSKLGCILDCAEIMEIVVKKGSWYSYGDQRLGQGRDRALQYFKDNPALQDEIEKTLQTMLTSGTVQMGFQSIRKPLPNQEVLEEFE >KGN57734 pep chromosome:ASM407v2:3:16358274:16361508:1 gene:Csa_3G270290 transcript:KGN57734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLLSWAADVVAGGGGGANHNDERTTSIPLIFTPDQQNYVRELNQKAASLSRSVRDLRLRLPPPDISQRLPHLHAHSLASTADLTLQLNAHSSTREQVQLREISLQEENVAYGKAISNCENKIQEKRQEADLLLRKLEELEETTKNLEVELENAQAALENDESINFGKLTSKPSKVEAEQDMELSKSALLDKLEIKKQELSSMEDTVKELEKNWAEIQDKALKQPSPVQREKMLDKQLHSLMEQLAVKQAQAEGLASDIHLKEMELEKLKTSSRRLQSSSSEANIARNRFGKSMSDKNFQDLLADSHHRLPYRSGGRSENQQRLMLFRSAFVVYILALHILVFIKISF >KGN55791 pep chromosome:ASM407v2:3:1416628:1418281:1 gene:Csa_3G015870 transcript:KGN55791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFSLKLPDNQDQKAPIIRGKLPITMFNQPFTSSFTSAVNSSSDLSFSLSSNFPSGPCFKLTYSPMLASPSAASTTSPFTFSLKSGLGLFGSPEDSPLVFSSQFSLSLSKPFVPTFSLLFKPQFGHFCLKKSTVSGVHDQFSVIHPNDGVQLDSGSGLNSKFGSGFGVDESMGWQELKLESSSGGHGSKEEFGDHSDGGIQRHFADTKSIRDSVFSGVAVMARTTVPVTKRMAVNFRWGMNFPTNPVTKMPFLTVNKISVERVEEEKEEKKKIGENQGGDVELLKGMLCWMKKDVESLEKENREMKQLLEEIKLGSMANRAASSSSLSYGELESWRNNKSGWEESKSKNSRNGGQENDWRKKKSSEEVNDGKNSRRGGEKNGGKGHSSTNRSNDVESELERAIKAASQVKA >KGN58262 pep chromosome:ASM407v2:3:23244506:23244926:1 gene:Csa_3G600520 transcript:KGN58262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKISNRHAIPIISSRFRSLPSWKNIVHLQMFTIFERRSSPKSIISRCRSPTIIAHQVLRLKQYNLQSQALIISPPLNHSLALLPGLSILGFLQLRLL >KGN55760 pep chromosome:ASM407v2:3:1168108:1168926:1 gene:Csa_3G011635 transcript:KGN55760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLNCLSCQILQRTDSERHRDRQVQTYYTSDEFNSSERSWSGNLCLRPNRGGGGGGGGRGFRGMADNKVAPIGHRRAVSFGGKEPRLIRSSGMRRDWSFEDLRAIREEKQPSPNS >KGN56920 pep chromosome:ASM407v2:3:9515108:9523404:-1 gene:Csa_3G143610 transcript:KGN56920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTHMFLSQHQCSILRLSPPSSLPRFRRNISFSTSSRAFPLHFSWTNHLDFNACPLLISGGIWEGCSQKKHDRVRTSMCTSSSTDQNASGYRVNPNIFSQAIQAKVAVKVVFSCCLLSLTQVTSVKSIAKTVIEIFPFIVQNFGGSLPFACVSNALNKPTPLQLDVYLPAFRDIRWSFARLIYLFNIQLERNVGTFLVVLLVACISFILIGGFLFFKLRGSTQSLEDCLWEAWACLCSSSTHLKQTTRVERVIGFILAIWGILFYSRLLSTMTEQFRHNMQRLREGAQVQVLESDHIIICGVNSHLTFILKQLNKYHEFAVRLGTATARRQRILLMSDLPRKQMDKLADNIAKDLYHIDILTKSCSLSLTKSFERAAASMARAVIILPTKGDRYEVDTDAFLSVLALQPIANMDSIPTIVEVSSSNTCELLKSVTGLKVEPVENVASKLFVQCSRQKGLIKIYRHLLNYRKNVFNLFSSPNFVGLNYKELRQGFHEAVVCGIYRNGKIHFHPNDDEILQQNDKILLIAPLLGGHKGTGGHTNVTKEGSNTIKKLENIKNNNVGLLDSLETDKKGFENIIKHPTKPSFKASKWTEGPKECILLLGWRPDVVDMIEEYNNYLGPGSVLEILSDASFEERERANKAADHKNLKNVRVSHRIGNPMDYDTLEETLINIKRSFNKNEDVPLSIAVISDREWLLGDPSRADKHSVYTLLLAESICKKHGVKVQNLVAEIVDSKLGKQITRIKPSLTYIAAEEIMSLVTAQVAEDSELNEVWKDILNAEGDEIYVKDICGYMKQGEDLSFSELSERAHLKQEVAIGYIKNNRKVINPIPKSEPLSLELTDSLIVISERE >KGN59202 pep chromosome:ASM407v2:3:30189253:30190261:-1 gene:Csa_3G780540 transcript:KGN59202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETVEGVSFPIVFHDGERDTNIGSVIVSSSTEFKNFQSSLSKMIGISSHQFTVYLAEYKISLDSSTKIRRRIPITGKVNFGAISGEKNSFFLVVLKRSRRERRRKVIHDNEEDYYFSSATKTQTKTNLLKKKNPPENVMLLRRNGGIENELLAGFISPVMDRYEYEDRIRKLQLEKEKYLMSIQMSNLRMGDGGDGGRNKSGRSERRICGDCLSAKERGVAAGFHCCANDAVTAGFRSHAGPIARPVKESE >KGN55674 pep chromosome:ASM407v2:3:589884:590975:1 gene:Csa_3G002930 transcript:KGN55674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPSSFAITAPFISSSKLHPISKSLSFSTPFSKSLSFSTPFPKSSSFRTLLPVSSSNPSNPSPIDSSDSFADTLPAVNRTLKSRLRNGDTLYGIFLLSFSPSLAEIAGFSGYDFVVVDMEHGYGGISDALPCLHALAAAQTPAILRIPENSATWAKKALDLGPQGIMFPMIDSSKEAKKAVSYCRFPPAGVRGSAHPVVRASKYGIDEGYLTNYEDELLIMCQVESEQAVKKIDEIMEVDGVDCIQMGPLDMSGSMGYLWDPGHKKVKELMRKAEMAVLESQIENGEKGSFLCGFSMPHDGPIDMKRRGYQMISGAVDLGLFRSAAVEDVRKFRMSEMDSSEDENQPLTHKEEDEEDKYWSE >KGN58503 pep chromosome:ASM407v2:3:25716427:25716634:-1 gene:Csa_3G651870 transcript:KGN58503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIELTGLCRLLQKKPTIWVNFRLLDWEIDKACDKLNRQQADRKDEHSSLGLSSGVV >KGN59003 pep chromosome:ASM407v2:3:28809219:28811460:-1 gene:Csa_3G743400 transcript:KGN59003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tonoplast intrinsic protein MPFQRIVIAVGRPEEATHPAALKAALAEFISTLIFVFAGQGSGLAFSKLTHNSPTTPAGLVIASIAHGFALFVGVSTAANISGGHLNPAVTFGALLGGNITILRGILYWIAQLLGAVVANLLLKFVIVDVAITGFLPTAGVGIWEAFVFEIVMTFGLVYTVYATAIDPKRGEVGVIAPIAIGLIVGANILVGGPFTGASMNPAVAFGPAVISWSWANHWIYWAGPLIGGGLAGIVYELFFIGFTHEPLPTAEY >KGN56156 pep chromosome:ASM407v2:3:4359812:4360231:1 gene:Csa_3G080340 transcript:KGN56156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLTSIIALLALALVIADAHRTTITTVEVDEENPGRMERCRQMRAREEIGSCGQYLSQQSRYVLQMRGIDNQRRRGGQLFDECCSELTNVEEECRCELLQEIAMEEQRRARGQERTQMLQTAKNLPSMCGMRPQQCYF >KGN59674 pep chromosome:ASM407v2:3:33449921:33456784:1 gene:Csa_3G837550 transcript:KGN59674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVAGPEDHQRRRGDLEDLRRIYESTQMLASHRKDNSDDFYGRRVLSNYSSSDTDEETSDELVCVTSGVSLLGLALVNQLLLRGFSVRILVDSPEDREKVNEMKLKTEAGGGVSKIWTLWGDLRESHSLANAFEGCRGVFHTSSFIDPSGLTGYSKAMVEVEKKVSENVMEACARTSSVRYCVFTSSLLACIWRDGTRAELPPVVDHDCWSDPSLCKNKKLWYALGKLKAEKAAWRIAKERDIKLVTICSALLTPPPHLSTNNSTPTIAYLKGAQEMYDQGLLATVSVRTLAEAHVNVYEAMGENEAHGRYICFDQIIKTQAEAEALAREVCVPITKICQSQEEEAEKASTSTSTKFQLSNKRLFNLTSSRAPSTRCFSLNAFP >KGN57581 pep chromosome:ASM407v2:3:14512631:14514977:-1 gene:Csa_3G218170 transcript:KGN57581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELNLKPMDPSNFFTGEGGSFHKWFPSDFPIISQTKVGAGRLLLHPRGFAVPHNSDSSKVGYVLQGSGVAGIIFPCKSEEAAVRLKKGDVIPVPEGVTSWWFNDGDSDFEVLLVGDTRNALIPGDITYVVFAGPLGVLQGFSSDYIEKVYDLTEKEREVLLKSQPNGLIFKLKDDQTLPEPDCHSDLVFNIYHTAPDAVVKGGGSVTVLTEEKFPFIGKSGLTAVLEKLEANAVRSPVYVADPSVQLIYVASGSGRVQIAETFMRYQIDAEVKAGQLVLVPKYFAVGKMAGEEGLECFTIITTTHPLLEELGGKTSIFGAFSPQVFEASFNLTAHFEKLFRSKITKSSPLVPPSDS >KGN58983 pep chromosome:ASM407v2:3:28716248:28716513:1 gene:Csa_3G740260 transcript:KGN58983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQLFGLPRCGTILARKYDGLVGPIWENTCLNLGNTKPSETDITPAVCFSMLRVRKFKKY >KGN58261 pep chromosome:ASM407v2:3:23215802:23218931:-1 gene:Csa_3G600020 transcript:KGN58261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVVSEIRKAQRADGPATVLAIGTATPPHSVLQSDYPDYYFRITKSEHMTQLKEKFSRMCEKSMIRKRHMYLTEEILRENPNMCAYMAPSLDARQDMVVVEVPKLGKDAASKAIKEWGQPKSKITHLIFCTTSGVDMPGADYQLLKLLGLRPSVKRYMMYQQGCFAGGTVLRLAKDLAENNRGARVLVVCSEITAVTFRGPSETHLDSMVGQALFGDGAGAVIVGSDPDLSVERPLYELVWTGATLLPDSEGAIDGHLREVGLTFHLLKDVPGLISKNIEKSLKEAFTPLGISDWNSIFWIAHPGGPAILDQVEAKLGLKEEKMRATREVLSEYGNMSSACVLFIMDQMRKNSMEEGNSTTGEGLEWGVLFGFGPGLTVETVVLHSVNIKESTINLASY >KGN58157 pep chromosome:ASM407v2:3:22110199:22113325:1 gene:Csa_3G563300 transcript:KGN58157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKKAVGKALAKKKPEKVVNPLFEKRPKQFGIGGAIPPKRDLTRFVKWPKVVQIQRKKRILKQRLKVPPALNQFTKTLDKNLATNLFKMLLKYRPEDRSQKRERLLKRAQEEADGKPHDAKKPIVVKYGLNHVTYLIEQNKAQLVVIAHDVDPIELVVWLPALCRKMEIPYCIVKGKARLGSIVHKKTASVLCLTTVKNEDKMEFSRILEAIKANFNDKYDEYRKKWGGGIMGSKSQAKTKAKEKLLAKEAAQRMS >KGN57132 pep chromosome:ASM407v2:3:10834846:10836896:-1 gene:Csa_3G164510 transcript:KGN57132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDQGKNKKAALIGVSSLFLVAMVVAVAVGVNRNSPNASGGGDSAATAEISTSTKAVKALCQPTDYQETCEKALSEAGTNTSDPRELIKAGFNVAVNEIKWAIGNSTTLKEAASDPMAKQALDACGELMDYAIDDLVISFQRITDNFDMQKLDDYIEDLKVWLSGALTYQETCIDGFENVTGDTGEKMTKLLETSKELTINGLGMVSEVTSILTSFGLPAIGRRLMTEESNEQREEPSWVRDRRGLLQATGANIKADAVVAKDGSGKYKTVTAALNDVPKKSNKTFVIYVKAGVYQEQVMVEKSMTWVMMIGDGPTKTKITAGKNYIDGTPTFKTATVSVIGSNFIAKDIGFENSAGAAKHQAVALRVQSDMSVFYNCQMDGYQDTLYTHAHRQFYRDCTITGTIDFIFGNGAVVFQNCKILVRKPMDNQQCIVTAQGRTQRKEPTAIILQNCAISSAPDFFPIRHINKAFLGRPWKQYSRTIIMQSQIDDLIQPEGWLPWTGNFALNTLFYAEINNRGPGAATDKRVKWKGIKKITMEHALDFTAARFIRGDPWIKPTGVPYTSGMMAV >KGN56556 pep chromosome:ASM407v2:3:7453272:7454044:-1 gene:Csa_3G124760 transcript:KGN56556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGRETKRSATVSRCISHSQPNKSPARRFVGVRQRPSGRWVAEIKDSSQRVRLWLGTYDTPEEAARAYDEAAIALRGENARTNFVSPATGWSPDSKVSNIGVLKAKLSKNLQSIIARTSEQSKSLKNRVSDRFTFGNIFNFRSYQSATMADLTAIDKAAVQPSIIVPHVETDRSGSWDSSDGEGIRPLGFSSDGSEVAGDWWVDRILEDDCYNEGLMSKRFRVSSSVVVPPTFSGSPTYGEC >KGN59749 pep chromosome:ASM407v2:3:34014189:34017598:-1 gene:Csa_3G842710 transcript:KGN59749 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin alpha-3 chain MREIISLHIGQAGIQVGNSCWELYCLEHGIQPDGLMPSDTSVGVAHDAFNTFFSETGSGKHVPRAIFVDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTVGREIVDLCLDRVRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFSIYPSPQVSTAVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLISQVISSLTTSLRFDGAINVDITEFQTNLVPYPRIHFMLSSYAPVISSAKAYHEQLSVPEITNAVFDPSSMMVKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTVQFVDWCPTGFKCGINYQPPSVVPGGDLAKVQRAVCMISNSTAVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEDVDEEEEGDDY >KGN57818 pep chromosome:ASM407v2:3:17698031:17698258:-1 gene:Csa_3G319300 transcript:KGN57818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMSIQEENGDEQITGNLPKDEDVDPRREWRRTENGERTHVNSCRRKTNEGLAKDEDEGRRSANCRREYVKDILS >KGN57335 pep chromosome:ASM407v2:3:12339044:12340053:1 gene:Csa_3G180240 transcript:KGN57335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCKLNSPNTPILFKFSTKRSNLGGKSIKFSPTSCLNSQNQETPTIPTTTKIPKSNISTVHFKSLTACKLGISRFPDFQYNAEGGTGTGSVKIHDDNGSNSRLLVSFDVDTLYIPPLTTQTTKFLGLPLPPFLKIDVLPEFFQGSINQESGKVELEFESKFIFSIGSLYRAPPLLVKTVLSSEESRGIIRSGKGERLDDDGNCRLVGVATVDPIDDLFLNSFLSLPTECIANLNAIITFS >KGN57366 pep chromosome:ASM407v2:3:12560271:12560762:-1 gene:Csa_3G182020 transcript:KGN57366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKQGLKPNDFTFLGALFACSHGGMVEEGQTIFDMMEKEYKIRPRIEHFGCIVDLLSRNGRLEEALDVVDKMPFEADVAIWGALLGGCKLRGDFKRAGEIVERARKLRSKEGGIHVSFSNMYASVEQWAEAVNAREKMENENILKKTGQSSVIYAPNGRSYLL >KGN57173 pep chromosome:ASM407v2:3:11107160:11114803:1 gene:Csa_3G167370 transcript:KGN57173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAESKSSFLLLCVCVLVFVLLVVPLDSAPQAFRRDPGHPHWHHGAFHTVRDSVRNDVRRMLHSRAEVPFQVPLEVNVVLIGFNNDGAYRYSVDAHKLEEFLRASFPSHRPSCLETGEPIDIEHHLVYNAFSVGQAELIALEKALKETMIPAGNARETDFGREVPLFEVEATTVEPVFQKLYSYIFDIDNEGYSAERDRVMPIAIFIVNFDKVRMDPRNKEIDLDSLMYGKLDQLSDENMKKQEGDYIYRYRYEGGGATQVWLGSGRYVVIDLSAGPCTYGKIETEEGSVSTRTLPRLRNVLFPRGFGAATDHLTHDNFMGELAALISTTIEHVIAPDVRFETVDMTTRLLIPIIVLQNHNRYNIMEKGQNYSIDVEAIEAEVKKMIHVGQEAVIIGGSHLLHRHEKLAVAVSKAMRSHSLQETKNDGRFHVHTKVYLDGAILREEMERSADVLAAGLLEVADPSLSDKFFLRQHWTDETEVSDDSVLKHKPLWATYQSKVGKKVKKTEKKQGDLHRTYGTRVLPVFVLSLADVDSKLTMEDESLVYASKDVVIVLEHQNEKIPLSYVSETHRSHLDPSQAQRHILAGLASAVGGLSAPYERASHVHERAIVNWLWAAGCHPFGPFSNTSQVSQMLQDVALRNIIYARVDSALHRIRDTSETVQTFATEHLKTPLGEPVKGKKNKTTTELWLEKFYKKTTNLPEPFPHELVERLEKYLDNLEEQLVDLSSLLYDHRLQDAHLNSSEIFQSSIFTQQYVDFVLSEEREKMRCCSIEYKYPVQSSQNYIYGGILLAGFVVYFLVIFFSSPVR >KGN57703 pep chromosome:ASM407v2:3:15937632:15941091:-1 gene:Csa_3G257080 transcript:KGN57703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRNPGVENVSGSSSSKPLHAAVDSKPVFLTKAQREQLALQRRQDEISHQRRRQDQLLLSNSQKPLSDSVDNHKPSDSDRRDRDRGRDRERDRDRDRARDRERDRDRDLERRNREKEREEEAKARERTRLEKLAERERDKELDAIKEQYLGSKKPKKRVIKPSEKFRFSFDWENTEDTSRDMNLLYQNPHEAQLLFGRGFRAGMDRREQKKLAAKNEKEMREEIRKKDGVEEKPEEAAAQKLKEKAADLYDSFDMRVDRHWSEKKLEEMTERDWRIFREDFNISYKGSKIPRPMRSWTESKLTTELLKAVERAGYKSPSPIQMAAIPLGLQQRDVIGIAETGSGKTAAFVLPMLAYITRLPPINEENEAEGPYAVVMAPTRELAQQIEDETVKFSHYLGIKVVSIVGGQSIEEQGFKIRQGCEVVIATPGRLLDCLERRYAVLNQCNYVVLDEADRMIDMGFEPQVMGVLDAMPSSNLKPENEDEELDEKKIYRTTYMFSATMPPAVERLARKYLRNPVVVTIGTAGKATDLISQHVIMMKESEKFYRLQNLLDNLGDKTAIVFVNTKKNADTVAKNLDKAGYRVTTLHGGKSQEQREISLEGFRTKRYNVLVATDVAGRGIDIPDVAHVINYDMPSNIEMYTHRIGRTGRAGKTGVATTFLTLQDSEVFYDLKQMLIQSNSPVPPELARHEASKFKPGSIPDRPPRRNETLFAH >KGN58214 pep chromosome:ASM407v2:3:22738446:22738775:1 gene:Csa_3G592680 transcript:KGN58214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYQSLAFLALIIVAVTGVVAQGPTSSTPAPTTSSFDSSTPSSSSSLDSTTTTTTTTTSSSSGSSADSPSISVAPGLAPDSYAQSPTTAASPTGSSAETSRFFTNGFFG >KGN56350 pep chromosome:ASM407v2:3:6333156:6353121:-1 gene:Csa_3G116870 transcript:KGN56350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEELTDTETLPPMELDLNAFIHAHLSSGGDDDDDDDLSFPHRSIDEILNDSSSSTSPSPSSSPHFPPPRGRRNIVAGDDGVSASPSTSPYKDSEAARNNPWNEKSAQLKPGTASHSKVGELTDDPFRRGSRPLPSLFGAVRSNAKPGAALAAAAAASRSTPAPHAAAIKSRRAGYGNMVLDDDELASSSAVDSEFFSDSLYHANIHSKESGENSISVVDRITDYQIASMNVSGELWATNNIRDGVPHNDEFRMTEDMEFEAETSSVDDVNFKESLSTVPPVETNDRSLLGPAEKNVCSTDAHPTELDVDESNEGAIPRPTEPDDEESAVGYGSLELETQDFEKYHQPSKDTEVDLAIEDPSIVNDIIESGETTEQPDNLQIGKRPEMISVSSTNPLDLAEEIEKKQAFTALHWEEGVAAQPMRLEGIKGVTTTLGYFDIQADNSISRTISSHSFRREHGFPQVLAVHANYIAVGMSKGNIVVVASKYSAQNGDNMDAKMILLGSQGDKSTAPATSLCFSQQGDLLLAGYSDGHITVWDVLRASAAKVISGEHASPVVHSLFLGQEAQVTRQFKAVTGDSKGLVLLHTFSVVPLLNRFSSKTQCLLDGQKTGTVLSASALLLNEFVGSSLPPTLSNVAVSTSSIGSMMGGVVGGDSGWKLFNEGSSLVEEGVVIFATHQTALVVRLSPTVEVYAQLSKPDGIREGSMPYTAWKCSQSFETSPSEAVERVSLLAIAWDKMVQVAKLVKTELKVCGKWSLESAAIGVVWLDDQVLVILTVTGQLFLFEKDGTMIHQTSIFVDGFVKEDFIAYHTHFANILGNPEKAYHNCVAVRGASIYVLGPMHLVISRLLPWKERVQVLRKAGDWMSALSMAITIYDGHAHGVIDLPRSLESLQELVMPFLIELLLSYVDEVFSYISVAFCNQIEKNEKLDDMTIESHSAHSEIKEQYNRVGGVAVEFCVHISRTDILFDEIFSKFVGVQQRDTFLELLEPYILKDMLGSLPPEIMQALVEHYSHKGWLQRVEQCVLHMDISSLDFNQVVRLCRDHGLYSALVYLFNKGLDDFRTPLEELLAVLRTSKSKHASSLGYKTLVYLKYCFSGLAFPPGQGTLAHSRVQSLRDELLQFLLENSDAVDTRSISNKSSEVGCLNLYPLLELDTEATLDVLRCAFVEGEILKAISSLDGPVDTSMQLQEEKNSISGRKNFLIQNVVDALVHVLDKAICETDESPAGDNITLVDDWPSKKELIHLFDFIATYVACGKATVSKDVVGQILEHLISNSDIPETVSDFLPRVTANSVLSRKREKQVLSLLEVIPETHWNPSSVLRMCEKAQFFQVCGLIHSITHQYSSALDSYMKDVDEPIHTFTFINRTLLELGNSEQTEFRAVVISRIPELFNLNRGATFFLVIDHFNNDVSNILSQLRNHPRSLFLYLKTLIEVHLSGSPDFSCLKKDDNLGVNYSTKGMDDYLQKLSDFPKYLSNNPVDVTDDIIELYVELLCQHERESVLKFLETFDSYRVEHCLRLCQQYEVIDAAAFLLERVGDVGSALFLTLSSLDKKFHDLEAAVGATVSNTASSGSNDSQNFNSVLKLQEVNAVKVLLHACIGLCQRNTPRLNSEESQTLWFKLLDSFCEPLIDSYNHRTASFEKNQVQFLNESSCSQKDKEANIVTWRILKSNKVAHLLRKLFSQFIREIVEGMMGYVHLPTIMSRLLYDNGSQEFGDFKLTILGMLGTFGFERRILDSAKALIEDDSFYTMSLLKKGAAHGYAPRSVVCCICNRLLVKSSSSYRVRVFNCGHATHLQCEDLENEASGGDYTCPICVHSNQSQGSKSKAPTEYSLVNKFSSRTQSSSGASVSYPQETDLLELPYTLQQIPRFEILTNLQKNQRVIDIENVPQLRLAPPAVYHDKVTKGYHLLVGESSGGREKVEKLNKSRQLTGVKVKRPSSLRFPLKTSLFGKEKMTNS >KGN57031 pep chromosome:ASM407v2:3:10170707:10174198:1 gene:Csa_3G150110 transcript:KGN57031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPQCCSNPPTLNPSSGAGHIEQLGGLTTYVSGSPDSKLAVLFITDVYGFEAPLLRKLADKVAAAGFFVVAPDFFHGDPFVPDDANRPIRVWLQDHETEKGFDDAKPVVEALKNKGITAIGAVGICWGAKVVVELAKVELIQAAVLLHPSFVTVDDIKGVKAPISILGAEIDHMSPPELLKEFEEILSAKPEVDGFVKIFPKVSHGWTVRYKVEDEEAVKCADEAHEDLLAWFTKYVK >KGN60016 pep chromosome:ASM407v2:3:36262671:36265547:-1 gene:Csa_3G866490 transcript:KGN60016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASTSTEKKVPDDQREAEALAASTGALPQLQSAFSKLVDPQTNAIPFESLQKCFFLGYENQTQETAAVPESFPGILDHVGLTIIEMFFIPEKGGVTWVEFLKGYNKCCGKISASVLLNALIRVFDATMVKLGLPSKLEFTSYEDEFKMTGFLLPSDVLMLLWMCWAMFWDSSTFKALGSKSNLILPDINNLVLSSVASCAEVGSTVNIWDCDILGLGVEVPIGKFLTWAVKTVPSLPDGFSRFVHARILQASTKEDGVESSSSPTVDIASPETSNSFVLTCGTAWAVSLTQRGGIRDEMSKICFSISGDGNHEHLLYRSSLHGRGLNRFWSNIEGYQGPLFVVVHAASGDTRDDSTNELKWTVGVLTFQGFENRDLFYGSGGNIYALSPVFHVYSATGKEKNFVYSHLHPSARVYEPHPKPVGLGFGGTMGNERLFIDEDFARVTVRHHVVDKTYQPGPLFPDQGFLPVEASILDVEIWGLGGSTAKEIQNSYKKREELFTEQRRKVDLKTFASWEDSPEKMMLDMMSDPNAVRREDR >KGN58540 pep chromosome:ASM407v2:3:26084174:26086473:-1 gene:Csa_3G669600 transcript:KGN58540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLITEMEEEKRDSSPFPLFLIFFLLSLPDPLFVHSQCIQKPVIFNFGDSNSDTGGFSEGLGIKFGLPTGRTFFHKPAGRLCDGRLMIDFLCESVNSDYLTPYLRSVGPNFTNGANFAISGSATLPKDRPFNLYIQIMQFLQFQSRSLELIPKGYKDLVDEEGFNNALYTIDIGQNDLAAAFTYLSYPQVIQQIPSFVSEIKNAIWTIYQHGGRNFWIHNTGPLGCLPQKLATAYADANASDIDNHGCLQSFNNAAKEFNTQLRVACGELRSALTNATLVYVDVYAIKYDLITNSVSNGFENPLIVCCGYGGPPYNFNQTVTCGQPGFNTCNEGLKYVSWDGVHYTEAANAVFASMILSSQYSSPKLPFNFFCNK >KGN60448 pep chromosome:ASM407v2:3:39488455:39490385:-1 gene:Csa_3G912320 transcript:KGN60448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFFNLHRKTKIKRGGESVKELNHSNKSDRKPTNRGIEALETLPTPRSIPELYKEKEHTLRVFTFEELKIATNGFSRLLRIGEGGFGSVYKGKIRLEGDQGEEIIVAIKRLKSNSSQGHKQWLAEVQFLGVVSHPNLVKLLGYCSEDGERGIQRLLVYEFMSNGSLEDHLFSRSRTLLAWKTRLQIILGAAQGLAYLHEGLEVQVIYRDFKSSNVLLDEEFSPKLSDFGLAREGPTGDRTHVSTAVVGTYGYAAPEYVVTGHLTMQSDIWSFGVVLYEILTGRRTLERNRPTGEQKLLEWVKQFPTNSKSFKTIIDPRLQSQYDLAAARKVANLASQCLNKTARDRPTMSKVVEILKQALEESEE >KGN55691 pep chromosome:ASM407v2:3:695593:700809:-1 gene:Csa_3G005550 transcript:KGN55691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSEDDEVSTSGKTTKRGFLAVTMRWCAALLLPVVSFFVVTLSLSLVAVFVANSSITSPISLRSQCKIVSSSVDLRSSKVCELGLLNYKAKNVFYPYERNKFRCRYDYYWASVFKVEMKDHFSGKARVALAEAPNEALPHKCRPNFGAAWLAKYKFKVNETYDCWYSSGISKVSLDYDGFSGCQAQEPTTIEMIKRYYFLCTKILLSWYSSKEKAIFWRWDMLGGLVTGFSTSLITITVLRILQPLIPWMLRYFTTRFFIHLNRACFLVAYFSFVGWLIIQYGKRLSLPEIFNILKSRLWSSD >KGN59237 pep chromosome:ASM407v2:3:30441298:30444563:1 gene:Csa_3G782840 transcript:KGN59237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNNCLRRNSKGGFFYSISHPIWWSRSEMDPHTKKGVSSKGIKNPNPNPKPNEEVQNTPPEPVMMVDERTKPLECEAKPLEVIKPKEEDMIIIKPLEPLVPMDDLIEPAEIPPRYVEERLPVELLIPKPADEPPKLVEERMPPIESALPKEDSSSGGNNDNVSEDLMKIRKPKKIVSAGLMVESVLQTKTGHLREFYSLGRKLGHGQFGTTFLCLEKSTGKEYACKSIAKRKLATMEDVEDVRREIQIMHHLVGIPSIVSIKGAYEDAVAVHVVMELCEGGELFDRIVKLGHYTERQAAELARTIIGVIEACHSLGVMHRDLKPENFLFVDSREDSPLKAIDFGLSIFFKPGDIFSDVVGSPYYVAPEVLCKLYGPESDVWSAGVMLYILLSGVPPFWAETEQEIFDEVLHGDLDFTLDPWPSISDGAKDLVRKMLIRNPKERLTAHEVLCHPWLQVDGMAPDKPLDSAVLSRLKQFSAMNKLKKMALRVIAESLSEEEIAGLKEMFKMIDTDNSGQITFEELKDGLRRFGANLNETEIKDLMQAADFDNNGCIDYGEFIAATLHLNKAGREDHLFAAFQYFDKDGSGYITQDEIQQACEEFGIENVHLEDMIREVDQDNDGRIDYNEFVAMMQKGNGELGKKGQQNTNFSIGFREALPVC >KGN60058 pep chromosome:ASM407v2:3:36597603:36598027:-1 gene:Csa_3G874360 transcript:KGN60058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVRIRVALSLGKVLTQGRKDEANARIEWDPTINKGKDTCPRKCRVGTKEHNPKADGPHFPRSLLRHAFCTYPEGQKGSVIELRDLPSSWPFFLPPLPLLLLHVVL >KGN57052 pep chromosome:ASM407v2:3:10279110:10282284:1 gene:Csa_3G150810 transcript:KGN57052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGKESGTSGSFLPDGVLQVLPSDPFEQLDVARKITSIALSTRVSLLESESSVLRSKLAEKNEIVADLRFQIESLNASLSETSDKLAQADEEKESLERENASLSYTVKKLSRDVAKLEVFRKTLMLSLQEEGDSATETPEVVARIQSQPSESTFSQIEEEVSSFPPSRYSSVQSVSEVGSSLAEDHDSDSIRPRIAPGLLLASQSSTPRLTPHGSPPSLSASGSPKRTSRSVSPGRHSMSLSTSRNIFGDRSSVYSSAPSSHYGSISSSTGRTRVDGKEFFRQVRSRLAYEQFAAFLANVKDLNSHRQTKEETLHKAEEIFGDENKDLYAIFEGLINRNL >KGN56723 pep chromosome:ASM407v2:3:8428053:8429688:-1 gene:Csa_3G130290 transcript:KGN56723 gene_biotype:protein_coding transcript_biotype:protein_coding description:F9L1.21 protein MLYGLASINRFSPVVILEKPSIVANRVIPLCVLLRLGPNPSDISAMSSKQGGKAKPLKQPKVDKKDYDEVDMANMQKKKDEEKALKELRAKAQQKGTFGGAGLKKSGKK >KGN59626 pep chromosome:ASM407v2:3:33158345:33159418:-1 gene:Csa_3G829160 transcript:KGN59626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGGTEAFPDLGRHCQHSDCHQLDFLPFTCDGCQKVFCLDHRGFKSHDCPQSDRNSRKVVVCEICSTSIETTGKDGGDETKVLERHHESGDCDPSKKKKPTCAVKRCREILTFSNTCVCKTCRLKVCLKHRFPAEHGCGGPSSRAGAVVDKGRWNEKFMVALGLRSEGDCGKRERARTGGKGSSPTAPSVKAC >KGN56789 pep chromosome:ASM407v2:3:8807729:8808902:1 gene:Csa_3G133890 transcript:KGN56789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVKLYGHWSSPFVYRVIWALKIKAIPYEYVEEDIHNKSPFLLHYNPVYKRVPVLVHGGKSICESTVILEYIDETWPQNPLLPVDPLDRATARFWIQFVENMGLTTWTMFCSSGEEREKKRRESLEMLQIVEEKAIEDQKFFGGEKIGMVDLVYGVFGYWLGVIEDLIGEKLIETSTFPQLHARIKVFLQDPIIRENCPDRDRMAISFKAIRERLLGSR >KGN59390 pep chromosome:ASM407v2:3:31548636:31550625:1 gene:Csa_3G816030 transcript:KGN59390 gene_biotype:protein_coding transcript_biotype:protein_coding description:MYB transcription factor MGRPPCCEKVGIKKGPWTPEEDIILVSYIQQHGPGNWRSVPTNTGLLRCSKSCRLRWTNYLRPGIKRGNFTPHEEGMIIHLQALLGNKWAAIASYLPQRTDNDIKNYWNTHLKKKLKKLNSAAVDTPEPPESATTRFQSNDKVPTADSPSSLVSNRATTYASSAENISRLLQAWMRSSPEESRRKMSGENSIAAATQQQQQPKAEPDGGELVSGEEFDSILSFENMKSVNSWGKSSLSYKGKEEVNVGEKQSSENDDATAENATAPPLSFLEKWLFEEGAAGQVEEMMELSPVF >KGN60387 pep chromosome:ASM407v2:3:38997555:38998831:1 gene:Csa_3G902300 transcript:KGN60387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYSKNKQNEVLGVNKIGKNIKKSPLHQPNFGNIPSTQQPQPQPQPQVYNINKNDFRNIVQQLTGSSQEPSSRPPQNPAAKQQSLRLQRIRPPPLTPINRPRASPPIPVSIAPPQVPYYNGQFRSAQCDQSSTAMFQGQPAPTQLPQPIPADSVWPKPADSPISAYMRYLQSSAIDSPLMGNQAQALQQAQVPGQIQNQVAPSGSSLPPDPTVPTAPSSTNGGPVPSLSNFPPIQSHSPAIFPSPTQFHVPSPSSYLNLLSPQSPYPLLSPGIRFPPPLSPNFAFSPMAQPGILGPVPLPPLSPGLVFPLSPSGLFPLLSPRWRDW >KGN56467 pep chromosome:ASM407v2:3:6985072:6989745:-1 gene:Csa_3G120460 transcript:KGN56467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEDVKRSESAVSTIVNLAEEAKLAREGVKAPSYAVLSICKSLVAGGVAGGVSRTAVAPLERLKILLQVQNPHSIKYNGTIQGLKYIWRTEGFRGLFKGNGTNCARIVPNSAVKFFSYEQASRGILYFYREQTGDQDAQLTPLLRLGAGACAGIIAMSATYPMDMVRGRLTVQTDKSPYQYRGIFHALSTVLREEGPRALYKGWLPSVIGVVPYVGLNFAVYESLKDWLIKNKPFGLVDDSELSVTTRLACGAAAGTVGQTVAYPLDVIRRRMQMVGWNNAASVVTGDGRSKASLEYTGMVDAFRKTVRYEGFRALYKGLVPNSVKVVPSIAIAFVTYEMVKDVLGVEIRISD >KGN57634 pep chromosome:ASM407v2:3:15186418:15199936:1 gene:Csa_3G236020 transcript:KGN57634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHICFLGLCNWTNYRILQSLRACRLIISLGPRWCFQFLFLIFVMRLSSGSVAPSLNQSGNRSGPRLKKKHKRLDAICEKEYSRNHGDVNENVSGLGTLEADPGLRRSSRVRRAPVLLDASPIPRKKRRIVQGNGTLGVRTSANTLPLFSDDLKDETEGNWRSRLRSSSRNLGIRVDKGARASRKRKLFDEIVDVKVRNGGMRIDLDEEKGRMEFGESLVGRSNRTRRRFGVINDPIKIEEEVKSPRIKDDCCKKDMLVIDIDDEEEGEGEGEGEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEGEEEVEGKEVVTAKDERGDGVLPLENEMDEENVKVVDDVTPQVVEKLDKETSSSLHVDEACRADHNEELANAVENANNGEIRLEESKQLNEGVNETQDVAAAVVSTNEVVGGRSCNEKAVDMGKFTEKSREHGDDLNLKKFTDSSRGMLGKARIKEGRRCGLCGGGIDGKPPKKTAQDSGESGNEACSGSSASEEPNYDKWDGFGDEPGWLGRLLGPINDRYGIAGIWVHQHCAVWSPEVYFAGLGCLKNVRAALCRGRALKCTRCGRPGATIGCRVDRCPRTYHLPCARANGCIFDHRKFLIACTDHRHIFQPHGNQYLARIKRLKAKKMKLEIKKQSNDAWRRDIEAEEKWLENCGEDEEFLKRESKRLHRDLVRIAPVYIGGSNSEGENLFHGWESVAGLQGVIQCMKEVVFLPLLYPELFDRFGITPPRGVLLHGYPGTGKTHVVRALIGSCARGDKRIAYFARKGADCLGKYVGDAERQLRLLFQVAEKCQPSIIFFDEIDGLAPCRTRQQDQTHNSVVSTLLALLDGLKSRGSVVVIGATNRPEAVDPALRRPGRFDREIYFPLPSVEDRAAILSLHTQKWPKPIDGPLLQWIARRTAGFAGADLQALCTQAAMSALKRNFPLKEVLSASGEQVSRVNRPPLPSILVEERDWLEALLYSPPPCSRREAGMAANDVPSSPLPFHLIPCLLQPLSTLLVSLYLDERITLPTNLLKAATLIKSVIVSALDGKKIVTSCWWSHVHDFVQDADIANEIEIKLQGSGVLVEDSTFGSSGVLNVDTSNESSKFENLGHCGGRPSTMVEHSSFTLGNKSGFRILIAGNPRSGPRHLASCLIHCYIQHVEVRKVDIATISQEGHGDLVQGISQILLNCSSMGSCLVFMPRIDLWAIETQSQTSEECGLYLNEDQYLEDGTIVNDDDQLGERENRCYSDQSKSTERTGLQDECLSSASYAWSSFVEQVESLSTPLMILATSEVPFLLLPQEIRQFFRNDLSMCRPTTSEHSVPRFSVQIDGVFDHDMVINQSAAELSRDIVKLLVHLIHQKSHTRTLTCTKYQIPVIQDENNAENQQIDKETASEHNGEMKSPDVSSLRIAPLPGSRTMKVKSNLISVISTFGHQILRYPHFAELCWVTSKLKEGPYADVSGPWKGWPFNSCIIRPMSTLEKGTSSSSLSNGKSKEISGLVRGLIAVGLSAIRGAYTSLRKVSLDVRLVLELLVEQINAKINSGKERYQYFRLLSQVAYLEDVVNSWAFTLQSLEHDSRTIETSKNLTSGGSEIHCEKNEPIISNKGSLANEIPEVSCQEPVEEEIVRIDSLVDGNLNHSSSKDTTIVSEEHGERNFGIGNLVSDETYNNAAAVDDQLIDNIPLKHGEATILQPDSLDNERNDTSVKTPLDFGTESIVDLDHHHQNSSVLCSDEIPSGTKPCSTSNGGCSALENGCKRDNSQLDTNDLEVNVHSSQSRSGHSTNSALICSVQCCTGCLNVLYNMSKNILRNELESDQNDWTIEDVHDVVVALSVDLLAAVRRAFLDEKNGTLFDDRQMGGNGRFKSLDSRTCDCKSSKDMVFKGVECICHLSEKVSPSHSEMGIDPNFIFRDGVLVSVDPEKNVLFHCKVETLCLCSLTELIVMAKKPLN >KGN60136 pep chromosome:ASM407v2:3:37100224:37100448:-1 gene:Csa_3G880025 transcript:KGN60136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLLSSIQLQITAHQQQIIQETLYSSNHQNSMRRVQFIQKRRVIGTHIITTTQHWGTPEPPALNSDHLHIMIT >KGN57643 pep chromosome:ASM407v2:3:15263368:15268127:1 gene:Csa_3G238090 transcript:KGN57643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIASGTDISSSFGVAGVGGGLFSRLMATTRPNAAVAFTALAGLAVVAVLFYSASRGRLKSPWSRKKRKHALSPQQWRSLFTPDGKLRDGGIKFLKKVRSGGVDPSIRTEVWPFLLGVYDLSSTEEERDAVRVQKRKEYEKLRKQCQSLLKFGTESIKLDDDEMNCNKEGDTQHVAHGDASPTLEDVVSARESISSDEKGSNLRYLDGTSGVLLERDDSSRQMAIADADASALNTESSDSDSSEDPEVSQTFPSSDGREDNDPDFNSKNSSPLVTEVTSKFRNNEDFTTWQRIIRLDAVRANAEWIAYAPSLAAVSDDKARHFAEIVGLKDYDHLESCRIFHAARLVTILEAYALYDPEIGYCQGMSDLLSPIVTVITEDHEAFWCFVGFMRKARHNFRLDEVGIRKQLNIVSRIIKFKDSHLYRHLQDLEAEDCFFVYRMVVVLFRRELTFEQTLCLWEVMWADQAAIRAGVGKSAWSRIRQRAPPTEDLLLYAIAASVLQKRKLIIEKYNSMDEIIRECNSMAGQLDVWKLLDDAHDLVVTLHEKIETSLNE >KGN57888 pep chromosome:ASM407v2:3:18544863:18545459:1 gene:Csa_3G368690 transcript:KGN57888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNAIGRGSRRKKRTTKVMKINGETIKITLPTTAYEVTSHYPSHLLYESKSLKLFGLRAKPLDPHCHLEPKTLYFLLQLPTLPRDHCSLRRTCSDLHNLSASDRLECLLLSRRSLSDLQTLRFDPQRSSTDDGAAKPVQVKFQLPRAEFERLMKECKNKVEVTKRIVDYCARSRDNVYGNQDDELFWKMGGAYSGKAC >KGN57903 pep chromosome:ASM407v2:3:18856010:18859649:-1 gene:Csa_3G384780 transcript:KGN57903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQAIHLLPHNPTGFGLSDSRCIPCSGVSGRAASFSFHSLCAEHRINVPVKFRPLNCTSLGESFTCKASSGGHRRNPDFPKQNRHGFSRSRNRQNEERESLDNVDESDLLLSKNGPLLSISSTPKSQATATPGPREKEIVELFRKVQAQLRERAAMKEEKKVEAQGQTKGSETVDSLLKLLRKHSVEQGKRSSGGGGSSNKDISFNHVKENGPYDEGRGSSFFGLSPNLREKAQRPVSNFQRRSPVPRVKYQPIYPGESIVNSTNGMNSKGVKPNGTDTGSQLKGKVWTRQESEREHWEELQSQREAEQEPEPDQEFELEPEAETYDLEHEGDEMEPELVNLLGVSSDVDDTFEDDVKDNEEFAKHGEQEHEDLNSLKLAELRAIAKSRSLRGFSKMKKSELVQLLSNGQ >KGN59133 pep chromosome:ASM407v2:3:29796218:29797241:1 gene:Csa_3G776930 transcript:KGN59133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSSNSSSEERRLEVRKLEISDKNKGFVELLQQLTVCDSVSDKDFEDRFQELSALGNEHVICVVEDDRSGKIIATGSVFIEKKFIRNCGKVGHIEDVVVDSSARGMQLGKKIVDFLTDHAREMGCYKVILDCSVENRGFYEKCGFEHKAIQMAKYFN >KGN55786 pep chromosome:ASM407v2:3:1318598:1319830:-1 gene:Csa_3G012860 transcript:KGN55786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKEWLVLITGGLALIFGVMKRLNGWYYEAKLGKLWPKLPPGDMGWPILGVTLSYLKNFSSGQPRILLHNLSIR >KGN57369 pep chromosome:ASM407v2:3:12568455:12570818:-1 gene:Csa_3G182050 transcript:KGN57369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGARRERELQNYPQKEVEFSPINCTAYSRSEKWDSGIGPTTIEEEEEDGDGKNENTCPEYFRWIHEDLKPWAETGITREMVERGRENATFRLVIVGGRAYVEKYSEVFQRRDVFTLWGILQLLRWYPDQIPDLDLMFACEDQPTVFIGNYSGPGPNSTAPPPLFRYCGDDDTFDIVFPDWSFWGWPEINLKPWETEMKELKEANQRKKWIDRENYAFWKGNTFISMPRYQLLKCSRSTQSKLRVYMQDWQEEGKQGFKNSNLADQCFSRYKVYIEGIGWSVSLKYILACDSMTLMVKPHFYDFFTRSLVPMHHYWPIKDDDDMCKSIKFAVEWGTTHKQKQVSSWKSN >KGN58249 pep chromosome:ASM407v2:3:23093394:23097548:-1 gene:Csa_3G599440 transcript:KGN58249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPARQNTGTQLQCQSSGHEDGSVLPGSKMSSTLNSSHDQQSARSANSHGNNKRSSGKKAQITNGNHLLNFQYDPISRPHPRARAPPPRRPQKIKPYNKDLFLQANYKFVVLDSGYYTDEYMDPDKMLHWEDIVCVRYSTPSLVQCPICLEHPLCPQITSCGHIYCFPCILRYLLMGQEDHNGDCWKKCPLCFVMLSPKDLYTVHIELLKQYSVGDTIDFMLLTRQKNSFAISCKDKQEDDIRSSDNDQIYDSFSKFIFTSDVDLSVRKAVSYLDAWLAKAEAGQVDDLEKLPYVCAALEQLEQRKKYWNEHQACFKHNDYQIGSNVLLPTDSTSSAGSRACSSRREASSIDINEGCKFQGNIVQDKLNYSNPVNQNGAMDESLELQQNSNEIRDAKDKDSYNFYQATDGQHIILHPLNMKCLLQHFGSYDRLPLRISGKILEYETVTQTEATRRRYRYLSHFSLTTSFQLCEIDLTNLLPSVSMIPFMDEIKKREKQRKQLAKKMRREKIKEEASSTCSLSMMASSGSSYHHNTPILSLDDFEALGSSPVTSSSPPVGGRQLFSSVTRLGFAAAHDSPSLRIEETDSLHIHEVKSDLSVASSGTQNATPSFANVISRAKSGGSIESPKANEVVGKKGKKPSRVLLSTAGGRRY >KGN58120 pep chromosome:ASM407v2:3:21565505:21569910:1 gene:Csa_3G523080 transcript:KGN58120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCIIKTFVECKEVKAVINIGCCYNLLTEYGSNNEGVQNGFPMSFGVKSSGLSLGKSGRGLACQKDGGIWKMKVASIILSCMLFVLLSKWYSINIIQTWLPLAHPLGVKERHCAVKRKGRIQYPRSVMKISLRHHNQCVADLIGGLPVNVNAFSHTVSDHGSTPCEQSKSVDKYPLFEKFCHSGLNRLGIQSSQDMDCYGIWMDTDPFTELIGPYWSLRAALGPVLETCILLDRLLFLQEQGGSVEAILLPIFDPSLSPRNVAIIARKVGTTEGLLEER >KGN58524 pep chromosome:ASM407v2:3:25905197:25907100:-1 gene:Csa_3G656520 transcript:KGN58524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIRAKRPSWKIGSSFAIKKPAKILPKIQLDDDSDLIDEDSLLTAEDLKKPQLPVGDCEVSSTRKACKNCTCGRAEAEEKVEKLGLTSDLLENPQSACGNCGLGDAFRCSTCPYKGLPAFKLGEKVSLSGNFLAADI >KGN60343 pep chromosome:ASM407v2:3:38685260:38690811:-1 gene:Csa_3G895890 transcript:KGN60343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTEDEGFEDWEWDADFLDQLIQVEELAISSTANNPVPIPTSSSTSLPLPPPEPEPEPEPEPEPQHLVEPLHVRPISYSPPRELSQIATGLRSHDIRFPNGLSECGPSSSALAPCLHRFDAAKELEICDLKRELGRVSKQLKDLEQECVELRKNRNKKEERLRVVSSNKDEQYIGHRLSESTDLRVAGKDGVRTGMKSEDISGDLGGPHAVTSRSKDNEQGEKAHSSVGERGNDDLPVFDKLSKKLQVFWIPESDYKIGQSLVSELLLSCETDLHVLFHSIGMELSPKFSTALAGDNSSDVALKPPLQLLQCPEAKKVSNLYTTLTKVSNGIVKMEALFSPLLDLCNLDNVAVVHRSLHILHMFLKRLLWLERKSERSAICSGKQS >KGN56669 pep chromosome:ASM407v2:3:8147262:8148321:1 gene:Csa_3G127800 transcript:KGN56669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDPCSVSSPRRILSVSKRRRARDEKGPGFGLSGEHGPKPSEVYGFVGSISTVVATVIYLIWAYLPHSSLHSIGIYYYPSRYWALAVPVFVMVSIALALMFYIGLNFLSTPPPTSFHIIFDEFSKEPSISACLEQDQPIEPISDIGINRINKLMFNNKK >KGN56882 pep chromosome:ASM407v2:3:9280675:9281975:-1 gene:Csa_3G141780 transcript:KGN56882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTLEKRRNLFILTLTGHDDHRFSPSVIAAILEALSKVKSQASTGSVLITTSHGKFFSNGFDLSWAQAAGSVSAAAERLHHMVQIFKPVVAELLSLPMPTIAAISGHAAAAGFLLALSHDYLLMRSDRGVLYMSEVDLGLSLPDYFAALFKSKIASSSVRRDVLMRGMKVKGEAAVKMGIADSAHDGENGVMEAAVRLGEQLGERKWNGEPYAEIRKSLYPEISRLLGLPEKAISISKL >KGN56705 pep chromosome:ASM407v2:3:8321655:8322923:-1 gene:Csa_3G129620 transcript:KGN56705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSPMASPSSPIDGSPPSSSHPSNPLQALTLSAPLPPPSNPPPQATASSRRLPPPCWSHEETIALIDSYRDKWYSLRRGNLKATHWQDVADSVSHRCPNASPPKTAVQCRHKMEKLRKRYRTELQRARSMPLSRFTSSWVHFKRMDAMEKGPSAKPEESDSGGEEEEEEEEDDQELYEEFRNAGASATRSARKLYGNGMNSGGGGGGSGGDVAAAAAAAGGFRIRIPTGVSIAQPGLKSYPKAEQKMNPNSNPVSGMNPAAVNFGTRVVRESNPVRPVTGKRGERERERDPVAEMVSAIKTLGDGFVRMERMKMEMAREIEAMRMEMEIKRTEMILDSQQRIVEAFAKAVTENKKKTKRIPSPEA >KGN60064 pep chromosome:ASM407v2:3:36617577:36617908:-1 gene:Csa_3G874410 transcript:KGN60064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFSHDLREFILRARVLKLYRQDELRHMMREEMEKNRKCNDRQKIRFLLSEGIERLKRLDEMLDMQGH >KGN56728 pep chromosome:ASM407v2:3:8446556:8469231:-1 gene:Csa_3G130340 transcript:KGN56728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNTGFQFSPPSNFGLHFPQSPNPGVRLRKASTASQPESSTKKAGKDLSKINHAVATAHTNLLEAGESISAWKVVQSALSILQVDSWDSLGYRMQEISDLHRIMVREGKINSFIHCFVGVRRITTLYELEEAICKYEGVGEFKELRLGPFLRHPLVLHYFSVDSEVTEVFKITGEDIISFLFEFMDVDACSNKKIAVEKFLEFISRKLSVEKWEMLGIRVQKLGDYVSVVKKARRSEADTFSKYLTKYDEKHGKKRPLFSLQKEKLDERFSAISQRVESFSSVHKDFCGKHVRFDSSSSGDEGSGDSTYEKKKVDTDSSNDLKLSLQINKSADRPSSCPYPSATEEMMRLGLKGEVSGHATPIGSQKHCIGSGTLKRKRRSRSRGHTTSGTSTSSKKFKEDCSLPVKDDFGNSEEGSGFEAEYEITNNSLRMFVTMWKDGCRDMTVAEVIKRMLDHYGMNLRSTVRVRSMLSSYPFIGLLNVAVSSIKNGMWDSIYDSLQIINLPELTNTNVKKQPVYDCIDVGPSAEGALIKHVPKSTHDITVEDILNKVGQHIKFEQEIRSDGRLLMENRIQILKQLCSCEFWLVEQYSAKDFKSLGHGDFFSFLEKHASMLPDELHKFLVPEISERSPLEACMLQRQLVTLVSQACNNLSENEIISKQMIYNLLTQQFPLIDFKLTENGPLEDFMELVGQQKNSVVSKCVTFSVSLLGGSHLRDSLATMDNDSLGATSFSAEAGQGLGAIKSVTSEDAIKVLVRAPMLLDLNLWSHWDLLFAPALGPLVPWLQKEVNTENFMCMVTKEGKVIRIDHTATADSFLEAALQGSPFHTAVKLLSIFALLGGEKYVLLSLLKHHASRAFEVIMKNSVENIEMFENWGQGLEKVAFHQNFIEQVAAGNLSLELKKKIDMRNKAISLLSRFFVDCLGYIPVEFRYLAANILLSGITSVVKDAASAILHECWKPEQRLMLHEIGLSLGVPEWIQDYHTVSSSASSDLFTDACLNDRSEINRNVHRDGLLTKYSTSEQNASFSIEENVFNEKLSVSSANCTAKTSNDANGLSCMSLASEPDGNKDAVEIIQCIRRDEFGLDLDLPISETGMLRKQHARLGRALHCLSQELYSQDSHFLLELVQNADDNIYPQSVEPTLAFIFEESGIVVLNNEEGFSAKNIRALCDVGNSTKKGSNAGYIGKKGIGFKSVFRITDAPEIHSNGFHVKFDISEGQIGFVLPTIISPCNVNLYGKLATSASDHEDTNIWNTCIVLPFRSKLSGGVNLINNIVNMFADLHPSLLLFLHRLQCIKIRNLIDNSLIVMRKEIVGNGIIRVSHGEEKMTWLVVSQKLKADVIRHDVQSTEISIAFTLHEEENGVISPLLHQQPVFAFLPLRMYGLKFIIQGDFVLPSSREEVDGDSPWNQWLLSEFPGLFVSAVESFCSLPCFESCPGKAISAYMSYIPLIGEVHGFFSSLPRLIISKLRMSNCLLLEGKENEWAPPCKVLRGWNEQALTLLPDNLLREYLGLGFLHKDIILSDSLARALGIEEYGPKILVQFMSSLCQKYNSLKSMGLFWLGSCLSVLHNMLLQSSGQTTLELERNADLIRSLQKVPLIPLSDGTYSSVAEGTIWLHSDSSNATVDGKYGLEAFPYLNSKIRVVCPAFLSLFSVDNSQIDVPSVGNISWMLYRIGVQRLSAHEIIKEHIIPAITNESNLNGNKILMTEYVCFVMTHLLSSCPECHIDRGFIISELRTKAFILTNHGYKRLVEVPVHFSKEYGNPIDLNKLLSVEMNWHEVADTYLKHPVTNSLSCGLTKWRNFFQEIGINDFVHVVEVNRSIANMPHDIMVNRKWDPEIIFSGAMVKDWESPELTHLLTMLATHGNKESCKYLLEVLDTLWNDHLSDKVVGCCISKSGDSSKQFQSAFMNSICDAQWVVSSVDKKGHYPKDLYYDCDAVRSILGASAPYALPKVQSTKLVRDIGFKTRVSLDDTFNILKVWRTEKPFKTSISQMCKFYTFLWNEMASSKQKILEELHSGPFIFVPIVPNSRHEDVVSGIFLSPKEVYWHDPIVSIDEIKDMHLQCSLTKMVDSPIIKTLCNIYPGLKKFFISECGVHEYPPLRSYLQFLKQLSAVALPSQANDMVFEVFLKWANGLESGLLGSEDMAYLKECIGSPEFKVLPTEQDKWVSLHPSTGIVCCCDDMGLRQQCKNMGKIDFVYFGEIGNDKGKVFQAHFSHLLKALGVPLLSEIVTREAKYYGPRDSSFKTSLMNWALPFAQRYMYSVHPNRYAELKQSEFDIVSRLQVIVVEKLFSRNVIKNFGYASDEQVPCSCLLQDNILYTTQDEVSHSLFMEFSRLLFNGTPELHLANFLHMITTMAKFGSTEEQTEIFIQNTQKVLKLPEEEPIWSLSSLTSVVETQNLLQTCLDRTLPDEQGSTSRARKKARHWPPVDWKTAPGFSYARENGFKTQPASSLPNCKSYVENVFEGINNQMENLASISTDTNLTHEVDLSTKPVASVDNIGELVSVGDVDLEVIGSHIDIRGRFRKNQLRTGTPDPAQAMMTGRLGEQAAFKYFTENFSDAVVKWVNKDAESGFPFDIVIEEDEDTKHFIEVKSTRSIKKDWFDISVKEWKFAVKKGESFSIAHVLLLPNNLARVSVFKNPVKACYSHKLQLALLMPKLPKEFTIGSS >KGN55621 pep chromosome:ASM407v2:3:304482:307249:1 gene:Csa_3G002420 transcript:KGN55621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKIYTSFITLTFVLLTTPATSSAQSCGGGESADAMCPKGLCIAGSGLCGSGFLRRCGSDLTFGNPNEGMGGVVSASEFDGMLKHRNDGSCEGNGFYSYEAFVVAAAEFDGFGTTGNLSTRKREVAAFLAQTSYETTGGWATAPDGPYAWGYCYINATQKDQFCVPSPTWPCSSGKLYYGRGPFQIAYNFNYGAAGKAIGVDLLNNPDLVATNPTISFKTALWFWMTSQGDKPSCHDVMTGKWVPSNEDVSAKRLPGYGLTINIINGNNECGKGADARAADRLGFYQRYCDVLNIQYGDNFNCVNQKPFTYEE >KGN59903 pep chromosome:ASM407v2:3:35424308:35429500:-1 gene:Csa_3G852520 transcript:KGN59903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVFHFFNCAILTFGPHAVYYSATPLSEYDTLGTSVKAALVYLGTALVKLVCLATFLNVSENDSFDPYQELLKALIGFIDVAGIYFALTQLTYRNISQNHKFQAVGLGWAFADSVLHRLAPLWVGARGLEFTWDYILQGLEANANLVLSISLAALGSLMWLRKNKPKTLIPIIYVCALIVATMPSITSYLRRGMGWHFPKVVGFELFTSLVMAFISWQLFSACQRPSV >KGN59499 pep chromosome:ASM407v2:3:32344415:32357565:-1 gene:Csa_3G822490 transcript:KGN59499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANDQDMSGWTDLLHSSTKLLEQAAPSAQFPPLQRNLDQLEVLSKKLKAKTLRTEAPTQSIAATRLLAREGINAEQLARDLKSFELKTTFEDVFPAEATSIEEYLQQVHEMAMISAIQEAQKDNVRSFNDYMMRVLEEDWKKEKRDFLQSLSRISTLPRTNMIDDKSGASKTGQISSFVSSAHVSSGVPSLESVSLANKPIIEKKASTYGEVVKKMNDARERGLPFKPAVAFKGAYESLDLHASAGKSVNMQKIWHLIQTLMGEESTSKRNISKKMSLILGARRHLEWGHEKYIMDTIQSHPAQAALGGVVGNLQRIRAFLRIRLRDYGVLDFDANDARRQPPVDTTWQQIYFCLRTGYYDEARNIALSSRASNQFAPLLTEWINTGGMVPVDIASVASEECEKLLRMGDRMGRAAYDKKKLLLYAIISGSRRQIDRLLRDLPMLFNTIEDFLWFQLSAVRNGHGESSSIVLNEGSVPYTLDDLQFYLNKFEPSYYTKNGKDPLVYPYVLLLSIQLLPAVLYLSKETGEEGLNIDAAHISIVLADNGVLSEGTGAGQKLGVMDPYAEVASIIRQYGSLYLRMGNLSMALEYYAQAAAALGGGQLSWSSRGSMDQQRQRTLMLKQLLTELLLRDGGIYLLLGARGAGEEGELRRFMTDMKSRQQFLLEAARQCQEAGLYDKSIEIHKRVGAFSMALDTINRCLSEAICALSRGRLDGESRTAGLIHSGNDILEAYKYCREISLQEREYVMEQQTVLRQLEAVLSIHKLARLGHHLDALREIARIPFLPLDPRGPDMASDVFQNLSPHIQACVPDLLKVALTCLDNVTDSDGSLRALRAKIANFIANNLNRNWPRDLYEKVAQTL >KGN60361 pep chromosome:ASM407v2:3:38851414:38853872:-1 gene:Csa_3G901060 transcript:KGN60361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTPSPANSSVSTTAVAGRCSTNAALSLDDFRFPSNLISVPERKDEAMTALKSNIMAALNKEVKSLDDDNWMFEGPRSRINLMSRQGGAFLKKTEEKRR >KGN55821 pep chromosome:ASM407v2:3:1703412:1705108:-1 gene:Csa_3G017150 transcript:KGN55821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVSKENKVLVTVYSEKQEPRLPVNRYQRDNVNKVVRNQWKQDTANKGYNRRTELLKYSQRLRKSARSPASPYIRTPEPIPLKNKQPIARSIAINLVCTSFSLVNLCGKPKGPRFTSCFGNLIQRSYKALTSFQPKKDRQKQNQSSGSTKKVNEVKNSESKSKNTMDKIFSQKRRDIKRLALHVPMARSTTFKLNNEDVAAAQATTENEIKINELP >KGN59629 pep chromosome:ASM407v2:3:33173634:33176140:-1 gene:Csa_3G829190 transcript:KGN59629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALMANLFVFSVLLLSGSWVSANANANGLSQKKDAVYPHVPVPVQAPSTPVYKAPPVKPPTIPVLTPPPASPVKPPTIPVLTPPPAPPVKPPTIPVLTPPPVKAPYTPAPPVKLPPPPYTPSPPVKPPSSPPPAKAPYTPSPPVKPPSTPVPPVKPPSPAAPRPPPVLGKACYPECGRRCQLHSRKKICLRACLTCCDRCKCVPPGTYGNREVCGKCYTDMTTHGSRSKCP >KGN58882 pep chromosome:ASM407v2:3:28141677:28142046:-1 gene:Csa_3G734350 transcript:KGN58882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSADSFHDPVRIRQLFLYSLRFFALNHKLWNNMQGLEVPQDIHLTILINDACKEIQLIHPTWLVQNFGDCPTK >KGN56528 pep chromosome:ASM407v2:3:7305792:7311685:-1 gene:Csa_3G122520 transcript:KGN56528 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dead box ATP-dependent RNA helicase MNNRGRYPPGIGAGRGGGVNANPSFQSRPHQQQYVQRNLVPNQQYQQQHQHQQLQQQQQWLKRNQLGGGPADSNVDEVEKTVQSEAVDSSSQDWKARLKIPPPDTRYKTEDVTATKGNEFEDYFLKRELLMGIYEKGFERPSPIQEESIPIALTGSDILARAKNGTGKTAAFCIPALEKIDQDNNVIQVVILVPTRELALQTSQVCKELGKNLNIQVMVTTGGTSLKDDIMRLYQPVHLLVGTPGRILDLAKKGVCVLKDCSMLIMDEADKLLSPEFQPSIEHLIRFLPTNRQILMYSATFPVTVKDFKDRYLHKPYVINLMDELTLKGITQFYAFVEERQKVHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPKNSETYLHRVGRSGRFGHLGLAVNLITYEDRFNLYRIEQELGTEIKQIPPHIDQAIYCRAMKLLGRTKDIWVRQEACFLSEYDGRKTQVVQSVCVGNSTISLESNRPEDINRKKIRIECRLVPSI >KGN59241 pep chromosome:ASM407v2:3:30476588:30478034:-1 gene:Csa_3G784360 transcript:KGN59241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNTIMFRPPSSNRRQPLLTSKSASGSVRFAEVAGGTTAECAAVCCCCPCVVINFLVLAIYKVPAGLCRRALRTKRRQRLKKKGVTPARRGRFSFGGYDETDIQILSAGKLVYSSEPRGQQAVETERKVMELEKEMWEIFYSTGFWRSPSRRDQTSISQ >KGN59223 pep chromosome:ASM407v2:3:30345083:30347434:-1 gene:Csa_3G782700 transcript:KGN59223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAYSLSMAAAAAAAAVSSSPLYNKHFFTQHPNQIPSHFSPKHNQLKLLNLTFHSPNFYPLSFSSVSHLHCAPPAFDELEISDPETEYGHIQESDGEEETQEEDEQKVSVSREAGKLYIGNLPYAMTSSQLSEVFAEAGHVVSVQVIYDKVTDRSRGFAFVTMATLEEAKEAIRMFDGSQIGGRTVRVNFPEVPRGGEKEVMGPRIRSSYNKFVDSPHKIYAGNLGWGLTSQSLRDAFENQPGILSAKIIYDRASGKSRGFGFVSFETAEDAESALESMNGVEVEGRPLRLNIAAGQSPISPAAFPRTENTIDGKELLTSISA >KGN55689 pep chromosome:ASM407v2:3:681712:685168:1 gene:Csa_3G005040 transcript:KGN55689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLSRCSTLTPHASSLGLPNSHGKPSNFFPKLKSTLSFPSASSVLKTTALKPSRTLPPPCSVMTAPQTPDAARRGAETDAMGLLLRERIVFLGNSIDDFVADAIISQLLLLDAKDSTKDIRLFINSAGGSLSSTMAIYDVVQLVRADVSTIALGIAASTASIILGGGTKGKRLAMPNARIMVHQPLGGASGLALDVEIQAREIMQNKDNVIRIISEFTGHPFEKVQKDIDRDRYMSPIEAVEYGFIDGVIDQDSIIPLMPVPDKVKGKFNYTEVMKDPMKFLTPDVPDDEIF >KGN59221 pep chromosome:ASM407v2:3:30337763:30340051:1 gene:Csa_3G782680 transcript:KGN59221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDLFSSRSFSRDAHVVEMGNNASSSPTAVNLDKFFEDVESVKDELKELERLYSNLHDSHEQSKTLHNAKAVKDLRSRMDTDVSLALKKAKLIKVRLEALDRSNAANRSLPGCGPGSSSDRTRTSVVNGLRKKLQDSMESFNNLRQQISSEYRETVQRRYYTVTGENPDEKTIDVLISTGESETFLQKAIQEQGRGRILDTISEIQERHDAVKDLERNLKELHQVFMDMAVLVHEQGEKLDDIESQVNRAHSFVRGGTQELTTARVYQKNTRKWTIIAIIILLLVVLVIVLSLQPWKKNNSSSPATP >KGN57801 pep chromosome:ASM407v2:3:17384108:17394880:-1 gene:Csa_3G307690 transcript:KGN57801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAATLSPADTEKLSKLKAAVSGLTQISENEKSGFINLVSRYLSGEAQHVEWSKIQTPTDEVVVPYDSLAPVSNDPAETKKLLDKLVVLKLNGGLGTTMGCTGPKSVIEVRNGLTFLDLIVIQIENLNSKYGCNVPLLLMNSFNTHDDTQKIIEKYKGSNVDIHTFNQSQYPRLVVDDYLPLPSKGRTDKDGWYPPGHGDVFPSLKNSGKLDALIAQGKEYVFVANSDNLGAVVDLQILNHLIRNKNEYCMEVTPKTLADVKGGTLISYEGKVQLLEIAQVPDEHVNEFKSIQKFKIFNTNNLWVNLKAIKRLVEANALKMEIIPNPKEVDGIKVLQLETAAGAAIRFFDHAIGINVPRSRFLPVKATSDLLLVQSDLYTLVDGFVLRNNARKDPSNPSIELGPEFKKVGNFLSRFKSIPSIIELDSLKVVGDVSFGSGVVLKGKVTISAKPGTKLAVPDNALIANKEINGPEDF >KGN57246 pep chromosome:ASM407v2:3:11640699:11647610:1 gene:Csa_3G173010 transcript:KGN57246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDILFAQIQADLRSNDALRQSGALLQALQQSAAGRDISVIAKSAVEEIVASPASAVCKKLAFDLIRSTRLTADLWDIVCTGIRTDFDFPDPDVTAAGVSILAAIPSYRLSKLITDSHKEISACFDSPSDNLRFSITETLGCILARDDLVTLCENNVSLLDKVSNWWSRIGKNMLDKSDAVSKVAFESVGRLFQEFDSKRMSRLAGDKLVDSENSLAIRSNWISSMANFVWKKRNALMARSLILPVENFRATVFPIVYAVKAVASGAAEVISKLSKSSTGNGAITDSSAERLVGVSDVVTHLAPFLASSLEPALIFEVGINMLYLADVPGGKPEWASQSIIAILTLWDRQEFSSARESIVRAVVTNLHLLDLHMQVSLFKRLLLMVRNLRAESDRMHALACICRTALCVDLFAKESVRRGQKPLAGTDIASLFEDARIRDDLNSVTSKGLFREELVASLVESCFQLSLPLPEQKNTGMESRVIGALAYGTGYGALNWTEPALEVVEVCRPCVKWDCDGRTYAIDCYLKLLVRLCHIYDTRGGVKRVKDGASQDQILNETRLQNLQRELVKDLREVNTPRISARLLWAISEHINLEGLDPLLADDPDDPLNIIITNIHKVLFNVDSAAETTNRLQDVQAVLLCAQRLGSRHPRAGQLLTKELEEFRSNGLADSVNKHQCRLILQRIKYASNNSESRWAGVSEARGDYPFSHHKLTVQFYEAAAAQDRKLEGLVHKAILELWRPEPSELTLLLTKGIDSTLLKVPPTATTLTGSSDPCYVEAYHLANSSDGRITLHLKVLNLTELELNRVDIRVGLSGALYFMDGSPQAVRQLRSLVSQDPVLCSVTVGVSHFERCALWVQVLYYPFYGSGGAGDYEGDYTEEDSHIIRQKRSLRPELGEPVILRCFPYKIPLTDLLSPHRISPVEFFRLWPSLPAIVEYTGTYIYEGTGFKATAAQQYGASPFLSGLKSLSSKPFHSVCSYIIRTLAGFQLCLAAKTWYGGFMGMMIFGASEVSRNVDLGDETTTMLCKFVVRASDASITKEIEVDPQGWLDDITDGGVEYMPEEEVKVAAAERLKISMERIALLKAAQPPPKTPKSDDEEEEEEEEEVEEIEGERKKKEGQENGKGPSTLSKLTAEEVEHLALQAAVLQEWHMLCKDRANKAN >KGN57093 pep chromosome:ASM407v2:3:10613778:10621432:-1 gene:Csa_3G153180 transcript:KGN57093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKGITKLKNILEGLPEPQFSSEDYMMLYTTIYNMCTQRFPNDYSHQLYDKYRESFEEYIISSVLPSLRDKHDEFLLRELVERWANHKVMVRWLSRFFYYLDRYFIARRSLPSLHTVGLTCFRDLVYRELNAKVRDAVISLIDKEREGEQIDRALLKNALDIFVEIGMGEMDCYENDFEVAMLKDTAAYYSRKASNWILEDSCPDYMLKAEDCLRREKDRVSHYLHSSSESKLLEKAQHELLSAYCTQLLEKEHSGCHALLRDDKVDDLSRMFRLFSKIPRGLEPVSNTFKQHVTAQGTALVKQAEDAASNKKVFIRKVMVLHDKYMAYVDNCFQNHTLFHKALKEAFEVFCNKSVAGSSSAELLSTFCDNILKKGGSEKLSDEAIEETLEKVVKLLAYISDKDLFAEFYRKKLARRLLFDKSANDDHERSILTKLKQQCGGQFTSKMEGMVKDLAMARENQSNFEEYLCNNPQAHPGIDLTVTVLTTGYWPSYKSFDLNLPAEMVNCVESFKGFYHIKENHKKLTWIYSLGTCNINGKFESKTIELIVTTYQASVLLLFNIFEQLCYSEIKTQLNLGDEDIVRLLHSLSCAKYKILNKEPNTKTISPTDHFTFNLKFTDKMRRIKIPLPPVDDKKKVIKDVDKDRRYAIDASIVRIMKSRKVLSHQQLVLECVEQLSRMFKPDFKIIKKRIEDLIARDYLERDTDNPTLFRYLA >KGN57105 pep chromosome:ASM407v2:3:10705803:10706451:1 gene:Csa_3G154290 transcript:KGN57105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSNPPFKSRPESKYTKAKRALRSLAVSVAIPVSLTIAAIFLFGRSSRHYPNRNRPIWIGPLWLIQLSSIGSSFLVGLAAWLVWADGGFHGGSNALPLYIAHLSLSVVWNPLVLVIRSVVIAFLFCVLDCVTLFACYLAFKRVNPFAKDLMKPCLAWTAYLSAVTYVFIDL >KGN58058 pep chromosome:ASM407v2:3:20976756:20978791:-1 gene:Csa_3G478200 transcript:KGN58058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFTSLASKRSISSLHPLSTRIKQTENEIVQMFRVSTPSPEASNFSFNRKVLRRDPSVRTLDERFIRILKIFKWGSDAEKAIEVLKLKVDHRAGRLEDALKLFGKMDSLQCAPNVVTYNTVIKAIFESKAPASEAALWFEKMKANGIAPSSFTYAILIDGFCKTNRVEKALLLLEEMDEKGFPPCPAAYCSLIDSLGRAKRYEAANELFQELKENCGRSSARTGGPKRAIEMFTKMKESEIMPDAVSYNTILSCLSRAGMFEMAAKLMREMKLKGFEYDSITYSSILEAVGKVDEDCSPTA >KGN56256 pep chromosome:ASM407v2:3:5422574:5423182:-1 gene:Csa_3G110040 transcript:KGN56256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTSMLPMGYIFCPTDEELLCYYLYHKITIVGGFIPPIMLPVVDIYTKESSQIWQQCRGVHGQDVHFFICLKKKKSCAVRKVGPNGAIWSGESKATKVFSHNNTLVGAFKLFHYENPKMKKDGHHNNNYSWIMYEYTLHPNLVPEGVVHHSFVLFMLRKKILKQDKRAFSATKQTFSCIDWPNTTTATTTQLEVHVDDPNTK >KGN58752 pep chromosome:ASM407v2:3:27525581:27525917:1 gene:Csa_3G731140 transcript:KGN58752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDPRVLWFTPMTNSKSLLTPNFLTLHFPHQHTFTTTIESKATTYPEDGRPNLGSGQKEFFYIDRRHENSTASFGPTAC >KGN59527 pep chromosome:ASM407v2:3:32514562:32514864:1 gene:Csa_3G824480 transcript:KGN59527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEYDERAVESGSLVVSGCGFDSVPTKLGLIFNLRQWVGKSTPSWVEAYVNVECNGGMAYNFGTYESTVLDVTNVDALVQLRQSRTPRRRSKVSKIISL >KGN59873 pep chromosome:ASM407v2:3:35131588:35131776:-1 gene:Csa_3G851740 transcript:KGN59873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQWDDSSTRPFEREKVGRGLGSSVALAFPGEGLRSDPSFIPDEEDLLGFSPSRTFFLQFKG >KGN57461 pep chromosome:ASM407v2:3:13265194:13266696:-1 gene:Csa_3G188340 transcript:KGN57461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIIPNPPLHQSPPPTQSTMLLILFSLSLFTLSFSQSNSLSLPFPLSLTEKPSNITPLYYSSQLYVKKPSSHGPFKLPFKYSSSALVVSLPIGTPPQPTDLVLDTGSQLSWIQCHDKKVKKRLPPLPKPKTASFDPSLSSSFSLLPCNHPICKPRIPDFTLPTSCDQNRLCHYSYFYADGTLAEGNLVREKFTFSNSLSTPPVILGCAQGSTENRAAFKPDAGGSGQTMIDSGSDLTYLVDEAYEKVKEEVVRLVGAMMKKGYVYAAVADMCFDAGVTVEVGRRIGDMSFEFDNGVEIFVGRGEGVLTEVEKGVKCVGIGRSGRLGIGSNIIGTVHQQNMWVEYDLANKRVGFGGAECSRLK >KGN57395 pep chromosome:ASM407v2:3:12751749:12752432:-1 gene:Csa_3G183290 transcript:KGN57395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGSLTPDGTGLCSRLGSGTLTPDGMGMGSRLGSGSVTPNGMRQDSRLGSGTLTPDGLGHGLQDSPLLDNQISEVASLANSETGCQNDVTNHRVSFELTGEDVARCLANKSLTSIRTESESPKQTSTSNQNENKESSREAETCEFFDIKTSAAPEKTPGEDDQCYQNQRAVTLGSFKEFNFDQTKGEIHNTASIGAEWWANEKVGVKEASPGNNWTFFPLLQPGVS >KGN58163 pep chromosome:ASM407v2:3:22204515:22209016:1 gene:Csa_3G566330 transcript:KGN58163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSTKNVLLSSGGDEISKNLALHLARRGCRLVLIGNECVLQSMSKMIAESLKGVLPIEVVGVDMEEEREAAFDEAVNRACGILGTLDAFVHAYSYEGPIQDALQLSEEEFKKIVKINLMASWFLMKAVCRRMRDQKSGGSVIFLTTLIGAERGLYPGGAAYGSCSAGLQQLARTSALDVGKYKIRVNAIARGLHLDDGYPVSVGKERAEKQVKDAAPLERWLDVKDDLASTVIYLISDGSRYMTGTTIFVDGAQSLVRPRMRSYM >KGN59615 pep chromosome:ASM407v2:3:33086539:33087059:1 gene:Csa_3G829050 transcript:KGN59615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRELIAEKLKWFRPNGASDFRRCVLELDHSGFQQLQIKTSVPEKKNLRSILQSDNRQSLNMGSQKSMETYVRLNSESLIGLKLRSSQIFTLCTGDGADGR >KGN56183 pep chromosome:ASM407v2:3:4730695:4734728:-1 gene:Csa_3G094510 transcript:KGN56183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRETSGEIRWPLLGAPEKEISERLTVDEMLEKHCGEFGRWQLKHFVLTSLAWALEAFHTMVMIFADREPEWVCVGSGSGCDEEKGGVCGIEAGWWRWSGGAGISTVSEWGLICGDKYKVGLVQALFFGGCMIGAGVFGHLSDSKLGRKGSLTVVCILNAIFGIATAFSPTYYIYTLLRFLTGFSTGGVGLCAFVLATEPVGPTKRGIAGMSTFYFFSFGIAALSAIAYFFNSWRHLYIASSLPSFFFILLVLPFLSESPRWFLVRGRVTEATNLMAAIAKANGNHLPDGVFLALDEDTKRDEIQGSTQSGSLLDVIRSPITRIRLILAVAINFMCAVVYYGLSLNVVNLDTNIYMNVAVNAVAEMPAFLITAVLLDVCGRKPLAIGTLWFSGVFCWAGSLMKGVGIWKVIRMGCGVLGIFGMAGTYNLLYIYTAELFPTVVRNAALGSATQASQMGAILAPFVVVMGGGLPFAVFAGCGIVGGALAFYLPETLNKPLYDTMGGMEDGERVCNLNCDA >KGN59958 pep chromosome:ASM407v2:3:35815856:35821055:-1 gene:Csa_3G856010 transcript:KGN59958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVQAHRRYLLLVVVLLLIQFGLGQKEWLNSSTEWTALLYLRSSLGLRSRDWPIKANPCSDWSGVKCKGGRVVGITVSGLRRTRIGQVSPRFAVDALANFTSLVLFNASGFLLPGSIPDWFGQSLVELQVLDLRSSSIVGSIPSSIGNLSKLTDLYLSGNSLTGIMPSALGLLSQLSVLDVSRNLLTGSIPPFLSSLNNLRRLELASNFLSGPIPPSISTLKKLQLLDLSDNSLTSSVPSELGNLSELLVLDLGKNSLTGALPVDLRGLRNLEKMNIGDNGLEGPLPVDLFRSLAQLEILVLRGNRLDGRLNHDLLSHPKLKFLDVSNNNFTGFLPSFVPNSVVVFNFSNNVLYGHLNLPLELHGSIDLSGNYFQGVVVNKSPDAILSGNCLDMEPDQRNFEACSLFYSERTLTFEGFKNGNPDEMKRGHVRNSRLKFIMVGLFGGLGFVVIFVLILVVLLKFCGKGEANKKGKANVGPVPDGDSPSFPKDPIYFAGLGDSFTYEQMLHSTGNFSEHNLIKHGHSGDLYKGFLEGGLPVVVKKVNMQYLKNEMYSLELDFFSKVSHMRLVPFLGHCFEREDEKLLVYKCMPNGDLANCLHNISCSEDDNVQSLDWIIRLKIAIGAAEVLSYLHHECNPPVVHRDVQASSILLDDKFEVRLGSLSEACVLDGDQHQNVFTRLRRKPQSSEQCSSGPSPASCSQDIYCFGKVLLELVTGKLGISKAEDSTTKEWLEHTLSNISIHDKELVTKIVDPSLMIDDDLLEEVWAMSIIAKSCLNPKPSRRPLMRYILKALENPLKVVREESSSSGRLRTTSSRRSWSAAFHGSWRHSSSDVNRESGSGSKQGGRISSHGSCGYDFSSSNKRLSNEIVPEPEEFRDVEN >KGN56855 pep chromosome:ASM407v2:3:9139567:9143222:1 gene:Csa_3G135030 transcript:KGN56855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSKTEINLKRLLATAPHQKDQAKLIHSFISDTKFCMRVSKALLGDYSEKIEAIASKLVVPLPDVEESSEPSTSTSVREISSIAEEDLNTPSSPTGLRRRFPASSIVEDRSHGTIKQDSSAPVKLDAAAINHIEKHRKLQEDLTDEMVGLAKQLKESSLIMSKSLENTEKILDSTEKAVEDSLATTGRVNKRAVQIYSESSKTSCFTWLAIFVMTCVFVMVVLLIRVT >KGN58806 pep chromosome:ASM407v2:3:27788359:27789491:-1 gene:Csa_3G732630 transcript:KGN58806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLILGKISVETPKYELVQSTSDYEIRKYEPSVVAEVAYDPTQFRGNKDGGFTVLAKYIGAIGEPQNIKSEKVAMTAPVITKSEKISMTAPVVTEGGGGEGKPVTMQFVLPSKYKKAEEAPKPADERVVIKEEGERKLAVVRFSGIATEGVVAEKVEKLKKSLEKDGHKVIGDYVLARYNPPWTLPSLRTNEVMIPVE >KGN56875 pep chromosome:ASM407v2:3:9251166:9252671:-1 gene:Csa_3G135720 transcript:KGN56875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAASGINLTPLSKADENFHRPTELKAFDDTKAGVKGLVDAKVNEIPRIFYHPPEDVHSAQTHIHIPLIDLEGVGNDSLKRKHIIEQIRDASEELGFFQLINHGIPTSVLEEMRDSVRRFHEQDTEDKKQYYTRDFMKPFIYNSNFDLYTAPTTNWRDTFSYVCAPNPPNPQELPEICRDIMVDYSKWVMEIGKLVLELLSEALGLNPNYLDNIGCNDGLAFVYHYYPPCPQPKLTTGISEHSDTDFITVLLQDHIGGLQIRHDNKWIDVHPVAGALVVNIGDLMQLITNDRFKSVNHRVLASHEGPRISVAGVFSTMVSPSDKLYGPIKELVSEEKPAIYRETTVRDFSVQFKSDGLGTSTLKHYKLNQAHI >KGN56067 pep chromosome:ASM407v2:3:3651700:3652616:-1 gene:Csa_3G063670 transcript:KGN56067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHNSINEEDHFSPTFTATTTATRLLSGHDQVTAQPTSDLQYNPPPAFDRLRNNVSPPHSDLQRPHLGSLDLATHTSRRVRSTVESHSDDDRDSR >KGN58828 pep chromosome:ASM407v2:3:27875227:27876777:1 gene:Csa_3G733330 transcript:KGN58828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLLITKEIFSSRASTRVPKPSLFISLELEATNHLWRVSGPTNSTTTTPKKESKSFSIGGTLVFSLDIWGMGGVILTSVMAISLAIFLLGRPVYRRRASLGSPLTPLLQVIVAAFRNRKLGYPFTFF >KGN59198 pep chromosome:ASM407v2:3:30173021:30173689:1 gene:Csa_3G779020 transcript:KGN59198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKQPHLNGAFYGPAVPPPPKTYHHHAHRRGCACCLLTTFLKLLVTIVVVVGIAVLILWFLFRPHKLTFDVTDAELTRFNISGNQLHYNLALNLTIRNPNKRIGVYYDVIEASPFYKDQRLNTQWLPPFYQGHKTTTVLSPHFDGQQIVFLAGDKLTEFNGETLAGIFNVDLRFRLQLRLKVGVVRIGKFKPKVNCELKVPLKSNANSFTFLQATRCDFDF >KGN59361 pep chromosome:ASM407v2:3:31328141:31330785:-1 gene:Csa_3G813300 transcript:KGN59361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMSSKRDDKKRLKILCLHGFRTNGSFLRKQISKWDPSIFAHFDMEFPDGIFPAGGKSEIEGYFPPPYFEWFQFNEDFTEYKNLEECIDYVCNYITTNGPFHGLLGFSQGAVLSALLLGYQAQGKVLKEHPPMKMFVSISGTKFKDESICEVAYKHKIKVKSVHFIGEKDWLKLPSQQLASVFHEPLIIRHPQGHTVPRLDESATKELKCWVDAILSSRDHDGDGEVKENVIDKVDERIENQILKGENKCIDVV >KGN60215 pep chromosome:ASM407v2:3:37728247:37731769:1 gene:Csa_3G889720 transcript:KGN60215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKFNCFSGRTGKKKKTKSDLESQKPAELNYLKKTLQVSIHHSEEPFQVGEGKSSTLDVAILYPSENNSKLDVKVTNHESPTVGGAVEAEYEGEDERDDNSIKRNPSDFDLPAQDNCGEEFEFQLLGNHFDKITIEGEGGEGIGVEGEGVDASIQNGHLSDPGIGKAVCWASPKLKRSCSNLETRDVLRDLSHQLPPPKSQSFEKLQELANEMRDYVDPGSPGSTMTHRSADKVMLKKRSSSQILPSRSRRLWWKLFLWSHRNLQKPWTIKATPTSSAFNQQGGYCSDNLEPNRAAGKSMIESPGSFTEESWTNGPCNNKSDDQDQEKLCNGVSGLWPQNQWVAFSAESSSLRRVDEWVKDLQIEPCITIDEVGGDNDEATVFPPSPERTSTHTPRRGETNLTEEILYANSVIQSLNSSSTVAHISGIGLRAIPTISHLSGLRSVNLSGNLILHINPGSLPKGLHTLNLSRNKISVIEGLKELTRLRILDLSYNRISRIGHGLSNCTIIKELYLAGNKISDVEGLHRILKLTVLDLSFNKISTTKSLGQLVANYNTLQALNLLGNPIQSNVSDDQLRKAVTGLLPNLVYLNKQAIKAQRAREVATDSIAKAALGNSSWSSRRRTRKTSHIPSSSISGHRSTASVAHKGRHRSKAPTVRHSSLKISSSALASTTR >KGN57373 pep chromosome:ASM407v2:3:12585679:12586979:-1 gene:Csa_3G182090 transcript:KGN57373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAETPASATDRRKIEIKIQVWEDREKIKADNKAERRLASTEAWKNSKKAALEAEVKKIDADLVKLRLRGMEKVKNKEAETHKAVESKKASIEAKRELKKLKVEGKAKVHRCTNTVPKKCFGICND >KGN59816 pep chromosome:ASM407v2:3:34660176:34663180:1 gene:Csa_3G848250 transcript:KGN59816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKSLLLQHQSQAMEENLSNLTSASGEASACSGNHSDQIPTNYSGQFFSTPPPPKKKRNLPGNPDPDAEVIALSPKTLMATNRFVCEICSKGFQRDQNLQLHRRGHNLPWKLKQRANKEVIRKKVYVCPETSCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAEESARAITSNPPILIANNNNNNYNQNHLLPPLSSIATPNINSQLNFQITQQTHFNNPPFLDNTSFNNNSLKKENHQLQSNNNNNDNNNIPPWLTFPINNNSTSNNHNHHQIINPNHNHINLGPTSLHLIQSASPSSPHMSATALLQKAAQMGSTMSSNSNSNNNNNNNNAEPPHTIIPHTNCNFGLNLSSTTTSSSSRDIHQNQILEEAAAGLSHALPFYRNKIADFEGAGTSFELDQFGGVFKKNNDHHHHHQAAAGLSTRDFLGLRAISHTEFLSNIAAAGNFSHCINNNHNVGAAQNPQTTQIQNQSTWQG >KGN57502 pep chromosome:ASM407v2:3:13760449:13772776:-1 gene:Csa_3G199640 transcript:KGN57502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLFGIGYAGIIFEESLAFNKSGVGLLMAVGLWVIRSIGAPSTEIAASELTHATAEVSEIVFFLLGAMTIVEIVDAHQGFKLVTDGITTRKPRTLLWVIGFVTFFLSSILDNLTTTIIMVSLLRKLVPPSEYRKLLGAVIVIAANAGGAWTPIGDVTTTMLWIHGQISTMPTLKDLVIPSAVSLAVPLALLSLTSEVNGKGQDFSNVMASEQMAPRGKLVFSVGVGALVFVPVFKALTGLPPYMGMLLGLGILWILTDAIHYGESERQRLKVPQALSRIDTQGALFFLGILLSVSSLEAAGVLRELANYLDAHIPNVELIASAVGVISAIIDNVPLVAATMGMYDLSSFAQDSEFWQLIAFCAGTGGSMLIIGSAAGVAFMGMEKVDFFWYLRKVSGFAFAGYAAGIAAYLALHNFNISLPTTIAEVPSILGS >KGN59474 pep chromosome:ASM407v2:3:32170068:32174006:-1 gene:Csa_3G822260 transcript:KGN59474 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methionine synthase MASHIVGYPRMGPKRELKFALESFWDGKSTAEDLKKVAADLRSSIWKQMSDAGIKYIPSNTFSCYDQVLDTTALLGAVPPRYNWTGGEIGFDTYFSMARGNASVPAMEMTKWFDTNYHFIVPELGPEVKFSYASHKAVDEYKEAKALGVDTVPVLVGPVSYLLLSKPAKGVDKTFSLLSLLDKILPVYKEVIADLKAAGASWIQFDEPTLVLDLDSHKLKAFSDAYAELESSLSGLNVLIETYFADVPAEAYKTLTSLKGVTGYGFDLVRGTKTLELIKGDFPKGKYLFAGLVDGRNIWANDLAASVSTLEELTALVGKDHLVVSTSCSLLHTAVDLVNETKLDNEIKSWLAFAAQKIVEVNALAKALAGQKDEAFFASNAQAHASRKSSPRVTNEAVQKAAAALKGSDHRRATNVSARLDAQQKKLNLPILPTTTIGSFPQTVELRRVRREYKANKISEEEYVKAIKEEISKVVKLQEELDIDVLVHGEPERNDMVEYFGEQLSGFAFTVNGWVQSYGSRCVKPPIIYGDVSRPKAMTVFWSTMAQSMTARPMKGMLTGPVTILNWSFVRVDQPRFETCYQIALAIKDEVEDLEKAGINVIQIDEAALREGLPLRKSEHAFYLDWSVHSFRITNCGVQDTTQIHTHMCYSNFNDIIQSIIDMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPSTEEIADRINKMLAVLETNILWVNPDCGLKTRKYAEVNPALKNMVAAAKLLRTQLASAN >KGN58741 pep chromosome:ASM407v2:3:27466434:27468728:1 gene:Csa_3G731030 transcript:KGN58741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAINLPLIHTPPSIQNFSIKSSQNTSKFFPFSQSNPSPLLSSSFKLYTTAKARASSLIAFAAKNLSESELNSVPETAGEIAGKFPSDAGVYAVYDKEGDVQFIGITRNIAGSVATHWRSVPELCVSVKFGVVDEPDRTNLTQAWKSWMEEHIKVTGKIPPGNESGNATWTRQPPKKKADLRLTPGRHVQLTVPLEELIDQLVKENKVVAFIKGSRSAPMCGFSQRVVGILEAEGVDYESVDVLDEEYNNGLRETLKTYSNWPTFPQIFVDGQLIGGCDILSSMHETGELAGLFKK >KGN56407 pep chromosome:ASM407v2:3:6670971:6674257:1 gene:Csa_3G119400 transcript:KGN56407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLISNRVERSEIKPGDHIYTYRAVFAYSHHGIFVGGSKVVHFRPQRNLNSSTDTPDFYDSSSSNPSSCPIFPDCGFRQPNSGVVLSCLDCFLRNGSLYCFDYGVPPSVFLSRVRGGTCTTATSDSFDMVIHRAMYLLQNGFGNYNVFENNCEDFALYCKTGLLIVDRLGVGGSGQASSVIGAPLAAILSSPLKLLMPSPVGMAVMTAGMYSMSRYATDIGVRTDVIKVAVEDLPLDLESFSSKEEDEDDEDDEDDEDDEDDEDDEEEEEAPATKKKKDSKMLVVR >KGN60374 pep chromosome:ASM407v2:3:38922050:38923632:-1 gene:Csa_3G901190 transcript:KGN60374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGSYGNPKTWIPYMSNKDCSQGFCSVYCPQWCYIMFSPPPPLEFPDNSGPHFSPLVIAIIGILASAFLLVTYYTIISKYCGNTNRLSGTGNHDPSEEYEDNHNPTFHEPWHVATTGLDEALIKSITVCKYKREDGLVEGSDCSVCLSEFQEDESLRLLPKCSHAFHLQCIDTWLKSHSNCPLCRANIISINAGSPVQLPASTAYPITNETVPETSQDNDYEAVSLDSGQSFSNEDGKNSVRAFSDLGNLEKRDTIIELRDGRLQQIRRSISMDHCSQNHIIIADVLRLNEDDFGGIDEAGSSGDVGSSKHSVGEDSRSSHRKRILHCVMSPVSMKRSFSSGRLSLTKHGREHRGIAPVPNFTTQNQNGLQF >KGN55844 pep chromosome:ASM407v2:3:1880972:1884607:-1 gene:Csa_3G019350 transcript:KGN55844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIEELKEEGELQSGEEGYSGVIVSNLESQSIVRSDVKRIVVGVGARVLFYPTLLYNVFRNKLQTEFRWWDKVDEFILLGAVPFPADVPHLKEAGVRGVITLNEPYETLVPSTLYRDHEIDHLTIPTRDYCFAPLLSDICLAVNFIHKNASLGQTTYVHCKAGRGRSTTVVICYLVQYKQMTPDEAYKHVKSIRPRVLLAASQWQAVLEFYHLVVQKDVSFCHIDDTRKEVSGSLHDLIAFDDSSVVVVKESDLDGYDQSIIQSDMGDIWADLSVVCRVRVAGQAALTRISCLWLSYRAKHHSQKISGDDLGVGKGCSLSATHLEGFSVDIHVY >KGN60338 pep chromosome:ASM407v2:3:38658979:38660587:1 gene:Csa_3G895840 transcript:KGN60338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMHISPFLPLPSRFCSFTRCFFNFHSTLSISPRLSLLTLRSSSAPPMETSTNVQPSDSVMIDNDNEDNKIKNILACSICHGPLTAAAGSGLPVESTNGYQLECGTCKKSFTGSESHLDLTITGGTDSGESMPAATEIFRTRLVSFLYERGWRPKEIPLPHEFILNRDLLAQLYLKSKH >KGN57611 pep chromosome:ASM407v2:3:14965398:14969360:1 gene:Csa_3G229370 transcript:KGN57611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHASKSFVFVVRQFFIRLPPTRVHVGSFTWVPSPFSILRSPFVAIRHSPFSVGSFAAKFSSQVISILIVDLLFLFLDRNSGKVNEAATLRRKEQLELRKLSWKKNKDGFIKLPEEFVREYRARELDLVNMKHRTKRKRFVIVPSKSKLIFIIQIQGKNDMHPKTRKILYSLGLSRIFSAVFAKANESILGKLQRVGPYVTYGYPNLKNVRELVYKKGFAKIDKQRVPLTDNNIIEQALASMPGGGGCLQGKKSSYKEGGDAGNREDDINDLINEIN >KGN56525 pep chromosome:ASM407v2:3:7293336:7296838:1 gene:Csa_3G122490 transcript:KGN56525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTAPENSVSTPAPTPPPASVPAGVPSEAVEDKEKAMVTVPIVNKTKEDTVPKKASGGSIDRDIALAEVEKEKRFSFIKAWEDSEKSKAENKAQKKLSSVLAWENSKKANLEAKLKKIEEDLEKKKAEYGEKMKNKVVLIHKEAEEKKATVEAQRSEELLKAEETAAKFRATGTIPKKFLGCF >KGN56532 pep chromosome:ASM407v2:3:7326526:7335424:1 gene:Csa_3G122560 transcript:KGN56532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMCSVPIRTPSWFSTRKLLEQKLSDLHKCTNLNQVKQLHAQILKSNLHVDLFVVPKLISAFSLCRQMLLATNAFNQVQYPNVHLYNTMIRAHSHNSQPSQAFATFFAMQRDGHYADNFTFPFLLKVCTGNVWLPVIESVHAQIEKFGFMSDVFVPNSLIDSYSKCGSCGISAAKKLFVSMGARRDVVSWNSMISGLAKGGLYEEARKVFDEMPEKDGISWNTMLDGYVKVGKMDDAFKLFDEMPERNVVSWSTMVLGYCKAGDMEMARMLFDKMPVKNLVSWTIIVSGFAEKGLAREAISLFDQMEKACLKLDNGTVMSILAACAESGLLGLGEKIHASIKNNNFKCTTEISNALVDMYAKCGRLNIAYDVFNDIKNKDVVSWNAMLQGLAMHGHGVKALELFKRMKEEGFSPNKVTMIGVLCACTHAGLIDDGIRYFSTMERDYTLVPEVEHYGCMVDLLGRKGRLEEAIRLIRNMPMAPNAIIWGTLLGACRMHNAVELAREVLDHLVELEPTDSGNFSMLSNIYAAAGDWNCVANTRLRMRSIGTKKPSGASSIEVNNEVHEFTVFDRSHPKSDNIYQVLMEGHGQADIHDCTIKLRVNPQKQRDKVCIGCGAGFGGDRPTAALKLLQRVKNLNYLVLECLAERTLADHYQVMLSGGDGYDPRIADWMKLLLPLAMKRNICIITNMGAMDPPAAQQNVIEVAGSLGLNVSVAVAYEGSVKESGISTYMGGAPIVECLEKYHPNVIITSRVADAALFLAPMVYELGWNWDDFPLLAQGILAGHLLECGCQLTGGYFMHPGDKYRSMSFQQLLNISLPYAEVECDGKLTVAKPEESGGLLNFSTCAEQLLYEIGNPSAYITPDLVVDFSNVSFCSISSSRVLCSGAKPSIQGVPEKLLQLAPKDCGWKGWGEISYGGRECVLRAKAAEYLVRSWMEELLIGINRHIVSYTIGLDSLKASSNGSNCVEDIRLRMDGLFEQKEHALLFVKEFTALYTNGPAGGGGISTGYKKEIVLEKQLVGRENIFWQTEVTCTEAVKLDSQSTDLQKDPAEACSSPRVTLPCPISDHADELCTGSLPPEMGHSPIPSGQEIALYNVAHSRAGDKGNDLNFSLIPHCPSDIERLKMIITPEWVMRVLSVLHNSTRFHSSNADEKRNEWVSEDVKVEIYEVKGIHSLNVVVRNILDGGVNCSRRIDRHGKTISDLILNQLIVLPPEQ >KGN58315 pep chromosome:ASM407v2:3:23810235:23811776:-1 gene:Csa_3G611890 transcript:KGN58315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSSVLLGSKGLIRKHEFVRVIIQCLYSFGYQKSALCLEAESGISYKSSDFKLLESQIFSGNWYGCIDTINGIKGLTDDVRVSTLFLVLKHCLLEYSNCGDDASALAMLRKEAPIFHFSKDKIHKLAYSIFTSKDMNLDYSNDNVIHELRKKLLRDLEKTLPPPTCLPDRRLEQLVETTVMAQIDSCMYHNFSGAVSIYEDHCCGRDQIPTETIQVHFIMLISLFVFSFH >KGN59741 pep chromosome:ASM407v2:3:33963332:33965379:1 gene:Csa_3G842630 transcript:KGN59741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTALSFLRPRKSAICSLVFGISPQVFEKFGLFCPKIVKSFLNPCAHQSHIQSFWRVFPLGVLYSTQSSKLPEYEMPTVTWGAVQGRKEKLVSRVIICDYLKSLGIVPDELEHLELPSTVEVMRERVEFLQKLGLTIDDINEFPLILGCSVRKNMIPVLGYLEKIGIPRPKLGEFIKNYPQVLHASVIVELAPVIKLLRGLDVEKEDIGYVLQKYPELLGFKLEGTMSTSVAYLVSIGVNPRDIGPMVTQYPFFLGMRVGTMIKPLVEFIVSLGLPKKIVARMLEKRAYILGYDLGETVKPNIDCLLSFGIRKELLPSVIAQYPLILGLPLKAKMSSQQFFFDLKLKIDPAGFAQVIEKMPQMVSLHQHVIIKPAEFLLERGIASSDVAKMIVQCPQLLACRVPLMKNSYYFFKSDMGRPIKELVDFPEYFTYSLESRIKPRYQRLQSKGISCSLNWFLNCSDQRFEERLKGEFIETESLRPSFCMGGRLELPSNETIVSDDEDECDEQLHRRIVSL >KGN55694 pep chromosome:ASM407v2:3:721126:722510:1 gene:Csa_3G005575 transcript:KGN55694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLHLSVFEGLDIKGFLYFLEIWSLLLFGQIPSSIEFVLSRKCLIGVSSFLEIFFWCWIVSATVQSVVDAASGRFSRGNFFRELSSVNETSKLVFTTT >KGN59599 pep chromosome:ASM407v2:3:33002528:33003107:1 gene:Csa_3G827410 transcript:KGN59599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLSPVSHAQVSFLIGFVTTVVAWAYSEYLAFKKQSISSQIRHVDVGLSTGEESHNVKEDDKAVLLEGNVMKSTPSGATISSTFSTVIRLSSFFQKQNHILFNLILP >KGN57178 pep chromosome:ASM407v2:3:11151387:11159640:1 gene:Csa_3G168400 transcript:KGN57178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASESSSSSGAVVTDRRGIPAAQFVEDVRTYLSQLQLDVQSALAFLQERLQQYKLVEMKLLAQQRDLQAKIPDIKKCLDIVATLQAKKEAATGEPLVADFEISEGIYSRARIEETDSVCLWLGANVMLDYSYEEAITLLQKNLDNAKASLEVLVADLQFLRDQVTITQVTIARVYNWDVHQRRVQLAGASVEDS >KGN59963 pep chromosome:ASM407v2:3:35847721:35852444:1 gene:Csa_3G857040 transcript:KGN59963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDATSSSFKIILGSSSVARRKILSEMGYEFTIMSADIDEKAIRKEKPEELVVALAEAKADAILGRLSTDDFMKDAEPTLLITSDQVVIYEGVIREKPASKEEARQFLKDYSGGHAATLGSVLVTNLKTGFRKGEWDRVEIFFNEIPDEVINKLVEEGTVLYVAGGLIIEHPLILPYVKEVVGTTDSVMGLPKALTEKLLKEAM >KGN57909 pep chromosome:ASM407v2:3:18920239:18921873:-1 gene:Csa_3G386820 transcript:KGN57909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKVVLGILLCLFAFESVVSTHHAPERHWFREEAQQYRLDRIQARPPSRRIEWEGGITEVWDEANEEFQCAGVAAFRNIIRPNSLSLPKFHSSPMLAYIERGEGFLGLNFPGCNVEEYEAQSAQLSRSSRRIRVDKEEDKHQKVRRVRRGDMIVIPAGTVQWCYNDCGQDLVVVAFMDLNNDDNQLDLRVRSSYLAGGVPREARRVSKSDDFVNIFNGFNKEFLEEAYNIPSDLARKMQEERSGGLIVKCDEEMSFMTPEEEEEELSALPFSRREEDSNGLEETICTARVQHNMNTQREADVYSREAGRVNILNQLKLPILRFMGMSAEKGHLFPVKHTHLHYYFIQ >KGN56819 pep chromosome:ASM407v2:3:8951448:8960583:-1 gene:Csa_3G134680 transcript:KGN56819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCSISGEIPEEPVVSRNSGLLFEKRLIERHILDYGKCPVTGEPLSIDDIVPIKTGKIVKPRQAASIPGMLGMFQNEWDVLVLSNFALEQQLHTARQELSHALYQHDAACRVIARLKKERDEARSLLALAERQMPLSSTSFSSNAAAVNVNGKRVNEDEELGPDGKKIRPGISDAVISELTECNAALSQQRKRRQIPATLVPVEALENYTQISSHPLHKTSKPGIMSLDIHHEKDVIATGGLDTNAVLFGRSSGEILSTLSGHSKKVTSVKFVGRDDLFLTGSADKTVRVWQQSDDGNYNCRHILKDHTAEVQAVTVHATNDFFVTASLDNTWCFYELASGLCLTQVAEDSETEGYTSAAFHPDGLILGTGTSEALVKIWDVKSQKNVAKFDGHVGAVTAISFSENGYFLATAAHDGVKLWDLRKLKNFRTFAPYDSDTPTNSVDFDHSGSYLAIAGSDIRVYQVASVKSEWNCIKTLPDLSGTGKATCLKFGPDAKYLAVGSMDRNLRIFGVPGEDGAMET >KGN58047 pep chromosome:ASM407v2:3:20809012:20809817:1 gene:Csa_3G462680 transcript:KGN58047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQSLEVISKKICNMVRIAYYMLRKGIFKSKLMVDLTNLISKRRKLTGKALKNLMFHHHDGVSGLAFSLAPFRRNHNLDLAAAASHYEFSCTNTPAFPSFHYTFNKRRHFFACAHAPNTLDDDVAAMNAFKAVWEALNNNNDVAAEVASPALPGFGRSPMVVRQLRVTDSPFPVAGGGDEDCHVDKAAEEFINRFYKELRLQKTAE >KGN58980 pep chromosome:ASM407v2:3:28686952:28693559:-1 gene:Csa_3G740230 transcript:KGN58980 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multidrug resistance protein 1, 2 MSQYSEEIKTTEQWKWSEMQGLELLSSHPLPPSDPSITNNPTAENTDPEDLRPTQEPQDGVGRAKNREMESTTVSKKENGGGTSGEKPEAVTAIGFRELGFSDSLMALVHGCSLPLFLRFFADLVNSFGSYANDVDKMMQEVLKYAFYFLVVGAAIWASSWAEISCWMWTGERQSTKMRIKYLEAALDQDIQYFDTEVRTSDVVFAINTDAVMVQDAISEKLGNFIHYMATFVSGFVVGFTAVWQLALVTLAVVPLIAVIGGIYTTTIAKLSAKTQDALSEAGNIVEQTIVQIRVVFAFVGESRALQRYSAALKISQKIGYKSGFSKGIGLGATYFVVFCCYALLLWYGGYLVRHHATNGGLAIATMFAVMIGGLALGQSAPSMSSFAKAKVAAAKIYRIIDHKPTLNRNNESGLELESVSGLVELKNVDFTYPSRPDVRILNNFSLAVPAGKTIALVGSSGSGKSTVVALIERFYDPISGEVLLDGRDIKTLKLRWLRQQIGLVSQEPALFATTIKENILLGRPEADQLEVEEAARVANAHSFIIKLPEGYDTQVGERGLQLSGGQKQRIAIARAMLKNPAILLLDEATSALDSESEKLVQEALDRFMIGRTTLVIAHRLSTIRKADLVAVLQQGSVSEMGTHDELFAKGENGVYAKLIRMQEMAHETALNNARKSSARPSSARNSVSSPIIARNSSYGRSPYSRRLSDFSTSDFSLSLDASLPNYRLEKLAFKEQASSFWRLVKMNSPEWLYALLGSIGSVVCGFLSAFFAYVLSAVLSVYYNPDHAFMSREIIKYCYLLIGLSSAALLFNTIQHFFWDIVGENLTKRVREKMLTAILKNEMAWFDQEENESAKIAARLALDANNVRSAIGDRISVIVQNTSLMLVACTAGFVLQWRLSLVLVAVFPVVVAATVLQKMFMTGFSGDLEANHAKATQLAGEAIANVRTVAAFNSEEKIVRLFSTNLEIPLRRCFWKGQIAGSGFGVAQFSLYASYALGLWYASWLVKHGLSDFSKAIRVFMVLMVSANGAAETLTLAPDFIKGGRAMRSVFALLDRKTEIEPDDPNVTQVPDKLRGEVELKHVDFSYPTRPDILVFRDLNLRVRAGKTLALVGPSGCGKSSVISLVQRFYEPTSGRVMIDGKDIRKFNLKSLRKHIAMVPQEPCLFAASIYDNIAYGHESATEAEIIEAATLANAHKFISGLPEGYKTFVGERGVQLSGGQKQRIAIARALIRKAELMLLDEATSALDAESERSVQEALDRACSGKTTIVVAHRLSTIRNAHVIAVIDDGKVSEQGSHSHLLKNYPDGCYAKMIQLQRFTHSQVIGMTSGSTSSARTIEDEKRDS >KGN59512 pep chromosome:ASM407v2:3:32445965:32453049:1 gene:Csa_3G823600 transcript:KGN59512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQFSLRPISSSSSSSSQSSSSSSSLTLASRLLFLLTLLPLTLACFAFLLQWRGGLNDPVTRWSPDQHEFPGMSTTAPSIASHSSRSDCVDLLGRSHSPAFSYYRDWKFDYGTDLKPKICITTSTSAGLEQTLPWIFYHKVIGVSNFFLFVEGKAASPNVSKVLETIPGVKVIYRTKELEEQQTKSRIWNETWLSSFFYKPCNYELFVKQSLNMEMAIVMAKSAGMDWIIHLDTDELMHPAGTREYSLRQLLADVPSNVDMVIFPNYESSVERDDVKEPFSEVSMFKKNYDHLPKDVYFGNYKDATRGNPNYFLTYGNGKSAARIQDHLRPNGAHRWHNYMKTPNEIKLDEAAVLHYTYPKFTDLTSRRDRCGCKPTKDDVKRCFMLEFDRAVIIQGLRNSGIFSSVISSAVQNTLAKDQFLSSVESSNSSRRIESGGLSSRKVGINSGDFQATARKILEIVDNLSDLSAIPPLSPPSLDEDVPVPVDT >KGN57853 pep chromosome:ASM407v2:3:18224009:18225732:1 gene:Csa_3G353980 transcript:KGN57853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREAVREVAKCFPTAIISGRSRDKVKEFVKLSNVHYAGSHGMDITMTPTSDNEVDGVSFQPAKKFLPAIQQIREVLEEEITRKIEGAMVEDNRFCVSVHFRHVHERDLENLKRKVETVLERYPDFHITLGKKVMEIRPTINWNKGHAMEYYLHTLGHTNVDDVVPLYIGDDRTDEDAFKVIQKRGQGIAILVSSIPKNTRASYSLKDPPQVLAFLLRLARWRKSSP >KGN56951 pep chromosome:ASM407v2:3:9738757:9739129:1 gene:Csa_3G146370 transcript:KGN56951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELYRRQFGALTELGKREIQREEPLNKQSSPQSAVLMVGEFYGGRGKQMRERKIPIIKIKLSVPFQRATFLPLHVPVMSALSSRGPIHQN >KGN56278 pep chromosome:ASM407v2:3:5589600:5599392:1 gene:Csa_3G111240 transcript:KGN56278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILIHFLGHYFLSSKCGVWSVEEYSPVAVAIKFPAMAVAVSYCTSSSFLGYFPSEKLKSRGLVSPSAQATRINALFWGTKKSTVPNEIDYSLGDFTLTGAGPEGGSFSHSKPTKLSLSVVSSISEVSASDWDACALDTTGPKKYNPFLTHGFLSSLEETGCAVKETGWMPRHIVAKDESENILCVVPLYLKSHSYGEFVFDHSWADAYYSFGARYYPKLQCCVPFTPVTGPRILIRNTLFRDEIFDIIISALKDMATKSQLSSLHITFSSENEWQKLSEGGFLQRIGMQYHWKNRNYKDFNEFLMDMKQSKRKNIRQERKKIMTQNLTMKRLRGYEIKDKHWDAFYTFYRNTTDNKWGTPYLTRDFFHNMASKMGDQVLLVTAEEGTEFVAGALNLIGGDTLYGRLWGCHPRVYYPGLHFEACYYQAIEAAIELGLDTVEAGAQGEHKIQRGYMPVTTYSCHYLTDEGFRRAIDDFLVREANQVKAVIKLLHDSGPFKEGI >KGN56727 pep chromosome:ASM407v2:3:8442768:8445842:-1 gene:Csa_3G130330 transcript:KGN56727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFASGSVIRNATNLSNNLLRSFSTSIKTAQHNNHLQTQEYLEANSFIGSWQAPKNPKEAQARLARLRREYAKQVKQVRKNYIQEVELLRLEREQKDEAKKEALRVANEERKKLKAEAAKVRAEERKVADEEFRLTLVKERAEKLEHWRMMEKKREEKKKEKKELLRRQSSMWVDENKLEEKLLEAIVNTITL >KGN57783 pep chromosome:ASM407v2:3:17089237:17089538:1 gene:Csa_3G300590 transcript:KGN57783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLSLTTLIPVSATVSRHLTFLTFAVNDEIDTRRPHGILKELKTSSSDVCVVLPFPLQNRHTIT >KGN60182 pep chromosome:ASM407v2:3:37473219:37477804:-1 gene:Csa_3G882930 transcript:KGN60182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLPRYSLERKERLNVNGIVAVAIDKDKGSQGALKWAIDHLLQRGHTVFLMHVKLKSPSNSTQKMKSDPEANVKDLFLPFRCFCTRKDIQCKDIVLEDTDVAKAIIEYAANTTIENIVVGASARSGFLRFKVTDIPGSIVKGAPDFCNVFVISKGKIQTMRSASRPAPTVSALRGPLAQQSSKKLSDTPDFPVSHSATARVSLDKPPLDLPNKSQDEADYMRSPFTRKGYNYKPSYGDLPMQDSDISFVSSGRPSIDRIFPTLYESQDMGGRATPPQISSSTDLDLTRSFESLQLGRMSVDMNFPSEFSSISQDSDRLSISSQSMEDVEAEMRRLKLELKQTMEMYSTACKEALTAKQKAVELQRWKLEEEQRLEEARLAGEAALALAEQEKAKSKAAVEAAEAARRIAELEAQKRMKAEMKALKEAEEKKKAYDALAQTDNIRYRKYTIEEIEAATEFFSESRRIGEGGYGPVYKCDLDHTPVAIKVLRPDATQGRSQFKQEVEVLSCIRHPNMVLLLGACPEYGCLVYEFMANGSLEDRLLRRGNTPALSWQLRFKIAAEIGTALLFLHQTKPEPLVHRDLKPANILLDRNFVSKISDVGLARLVPPSIADSVTQYRMTSTAGTFCYIDPEYQQTGMLGVKSDIYSLGIMFLQLITSRPPMGLTHHVERSIEKGMFDTILDPIITDWPIEEALSFAKLSLKCAELRRKDRPDLGKVILPELNRLRAIAEDTMHPTLMGDTSPSRSSSQVSFHLGAMASSPQSYNSSRNPSANF >KGN55859 pep chromosome:ASM407v2:3:2020824:2021388:1 gene:Csa_3G019990 transcript:KGN55859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFMPNGSVNLTDKCGGNGLRSINIENSDYSIPKFVPYKTQLEQILHSNNRINSPGYGRLLASKLKFTYLDVEIMLRCDKSNDDWGGDYTRRRLIATCDQCGRWFDLRLDEQYLD >KGN57569 pep chromosome:ASM407v2:3:14347914:14349119:-1 gene:Csa_3G214580 transcript:KGN57569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQGKGSAFAITLLLCIFLIQSEIAQAKVYTVGDALGWTFNVNTWTKGKIFRAGDIIVFKYPRMAHNVVSLKNKVAYNWCLKPKGSKVYQTGKDRIKLVKGYNYFICSYPGHCKAGMKIAIKAI >KGN58192 pep chromosome:ASM407v2:3:22558493:22558753:-1 gene:Csa_3G588510 transcript:KGN58192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFSISKLVGGVVVCVSLCSFERRRPLDPSLRCLCLDPVQIVSLFVRYKSALTGHLSIRLAQSHVVNH >KGN58216 pep chromosome:ASM407v2:3:22767353:22768664:1 gene:Csa_3G593190 transcript:KGN58216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQTVLVFALIFLAAVAGVFAEAPTESPSTSPSPKASPSDSESPSPSSSSPAGSPKASPETTSPSPAASSPSSSSDAPTSNSPTSSPTSSPSVSPSPATDSPSDSPASDSPVADSPADSPADTPTAASPDSDVSSPPSPDAADAPTADGPAAADGPTAADGPTAADGPTAADGPTAADGPTAADGPTAADGPTAADGPTAADGPTAADGPTAADGPTAADGPTAADGPTAADGPTAADGPTAADGPTAADGPTAADGPTAADGPTAADGPTAADGPTAADGPTAADGPTAADGPVAADSPADSPASDESSGTDLKLTSAMVVGVVATVGFFAF >KGN58772 pep chromosome:ASM407v2:3:27630036:27631451:-1 gene:Csa_3G731820 transcript:KGN58772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNKDIIARLFMWDQLIQLCLVKPHPLHSRALRDISLHGSMVAVKVLNLQQQGASKSTVDECNALSNIRHRNLLKIITSCSSIDGQGDEFKALVFNFMSNGNLDSWLHSTNQGTNQRRLSLIQRLNIAIDIACGLDYLHNHCETPIIHCDIKPSNVLLDDDMVAHVGDFGLARFMLEESNDQISFSQTMSLALKGSVGYIPPEYGSGSRISIEGDVFSYGILLLEMIIGKRPIDDTFDDGVDIHFLLQKR >KGN59946 pep chromosome:ASM407v2:3:35739554:35742819:-1 gene:Csa_3G855400 transcript:KGN59946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKATLKTKYDADKSGAASTLAVNAGDVKLRASITDATIINGPSLNGLALSVEKPGFFIVDYNVPKKDLRFQFMNTVKVAEKPLNLTYIHSWADSRTILDGTLVFDSANKVSANHALGSGNCKLKYTYVHEGATTFEPSYDVAKNSWDFAVSRKVYGDDVFKATYQTTSKVLGLEWTRNLKSSGNFKVVASVNMADESKRPKVTAESTWNFEV >KGN55936 pep chromosome:ASM407v2:3:2684943:2686691:1 gene:Csa_3G038100 transcript:KGN55936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQWLTITSQNNPENHRQKRKINLSRLLLTSNQKSKNGSEKRGGSTNSLVKRNGKVTKRCIPTNNGETENGAKSKDFKKLFTRKNVLQRNNLKKINRNITISRTSQHMKHCEKDRVPIAIEA >KGN57576 pep chromosome:ASM407v2:3:14429075:14436180:1 gene:Csa_3G215640 transcript:KGN57576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLGKKARKFAKKNLQTVLRRKRKLKSSFKKKAPSRQDKDSVENQDGVSKLHNQLNGEADENNNVSLDAIFSEDEYDMLEDDSDSDGYISEEPSSFNTPENEIDNSSEGGIDMINPNDLSDQNKEIHSELTKKIKQLNRLKKKDPEFLKFLETNNKAVEPFRDEDTNSDEETINADGLKRDEQSVSSNKNLLLSSSVVDSWCHQVKNKQDVPLFTSLINGYRAACHYGSEAIGNVDAGRCYKIGNSETFSKILIFMLSEADNLFREQLGLLTKSYKKEMILELRNTQKWKTLKPLIKSYLRSSLFLLNEVSETEILRFSLARIRVSVIFFAAFPSLQRRLIKIAVHLWATGEGTISSLSFLIIREMSSVLGSNVFDTCWIKMYKAVIANCQFAEPILHKHMQFLRDSFVELCSLDVHRSTTRAKVSIQQLTKILHQGLRTKKKEAVQMMRSWQFINCIDLWVKFIGANFQDYDLQTMLYNVIQIINGVAVLFPGPRYLPLRIKCIQWLNYLSRSTGIFIPVASMVLDILEHITVKEGKNSGVVFHHLSVLQLPKYWLKSQNFVEECVLSTIELLSSHFSQWSHHISFPELATIPLIQLKKFHAASTTENLKRLVKRFIDQVEQNIDFINKKRDEISFSPNDQQAAESFLQLEKCNSNVPFIQYYKSILDKAASRSLAVDKKFLGANKNKKKRQQHEKNQQIESAANGKVHPEKRRGKKRKT >KGN60132 pep chromosome:ASM407v2:3:37074281:37081073:1 gene:Csa_3G879500 transcript:KGN60132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRVKEEERIERTIRNLLKLPENRRCMNCNSLGPQYVCTTFLTFVCTNCSGVHREFTHRVKSVSMAKFTAEEVAALQAAGNQRAREIYLKTWDPQRHSYPENSNLHRLRNFIKHVYVDRKYSGERGVDELPRLRLTEKDSSQERRKVAPYYGGLQNQQVEMPRSSSKRETRNPIFYYDERSSPRYSKENNRYGGYRRSLTRIEVVDDRIKDDKPRLSNGDTKATIHLSRSQENLQKSYFAVEFNNKVTIGKKEPTRRAEEDARTNKGIDAGGSDQNVVCVNPVETKRDNMESLIDLSISSEPSDATTAASQTQQMPPSNNDNWNAFEPSSTKSTSTAPNANTLEALLFELTVPSAGADKNPTDGSANACTPATLFGSTLTPDFTMGQTALPISVEASVAETNESVPLQTSNASPPQLTSNKGGDIRLQVINGQQLPSTQQKQTFDYPSADIDLNSQMMTPAAVPNFEWTSSSGSNAQGYSSVSTDKIVAADSKPAQGATIGVGSQPPSLEKSSSIGRKELPLDLFTANYPQVHSSHPGWQTGTQQVMGQNLQYYPTPVAARPTNPFDLDDEKSKFYSHSARASMPPVTGLTQRYGYQPEAQYSGTYVGQQVYGSIPSLRPQGVENFGSLSFPSPFGSFNNTTQQPGSRSYPAPNNTNPIAPSFRNNPFG >KGN57740 pep chromosome:ASM407v2:3:16424086:16424379:1 gene:Csa_3G271330 transcript:KGN57740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLLATPSPRGLPFVSLCLYVLYSCPSFYAKEVNKFGLYDPIELLFLYSSYILMLLLVLAELARGFELDGYQRGSRLLLMLSKAVAISFSEYTTLPP >KGN57776 pep chromosome:ASM407v2:3:16991489:16992176:-1 gene:Csa_3G298050 transcript:KGN57776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALSTPSIGTIILLLLLIPSGFTVNDTAASASCNSSHSKKCHLVRDDVGDYEFMMESEMGWMLSINYDKYKSPPTRIANKQVVKDDCGRPPRYESCLGRKRDTLIQEKCAVYKRGC >KGN56960 pep chromosome:ASM407v2:3:9816841:9820025:1 gene:Csa_3G146460 transcript:KGN56960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSKVKFRLCLLAFTLFLACVSVGLGAEGESLGSGVGVDNGCVNGCQELKGKNLDEYAACEKQCGVNQKETEICRQWCQVMKPQGGEEQRRCQQECEERLRDQEQGEDVEDKWRDPEREREEQRRREHEREERRRRERERERERGRGRRDENDRDPEREREERRREEQRREQEQRRREQEQRERERRGRREEEDEENQRGPDWRREQERRRREEQERRERQRRGEREDEEENQRGPDWRKEQERREQERRRREEQERRERQRRGEREDEEENQRGPDWRKEQERREQERRRREEQERRERQRRGEREDEEENQRGPDWRKEQERREQERRRREEQERRERQRRGEREDEEENQRGPDWRKEQERREQERRRREEQERRERQRRGEREDEEENQRGPDWRREQERRRREEQERRERQRRGEREDEEENQRGPDWRREQERRRREEEQREREWEREHGRRGSQERRRGGQEEEISRREESERQHGGRSRANQVKTRWTEQEQSHNPYYFQERQFQSRFRSDQGEWRVLERFSERSELLKGLKNQRLAILEARPQTFIIPHHIDAESVLLVVKGRATITTIVQEKKETRKESYNVECGDVITIPAGTTVYLANQENEELQIVKLIQPINNPGEFKDYLSGGGESQAYYSVFSNDVLEAALNIPRDRLERIFKQKSERRGKIIRASREQLKALSQRATSVKKGGQGARAVIKLESQSPVYNNQYGQMYEACPDEFPQLRRTDVATSVLDIKQGGMMVPHFNSRATWVVFVSKGTGSYEMGCPHIQGSQWQRGRREEERQWRREEERERSDERSSSRIERIAGRLSQGGVLVIPAGHPIAIMASPNENLRLVGFGINAENNKRNFLAGRENIMNEVDREAKELAFNVEGKQAEETFKSQKESFFTEGPEGGRGRSRERTPLLSILKLTGYF >KGN58037 pep chromosome:ASM407v2:3:20708731:20712452:1 gene:Csa_3G454120 transcript:KGN58037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMMSPERAAATPFEFRAPPPSPIRTSRRSSVTNDEVLTEFLEHSLRVPDLVLPDTIFPRERFVEHPPRIDYRLIESYDRDSVLKILDSMCSFGFFQLVNHGIPVELIGAVAGAADAGVFGISPEKKVGVGRSPEKAYGFEEYWHGEDESEVSEEFVWSRDEDLKMEMEVISPIGYSNFSKKMEWLTQLTEKLGEKMLGIFLENSGKIARNEVVLGHGSVWCVYKHKIKQGLSVWNDELENCFKHDVIRMLIRGTDFSHAFCFHFCHGSSPLFHAYSKRGWVSFVPDDSAIVVTVGDHIQGWSGGQYKHVIGRPIYKDHNKEKQSGSNNNTNGISMAFLFSPTPSSSSSNALKSPNEIRTLSLAHQALFALFLTLFYNFFFYILKYI >KGN59478 pep chromosome:ASM407v2:3:32185244:32185540:1 gene:Csa_3G822295 transcript:KGN59478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIMKKIQETVQSHKKQHDGENGAHEGVGIVEKMKEKIHGYKEKNKEKKKQRKERKEKKEKEKKEKKAKQKAEEAEEEEDGGESSDGDSDDSGGGSD >KGN58500 pep chromosome:ASM407v2:3:25702349:25706122:1 gene:Csa_3G651840 transcript:KGN58500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPTIAFYTSPPSTICSSPHPCQINTHASCDLEFTSRSSSLASSTAASSQKPMVGGLSSLFSSTAPRLSSSSASISSGGDELGSFRHDKGDELKELSSSFRYSPNKFIGSFFNRDQSPVSVFQGPVSCGSCGFGSAARTPPLWTVRERSGDGSFHGRGGTNRLFSGFVRNALGSCVDYDSPRLEVSSDGLDVGSSALFGDELTFNMEDNITEGNSESYAKDLLLSAQSKHKIFCDEFVVKAFFEAEKAHRGQLRASGDPYLEHCVETAVMLALVGANSTVVAAGLLHDTIDDSFVTHDYILGTFGAEVADLVEGVSKLSHLSKLAREHDTAERTVEADRLHTMFLAMADARAVLVKLADRLHNMMTLDALPPIKQQRFAKETMEIFVPLANRLGIYTWKEQLENMCFKHLNLEQHEDLSSKLLGLYDEAIIFSATQKLERALKDKGISYHVVTGRHKSVYSIHRKMLKKNLTVNEIHDIHGLRLIVENEEDCYEALRIVHQLWPNVPGKLKDYISKPKLNGYQSIHTVVRGEGDVPLEVQIRTKEMHLQAEFGFAAHWRYKEGDSKHSSFVLQMVEWARWVLTWHCETMNKDRPSIGSVRPPCKFPFHSSDCSYSYKPRYFQDGPLFVIMIENEKMSVQEFPADATMMDLLERAGRGSTRWAHYRFPMKEELRPRLNHEPVSDPKCKLKMGDVVELTPPIPDKLLVEYREEIQRMYEGGFTVATPQPAGWKS >KGN58506 pep chromosome:ASM407v2:3:25750294:25752274:1 gene:Csa_3G653380 transcript:KGN58506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNVPKTKKTYCKSKECRKHTLHKVTQYKKGKDSLAAQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLRLQCQGCKHVSQHPIKRCKHFEIGGDKKGKGTSLF >KGN57277 pep chromosome:ASM407v2:3:11915213:11916165:1 gene:Csa_3G176240 transcript:KGN57277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQISYPINQRQSITSQLRNVDNLSPNRTLNASVHRLPLKPFATCGFIRQSGANPCLNITHCEVEIGSTELRHLGNGSSEGVDRVTQFFQAVVKSRDISGGEAMGVRKLEDLSGGGLDGGEGNGEGGGGQKAGTCLDGIGMD >KGN59022 pep chromosome:ASM407v2:3:28924577:28928934:-1 gene:Csa_3G746540 transcript:KGN59022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVSQPTAHASPASLYVGDLHPDVTDGQLFDAFSGFKSLASVRICRDSSTGRSLSYGYVNFISPQDATNAIEVMNHSMLNGRAIRVMWSRRDADARKSGIGNVFVKNLSDSINSLGLQELFKKFGNVLSSKVATSDDGKSKGYGFVQFESEESANAAIESLNGFTVGDKQIYVGKFVRKSDRVLANPDIKYTNLYVKNLDPEIGEEHLQEKFSEFGKISSMIISRDENGVSRGFGFINFENSDDAKRALETLNGSQLGSKVIYIARAQKKTEREEVLRRHYEEKCKEQVLKYKGSNVYVKNIDDDVTDEELRERFSQFGTITSSKLMRDDKGINKGFGFVCFSNPDEAKRAVNTLQGCMFHGKPLYLAIAQRKEDRQMQLKLQFAQRLAGIPGPSTTIFPGGYPPYYYPAPGVVPPVASRPGLMFQPLGMRPGWRPNTYTSPARPGFQPSPLPIIPTASRQPRQNRGKMNGPILSHQNGVQSVSYMQNSQDANQSVVTAKSSSNQQWTGQVKYVPNARSCETNKTSGASAAAAAFNSVGDVSQGSQILSSMLASSPPDQQKQILGEHLYPLVQKRKPDLAAKITGMLLEMDNSELLLLLESPESLAAKVEEAVQLTEKEAKLSSNSSNSLPQRIFGNSFLNSKSCESIIFRYRTSVSWQGQSTISSFWPGRLFYFPDFAGQDGWLVWNLDLLNAVF >KGN59323 pep chromosome:ASM407v2:3:31038425:31045871:1 gene:Csa_3G810480 transcript:KGN59323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSNIKNSSIIIQQEEEEEKQQQLHHHHHHHHQQPQFLQNPSFVIPSNSKNSSLFNFQNPNFSDHHQHHPHQLPFSMNLPSISSNFLSKDGGGDDLGELDQALFLYLDGQEPSSTTTQDQRQSSGMRPPTLNIFPSQPMHVDSLPIKGNTTLINHGGDSKIKASEPSKELANQRSNGGAIASAPAPTQTTTTTEPHPKPPKREPNKKGLTSSSEQEGPKTPDPKTLRRLAQNREAARKSRLRKKAYVQQLEMSRIKLTQLEQELQRARNQGMFLGGGAAILGGPDQGLPSGFHNLSSDAAVFDIEYGRWQEEHHRLMCELRAAVQEHLPENELRLYVDSCLAHYDEVLNLKMMVAKSDIFHLVSGMWKTPAERCFMWMGDFRPSELIKIIMGQIEPLTEHQILNICALQQSTQESEEALSQGLEALNQSLSDTITSDSLSSPPNMANYMGQMTLAINKLSTLEGFVRQADNLRHQTVHRLQQMLTTRQAARCLLAIAEYFHRLRALSSLWLARPRQD >KGN59356 pep chromosome:ASM407v2:3:31299063:31303954:-1 gene:Csa_3G812770 transcript:KGN59356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGATRFFLLISGPLPLIHHRRSSFLRHASHYHKFFRSSLQPSARILSSSFHSIRASRHVHSQVSTIGDDFGSFEDPGSANSMFSPDSYTWREWSQFLGGLISGGYFGRQVSGIELVSDLELPAEFLSTANACLAFSLEREHLLGLLPRKDIEVLVESVSPCFFRDGDDSSRKMKLFLRGDETQVLDHDKAHIIDLFKFILSYARNPTVSSESNLYGRDLVESSVRNLLREICQLGFSNLDPNLQTANQFPDKYGRPRGPYGPKIEMKRGDWVCPRCSFMNFARNVKCLECDEARPKRQLNGGEWECPQCDFFNYGRNTLCLRCDCKRPGAPSISNINENFASGNDNGSRATKVDIDSKLAANEEKAQRWFSKISQLDGTSDSSSTIADEDFPEIMPLRKGVNRFVVSTRKTPLERRLANAQYKGNLGNDGVPENNSNELSAANNSLEEKIGQRLDGIFGRTSPNSQSTNLEKEQGTSTNYTSSSSSGSLQYESLKESNSNYVPFVPLPSDMFAKKPTNSTMESKVVGTDNNKSLSSGSNEWTGSASQSNEMKEVGGTPPRNETEKIIEGKEDAEKSERWFKRVAELHDVTDLPSAISDEDFPEIMPIRKGENRFVVSKKKDRSLTSPAYKRHAATEQSGSSNFVPFVPFPPDYFAKKDKPSSDYSNSKATEAQSNNTRIGIDDGNNAQQADNQETQTSRFNSELRTGSSSWNSEASSNDYVKKESNHNASAAGNPSAASEVQNIREKWSGKSLEGSAVTEPDPLDMSEEAKAQRWFRRVAQIKDISELSQIPDEDFPSIMPMRQGVNRFVVSKRKTPLERRLTSPQYRRNLPIVSSDPPKRENDDS >KGN59103 pep chromosome:ASM407v2:3:29619533:29619907:-1 gene:Csa_3G769680 transcript:KGN59103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSENCNARAMNSSIALLQERFRQLQKAKELREQKEFRRMSSESIQANTAVCSEQTGLYFHSELVLPPRSPLQGSSYLQPVLESRKSHLQVTDNLTLSDVGSREKVMHRTNCVDDSNIDTSLHL >KGN59065 pep chromosome:ASM407v2:3:29173503:29174594:1 gene:Csa_3G750910 transcript:KGN59065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSIATCYSEHAVKVSDSYCSGPSPNPHVFSKFPSSNSPLSVSSIYRAKLSASNDLLILLHWTAKDLGQSFKIKINSISLPILTTKGLKSFKFDHNSEISVFWDISDARFDPGPDPSSGFYIAVTVDSEIALLMGDERDKLVKLGVLKENTDTQLLKFSLVSRKEDFCGDDSVFLTKARFSDEGGVHEIVVKCGGGGEEMRNQRLAVFIDKKQVFEVKRLKWNFRGNQTIFLDGSVVDMMWDVYDWLFRPESAAGAAFMFRKRSGLDSRLWLEEKTKQEKPGFSLLIRACKNNLLPPS >KGN59883 pep chromosome:ASM407v2:3:35213086:35213589:1 gene:Csa_3G851840 transcript:KGN59883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLSKQCTHMFPGTSSISKRPLVLRLSIRFVVVVVVVVGVGVGIEVAEEIGIGIGVGVAAVVVRIEAGVAVEVVAEIDIAAGLAVVVVAGAGAELAVAVAAVAEAGVVVGVGAGAGVGVGVGPAAAAEVVVGAGLAFVVEVGIAFAIVVVQQTTDSYPGLFEHRQY >KGN59978 pep chromosome:ASM407v2:3:35929627:35932964:1 gene:Csa_3G859650 transcript:KGN59978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFDLLNHPHPHPLFFLEQSKNDEVVFCTRCRRQLRPPAFTCSDSLCNFHIHQSCIDLPPQIHNRFHPQHLLSRTTNNYHCVPCSQMPSGDVYICSQCCFQIDVKCAIADTKASGLRRMNGNEFRHFSHPHTLTLLQPEQNRETDEIDCLVCGLFIKSGSSYYLCSFGDSRFHQQCAELPREMLNSDFHEHPLFLLPSSPPQTICNSCKNDCGEFVYNCSLCDFNLHIACLQSFKHKHSFTKYRNRTQFFCRACGEKGDGFSWYCIICHLSVHEKCAKMPLTLRIFGHRLHDLSLTYFRDRVDFVGNKIDCKICGEKIRTKYAAYGCYKYNCNYFVHLDCALTQRIDFNSTVDALDSTNDEDVKIEISGSEIQHFIHHHSLNLFSSEEELGQDRVCDGCMKRLSGPSYGCEECDFFVHKECLELPRKKRNFIHQHSLDLISIPNFVFQCQACLKYFNGFAYHCKTCLSTFDTRCTSIKIPFKHPAHQHPLSLDRTNEDHKCEGCGEGVKHKVAFRCVDCDFHLDAGCATLPLGVRYRFDPHPLDLTFFENEEEEEYCCEICEEKRDPGPWFYGCQKCNFAAHLDCAVGMFPYVKLKKHEAHKHTMKLGVKGREEDCMACGESCAEDLAYECISNCKFKVHATGPCYHSQVVMGSLAFTNRCFYSRGVGLPQHTIQNERIIIKIGPYGGGGGTAWKEKIFTSIRAFAIDHAAWIYSIQFHYEKNGQLIWSVKHGGDGGSKSEVVFDHPDEYIVSIHGYYSSLRNWGFSGSIVRSLTLETNKRSYGPFGEEDGTEFSIPTGKKFCGLHGRAGSFLDSIGGYAFSTRHPHPL >KGN60108 pep chromosome:ASM407v2:3:36894870:36897642:1 gene:Csa_3G878770 transcript:KGN60108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVMGQKGTQVLAYKRDGFFSVFDLGSQWSIQEGHFHPGGLFASVGQMGIGFGISPNSSNSDDANSLYTNLCMKYVPSAEAVNVEETFVNKKKKNKGGFQLRIKVENPSLRRLISGAIAGTVSNTCVAPLETIRTHLMVGNGGHSVTEVFNDIMKNDGWKGLFRGNLVNVIRVAPSKAIELFAFDTVNKNLSPGPGEEPKIPIPPSLVAGACAGVSATLCTYPLELLKTRLTIQRGVYDGLLDAFIKILQEKGPAEFYRGLAPSLIGIIPYSATNYFAYDTLRKAYRKIFKQEKIGNIQTLLIGSAAGAISSCATFPLEVARKQMQVGALSGRQVYKNVIHALVSILEREGIQGLYRGLGPSCIKLVPNAGISFMCYEACKRILVDKDDEN >KGN60346 pep chromosome:ASM407v2:3:38700034:38705722:-1 gene:Csa_3G895920 transcript:KGN60346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVASAPGKVLMTGGYLVLEKPNAGLVLSTNARFYAIVKPIYEEIKPDSWAWSWTDIKLTSPQLLRESIYKLSLKNQSLESVSPSQSRNPFVERAVEYCVAAASAKFVDKDNKNALHKLLLQGLDITILGCNEFYSYRSQIEARGLPLTSESLASLPPFSSITFNDEESLGKNCKPEVAKTGLGSSAAMTAAVVAALLNYLGVVNLSLLTGDQEQRGSAGLDLVHIIAQSAHCIAQGKVGSGFDVSSAVYGSQRYVRFSPEVLSSAQAAKNGIPIEEVIVDILNQKWDHERTKFSLPPLMTLVLGEPGVGGSSTPSMWIHQATEPSQQAIIKALLGARNAMLQIRHHMRSMGEAAGVPIEPDSQTKLLDATMNMEGVLLAGVPGAGGFDAVFAVTLGDSTSNVTKSWSSLDILALLVREDPRGVALESCDPRTNAITAAVSSVHI >KGN57170 pep chromosome:ASM407v2:3:11096161:11097626:1 gene:Csa_3G166350 transcript:KGN57170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLTFRNGALALVVALLVVGLAESRKLEKETLGGGLGGGGGLGGGGGLGGGGGLGGGGGLGGGGGLGGGGGLGGGGGLGGGGGLGGGGGLGGGGGLGGGGGLGGGGGLGGGAGGGFGGGAGGGGGAGGGVGGGSGGGFGGGKGGGFGGGKGGGFGGGKGGGFGGGKGGGFGGGKGGGFGGGKGGGFGGGKGGGFGGGKGGGFGGGKGGGFGGGKGGGFGGGKGGGFGGGKGGGFGGGKGGGFGGGKGGGFGGGKGGGFGGGVGGGGGAGGGHGGGAGGGFGGGFGGGRV >KGN60347 pep chromosome:ASM407v2:3:38707762:38710557:-1 gene:Csa_3G895930 transcript:KGN60347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGLINANPVVYQKKERRARNTPCDSDEYAVESIDQLEIFDILSLFLDIKDPEHPYSLEELKVITEDAVEVDDGLSYVRVTFTPTVEHCSMATIIGLCLRVKLMRSLPSRYKVDIRVAPGSHATEAAVNKQLNDKERVAAALENPNLLDMVDECLAPSYS >KGN57415 pep chromosome:ASM407v2:3:12878692:12879458:-1 gene:Csa_3G183970 transcript:KGN57415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRYLVSRMAANGFKTSYGSTRTYATATTPRMKSYAPTADFSHFHHQSKPKASRAGDFVPVYVAIGMIALSVGLGLHTAKQQLAHSPSVSVRKKRRETIPEVVEPEHVAEETEKFFAKSFFRKVAHIQEVEKFGDYKVPYDPLLGDAYAHPHRTESLKSVGIDPARN >KGN56246 pep chromosome:ASM407v2:3:5294637:5295364:-1 gene:Csa_3G105980 transcript:KGN56246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMNDDENPAEPSIGEKTISTATIGDKLLVSISDRRENPGEATFSLFIVERIDDPPLRLQLMRFEPIYTLIATSDPKYRFLMILTARRTIATSEISTGLFISFQQDLRRMLLSELESPKNRRSTKPTTHFPRRF >KGN56657 pep chromosome:ASM407v2:3:8046753:8053128:-1 gene:Csa_3G127200 transcript:KGN56657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDFFNLTGFEGDWQWQRLQSPCFGENLSIGVQLGFAGVLFFRFVRKCIIQILDYHGGTKTIDHAPDNISIIRSLSVSYKASVACSIFLWVIHVLMFFALLNGIGTRCRSDISAFSSEIMQVIAWGVATVAVRGVVRNEYVKYPWLLRGWWICSFFLSIILVALSANFGDGNQVGVLDYARLVCFIPLIFLLALSVYGKTGVVFVVRNGLADPLLHGKCSEYEEDKRNSAYERANLLQRVTFSWINPLFALGYKRPLEHSDIPDVCRNDSAKIVSDSFTEKLNFVRKKNGDEEPSIYNALFLFSRRKAAINASLAVLSAAASYVGPYLIDDFVNFLTERKGRSLSSGYLLALAFLTAKVIETTAQRQWIFEARRLGIQIRGALVCHIYKKGMRQSSRSRQSHTSGEIMNYISVDIERISEFMWYLNMIWMLPVQISLAIYILHTNLGLGSLGAMAATILIMSCNVPLTKIQKDYQKKIMEAKDNRMKATSEVLKNMKILKLQAWDRQYLQKIESLRKVEHDWLWKSSKLTAFSSFLFWASPTLISLVTFGLCTILGIELTAGKVISALATFQLLQDPIFSLPDILSAFTQGKVSADRVTSYLQVDEIQQDATVYVSRDQTEFDIEIENGIFSWEPESINPSLDQINLKVKRGMKVAICGTVGSGKSSLLSCILGEIQKISGTVKISGTKAYVPQSPWILSGNIKDNILFGNEYEATRYNRTIDACALTKDLELFPSGDLTEIGERGINMSGGQKQRIQIARAVYQDADIYLLDDPFSAVDAHTGTQLFKDCMMGVLKEKTIVYVTHQVEFLPAADLILVMQNGKIVQVGGFEELIKQNFGFEVLVGAHNQALESILSVENTSRTSQVPNPEMELNGDSITKVDSQDSQIEQNNSTLQTTEKGGRLLQEEERKKGSVGKEVYLTYLTSIKGGVFVPIIVLAHTLFQALQIASNYWMTWACPTTNEAEPKVGMNVALLVYFLLAVGSSLGLLLRSTLLAVVGLQTAQKFFRDMLYSLLHAPMAFFDSTPTGRILNRASGDQSILDLDMAPKLGWCPFSVIRLLGTIVVMSQVAWEVFAIFIPVTAACIWYQHYYTPTAREIGRLSGIHQSPIMHHFAESLAGAATIRAFNQEERFFNTNLRLVDGFSKTWFHNNSVMEWLSFRLNVLSHFVFAFSLVLLVTLPEGIIDPSNAGLAVSYGINLNWLQALVIWSFCSAQKKIISVERILQYSKIKSEAPLVIEDSRPPSNWPQEGSITFKNLRIRYADHLPDILKDISCTFPGKKKVGVVGRTGSGKSTLILAIFRIVEPRGGSIIIDNVDICKIGLHDLRSRLSIIPQDPSLFEGTVRGNLDPLEQYSDQEIWEALDKCQLGDLVRGKEMKLNSTVVENGENWSVGQRQLFCLGRALLKKCNILVLDEATASIDSGTDGIIQNIIRQEFKNRTVITIAHRIHTVIDSDIVLVLSDGRIVEFDSPRKLLERDDSFFSKLVKEYSLRSGLNSSPNHDHR >KGN57450 pep chromosome:ASM407v2:3:13170893:13174825:-1 gene:Csa_3G187250 transcript:KGN57450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIMMDGRGGYGGGSPDEQEASNDRKGKKTYHRHNPYQIQQLESFFRQCPHPDENQRRQLSRELGLETKQIKFWFQNKRTQTKAQNERADNSSLRTENEKIQCENLAIREALRNVICPSCGGPPFGEEERQRNLQKLRLENSHLKEEHEKVSNLLAKYIGKPISQLESLLPVLGSSLDLSPRSSLTQIVPSPAVDLISDPVILDGAATPYQSRGINDLENALMLETAATGLEELIRLLRIDEPLWMKSLNDGRYVLHRDSYEKIFPRPNHFKTSSARTESSKALGVVTMSAIQLVDFFLDADKWADLFPTIITNAETFHIIDPGMPGNRSGALQLMYQQMHIFSPLVSPRDFCFLRHCQQIEFGVWVIVDVSYEILKDCVTSARCWRLPSGCLIQEMPNGCSKVTWVEHVEVDDKTQTHRLYRDLVFNTLAYGADRWLFTLQRMCERLAYTFRDCAPNHELGGVLTSPEGRRSIMKLSHRMVKNFCGILSMSGKIDFPQLSEVNNSGVRISVRISSELGQPSGTVVSAATSLWLPLQPETIFNFFRDEKARVQWDVLSYGNPVHEIAHILTGVHPGNLISIIRPFVPTENNMLILQESCIDPLGSLVIYAPIDMPAMNIATSGQDPSEIPILPSGFVITGDGRTHSGIGASTSATLGRPSGSLLTIAFQILVSSVSSSKQLNVESVATVNTLISATVQRIKVALNCSCLD >KGN59179 pep chromosome:ASM407v2:3:30054767:30058668:1 gene:Csa_3G778350 transcript:KGN59179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLGSGGSSVVVPRNFRLLEELERGEKGIGDGTVSYGMDDGDDIYMRSWTGTIIGPHNTVHEGRIYQLKLFCDKDYPEKPPSVRFHSRVNMTCVNHETGVVESKKFGLLANWQREYTMEDILTQLKKEMAAPHNRKLVQPPEGTYF >KGN56879 pep chromosome:ASM407v2:3:9264050:9265595:1 gene:Csa_3G135760 transcript:KGN56879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFFSALGRLLFASFFILSACQMFNNNGGPAAVELSSKVRHVVGLSLSLKGIGALLFVLGSRVGAYLLLLYLAIITPVLFDFFNLSLLKPTFGIMLSDFLEHIALFGALLFFIGMKGTSPKKHSKKKTQKQKAKAKAH >KGN59464 pep chromosome:ASM407v2:3:32087378:32089868:-1 gene:Csa_3G822160 transcript:KGN59464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPLHLAFWIADMVWGAFSGCISSCLAFAHEVASSIRTGDIGAFHIGWPGFLRFSSILNSLRWWLGLGA >KGN60002 pep chromosome:ASM407v2:3:36133172:36137462:1 gene:Csa_3G865370 transcript:KGN60002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSVLSLPSSSSYFVHDRSFSFSFFPKRCCQNRGFPGNSSYCTIKCKLARVVDSSANPATLTKEAHKYFDQAIITVRSGDGGHGTVLSMPNQQTSKSQGRNGKEKEKAKKKSLYKRDFDGSLILPMGGRGGDVVIYADEGKDSLLEFHTKSRYNAKRGGNVDAMGVLTSQLHNGFAAPTLRIPVPIGTVVKRKRGKLLADLVHPGDEILVARGGQGGISLIDTPENRKKKMMSLTSNVMRDESDKVLVFGQPGEEVSLELILRVVADVGLVGLPNAGKSTLLAAITLAKPDIADYPFTTLIPNLGRLDGDPSLGAGMYQSEATLADLPGLIEGAHLGKGLGRNFLRHLRRTRLLVHVVDAAAQNPVDDYRTVREELRMYNPNYLGRPYVVVLNKIDLPEAKNRLPSVTEEILRIGTDDRYPEQMGSENSVQSSVLEDDLATVPSLEIPVADEKDKEIEDYPRPLSVVGVSVLKGINISLMLKEIRAALRKCRDSDGMSMNSGG >KGN58331 pep chromosome:ASM407v2:3:24094832:24095123:-1 gene:Csa_3G623990 transcript:KGN58331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFRGRTISVDFTLSLGSRVGDMEGSVTGNKDKQYMAEYDLTKGMAFLRCYQVLTVFWCCGVSKRVARGLF >KGN59459 pep chromosome:ASM407v2:3:32069102:32071064:1 gene:Csa_3G822110 transcript:KGN59459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKGAADQNTQKSKNTKPLFIDQPNKILHINYKQKKKPQTPNQNSLLQFSLNFFLLIKTFPPIPSLLLQSDSAQSSLHLKVIWFRHSTYIWCTELLSLVPNYPSPVICIQILQWRNSAG >KGN55759 pep chromosome:ASM407v2:3:1162977:1164962:1 gene:Csa_3G011620 transcript:KGN55759 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor AtMYC2 MTDYRLSTMNLWTDENASVMDAFMNSDLSSYWAPSAASSHSLHHPPPPQSSASTSTPPPDAPKSLPVFNQETLQQRLQALIDGARESWTYAIFWQSSYDYSGGSVLGWGDGYYKGEEDKGKGKAKMVSSAAEQAHRKKVLRELNSLISGSAAGPDDAVDEEVTDTEWFFLVSMTQSFVNGVGLPSQAFYHSTPIWVSGADRLSASACERARQGRVFGLQTMVCIPSPNGVVEMGSTELIHRTSDLMNKVKILFNFNNLETSSWISGTTAAASAADEGENDPSSMWISEPSSTIEMKDSITTTVPSSNVPAKPIRSENPSTSSLTENMSTIQQSHHKQSQSFLNFSDYGFESNPTKNTTATATATTSTTPSFKPESGGMLNFGNGSLFSGHSQYVTNEQNEKKRSPASRSSNDEGILSFTSGVILPSSGKVKSGDSDHSDLEASAIREVDSCTKSLEPEKRPRKRGRKPANGREEPLNHVEAERQRREKLNQKFYALRAVVPNVSKMDKASLLGDAVSYINELKSKLQMAESEKTDMGKHLELLKKEMGGKDLGCYSNPNDEDLKTGKRKVMDMEIEVKIMGWDAMIRIQSNKKNHPAARLMTAFKDLDLEMLHASVSVVNDLMIQQATVKMGSRFYTQEQLKMALVARVGGGGSGGGGGIM >KGN55988 pep chromosome:ASM407v2:3:3106382:3110127:1 gene:Csa_3G044530 transcript:KGN55988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMLTTNSGAMLPLLPPVGQHWGRMKANNLPLRWYSSQHQTPNSRRLSMVAKAGPVFLKPIPSTRTKGGVLCSSRKNNAFICFAALNARCAAEQTQTVTREAPTITVLPGKEKSPQLDDGDSGFPPRDDGDGGGGGGGGGGNWSGGFFFFGFLAFLGLLKDKEEDGSYENDRRR >KGN59856 pep chromosome:ASM407v2:3:34996799:34998612:-1 gene:Csa_3G850610 transcript:KGN59856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENHSYPELAMWNGTQEIVRTGPWNGMRFSSKSISGLPILVYHYVNNKNELYFSFQLINNSLIGRMVLNQSRSRREALLWSEAEKNWMIYATIPRDYCDTYNVCGAYGNCDIENMPACQCLKGFQPRVLENWNQMDYTEGCVRTKHLNCWDEVGFAKLPGMKLPDTTYSWVNESMSLSECREKCLRNCSCMAFANTDIRGLGSGCAIWLNDLLDIKVVIKGGQDLYVRMLASELDTTKANLVIIGVIVSATLLIIAALVLVGFYIIKRRKILEVEKLDVQEEDLELPLFDLSTVSNATDNFSNSKKLGEGGFGVVFWCSILSFFFNILLDIDMNPKISDFGMARTFGGDQTEGNTRRVVGT >KGN57008 pep chromosome:ASM407v2:3:10043752:10048043:-1 gene:Csa_3G149880 transcript:KGN57008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATFLPTTKFPPPWLLLSSERSFPVHLSFQLPNFINTNGPIYSTSKSRPLQALLSSSSLKNVAESNFEASTLREICNGHVPEHILRRTEEIGFVAPTDVQRQALPVLFSGRDCVLHAQTGSGKTLTYLLLIFSVINAKKSAVQALIVVPTRELGMQVTKVARMLAAKPAASEDGLNSYVVMALLDGGLLRRHKTWLKADPPEILVATVGSLCQMVERQFLDLGSLNVLVIDEVDFMFSSSKQVSSLKKLLTSYSSFSNRQTIFASASIPQHRHFLHDCIQQKWTKSTVVHIHINSTQPMPSCLLHRFVTCEKNKKHQTLLTLLLTDIPQSAIIFVSEQSEKSKKAGKIPPTTLMIDFLKASYGGCSDVILLEEDVNYNLRASSLSELRQGGSYLIVATDIVARGIDLPETTHIYNFDLPRCAIDYLHRAGRTGRKPFSEEKCVVTNLITSEERFVLQKFENELLFICEEVVV >KGN59773 pep chromosome:ASM407v2:3:34180783:34185849:1 gene:Csa_3G844910 transcript:KGN59773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLKITKGNGHCPFCFDHWFSEEESYPGRLRGGRENMGALLVVSLLFLDFFYLAASSTDSRDSSVLQSLKDGWQNTPPSWGTSNDPCGTPWDGVICKNSRVVALKLSSMGLKGKLGGDIGGLTELRSLDLAANRDLVGSISRALGNLLKLDTLILSTCGFSGKIPEELGNLSELTFLSLYSNSFTGTIPATLGKLSKLYWLDLADNQLTGALPVSTSGSSGLDRLYMAKHFHFSNNQLSGTIPPELFSSEMVLIHILFDGNNFSGIIPPTLGLVKTLEVLRVDRNSLTGNVPSTLNNLINVNELHLANNMLTGPLPNLSQMSSLTYVDLSNNSFSSSVAPGWFSTLQSLTTLIVEYGLIRGNLPEGIFSLPHIQQVKLKNNTFSGTLNMGDSISQPLQLVELQNNNISSVTSFSGYTNALMLTGNPVCDADILSNTNYCQLQQQQAKTYITSLVYCGRNSCPPDEKLNPRSCECAYPYEGTLYFRGPTFRELSNITLFHLLEMSLLEKFNRTPFIQNPFFNAHDYLQIELALFPADGKYFKREDIQRIGFDLHSQNYKPPDKFGPYYFFASPYPFSDIDNGSTSTNTGMVIGIAVGCAFLVLCLIGLGTYAIQQKRRAEKANSNALWVPSGNDSGSAPQLKGARWFSYVELKKCTDNFSTSNVVGSGGFGMVYRGTLVDGKLVAIKRAQQGSMQGGLEFKTEIELLSRVHHKNLLGLVGFCSEQGEQILVYEFMPNGTLRQSLAGKFPRIFWVIVKWKLERLCAFLFQFFF >KGN59375 pep chromosome:ASM407v2:3:31465330:31468278:-1 gene:Csa_3G815400 transcript:KGN59375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHCIYTQAENILTKLKDSPPYQKRIEYAMSSLKAVLLDAEEKQEQNQRLQNWLKELQNVFYQVEDSIDEFKWEIFKQKDIGKQVLAPFSCSNQISANKLKQKCKRKQVCDELNNIATRMYEFHLKVKHIDSISMETTHTFPSASEISIRHLKPSWQLLYPLIDASRTYDEIYDGILNVFNECTHVFHIVGEAGIGKSTVARFLYNHNNVVGKYTSRYWVCVEEGFNKHRLVKEVYSHADNKEICEDLTTEQLLSKVKRLLKVETFLLVFQDLSITNLKDRSPLVLNELLEMGQHGSKIIVTTQTEEIANYLQDRGYKTERRSKENLANGDRVSAHNQSLTKNTQNQAVPGSQIEETADAIANKIYEHSLSLTEDAQNLEVPIPQVTEDTNIGLGINPDIPPIKQDDTEYQTIFKLEKLSKQSSSRLFKEYAFRNRQEVENPELTKIVDQLLEKCMGVPLAIKCLGSLLSSETSIAKWKNIEEKLSLQEKKENGILHVLRVCYDQMPSQLKPCFLHCSQLPNDRIISSNDMIQLWMANGLLHSPEEKNSTMENIGEKYFMELWSRYFIQEIEEHGLGYWIKLHPLIQKLAHKITQEQSEGSGGNNHPKEVTEIRSIAFQERNMVLPNASLTEKCIWKYKGLRLLYLSNADLQEIPNSIGTLKYLRYLDLHGNTKIKHLPNSICNLQSLQTLILGSCSALEDLPKDIRNLISLRYLWVTTNKLRLDKNGVGTMNSLRFLAIGGCNNLENLFERPDCLAGLETLMIYNCTTLKLLPDEMRYLKSLQNLMIWSCKQLTLNLKEVEFKLQRFTIKELPRVERLPQWLENSAETLRTLQIINCPIRIMERQGIEKYEAVENTIIYGAVRFEMAPPGYDFEHRNLAVRNGNEEMHIYP >KGN59439 pep chromosome:ASM407v2:3:31891744:31900096:-1 gene:Csa_3G819960 transcript:KGN59439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRTVKIGADDLNKAVNGMPSFVSSMPTANNSMGAEGSSIPSSRISDLRTLEQTLGFHIEDVDLTRNPLYNQIKSNSSTMNNNIQFGSLIKPLASTDVNLPTAVMGSQTLPLQKESNANLVSTSGGPRENWGESNMADTSTRTDTSTDDTDDKSQRLDKDQGNSLAVYDSSNKSKEKTADQKTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQLEQELQRARQQGIFISNSGDQAHSMSGNGALAFDVEYSRWLEEHNRLMNELRAAVNSHAGDTELRTIVDNVTTQFDDIFRLKGIAAKADVFHILSGMWKTPAERCFLWIGGFRSSEILKLLVSQLEPLAEQQLMGICNLQQLSQQAEDALSQGMDALQQSLAETLASATPATSGSSGNVANYMGQMAMAMGKLGTLEGFLRQADNLRQQTLQQMHRILTTRQSARALLAINDYFSRLRALSSLWLARPRD >KGN59288 pep chromosome:ASM407v2:3:30803042:30805960:1 gene:Csa_3G800710 transcript:KGN59288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVTKYQPINGGNLVSDVKSLFSILKTKRTMAFAYGFMFAFVVFTAFLAFNPSSSSFSPYFSNIFTGSNFVGSNSSNGGSGSQYPSFISYFFPNSSLQQSSSVIPPPVPEFSSSRSSNVTTQPQPQPPTVEKEPPRVKNRTEESVPENKPTAGNQTRDSDVSPPIETQPPVETLKNDTQTTPVTEVTRSNQTESRNAPPVVDDQVKSSGMKPMPLNNGSSRAVEKNVPTNFTAPLASKQKGETNSDSGESVNQKDWVESLKNCDFFDGEWVLDDSYPLYKPGSCLLIDEQFNCHLNGRPDKNYEKFRWKPKKCDLPRLDGGRMLDLLKGKRLVFVGDSLNRNMWESLVCILRNSVKDQRNVFEAHGKQVFRGEAAYSFIFKDYNFTVEFFVSPFLVREWEMPDKNGKKKETLRLDLVGKSSDQYKEADVIVFNTGHWWTHEKTSLGKDYYQEGSHVYEELNVLEAFRKAITTWARWVDKNINPMKSIVFFRGYSASHFSGGQWNSGGQCDSENQPIKNETYLRHYPPKMVVLEKILKGMKTHVTYLNITKMTDFRKDGHPSIYRKQKLTEEERKSPLRFQDCSHWCLPGVPDAWNEILYAELLMKQQQQKRT >KGN59483 pep chromosome:ASM407v2:3:32230263:32232013:-1 gene:Csa_3G822340 transcript:KGN59483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVAAPTSMLSYSIHSHRRLHLFKPKSSSSISLSLSPPKPTQTSVVVIGAGLAGLAAATHLRSKNIPFILLEASDGVGGRVRTDLVDGFLLDRGFQIFITAYPEAQSLLNYQSLRLQKFYSGALVYHDRQFHTVADPLRHFIDSLGSLSNPIGSVLDKLLIGLTRARVLAKSDEEIFTADEVSTIDLLKQIGFSDSIISRFFRPFFGGIFFDTQLETSSRLFNFIFKCLALGNNTLPVDGIGAIPQQLASNLPPETILLNSKVVSVDFDDSSKSKSPIVKLQSGEIIRSEMGVIVAVEEPEAGRLLAGRKKIGNRKPPRSTVCVYFTTDRERIPVKEPVLFLNGSGKGIVNNMFFATNVAPSYGPPEKALVSVSLIGKFEGVEDEDLTAEVIREMGDWFGESTVEGWKHLRTYRIEFAQPNQSPPWDVMKDPTVENGLYVCGDYLTSATFDGALVAGRKAVEALVRDRAVIYV >KGN60236 pep chromosome:ASM407v2:3:37886641:37887084:-1 gene:Csa_3G889920 transcript:KGN60236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDPETAILFTKAPLPGVDVGDGASAGGFEMEEDGTGVIIGESEGAVGGAGGEEAGAIAGGEVAVKGAGATPDGARGEDDGGVATVGGGADLGEEAGDDLVGEEAGAAPGAWPREAAIKAKRTTKTKAEEEAMVVKKNGKRREKREV >KGN56276 pep chromosome:ASM407v2:3:5571703:5579005:1 gene:Csa_3G111220 transcript:KGN56276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAKLLRHISDPIDENHDNNREIDPSDRLVGSPFTEDRDSVSLTFGEPLLRTSTARISTTSQLAIVGSNICPIESLDYEIIENELFKQDWRSRKKKEIFQYIILKWAFCLCIGLITGLVGFFNNIAVENIAGHKLRLTNNLMLKEKYFQAFVVYVGSNSALAIAAAILCAYIAPAAAGSGIPEVKAYLNGIDAYSILAPSTLFVKIFGSIFAVAGGFVVGKEGPMVHTGACIASLLGQGGSRKYRLTWKWLRYFKNDRDRRDLITCGAAAGVAAAFRAPVGGVLFALEEAASWWRSALLWRTFFTTAVVAVVLRSFMEFCRGGQCGLFGEGGLIMFKINTENSTYGTPDLIAIVLLGVIGGVFGSLYNYLVDKVLRTYSIINERGPGTKLILVVAVSILTTCVSFGLPWLSQCLPCPTDLDDQCPTVGRSGNYKNFQCPPGHYNDLASLFFNTNDDAIRNLFTSANDKHFQLSSLFVFFVSIYCLGIITYGIAVPSGLFIPVILAGASYGRIVGRLFGSVATLDVSLYALLGAASFLGGTMRMTVSLCVILLELTNNLLMLPLLMLVLLISKSVADIFNKGVYDQIVKMKGLPFMEAHAEPFMRQLVAGGVASGPLITFSGIEKVDNIVHALKMTNHNGFPVIDEPPFSDSSELCGLVLRSHLLVLLREKKFTKKKVSLRSEIWRGFKAHDFAKPGSGKGVKLEDLDFNEEELEMFVDLHPITNTSPYTVVESMSLAKAAILFHALGLRHLLVVPKTPGRPPIAGILTRHDFMPEHILGLYPHLNPHK >KGN57595 pep chromosome:ASM407v2:3:14733575:14734406:1 gene:Csa_3G221780 transcript:KGN57595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQISSFPLNTGANIPSLGLGTWQATEGLLTNAISAALKIGYRHIDCSPVYGNEKEIGSVLKKLFEEGVVKREDLWITSKLWFVLHL >KGN55984 pep chromosome:ASM407v2:3:3077345:3085640:1 gene:Csa_3G044490 transcript:KGN55984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEEFSDQNRLLRSMEDHNEEDHDLESQDANGVHPLRNNSGKRGGFLDLLHHLNRGSSFSGRRLSYKRLDMDNHNVNFNPSSVNIVGRSRTASSSSSDRHNNFNSYSLHSPTAIDGEIDDNGDDTAPPEWALLLIACLLGLATGLCVAAFNIGVHVIHEWAWAGTPNEGAAWLRLQRMADTWHRILLIPVCGGVIVGMMHGLLEILSQIKQSSASQGQGFDLLSGVFPTVKAIQAAITLGTGCSLGPEGPSVDIGKSCANGFYLMMENNSEKIKIAFVAAGAAAGIASGFNAAVAGSFFAIETVLRPLRAENSPPFTTAMIILASVISSTVSNVLLGTQSAFTVPTYDLKSAAELPLYLILGMLCGAVSVAVTRLVAWFGNSFEFIKERFGLPPVVCPALGGLGAGIIALKYPGILYWGFTNVEEILHTGNRPSAPGIWLLTQIAAAKVVATALCKGSGLVGGLYAPSLMIGAAVGAVFGGSAVEIINIAIPGNAAVAQPQAYALVGMAATLASVCSVPLTSVLLLFELTKDYRILLPLMGAVGLAIWVPSVTKQTKENESSDKRGLARGYTSLSPSERKDGASWRYDNGGIDLELSEVVSSSGHESNYEESILEDLKVSQAMSKNYLKVSLSTYLKDALKYMKDNQQNCALVVDDDDFLEGILTHGDIKRYLFKKYGDAFMGDSLSVDTCLVSSIYTRGIRYRGRERGILTCYPDTALATAKELMEAKGIKQLPVVMRGKEKKRRIVAILYYNSLISCLREFVNQKETVYPSGKEIVVQENVADGH >KGN56564 pep chromosome:ASM407v2:3:7487091:7487425:1 gene:Csa_3G124830 transcript:KGN56564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNPHKPCSSPAAAFWSPPPSSIAFRRSSSLSLLAPVLTTSTCRNYVAVSARDRCFRLRLPPTTNPEFPPLGLKVPWIFLF >KGN59505 pep chromosome:ASM407v2:3:32400620:32401987:-1 gene:Csa_3G823040 transcript:KGN59505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMWEGTDKRDDSSVMVEKDVAESVSSLPKDVQTNRGGENVVKAEPTQRVDMAGEINMEASMSADDVLRAGGFGARDEIGSFLPVASDSTDFEATILNARDYEGPQGEISRPGLGWKEATKTE >KGN57806 pep chromosome:ASM407v2:3:17479577:17486393:-1 gene:Csa_3G313210 transcript:KGN57806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNSDLSFNFLTYLFVFACVKHLSPQVQVLNLISVLIGHVSEVVPYSNLLVSFFQKVWEESSGESLLQIQLLIALRNLVVKLGYHSPICYNMLMPILDRSIDINHPDELNLLEDSLLLWEATVSHAPSLVPSLLAYFPRLVDIMERSFDHLEVAINIIETYILLGGNEFQSMHATSIARILDSIVGNVNDKGLLSTLPIIDLLVQCFPIVVPPMIGSTLQKLVVVCLSGKDECDPSKTSVKASSAAILARVLVMNTNYLAQLMTEPSLTVLLQKEGIQTEENILLSLVDLWLDKVDNVSSIQKKMYGLALSIILTLRLPQVLDKLDQILSVCTTVILGGLDDQTEESSDEYTSATNCAETIPSKELLRRQIKASDPINQLSLEDSVRGNLQTCAALHGDSFNAAISSMHPAAFAQLKQALKMP >KGN60440 pep chromosome:ASM407v2:3:39384089:39385948:1 gene:Csa_3G911260 transcript:KGN60440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVQAQYPSNVLLLNRNGQEGHDYSLQPQPGGFLDQTHMVFNNNIGSNSRKRGREISTATTTIATPINLSPLQHQPCPPLLVDLAKLHNHQNNVVSTGLRLSSGDHQQNQQQQQQQQHNQNHNLVRPSSSAFLPLLTEDFASNFKRQQEEIDQFLQAQEEQLRRTLAEKRQRHYRALLDAVEESVARRLREREAEVEKATRRNAELEARAAQLSVEAQVWQAKARAQEATAVSLQAQLQQAMSGGGCDGGDGGTVGVEGQADDAESAHIDPERVVISGPSCKACRKRLASMLILPCRHLCLCTDCDQVAQTCPLCHSKRSSSVEVYLS >KGN59481 pep chromosome:ASM407v2:3:32210714:32211418:-1 gene:Csa_3G822320 transcript:KGN59481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQKIFTFFLLFLAFSFLLSSNSSLAVPTTRILKSTFDHPSYQALVHQDVRDMEIIDGDSFERLFEGRLILENNDYPGTGANNHHDPKSPAKP >KGN58395 pep chromosome:ASM407v2:3:24781477:24791133:-1 gene:Csa_3G636440 transcript:KGN58395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLSRFFYRRPPDGLLEFVERVYIFDSCFSTEALPDGMYQIYLHEIINELHEEFPDSSFLAFNFREGEKRSQFAEVLCAYDVTVMDYPRQYEGCPLLPLSLIQHFLRVCESWLLLGNQQNVILLHCERGGWPLLAFLLASFLIFRKMHSGERKTLEIVHREAPKGFLQLLSPLNPFPSQLRYLQYVARRNIVSEWPPPERALSLDCVILRGVPGFDAQNGCRPVIRIFGRNLFSKGGLSTQMLFSMPKKNKALRHYHQADCDVIKIDVQCLVQGDVVLECSHLESEPEREVMMFRIMFNTAFIRSNILMLTSENLDILWESKERYPKGFRAEVLFGEMENISPPRAPTSILNGEEKGGLPIEAFSRVQELFSGVEWIDNNDDAALWLLKNLSALSDVKELSRLQYKTSSYSSPVDSEEENNTSSTADSSDEVFDIITKPFVDPTSTNFTIPATVHSSELLSDKIGANEVNISSESPQSSDEFQDKIFSNKEPLPSSSPLLPPSNLPSTDASGKLDSNNMTPTVKVIPPPPPPPPPPPPPFSLSHNKPHVETSSSSDSTTVTMHVRPPPPPPSPPQYPTINNPVTTSTHSLSHVPKSSGAPPPPPPPPPFVPKSSSALAPPPPPPPPPPIAKSSGAPPPPPPPPPVVLKSSSAPPPPPPPPPPHIVPKSSSVPPPPPPPLPSPPVSKSSSATPPPPPLPLKSSSAPPPPPTPPLKFSSAPPPPPPPPFSKFSSAPPTPPPPPFPKLSGAPPPPPPPPPQSNSGAPVPPPPPPPKPPSVELPSHGAKSTRPPPPPPPAKPFNSNSLTSQGATPMPPPPPGSRGSNVPPPPPPSAGRGKASLGSTAQGRGRVATGVVNAPKKNTLKPLHWVKVTRAMQGSLWADSQKQENQSRAPEIDISELESLFSAASASDGSGSKGGGRRGSNINKPEKVQLIDLRRAYNCEIMLSKIKIPLPDMINSVLALDSSALDIDQVENLIKFCPTREEMETLKGYTGDREMLGKCEQFFLELLKVPRIESKLRVFAFKITFSSQVNDLRYHLSTINDATREVKESAKLRQIMQTILTLGNALNQGTARGSAIGFKLDSLLKLSDTRARNNKMTLMHYLCKLIAEKMPELLDFDKDLVHLEAASKIQLKALAEEMQAVSKGLEKVEQELTASENDGVISIGFQKVLKNFLDTAEAEVRALISLYSEVGRNADSLSQYFGEDPARCPFEQVTQILIVFVKMFRKSREENERQADAEKKKIEKEAMKERSSVKAK >KGN56693 pep chromosome:ASM407v2:3:8264347:8273850:1 gene:Csa_3G129490 transcript:KGN56693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTHSSLQGLNDDSVQAARSQLKKRHTFERSLGSNNLERAVDVNNHQDQEDMELLSRVKAQEGEIQLLRQQISVACLKELRQLNEKYALERKFSDIRMAVDEKQTEAITSAFNELGYRKGDLEVNLKLTNELKAVDDERYHYISSLLGLLAEYGIWPQVINASVLTNNVKLLHDQLQRKIRTSYEKIGERTSPAENQFEGGFPYRKRENTDFKFFESRYQYQKRESADIGNSRYQLPAKAEPLRTTDDMFISRVQNSIPGPVDLSLRPEMYQPVNYDNSPEPLYYAGREVPGAFTPPVDDDAVELQRYTTDERYNNPVMIEGPSIENFQIVGEATPGSRLLACGYPTRGTSLCIFQWVWHLEDGTRQYIEGATNPEYVVGADDVDKLIAVECIPMDDKGHQGDLVKLFANDQNKIRCDPDMQLEIDTYLSKGQATFNVLLLIDSSENWEPASISLRRSGYQIKMGNTEAVVIAEKYSRELSLKIPSGISTQFVLTCSDGSSLPFNTYDVRMRDTLVLTMRMFQSKAMDDRRKGKA >KGN58678 pep chromosome:ASM407v2:3:27158863:27160323:1 gene:Csa_3G727960 transcript:KGN58678 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium binding protein/cast MEAVASDVPRPRLTKKPSSLSSSFRLRCPSLNSLRLRRIFDMFDKNGDGMITTDELGQALGLLGLDADESELDSTVNSFIEPGNEGLRFEDFAALHQSLNDTYFGGMVEDEDEDEKRETSMSSMSQEESDLSEAFRVFDEDGDGYISATELQVVLRKLRLAEGNEIDRVQKMILSVDRNQDGRVDFFEFKDMMRSVIVRSC >KGN59870 pep chromosome:ASM407v2:3:35117024:35119174:-1 gene:Csa_3G851710 transcript:KGN59870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRCLFTCFGKSDESGATGIANDRSSATAEVTAEEQQQQGRGGGVVVELFSSQGCATTPEAEVLVSRLGRGDFELEAPVVVLAYHVDYWDYVGWKDPFGHSQWTVRQKAYVEALGLDTMFTPQVVVQGRAQAVATDQTSLLSMIKDAPRYPSPTFQATFQRPTTDSLQVTLNGALRTKVDSNGANVMVALYESGLVTDITAGENKGRVLANDFVVRKLEKLCSVKDASAKKAVSGTVTFSLWETFDGAKCGVAVFVQDNSHHIFGSQMFQLPEDV >KGN58013 pep chromosome:ASM407v2:3:20427439:20430797:1 gene:Csa_3G434940 transcript:KGN58013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPPPPTAPPGTGNFEGGPLPAAPQPPGTDMTGICFRDQLWLNTYPLDRNLVFDYFALSPFYDWTCNNEQLRMRSIHPLDLSQLSKMTGIEYMLNEVMEPHLFVFRKQKRDGPEKVTPMLTYYILDGSIYQAPQLCSVFAARVSRALYYISKAFTTASSKLEKIGYVDSENESEEVKPAKETINFKEVKRVDHILASLQRKLPPAPPPPPFPEGYAPAATAETEKGPENQQGESQQPSADPIIDQGPAKRMKFSSV >KGN58801 pep chromosome:ASM407v2:3:27770565:27772817:1 gene:Csa_3G732580 transcript:KGN58801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSDRLFCKQRTLHEIVGGGIVADVILWRRKNLTMGILLITLATWLVFERCGYTLLSLISSVLLLLVTIIFLWAKSASILNRPAPPLPELYLSEDMVNEAASFIRSRVNAFLSVTQDIAMGKDPRLFFKVAACLWLISVISGLTDMITLAYTSLLLVLTIPALYEKYEDYVDRHAILMYKKLYQFYVKLDEMRVLTYQQWILEKEKLS >KGN55939 pep chromosome:ASM407v2:3:2699796:2702870:-1 gene:Csa_3G038130 transcript:KGN55939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGPKVSGEAVIEKLKDDGDFDKLRLKIIRKLKDNEELRNNIVAIVKQSAALNRAGTENVKPRQISDAIYDEVGEEIMSKVSDNLWEIIRSADGMKNEITETVQSVYNKLANPKAEENAEASTHHAIPARKEGDNNGSMKASTSQLEHSEADPVEPPGFSFAGNHTNNGRQHIEDLQFPKHHEGRHNNDSRNVEGHNPNNVSDADNVDLPPGFVSNRKHNQMFKDAGSDDDEDPDVPPGFG >KGN58028 pep chromosome:ASM407v2:3:20565522:20568661:-1 gene:Csa_3G444560 transcript:KGN58028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMNGCSEKDEDYPQLLDLIPKDRQWLATGDVAGKANTSDDKKLELRLGLPGEGDWSGKGRDDSVPSFGYFPVSRKFTPSENPWPPHPNFLGKLQPTKISGFCLSAMGKEGVSQPCCTKMGDLHNAEAKPFPSSVNIAVSNSSQKRTAPAPVVGWPPIRSSRRNIASSSFSKPASESSDASPSKLPGPGEKPVDVGGKGLFVKINMDGVPIGRKIDLNAYDSYEKLSFGVDELFRGLLAAQRDSSGGGVLNKQEEEKPITGLLDGSGEYTLVYEDNEGDRVLVGDVPWQMFVSTAKRLRVLKSSELPSLSLGCSKSQKMVHDPSTK >KGN57453 pep chromosome:ASM407v2:3:13183351:13184439:1 gene:Csa_3G187270 transcript:KGN57453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDCCEKATVPPWGVAALRTLFARGRSSAVKDESDSNSFSSVLSQFFEFFWSNLVPKHSLIIRHVEERAKTHGNV >KGN59951 pep chromosome:ASM407v2:3:35779198:35788739:1 gene:Csa_3G855940 transcript:KGN59951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSFVFEPPSDEEIDLSEEEQQQEQADQGGEEEEDEPLSRHRTESPWDFASYSESVADEHARRSTTSVDFKISKLLENRSANFTPTADDDGQSSEEESDRQEDYRPEDDDDGTSNAGDSTSFFAPSDGASFHANSFMELNLSRPLIRACEALGYAKPTPIQAACIPLALTGRDICGSAITGSGKTAAFSLPTLERLLYRPKRDRAIRVLILTPARELAIQVHSMIEKLAQFTDIRCCLIVGGLSRKEQEAALRSMPDVVVATPGRMIDHLRNSMSVDLDDLAVLILDEADRLLELGFSAEIRELVRLCPKRRQTMLFSATMTEEVNELIKLSLTKPLRLSADPATKRPKTLTEEVVRLRRMREVNQEAVLLSLCSKTFTSKVIVFSGTKQAAHRLKILFGLAGFKAAELHGNLTQVQRLDALELFRKQQVDFLIATDVAARGLDIIGVETVINFACPRDLTSYVHRVGRTARAGREGYAVTFVTDNDRSLLKAIAKRAGSKLKSRIVAEQSIKKWSEIIEQMEDQVTAILREEREERALRKAEMEATKAENMIVHQEEIFSRPKKTWFITDREKRLVAKAAKASLEKGKTSGNEAVSAQQAEEEKMKEKRKREREKDLPRKKRRKLEAAREMLEEEKQNDKTGGGLSLMKLAYRRAKAVKAVKRAVDSGKIVKKQNQKTKKSSHRTQSRSEEMREMFQSDMSEQKQKRRGSGGFGKKKSKHSFKSKSRYKRK >KGN60362 pep chromosome:ASM407v2:3:38863243:38870323:1 gene:Csa_3G901080 transcript:KGN60362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNHGSSISYHEHREEENEEHDKKKRKNDEEEEEEDGKEMKKKKKKEEKNNKVAFYKLFAFADFYDYVLMSIGSIGACIHGASVPVFFIFFGKLINIIGMAYLFPEAAAPKVAKYSLDFLYLSVAILFSSWAEVACWMHSGERQAAKMRMAYLRSMLNQDISLFDTEASTGEVIAAITSDIVVVQDAISEKVGNFLHYISRFISGFIIGFVRVWQISLVTLSIVPLIALAGGLYAFVTIGLIAKVRKSYVKAGEIAEEILGNVRTVQAFAGEERAVNLYKGALKNTYKYGRKAGLAKGLGLGSMHCVLFLSWALLVWFTSIVVHKGIANGGDSFTTMLNVVISGLSLGQAAPDISAFVRAKAAAYPIFQMIERNTVSKSSSKTGWKLNKLDGFIQFKDVNFSYPSRQDVIIFNKLSLDIPAGKIVALVGGSGSGKSTVISLIERFYEPLSGEILLDGHNIKDLDLKWFRQQIGLVNQEPALFATSIRENILYGKDDATLEDITRAAKLSEALSFINNLPERFETQVGERGVQLSGGQKQRIAISRAIVKNPSILLLDEATSALDAESEKSVQEALDRVMVGRTTVVVAHRLSTIRNADVIAVVQEGKIVETGSHDELISRPDSVYASLVQFQETASLQRHPSIGQLGRPPSIKYSRELSRTTTSFGASFRSEKESLGRIGVDGMEMEKPRHVSAKRLYSMVGPDWMYGIVGVIGAFVTGSQMPLFALGVSQALVAFYMDWDTTQHEIKKISLLFCGGAVLTVIFHAVEHLCFGIMGERLTLRVREMMFHAILRNEIGWFDDMNNTSAMLSSRLETDATLLRTIVVDRSTILLQNLALVVASFIIAFILNWRITLVVLATYPLIISGHISEKLFMQGYGGNLSKAYLKANTLAGEAVGNIRTVAAFCSEEKVLDLYAKELVEPSRRSLKRGQIAGIFYGVSQFFIFSSYGLALWYGSVLMGHGLASFKSVMKSFMVLIVTALAMGETLALAPDLLKGNQMVASVFEVMDRQTEVSGDVGEELNVVEGTIELRNVEFVYPSRPDVMIFKDFNLKVRAGKSIALVGQSGSGKSSVLALILRFYDPIAGKVMIDGKDIKKLKLKSLRKHIGLVQQEPALFATSIYENILYGKEGASEAEVFEAAKLANAHNFISALPEGYSTKVGERGIQLSGGQRQRIAIARAVLKNPEILLLDEATSALDVESERVVQQALDRLMMNRTTVVVAHRLSTIKNCDQISVIQDGKIVEQGTHSSLSENKNGAYYKLINIQQQQQRQ >KGN56697 pep chromosome:ASM407v2:3:8286547:8288471:1 gene:Csa_3G129540 transcript:KGN56697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKRTKKAGIVGKYGTRYGASLRKQIKKMEVSQHSKYFCEFCGKYAVKRKAVGIWGCKDCGKVKAGGAYTLNTASAVTVRSTIRRLREQTES >KGN56842 pep chromosome:ASM407v2:3:9077897:9081558:-1 gene:Csa_3G134900 transcript:KGN56842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGTVFTPALEGSQHVKSEAGEILTKPFLEACKHILPVIDKFGAAMALVKNDIGGNITRLETKYSSNPAGFNYLYNLVKPEIETKTAKGSSSCTNGLLWLTRAIDFLVELFRNLLEHQDWAMSRACTEAYGKTLKKWHGWLASSSFSVAMKLAPDRKKFMEVISGNGNVEADIDKFCTSFSPLLQEIHKFLASVGMDDLKAS >KGN58489 pep chromosome:ASM407v2:3:25644483:25647980:1 gene:Csa_3G651740 transcript:KGN58489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSNSLFSSPHFTSFNRSFHSKPPLLSFSTSSVSLNPRPLHSSSSSSSSSSNRCSFIPLRSVLKTLESSAFVSQNSDLLDPTSPNDRSTILVAEKLGEAGLELLRSFGNVVCAYDLSPEELCAKISSCDALIVRSGTKVNRQVFEAAKGRLKVVGRAGVGIDNVDLQAATEFGCLVVNAPTANTIAAAEHGIALLTSMARNVAQADASMKAGKWERNKYVGVSLVGKTLAVMGFGKVGSEVARRAKGLGMQVIAHDPYAPVDRARAIGVELVSFNQAISTADFISLHMPLTPTTSKVFNDDTFGLMKKGARLINVARGGVIDEDALVRALDSGAVAQAALDVFVEEPPPKDSKLVQHKNVTVTPHLGASTKEAQEGVAIEIAEAVVGALNGELSATAVNAPMVPPEVLSELAPYVVLAEKLGRLAVQLVAGGSGIKSVKVVYRSGRAPDDLDTRLLRAMITKGIIEPISDSHINLVNADFTAKQKGLRISEERVLVDAPPEFPVESIQIVVSEVESKFASAVTEKGEVVIEGKVKYGVPHLRRVGSFDVDVSLEGNLILCRQVDQPGMIGQVGNILGENNVNVNFMSVGRTLRRKRAIMAIGMDEEPNKDTLKKIGQVPAIEEFVFLNL >KGN56166 pep chromosome:ASM407v2:3:4498491:4498850:-1 gene:Csa_3G081910 transcript:KGN56166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILNQNLQKGSEPSLLVLKNDQVVAKVLNNLIVLVRVSNEEKTLLPLNCSKKEIACSIRGFGVTVQLSTVQETCGVIVAEPLSRWFCVA >KGN56933 pep chromosome:ASM407v2:3:9600621:9603494:-1 gene:Csa_3G144230 transcript:KGN56933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMATRFTNTPSPFHSIQRTSYTHLGAPVKVSISTSKSKKTHLRLRVSAGLIEPDGGKLVELLVEEPLRGLKKKEALSLPRIELSSIDIQWVHVLSEGWASPLTGFMRESEFLQTLHFNSLRLPDGSVANMSVPIVLAIDDAQKHSIGDSTSVALFDSKNNPIAVLKNIEIYKHPKEERIARTWGTTAPGLPYVDQAITNAGNWLIGGDLEVIEPIKYHDGLDRFRQSPAELREEFTRRNADAVFAFQLRNPVHNGHALLMTDTRRRLLEMGYKNPILLLHPLGGYTKADDVPLSWRMKQHEKVLEDGVLDPETTVVSIFPSPMHYAGPTEVQWHAKARINAGANFYIVGRDPAGMGHPTEKRDLYDADHGKKVLSMAPGLERLNILPFRVAAYDKTQGKMAFFDPSRAQDFLFISGTKMRTLAKNKENPPEGFMCPGGWKVLVEYYDSLVPASSGRLPEPEAVLA >KGN55938 pep chromosome:ASM407v2:3:2695534:2699044:-1 gene:Csa_3G038120 transcript:KGN55938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLRSTATTTSFAIAAASALPAAFIFTGPSSSSLPFHFPQSFKPISLSLYSSQFPTSNSFGFVRNFAPPPSAVRMETPTSESISSSQNNVDLPELLTEYMVDMKCEGCVSAVKNKLQGVDGVKSVDVDLSNQVVRILGATPVKIMTEALEQTGRKARLIGQGVPEDFLISAAVAEFKGPNIFGVVRLAQVNMELTRVEANFSGLSPGKHGWSINEFGDLTKGAASTGKIFGSADSDPSNEPLGDLGTLDVNEKGEAFFSGVKQKLRVSDVIGRSIAVYETEDKSVPGITAAVVARSAGVGENYKQLCTCDGTTIWESSDRDFVTSKV >KGN59190 pep chromosome:ASM407v2:3:30154419:30155305:1 gene:Csa_3G778950 transcript:KGN59190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGRLNKIATPLILFILLFLLIFLFLLAQILYILYRRRRLQRLRPGEPNQKSFFLFWRNQSRIQPKEIPSISKIDADSEGAVVETAGDELEKWQELCGPSRTLFTIKEEEEREGIMECFEYNNPFHKLKTDEVEDTTPFHTPSASPPYFTPSSSPTRDFPTHKGCSEDNMRTPISGIQITTH >KGN58441 pep chromosome:ASM407v2:3:25260870:25261483:1 gene:Csa_3G644800 transcript:KGN58441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVMPARALQTSVRMNGYACKSPANVVADDFYFTGILTPHSTDNPLGSKLHRDFAPGGVNPPHVHPQASELLIVLEGTLLVETLEMGMLWGFQALAANSQEFTQLILLCSTILRLKFLLMILFHTHPKTVMIIPMPHFGTLSTQVLIN >KGN58460 pep chromosome:ASM407v2:3:25390238:25395037:1 gene:Csa_3G645980 transcript:KGN58460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFDSSLLVFHLQQFIYPRSCHYIIAYILYSINIKFGYLIKMSNETVINVDELQELAKQSLPKLYYDYYASFVDRGTDVFKALVHATQALLAKISSDPVKVEDFKELAKLALPKMYYDFYAGGAEDEHTLRDNIQAFQRITIRPRVLVDVSQIDTSTTILGYPISSPILVAPTAAHKLAFHEGELATARAAAAAKTIMVLSYSSSFSIEEVASSCNAVRFFQLYIFKRRNVSRQLLERAERYGYKAIVLTVDTPRLGRRENDIRNKMIAVPEKNLEGLVTIDVIPDQGSKFETFANKTLDDSMRWEDIQWLRSITTLPILIKGILTHEDATKAVEAGVDGIIVSNHGARQLDFAPATVTVLEEVVHAVKGKVPVLLDGGVRRGTDVFKALALGAQAVLIGRPVLYGLAAKGEEGVRTVLEMLKNELETSMALSGCPSIKDITRSHVRTHYDKLHSML >KGN59981 pep chromosome:ASM407v2:3:35959418:35965559:1 gene:Csa_3G859680 transcript:KGN59981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYRASSTVYNKFSNARSFNDKSAYDGVFAAPSKHGAPVFSARVEDYREIFGGSRVSSIPILDVPALSDKKFPVDVRTSKVEYSKIFGGFDELNFAIPYEELLVEANKTNSFSQKTRISAGRGSTAAENSSQYEKESNFSTREASSQPLDRMEKFSVSYQKINQGNKSYSAETAHVALPHAIPGFSCVIDQQSPVQMSGTGMPSSEKLNNIRPENIGNTEAADKSYLPISGDSEQVFKSSNPTNSQSRTGWFRSDSADKLFNGYEVDQGVQNPDTPPKCNFLPKFGIDAGFSGRTTGLKSEAFEHSKDPCDGSSPPYFGEDVEVNPVAAASVAALRKAIDAAQESIKIAKESMERRKTAGLQKHKKASSSRRLTSEEKRVVKTSNNSGTCQEKVAGETCRKVDTLEQAVAEIRRQNSTTECPVTQSAVRENLNASGTNNMEFKMTEVECREEEGEELDAEEQFYEPRSFGEDEAEELEPVKEDNADGYEWQGNNGLKKTFENPGESGDSLVVVKEAGPEEGGINLSVVKGILMSKLKSVLGVVEHVEDKMKFGQNQNQLETNMKVESSMEHKKCVELLEELKVTKDHEEFANREMEEENDMETHFKAHQWGVEEVRHICQQEEKEMETNTVQIENNVEKILDKTNEDERNINLIDDFHDDGKDSHVMEESGELKLSSLQENKQDDEIIEGISFHLFNHEIEHVLRQINIGECGVPESIVKATLDNRNTESKIELQDGSCKQDEVSKLSEDQEASDFIESMEEVEVILDQPAYRDIDNSKDVEKVSFEFESNESETITEGDMEDRLPFELFSLAEDALKRREFKIRMDHSHISPVIIQNGVDFGVIDIKLGQKYKEALAPEFREIERNIEEIEFSTNKENDDNNSNEEVTFRTANNINIEASNEPSTSEDNKKVSEEAMEEMVTRIIAEATQENYQATIKVEESETDYVLKKEMQLDSNENNNRAGSQSGTIEIDSGIIHMIKTSQSSRESEESYHVTEDEMEASDSSDEELEYAAHLENLEVNSSGSSESKENLADMEQEISTSQKVTNNEDHQTTPILGETETNADMQTREAGVESKFNSETAARGLSQAKEVVEKLAENLANQSILETGENDQATHLMEEENVFHETFEKEAEVIKGRQRKIDEAKEKEKERERLAVERAIREARERAFVEARERAAAGRASADTRRRVMAEARDRSGKVSIETNHKPSADKVSKEAKLKAQRAAVEMATAEARERALEKAMSEKAISEARNLADKIVAEKLHGAAGDSRVKKSFSFSDSQPKGPGSSNNFRHANSFNLGGADSSEREVGSSGESAQRCKARLERHQRTVERVAKALAEKNIRDILAQKEQEERNRLAESLDAEVKRWSSGKEGNLRALLSTLQYILGPDSGWQAVPLTDIITTAAVKKAYRRATLSVHPDKLQQRGATIQQKYICEKVFDLLKAAWNRFNVEER >KGN55626 pep chromosome:ASM407v2:3:318463:318787:1 gene:Csa_3G002460 transcript:KGN55626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMSHEMPPGAKTEWPELVGRDANEAEFIIVKENPFTLPYVSHPDLEFDMIGANPKFLVSLPVNNLEDYLIVKTPRCGH >KGN58610 pep chromosome:ASM407v2:3:26553260:26556230:-1 gene:Csa_3G698510 transcript:KGN58610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSTSYLLYIFLFFFFTILLHYLFHRRSYAPRRPPTPPALPLIGHLHHFSPSVYKSFHNLATKYGDLLFLRLGRVPCVVISSASYAAEIYKNQDVNFSSRPKFAFGDELPYANAGFFAAEYGDYWRFMKKLTMTELLSQRQVERSRGVRREEMLKLLRKLCECGEKKEAVDLGAELVKLTNNSTCRLVMSTRCSGDDDEAEKIRLLVKETFEMASKVAFGDVFGWPLERLAFWMFGRQARDVTLRYDEILEKILRQHEDRGKREGLDREDRDLMDILLKVYQDHNAEFNITRTNIKAFLLV >KGN57092 pep chromosome:ASM407v2:3:10608476:10609918:1 gene:Csa_3G153170 transcript:KGN57092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGPISPIKQGHIQDVQELRKFEPNIIPERFIRDIQERPAPATPLISSSDIPTIDLSKLLKGNRDELLQLATACEEWGFFQVINHGIALNLLENIEGQAMEFFRLPLEEKQKYAMAPGTVQGYGQAFVFSEHQKLDWCNMFALGITPEYLRNPLLWPNKPANFSNTVEIYSKEVRKLCKNLLKYIALSLGLKEDLFEEAFGAAVQAVRMNYYPPCSRPDLVLGLSPHSDGSALTVLQQGKGCSVGLQILKDDKWVPVQPIPNALVINIGDTMEVVTNGRYKSVEHRAVTHKHTDRLSLVTFYAPSYDIELGPMPEFVDKNNPCKYRRYNHGEYSKHYVANKLQGKRTLEFAKIPIKN >KGN57143 pep chromosome:ASM407v2:3:10899882:10903600:1 gene:Csa_3G165600 transcript:KGN57143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSGGVSIARIRGENRFYHPPAMRRRLQQQQQQQQQQQPKQSALDSKDVVAAATSTIDDLEKRSEFDECRSWSTRSDCSVSDRGLADSTNLDRFLEHTTPLVPAHCIPKTSLRGWRNREVSEASPYFVLGDLWESFKEWSAYGAGIPLLLNGSDSVVQYYVPYLSGIQLYVDPSKSSALSRRRGADSDAESSKETSSDGSSNSGAEKKTKTALQNEWIQDFNVPGSQRALQMNVPSSESSSDESDSCYRHGQLVFEYLERDPPFCREPLTDKITVLASRFSELKTYRSCDLSPSSWISVAWYPIYRIPTGPTLQSLDACFLTFHNLSTAFQGISTDGLQFHWPRVREVYTADCPLKLQLPIFGLASYKFKIPFWNSTGAEECSKAHSLWQDADSWLRLLNVNHPDYRFFASHNSFWR >KGN55783 pep chromosome:ASM407v2:3:1294324:1300458:-1 gene:Csa_3G011840 transcript:KGN55783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIVEDSNFNRSITGGADSSISNRTPPQDTVEVIVRTIGPTRPSRLLTPSTIKVCDLRKLVAESNRLPIGNLKLILRGKILDDCKNEDDVYVRLNHGDSLTVAVKPKPPAEHLRDEFDEDEDDLKFRLPESSSRLKKKVYTFLREKLKFPDILLMVVFSLSLKGWAAILIWFIMAPVAHSWDLGPLYILGTGFCIILLNLGHRRSGEMSAYSIFNEGFRELPGTLNADRLDRDVRLGQF >KGN55800 pep chromosome:ASM407v2:3:1500559:1512467:1 gene:Csa_3G016940 transcript:KGN55800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQQNNLFETASQPDTANDAYTFLEFNTQGEDFDYPEFRDPIRPPVAWPTPSDSLADHTDRGGGSDHQSDASPVSAAPGSATKGRTGGGSGNTGGNNQMVDALAAGMSGLTFKDTGDDDNYEFGKGNFTEHACRYCGVSNPACVVRCNVPSCRKWFCNSRGNTSGSHIVNHLVRAKHKEVCLHKDSPLGETILECYNCGCRNVFLLGFISAKTESVVVLLCREPCLSVNALKDMNWDLSQWCPLIDDRCFLQWLVKIPSEQEQLRARQISAQQINKIEELWKTNPDASLEDLEKPGVDDEPQPVALKYEDAYQYQNVFAPLIKLEADYDKMMKESQSKDNVTVRWDIGLNKKRIAYFVFPKEDNELRLVPGDELRLRYSGDAAHPAWHSVGHVIKLTAQEEVALELRASQGVPVDVVHGFSVDFVWKSTSFDRMQGAMKTFAVDETSVSGYIYHHLLGHEVEVQMVRNTLPRRFGAPGLPELNASQVFAVKSVLQKPISLIQGPPGTGKTVTSAAIVYHMAKQGQGQVLVCAPSNVAVDQLAEKISATGLKVVRLCAKSREAVSSPVEHLTLHYQVRHLDTSERSELHKLQQLKDEQGELSSSDEKKYKALKRATEREISQSADVICCTCVGAGDPRLSNFRFRQVLIDESTQATEPECLIPLVLGAKQAVLVGDHCQLGPVIMCKKAARAGLAQSLFERLVLLGVKPIRLQVQYRMHPSLSEFPSNSFYEGTLQNGVTINERQSTGIDFPWPVPNRPMFFYVQMGQEEISASGTSYLNRTEAANVEKIVTTFLRSGVVPSQIGVITPYEGQRAYIVNYMSRNGALRQQLYKEIEVASVDSFQGREKDYIILSCVRSNEHQGIGFLNDPRRLNVALTRARYGIVILGNPKVLSKQPLWNSLLTHYKEHECLVEGPLNNLKQSMIQFQKPKKIYNDRRLFFAGGPGVVPNDNFGPVAPSGPNADRRSSRGRGSYFPPHLPNGAQKPGVHASGYPMPRVPLPSFHGGPPQPYAIPTRGAVHGPVGAVPHVPQPGSRGFGAGRGNAGAPIGSQLPNQQGSQQNIGNLGSTFNFPGLESPNSQPSVGGPLSQLGFVNNMPVQPPTQTFRDGYSMGGISQDFLGDDFKSQGSHVPYNVTDFSTQASQTGYPIDYVGQGGQGGFPGSFLNQNSQSGYSRFGTGNDFMSQDYMNHGSQGLFTQVGFSDPSLDEASQSHYNVTNANPLQSQQGMMNSLYSQPFAHYNTQPSTLQAPPQQQPQQGQSSQNQKIHFSG >KGN58647 pep chromosome:ASM407v2:3:26854783:26862794:1 gene:Csa_3G710750 transcript:KGN58647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKTYPIGEDSYILYEEVGQGVSASVHRALCKSLNEIVAIKILDFERENCDLASIYREVQTMILVDHPNVLKSHCSFVNGHDLWIVMPYMSGGSCLHILKAAYPDGFEEVVIATVLREVLKGVEYLHNHGHIHRDIKAGNVLIDSRGGIKLGDFGVSACLFDSGDRQRVRNTFVGTPCWMAPEVMEQLNGYDFKADIWSFAITGLELAHGHAPFSKYPPMKVLMMTLQNAPPGLDYERDKKFSKSFKQMIASCLVKDPSKRPSASKLLKHSFFRQARSNDYIARALLEGLPVLGDRIKALKRKEEDMLAQKKISDGKKEELSQNEYKRGISGWNFNLDDLKAQASLIQEFEESISEMSEVGSSNSLNALDVQEKKLQGQNSSEISDVEENAMLRRQPSMKANDTVNGKKSINESTSIGSCSQHQSSPRHDNQTENGSNEEVNLESSRRILGNTPNTIQHKRSISSNTSIQQEVSLPLNRRESYEIEKNGANGSPAMGVTSQAVDDALSDSQSKDPKSSLSPAITEEEQDEKAKAPVIQQKGRFKVTSESVDLEKAAASPILQKSHSMQVITSNCATPLPSNLPTPLLTPLLTPLPSSDAIPINTRSSMFPVLHSVLQTNIVQRDDILTLMRQISTGEFSADGVVDVSAAHITATEKSLLEAAHEREKDLLNEVTELQWRILRARDEIQRLKLNNAG >KGN59995 pep chromosome:ASM407v2:3:36077185:36077758:-1 gene:Csa_3G860310 transcript:KGN59995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSPVTGFPPANWFLASPTQEEQVLQLRFIMEVALLQAYFMQIDGTLNKLTTEPSAYVGAGAFRRVIIGVMKLW >KGN58238 pep chromosome:ASM407v2:3:22942077:22943782:1 gene:Csa_3G597370 transcript:KGN58238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIKDSGSITGSVRCPECEVSSGSKFEEFGRALTSVVAADESTEDGCSSGQTKSGAYTEHRG >KGN59508 pep chromosome:ASM407v2:3:32420638:32423173:-1 gene:Csa_3G823070 transcript:KGN59508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKETKPGVLCCIGDIHGYFTKLQNLWRNLESAIGASDFASATVIFLGDYCDRGPNSREVIQFLVSLPFRYPDQKHVFLAGNHEFGLAGFLGLVEAPSDGSGFETTWKGFEEREEEEGWYKGEGYEKMHLQARMWGGTTRERFDAYGIEFMGSVYDAAPTFESYGVPHGSYDLMNAVPDEHKKFLSNLVWVHEEDDVCLETKDGIKTYRLIAVHAGLEEGKDIEEQLKFLKAKETKFPKIMGLSGRKNVWNIPKELSENNNDEKGTILVSGHHGRLHMDGLRFIIDEGGSAPEVNPLAAIILLPSIKIVRDTDLL >KGN59591 pep chromosome:ASM407v2:3:32961184:32961471:-1 gene:Csa_3G827330 transcript:KGN59591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWGGLYDRGSGPKTSNYPTMGYVEQQNLVKHCGLITFRGVWFEEGDGFFTQLRGENLKSHVFWIQPFVRGGSSILTPLGGMGMTLQGLSSRWVI >KGN55579 pep chromosome:ASM407v2:3:34466:34905:-1 gene:Csa_3G000030 transcript:KGN55579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSLCVPQLDFKNRVSKIMVEFQYRICKRQTRISVHSYRVGSNVLGGYEGFASDDGNDMEFPTMYSQRLDISPDEIMETRSRAGDRRNASSKSKRKWRGQSIETAHILRNVIEYDNE >KGN57659 pep chromosome:ASM407v2:3:15350758:15355005:-1 gene:Csa_3G238740 transcript:KGN57659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPISQETSNFDEISMKQSLIFSDCLKDLKNLRAQLYSAAEYFELSYTNDDQKQIVVETLKDYAVKALVNTVDHLGSVTFKVNDLLDEKVDEVSGTEFRVSCIEQRLRTCQEYIDHEGHSQQSLVINTPKYHKRYILPVGETMNGGTQTKSKYQGCNLNDEDEWHQFRNAVRATIRETPPSIISKENSPVPSQRASPSPQPRTFSFTSTMPKKELDKRSVSPHRFPLLRSGSLSSRPKTQSSSRSTTPHSSRPTTPSNSNGQRRYPSEPRKSASMRIPAERERERERERERERERERERERERERERERERERERERERERERERERERERERERERERDNSKDVEQYPSKSKRLLKALLSRRKSKKDDMLYTYLDEY >KGN59905 pep chromosome:ASM407v2:3:35452484:35452771:1 gene:Csa_3G852540 transcript:KGN59905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFSMIHLLFIIYFIFKVKDVSPARSFGNQGKNGGVFPRHDAMPKVDALTEKPLKFYHADDVKKPLVDKRKSKPTTLIFLIYLSFGKIILIQTSK >KGN56385 pep chromosome:ASM407v2:3:6566644:6567033:1 gene:Csa_3G118210 transcript:KGN56385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKGGSSQVGAVAHNVIKGNKSIKLYPRYKLRQLLMPANKCQSFFFFFVYIYIPLIDKTNLDKPRVTIYSMRFPYFTTKISSSQSLSLSLKISLQYLQSQHGFFPLNLAELIGVSSNESFLRFFFFLFF >KGN57883 pep chromosome:ASM407v2:3:18466993:18469864:-1 gene:Csa_3G363160 transcript:KGN57883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQPAEPDSVIDNFSAKIDDHISSSSSDSDDDHPSKPDAVKCHVYRLFGRDKPVHTVLGGGKPADVFLWKNKKNSAGVLGGATALWILFELLEYQLITLVCHILIILLAIPFLWSYANTFINKTPPQIPDVRLPEDCLLQVVTSLRIEINRIISTLRDVASGRDLRKFLSAFLGLWILSIAGSWCNFLTLLYMCFILLHTVPVLYEKYEDQVDPFAEKALIELKKQYAEFDAKVLSKIPLGPLQEKKKD >KGN60141 pep chromosome:ASM407v2:3:37127583:37129489:1 gene:Csa_3G881050 transcript:KGN60141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSESKPNDQLIPLPESESLPESESQLSALIFDISQQAQGAMENMLRMISEIDQNAVGIVEDIEKCRGSALDRKGVLEEEKQQFQQAAYTVLDMLNNGGGGMLHSSLVL >KGN58247 pep chromosome:ASM407v2:3:23072940:23073404:1 gene:Csa_3G598930 transcript:KGN58247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPLGVRGIFLLGKTSWVYERHGTIIQQIQECSAYEVCKEEAIKKLGDMERRNKRLFPNLKLERQKKQLCNCCIWRYLNRTSIENENPRGIECERRIQFRIIRGLRRMRVPVPIEVGNSVLVLELKIIEIYTHLCGLNSSSLSLPNESLSMYEP >KGN55705 pep chromosome:ASM407v2:3:814253:819247:1 gene:Csa_3G006670 transcript:KGN55705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLGDIGVSALINIITAFVFLLAFAILRIQPINDRVYFPKWYINGGRNSPRSSRNFVGKYVNLNICTYLTFLNWMPAALKMSETEIISHAGFDSAVFLRIYTLGLKIFFPITIVALLVLIPVNVSSGTLFFLKKELVVSDIDKLSISNVSPRSIRFFAHIGLEYLFTIWICYLLYKEYNNVAQMRLNFLASQRRRAEQFTVLVRNVPHSSGRSTSDSVDQFFHKNHPEHYLSHQAVYNANKFAKLAKKRARLQNWLDYYLLKFERHPDKRPTTKTGCFGICGRRVDAIEYYKQQMKDLDARMGLERQKIIKDPKAILPVAFVSFDSRWGAAVCAQTQQSKNPTLWLTNWAPEPHDVYWQNLAIPFVSLSIRKLVISLLVFALVFFYMIPIAFVQSLANLEGLERVAPFLRPVIELKFIKSFLQGFLPGLALKIFLYILPSVLMVMSKIEGHVAVSALERRAAAKYYYFMLVNVFLGSIVTGTAFEQLDSFIHQSPTQIPRTIGVSIPMKATFFITYIMVDGWAGIASEILRLKPLVIFHLKNLFMVKTERDRAKAMDPGSVEFPETLPSLQLYFLLGIVYSVVTPILLPFILVFFAFAYLVYRHQIINVYNQHYESVGAFWPHVHSRIIASLLISQLLLLGLLSTKKAANSTPLLVALPILTLFFHKYCKNRFEPAFRKYPLEEAMAKDTLERSTEPDLNVKAFLADAYLHPIFRSFEEEELSEVKVEKQKSPVHDDSSVSELSSPSPPHIVDEHHHSHPQSPPHYIYHPQSPPHFVYPSYPPHQYAYSYDPEH >KGN55911 pep chromosome:ASM407v2:3:2517203:2518626:-1 gene:Csa_3G035880 transcript:KGN55911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPPTKSTVQFLTRRPTKPRLCLTSENPAAKRPPLTDSSTTHSCIPEFLAMLSFIRFRNLSYKFRNETVLIRCFHSGTNPKSNSPDSSSSTVAIFWDLDNKPPKSLPPYQAAVKLRTAAASFGAVRYMVAYANRHAFSYVPQVVRERKRERKMLNQLERKGVIKSIEPYLCRVCGRNFYTNEKLVNHFKQIHESEHKKRLNQIESAKGSRRVKLVAKYSMKIQKYKNAARDVLPEVGYGLADELKRAGFFVKTVSDKPEAADVELRNDMVEIMDRRKAECLVLVSDDSDFVNVLKEAKLRCLRTVVVGDLNDGPLKRNADTGFSWQEILMGKAKKEAVSVVGKWKDRDVLKRLEWTYNPPLEKKVSGLDDDIGEDDDVEGGSVDGGLCENMQNNDRGAWWDLSSDAETDTVSSPSWE >KGN57287 pep chromosome:ASM407v2:3:11984004:11985266:1 gene:Csa_3G176330 transcript:KGN57287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLAAQAGVRYAMKNPSSYVQSNIAGLVSVLEVCKSANPQPAIVWASSSSVYGLNSRVPFSEKDRTDQPASLYAATKKAGEEIAHTYNHIYGLSITGLRFFTVYGPWGRPDMAYFFFTKDILKGKKIRVFEGADQKSVARDFTYIDDIVKGCLGALDTAEKSTGSGGRKKGKAQLRVFNLGNTSPVTVSELVDNLEKLLNLKAKRMVLPMPRNGDVPFTHANISLAQKELGYKPTTDLKTGLKEFVDWYRLYYSNSSKKIVL >KGN57474 pep chromosome:ASM407v2:3:13465449:13470083:1 gene:Csa_3G194410 transcript:KGN57474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNTHGATSSAGKMQQGNSDSSTPPKKSKKPKYSRFTQQELPACKPILTPGWVITSFVAVGIIFIPIGIASLFASEQFRGNPLTFIKDSKTNKTCSRKLTVPKPMKGPVYVYYQLDNFYQNHRRYVKSRSDKQLRSKADEAHTKTCAPEATIGKGAPIVPCGLIAWSLFNDTYGFSMKNKALQVSKKDIAWKSDQERKFGSDVYPKNFQSGGLIGGAKLNASIPLSQQEDLIVWMRTAALPTFRKLYGKIEADFEANDIITVVIENNYNTYSFGGKKKLVLSTTSWIGGKNDFLGIAYLSVGGLCLFLAITFILLYVIKPSCAGSFHVFAPFAVLNNLYQSILTIYNFMSN >KGN57212 pep chromosome:ASM407v2:3:11421584:11424870:1 gene:Csa_3G171200 transcript:KGN57212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVGKYELGKTLGEGNFGKVKLAADVRTGCRYAVKILDKTKILHLNFSDQIKREISTLKLLRHPNVVRLYEVLASKTKIYMVMECASGGELFDRIESKGKMDEAEGRRIFQQLIDGLSYCHDKGVYHRDLKLENVLVDAKGNIKISDFGLSALPKNCREDGLLHTTCGSPNYVAPEVLANRGYNGAASDIWSCGVILYVILTAFLPFDETNLALLYKKTLRGDFQIPKWLSAGARNLIRRTLDPNPNTRITIAGIKENEWFKTDYNPASPCYDDDEEEGSLTDQDDAISTLDEPSDAENSPESPSIINAFELIGMSSSLDLSGFFEQEDVSERKIRFTSNRSAKDLLERIEVIATDMGFRVQKRSGKLKLIQEIRSQKSLSNLSFVAEVFEIRPLLHVVELKKSFGDSSAYRQLCERLSSDLGTNPELERQNSSSFALNSTC >KGN58567 pep chromosome:ASM407v2:3:26256380:26257597:-1 gene:Csa_3G686210 transcript:KGN58567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFTRMMERISAEIGFLSQISIRLNDVGFDLSKPTSEIFSFSFINRCFELLRVQHRAFAKLLLEIDYPVRKHEGPSGDEFLKYTLKLLDLLNSITSCIAHLGQARLKLSHALSLVEDSPSSAIDRLKPIGTSSFSKEPIKEGENVEICVEVEKSEKKLVVHRALMIMKQIGLWVCGIVISGLSGDAEAFLEMRKSYDEFGVSGLDRLDFIVHKAICESGVRLKEIKEVNDAVELLVASVAAGKSSDAAQNLHKRLEVLEKEVDDLRKEVDSLFSDVLEERTKLLDCFRLRNQ >KGN59689 pep chromosome:ASM407v2:3:33564380:33574077:1 gene:Csa_3G838680 transcript:KGN59689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMATKANPLFSTIPHFLTWRSLGFRTICCGRMGFSSQSQQQVDPNTTLGRTKVLETFEEVFEIGSRLVKLETGKIARFANGAAVLGIEETKVLSTVASAKGDAARDFLPLTVDYQEKQFAQGVIPGTFTRREGAPKERELLCGRIIDRPIRPLFPAGFYHEVQVMASVLSSDGKQDPDVMAANATSAALMLSDIPWGGPIGVIRIGRIDGQFVVNPTMDELNLSDLNLIYACTREKTLMIDVQAREITEKDLEAGLRLAHPEAVKFLEPQIRLAAKAGKLKKEYKLSMVSDSTLEKVAKLAESPIEAVFTDPSYGKFERGEALEKITEDVKKVFEEECYEEGLKVLPKAVDYVRKKVVRRRIIAEGRRLDGRRLDEVRPLYCESSYLPILHGSSIFSRGDTQVLCTVTLGAPADAQHLDSLVGPPTKRFMLHYSFPPFSINEVGKRGGLNRREVGHGTLAEKALLAVLPPESEFPYAVRINSEVMASDGSTSMATVCGGSMALMDAGVPLNEHVAGVSVGLVSETDPSTGAIKDYRILTDILGLEDHLGDMDFKIAGTRKGVTAIQLDIKPAGIPLDIICESLEHARKGRWQILDHMEREINAPRMKDDENCPRLVTLKYTNEALRRLIGPLGIVKKKIEAETGARISVGDGTLTILAKNQAVMENVQDRVDFTLGREIEIGGTYKGVVSSVKEYGAFIEFNGGQQGLLHISELSHDPVSRVSDIVSVGQKISLRCIGQDVHGNIKLSLKALLPVPKAKGPNGNSVSSLESLPSVGEVYKTQPKTQNSTSNIPAVDKGVGSEVNPTSSVPSVLIRSAEDCDVEEKKSAVKNLKLKNTQKLNNASTSDEDCDEEEKQSAVNNRKQKNTRKLKTTSKSDENCDEEEKKSAVKKPKRKTTQKQNTASTSAEDCNEEEKKSAVGNLKLKSTKKLNATSRSKGQSKPLTQNDVNNNEVEVQDPLTPSNLRIGTKVKAKIYQIRLHGLVLDLGGGVRGMYRFEGDNQSNYKVGDELHVQCSSFSGRGIPVMSLVNN >KGN58096 pep chromosome:ASM407v2:3:21313084:21313584:-1 gene:Csa_3G509430 transcript:KGN58096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPTIYDFLSQTTTAPPSNNSTTLPKAASSRKSHSQSRIFPCLYCPRKFYTSQALGGHQNAHKRERAAASRKAAALTTTEILHLGCSSLQHSPPPPPPTTITHHHHHLPPHFYPLPTPPSFLDHHHSFHHNNFCPNTSASPDTLSPTNSTDPALEHLNIDLTLRL >KGN59363 pep chromosome:ASM407v2:3:31378029:31378441:-1 gene:Csa_3G813810 transcript:KGN59363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSNSFLSSSSQLEISSDNLLRHRHHRRSRSFGCPPPKPPPAVPDPSAVSPASSISSLTTTPAATNQSHSSTTAFTNSTIPFPYPKFLNLQNMIPPLIS >KGN56704 pep chromosome:ASM407v2:3:8312817:8318678:-1 gene:Csa_3G129610 transcript:KGN56704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRTVKRGSASAGAKRGGRTTRGTPKKQDQPAEREVVEETAKVEEVSVVEVETKELREEVAVQEKSPVVEDKPVIQNKPVVVEEKQPIAVDVGEVESSREVRSDSKQSVPPKKEEEVKDEEYGKDERLDLEDNDPESEPDEDGGFEYDEKEIEQEDVQEVVDGEGEPEDNVGDEEGDMVEEDVEDAQEDLEGEDDDQQGGEDHEHAGMVDADEDEHHEVVKERRKRKEFEVFVGGLDKDVKEEDLKKVFSAVGEVTEVRLMMNPQTKKNKGFAFLRFATVEEAKRAVSELKNPVINGKQCGVTPSQDSDTLFLGNICKTWKKDALKEKLKHYGVDNVEDLTLVEDSNNEGSNRGFAFLEFSSRSDAMDAFKRLQKRDVVFGVDRPAKVSFADSFIDPGDEIMAQVKTVFVDSLPASWDEEFVRGLLKKYGEIEKIELARNMPSAKRKDFGFVTFDTHDAAVSCAKSINNSELGEGDNKAKVRARLSRPLQRGKGKHASRTDYWPGRTTGRVRGSWTRPAPRSIPIRGVRGVGSHLPPVSVKRPSGVRDRRPVIAVPPRGRPVAAVARSYDRGPPVASYSKSSLKRDYGRREELHPSRSRMLVDYASRVVPERNPSYRDDYASRAAAFSDPPRRDAPRRAYVDDGYSRRFERPPPPSYRDVRARDYDALIGSKRPYSSMSDVPPAYADTGVRQSRSRLDYDYGAGASQYGDAYDSRIGRSNIGGYDSRSSISGSFSSDVGGMYSSSYGGDYMTRGSNVGGSSSYSSMYPGRSVGGSSYMGSGGSGSYY >KGN56402 pep chromosome:ASM407v2:3:6654787:6655245:-1 gene:Csa_3G119360 transcript:KGN56402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPSVEDLIRERVYSCHNCRNQISRHDDIISKGFQSVKGRAFLFYEAKNVREGAEENKMLITGNYKVRDLYCNECGQLLGWKYIKAYNVTQKYKEGKVVLEKFKITPASS >KGN59592 pep chromosome:ASM407v2:3:32962088:32968622:1 gene:Csa_3G827340 transcript:KGN59592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGQLYNNISLGGRGGTNPGQLKTDQRGIQWKKQGGGKAIEVDKADIVGVTWMKVPRSNQLGIRVKDGLYYKFIGFRDQDISSLTKFFQSNCGIAPEEKQLSVSGRNWGEVDLNGNMLTFLVGSKQAFEVSLADVAQTQLQGKNDVMLEFHVDDTTGANEKDSLMEISFHIPNTNTQFVGDESRPPAQVFRDKIMSMADVSAGIEEAVVTFEGIAILTPRGRYSVELHLSFLRLQGQANDFKIQYSSVVRLFLLPKSNQPHTFVVVTLDPPIRKGQTLYPHIVLQFETDYVVQSTLQIGDELFNTKYKDKLEPSYKGLIHEVFTTILRGLSGAKITRPGKFRSCQDGYAVKSSLKAEDGVLYPLEKSFFFLPKPPTLILHEEIDYVEFERHAAGGSNMHYFDLLIRLKTEQEHLFRNIQRNEYHNLFDFISGKGLKIMNLGDAQARDGVAAVLQEDDDDAVDPHLERIRNEAGGDESDEEDSDFVADKDDGGSPTDDSGGDDSDGSASGGEKEKPGKKEAKKDPSASKAPAKKKSREGADDGSKKKKQKKKKDPNAPKRAISGFMFFSKMERENIKKSNPGISFTELGRVLGDKWNKMSAEEKEPYESKARDDKKRYKEEISGYKNPQPMNIDSGNESDSA >KGN57231 pep chromosome:ASM407v2:3:11518407:11519398:1 gene:Csa_3G172370 transcript:KGN57231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLCGKLEKDVPIRASASKFHEMFHKKPHHICNCSTDKIHGVELQEGEWGQVGSIICWKYFHEGKHKIAKEIVEHVDEENNSITFKVIEGDLTEHYKDFRFTIKCIPKEKGSVIHWVLEYEKLHDKIPDSHTLLQFCVDVSKDIDKQLSAN >KGN57523 pep chromosome:ASM407v2:3:13943074:13943244:-1 gene:Csa_3G203780 transcript:KGN57523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQCVCGGGGRRVEHARKAGGGGALSHETAISSTQGNDDVRKLRIRRNSLKRSTQP >KGN59285 pep chromosome:ASM407v2:3:30793969:30794379:-1 gene:Csa_3G800680 transcript:KGN59285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTSFVSQFIQGYLLIFVSSFAWVILHLLNIVVGVVQMGESRYFGSDTQNQREERYPIPLTSALNTITDRRSGRKTRVYIGAGISFGSLDRLEAAISLRLSQAITKLGRVEGLPMLYEVRGPGVAQDESFSVLLRS >KGN57130 pep chromosome:ASM407v2:3:10823049:10826588:-1 gene:Csa_3G164490 transcript:KGN57130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSSIRHTTLNLNGINLHIAEKGESGPLILFIHGFPELWYSWRHQILDLSSRGYRAVAPDLRGYGDSDSPPSVNDYTCFHIVGDLIALIDALVGVEEKVFVVGHDWGAVIAWNLCMYRPDRVKALVNTSVTFNRRSPKRKPIESLKALYGDDYYICRFQEPGEIEAEFAEIGTERIMTEILSYRTPKPLMMPKGRGKGKDHPLDTPISLPPWLAKQDMDYYVSKFDKNGFTGPINYYRNLDRNWELNASFTGAQVKVPTKFIVGDQDLTYHSFGAKQYIQSGEMKKDVPFLEEVVVMEGVGHFLQEEKPHEISNHIYEFIKNY >KGN57649 pep chromosome:ASM407v2:3:15296155:15297288:-1 gene:Csa_3G238150 transcript:KGN57649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSAHWPQVEVKNMELEEEGLKAVVERKAKARKDQILNCPRCNSNNTKFCYYNNYSLSQPRYFCKSCRRYWTAGGSLRNIPVGGASRKNKRPSANFSLPPSKNNQKNYNNDGGDDDDQGISQLNINITSCSSTATTTNTATSCCWLSSDNDHMNNQIMLRSNGIMSQRELIPFIPMPAPAPPQPTAVAALEDFKQLSTIISTDQNGAKLGDAPAFWSGIFGGGSW >KGN56104 pep chromosome:ASM407v2:3:3950675:3952769:1 gene:Csa_3G073940 transcript:KGN56104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKISNKAFASTMIFFLLILPLTHSFNYQQALSISLLYFESQRSGRLPYNQRLTWRHHSGLTDGLHQGVDLVGGYYDAGDNVKFGLPMAFTVTMLSWGVIEYGEQIAAAGEYIHALEAIKWGTDYFIKAHPHPNVLWAQVGDGATDHYCWQRPEDMTTSRQAYKIDENNPGSDVAGETAAAMAAAAILFEFGDKYRGKYDESIEVVKGYYPSASGYMDELLWAATWLYKASGKEEYLKYVIENALDFGGISWAINEFSWDIKYAVEEKQKEEKLIVEAYKSKAEHYLCSCLNKSSTNNNLKRTPGGLLYTRKWNNLQYVTTATFLLTLYSDHLESSNQRLRCSNDDEVGPEEMLSLAKSQVDYILGENPMGMSYLVGFGGRYPERVHHRGASMESVKEKRGFIGCVQGYDDWYGRVDGNPNVLMGAVVGGPNERDEFNDERSNFRQTEACTYNTAPLVGVFARFNQLQSQNHDF >KGN56274 pep chromosome:ASM407v2:3:5549420:5550445:-1 gene:Csa_3G110710 transcript:KGN56274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAFSSPNFLSFSSFSTNFPLHSLPHNFSIPSKVSGFHHRRCTSVALPAADADSSRKLRPDLTPLPLPPLIPASLAPPHTTALQVPTPAKSSTVRSTLGCWEFHS >KGN55611 pep chromosome:ASM407v2:3:240007:246357:1 gene:Csa_3G002320 transcript:KGN55611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLLYPLDFDHRTMAKKIFNQRRRHGGLETPRNSLELQMESSQNYCAVEEIPYSYQIDEVFSDKDYLKNEASMKKLIDREISTRTNVKHNGPSIVARLMGMDMLPLDAKDVVELSDKRHNSKGVKTSNKESNGRGLHSLASSKSNYSKQMDLHSSYHDNDKDADRDRWGSSQKMGVSHRQEHPQEEELQKFKKEFEAWQAARFRECSRVIEVSSINRRSVAQENLAKEKIAINANTRRTSSQKVSAEPKGSTVEMKSYKSIGLDDCVKRETFPAEQRGTFSLRSKAMDADFEHPCLISCDQKDKSHGPTKIVILKPGPDKMCVHEEHWKNSSGNLGERVSIEDFLDEVKERLRCELQGKSFKKGYTARGSGIETPYSERPSHRRQIAQNIATQVRDSVTRDIGINLLRSESTRSYNSEVQFIGLDSPEFVSKDTRRLLAERLRNVRSKDSDLDSGSSRSSVCDHERVMNQVETTLTNGKHRDYWEVLRDAEEIQTRSFRHEANQNEVLPKELSPMNLTRSLSAPVSGTSFGKLLLEDRHILTGVHIQRKHEASDHVAMSCKKQKKERFNFKEKVSNFRYNFTLRGKLFGRKTQSISGLHSANLYSSKDILSGPTVVMNSGERHERENFTEVPPSPASVCSSVQEEFWKLSDHHSPISTSDVTPREENSVSQVFREISSNLKELRRQLNQLDSDDIEDKVEQQPVESEITKLEDPAEAYIRDLLIVSGMYDGSTDNNFTRNNADTKSISNAIFEEVEEAYRKSEIKNEIIGKEQSENSVDHKMLFDLLNEVLPIVLAPCLTLSKFRRKVINSSMPPRPLLGKKLLDPVWDVIRKFIHPSTDRSYYLLDGVMARDLNSTPWSSLRDDEINTIGREVEALIMKDLVEEIVKDLLK >KGN55588 pep chromosome:ASM407v2:3:108554:113520:-1 gene:Csa_3G000120 transcript:KGN55588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKHFPDLDSSASPNPIPTDVTPAPFDHLPSTTTSSTLSESDENPCTSSSPSSTPPPIHLPLSWPPDATLSLQWIHHLIAAFDWSSKNLPPSHFPSVLPVSVFDTLILTASKILHKEPNCLKILQDSPPSSDSTVVVVGDIHGQFHDLLFLLQDAGFPSENRFFVFNGDYVDRGAWGLETFLLLLAWKVFMPHRVFLLRGNHESKYCTSVYGFEKEVLAKYGDRGKHVYRKCLGCFEGLPLASIIAGCVYTAHGGLFRSISVPSSKRSKGKKNRRIILNPEANGLSLGSLEELSKARRSVLDPPWEGLNLIPGDVLWSDPSMNPGLSPNRERGIGLLWGPDCTEEFLKKFDLKLIIRSHEGPDAREKRPGLAGMDQGYTIDHVVDSGKLITLFSAPDYPQFQATEERYKNKGAYIVLEPPNFDVPIIHSFEAITPRPKPFASASHVFCPLKVCRCQ >KGN58111 pep chromosome:ASM407v2:3:21445257:21445499:1 gene:Csa_3G516500 transcript:KGN58111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELYRESRYHCRNDLWVSPTRLARSDGEKWVRSPAKKNEQKGGGRPMQGEEEDYEG >KGN59388 pep chromosome:ASM407v2:3:31536014:31536714:-1 gene:Csa_3G816010 transcript:KGN59388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSSNHTTTSHILNQVLSHREKDNKSPRVKKWKTWSKASDHTKNWQTLQQGCMWKF >KGN58970 pep chromosome:ASM407v2:3:28621777:28622112:1 gene:Csa_3G740135 transcript:KGN58970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIKILKLTTFGATPLSSIVSNSLFASSRRFDLQNPLAIMLQVNASISNPFCCMIFCSLRASSIIPFLQYPSINVLNITRFTSTPLLCMSFTIISAAFISPALQAPFIRAP >KGN57464 pep chromosome:ASM407v2:3:13313827:13321267:-1 gene:Csa_3G189850 transcript:KGN57464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGSLASRVVKPSSRLLTSQNPRNLLHFRPIFSTTELHNADASAAAQPQADPAPPPPPPRTPLAGARVHFSSPEDAIEVFVDGYPVKVPKGMTVLQACEIAGVDIPRFCYHSRLSIAGNCRMCLVEVEKSPKPVASCAMPALPGMKIKTDTPLAKKAREGVMEFLLMNHPLDCPICDQGGECDLQDQSMAFGSDRGRFTDVKRSVVDKNLGPLVKTVMTRCIQCTRCVRFATEVAGVQDLGMLGRGSGEEIGTYVEKLMTSELSGNVIDICPVGALTSKPFAFKARNWELKGTETIDVTDAVGSNIRIDSRGPEVMRIVPRLNEDINEEWISDKTRFCYDGLKRQRLNDPMIRGADGRFKAVSWRDALALVAEAAHQVKPEEVVGIAGKLSDAESMIALKDLLNRLGSNNVWCEGNGPQPNADLRSGYIMNTGITGLEKADVFLLIGTQPRVEAAMINARIRKTVRATQAKVGYVGPPAELNYDHQHLGTGPQTLVDIVEGRHPFCSILKNAKNPAIIVGAGLFERKDKDAIFSVVENIAKQNNVVRPDWNGYNVLLLNASQAAALDLGLVPESVTSIESAKFVYLMGADDVELEKVPKDAFVVYQGHHGDRGVYRANVILPAAAFSEKEGTYENTEGCAQQTLPAVPTVGDARDDWKIIRALSEVAGLQLPYDSLGAIRSRIKTVAPNLLQVDEREAATFSASIKPESTQKMDMADFGSPIENFYMTDSITRASKIMAQCSALLSKK >KGN59449 pep chromosome:ASM407v2:3:31990943:31991143:1 gene:Csa_3G821035 transcript:KGN59449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGVVGFDGKVLRSHLRRGSGAMDGGAGGASGGVAAASSTTIARSSCACFDIGSSCFLCFLHFSAA >KGN60030 pep chromosome:ASM407v2:3:36409238:36409637:1 gene:Csa_3G872120 transcript:KGN60030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRPKTSAALITAVSSSSSSSNSLNFSSMKLFQRIRKMLVRFVFSIPSRVSSSSRRRTSYDKSFDPPKTSCSSYYSSHSHYDEAIADCIEFFNKSSQDRGFEDQKPITNLM >KGN58422 pep chromosome:ASM407v2:3:25101981:25103740:1 gene:Csa_3G640640 transcript:KGN58422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRQCNFSFPCLLYFSKYTLHSHLHVKKSLFFLLQSSLNVSMSSSLPPSATTRHLLVFPYPAQGHMLPLLDLTNHLASHGGFTITILVTPKTLPLLHPLLQTHPSIQTLVLPFPSHPKLPVGVEHVSHIGNHGNFAIVAALRQLHDPIVDWFNSHPSPPVAIISDFFLGWTQRLADHLQIPRVAFYAVSSLLIHVMNSCWVHIKTDHFSSSPVIEFSEIPKSPSFKKEQLPSLVKQYQDSDPDWNLLRDDVLANTSSWACVVDTFENLDLEYLDHLRKLWGEGRVFGVGPVHLIGATKDGRNPIRESSSEILTWLDKCPDDSVVYVCFGSQKQLSRQQLEALASALEKSGTRFVWVVKTIHQTDGRSNGIPVGFEDRVSDRGIVVKGWVPQTAILHHRAVGGFLSHCGWNSVVESIANGVMVLGWPMEADQFINARLLVEDLGVAVRVCEGANSVPESEELGKIIAESLSRDSSEKMKAKALKRKAVEAVRPNGSSWKDMQAFIDKLIQLPQN >KGN56631 pep chromosome:ASM407v2:3:7896857:7899260:1 gene:Csa_3G126950 transcript:KGN56631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKATKYTQKGKFDSEASNSFLVRILRKQWILAPNFVDVFEDDERFNDRFSIMKQQHRNILVDRVIVAIQILIIINATGSHLNLHLRGP >KGN58641 pep chromosome:ASM407v2:3:26800618:26803897:-1 gene:Csa_3G710200 transcript:KGN58641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLPNSPEFVFAFLGASYLGAIMTAANPFFTAAEIGKQAKGSKSKLIITQSSYYEKVKEITEELPEVKIMTVDSPPDGCLWFGDLIKADEREVPRVDIDPEDVVALPYSSGTTGLPKGVMLTHKSLVTSVAQQVDGENPNLYYGHEDVILCVLPLFHIYSLNSVLLCGLRAGSTILIMPKFEIGLLLQLAEKYGVTVAPIVPPIVLAIAKSPELEKYDLSSIRIIKSGGAPLGKELEDTVRAKFPKAVLGQGYGMTEAGPVLTMGLAFAKDPFPMKPGACGTVVRNAEMKIVDTETGSSLPRNTPGEICIRGDQIMKGYLNNPEATAATIDKDGWLHTGDIGFIDDDDEMFIVDRLKELIKYKGFQVAPAELEALLLTHPVISDAAVVPMKDEQAGEVPVAFVVKLKNSEASEDEIKQFISKQVVFYKRINRVFFIDAIPKSPSGKILRKELRAKLAAAFPTSN >KGN57036 pep chromosome:ASM407v2:3:10197369:10202693:1 gene:Csa_3G150160 transcript:KGN57036 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamine synthetase MAQILAPSTQWQLRTKLTPMSSSPITPKMWSSILLKQNKKGAVKSSSKYRVLSVRSEGGTINRMEDLLNLDVTPYTDKIIAEYIWIGGSGIDLRSKSRTISKPVEHPSELPKWNYDGSSTGQAPGEDSEVILYPQAIFKDPFRGGNNILVICDAYTPAGVPIPTNKRHRAAEIFSNKKVVDEIPWYGIEQEYTLLQTNVKWPLGWPVGAYPGPQGPYYCGVGADKSFGRDISDAHYKACLYAGINISGTNGEVMPGQWEYQVGPSVGIEAGDHIWISRYILERITEQAGVVLSLDPKPIEGDWNGAGCHTNYSTKSMREEGGFEVIKKAILNLSLRHKEHISAYGEGNERRLTGKHETASINTFSWGVANRGCSIRVGRDTEKQGKGYLEDRRPASNMDPYVVTSLLAETTLLWEPTLEAEALAAQKLSLKV >KGN58699 pep chromosome:ASM407v2:3:27253989:27256026:-1 gene:Csa_3G728650 transcript:KGN58699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKTLTTTTTTTKHELKIMSSNSNSNSSSNNSNLSGTIPGFFRRLLPLHALPHGLPPQQMKEELKSLARFAGPIIMTSFLMYSRSVVSMLFLGHLGKAELAGGSLALGFGNITGISILRGLSTGMDPICCQAFGAKRWSVLSQTFLKTLCLLLLVSIPISILWLNMEPILLWLGQDPAITQVAKVYMVFSIPELLAQAHHLPLRIFLRTQGITTPITVASVASALLHPLINYFLVTYLKLGVEGVALSLAWNTLNLNVGLMIYLALSSKPLKPWHGVTILSTFQGWEPLLSLAIPSAVSVCLEWWWYEIMLFLCGLLNNPQNTVSAMGILIQTTGMLYIVPFSLSAGITTRIGHALGAGEPIRAQWTAIIGLSTGFAFGVTAFFFMTSVRSVWGKLYTDEPEILRMISSALPVLGLCEISNSPQTVACGVLTGTARPKLGARINLYAFYFIGLPVAVLATFTLKTGFLGLWFGLMIAQISCLCMLVRTLLRTDWIQQSVRAVELAAAVGEETAKEEEDVETGALIDDNADNDHL >KGN58536 pep chromosome:ASM407v2:3:26022989:26025475:1 gene:Csa_3G664600 transcript:KGN58536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFIIFFFCLLFPVHGRWHNHAKKHKQHSHHHDHFTISQPPSPSPSSEPAGSPPEDNGGPSSSSINSTSIFDVRHFGAIGDGTADDTAAFKMAWDTACQRNDDDNNDDYGVILVPYGFSFMIQSTIFTGPCKNGVVFQVDGTLMAPDGPDAWPKGYSAHQWLVFYRVYNMSLQGNGLIDGRGQKWWDLPCKPHKGKTMTGPCDSPIAIRFFMSSELRVEGVRIKDSPQFHFRFDNCKDVYIDSLHITSPALSPNTDGIHIENTNGVQIFNSIVANGDDCVSIGSGSFNVEIRNITCGPGHGISIGSLGNHNSRACVSNITVRDSIIKVSDNGVRIKTWQGGFGTVRGVSFNNINMDNVRNPIIIDQFYCLNKGCLNQTSAVVVSDISYIDIKGTYDIRSPPMHFGCSDSMPCMNLTLANIELFPSRGDILLDPFCWNAYGNLQTLTIPPISCLLETSSRSFLESEKDYC >KGN56229 pep chromosome:ASM407v2:3:5203968:5206418:1 gene:Csa_3G104340 transcript:KGN56229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKLLLFTVFVFDVIAFGLAIAAEQRRSIAKIVEDPGAKTNYCVYDSDISTGLGVGAFLFLLASQILIMVASRCFCCGKPLSPGGSRAWAVVLLITCWVFFLIAEICLLAGSARNAYHTKYRTLLTETPPSCQMLRRGVFAAGAAFIFFTSIVSQFYYVCYSRARESFQSYSKDTGIGMSTYK >KGN60044 pep chromosome:ASM407v2:3:36479573:36484686:-1 gene:Csa_3G872750 transcript:KGN60044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTLEDFFTLTEIKNGLTAPCRVEELINVMQKEKDCFVKNVSDATRHWAAVAGAIAATENKDCLDLFIQLDGLSFIQRWLKDAQKFSNDTNDSTVEESIIVLLQALEKLHITAEKSISSGILFTVKGLHESTDHGKSRFGKELSVLLDRWMQEINDKDLLRDAENIVHFDEEKLNLVGGAGRSSPSGASVSRELSSDGRQTAEPVGDKILSSGTPDALNPDKIEDSKVQSPINELNSHSISGNSVVKDRSPDLTANSPVMLAPSEDVLKKDETSLCSVGGGAPISVGCSFPAVREGTDNEQLAGLKKCSESQEPENQVNKIDGSSGRSCVTEKSDTSSHSPMQDPGTVLEGFDAAIGEESAKEAPAQQDNDGLDDAGACQRSSSLDSERVSTLESASGMSDKKTNYGSMPVFKPTGKDADRYRSTFRDLSMNGSLIGKLEDRGPSFSRMEDFGGMKRDRQRRRKEDDSGMNNSVFSKPKLNPKTSSIIDNRSDMELDYGIVDALEVARQVAQEVEREVVEYREPSCSSSSDKVSDGGIRQLGKPDSMTEKQDLPADLQEREVQSAKSHIAESYSDAETCLTDPDNLDTQPENLNEMESSMVTEAARGADASTGKEYCEIDLNQDVFNDDAEQIATPVSIPVSVISVSRPAASSGLPLTPLQFEGALGWRGSAATSAFRPASPRKVPDSDRTFSSGGNSDSSKQRQDFLDIDLNVAETGEETRKQNLGSSFPQPGEFLVESGPRRSGGLKLDLNCVGDDVDAPASDLRIEGLFNNQNSYSASPACSSSSMQPLVRNIDLNDRPYVQGDAPDQGPGKYGQNASAYGRPNSDASVISIMGTKVEVSRKDFPFHASPLPNGRTVEPTGMGATLARTGDILGMSSAVSYHQTPFIGYNGLTPGPTISFSTMYEPGGSMPYMVDSRGAAVMPQFMGPMSAVPPSSYSHPPFIMADAQLTPNGIAHSRPKFDLNSGLSDSGGLKQLLFPGHLRSVEEQLRQPSSSGVGTKRKEPDGPDGGWESYFLSYKHQQPPWKQ >KGN58160 pep chromosome:ASM407v2:3:22137863:22138816:-1 gene:Csa_3G564320 transcript:KGN58160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPKTSSTTKQPQEEVVPNFFKLSQEEAAEEVAIMGENKPAEIKDFQIAIAEKEEGKKQLAPKRSSNKDRHTKVEGRGRRIRMPALCAARIFQLTRELDHKSDGETIQWLLQQAEPSIIAATGTGTIPASALAAAAGSVSQQGASLSAGLHQKLEELGGGGSSRANWGRPHLAATPTALWPSSVTGFGFQSSTAAAAVAAQSATNLPNETSNYFHKLGFPPFDLTPTNMGPMSFTSILGANTQQLPGLELGLSQDGHIGVLNPQALNQIYQQMGQPRVHQHHHQQPPAKDDSQDSA >KGN57575 pep chromosome:ASM407v2:3:14427676:14429052:1 gene:Csa_3G215630 transcript:KGN57575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFFVVHFIRHNLRFLTKPKTRSTQYPQTLPSDTTAANRIPFTLPPPPPPPPPTAPNFSGALTRGFEFQC >KGN57362 pep chromosome:ASM407v2:3:12537456:12541327:1 gene:Csa_3G181980 transcript:KGN57362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSLFYGLWKYLFSKTEFHVLILGIDKAGKTTLLEKLKSLYSNLEGLSPDRIVPTVGLNIGRLEVLNAKLVFWDLGGQPGLRSIWEKYYEEAHAVIYVIDAACPSKFEDSKSALEKVLRHEDLQGAPLLVLANKQDLPEAVSAEELSRYLDLKKLDERVYMFEAVSGYDGMGIKDSVEWLVDIMERSKRTEILRARAGVRGP >KGN57365 pep chromosome:ASM407v2:3:12551884:12559757:-1 gene:Csa_3G182010 transcript:KGN57365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKQKQQVISRFFAPKPKSPSLSSSSSSSSATAADITPPTQPFSPAKVSATVTFSPSKRLISSAIASQLTPPKSSKRPKLSPHTHNPLPSIPNPSLHQRFLDKLLEPTDDSFQPSNQNPRTSNGADPKYKYTPLEQQVVDLKKRYPDVLLMVEVGYRYRFFGQDAVIAARVLGIYAHLDHNFMTASIPTFRLNVHVRRLVSAGYKVGVVKQTETAAIKAHGSNKLGPFCRGLSALYTKATLEAAQDLGGAEEGCAGESNYLFCLVENSMSVQNVDCRIENGVDVKIGMVAMEISTGDVIYGEYDDNFMRNGLEAMLLSLSPAELLLGDPISKPTEKLLLGYAGPALNVRVERVSGDCFKDGSALAEVMSLYENIDQNNFTEDNNPEKVLVGQKSNRSAIKEIVNMPNLALQALALTIRHLKQFGLERIVSLASSFRPFSCKMEMTLSGNTLTQLEVLKNNDDGSETGSLLHCMNHTLTIFGSRLLRQWITHPLCDRNMIIARQEAVSEIAASMVSSKVSPNNRALDEEYSDVIVIEPELNYILSSVLTTLGRAPDIQRGITRIFHRTAAPSEFIAVIQAILFAGKQLQQFHIDEEDDNCSSESIIGSKLLRKLILSASSSGLINIAAKLLSTISKEAADQGDFPNLMIIYSDQFPKVARARKEAQSAREKLDALITFYRKQLGMRKLEFTSVSGTTHLIELAIDVKVPSQWVKINSTKKTVRYHPPEVLAALDELSLANEELMVASRDAWDDFLRGFSRYYAEFQAAVQALASIDCLYSLAILSRNKNYARPEFVPDDEPAQIHLCSGRHPVLEGTLQGNFVPNDTNLDANGEHCQIVTGPNMGGKSCYIRQVALIALMSQVGSFVPAFSAKLHVLDGIYTRMGASDSIQQGRSTFLEEMTETSHILRHSSSRSLVIIDELGRGTSTHDGVAIAYAALHSLLQQKKCLVLFVTHYPKVAEIAKEFPASAGVYHVSYLTSHKSPSLSGPKSTEDVTYLYKLISGVAESSFGFKVAQLAQIPLSCIARATEMGVWLEEIVTRRAQRKSTEQHLAEASVKGLEWQSFQSFLERIDGYEEFFLFLKATVRSADVGTWCHQIYQARSMAMDLLGR >KGN57772 pep chromosome:ASM407v2:3:16867285:16871130:-1 gene:Csa_3G285550 transcript:KGN57772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYFFLSGPFCQRVLLTLEEKHLPYDLKLVDLSNKPEWFLKINSEGKVPVVKFDEQWIADSDVITETLEEKYPNPPLVTPPDKSSVGSKIFSTFIAFLKSKDPNDGTEQALLSELTSFNDHIKENGPLINGKEISAADLSLGPKLYHLEIALGHYKNWSVPDSLPYVKSYMKSIFSRESFAKTRALPEDVIAGWRPKVLG >KGN55724 pep chromosome:ASM407v2:3:924563:930343:-1 gene:Csa_3G008320 transcript:KGN55724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSFTSALCFSSQNPIRNLQFSSPIFSSSSSGVAFASISTALTSCFSLSPSNTRFSSRFTRNCSSSTAPVRTLDYEFTDSSSEVELRLQLGTQDIRSSKDVYVDANDTSLTIRVQRPGSIITLLETKQLFEKIKPAETIWYIDEDQLVINLKKHDPDLKWPDIVESWESLTAGFAQLLKGTSIFLIGDSTDINQKVAHELAVGLGYTPLSTKELLETLSKQTIDSWMLAEGSDAVAQVENTVIESLSSHVRAVVATLGGRLGAAGRTDTWRHLYAGFTVWLSQTEATDESAAKEEAKRHMQDSQLAYSNAEVVVKLQGWDDAHSKVVAQAALSALKQLILSDKSLPDKKSLYIRLGCRGDWPNIKPPGWDPASDGIANNIST >KGN57127 pep chromosome:ASM407v2:3:10806064:10806723:-1 gene:Csa_3G164460 transcript:KGN57127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLSVILETRKCDVVTESSRQVIDKATLIMINNNNHNHRHHSLSSSSSSSSKSPRFLERCFLCAQKFLPGKDIYMYQGDKGFCSEDCRCRQIFMDEEETMVDAGNCSFAAAINPQTTATSPSPPPSRLPKPTKNHSTGFAY >KGN58570 pep chromosome:ASM407v2:3:26281755:26282652:-1 gene:Csa_3G687220 transcript:KGN58570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVEGPPKLIGISPQLHRIMDNLLEYIGSYDIRFIGIVGMGGAIGKTTLAKAAEQKGFDCSPWVDEIGQINKLAGSPDWFRPRSRIIITTRNRNLFRQPKYKHKIFEYDVECLDHNSTFLLSCNHAFGKDIHEPPSENLRYLTEMEDSFHDILETSYEGLDEDSQQLFVDLACLFNNGMSMDRVVEIFESLGYRSPNTKLHL >KGN57896 pep chromosome:ASM407v2:3:18665845:18666548:1 gene:Csa_3G379730 transcript:KGN57896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTCLFRICPYLVQKESPLGLATQSAHPARFSPDDKYSRQRVLLKKRFGLLPTQQPPPKY >KGN56064 pep chromosome:ASM407v2:3:3632584:3638317:1 gene:Csa_3G063640 transcript:KGN56064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGFEGVLVSDQWLQSQFTQVELRSLKSRFISAKNQNGKVTTGDLPHIMMKLKAFKERHSEEEIRGILSESDPQLSDEIDFESFLRAYLNVHGRSAEKVGGANNSSSFLKASTTTLLHTISESEKSLYVAHINSYLRDDPFLKNYLPMDPYSNDLFNLAKDGVLLCKLINVAVPGTIDERAINTKRVLNPWERNENHTLCLNSAKAIGCTVVNIGTQDLVEGRPHLIVGLISQIIKIQLLADLNLRKTPQLLELVQDSGDIEELINLPPEKILLKWMNFHLQKAGYKKTVSNFSSDLKDGEAYAYLLNVLAPEHCNPSTLAAKDPSERAKLVLEHAERMECKSYLTPKDIVEGSSTLNLAFVAQIFHQRSGFAVDGKKVAYAEMMADDVLTSREERCFRLWINSLGIVSYVNNVFEDVRNGWILLEVLDKVSPGSVNWKHASKPPIKMPFKKVENCNQVVRIGKQLKFSLVNVAGNDIVQANKKLILAFLWQLMRFNILQLLKNLRSYSQVKEMTDGDILRWANYKVKGTGRSSQIDSFRDKRLSNGIFFFELLTAVEPRVVNWNLVTNGENDDEKRLNATYIISVARKLGCSIFLLPEDIIEVNPKMILTLTASIMYWSLQQPVDEIDISPSPATASTITDRSTTSSINGEDESSSLCGEVLNLSLDDTASDTTVSSVIENERDLI >KGN57025 pep chromosome:ASM407v2:3:10119735:10120590:-1 gene:Csa_3G150040 transcript:KGN57025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEEFLKIQKCVLKVNIHCDGCKHKVKKILQKIDGVFTTEIDAEQGKVTVTGNVDAAVLIKKLAKSGKHAEIWGSQPANNNKNQQNNIANQMKNMQIDNAKGGNNKGQNQKGGAAGGGGGGGNGNNLPKGGHVQGLQPSQLQQLQAMKGLEIAR >KGN56372 pep chromosome:ASM407v2:3:6496516:6498764:-1 gene:Csa_3G118070 transcript:KGN56372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENGGSDAGSNAHWWWAFAGAAQLGWGIASFRRGFIGDSSNMPLKAFAVASLFVGAAASSTIASLKASGIHKVEDVMEVGARIRSGLGIRSRAQDK >KGN57558 pep chromosome:ASM407v2:3:14280990:14285647:-1 gene:Csa_3G213500 transcript:KGN57558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGTARRRPKASFNPPPPPTPQFRRDSDVSFASSRPSSIGMSRPSSASIDMYKERAVQISTVNTINSSLKELFSHSFNVTFKPFSPPSLKDLTETINLLLQCLDYPPTKLEDDLPILLKSLGYPFKINKNILKNPPAPHQWYQILALLHWLVQVALYNYNLANDSNPIFTGHDVNIYVLNSYLHYMRGDDDSVEALDQEFMERLKKTRDDSRESLKELEEEVKVLEAKVEALRCSTESKKMVEDVQQEAHNLDLVEGEAEESLKNAKLAHQEAIKQGEEEIHMCARELLSCLDSVSQYKAVVSCKISDMESCLSKAAAGISEAFKNSMPAE >KGN58905 pep chromosome:ASM407v2:3:28264528:28271544:-1 gene:Csa_3G735050 transcript:KGN58905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVVGKVGSLISQGVYSVATPFHPFGGAVDIIVVQQQDGTFRSTPWYVRFGKFQGVLKGAEKIVGISVNGVESNFHMYLDNSGEAYFIKEAEVGPGNEVDGVTDDLVKDGLIYGDSKDEHNKALFVKGRLEHSISDSTVVQLRDESSSMGVARIERAESDVEHRFYDFQDEQSSVEDLVELSESDSNRYDNVENESCAESQGTDSEVILVSVDGHILTAPILATEQNTEDVQLSTPQFHLGPGEGTEFCEDNEFTGENAWAADYINQLNTSTENDTSGKVAGLINESNGSAYELVVSEKEVKHVSQTEETSASGIEVQEDDLVQSDSEDVRIIIEEEIFKSCLELSELAKRLGNTDSENVISPREAEKLEEKFDMIVPSVSETNGSVTDSKDKNGTHSGSDSDSSVVNTTPKLLVKAGGIEGYLFGEEQAASDDKRVHNNDPLNGEQLDTIEGAKRMESCSQGPVAGDECNVTVADDECNVRQLEESPTDALCGRTQHHSTGFEISLCGHELHSGMGLHAAAEAFDAHRVSAQEFEMSASSIIKNDNLIVRFGERYMSWEKAAPIVLGMAAFGVDLKVDPKDAIPVEQDDSLRAGDDDSTPTPSSRRWRLWPIAFRKVKTLDHSNSNSSNEEIFVDSESTLQNSQAEQSPRLQNGCNETSKRQLVRTNVPTTEQIASLNLKEGQNMIAFTFSTRVLGTQKVDAHIYLWKWNARIVISDVDGTITKSDVLGQFMPLVGKDWTQSGVARLFTAIKENGYQLLFLSARAIVQAYLTRSFLLNLKQDGKALPNGPIVISPDGLFPSLFREVIRRAPHEFKIACLEDIKKLFPPDYNPFYAGFGNRDTDELSYRKVGIPKGKIFIINPKGEVAISHRIDVKSYTSLHTLVNDMFPPTSLVEQEDYNAWNFWKVPLPDIE >KGN60190 pep chromosome:ASM407v2:3:37527713:37528920:-1 gene:Csa_3G883010 transcript:KGN60190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFESLTILVLLLSFSTAVYSLHKETAAACAAESLPDRRNLRSDQITVLINGYYESRIPLLQSLAARYAASPFVHTVLILWGNPSTSTETLTKLAQNLTTGPISLIRQSSNSLNSRFLPRKSIQTFAVLICDDDVEIDTPSLEFAFRIWGRNPERLVGFFVRSHDLDLSRREWIYTIHQDKYSIVLTKLMILKAEYLFEYSCGGGAAMADMRRVVDVERNCEDILMNFVVADMSNAGPIMVAAQRIRDWGDPRNEYDDGNERLRLREGVSEIGLSNRKGEHRKRRGGCITEFHRRLGRMPLRYSYGKSVNSIGEQALCRKGRKLVPCDQNVL >KGN57201 pep chromosome:ASM407v2:3:11363525:11368978:1 gene:Csa_3G171090 transcript:KGN57201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINRPLVLTYLYLFIYILLSSGVILYNKWVLSPKYFNFPLPITLTMIHMGFSGAVAFFLVRVFKVVSPVKMTFEIYATCVIPISAFFASSLWFGNTAYLHISVAFIQMLKALMPVATFLMAVVCGTDKLRCDVFFNMVLVSVGVVVSSYGEIHFNVVGTVYQVTGIFAEALRLVLTQVLLQKKGLTLNPITSLYYIAPCSFVFLFVPWYLLEKPEMQVTQIQFNFWIFFSNALCALALNFSIFLVIGRTGAVTIRVAGVLKDWILIALSTVIFPESTITGLNIIGYAIALCGVLMYNYIKVKDVRASQLSSDSLPDRIVKDWKLEKKSSDIFTPNSNDGNGGNGLSDTNVDDEAPLLASSRLSHIGRMQVGNHNQ >KGN60191 pep chromosome:ASM407v2:3:37527898:37528230:1 gene:Csa_3G883015 transcript:KGN60191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLCYTPSPSLPMLSFPITQPYLTNPFPQSQPFIPIIVLIPWVSPVSDPLRRNHNRPSVRHIGHNEIHQDILAVTLHIDNSPHVGHRRSTSTRILEQILRLQNHQFRQYD >KGN59604 pep chromosome:ASM407v2:3:33041227:33043743:1 gene:Csa_3G828930 transcript:KGN59604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHPMGQLWNNVGSLMATPLFVWAIIQQYFPYHLRAHIERYAHKFIGFLYPYITITFPEYTGERLRKSEAFTAIHNYLSSRSSIRAKRLKAEAVKDSKSLVLSMDDNEEVIDEFQGVKIWWTSRKTVPKTKNISYFPASDERRRGRMDKHIEMSYCGFEAFKILAMNYLDVEWDDSYDKIKEMLEEIEMAPADVAENLMPKYEGEETGECFKRLIKGLEDAKVAAEKKKAEEEAEATKMAEKEKEKKEKEEKKKAEDEAEAAKKEEKKEEEESGEKECSKCNGVTTREVKEKGHVEKKQNN >KGN57537 pep chromosome:ASM407v2:3:14085516:14088607:1 gene:Csa_3G207380 transcript:KGN57537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGQSREDVELSDSDDYKEEEEDDDDDEEEYEDADKELKPHSISTTAKSTGTSSAIDDVDAKLKALKLKYGSSSSSPSPSQTPNSKNAVKLYLHIGGNTPRAKWIVSEKFTFYVFLKTANVDGHNDDDEEEDDDEGHNANSSGGRRWVLKVGAKVRALVSTEMQLKMFGEQRRVDFVNKGVWALKFPSGEQYRNFVTEFQDCLFENVYGLQATDENKVKIYGKEFIGWLKPEVADDSIWENADIDFEKSPSSSVRTKQDLIEEFEEAANGGVQSLTLGALDNSFLVNDSGVQVYRNLSHGIHGKGVSLKFGAGHSPNIGRSTPKKGLLVKAETNMLLMSPLKEGKPHTTGLEQLDIETGKIVTEWKFEKDGTDITMRDITNDTKGSQLDPSESTFLGLDDNRLCQWDMRDRRGMVQNISGSADNSMVLNWAQGHQFSRGTNFQCFATTGDGSIVVGSIDGKIRLYSKTSMRQAKTAFPGLGSPITHVDVTYDGKWILGTTDSYLILICTLFTDKDGNTKTGFSGRMGNRIPAPRLLKLTPLDSHLAGTDNTFHGGHFSWVTESGKQERHLVATVGKFSVIWDFHRVKNSSHDCYRNQQGLKSCYCYKIVLKDESIVESRFMHDKFAVSDSPEAPLVVATPMKVSSISLSGKR >KGN60004 pep chromosome:ASM407v2:3:36164964:36168423:1 gene:Csa_3G865390 transcript:KGN60004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-induced protein 5NG4 MADHGGGSVSGSSRFWCSIPEKLQLHGAMLALQFGYAGFHVVSRAALNMGISKLVFLVYRNIIAFFLLLPFAYFLEKKERPPISFNFLLQFFLLALVGITANQGFYLLGLENTSPTFASAIQNSVPAITFLMAALLRIEQVRLNRKDGIAKVLGTICCVAGATVITLYKGPTIYSPTPSLQIAPITTAPIFASLGDASGKSWTLGCVFLIGHCLSWSGWLVLQAPVLKKYPARLSVTSYTCFFGIIQFVIIAAIAERDAQAWLFHSGGEIFSVLYAGVVASGIAFAVQIWCIQRGGPVFVAVYQPVQTLVVAVMASFALGEQFFLGGIIGAVLIIAGLYFVLWGKSEERKFALEKAAILSAPDHSGNNRTPPHIIKPSSITQPLLIHSSNDSNV >KGN59740 pep chromosome:ASM407v2:3:33960375:33962401:1 gene:Csa_3G842620 transcript:KGN59740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEFQILREKIHQEYRDVVERRVFTVTGARADEETIEKLIETGDSEQIFQKAIQEQGRGQVMDTLAEIHERHSAVRELERKLLELQQVFLDMAVLVEAQGDMLDNIESHVTSAVDHVQQGNTALQKAKKLQKNSRKWMCIAIIILLIIVVVIVVGVLKPWNNGKGA >KGN58727 pep chromosome:ASM407v2:3:27403916:27405718:1 gene:Csa_3G730910 transcript:KGN58727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDIKSEPVSDVSSFSTSFVFAIVPSSSGPPVGYGLAFVMAPSTQFPDAASEHYLGLFNPSNNGDPSNHIFAVEFDTVNGHDDETNFKGNHVGINKNGVRSSASESAEYSDYGSDVKTEVYLDTGDLIQAWIDYDGRSKVVNVTIAPASVIRPTEPLISYPINLTSVLNERMFVGFSASTGKETSFHYISGWSFAINESAPQLDVSQLPPPLKVQSPPPSSPSTFNPLVTVVVPILSAMTLMLILFLASIFRRRLRGENLEEWERDCPHRFSYRDLYKATKGFKDSELIGSGGFGSVYRGVLPSTGCEIAVKKITRNASQGMREFAAEIESLGRLRHKNLVNLQGWCKKQNDLLLVYDYVPNGSLDSLLYHPKDNLVLNWEQRINILKGVAGGLLYLHEEWEQVVIHRDVKPSNILIDISMNARLSDFGLARLYDHDQISHTTSVVGTIGYISPELARTGKASKTTDVFAYGVLILEMACGRRPLESDIFILVDWVMECYEKGRVLDAADPKLNGIYDMVEMEMVLKLGLLCSHYNPESRPSMRQVTRFLNGEDQILAFDPSPYSQITFQSSSGFTQFIPPSSRTSTASFLSSTSIDVGR >KGN60010 pep chromosome:ASM407v2:3:36221470:36223140:1 gene:Csa_3G865940 transcript:KGN60010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEQTVVVLFFCHVLLFAGMSFTQAAAAGHWKLLQGTIGISAMHMQLLHNDRVVMFDGTGFGPSNLSFPTARCARSYNGRRISFDCTAHSVEYDVATNTFRPLAVQTDMWCSSGGAMRDGTLVQTGGFKAGDRTVRIFKPCPTCDWEEIPMGLLTRRWYATNHILPDGSQIIIGGRKRFSYEFFPKPAAFNWPLAKTNSVPEAYRLPFLIQTNDGHAENNLYPFVFLHIDGSLFIFANNRAILFDYTKNKILKTFPKIPGGDPRNYPSTGSAVILPLNLQAKLLEVEVMVCGGAPKGASIKARNGVFVEALNTCARIKITSPNPKWLLEIMPLSRVMGDMLLLPNGNVLLINGGSFGTAGWELARNPVLNPVLYLPNNPHGSRFEVNDPSTTPRMYHSTAILLRDGRILVGGSNPQPGYNFSGVLFPTELSLEAFHPPYLDPEFAALRPTIIEPRSQVMVFHGQRLKVEFTVIDELDPTKVSVTMLAPPFNTHSFSMNQRLLVLGRSNVTTTIWPELMYEVEVNVPVSGNIAPSGFYILFVVHQCVPSEGIWVQIL >KGN60302 pep chromosome:ASM407v2:3:38426451:38427036:-1 gene:Csa_3G894500 transcript:KGN60302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISTFLGCKISVPPLLNSSASKAAPCSAGNLLIECSSRPNKKATAHHMKTRPRKSQPWDIRRKPTVYPPLPPLPPDWTLVSSVSGDENVEIASISSSAQAPVTSE >KGN58086 pep chromosome:ASM407v2:3:21198575:21200122:-1 gene:Csa_3G497390 transcript:KGN58086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPLPSLSSSPPPWEVILLVAERLDPKTLATASCVCKSWSLSMASDHLWEPIFTANFPSLFNLATAPATSPHVSFRRLFGLGYIAAARRRPAQSKPSLSLSDLVFVISISFTNKDRCSIACDGVNKEEKAPRPQSSLTIVKHGEELPVDRNGLFKFDINLNENGDGAPVVIGAREEVEVVWNIVLEGWRGVFTMIECGGRVGMAPRADGWFSEELPAAGCCPNVVSGGIVGDLRLGLCGNGGGSNKVRIESVSVGMMSVVSWRYVSLDDGLMYLQHFLFNANV >KGN57413 pep chromosome:ASM407v2:3:12868900:12872104:1 gene:Csa_3G183950 transcript:KGN57413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVQMADAETFAFQAEINQLLSLIINTFYSNKEIFLRELISNSSDALDKIRYESLTDKSKLDGQPELFIRIVPDKVNKTLSIIDSGVGMTKADLVNNLGTIARSGTKEFMEALQAGADVSMIGQFGVGFYSAYLVAEKVIVTTKHNDDEQYIWESQAGGSFTVTRDVNGEQLGRGTKITLFLKEDQLEYLEERRIKDLVKKHSEFISYPIYLWVEKTIEKEISDDEDDEPKKEEEGNVEDVDEEKETKSKKKKIKEVSHEWELINKQKPIWLRKPEEITKEEYASFYKSLTNDWEEHLAVKHFSVEGQLEFKAILFVPKRAPFDLFDNRKKMNNIKLYVRRVFIMDNCEELIPEYLGFVKGVVDSDDLPLNISREMLQQNKILKVIRKNLVKKCIEMFNEIAENKEDYNKFYEAFSKNLKLGIHEDSQNRAKLADLLRFYSTKSGDEMTSLKDYVTRMKEGQKDIYYITGESKKAVENSPFLERLKKKGYEVLFMVDAIDEYAVGQLKEYDGKKLVSATKEGLKLDDETEEEKKKKEEKKKSFENLCKTIKDILGDKVEKVVVSDRIVDSPCCLVTGEYGWTANMERIMKAQALRDNSMGAYMSSKKTMEINPDNGIMEELRKRAEADKNDKSVKDLVMLLFETALLTSGFSLDDPNTFAARIHRMLKLGLSIDEEENEGDDADMPALEEDATEESKMEEVD >KGN59394 pep chromosome:ASM407v2:3:31575602:31585813:1 gene:Csa_3G816070 transcript:KGN59394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMVMSFNSSSSISSPLLYPKLKLGRTFTPLIHHLDCLSSSSRTSVSLPKQALKFRSKTPVRSPVKCSVSQTTEARTAKSQLMRRQDIRNIAIVAHVDHGKTTLVDAMLKQAKVFRDNQVVKERIMDSNDLERERGITILSKNTSITYKDTKINIIDTPGHSDFGGEVERILNMVEGILLVVDSVEGPMPQTRFVLKKALEFGHAVVVVVNKIDRPSARPDYVINSTFELFIELNASDEQCDFQAIYASGIQGKAGLSPEDLAEDLGPLFESIIRCIPGPKIDKDGALQMLATNIEYDEHKGRIAIGRLHAGELQKGMDVKVCTTEDACRFARISELFVYEKFSRVPVEKVQAGDICAVCGVDDIQIGETIADKQYGKPLPAIKVEEPTVKMAFAINTSPFVGREGKYVTSRNLRDRLYRELERNLAMKVEDGETADMFIVSGRGTLHITILIENMRREGYEFMIATVEVPEEHMGAVVELLGKRRGQMFDMQGVGSEGTTFLRYKIPTRGLLGLRNAILTASRGTAILNTIFDCYGPWAGDIHTRDQGSLVAFEEGTTSSYALASSQERGQMFVSPGVDVYKSQIVGIHQRPGDLALNVCKKKAATNVRSNKEQTVVLDTPLDYSLDDCIEYIQEDELVEVTPSSIRMCKNAKMAKKAR >KGN55874 pep chromosome:ASM407v2:3:2161354:2166493:-1 gene:Csa_3G020620 transcript:KGN55874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTESEAMAEQNSMEAQNLDLKCLPNLDSPPSSVPSDGADDDDTVARLSAILTTNAVNDFSFKRVPSDYYDWPLEARRDVLDAASIDHLCKSIVLVNTQAPSHIVDCSDRNNSKYYIVVVQYTAKFNAETIRSFLYSLNDGKIAKKKFNLRLAPEEISAKLTGYEHNGVTCIGMKTDIPVILDEAIVKLNPDYFWLGGGETDLKLGIRTSEFINYVKPFIIKCS >KGN56152 pep chromosome:ASM407v2:3:4339374:4339682:1 gene:Csa_3G079070 transcript:KGN56152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNDIRDSFHDVTSAKLFSRHRNLRQEKSSLFPDTITSSAQRASGEGHYQRVFLLSSGIDLLIGIFDNHSYVGHYVGRLFADTICNLTRCHLASKNSQLVVT >KGN57001 pep chromosome:ASM407v2:3:9978031:9986955:-1 gene:Csa_3G149320 transcript:KGN57001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSAARRRIQAIQDHLVPASEFSSSQLQLNATAGEFFSGDGYSVVLPEKLQTGKWNVYRSARSPLKLVDRFPDHPEIGTLHDNFTRSTDVYRDYKYLGTRIRCDGTVGEYKWMTYGEAGTARTALGSGLVNHGIPKGSCIGLYFINRPEWLVVDHACSAYSYISVPLYDTLGPDAVKYIVNHALVQVIFCVPQTLNSLLSFLSEIPTVRLIVVVGGVDDQIPSLPSSTGVQVLTYASLLSQGSTNIQPFCPPKSDDIATICYTSGTTGTPKGAVLTHGNLIASVAGCSLALKFFPSDVYISYLPLAHIYERANQVSTVYFGVAVGFYQGDNMKLMDDMIALRPTIFCSVPRLYNRIYAGIINAVKTSGVLKERLFNAAYNSKRQAIMNGKSPSPLWDKLVFNKIKAKLGGRVRVLASGASPLSPDILEFLKICFGGVVFEGYGMTETSCVISAMDPDDTLCGHVGAPNPACEIKLVDVPEMNYTSDDQPYSRGEICVRGPLVFKGYFKDEVQTREAVDEDGWLHTGDIGLWMQGGRLKIIDRKKNIFKLAQGEYIAPEKIENVYAKCKFVAQCFVYGDSFNASLVAVVSVDVETLKAWASSEGIKYNDLAQLCNDPRARAAVLADMDAVGREAQLRGFEFAKAVTLVHEPFTLENGLLTPTFKIKRPQAKEYFANAISNMYAELASSDSSSQKM >KGN60121 pep chromosome:ASM407v2:3:36976253:36979899:-1 gene:Csa_3G878900 transcript:KGN60121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARQGAYSDAGYCSNGEKKSTSQVPQLGNAIFHDFLGIKTKDASVLLAAKTPDVSFSEASTPPSALASSSGGRGLISAASDLASENQVCDYLEGVPFYSPRNDISGPENGNRIAGIKRGNPDSAFMGPYRNQIPHILQNGAGGDRPRYNDNEVVYSMQPPRIASSSLTQHSLGTRFNPSVSKWERPIPSNMSSALNSSLGSQFVPRVHQIASNSSREFNVAPSNISQSAADEGSRTGMKNPGLLSSFNARHDQRHSSQMLQSCDKQKTKTESLAYKSSNPSSQQGLDSDNRQMTIFYGGQAHVFDDVHPNKADIIMALAGSNGGSWSTNLAPKLNITRIPSEQESAKDYHGKLYHSLISTSRGVGDTPISTQAAVGDHPRSVAAEETQKAVRAADLNAEQ >KGN56120 pep chromosome:ASM407v2:3:4066377:4066694:1 gene:Csa_3G076560 transcript:KGN56120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCTSNKYLAETIVPMSDDDEEVEEMLRRRLVVKNGAQKCSASTTTELKIRITKRELEKLLCKVDVEELPVTELLSKLIDVGDTFESTHQRSWRPSLQSIPEVN >KGN59705 pep chromosome:ASM407v2:3:33683598:33686026:1 gene:Csa_3G839830 transcript:KGN59705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLLCDELIQEIFHKLPSPSSSAVSLVSKRWLRLYRTSKTAISLRLCNLSISSLSSLLSHYPFLSSLSILSAAESSASPAATASAQIISEIRRFCTNLKALRFLAGPVSLSSLVSLSSACTHLSSLSINVYRPLNFRWVVNFPGLKSLSVSVISGEGFEIEVDSGDWEWESAEVGAGLGIQSLCLSGLRAGDWGVGWLWRNCKNLRQLQLRSCETVGDGVSFSSFVECLAGLCAVELRTCRSIADDVLMKLAENCRNLTSLLVYDGGSREGLLQFLSRQQSNLQSLDLRLPLDLDNEHLIAIATNLRGLSSLRLQSCCLVTGDGLKAISTALSSHLEELALINCDVVERESGLLATMGQNLKRLKILDLSYNEMLMDKDFISMAISCNSIQELNLRGCKWLTGAAIFALWKNCKELETIDIVQCPKIHANAVELYAMNLPRLRQLKVEDDKISNILRNLASRRFVKIVV >KGN57789 pep chromosome:ASM407v2:3:17162509:17169476:1 gene:Csa_3G303130 transcript:KGN57789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMMMRSMITIGHGFAASMLIGGVMVLFVGFKVCEAKSSELVTYVFGDSLTEVGNNNFLNSLARSDYPWYGVDYNGGQPTGRFTNGRTIGDIISEKLGIEAPPPYLSLTKDDDKLIHGVNYASGGAGILNDTGLYFIQRMTLDDQIQSFYQTKKAIARKIGEEAALQHCNQAIYFIGIGSNDYVNNFLQPFLADGQQYTHEDFLDLLLSTFQQQLTRLYELGARKMVIHGLGPLGCIPSQRVKSRKGQCLKRVNQWVQDFNSKVKTLTTTLNKNLPNSHLLFADTYPLVLDLITNPSAYGFKVSNTSCCNVDTSIGGLCLPNSKVCKNRSEYVFWDAFHPSDAANSVLAHQLFSTLFSSFSSSSPPTPSPSPSP >KGN60354 pep chromosome:ASM407v2:3:38763335:38763586:-1 gene:Csa_3G900990 transcript:KGN60354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIEDKDDETPKGNLGLERRFQRIEERKNGALRKGGMEMEMEMKRRRLRADSDSVCLWKVDSVESAFDCDLKVEFTWARSSRG >KGN56333 pep chromosome:ASM407v2:3:6246939:6249026:1 gene:Csa_3G116700 transcript:KGN56333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METGTQTQRSSGRGEDYEVQVSFHLSNDPHHIHEMGFVQLEEHSQVLSFLAPNNNNNTTNMPPPPFTTTSPHSNILPRPPSWTNHQLPGTLDPKPGNDENCTATATDATNSWWRNTNADKSKVKVRRKLREPRFCFQTRSDVDVLDDGYKWRKYGQKVVKNSLHPRSYYRCTHSNCRVKKRVERLSEDCRMVITTYEGRHNHTPCDDSNSSEHEPFTSF >KGN58816 pep chromosome:ASM407v2:3:27825253:27829791:-1 gene:Csa_3G733210 transcript:KGN58816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHRIFLDFLSTCCSMGSSLELRKSSSHRRSTRAGKEGVLLPEPKRCPCPTVPEQLKMKSSVRPRSDLYCVSTKETKIARGWQGKRFEGSPIGEDELVRHMSNLPGFLLNPVRRENLQENALNVGVLDWTQLENWKHKQLGCPTKGKDGALCSGSHLSLKRTTGLSTFPRITRSETSDKAHCSRHTGLISSRKAEGAHPVNSVQNASQSQDFDSGSKNIMKVGQKMQRNCTSSSSGGNVSNMMQERERKKRTDRKWSLEMVDSNCTPSSSGGNVFNVMQERERTKHSDRTSCLEMQDSNCTSSSSGGNVSNMKQDRERTKRSNRISSSEMMDSSSHVRHSGVLPCPKGSAHTLDGKMNNRAEKLIETNIRKKEADEKMVLVKGEVPSKSSYGTSPGLNNHKKVENDETEERRGMQCSDIDLPYDYFTCKQDAKLLLKQKPKDLEDRFHTLYSRTSFDENMTEVNSCTYSEIFSPEDIPSSECGSDIPYSSPLPSLADVDPLMGRMQHSLVCDTSAELSCSSSQLSPFSNQKPSLRPSGSKKMEKRDSDAKLTHSDLVDSLDTLDDKTADPGARKGRHPSPIRRLSFSLGRMGRSFSFKESSTVPQLSSTYTCPKSGPMISENTGTSDSSDRKKVSGHNRTRSSPLRRWIEPILKHKSSNPQHPIEGNVNSLSLWPTGLGSAHEKKHHESPMQALLQFTINNGFPLFKLLVDNSRNVLAATAKDLTPSGKNGSGQTYTFYLVNEIKRKTSGWIRPGNRDRSFGYAYNVIGQMKVNSDYKTNEHSYDKYMLRESTLFGVEMRPGDRESAIIVKNRELAAIVLKIPTDNSKHDGKRSGNVLMGNCMGSLSEDNAVVILPGAAHGSPSSGEPSPLINRWRSGGVCDCGGWDEGCKLRILSIPNKQITSKACPISKCLELFVQGDQQDKPVFSMAPLKGGFFEVRFDSSISMLQAFFICVAVLNGQNPADPSEASKFAPDEKMIKYPDSNGINRMHEKQLASIRYASNPPHSPVGRV >KGN59291 pep chromosome:ASM407v2:3:30833126:30835180:1 gene:Csa_3G806230 transcript:KGN59291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIRKRQLPLPTFSSLSDPPVQLPLPSSDPPINPLDNNQKQKLLQQYDSRETILIGAETINNALLPHSSSSSSQGGGRWCEKEKAFPLKKRRGSFERSSDEDNDDHSKDSDHQVATKLQDEKKMMKTKMNKKCTLSQKQSKVGLDAVVGKKRSRGGALMEGSRCSRVNGRGWRCCQQTLVGYSLCEHHLGKGRLRSMNNVRNRSLVAATPKNIVVVEKDEKKPSPSPPPSPFPSSPPPPSSFSLTSKKRMKLGVVKARSMSSLLGQPEAMAVATPLSPPSTLHDDNIDK >KGN56746 pep chromosome:ASM407v2:3:8576057:8580267:1 gene:Csa_3G131990 transcript:KGN56746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGLRYYSMVGSRTTLKESKSPRFGPTQPTSFMCRYRRFPIPLVFRRSQASQILSTMEFCNSLFFFTLLIILPLARCDHTGSVLFVDSSSHQYLRSHSPDDGFEVSSMSLQEVGAAVSVLLGFAPPSTLSASGSSKLNGILMPNPLDRPRSVFMLEIKGEYDPEIVSLGTGMSSNVLMSKVHVGPESADILLPGEDEVSVVPLNEPLSDFTDEDIREFASFIGGSYVADASKTLNGEFTVADAVKINLHLSKTGDRELIGSLLSLYHNIKRAVHIHEDLSQNVQSPSELITGSFNGIMAFQDESDSEGDADNRSRLFTVALFKIFHLLQKAYDGQIVGVVYFSGSSSPKAGEGLTVMFNPRLTPRWLVEEAKVNSTIHEVILVRTTLAWITGIILLIATLMGSCCLLRMPLTRDTLLYSNVKLD >KGN56176 pep chromosome:ASM407v2:3:4674587:4674844:1 gene:Csa_3G088972 transcript:KGN56176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAWSVRLQNKRRRENGYRDVSKTDNREGLVCRSVGAVGNEEEERNLGGGPGSFCGRTSTAARRNSQIRDTVINYLFLFSHLMLS >KGN57536 pep chromosome:ASM407v2:3:14080737:14083204:1 gene:Csa_3G207370 transcript:KGN57536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGFQINNTTLTLALFTDVTNSKELLDSMQAGTLEPEVAFLNASLIPDVFPVLAAAHKTLVSKSRGSLTTRTLHSELVYNYSGSKHITESLRRCGISDSSSYVLAACFNTSPDEVKAIEKLVHGKEINLEELGERADQAQIQKHFKITGPELTLSPVGEAITCRIAARDAL >KGN59952 pep chromosome:ASM407v2:3:35795497:35797343:1 gene:Csa_3G855950 transcript:KGN59952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGRRSTSHHEEEKNPEQITSKVNELKAKLGPIVGRNAIYCSDACLKRYLVARNWNVDKAKKMLEETFKWRSIYKPEEIRWNTTSLENQIRHLVYLMENALLNLPEGQEQMSWLIDFNGWSLSTSVPIKSARETVNILQNHYPERLALAFLYNPPRIFEAFWKVVKYLLDPKTFQKVRFVYPKKQESVELMKSYFDEENLPSEFGGKAQLEYVHEEFSTLMIQDDIKCAAFWEQGEKQHHIVNGYSSAVVAPE >KGN59973 pep chromosome:ASM407v2:3:35903488:35904800:1 gene:Csa_3G858120 transcript:KGN59973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEVVVVKFGIRGCEEAPRRWDDGAHSTIRQIVITHDKCIYSVNIEYDNNGESIWKPKHGGNKGSTSEVVLNYPNEYLISIHGYYSDIGHMMEKRVLTPTTVIRSLTLESNIKTYGPFGMDEGTKYSFPIMEAKIVGFHGSSGWFLDAIGIYVQPISSSQSVQPAQHKFEMTEVEINEPFSLGEYGGEDGEPWSESFQAIKQLLIHNDEHRIVSIQMEYVDENGHFVWSHKHGGDEGSPSQVVFEFPNEYLVSIHGYYKSELGTIVIRSLTFETSKTSYGPFGNEDGTNFSFPTAGLKIVGIHGRSNTSHLNAIGLLVALIQHY >KGN57506 pep chromosome:ASM407v2:3:13804923:13805328:1 gene:Csa_3G199680 transcript:KGN57506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVGQRASSWLWVVDRNGNGCGSPAKTVETHELVGCRRNVSTWVAVESFCASSPAEIEELGSSAKCFLGCRLSKWEHVIAGRKKPAVMEWSGEDWGWVLGGGYRER >KGN57457 pep chromosome:ASM407v2:3:13223455:13227074:1 gene:Csa_3G187310 transcript:KGN57457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTRQAASKWLKRLSFRSTAFHHTSGAPNFPNVSIFSSRCNGKSSSRKNSNGYHDGYLNDFPWVLLSGPASAIILGINSNPVLAEEASFKPSSENGIEDGETVGLRKVEDGSVVSNLHTSKWRVFTDTARDLFLQGRLEDAEKYFISAIQEAKEGFGERDPHVASAFNNLAELYRVMKTFDKAEPMYLEAIKILEESYGTEDIRVVKMEGRVSALEEKMEEIDDNQSWLDSKVEARFKEANGRFVVVNEQMSLVLSHLDVLMIVD >KGN59766 pep chromosome:ASM407v2:3:34110064:34136026:-1 gene:Csa_3G843850 transcript:KGN59766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLQGEIDRVLKKVQEGVDVFDSIWNKVYDTDNSNQKEKFEADLKKEIKKLQRYRDQIKTWIQSSEIKDKKVSASYEQALLDARKLIEREMERFKICEKETKTKAFSKEGLGQQPKTDPKEKAKSETRDWLNNVVSELESQIDNFEAEIEGLSVKKGKARPPRLVHLETSITRHKAHIMKLELILRLLDNDELSPEQVNDVKDFLEDYVERNQEDFDEFSDVDELYSSLPLDKVESLEDLVAICPPSLVKGTPTLNVKTTLATSATQAPVTAAPSHQQTTGLPDQVDDSTLPDGNIDILLKTPPSKNSVLGSSAATTPTGNQAASSSLNGAVHGSGISATSSILPGSSAVRAVLETTAAPNSSPVNMPTSAKDEEIASFPGRKLSPSESGLVRGGMGRGVIANQPPSTSSHTSGIVVPSNITLGNVSSASEVTKRNIMGVEERAGSGIVQSVVSPLSNRLALPTTAKVSDGTTMVDPTSVSDAAAIGGRVFSPTVVSSMQWRPGSSFQNPNEGGQFRGRAEIAPDQREKFLQRLQQVQQQGHSTLLGMTLGGGNHKQFSSQQQSSLLQQFNSQNSSVSSQAGLGIGVQAPGVNPVAVTSGSLQQQPNSFQQSNQQALTTSGAKDSDVVHSKVEEEQQQQQQQSLLEDTTDSAAVSVLGKNLMSDDDLKGSYTVDTPVGITASLTETASVTREDDLSPGQPLQPGQPSGGLGVIGRRSVSDLGAIGDNLGGSSMTTGGMHDQFYNLQMLEAAFYKLPQPKDSERPRSYTPRHPAITPPSYPQVQAPIINNPALWDRLGLETYGTDTLFFAFYYQPNTYQQYLAARELKKQSWRYHRKYQTWFQRHEEPKVATDEYEQGTYVYFDFHVNNDDLQHGWCQRIKTEFTFEYNYLEDELNI >KGN57026 pep chromosome:ASM407v2:3:10124506:10128359:-1 gene:Csa_3G150050 transcript:KGN57026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISWSNRRRNNYLQNPPPPPPPPYLSSSSFYYPSEPQSLSPAPPPPPPPPPLQTHQFTNSHPPPPHSHPYSSTSQTLPLPPIPHPPPPAPAPHSYYFSGGYNSCNYGNSSMGRFNFYPYYANQSNGWSQVRPPMGPPLLPPPPLPIVEHRQAKKVRNDVNVHKDTLKIEVDEQNPDHHLVSFVFDALYDGSITILFFAKEEPNCRFVPVYPDAFKPVKIPFQKGPAQKFFQPVGTGFDLGFFDLDDLSKPSPAEDTFPLVISAETCSPSQSDDERIGEPHRDNSHMQITQAVLEKKNGGPFQVRVIRQLLWIDGVRYELREIFGIGSSSAEGFDDNDTGKECVICMTEPKDTAVLPCRHLCMCSECAKELRLQSNKCPICRQPIEELIEIRINNSDQ >KGN58969 pep chromosome:ASM407v2:3:28621293:28623142:-1 gene:Csa_3G740130 transcript:KGN58969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKQAIAANIAKLILKSGLQPFKTTPSLLSNFDSRVMQLVLSDPNLPTRSCLRFFDFLRQNPSRKPDLPAHLILFSRLYRARKFAEMKNVLKFIVNDGNLWSNVERIVSSIGGEFNEPSIVEKFCDMLFRVYMDNRMFDSSLEVFDYARKKGFEIDERSCFEFLLALKRSGNMELCVEFLRQMVDSGIEIRVCSWTAVVDGLCKKGEVVRAKALMDELVCKGFKPSVITYNTLLNGYIEIKDVGGVNEILSLMEKNVVDYNVTTYTMLIEWYSRSSKIEEAEKLFDEMLKKGIEPDVYIYTSIINWNCKFGNMKRAFVLFDEMTERRLVPNAYTYGALINGACKAGEMKAAEMMVNDMQSKGVDVNRVIFNTLMDGYCKKGMIDEALRLQNIMQQKGFEIDAFTCNIIASGFCRSNRREEAKRLLLTMEERGVAPNVVSFNGERASGNVDRALELFNEMPQLGLNRNVVTYTVIISGLSKDGRADEAFKLYDEMNKEGIVPDDGIYSSLIASLHKVGPLVSGLENVVDRIET >KGN60159 pep chromosome:ASM407v2:3:37274150:37281640:1 gene:Csa_3G881720 transcript:KGN60159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRKVSHGLDYDDDYDDYDDYDYYDNDFDVEEKATEKIPVTKEEPKGHKLWRCSICTYDNEDSFSVCDICGVLRIPLDNNRNTQDDRTVENICKDSGVSKMAKSLFASLPNQIPKRAVKLQEQDDKIVEEREENIHKIGNIQGHLHEFHNAFSTCSHFHTNIVPFKFDIPSPDDVVSNGLRSSKVGLKAANHNDFNSLIFSSDTTKISANNAALTSKSAHSASTLSQMSKSGNIGDKQLNTKGSANSGISIGKKTMVIEELNTSISVTKNLQSRDNRSSGTSSSKSAGKFDSMDESSNPSVDWERSQSLAGGLNNMVLNVKSAYANYISGIGKTSNPQYKHDKWMLPDKAVDTLTQLNLAIVGHVDSGKSTLSGRLLHLLGRVSQKEMHKYEKEAKSMGKGSFAYAWALDESAEERERGITMTVGVAFFDSKRYHIVVLDSPGHKDFVPNLISGATQADAAVLVIDASVGAFEAGMDSSKGQTREHVQLIRSFGVDQIIVAVNKMDVVEYSKDRYEFIKLQLGTFIRSCGYKDSSLSWIPLSAMANQNLVTAPSDVHFLSWYRGPNLLEAIDSLQPPTREFSKPLLMPICDVVRSLSLGQVSACGKLEAGALQSGSKVLIMPSGDKATVRTLERNSQACKIARAGDNVTVTLQGVEPSSVMSGGVLCHPDFPVAAAKHLELKILTLEYATPILIGSQLEIHIHHVKEAARVARIVSLLDSKTGKVTKKAPRCLSAKQSAVIEVVLQSPVCVEAFSTSRALGRVFLRTMGRTIAVGIVTQLIGGSQ >KGN56483 pep chromosome:ASM407v2:3:7056624:7067480:-1 gene:Csa_3G121590 transcript:KGN56483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKETRDGSKKDMHSKNPSVSSLPLILDVDDFKGDFSFDALFGNLVNELLPSFQEEEIDSLEGHNISSDVFPNGHVRGASDTIKFSQGLPTPLFPEVDKLLTLFKDSSQELVDLRKQIDGKLYNLKKDVAAQDSKHRKTLAELEKGVDGLFDSFARLDSRISSVGQTAAKIGDHLQSADAQRETASQTIELIKYLMEFNGSPGDLMELSPLFSDDSRVAEAASIAQKLRSFAEEDIGRQGISVPSIVGNATASRGLEVAVANLQDYCNELENRLLSRFDAASQRRELPTMAECAKILSQFNRGTSAMQHYVATRPMFIDVEIMNADTRLVLGEQGLQATPSNVSRGLSSLYKEITDTVRKEAATIMAVFPSPNDVMSILVQRVLEQRVTALLDKLLVKPSLVNLPPMEEGGLLLYLRMLAVAYEKTQELARDLRAVGCGDLDVEGLTESLFSAHKEEYPEHEQASLRQLYQAKMEELRAENQQVTESSGTIGRSKGASISTSPQQISVTVVTEFVRWNEEAISRCTLFSSQPATLAANVRAVFTCLLDEVSQYITDGLERARDSLTEAAALRERFVLGTSVSRRVAAAAASAAEAAAAAGESSFRSFMVAVQRCGSSVAIVQQYFANSISRLLLPVDGAHAASCEEMSTAMSSAEASAYKGLQQCIETVMAEVERLLSAEQKATDYRSPDDGIAPDHRPTNACTRVVAYLSRVLESAFTALEGLNKQAFLTELGNRLHKGLLNHWQKFTFNPSGGLRLKRDITEYGEFVRSFNAPSVDENFELLGIMANVFIVAPESLSTLFEGTPSIRKDAQRFIQLREDYKSAKLAARLSSLWPSLS >KGN57561 pep chromosome:ASM407v2:3:14302649:14303643:1 gene:Csa_3G214010 transcript:KGN57561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METPYPNPISPTKTRIGWIGIGVMGAAMATRLLSAGYSLSIYARTPSKALDLQSKGALLVNSVLELAQVSDIVFTMVGHPSDVRQNVLDENGILQGLNPGGVVVDTTSSHPALARDIFTAARAKGCWAVDAPVSGGDIGARDGKLAIFGGGDEGVVNWLLPLFQVLGKVTYVGEAGCGQSCKIANQFAISANLLGLSEGLVFAERAGLDMKKFVEAVRGGGAWSMAMELFAERMIGRDFRPGGFAEYMVKDLGIGVDVVEEGDDERVVVLPGAPLTNLIPKF >KGN57733 pep chromosome:ASM407v2:3:16353258:16355472:-1 gene:Csa_3G270280 transcript:KGN57733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSLLISLFFILISSVFLQSTAPLPNPAPHPNPLPPTPNYGFTTIPNSSFPIPPPLPPPPSNSLVPALFVIGDSTVDSGTNNFLGTFARADHLPYGRDFDTHTPTGRFSNGRIPVDFLALRLGLPFVPSYLGHVGAVEDMIQGVNYASASAGVIFTSGSELGQHISFTQQIQQFMDTFQQFVLNMGEKAAADHISNSVFYISIGINDYIHYYLFNISNVQNLYPPWNFNQFLAVTIRQEIKNLYNMNARRIVVMGLAPIGCAPFYLWQYRSENGACIEEINDMVMEFNFAMRYVVEELGMELPDSNIIFCDLLQGSMDILKNHEYYGFNVTSNACCGFGRYNGWIMCISPIMACKNASNHIWWDQFHPTDAVNAILADNVWNGLHTTMCYPKNLQDVINAHG >KGN56377 pep chromosome:ASM407v2:3:6528186:6535860:1 gene:Csa_3G118120 transcript:KGN56377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELENGSKAKEEVLMEVSRCVEDENATQDKQNASSGQENIHDIEASSFERSMMLNRSEDMEVDVIGCSENCEGGPSNECNVSTENSSSFGDTVSGTDYGLLLDDEEVESQLYGDNNLQSNSNGYGEVFPRKKKLTAHWRKFISPIMWRCRWLEVQIKKLQAQSLKYDRELALYDQRKQSFYKDFSADGFSVKSTGFSNHTQRHRFMKRKGRKMVEETTDAASYMAHHNVFSYYEKKRSLADDMSLEDTFLKLDKTRNIKRDDINDFGTIATDGWASSMLGNNDNNLEDIFLKIEAAQSKVHELKNRIDKVVNENPMKFSVINQLYSLASSSDDPASPGDGNDELVRSLHEASQHMSEHALDVLMPETAIKTHGEVMLLPDMMRSTDCGTTQKVLMQDSAVKEELQLSKEVKGQLVELQNSEEQKSISLAAISQADLTSKDKEPDMLHKTKSPSAMKPNSSKKTRKRGRRKIGSSKKNRKATS >KGN58237 pep chromosome:ASM407v2:3:22934599:22934909:1 gene:Csa_3G597360 transcript:KGN58237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQYEYYIPGEEEEGRKMGGIEADLGGILKNLDLQLQRGGREIGEKGMEYSEEETEEGTKKLKQWLKMEERMKS >KGN57904 pep chromosome:ASM407v2:3:18866752:18868749:1 gene:Csa_3G384790 transcript:KGN57904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAERNEKKVYDGTTVEADLHLLSINNDEEGFHHLHHHLENFGEGEMGELKEIQEMEKKMRKKRKKRALLEFRCRVEDAIIGNYLVGKPKKKENSNKEIGLWGVPLLPSKGHEGTDVLLQKFLKAKHYKVHEAFEMLRKTLKWRKEYKADGILEEKLGGDDHHLYNMVGFLEGKDREGHPIWFHANGVFKDREMYERIFGSDEKCEELLRWMVQNMEKGIKQLRFEKGGVDSIVQITDLKNSPGPAMKEFRSVSKKALLLLQDHYPELVYKNIVINAPFWYYARHILRSKIINHKTKAKFVFASPSKVTKTLLKFIAPEQLPVRYGGLKRDEDDDFSPADNASELSIRGNFAATIEFPVTEVGVTMVWDVTVVGWDVVYKEEFVPEDEGSYRIQLQNQKKAGESLRNCFYISEPGKIVITIENPTFNHKKTVYYRSKAKPTVPMYILFNK >KGN58340 pep chromosome:ASM407v2:3:24241256:24243693:-1 gene:Csa_3G624080 transcript:KGN58340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTLSCPYCLVVFTIFLRFSFSFFPSAFSTVKTGNLQQRDSTEQISSILTQILSCFFDMCITLFKENLTLSLTVMQQVLQWVFKETNEKQRERTQSTKKRTSCDKGTARTKEIVVSSSCGNSQRSKGFEGRKFDLKKLKSFALLCRKDISKSCFYSTINIKRPRTQGFDNMQQYWIQKMKKEDVTRGIIEIGQKADSVHAGTKVLPITDATLAEQCSNKSEKKVLANGENKTKAKSRMKELLRWAMASRSEKGGKFITGKVSQLRNRATLKAGLNDDQESNDSPKISFRWEAESCSSISSAYSSMSAVSPFKNCSITLNSTAIHEINQYYPRRGSWITTDSEFVVLEL >KGN59326 pep chromosome:ASM407v2:3:31061891:31063958:-1 gene:Csa_3G810510 transcript:KGN59326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTNTHQSFQQPNSALLRFRSAPSSLFADFSHGIDSKRLNPFEGSESERLVSRFGSRADGCNSNDSESPVAGNYSSGLPPHYPRLSSAVNCSSSSSSSSCSSSSSSMCSSLGFLGSNLVRQSSSPAGVLSQLNQNGYGGGSFSRLSGNNNGVEVVSPSSNRLNSQISFSSLVPSSLGMFPQISEQVVGNEKLSNSNNGETQFFTPSGFPFASWNESSQFSETFPGIKRDPDSNKKFSSGHQNGEIGNRVHLLSHHLSLPKNVSDVASIEKLLQLQDAVPCRIRAKRGCATHPRSIAERVRRTRISERMRKLQDLVPNMDKQTNTADMLDLAVDYIKELQKQFKTLSDNRANCVCVNMQKPLSNQIM >KGN58294 pep chromosome:ASM407v2:3:23626488:23628457:-1 gene:Csa_3G608730 transcript:KGN58294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRGRGGSAGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVLPAVIVRQRKPWRRKDGVFMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIA >KGN60246 pep chromosome:ASM407v2:3:37957798:37961366:-1 gene:Csa_3G890020 transcript:KGN60246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVDKAEMSEDEDRAQSLDLGIEAAAESANKTRNCSGGDGEEVGGSGSVENILHNVLENVLHFLTSRRDRNAASLVCKSWYRVEALTRSELFIGNCYAVSPRRVTSRFSRVRSVSIKGKPRFADFNLMPHNWGAHFTPWVAAMAKSYPWLERVYLKRMSVTDDDLALLADSFPGFKELVLFCCEGFGTSGIAVVAARCRHLRVLDLIASDVGDDEVDWISCFPEKETCLESLIFECVEWPINFEALERLVSRSPSLKKLGVNRHVSIAQLYQLMIRAPRLTHLGTGSFNTLEAVIHGESEPDYASVFAACNSLVCLSGFKDVLPDYLPCIYPVCANLTTLNLSYANITPEQLKPAIRHCHKLQTFWALDSICDEGLQAVASTCKELRELRVFPFDAREDVEGPISEVGFQAISEGCRKLQYILYFCQRMTNAAVVAMSQNCQDLVVFRLCIMGRHQPDHKTGDPMDEGFGAIVINCKKLTRLAISGLLTDRAFSYIGKYGKLVRTLSVAFAGNSDLGLKYVLEGCHRLQKLEIRDSPFGDIALHSGLHHYYNMRFLWMSDCKLSRGGCQEVAKAMPHLVVEAMRNEIEEVDYLPQVEDLDNHVRLLYMYRSLEGPRDDAPEFRGSDEGSGCRAALKALITSESLVIHRIKIELENVGDLINYKRSKNIVLSLSSSKVREWLQGSLQASISGLYFSLLREKQALLASKEGGKSNGIIKVGSDCASVALNEKLRLCFLV >KGN57955 pep chromosome:ASM407v2:3:19616658:19618463:-1 gene:Csa_3G406040 transcript:KGN57955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTVWFSLKKSFPCKPEPSEVYDPKNRKQLNTITTKKASRKSGCSSGRSGCSRSIANLKDVIHGSKRHMEKPPICSPRSIGSSEFLNPIAHEVILSNSKCELKITGFGSFHQEDVGSAGFGGGGGGGGNSPFFGTLRPGTPGPGEHFVNNSNSPFNNTSMSGARKVPSLLSYRDGSAAGSTAKSKVSGEVHPSKRFSPLTELNGNTFSTVTCHKCGEQFCKLEAAESHHLSKHAVTELVEGDSSRKIVEIICRTNLLKSENNGNRIERVFKVHNMQKTLAGFEEYREMVKIKASKLSKKHPRCLADGNELLRFYGTTLACSLGLNGSSSLCISQKCSVCRIIRNGFSTKKDIKEEVGVFTTSTSGKAFETIKTTEESSVKKALIICRVIAGRVHRPLENIQDMVGQSGFDSLAGKVGLHSNIEELYLLNPRALLPCFVVICKP >KGN58465 pep chromosome:ASM407v2:3:25467928:25470490:1 gene:Csa_3G646520 transcript:KGN58465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATAPRQLSQKEADIQMMLAAEVHLGTKNCDFQMERYVFKRRNDGIYIINLGKTWEKLQLAARVIVGIENPQDIIVQSARPYGQRAVLKFAQHTGAHAIAGRHTPGTFTNQLQTSFNEPRLLILTDPRTDHQPIKEAALGNIPTIAFCDTDSPMRYVDIGIPANNKGKHSIGCLFWLLARMVLQMRGTIRAGHQWDVMVDLFFYREPEEAKEKEEEEAVAPPDYGIADYGGAPLVASDQWAGQISDAQWGAPDLIPAPDAAPVVVPPVSNVEWTQEPVVAIASDGWDAAAPPPAPSAEGGAPAASWF >KGN59284 pep chromosome:ASM407v2:3:30790778:30793680:-1 gene:Csa_3G800670 transcript:KGN59284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFLFIPQSPFHIFASSFSYGMIRTHRFKKLANPKNPISSTDLRSEPQARKKKKKTRYCPKSKPATDPPTQIAQLSSSSSSDGFTILPKEFFLVDALDLAPRLLGKLIRRDDVVLRITEVEAYRPNDSACHGRFGVTARTAPVFGPGGHAYIYLCYGLHMMLNVVADEVGLGAAVLVRACAPVSGLETIQKRRGLITDKPVLLTGPGKVGQALGLSTEWSNHPFYTPGGLELLDAPKPESILVGPRVGIEYALPEHVNALWRFAIAGTPWISAPKNTLRAS >KGN59216 pep chromosome:ASM407v2:3:30279414:30280181:-1 gene:Csa_3G782640 transcript:KGN59216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERGWKPMVEISPNCPRCGSSNTKFCYYNNYSLTQPRYFCKGCRRYWTKGGSLRNVPVGGGCRKNRRSPKPLTLTPSSSNPKFHHTNDSDYQRYHCGLSDSDVSPTIDLAVVYANFVNQKPDTPETIPTSNAAGHFPVSVQSRVVGCGNFCEFSMQECGLGANDYQVGGGCFGLEESSNNNESQVAARELQLPPLPGEDMVEAWGNSMIMVNNHHRLQPTRVEMFEDPNLLSGNWSPIDLSNYDTFSKGLTNIHI >KGN57817 pep chromosome:ASM407v2:3:17671431:17673159:-1 gene:Csa_3G319290 transcript:KGN57817 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major latex protein MAQISQVSADVQIKCGAEKFYGFFRKNMFQLAQMFPKNLHACEFLEGNDFTTGALMQWSYDIVGPAKVKAKVDDVDEQNKSITYEAVEGDILSHYNFFRAKFQASPNGESGSATVKWTIEFEKADENIPTPEAYLDFVSKLSLGLDAYLATN >KGN58267 pep chromosome:ASM407v2:3:23354877:23359605:1 gene:Csa_3G603050 transcript:KGN58267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKLSEGVFVDEERSQSLLDEDSEDLCSLTAVQRLYAFGGCLTGGVVCMILSLLVFAKPLKLAVLFAFGNVLAVGSTTFLFGPRQQIRMMFDSVRILATAIYLGCVVLALICALWIHNKILTVIAIISEICALIWYSLSYIPFARRMVSQLMIRFCDTEL >KGN59457 pep chromosome:ASM407v2:3:32048756:32051758:-1 gene:Csa_3G821600 transcript:KGN59457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSMLTFFVAATVAALVAIIFSLQTPFLKLEQVFAPPHIPGTHDYLRSANVIPLTGAIGPESLIFDQNGEGPYTGVADGRILKWQGDGRGWTDFAVTSSQRSECVRPFAPELEHVCGRPLGLRFDKTTGDLYIADAYLGLHVVGPSGGLATKLVSEFEGKPLRFTNDLDIDEDNDIIYFTDSSTVFQRRQFMASILSGDSTGRLFKYHRASKQVTVLLQGLAFANGIALSKDHSYVLVVESTSGRILRFWLQGTEAGNFDVLARLPGFPDNIRRNPKGEYWVALHSKKGIIGNLVTSTSWFGKLLLKLPIDFKRLHGLLVGGKAHATAIRLSEEGEVLEVLEDCEGNTLKFISEVEEKDGKLWFGSVLMPFIGVYE >KGN55925 pep chromosome:ASM407v2:3:2603631:2606178:-1 gene:Csa_3G036510 transcript:KGN55925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALHGAVPHPHFLHSLFRSESQNYLLRNNGDKVEIEMLKGKTLGLYFSAAWCGQSQRFTPSLVEVYNELSSKANFEVIFVSADDDEKSFKKYFSEMPWLAVPFSDLERRDHLDSLFEVRGVPQLIILDKNGKLSTDTGVDFVQEFGAEGYPFTVDKITQLLNQELAARRNESLRSIMVSSSRDFVITSKGEKVPVAELEGKIIGLYFLLSSYERCIAFTPKLVDAYEKLKAKGERFEIVLITIDQDEELYKEALRKVPWFALPFRDNRCDKLIRYFEVSTLPTLVIIGQDGKTLYSNVANAVDEHGFLPYPFTKEKFAELAEIVKAKEEAQTLESILVLGEHNHVIKNDETKIPVSNLVGKNILIYISADWCPPCRVFLPKLIETYHNVKKKDDNLEVIFISCDRDESSFKNMFSRMPWLAVPFDDPRKAWIRRKFKVQVEGMPALISIGEDGRTATNDAVELISNYGAKAFPFNAGRIEEMKIEIEVMAKNWVQQVKHILHEEHPISLVSRRGYVCDGCEKKGRLWSYYCKECDFDLHPRCALEKTPENQDEMEAWSCCG >KGN57812 pep chromosome:ASM407v2:3:17552841:17555984:-1 gene:Csa_3G314760 transcript:KGN57812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVDFSFPTWEGLFWEKASGFEESMKYKKLTNAQRSGLNQIPNRRFTLWWSPTINRANVYVGFQLNALLADSERDRLVKKLSHANQQNRLLKRQLHVKDEDLVNCKTELAALDHDIQGLIKLAEEIAQSGIPERTRKINGKYIQSHLLTKLEAVHKKIMDQIKDVDLVQSKEVPLFWYGMAENVQVMGTFDGWSVGEDLSPEYTGAYSKFSTTLRLRPGRYEIKFLVDGEWQLSTEFPTVGEGLMENNLLVVE >KGN57665 pep chromosome:ASM407v2:3:15385895:15389977:1 gene:Csa_3G239290 transcript:KGN57665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWPFGTKDIDDISKKEVKRIVDKWVCFHYIFLLRKNCKFSFSFPLPERLRNKPTSDLYSFTMKLDEFDNYKVPKDEMQDVALSHEDPISLHSKKLQDDLQTMGMKIQLHEDNIRFLKTLKDKLVDSIIDLQVTLGKYHASNTPKIENKDGSDTQSEDEPSYQKGILQQENTAASILCHSKTNPKMLASDPTLSDDVLGVVAELGHVKDNNLSSLLSEYLGTKTMLAIVCKTYNGVKSLEKYDTEGCINKTSGLHGFGTSLGKTLEGRFNVIALETLRSYSGEFVADDPQRRLDIPKPRLPNGDCPDGFLGYAVNMIDIDRTHLSFLTASGYGLRETLFYSLFFCLQIYKTRTEMLQAVPCITDGALSLDGGIIKRSGLFCLGNRGDAKVTFSKTSINSSLPDHYMESERQMKEMKWKKEKLLEDMRREQALLDSLKLNFEKKKAEIVQFLAEAAARPQTPVAMGILVDR >KGN58657 pep chromosome:ASM407v2:3:26937142:26969141:1 gene:Csa_3G710840 transcript:KGN58657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLFNRIRRTGEILTHIHTLKTHGWEVLFCDWLKKTRSQEVSYLSTRKYLDAWCVFFWATTPTLFSLFTFGLFTLMGYQLDAATVFTCLALFNTLISPLNSFPWVINGMIDAVISLRRLTRFLSCIENKIDPDRENISPSLTINNDQEVSDTAVFMSSACCSWSSSKEVEPNILLNNLTLEIYKGSFVAVIGEVGSGKTSLLSAILGEMQLLHGSVHANRSIAYVSQVPWILSGTIRENILFGKGYDSQRYQDTLWACALDIDISLMPGGDMAHIGERGVNLSGGQRARLAMARALYHGLDILMLDDVLSAVDAQVADWILRHAILGSLAQKRTRILSTHNNQAIFSADMVIVMDRGKVKWIGNPANLSGSSYVTFTPLNELDSTQCIQRQGCQVIERTETCKRFFDEKEDTNAPSEVTETVDGELRTEGRVQLSVYKNYAAFCGWFIAIIICISALLMQASRNGNDLWLSFWVDTTGRSQMDSSTTFYLVTLCIFCIINSFFTLLRAFSFAFGGLQAAVKVHDTLLNKLIHAPIQFFYQTPGGRILNRLSSDLYTIDDSLPFILNILLANFVGLLGIAVVLSYVQVFFLLLLLPFWYIYSKLQFFYRATARELRRLDSVSRSPIYSSFTETLDGSATIRAFKCEDFFFTRFTKHIILYQQTSYSEVTASLWLSLRLQLLAGLIISFIAVMAVIGSLGHLPLNIGTPGLVGLALSYAAPIVSLLGNFLTSFTETEKEMVSMERALQYMDIPQEDLHGCRSLDSKWPYQGRIEFQNVTLRYKPSLPAALRDISFTISGGAQVGIIGRTGAGKSSILNSLFRLTPVCAGRILVDGIDIAEVPVRDLRMHFAVVPQTPFLFEGSLRENLDPFHLYDDQKILEVLERCYIRREIEAAGGLDFHVKESGLSFSVGQRQLLCLVRALLKSSKVLCLDECTANIDTQTAALLQNTISNECRGMTVITIAHRISTVLNMDDILILDYGILVEQGNPQDLLENESSKFSNFVNASKM >KGN59071 pep chromosome:ASM407v2:3:29229293:29231720:-1 gene:Csa_3G751460 transcript:KGN59071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPEQAQQQQQLMVQNNTGSLSFSSHLSKEDEEISRSALSTFRAKEEEIERKKMEVREKVQAQLGRVEEETKRLACIREELEALADPMRKEVAQVRKKIDAVNKELKPLGHTCQKKEKEYKEALEAFNDKNKEKVQLITKLMELVSESERLRLKKLEELSKNIDTIR >KGN58378 pep chromosome:ASM407v2:3:24608064:24610229:-1 gene:Csa_3G634300 transcript:KGN58378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLGSYPINFGSGRAPISSDDDESKKNRPIWRRRISQAVAEWLKRRSFAARIGILVAVAVFALVILKHTVHNHNYFFMAGESIHAVGLLVLAYKLTTHKTCSGLSSKSQELTALFLVVRLVCGTIMEVDIYTLLDLITFLSTAWVIYMIRFKLQNTYSKSLDNFHLYYVVVPSMVLSFFIFPHTHHTYVVRVLWAFGVFLESFSVLPQLRLMQNAKMIEPFTAHYVFALGISRFLAFAHWIIQVYETGGKYLLLVGNGYFWFMAAFLAEMIQSFILADFCYYYVKSMVQGKLRMPV >KGN55828 pep chromosome:ASM407v2:3:1761828:1764529:-1 gene:Csa_3G017220 transcript:KGN55828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKILPQAVISIPRNPNQCAPRRLGFCSAVSGTRVSFSTLSSSSIGSHFHHTVAAPLRRAFVVRAEANPEADSAAEEAPEAEVEAAVESDAQPEEEKPSRKPRVKLGDVMGILNKRAIEASEKERPIPDIRTGDIVELKLEVQENRRRLSVYRGIVISKQNAGIHTTIRIRRIIAGVGVEIVFPLYSPNIREIRVVSHRKVRRARLYYLRDKLPKLSTFK >KGN58220 pep chromosome:ASM407v2:3:22822169:22827813:1 gene:Csa_3G595210 transcript:KGN58220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPRGFLSWLWNFICFIPFFVGLLLLGTFKGIILCPLICLLMVVGISGIVLSLWPMHWFWTYYSILSAKHLGPVLKFVLCFGALPIPLILWPVVSIVASIIGGAAYGFFSPVLATFDAVGESKDNQLFHCIYDGTWDTIKGCFTVIRDFGDFCYHSYRSFMQDLRQRTPPNGEHYEIRLLYLPGALIAGILGIVVDVFMISFIAIFKSPIMLFKGWHRLFNDLIGREGPFLETICVPFAGLIILLWPLAVVGSVLGSIASSLFLGAYAGIVAYQESSILLGLRYIVASLSIYDEYSNDILDMPEGSCFPRLTYRRVDGQSLSAGLRTSSSSSRPSSFHDPPSRMNSLKEPMIDLKPLELLDSLFKACQHHGETMVCEGIITSTDIEDAKSSKGSQVISIGLPAFCILEALLRSAKANSAGLLLSDNVEISSTNRPKETFFDWFLNPLLIIKDQIRAENLSESEEEYLYRLVLLSGDPERLKNSTTVMPPESERRQAELEALARRLRGITKSISRYLTFRRRFDSLVKKLSEELSKKKGSCQSTNGSRSLSRSKSAFGRLFSQKSSNGKTSYRGSERESQPDERDVDIV >KGN58808 pep chromosome:ASM407v2:3:27790351:27790902:-1 gene:Csa_3G732640 transcript:KGN58808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLEAMARGSDDRYLGDIATLKIVEGVAGAIADKGSVLKFILYTTQAVKQGFQEIAASPLYALLIVNMNLFRIVVNLLGLPMCKFVRWQHRSKVEFMDCLQVSSIRASSGFFFAPLLHIFVDLLSTRSMPSKQSVGKKVVTNVIG >KGN58530 pep chromosome:ASM407v2:3:25952473:25952945:1 gene:Csa_3G664540 transcript:KGN58530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVVPLIQLPNLYHHYSHSQHPIRIKAHTLLHSFISSSSLSSSLLIFLTFLISPTDFRPFISSFSISQLGSCVLNPSTSSTPCFFMIWCEFLLWLCGLLLIGIVDASVSCFWTFGAGVKLIRTR >KGN56522 pep chromosome:ASM407v2:3:7281682:7283780:-1 gene:Csa_3G122460 transcript:KGN56522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNQRTDKLLRRTTMVATVTASYFLLTADYGPQPNFLDPVKKVILSAESSIKGFIFGSNNQSQEGQREKLGSNGVKDNA >KGN57792 pep chromosome:ASM407v2:3:17257825:17261121:1 gene:Csa_3G304140 transcript:KGN57792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLSDLVNLNLSDSTEKIIAEYIWIGGSGMDLRSKARTLSGPVSDPSKLPKWNYDGSSTGQAPGEDSEVILYPQAIFRDPFRRGNNILVVCDTYTPAGEPIPTNKRHAAAKIFSHPDVVAEVPWYGIEQEYTLLQKDVKWPIGWPIGGFPGPQGPYYCGVGVDKAFGRDIVDAHYKACLYAGVNISGINGEVMPGQWEFQVGPSVGISAGDEIWVARYILERITEIAGVVLSFDPKPIQGDWNGAGAHTNYSTKSMREEGGYEVIKKAIEKLKLRHKEHIAAYGEGNERRLTGRHETADIHTFSWGVANRGASVRVGRDTEKEGKGYFEDRRPASNMDPYVVTSMVAETTILWKP >KGN59926 pep chromosome:ASM407v2:3:35580381:35591334:-1 gene:Csa_3G854220 transcript:KGN59926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSSADDAELRRACEAAIEGTKQKVVMSIRVAKSRGIWGKSGMLGRQQMAKPRVLALSTKEKGPRTKAFLRVLKYSTGGVLEPAKLYKLKHLSKVEVIANDPSGCTFTLGFDNLRSQSVAPPQWTMRNIDDRNRLLLCILNICKDVLERLPKVVGIDVVEMALWAKENTPTVPTQRSHQDGPAVARVTESDLKVTVEKELVSQAEEEDMEALLGTYVMGIGEAEAFSERLKRELLALEAANVHAILESEPLIDEVLQGLEAATNCVDDMDEWLGIFNVKLRHMREDIESIETRNNKLEMQSVNNKALIEELDKLLERLRVPSEYAACLTGGSFDEARMIQNVEACEWLTGALRGLDVPNLDPTYANMRSVREKRAELEKLKSTFVRRASEFLRNYFASLVDFMISDKSYFSQRGQLKRPDHADLRYKCRTYARLLQHLKSLDKNCLGLLRKAYCSSLNLLLRREAREFANELRASTKASRNPTVWLEASSGSGQNVNAADTSTVSEAYGKMLTIFIPLLVDESSFFAHFMCFEVPALVPPGGVNGGKAGYDDDDDDLGIMDIDDNDSKSGIPRAVIIIVPPRLGGKNSAELAALNESLQDLLDGIQEDFYAVVDWAYKIDPLRCISMHGITERYLSGQKADAAGFVRLLLGDLESRISMQFNRFVDEACHQIERNERNVKQMGVLSYIPRFATLATRMEQYIQGQSRDLVDQAYTKFVSIMFVTLEKIAQTDPKYADIFLLENYAAFQNSLYDLANVVPTLAKFYHQASEAYEQACTRHISMIIYYQFERLFQFARRIEDLLYTIPPEEVPFQLGLSKMDLRKMLKSSLSGVDKSISAMYKKLQKNLTSEELLPSLWDKCKKEFLDKYDSFAQLVAKIYPTETFFSVTEMRDLLASM >KGN57989 pep chromosome:ASM407v2:3:19963354:19971476:-1 gene:Csa_3G419290 transcript:KGN57989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTAGPRSGDAIFASVERVNAELFTLTYGATVRQLLTDLEEVEEVNKQLDQMGYNIGIRLIDEFLAKSNISRCVDFKETADVIAKVGLKMFLGVNATVTNWDAEGTCCSIVLEDNPLVDFVELPDTCQGLHYCNILSGVIRGALEMVSMKTEVTWLRDMLRGDDSFELQVKLLKQVPEEYPYKDDE >KGN58615 pep chromosome:ASM407v2:3:26595039:26597601:-1 gene:Csa_3G698560 transcript:KGN58615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKTARVSRNPDLIRGVGKYSRSKMYHKRGLWAIKAKNGGVFPRHDAKPKAEAPAEKPPKFYPADDVKKPIVNKRKAKPTKLRSSITPGTVLIILTGRFKGKRVVFLKQLPSGLLLVTGPFKVNGVPLRRVNQSYVIATSTKVDITGVSVEKFDDKYFSKEVQKKKKKGEGEFFEAEKEEKSALPQDKKDDQKAVDSALLKSIEAVSDLKTYLAARFSLKAGMKPHELVF >KGN58459 pep chromosome:ASM407v2:3:25374434:25379386:-1 gene:Csa_3G645970 transcript:KGN58459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTEPVNVDEFEELARQALPRMYYDYYAGGAEDQHTLTQNIQAFCRITIQPRILVDVSEIDMSTKILGYRISAPIMIAPTGAHKLAYHEGELATARAAAAAKTIMVLSFASSYSMEEVASSCNAIRFFQLYVFKRRDISTMLVQRAERLGYKAIVLTADTPRLGRREADIKNKMITPPQKNLEGLLSVKVEVESDQGSLLESFVNGAFDPSLCWKDIAWLKSITCLPILIKGILTHEDAIKAVEVGVDGIIVSNHGGRQLDFSPATISALEEVIHAVKGKVPVLLDGGVRRGTDVFKALALGAQAVLVGRPIIYGLAAKGERGVRTVLEMLKNELEISMTLSGCPCIKDITRSHVRTNTHYDKLHSML >KGN56129 pep chromosome:ASM407v2:3:4127379:4131507:1 gene:Csa_3G077630 transcript:KGN56129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLFGKIAAFFSNRTLVGVDKAGNRYFFVKEEIDGIMKEKRWVLFKGEDDPTSIPVEWICWLNGQRKKAPTPEEMRELEARRERVRQNVALLKKEEMERNSKEGNLRKIISTGQVMGPDLKSFIRQVPTGSEGAQDVEGLPTRDSLRNSNEKEADQEKPIPESSEPVGSGHTFKPGTWQPPT >KGN58070 pep chromosome:ASM407v2:3:21077895:21079053:1 gene:Csa_3G483790 transcript:KGN58070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGTGSFGKRRNKTHTLCVRCGRRSFHLQKSRCSACAFPAARKRTYNWSVKAIRRKTTGTGRMRYLRHVPRRFKSGFREGTEAAPRSKGASSSA >KGN55688 pep chromosome:ASM407v2:3:674342:678312:-1 gene:Csa_3G005030 transcript:KGN55688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRGKEMMKKILKKVGENNLAPGVKDSLKKCIPDSKIVMGRANRGIYAGRHIRFGNRVSEDGGNKSRRNWKPNVQEKRLFSYILDRHIRVKVTTHALRCIDKAGGIDEYLLKTPYHKMDTELGLFWKSKIETLYEELGKMEVVFFSPEDEVKFEQRFKELKLSERAARREARRQMYGSSAKLNQIKEGGEKGNGSSEEAAASSEGGSHGDDSHQQWVANV >KGN57919 pep chromosome:ASM407v2:3:19085210:19085635:-1 gene:Csa_3G390880 transcript:KGN57919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKYLQSKIPKIQIIGLYYRPGTETRHLVRYWGKLSRSHSYGRLTERARRKWAPGARPENSPLFDYDSWSTLRTLDKIEKRF >KGN56838 pep chromosome:ASM407v2:3:9064519:9065279:1 gene:Csa_3G134860 transcript:KGN56838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKLSLSSSSSLYALTAAVYRWGPRSQVGEGVVRKVEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEERIGGDVEK >KGN60280 pep chromosome:ASM407v2:3:38250153:38250857:1 gene:Csa_3G893300 transcript:KGN60280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFPDHENSDRTMKAMKTPESSTEVKSEQEIISKMRSKLKTTKKHQRKSSMRKNGSKPEEENGLYVMPHARRPKTVVPGYEEAEQFDV >KGN60232 pep chromosome:ASM407v2:3:37828279:37829430:-1 gene:Csa_3G889880 transcript:KGN60232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRFSHSDSLDSFISFFSPKDQQQNSKGIGGYSKEFQAMLDSLEEEDNSEDGGSSGGSAPERKRRLKLDQVKGLERHFEVENKLEPDRKMKIAAELELEPRQVTIWFQNRRARWKTKQLEKDYEVLKLNYDALKLDYDVLEKENASLASKVKELREKVNREMKKGSMEKDSNRDGNSYISMLNSNNQFQFTKAMNEDQSLVNFCSVDQAPSLHYW >KGN59701 pep chromosome:ASM407v2:3:33654762:33658270:-1 gene:Csa_3G839790 transcript:KGN59701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFSLKVSIQEMLKQQLTERRERGKNYWKMSSSTYSNSPCAACKFLRRKCLPDCVFAPYFPPEEPQKFANVHKIFGASNVSKLLNEVQPHQREDAVNSLAYEAEARMKDPVYGCVGAISILQRQVIKLQKELDATNADLIRYACSEIPAPSPSSSSQYARRSSSTSHEGSSSTSSYGHYYTGLYFSPWSNNNNGPCGDGHDKGEYK >KGN55923 pep chromosome:ASM407v2:3:2596116:2599045:1 gene:Csa_3G036490 transcript:KGN55923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLYVWLVSFFFLIALLIVLVYQLMCLADLEFDYINPFDSASRINKVIMPEFIVMGVLCLFYLLTGHWGMSLLSGPYIYYNVRLYLRRQHLIDVTEIFNMLNWEKKQRLFKLAYLVVLLFLSIFWMIYHALEDDE >KGN57745 pep chromosome:ASM407v2:3:16451738:16453863:-1 gene:Csa_3G271380 transcript:KGN57745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKLDALLGRNRYLKASKFKTLANMAISRTSILKNHHRARCSLARADVLQLLNLSYQHRAQLRVEIVIKENNMLDALGMIEDYCCLLVEKVALLQMNKECPGEVKEAISSLIFAASRCGEFPELQEIRRIFELKFGAEFASSAIDLRNNCGVSTKMIQKFSTKQPSAETKLKVLKEIASENGIPLHLEQESPIIIKAKQNQKLEIKKEADLYNPEVTNTTDDLHEVITSEKMASELVKSKKFKDVASATEEPFQSAAYAALAAKAAINLSKSKSQDIDSDHEDGSHLQKNGKGSDLNSSYEPKLNTKEERISSCKIDYSDDRFSFEKICPVELESCSSQYEDADMEETNQEELPKEPAKESTSFALKDSDVNNMKEQSSKPNSIFYNESDGDKITNTDNEVASGKEISEEDIRVHESK >KGN56907 pep chromosome:ASM407v2:3:9412240:9413389:-1 gene:Csa_3G142990 transcript:KGN56907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGMVVSEAAPEVKNEIRNEEERLLVAAPDSEVKEIAVLTEFKKKRNQIQVSNVKKPFFYYYNLSKRHIKQYNEVELSALGMAIPTVVTIAEILKRNGLAFQKKLMISSVSLKNGENGKLVMKPKIEIALVNAEKIKNISTAPTSEDS >KGN56194 pep chromosome:ASM407v2:3:4871485:4873639:-1 gene:Csa_3G098560 transcript:KGN56194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTVWLSLKKSLHCKSEPSDVHDPKSKKNLGAILTRKTGGGGRSGCSRSIANLKDVINGGSKRHLDKPPSCSPRSIGSSEFLNPITHEVILSNSKCELKITGFHGGFPQDLPPADTHGGTSTFVGTLTPGTPGPGGHPSMHYFNPSLRSSSKKFSFREGFGSSNKSGGGAGGGARGTGIHLSNRISLETENSRNGCSSAVTCHKCGEQFNKWDAAEAHHLSKHAVTELVEGDSSRKIVEIICRTSWLKSENQSGRIERVLKVHNMQRTLARFEEYRETVKIKASKLPKKHPRCLADGNELLRFYGTTVGCLLGLNGSSSLCISEKCCVCRIIRNGFSAKTEMEEGIGVFTTSTSARAFSSIKGSGGDEGMTRKAMIVCRVIAGRVHRPLENIQEMAGQTGFDSLAGKVGLHSNIEELYLLNPRALLPCFVVICKP >KGN56857 pep chromosome:ASM407v2:3:9153655:9154144:-1 gene:Csa_3G135050 transcript:KGN56857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRAPCCEKLGLKKGPWTTEEDEILIAYINKHGHSNWRALPKLAGLLRCGKSCRLRWINYLRPDIKRGNFTLEEQDIVLHLHEKLGNRYISKRENAIKI >KGN60261 pep chromosome:ASM407v2:3:38071429:38075265:1 gene:Csa_3G891660 transcript:KGN60261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQRAASNAYSWWWASHIRTKQSKWLEQNLHDMEEKVDSMMRIIEGDGDSFARRAEMYYRKRPELVEHVEESFRAYRALAERYDHLSKDFQGANRTIASIFPERVHYTIDDDDCEVDFFSRESTSAKSPSEFSNELDGSPKPGIPEVPKFPERSFRSPSMIRRKTQLKRNESTPKNRVALTPKSGLDKTEALEEIDMLQKEILARQTEMEFVKSLYERECEKYWEMESSITKMQKRVSNLQDEFEIGTVIEDNEARTLMATTALKSCRETLAKLQEEQEKTVEETKLEKGRIKDVVTKFESLKFKFLPKPIDHHECTDLHEDQSNEPELNISDQVVNCTAEDKHDIELLDQKIREHLEMDSNSSFTISELAEKIDKLVNKIVTLEAAVSSQTSLVKRLKSETEVLQANVQQLEEDKEILVESSETMKKKIKELEAELARVKNLNQNAENQNNNLQTQFTKASSNLDHLSDRLQTMKMDDVETCDLSQDVMMVDPDVKTTECSTNSGFDGRKLETMKLGDFFMDEDKSFTLAVDEVKELGHEENDGRPQLHTENSCLDSEANESHGEETSPTLRQTFLKGIEDREKILLEEYTSVLRDYKDVRNKLSEVEQKNRDSIFELAMQVKELKDAISSKDDVIKSLVNNGETDEDTNARDADRELPQESIHEAPSYLYSESSTPYIDQVSTPDSYREQSIEPTEGSYRSMKNARSMKKKEDVNKKSIGGDKFITMSPTEERFRSHIDGQLEMNLEFWLRFSTAVHQIQKFQTSIQDLQSEVQNLKENKQEGSVKHQGATESDGRPIYTHLREIQTELSLWLEHSAVLKDELCNRFSSLCDIQSDLSRITDEGSAEEKAELSDYQSGKFQGEVLNMKQENRKIADELQVGQDRVSLLQVQVEKALERLDQEFGISAAKSMKQSKSLSRTRIPLRSFLFGVKLKRQKPSLFSCASPQLEKQYSDLAKGPLPQ >KGN59723 pep chromosome:ASM407v2:3:33830398:33835401:1 gene:Csa_3G840980 transcript:KGN59723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLCHGKPIEQNPKPNSENPNSVIQSETPKTPTNFPFYSPSPLPNLFKSSSPANSSITSTPLRLFKRPFPPPSPAKHIRALLARRHGSVKPNEASIPEGSECDVALDKNFGYSKHFAAHYDLGDEVGRGHFGYTCSARAKKGSFKGQQVAVKIIPKSKMTTAIAIEDVRREVKILRALTGHKNLVQFYDSYEDEENIYVVMELCEGGELLDRILSRGGKYSEEDAKVIMVQILSVVAYCHLQGVVHRDLKPENFLFTSKDETSTLKAIDFGLSDYVKPDERLNDIVGSAYYVAPEVLHRSYGTEADMWSIGVIAYILLCGSRPFWARTESGIFRAVLKADPNFEEAPWPSLSIDAIDFVKRLLNKDYRKRLTAAQALCHPWLADHQDIKIPLDTITFKLVRSYICSSSLRKSALGALAKTLSAVQLGYLQKQFTLLGPNKNGLISMQNFKTALIKNSTEAIKDSRVLDYANVVSSIQYRKLDFEEFCAAAISIYQLEGMESWEQHARHAYDHFDKDGNRPIMIEELASELGLSPSVPVHVVLQDWIRHSDGKLSFLGFVRLLHGVSSRAFQKA >KGN60327 pep chromosome:ASM407v2:3:38585563:38590051:-1 gene:Csa_3G895730 transcript:KGN60327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIRRTLSPVPRPGTSMNGEACSVGSPLSRSSLSPQNHPQSTGLHYSLFNTLETQAAILGIYSPRSSRPLDKSKPKVQIWRRSMFHFFMCFFVGFLAGLVPFASTNLSMNVMSKYQAFQFDRLSTDEKSQPQNNFSSTIFIPLESEDMKSSQILPEVPMYNNVSYDNLDNHLIAQELEPRKLLIIVTPTSAHPLQAYYLSRLAHTLKLVRPPLLWIVVEMYSQSDETADVLRSTGIMFRHIACTKNLTDTRDGRVHQRNLALSHIETHRLDGIVYFADENNFYLVDLFEKMREIRRFGTWPVAKLLGGTSRSILEGPVCNGNLVIGWHIYESSMRLRRFHAELSGFAFNSTILWDPERWQRRTSEPVRQLDSIKDGLQASDFIEQIVEDESQMEGFLEDCSRIMVWNVNFKPSSAVYPHKWFVTNYLDATASLT >KGN58945 pep chromosome:ASM407v2:3:28478031:28482483:-1 gene:Csa_3G736920 transcript:KGN58945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGTSFLFAGIHFDKKRFAADFAKFEGKKESDNVVEGPSSIDNGDLEVHEGSTPSDKRKRKRKSATAKSVEGFSVFKDSKSTADTSLNEENHLSENDPSEEKKEFYRKLERDALFRKKYNIHVSGNNVPSPLQNFGELSTRYDCDSYLLHNLVELGFKEPTPIQRQAIPVLLSGRECFACAPTGSGKTLAFVCPMLMRLKDPSKEGIRAVILCPTRELASQTIRECKKLAKGKKFHIKLVTKEVLRHADFSKFSCDVLISTPLRLRLAIRKKKIDLSRVEYLVLDESDKLFELGLIKQIDAVVKACSNPSIVRSLFSATLPDFVEDLARSVMHDAVRVIVGRKNTASETVKQKLVFAGSEEGKLLALRQSFSESLNPPVLIFVQSKERAKELYGELAFENIRVSVIHSDLSQLERENVVDDFRAGKTWVLIATDVISRGMDFKGVNCVINYDFPDSAAAYIHRIGRSGRAGRSGEAITFYTDEDIPFLRNIANVMSASGCEVPSWITELRKMKWKKHRPKRDSISTKPHEDGDTEPPKKKSKKHRPKRNSVATKPNQDGDTELQKKKSKKLKPRRDSVSMKSKDDDDAGLKTKKLKKQRHKRDSVPTKPKDDDEA >KGN60223 pep chromosome:ASM407v2:3:37777325:37780648:1 gene:Csa_3G889790 transcript:KGN60223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSNDSKSPEFPIPSPNFHAPTNFPPPTHRPTPSPNIPVNNRPSQSIILFPVPQPQRVSVVSPPYHFQAPSKRIHSPDDIRQFHDSDSGRNFLGFIVALSESTRGRKISDPCYQSETVNSIVSILDSLIQWIDDIPPASMASRYGNLSYRIWHARMVENSDSLMLRFLPEDLHSATVEIVLYFTDSFGNSSRIDYGTGHETNFAAWLYCLAKLGTIKEEDYPAVVVRVFVKYLDLMRKLQLVYCLEPAGSHGVWGLDDYHFLSYVFGSSQLIDHKYLKPKSIHTQDILDNFSNEYLYFSCISFIKKVKKGPFSEHSPLLDDISGVPNWNKVNSGLLKMYKVEVLEKVPIMQHFLFGWLIKWN >KGN58901 pep chromosome:ASM407v2:3:28234152:28236260:-1 gene:Csa_3G735010 transcript:KGN58901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSMEIEQASSSSSSSSYPSSPVIQLGGRALDRHNPIICDGRRNITAPNTLLTPPREFSRPPFSPQPHHHQLTKSKKTSSKANRKTKNKIPFVKHEDEEKESSDSLPSTDFLKKSSFIPTDIVTRSFAKLSDLVAPPPPPPLVGSSRYLLESDTQSQFFDELPEIDPVYDINPVDDNKELKTEVNQDESTSSTTQPTLSEEPKPTPTKQVVVLMVSLHCKGCEGKVRKHLSKMEGVTSFKIDYAAKKVTIEGDVTPVGVLASVSKLKHAKFWTSQPPTPPPPQSPVSTSLTVASTSATTEEMLEKQL >KGN58843 pep chromosome:ASM407v2:3:27950862:27951909:-1 gene:Csa_3G733970 transcript:KGN58843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPLQRWSLNGFTALVTGGTRGIGYAIVEELASLGASVHTCSRNQTEIDQRLQEWQQKGFKVTASVCDLTSSSQRQQLIDTVSSIFNGTLNILVNNAGTVTMKTATEYTTEDYNYMMSTNFEAPYHLSQISHPILKASGYGSIVFVSSIAGVTALPRISIYAATKGAINQITKNLACEWAKDNIRINTVAPWGVRTTISTPDAAAVEEYGRLIGRTPAGRLGEPEEISSVVAFLCLPAASYVSGQIICVDGGYTAGGW >KGN57271 pep chromosome:ASM407v2:3:11894476:11896596:1 gene:Csa_3G175715 transcript:KGN57271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRGRPSCKYYLSMNFRNLVTTCTVPLDPPTTSSFSSASEHKNLCFSLVEQLIRRGFFFQAQQVIQRIVTQSSSISEAISIVNFAAEWGLELDLATHGLLCRQLVFSKPQLSEFLYNRKFVVGGAEPDVLLLDSMVSCFCRLGKFEEALSHFNRLLSLNYVPSKVSFNAIFRELCAQGRVLEAFNYFVRVNGAGIYLGCWCFNVLMDGLCNQGFMGEALELFDIMQSTNGYPPTLHLFKTLFYGLCKSGWLVEAELLIREMEFRSLYPDKTMYTSLIHGYCRDRKMKMAMQALFRMVKIGCKPDTFTLNSLIHGFVKLGLVEKGWLVYKLMEDWGIQPDVVTFHIMIGKYCQEGKVDSALMILNSMVSSNLSPSVHCYTVLSSALYRNGRLEEVDGLFKGMLDNGIIPDHVLFLTLMKMYPKGHELQLALNILETIVKNGCGCDPSVILASAEWQTSSNLEQKFEIVLKEISISDLNLAGVAFSIVISALCETENFCYALDYLHNMVSLGCKPLLFTYNSLIRRLCKERLFEDAMSLIDHMKDYSLFPNTTTYLIIVNEYCRQGNVTAAYHILRKMRQVGLKPSVAIYDSIIRCLSREKRICEAEVVFKMMLEAGMDPDKKFYLTMIKGYSKNGRILEACELFEQMVENSIPPSSHIYTALIRGLGMKNMTDKGCLYLGKMSRNGFLPNVVLYSTLMNHYLRVGEV >KGN58481 pep chromosome:ASM407v2:3:25609089:25613099:1 gene:Csa_3G646680 transcript:KGN58481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLAEISTTHSWFHVNKSLGSLTFGNDKELSSSWKSLIIPKRVNLSVQPEISRRGFLIRAVATLESKPLLHDGNRDVSMGEPVEFKNSQLGVAPHNPSTSELQLASSSGDSKELDEKERLRRERISKANKGNTPWNKGRKHTAETLRRIKERTRLAMQDPKVKMKLIKLGHAQSEETRLKIGVGVRMGWQRRREKQVLQETCHFEWQNLIAEASRQGYKGEEELQWDSYQILNEELKKEWLESVEQRKKTPRVVGSRRAPKSAEQRKKISESISAKWADPDYRDRVCSALAKYHGTPTGVIRRPRRKRSESTATITTSSKKEKSDVNSSLAGGFRIENQRLKLKKSKAPRFKDPLASSKLEMIKSIRAQRAMAETQKMEAIERARLLIAEAEKAAEALEVAATRSPIARASLLETRKLIAEAIQSIESVNIEQTASPQTEEPNAAASYSCYEVVTPNNKEESLGRKEDQNRAVQIIANGTQWFPSNIDEDFDCSKFSLQDLLGREKEVPVSTNGYGLSHSSFSSLANQANGNKPSDHKPSLNGTRLHHLEDRADSQVITVTKKWVRGRLVEVAEER >KGN55629 pep chromosome:ASM407v2:3:333143:336033:-1 gene:Csa_3G002490 transcript:KGN55629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLAPHFCLPTIFFGSAIAMDHPSASRPSCSLHFSLHRSRPNAVRSRRPKSILISCHSSRDRSTHSDDDDNSHQDYFEASFLVSETIYHYRMWKKRFQEDANFNQRESRANSNSLGLGFFRRFRSPTVFLKISCNGDFLLPIVVGEYAIEKLIDCQLGIENGEAPDIFQFIQDLIVKVGYEAITARITERVVNTYFARLFLRKEGESEMLSVDARPSDALNIAYRCKIPVLVSKQIVFEDAIRVSYGFGRVHERKSCFDVLLDCAADGPDFLSEELDMLKNMKIAIYEERYKDAAMWRDKLTKLRKSVHEA >KGN58033 pep chromosome:ASM407v2:3:20621567:20623109:-1 gene:Csa_3G444610 transcript:KGN58033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERNQLLRQMNSMRQSFFDQGFLDEQFVQLEELQDEANPNFVEEVVTLCYRDSYRLILNLEQALQKSPLDFNQLDSYIQQFKGSSSSIGAKKVNAQCTLFREYIKAGSGEGCFRTFHQLKKEHTTLKRKFEAYFQIKRQAGPSQIASRPK >KGN59085 pep chromosome:ASM407v2:3:29384519:29387935:1 gene:Csa_3G760550 transcript:KGN59085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEKEIHNVVIHPRLVVRKFLARPQHEGLGAIVRRSIGRFELKYFDPFLVLDEFSVTAPAGFPDHPHRGFETVTYMLQGSVTHEDFEGHKGTIGAGDLQWMTAGRGIVHSEMPAAQGTQKGLQLWINLSSKNKMIEPNYQEVSSKGIPEASKDGVRVKVIAGEALGAKSPIYTRTPTMYLDFTLKPKAYVQQPIPSSWNAFVYILEGEGVFGNSKATSTTEHNLLLLGYGDGLEVWNKSGKPLRFILVGGEPLSEPVVQFGPFVMNTQEEIDQTIDDFDNYSNGFEKARHWRSEAGISLGF >KGN59645 pep chromosome:ASM407v2:3:33293235:33294491:1 gene:Csa_3G835820 transcript:KGN59645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENHQILSKPKLGFSASFKEALKILFNHPKFISLIIFFSFPLLASLLAHQILLHPTFIRLLKLLYHHDPFHPTSIVRLIKINCKSPNVYCALQPLDDNPSPPIKTFKETLSQRFLISTLLLTSIIFFLDLLNTIATVSISAAIYGGNSQMGFKEMLVQIRKMVALKLKGAIETSLCFILLASLTLLGLVALSKDFFYITKDHPFMMSDIIFVSKFTIFTLFFGSLFVVLLGKYIEWSAVWNMGIVISILDKNKGYIAIGVASYLSRGSRRLGFSLMMVFLVLKVAFGLPCLYALWNEGNCGVLGNVVFVILNCVGNVVMWVVLMVYFYDCKREFLEKKVDLENTEKKAFEAVQQ >KGN59265 pep chromosome:ASM407v2:3:30669140:30670570:1 gene:Csa_3G792050 transcript:KGN59265 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sigma factor sigb regulation protein rsbq MYNGFNCGGGSAIAEALNVNVYGNGSETLVLAHGFGLDQNVWHYMVPYLACFFKVVVFDLVFSPNVKLELYDERKYSSFDGYAKDLLCVLDHLHVKRTIYVGHSMSAMVGCVAATYRPQLFHHLVLLNASPRYLNGEGYIGGFEKPQVDELLKEMDKNFTKWAKQFAPFAVMVNNSKAMTEVEHSLGRMNPKIALTVAKMVFLSDLTKLLPKVKTSTSIILTKKDNIVPKSVAFFIKSNIGGNSNVNILKSQGHFPQLTAFPQLLKVLTKVLQLKQYKIINN >KGN56632 pep chromosome:ASM407v2:3:7897263:7897544:-1 gene:Csa_3G126955 transcript:KGN56632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDELKLLIRTSSPYILRIVWALKLKGLEYDTVYDDQDLNGNNNPIHKNVPVLLLHDGKPIVEPLIILEYIDEIWSQNPLLSQDPYKKAVARF >KGN59149 pep chromosome:ASM407v2:3:29896770:29898757:1 gene:Csa_3G777570 transcript:KGN59149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKSKCHHIFINYGKLRFSSASLSWQFDVNCNSNEPTPCSQESECSHVLHYDTKRFRSSLLSCRNLFQVRQVHAQIATNGAFRNLAVANKLLYMYVERGALEDAQELFDGMSKRHPYSWSVIVGGYAKVGNFFSCFWMFRELLRSGAPLDDYSAPVVIRACRDLKDLKCGRLIHCITLKCGLDYGHFVCATLVDMYARCKVVEDAHQIFVKMWKRDLATWTVMIGALAESGVPVESLVFFDRMRNQGIVPDKVALVTVVYACAKLGAMNKAKAIHAYINGTGYSLDVILGTAMIDMYAKCGSVESARWIFDRMQVRNVITWSAMIAAYGYHGQGEKALELFPMMLRSGILPNRITFVSLLYACSHAGLIEEGQRFFSSMWDEYGVTPDVKHYTCMVDLLGRAGRLDEALEMIEGMPVEKDEVLWGALLGACRIHRHLDLAERVARSLLKLQSQKPGHYVLLSNIYANAGKWEDMAKTRDLMTKGGLRKIPGRTWIEVGEKLYQFGVGDKTHPRSNEIYKMLKRLGEKLEVAGYHPDTNDVLYDVDEEVKQGLLYSHSEKLAIAFGLLVLPQGHPIRITKNLRVCGDCHTFCKFVSLIEQKTIIVRDAKRFHHFKEGVCSCRDYW >KGN57622 pep chromosome:ASM407v2:3:15074777:15077421:-1 gene:Csa_3G232440 transcript:KGN57622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSGFCLICMLHSLIALTCGALMMFYSHEVYVFGHGPETAIKLQGSSPHDQLLIKTSDSFSGMAIWRVYFERKLEDLARDWPRQVVGDVTLALSWVFFLVYSWREKYD >KGN57385 pep chromosome:ASM407v2:3:12668144:12673992:1 gene:Csa_3G182210 transcript:KGN57385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRDLFDQTSVWLRGILLSSNSFKVQVAVTFGSAFRALNYGKEMERNRDFSEASITLDRSQALMIPVMSSCSLLLMFYLFSSVSQLLTAFTAVASVSSLFFCLSPYMAYLKSQFGLADPYVSRCCSKSFTRIQGLLLLACFGLVAAWLVSGHWILNNLLGISICVAFVSHVRLPNVKVCAMLLVCLFVYDIFWVFFSERFFGANVMVSVATQQASNPVHTVANSLSLPGLQLITKKLELPVKIVFPRNLLGGVIPGKNATDFMMLGLGDMAIPAMFLALVLCFDHRKSRDTVNLLDIHTRGHKYIWYALPGYAIGLVTALAAGVLTHSPQPALLYLVPSTLGPVIAISWIRKDFLELWEGPSPNPNDKVVEVV >KGN56171 pep chromosome:ASM407v2:3:4620526:4622720:-1 gene:Csa_3G088930 transcript:KGN56171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEAPKPSTQNYNQLLQKNKAILQFIEDVTTNAALVQRQVLSQILSQNSNSEYLTLYGRPSSDTFKTSIPLVSYDQIQPFVSRIANGDFSPILCSSPISEFLTSSGTSGGERKLMPTIEEELDRRSLLYSLLMPVMTQFVPGLEKGKGMYFLFIKAEAKTPGGLLARPVLTSYYKSSHFKERPYDPYTNYTSPNEAILCPDSYQSMYAQLLCGLCHRLDVLRVGAVFASGFIRAIRFLEKHWQLLCHDIRTGTLNSQITDQAVRDAVMSSVLRGPDPELADYVHGECCKGWWQGIITRLWPNTKYVDVIVTGTMSQYITTLDYYSNGLPLVCTMYASSECYFGVNLNPLCKPSEVAYTLIPSMAYFEFLPVERSHINDDNSLNEQQLVDLTDVELGKEYELVVTTYAGLYRYRVGDILRVAGFKNKAPQFNFICRKNVVLSIDSDKTDEVELQNAVKNSVNNLMPFEATLAEYTSYANTSTIPGHYVLYWELNQKEGAATPVPPSVLEDCCLTIEESLNSVYRQGRVSDKSIGPLEIKVVENGTFDKLMDYAISMGASINQYKTPRCVKFQPIVELLNSRVVGSYFSPKCPKWVPGHKQWSQQD >KGN60266 pep chromosome:ASM407v2:3:38095976:38096567:1 gene:Csa_3G892200 transcript:KGN60266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIIPIDHSYFFHIFLNCLSIPIPSETSDKIAMFINPIIIVLIFSVLAVKLESSLLLDCYGTCCNISTRQQNGWSNLTKFLTFTDLMMLDPPHVHYYKVQHILVLFAEGRGNDILVSSHVTLTGEFCQDVVVPTKSRHHHILTVLHPV >KGN56101 pep chromosome:ASM407v2:3:3932069:3932746:1 gene:Csa_3G073910 transcript:KGN56101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDVHYTYNNKIVAEDVYKASLILYDMALSKSVEPFEEPIDRFNNQLSSTSTSLVNEQSPVVNEHAPVVNEVLPNNDDDNGNNENVAAAVVVGRDQGRFPPMAVIQNIIGECSPPFVKQLTKTDVTDNQGRLALHKEFVNLNLDPMFNDDEDLEDGISVIVYDIEGREYDMIFKLWASKLYVLTKSWKEFYKTNDLTQPGEFISVWMFRHVVTQKLCFAIMRGQA >KGN58751 pep chromosome:ASM407v2:3:27522780:27523946:-1 gene:Csa_3G731130 transcript:KGN58751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGHHHPHPHPHPHPHPHPLLHLHQQQQIQHPPPPPPHLHQSLAVDQATTATAIADRFPQWSIQETKEFLMIRAELDQTFMETKRNKLLWEITASKMKEKGFNRSAEQCKCKWKNLVTRYKGCETMEPEISRQQFPFYTELQAIFGARRERLLWAEAESGGSGLKKKMMVLSSDDEDENDDSDGDGGETKVSGRKRKKVKGTTMGGAEGSGKSSMNELKEILEDFMNQQMQMEVQWREAFEAREKERQMKEMEWRRNMEALEHERIMLERRWREREEQRRMREEVRAEKRDALITALLNKLRRDDHI >KGN56978 pep chromosome:ASM407v2:3:9872232:9876649:-1 gene:Csa_3G146630 transcript:KGN56978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRCSFIITPHYWTDQTLKFSGCLVEFFEMSGNPAKTEVLEDTNGCSSGVNKNELILRPVSQDESGEGLPYAPENWPNPGDNWSWRVGKRVAITGHFLDRYLYSPRGISASENSARKGQSFASKLSVERYIQSEFPNADVEAFFASFSWKIPAKKSSSAQGTRVKHIPCSLPSKKIEECSASASQNDKVGCKAGNKNCYSLSVSENPSSSKSMSCGICCSESRFCRDCCCILCCKIIDTTVESYSYIKCKAVVGDGYICGHLSHIKCGLKSYTAGTVGGSIGLDAEYYCRRCDARTDLVSHVERFLQSCQSADCQDDVEEILNLGLCILRGSHKMRAKELLRHIELSIEKIKTGTCLEKIWKMEEDSSANCTDAPDTADSTESSHETSDSLISSEWTMSTPFDHWIESLKLEDEIDQVLHGLKRSQEFEYNLAEEKLLLHKNYLHNLFQQLEKEQTELRHQAPSTGQNAVSNRVDQIKREVKRLKRMEKIADGFGMTPKDILKEDFDLDVEIEIRK >KGN60111 pep chromosome:ASM407v2:3:36923068:36925588:-1 gene:Csa_3G878800 transcript:KGN60111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGRASAATVSRMRSSSERSSSSAFQLDVKEDIGAESDEEEISRVPQICGNSGSTVGISAPGKAPASDSVRSRGRSAAEKESKRLKRLLRNRVSAQQARERKKVYLSELEERATNLEKKNSELEEKLSTLQNENQMLRHILKNTTTNKRSDGDAAKS >KGN57207 pep chromosome:ASM407v2:3:11395190:11403532:1 gene:Csa_3G171150 transcript:KGN57207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFGDEDDDWNLTPEELDSLERDAVQKIAQLQNSAAASSSSFNAFVPCSASNQHPHQSFQSNTHFNSGVNKAKISNPNAHDSAFQPSQLNPVLGYRSRAVENASPLAGALPPSAAAKEHVGDEGAKERPKLSVKFFLHSSGNVAAKFSYDQVLIDAVRKIPKATWNGKERLWMFPVSSLSVAESVLRDVVGFKVEVENLDNLVHRAIVAASLVPDLRDKYNKLPADIESMLLPFQREGVRFILQHGGRALLADEMGLGKTLQAIAVAACVREAWPVLILTPSSLRLHWAAMIQQWLKIPSSDIHVVLSQYCGSNKGGFTILSSSSKSSLHLDGLFNIISYDVVQKLQNILMASEFKVVIADESHFMKNAQAKRTVACVPVIQKAQYAILLSGTPALSRPIELLKQLEALYPNVYKNVHEYGNRYCKGGTFGLYQGASNHVELHNLMKATLMIRRLKKDVLSELPQKRRQQVFLDLAEKDIREIRALFCELEVVKGKIKACRSEEVESLKFQQKNLINKIYTDSAEAKIPAVLNYLETVIEAGCKFLVFAHHQPMIDAIHQFFQQ >KGN58082 pep chromosome:ASM407v2:3:21183139:21183378:-1 gene:Csa_3G496860 transcript:KGN58082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKLGEMEEAKIELEEKLKQMEVEKDELEERLEMMEIERDEANQMLAKMETKQYELGQKLVKMEEEKVEMGEKLMTID >KGN58416 pep chromosome:ASM407v2:3:25054105:25055108:-1 gene:Csa_3G640580 transcript:KGN58416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIRTVLSLLVVNVGGSAASGEEPSDANEEEIDEANKSTEEGKVPHYDDHLTPAERRYIEQKERIDVHRLAKKANKSHRDRIQDFNQYLANMSEHYDIPKVGPG >KGN59206 pep chromosome:ASM407v2:3:30196594:30201104:1 gene:Csa_3G781560 transcript:KGN59206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHIQQPSQGQTATVSPSTHSPPSPDDAPPKQVALAMDRLGHAARLIADIRLGADRLLEALCVTAQPHQSSKPLHLFQKEDASMRQHLLDLRAVGKQLEESGVLSESLLSRSNSWGLHMPLVCPDGAVVAYAWKRQLAGQAGASAVDRTRLALKAFTDQKRRFFPHLEDENASNNEPALKKPCFSHGVTDVKQNELIDSRSLSDILSCMEKEVPELKIFTYERLDWLKQASALPSQANENSIGALKEHSYHSPRMSPGALGATPADKAGVIELIIPSVFRAVVSLHPVGSVEPDALAFFSPDERGSHVHARGFSNYHLFRHVTEHAATVLQYFLGNQPKAALYPLLLWICSYQNLFSKACSKCGRRLSVNKQSDLLLPPAVRPYKQFCASKNSSVVPITSLKDQKLDSVQAFHIDCFSEEI >KGN58780 pep chromosome:ASM407v2:3:27676920:27679038:1 gene:Csa_3G731895 transcript:KGN58780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVQSCVLNPFSFLNLFPFVLGFRFRVITKLSFRPKLYYSLVKQKKESGEESIIQFFKELRMWDSPWKVVGGLILLGWVFWAGSLIEAALAALYHQTVIRAIPFKSK >KGN58787 pep chromosome:ASM407v2:3:27707615:27707938:1 gene:Csa_3G732450 transcript:KGN58787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTKEWGLIKQLSAVGRLKMIDSVGSKAQVERLDYAQIDMSFIYGCQMNDAPGTFTYPLENFGPHKKTLKNMLTTPLILGLQLKGLREDYVFLYVQIATGEEFWLKD >KGN56940 pep chromosome:ASM407v2:3:9663431:9666688:-1 gene:Csa_3G145770 transcript:KGN56940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRVREKGLGFLLAAFLLLSLIAGIDSISVTVNEVECVYEYVLYEGDSVSGNFVVVDHDIFWGADHPGIEFTVTSPAGNVVHSLKETSGDKFEFKAPRSGMYKFCFHNPYSTPETVSFYIHVGHIPNEHDHAKDEHLDPINVKIAELREALESVISEQKYLKARDARHRHTNESTRKRVIFYTVGEYLLLAVASGLQVIYIRRLFSKSVAYNRV >KGN58057 pep chromosome:ASM407v2:3:20962963:20965665:1 gene:Csa_3G477700 transcript:KGN58057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSDSDSYLQSGSLGKHISSSLFSIPGFFAGLGSKSSVDSDSLRSPTSPLDFRLFSNLSNPFGFKSISSAETESGRQNKFVSSEVGLGIINSIVVDDCGTTSEPRDSNWRKNVIFGPQIKTKISKSSNHYIKYLGSSLKSYSLPSNYTISSLSKAKIPSSNSGAIDNICGNGEFSALESEPPFENNASFLSNAASFSSSGIDLTQNSDPSTENFPLESNNTIFPMINNSPQRENSLPIKSCSLPITIGSSNAYVGSLTAREIELSEDYTCIISHGPNPKTTHIFGDCILECHTDENIGSSTIEEPGIESSPLGSCPEGFDHGVVDANLQICYSCKKVLKEEHDIYLCRDGKAFCSSQCSSEEIFGEHKLNKTSKDDSESSAGSSYHEEDLFIMGLPFAL >KGN58198 pep chromosome:ASM407v2:3:22611017:22612192:1 gene:Csa_3G589560 transcript:KGN58198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCIAFPSNQSSRIKKRTLLPSVPTGIHIRRLKSPNLPTAVFNLSRPIPSIPSSTRFSSIFQFPLRFPFFFSNSSGIQGSDVLFITLYLCLNFDFKFSASLAASDLPSSIGFFLSSSLVLGFLFRFNSVPGVLFRRAPRIYSKVCQATVEVYDHVRVQLVTLFLKKRN >KGN56418 pep chromosome:ASM407v2:3:6744339:6747280:-1 gene:Csa_3G119510 transcript:KGN56418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDETRRRIEENVIEVLKKSSMEDTTEFKVRSQVEERLGIDLSNKQCKLLVRNVVESFLLSMSERVCMGKEDEPGPSVRYENKAVEQKIVPKKEFNDDGDLLICRLSNNRSVTIHKFKGAPMVSVRQYYEKDGKQLPTLKGISMPTEQWSVFKSNIPAIAEAILQMKRNKRSEHDAEKIGAFSNPTTRVTSPKYPIETIRFDGKNYNAWAHQMELLLQDLKIAYVLSNQCPTAVLGEESSSGNAAQSKAAEQKWMRDDHMCRRNILNSLSDRLFNEYSKKTMSASELWKELKLLYLLEEFGTKRSQVKKYLEFKMVEEKSILEQVEELNHIADSIGSSGTVIDEDFHVSAIISKLPLSWKNVWVNLMHEQYLPLRKLTDRLRIEEQLRTQKNSRLSGVSSSPTPRGQHHAANHPSKMGDPKPVTVPLRKKECQKEVKTLLCLDCGKEGHTSPNCPTKKVNNEVPRQRT >KGN55768 pep chromosome:ASM407v2:3:1190986:1191590:1 gene:Csa_3G011700 transcript:KGN55768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYCQGFVQVNPSHHVGAPLLPTSTYEGQQYDYQFTIIQIEGNWWVLVGENLGLGYWPKELIQNLVDGADQIAWGGIAQPSIDGVSPMLGSGHKPNENGDYNEGCYIRNIQIISGAATNTYVLPTWDNTLSYSSNTSCYDLNPNVNCGYDMMEYCFTFGGPGGPNCEATIF >KGN56391 pep chromosome:ASM407v2:3:6608603:6611364:1 gene:Csa_3G119250 transcript:KGN56391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNLSSIEAPTEKHYHHYSACNPIPSPALYILIPLFILGFSVSIFVLVVVHNAFFFISLLFLSIFLSAFALWNSLNFSSKTAILSFLHSLPDSDLTLAQEGQLVKISGFASCGTVSLESSYEKATGCVYASTSLYEYRGMPMIFQKITQPYCGWRLVYSERFSTDFYITDRKTGIRAMVRAGPGSKLVPLIIESKLVNTTRHRKILSPSLRKWLREKNISTEARILRLEEGYVQEGSFVSVFGMLHRNNGQITIVQPPDVISTGCVWRKFLLPIYIDGLVLGVSQATGPLLGPGSLYHHEQFADI >KGN60137 pep chromosome:ASM407v2:3:37100580:37102868:1 gene:Csa_3G880030 transcript:KGN60137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVATYSKPQPIGTEENQHMTCRGKEIRTVYTEQFDSPALPFEKSNDPQKVLEREYMQHGCAHYRRRCRIRAPCCNEIFDCRHCHNEIKNSICVDQKERHEIPRHEVNQVICSLCGTEQEVRQDCINCGVCFGKYFCESCKLFDDDTSKKQYHCNGCGICRIGGRENFFHCHKCGCCYSILLKNSHPCVEGAMHHDCPVCFEYLFDSTNDVTVMPCGHTIHQNCLKEMRDHFQYACPLCSKSVCDMSKVWEKIDMEIAATPIPEPYQNKMVWILCNDCGKTSKVQYHVVAQKCLNCKSYNTRQTRG >KGN59215 pep chromosome:ASM407v2:3:30272524:30273957:1 gene:Csa_3G782630 transcript:KGN59215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEQIEVPHYFLCPISLQIMKDPVTLPSGITYDRHSIETWLFSGKNSSCPVTKLPVSDSDSDLLTPNHTLRRLIQAWCTLNSSHGVERFPTPKPPIHKSQILHIISTSNTSPSSQISSIRRLRSISAESETNRRCVEFAGAPEFLVSVIVGSDSSASHEALSTLHNLRLSDSTFKSLATRPEFLESLTDFMKLQQGTHESSRTYAVLILKSIIEVAEPIQLSFLKPELFVQIVEILKDRSSSQQIFKAALGILIAVSPLGRNRLKAVEAGGVRALVEILLSSPEKRVCEMTLTAMDILCGCADGRAALLAHGGGMAVVSKKILRVSQLGSERAVRILYSVAKFSGSPAVLMEMAQLGIVAKLCLVLQIENGGKTKEKAKEILKMHSRLWKNSPCIPSKLASSYPTN >KGN59341 pep chromosome:ASM407v2:3:31175063:31179466:1 gene:Csa_3G812130 transcript:KGN59341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLILGGLQGFILLGQYLIEITSFIAAAPSLGNEIGVVSALHHSGGEIRWLPRRIAAVHFISRDILHEPTGSQKPRIARCYLIATLSPGKSRSVVDFSLLAFSNSDPLLRVLGNLIQGGNVVLISCEL >KGN60035 pep chromosome:ASM407v2:3:36426105:36426899:-1 gene:Csa_3G872170 transcript:KGN60035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPKIIVCLLASSLLVLCFVEADDQMVVGVAASSPTKTQNIDCGGACASRCKLSSRPNLCHRACGTCCARCSCVPPGTSGNYEACPCYATLTTHGGRRKCP >KGN57085 pep chromosome:ASM407v2:3:10523417:10529148:1 gene:Csa_3G152120 transcript:KGN57085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAISICFAPQALARPRVRNPKSTPSPKKLRGNNKLDRNSVKIKTNSKELSSIGVEATTYTRLPPREDFAILSFDSPSFGSSSSSSSSKDSSEVKLLDSDVAIIEEEDDSDFLKYEDGEEEGDGGLDGKLGFENGYEKFEVFEGEYDAEMEEYGDSDVEEEDEEGEKVLAFRKGKFVNADEAYDPGEEGSSFIEIEDGKEKGVPAVMRCFDRAKIYVKAGDGGNGVVAFRREKYVPMGGPSGGDGGRGGSVYVEVDESMNSLLPFRNGIHFRAERGSHGQGRMQIGAKGEDVVVKVAPGTVIRDAFSQEVLLELLQPGQRAQLLRGGRGGRGNASFKSGTNKVPKISENGEEGSEMWLELELKLVADVGIVGAPNAGKSTLLSVISAAQPAIANYPFTTLLPNLGVVSFDYDSTMVVADLPGLLEGAHEGFGLGHEFLRHTERCSALVHVVDGSGPQPEYEFDAVRLELELFSPELAEKPYLVAYNKMDLPEAYENWPAFKEKLQARGIEPFCMSAVKREGTHEVISAAYQRLRENKENNKAFQGGKIPENLDHVADRIHKQRSASIDDYEIINDSGSWHVVGSGLQRFVQMTNWRYTESERRFQHVMEACGVNKSLRKLGVKEGDTVFIAEMEMIWHDSPNSSGPSNMKKRSDSVKWPEWK >KGN58732 pep chromosome:ASM407v2:3:27421473:27421889:1 gene:Csa_3G730955 transcript:KGN58732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLEENLAATYYWISPESEELIPGKYFVEILQINLNYQDAAKSKLGNPHNYQEPVRKKCYYPDEYLIDTEVSQGKNQEVLKEHSQISSALSDLTMKTIRPCTIPFLVPISLHHSKLVLPNCSNSEQKSSNLGSQASV >KGN59939 pep chromosome:ASM407v2:3:35669737:35674012:-1 gene:Csa_3G855330 transcript:KGN59939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSYMSKLRAPVKFLGWEGGLKSSLELLLGHQKLLCGNSSLFHSVPCSSLTELHALLRPGAISGASSELVNRRRNISVLGAISRTFSIPSVSGPALQTCGYHIDCAIAQSYQYSTHSKCQDKPMAACGSRATLGECSLDKLSFRNAAYLSPSAITAGISFNRSVDSCRKASMSLKNQEQPNNNGIYGYVTYNVAKRFCSSYLNTVPGVKDLHSSSTSQFAAGSAPNVSFDNSAREEQLANSTDSSEQKISMGKSLKLVSGSCYLPHPDKEDTGGEDAHFICVDEQAVGVADGVGGWADLGVDAGQYSRELMSNSVSAVQEEPKGSIDPARVLEKAHSKTKAKGSSTACIIALTEQGLHAINLGDSGFMVVRDGCTIFRSPVQQHDFNFTFQLESGNNGDLPSSGQVFSVPVAPGDVIIAGTDGLFDNLYNNEITAVVVHAMRAGLGAQVTAQKIAALARQRAQDKDRQTPFSTAAQDAGFRYYGGKLDDITVVVSYVTSSNDK >KGN60403 pep chromosome:ASM407v2:3:39088047:39089826:1 gene:Csa_3G902940 transcript:KGN60403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFFRKIAGILGFSKDDSHDVKNEDDDVDSDTHPPDRVHMQATGLPRRGFGVPVQVAVNRSNPGPILLPSSSGDGGVQGLTWYAKGLRIDEDGDVAEQFLEEVIPEVQTSTTNHPKPFPRFQINNRNRPAKVENQVILKEGKLQQCIEHQGRLLLV >KGN56815 pep chromosome:ASM407v2:3:8939816:8941567:-1 gene:Csa_3G134640 transcript:KGN56815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAFFPSDPTPLPAPPLAAATKVVAKSDPRIDHAQAIKSPATECVVYNNLITRYSKANLLHYSARLFNQIPFPDIVSWTALISAHSSTFLSLRHFVSMLRYPTFPNERTLAPLFKTCASLPCVSFGFALHSLAYKLSLCNGPYSGSALVNFYSKCRLFNDACKVFDEISYRDEFCYSALVVGLAQNAQSIRALSMFRQMKASEVASTIYSVSGALRAAADLAALERCRVIHSHAVVTGLDTNVIVQTALIDGYGKSGLIIDARQVFDENLGCMNIVGWNAMLSSYAQQGDQNSTLEVFNSMKPFGMSPDEYSFLAILSSFCNSGLVSEIKPWLRRMIVEYGVKPTLEHFTCLIDALGRTGKLEEAERVAMTMPFVPDEAVWRALLSSSASHGAGDMAWTMAKRLLELNQHDDSAYVIVSNALSVAARWEEVALVRKLMKERHVKKISGKSWIEVRGEAHVFLAGDRNHERAEEMNAKLKELVGEIEKLGYVPVCSETLHKVGEKERKEALLYHSEKLALAYGILTGVAPPGKALRIIKNLRICRDCHLFFKYASRVLKKEIIVRDINRYHRFSYGSCTCADIW >KGN58276 pep chromosome:ASM407v2:3:23442029:23442381:-1 gene:Csa_3G604120 transcript:KGN58276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKHARLDCKKEFADKETKDVDELLSNQKARDLSGGDNVLTQALSQKDRSRIVRRVGKYVTKKKYFHTPTASKSKQSNEKKTVYVTT >KGN57298 pep chromosome:ASM407v2:3:12066152:12068971:-1 gene:Csa_3G177910 transcript:KGN57298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSHGYYLPRIPITRRFRTHPFVFIPSSLPFCSDSTSTSTSTQNQNNFNQFEHVSSLQEVTLSTTSSQHSNPLEPLCLELVQKLRILLQQGRTGAAESLIKSVILSKSPFSSPSDLIPLFSVHAPSLNHVFSKTLFMVFLDLKMTDDAIRLCTSMKKNGVVPAVVDTLNVLFKLLMSSKEFKKTLDFFSELVESGILPDKFMYGKAVEAAMKLGNMNKACDLVCCMKKIGIDPTFFVYNVLISGFCKEKKMVDAQKIFDEMITKNMSPNLVTYNTIINGYCKAGKLDKAFSLKERMKLENLGPNLVTYNSLLSGLCKARQMEEAKKLLVEMETHGFAPDGFTYSILFDGYLRSGDGEASVVLFEEAVKKGVRINEYTCCILLNGLCKDGKAEKAEEFLTKLMMNGLVPNEIIFNVLVDGYCRKGNIDGAISTIQRMENQGLTPNCITFNSLIHKFCEIKEMDKAEEWLRKMMEREVCPSIETYNTLLDGYGRMRLFDRCFQVLEEMESKGIKPNVVSYGALINCLCKVGRFVEAEAVFADMDGKGVFPNAQIYNMLIDCNCTSGKMQDAFKTFDEMIDRDITPTLATYNSLINGLCKKGRVIEAEELANQITKSGLTPDVITYNSLISGYCSSGNSKKGLELYETMKKQGINPTLITYHLLISGRSKVGLDTMEELFNEVLHRDLALDKVVYNGLIFCYVENGDVQKAFVLYNKMIVEGVQLDKITYNSLILGCSRGGKVTEVRKLVEDMKARGLTPKADTYNILVKGLCEFGDYSEAHTWYKEMFENNLLLNSPVRNQLIDGLKREGRFQEARLILSETYV >KGN59714 pep chromosome:ASM407v2:3:33757340:33759777:-1 gene:Csa_3G840400 transcript:KGN59714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESIAAAVATVSLEEDDWELCNDDGFVYKRKRRRLDPAEPVAARSSAAQAVDLEAEENRRRDRRRKTLLKVRAKYQREIEQWEVLSGTLREMEERARNLQEQYRRHGEQGPPSLLEASSLTVVREKELSYASMVDDLLSQVEAQDALISNVSKFCDIAEALCQTEEDRLKQRLIDLPIWGSPRELLASLCDE >KGN56653 pep chromosome:ASM407v2:3:8022100:8022385:1 gene:Csa_3G127160 transcript:KGN56653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLLSEIPHAPNTKHYTTSFSAGDVERRQPTDRVLPVGKWAAAQSAIKADLAYLDRTINCREASERELDSLLFSETLCLECVRQNASN >KGN59406 pep chromosome:ASM407v2:3:31670432:31675422:-1 gene:Csa_3G816680 transcript:KGN59406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSFPPPGSVTICEINRDLITADCLSDDRANDTYGKILGMVFSPVPFQSDFLVSPTPEPKNEPRNDEVNGEIIQRKGVIASLQGFIEGSVNRLLRPNDVKYLPTEYLQGVSWHQHKHIIAFISGTNQVVVRDYENAEGKDPCILTHDLQRDVKVLEWRPNGGRTLSVACKGGICIWAASFPGNAASVRPGAVSFLGSFSRGSGVRYTLVDFLRSHDEQISALSWSPDGRYLASATYESSSFTIWDVAQGLGTPIRRGLGCVSTIKWSPTGDYFFAAKFDGTFYLWETNSWTSEQWSSTSGFVTGAIWDPEGRMILLAFSGSSVLGSIHFASKPPSLVAHLLPVDLPEITTSTNSQGIEKIAWDASGERLAVSFKEGDKLSNGLIAVYDVKRTPLICPSLIGFIRGPGDNPKPVAFSFHGKLKQGPLLSVCWSSGFCCTYPLIFRSHVVP >KGN60250 pep chromosome:ASM407v2:3:37991530:37994560:-1 gene:Csa_3G890060 transcript:KGN60250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAWDEHTVTNSDRQPDSDNQYSHFNFDFFSAIVKPKDYYKILEVEYDATDDDIRSNYIRLALKWHPDKQKDKDGATSRFQDINEAYQVLSDPSRRQEYDEKGMLYVNDDNIVDYLKRYKSLILTCNGLGMKYSIW >KGN57976 pep chromosome:ASM407v2:3:19864314:19866791:1 gene:Csa_3G417680 transcript:KGN57976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIHFRTRIRFPDHSNHKPSGYFFFISRFISKSLSESTYDPPFSPVSKLQKAKKKKEKAHGGNSNSNENPTLPLKSYLPFDFFYSYSETNPLVSPIGYRESPKFSPFGPGRLDRKWTGTSAPSAVEVNINEVLEERKRILGDPLLDEEVAELVEQYRHSDCSRQINLGKGGVTHNMLDDIHNHWKRAEAVRIKCLGVPTLDMDNICFHLEDKSGGKIIYRHINILLLYRGRNYDPKNRPAIPLMLWKPYAPIYPKLVKNVADDLSFDETKEMRSRGLNSPPLMKLTRNGVYVNVVDRVREAFKFEEVVRLDCTHVGSSDCKQIGVKLRDLVPCVPILFKNEQIILWRGKKDHEEDMNS >KGN59884 pep chromosome:ASM407v2:3:35212754:35216425:-1 gene:Csa_3G851830 transcript:KGN59884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDRTPGLVLKRSLRKKATSRNYDEDLMDDFVEKHIGGVSKKKKKGKTAKDLEKETEIEAMIALSVGFPIDALLDEEIKAGVVKKLGGKEQNDYIVVRNHILARWRGNVRMWLSKGQIKETVSNEYEHLISAAYDFLLYNGYINFGVSPTFTSQVSEEASEGTVIVIGAGLAGLAAARQLLSFGFKVIVLEGRNRPGGRVYTQKMGQEGKFAAVDLGGSVITGIHANPLGVLARQLSIPLHKVRDNCPLYKPDGTLIGKDIDAKIEFIFNKLLDKVTELRKIMGGLANNISLGTVLEKLRQLYAVARSKDERQLLDWHLANLEYANAGCVSNLSAVHWDQDDPYEMGGDHCFLAGGNWRLIKALCEGIPIFYGKVVDTIKYGNGGVEVIAGDQVFQADMVLCTVPLGVLKRKHIRFEPELPKRKLAAIERLGFGLLNKVAMTFPHVFWGEDLDTFGCLREHCHQRGEFFLFYGNHTVSGGAVLIALVAGEAAEVFEYTDPTVLLHRVLGILRGIFSPKGIDVPDPIQTICTRWGSDPFSYGSYSHVRVGSTGNDYDILAESVWNRLFFAGEATTKQYPATMHGAFLSGLREASCIYHATRGRSNNSRKYMAKSLRHDILADLFRKPDIEVGNLSFIFNSSLDDEKSMGVMKITFRGKGENCNNEELADDCEDPLQQQLLLYTIVSREQARELQLAIGEDEGRLSYLVKDFGLKLMGPSALANVGHSLISSIAGARRGRGRNRWSAGQPQLQMQSQPQQQMQAQPQLQPQLQPQAQPQLQPQPQPQLQLQPQPQPQPQLQLQAQPQPQPPQQLQVQLQYRSQPQPQLQLQPQSVLLQLQPQPQSQSQSLLQPQSQPQPQLQPQPQPQI >KGN56447 pep chromosome:ASM407v2:3:6881012:6881269:1 gene:Csa_3G119770 transcript:KGN56447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFLFSVLLKKVRERERERVIEVSTCVLQWRLERDTDVDAMEDSNRRSNIYIGVAYPPVADDVQVRPRNKKGHEMTVTSLGIEVE >KGN57697 pep chromosome:ASM407v2:3:15823165:15844536:-1 gene:Csa_3G254030 transcript:KGN57697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELRMKVSQAVHVLNHDTQSCNRVAANQWLVQFQQTGAAWEVATAILTSDHVQPSMSSFVPDLEVEFFAAQILKRKIQNEGYLLQLGVKDALLNALLVAAKKFSSGPPQLLTQICLALSALILRTVEHGKPIDRLFYSLQNLQSVDNGNLAVLEMLTVLPEEVVDSQNVDCKISSSCRSQYARELLLHTPMVLEFLLQQSEKGFDCGTQSQEKNRKILRCLLSWVRVGCFSEIPQGSLPTHPLLNFVLKSLQDVASFDLAIEVLVELVSRHEGLPQVLLCRVHFLKEMLLLPSLSTGDEKVIGGLACLFSEVGQAAPSLIVDASAEALALADALLSCVAFPSEDWEIADSTLQFWYCHLLAKILNFF >KGN55670 pep chromosome:ASM407v2:3:550561:553515:-1 gene:Csa_3G002890 transcript:KGN55670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELKNHTFRGTKRPSSDGSDDVKLADSKKPTAMISSLLEDPAAAIANTRHEFGEHGGVNMSIEASATFTVMEPETMRRMFAGELGPDRDFFIYSRHFNPTVLNLSRQMAALEGTAAAYCTSSGMSAIAAVLLQLLASGDHVVASRTLYGGTHALMAHFFPRTSNITTTFVDIGDLKEVEKAIVEGKTKVLYFESVSNPTLAVANIPELCRIGHEKGVTVVVDNTFAPMILSPARLGADVVVHSISKFISGGADIIAGAVCGPTKLVNSMMDLRQGSLMLLGPTMNAKVAFELSERIPHLSLRMKEHCRRASVFAERMKKAGLKVVYPGLPDHPQHQLMKSLASPEYGFGGMLCVDMGTEEKANKLMSILQNTTQFGFMAVSLGYYETLMSCSGSSTSSEMSGEERELAGISPGLVRMSIGYMGTLEQKWSQFEKALAKVQDNGVPFCNN >KGN60356 pep chromosome:ASM407v2:3:38788361:38792282:-1 gene:Csa_3G901010 transcript:KGN60356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRSRFLSRFPAKRSMRAPRMRWTSTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKTTDRAAASSGQSDLYENASSGDTSNIEDIIFDIQKPTIGRQNENSIQQTSRQNPHQDKDYHALWSNSSREAWLHGLPNDSSRDLSSSLENDVDPKSSNNERLSEISSSTDIICGVTNNNPLKPNLEFTLGRPI >KGN55583 pep chromosome:ASM407v2:3:60257:66944:1 gene:Csa_3G000070 transcript:KGN55583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRHMEWAGRMGYMWGIPRKMLFVAVGAFAKAVANVLNTTTVHNADTLIRLVQHRPPGVPLVTVSNHMSTIDDPVMWGFKGFPTSDATLGRWVLAAEDICFKNVVLSYLFRLGKCIPVTRGAGIHQEYMDEALEHLRNGEWLHTFPEGKVTQDDVPIRRLKWGTASLIVRSPITPIVLPIVHRGFDEIMPENSLFGRRPPVPLCCKKIEIIVGEPIQFDIPSMKQMAISMSRNWASPLLGWPATGEQTRLDEPAQRFLYGHISDQIRSVMEKLRALSLQKRS >KGN58446 pep chromosome:ASM407v2:3:25266922:25269795:-1 gene:Csa_3G644850 transcript:KGN58446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRSTRLVDKANKHFEKITSSVTTKSSRSNRYVVSEGTLKAHRRSQKRTPNNEVKLNEVMFSPSFEQLEGKKRKTYNKRSMVKRATASKNLKPEEGINKKGSGRLRKRVYYQKVVFDGGEFEVGDDVYVRRREDASSDDEDPEVEECRVCFKSGNAIMIECDDCLGGFHLKCLKPPVKVIPEGDWICGFCEAAKMGKEVQLPKPPEGKKRVRTMREKLLAGDLWAAHIESIWKEVTGNYHCKVRWYIIPEETAAGRQPHNLKRELYLTNDFADIEMESLLRLCEVMNPKDYYKAKEGDDIFLCEYEYGVRWHSFKRLAEIDKEQDSEAVDSDAEWKLDQNVDSDSDGDLEYEEERAQILLSRNYSSSTHELAANSRKGQFCGLQKIGAKKIPKHTRCHKQTELERAKATLMLASLPKSLPCRNKEIEEITTFVESALCDDQCLGRCLYIYGVPGTGKTMSVLSVMRNLRAKVDTGNLRPHCFVEVNGLKLAAPENIYRVIHEALTGHRVNWKKALQLLTKRFSDVNSCRDDERPCILLIDELDLLVTRNQSILYNILDWPTKPQAKLIVIGIANTMDLPEKLLPRISSRMGIERLCFGPYNYQQLQEIILSRLEGINAFEKQAIEFASRKVAAISGDARRALEICRRAAEITDYHLKKHHMKQLSLISNTAKTHVGIAEVETAIQEMFQAPHMQVMKSCSKQSKIFLTAMVHDYYKTGLGEATFEKLAMTVSNLCTSNGEEFPGYDALLKVGCRLGECRIILCESGAKHRLQKLQLNVPSDDVSFALKDSKDIPWLAKYL >KGN60446 pep chromosome:ASM407v2:3:39482267:39484957:1 gene:Csa_3G912300 transcript:KGN60446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALILARERLLHSRLSTIFPLKSRLVSALQSFALISACREKLIFLKFFGNSRVTELWYTKSQVPFFRCVSTYVHPTKLCWGGSSYDVLLGKLEIALKDHQIDEAWELFSDFRKLYGFPNDNFLLMLVSQLSYTSDCKRLHKAYNLVLQNWKEKPVVLQLDTLTKLVLGLARSQMPIPASEILRLMLQTRRLPRMELLQLVILHMVKSEVGTYLASNILVQICDCFLQQATSRNDQAKSMKPDTMLFNLVLHACVRFKLSFKGQQLVELMSQTEVVADAHTIVLIARIYEMNDQRDELKNLKTHIDQVSPSLVCHYCQFYDALLSLHFKYDDFDSAANLMLEICRFGESNSIQKHWRELQKSSFLPIGSRHLKDGLKIKIMPELLQRDSVLNVEVKPEFINYKNGKLVASNKTVAKFIVELRRVGETSELSKLLLQVQKGLASVEGSNLCSDVVKACICLGWLETAHDILDDVEAVGSPLDSTVYFLLLKAYYKQDMLREADVLQKQMTKVGLSISTTEDMASSTCSSSRILLPNIEVATHTSLVESLIQEMKETSSMSRVLKFNSSIYFFCKAKMIEDALQAYKRMQQLGIQPTAQTFANLVFGFSYLQMYRNITILWGDIKRRMQSTHLVLSRDLYECLLLCFIRGGYFERVMEIVGRMEEQNMYTDKRMYKREFLMLHKNLYRSLKPSEAKTEAQKKRLEDVRAFKKWVGIY >KGN57542 pep chromosome:ASM407v2:3:14123254:14124091:1 gene:Csa_3G207910 transcript:KGN57542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQEFPKQLPTSLNVCREFPNNHDVVQYASRVPKHLLTLTSIVGNWPPCHNVSQHCVESFQTNLNALVVRCASKDTISPTTPIGMSGDFVFFLSSPSYLSSSSSSPLLRISPVVFVSDFAHSPSLLTLAIRLHRQRPPSPCRLFPRCPSP >KGN57728 pep chromosome:ASM407v2:3:16264809:16266129:1 gene:Csa_3G264750 transcript:KGN57728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDRQRWQPEEDALLRAYVKQYGPKEWNLISHRMPNPLHRDPKSCLERWKNYLKPGLKKGSLSPEEQSLVISLQAKYGNKWKKIAAEVPGRTPKRLGKWWEVFKEKQLKQLHKANNLTQSSLDPNLPISLAVSSPEKALQGPYDHILETFAEKYVQPKLYPHPNSIPDADPLLSLGSVTSTTSSSTLLPLWMNVNSTSTASSSTCSTTPSPSVSLTLSPSEPGCLESEVNRIGALVQYCKEVEEGRQSWVQHKKEASWRLNRLEQQLESEKARKKREKMEEMEAKIQRLREEERVYLGGIERDYREQLNALRREADCKEAKLVEDWCNKHSKLAKLVEKFGGHGLLGVSKDIVH >KGN55873 pep chromosome:ASM407v2:3:2156966:2158002:1 gene:Csa_3G020610 transcript:KGN55873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMPYFFLILLFFSSTLHACDASRLRFFQSSNFVSRINELHSMSQGIWSINHDQEGSFDKNNNDNILHNKENIIGIEMLKGMKRDGSRLVMEFPMKEKVMNSKENEVGEDMVVMDYAQPHRKPPIHNEKP >KGN56432 pep chromosome:ASM407v2:3:6806853:6810388:-1 gene:Csa_3G119650 transcript:KGN56432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTAQRAQDTKKALDETENNLSNNNKTEAPITDAGSVSGSNNDGKKVSHQDIEFVQNLIERCLQLYMNRDEVVKTLLNRARIDPGFTSLVWQKLEEENADFFRAYYIRLKLKKQILLFNHLLEHQYRLMNYSMPPKVPLAPMQNGIHPMSVNNLPMGYPVLQQPPMSMPGQPHLDTMGSGISSCHVVNGVPAPSNFHPIRMNSGNDFGLEIKQEELHPVIRNCINFHGTIDLWLRHLGPLGNYPGSPFLPSDSEILLDSPEQEDIVEEFFVDSVPEPPDEEKS >KGN59658 pep chromosome:ASM407v2:3:33369848:33371086:-1 gene:Csa_3G836430 transcript:KGN59658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSRRRSQNPQFPSHIIETILSKLSFFILLNLRPVCKAWNNLILTSKLLNLNSKANLFAHITPYQKLYCIDFDSKILKEGKKNCIVRSFTFHPRFFTFKMVNSCNGLFCFVNTEMISDQCEKKSFGLKQPLAIILNPMTNEELSLNNSLKFYPGSSFGFGYSPNKKQYKIVKLSLIKIPHLRSSYVASHLRSSYVAEIFTIGKFARGRHGKWRQNRVPAVRVLWSFGVYLNGSLYWKAYNTTRIRANAVLLRFDVEDEKFEVVSFPQVVRDDAFLISSNIWIFNNTLYVSYFDSKIDMGSFHVWKMMEEDYSWVKLEQKFAIMKSIKDHFSLMMVSYIRDYMIRCHFQLIKVFEDETMLFLISQRALILYDSKIDQFEAVHDELNQDQDGKLCIHEIDSLNFDSLAKTLGVN >KGN57545 pep chromosome:ASM407v2:3:14150978:14154438:1 gene:Csa_3G207940 transcript:KGN57545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPILHKHTEITDLPSSEMNQWRPGKKEKLFRRKTWVSSTQPTTSYDQDAVHSFSQPRRVKPKRTTVDSLYHDLEAQSVVMARAKEVQAKLSPRNPSLIKVMLPSHVTGGFWLGLPKGFCDIHLPKQDTAMVLEDENGKLYETKYLSDKTGLSAGWRGFSIAHKLLQGDVIVFHLVMPNKFMVYIVRSNSAAKVDGALGLKYEASYKQTPIYSKENIPHIKEEQITVEDENAEETNVVPVKEETEEDHNPVSHPMDIHEEREQTNSHKLLDTEMMPVLEESENERRSSDSNSMNGIHLSDSRLSFDKVNSLDDFIISVNGLIIDSGFSNHIRAKYYELCCSQKSFLHDHILEGLNYKLVSGIISETINIADAIKASKVTISQEHLVTWDKTLTAFEGLGMNVGFLRVRINQLLTLSQKPEKKREAEMMRDSKQEELHILLTKIMEGRTTLRQLEAKICSLETDIKHMDKLFKEVASAPWYLER >KGN58521 pep chromosome:ASM407v2:3:25882428:25894345:-1 gene:Csa_3G656490 transcript:KGN58521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGGFSRVKGEQLIVTFLTSTLTSPPPLSIPSHTAHRSSGLQSFFNSSLSRCYSSSPASPHPSIPPFPSSSLSPMPGAGSQGVEWPADRIRDTFFRFFEEKNHVYWNSSPVVPVNDPTLLFANAGMNQFKPIFLGTVDPNTSLSKLTRACNTQKCIRAGGKHNDLDDVGKDTYHHTFFEMLGNWSFGDYFKKEAITWAWELLTQVYKLPKDRIYATYFGGDEKAGLAPDTEARDMWLNFLPAERVLPFGCKDNFWEMGDTGPCGPCTEIHYDRLGGRDAAQLVNNDDPTCIEIWNLVFIQFNRENDGSLKPLPAKHVDTGLGFERLTSVLQNKMSNYDTDVFMPIFDAIQKATGARPYSGKVGPDDVDNMDMAYRVVADHIRTLSFAIADGSCPGNEGREYVLRRILRRAVRYGSEVLKAQEGFFNGLVSIVVKVMGDVFPELKQHEVRIREIIAEEEASFGKTLLKGIEKFKKAAQDVQGKILSGQDAFILWDTYGFPLDLTQLMAEERGLLVDTQGFNNAMDEARERSRSAQNKQAGGTIAMDADATAALRKKAIASTDDKFKFIWFKDHESVVKAIYTGYEFLESVAAGNEVGLVLESTSFYAEQGGQIFDTGIVEGSFGSFQVCNVQIFGGFIVHIGSFNGGSSRISLGDKVICKVDYERRKLTAPNHTCTHMLNFALREILGNHVDQKGSIVLPEKLRFDFSHGKPVDPDDLRKIESIVNKQIEDELDVNAQEVTLAEAKRINGLRAVFGEVYPDPVRVVAIGKNVDELLADPDNENWLSISSELCGGTHISNTREAKAFALLSEEGIAKGIRRITAVTTDSAFKAIEQAQSLEQEVDAAFNIDGNLLEKMVASFKNRVDSAPIPAARKAQIRTKIVLLQNEVRKAQKKLAEENMQKAVKIAVETAEMASSEGKPFCISHVDVGLDAAAVREAVVRVMEQKGISTMVFSTDETTKKAVVCAGVPPKGNQGKQLEVSEWLTTALQPLKGRCGKGKGGLATGQGTDASQIKEAMDLATSFASLKLNQ >KGN57328 pep chromosome:ASM407v2:3:12290542:12292911:-1 gene:Csa_3G179180 transcript:KGN57328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSASLLFLIMMVCLSEAVLDSHYYSKTCPNAENIILQTVYNASIHDPKVPARLLRLFFHDCFIRGCDGSVLIDSTPENQAEKDAPPNISLRSFYVIDEAKAKLESACPHTVSCADIVAIAARDVVTLSGGPYWSVLKGRKDGKISKASETINLPAPTFNVSQLIQSFANRGLDVKDMVALSGAHTLGFSHCSSFQSRLRNFSATHEIDPTLESGFAQILRNKCPKPNVDKNAGQFLDPTSSTFDNVYYKRLLEGKGVFGSDQALFVDSRTRGLVGLFAQDQNLFFKEFAASMVSLGNVGVIQNGNVRIDCRVPN >KGN57070 pep chromosome:ASM407v2:3:10439034:10441717:-1 gene:Csa_3G151480 transcript:KGN57070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAEPESAKEAPPPPSTAAPGGMNLFLVGVVLRFVVFAAAVSSVVVMVTSKQTVVNKLRGVPPGFPVEAKFDDSPAFRYFVAALSVAAFYSLVTGLSSLFVIAKPNCHTKSLLHYAIWDTFILGVVASATGAAGGVAYIGLKGNTHVRWDKVCYAFDKFCRHVGASLATSLFASVVMVLLIWISIISLHARIRK >KGN55851 pep chromosome:ASM407v2:3:1957307:1964615:-1 gene:Csa_3G019910 transcript:KGN55851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVYYKFKSARDYDSIAMDGPFISVGTLKEKIFESKHLGRGTDFDLVVTNAQTNEEYLDEAMLIPKNTSVLIRRVPGRPRLPIVTKQEPKVESEVEDMEQDKSTFPAADSSAALRFPDDSEWDEFGNDLYAIPDVLPVQPSNPILDAPPTNKAEEDSKIKALIETPALDWQHQGSDGFGTGRGFGRGVGGRMGGRGFGFERKTPPQGYVCHRCKIPGHFIQHCPTNGDPSYDIRRVKPPTGIPKSMLMATPDGSYALPSGAVAVLKPNEAAFEKEIEGLPSTRSVGDLPPELHCPLCKEVMKDAVLTSKCCFKSFCDKCIRDHIITKSACVCGATNILADDLLPNKTLRDTINRILESGNSSADNAGSAYQVQDMESARVAQPKVPSPTLSAASKGERNIQSVIEETTKTKEVEEEKVVTSGPQTLVEKVKATKVVDESEATHESISVKEQASQGSALIVDEEVQQKMAASEAVKKKKKKKVRPPANDFQWKTSQDLATENYMMPMGGPAPYNPYWTGMQSGFDGYMNMPSYAAPMPYMGGYGLGPLDMPFGPVMPQDPFAMQNYMFPVAPPQRDLADFGMGMNIAPHAMSREEFEARKAGLNLRRKHENERRVESREREPPKDREFGREVCTGGDGPSMKQKSKFAPQTSNSEHNPNRRRPESSPDVPRNIGPPGPPLPRKRKSEHHDHDIDKDNHNDHYDHGHNRHRQHSESRGASEVSAKSAASAAADRKQKMSVFSRISFPEEEAIKKRKLSSSKEAPPNDSGASATHHKSSSSNGYYDDYKPKAATTMVTSSRGRSSATSATSLDCESSDDDRHFKRKPSRYEPSPPPPSDWDQEESRHPRGSTRDRERERERERSSYSKHR >KGN56375 pep chromosome:ASM407v2:3:6514633:6526875:1 gene:Csa_3G118100 transcript:KGN56375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRRVRRKVTRKGKEKLILPSYPEIDSEIADLDNKQTVDWTSLPDDTVIQLFSCLNYRDRANFSSTCRTWRLLGLSSCLWTSFDLRAHKIDATMAGSLALRCENLQKLRFRGAESADAIILLLAKNLREISGDYCRKITDATLSAIAARHQALESLQLGPDFCERISSDAIKAIAICCHKLKKLRLSGIKDVSAEALNALSKHCPNLLDIGFIDCFNIDEMALGNVSSVRFLSVAGTSNMKWGAVSHQWHKLPNLIGLDVSRTDIGPVAVSRLMSSSQSLKVLCAFNCSVLEDDAGFTVSKYKGKLLLALFTDVVKEIASLFVDTTTKGENMLLDWRNLKIKNKSLDEIMMWLEWILSHNLLRIAESNQHGLDNFWLNQGAALLLSLMQSSQEDVQERAATGLATFVVIDDENASIDSGRAEEVMRRGGIRLLLNLAKSWREGLQSEAAKAIANLSVNANVAKAVAEEGGIDILAGLARSMNRLVAEEAAGGLWNLSVGEEHKGAIAEAGGVRALVDLIFKWSSGGDGVLERAAGALANLAADDRCSTEVALAGGVHALVMLARNCKFEGVQEQAARALANLAAHGDSNTNNSAVGQEAGALEALVQLTHSPHEGVRQEAAGALWNLSFDDRNREAIAAAGGVEALVALAQSCSNASPGLQERAAGALWGLSVSEANSIAIGQQGGVAPLIALARSDAEDVHETAAGALWNLAFNPGNALRIVEEGGVPALVHLCYASVSKMARFMAALALAYMFDGRMDECALPGSSSEGISKSVSLDGARRMALKNIEAFVQTFSDPQAFASAAASSAPAALVQVTERARIQEAGHLRCSGAEIGRFVAMLRNPSPTLKACAAFALLQFTIPGGRHALHHASLMQNAGASRALRTAAAAATAPLQAKIFARIVLRNLEHHSVESSL >KGN60252 pep chromosome:ASM407v2:3:38011175:38014522:-1 gene:Csa_3G890080 transcript:KGN60252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACKFLFPPLLASFLILLTLFTSSFASDDSLTNFFPTKAICKLTSNPSYCITVLKQSRDGNIYDSGRFSIRRSLSKATRFLDLIEKHLQNSSTLPNSIIGALKDCQYLAQLNMNFLSNSFRAVNGTDRKLTYSKADYIQSLLSAILTNIDTCLDGLNTVASGSSLEKDLLAPLIDCTKSYSLSLDLFTKGWVPRRNRNRTLEHPGKKHLQFRKGPLPLRMSRHDRAVYNSVANRRKLSSSSDDGVLVNGVVVVSQDGQGDFLNITDAINAAPNNSLASDGYFLIYITAGVYQEYVSVPSKKKYLLMIGDGINQTIITGNRSVADGWTTFNSATFAVAAEGFMAVNITIQNTAGAIKGQAVALRSGADMCVFYSCSFEGFQDTLYTHSLRQFFRECDIYGTVDFIFGNAAVVFQNCNIYPRLPRPGQANMITAQGRSDPNQNTGTSIHNCTIRATPELAASSSYMNKTYLGRPWKQYSRTVYMQTFIDGFVNPKGWDPWTGEYLSTLYYGEYNNTGGGSDTKNRVTWAGYHVINNVTDAANFTISNFLVGDAWLPPTWVPYMGGFV >KGN57206 pep chromosome:ASM407v2:3:11388027:11392519:-1 gene:Csa_3G171140 transcript:KGN57206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALSRGLISRFRLLSINSSASHSITTSSSSSSSRTNASCYYFFRAFSTQDLTQASDGSLSASSIFEAKPGTMGSNSTRTGVIAVKCGMSALWDKWGARIPITVLWVDDNIVSQVKTIEKEGITALQIGCGQKKEKHLSKPELGHFRAQGVPLKRKLREFPVSQDALLPVGTEIGVRHFVPGQYVDVTGISRGKGFQGVMKRHGFKGMPASHGASLSHRSGGSTGQRDAPGKVFKGRKMAGRMGGKQRTVKNVWIYKIDPARNLMWVKGPIPGAEGNFVFIKDAVYKKLNKSAILPFPTYFATDGEDTDKLEPLVADLGEVDPFMTGD >KGN60150 pep chromosome:ASM407v2:3:37220827:37225281:-1 gene:Csa_3G881630 transcript:KGN60150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRSSIAKFSLFSSSSSQSLHFQHFFFTCTTPPPRIFRFCSISTASGVKNKAKKEKQKKSKNLKASTSVSKSSESASLSSKLALVKEKRRTRSTKEFDENAIPIGDTAAHIPVMLAEVLDVFASSSGRPLRSFVDCTVGAGGHSSAIIQAHPELNFYMGMDVDPIALDKAQDRISDFFSEDSDLKAYIVLKNFKYVKSLLADSDEKPLDPGVDGMMMDLGMSSMQVDDPERGFRVLGDGPLDMRMDPQASLKAEDILNNWPETEVGRILRVYGEESNWYSLQNKIVKARSQGGLHSTTQLLDLIRKSTLGFKGGRQGWIKTATRVFQALRIAVNDELNVLQNSLYTCFDCLAPGGRLAVISFHSLEDRVVKQTFLDIINPKTEGDEDGGEVTKIMEENEEWIKQTVKGLKGTVLTKRPITPSEEEERLNRRSRSAKLRVIQKNIVVC >KGN58532 pep chromosome:ASM407v2:3:25970833:25972210:1 gene:Csa_3G664560 transcript:KGN58532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMALSSTFTGKVVPLNAFTELSSSVRSNGRVTMRKSGKPAASSGSPWYGPDRVKYLGPFSGEPPSYLKGEFPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGCVFPELLSRNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLIHAQSILAIWASQVVLMGAVEGYRIAGGPLGEITDPIYPGGSFDPLGLADDPEAFSELKVKELKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAYATNFVPGK >KGN56972 pep chromosome:ASM407v2:3:9857806:9858188:-1 gene:Csa_3G146570 transcript:KGN56972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSLVVLSNLYAKERRWEGVGEVRKVMNKMGVSKEGGGRSRIELINETNCVLIDLEERKEEINPSAQRKVGTSRCPHEWRATHLHYKEPSDL >KGN55754 pep chromosome:ASM407v2:3:1136946:1138136:1 gene:Csa_3G011080 transcript:KGN55754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENHRVQFLALFALLGLGLASAARTLIAYDGDVHAPVLGHTSVGYGGGEGAGSGYGVSVGYGGGGGGGRGGGGGSTNGGVGYGNGGGEGSGAGYGGGEERGYGNGGGGGGGGSGGGGGGGSGVRYGPVGGYGSGEGGGSGGGYGGGGSGYGGGGGGGGGGNGGGSGGYGAGEASGGGYGSGGGQGGGSGSGGGGHGGGGGGGWGGGYGSGGNGGGYGSGGGEGGGSGSGAGGHGGGGGGGSGGGYGGGGGAQGGGYGGGGGSGGGGGGYAP >KGN56776 pep chromosome:ASM407v2:3:8770569:8770778:1 gene:Csa_3G133270 transcript:KGN56776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLSPKRRIELLSSVRRIEVGDEHPVLSLELRRGNLRNSYTDLLAEIQLHFGVISRFNRRRPARIMAL >KGN59173 pep chromosome:ASM407v2:3:30020865:30023348:-1 gene:Csa_3G778290 transcript:KGN59173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLNCSPTPLRRLEGKVAIITGGASGIGASAVRIFHENGAKIIIADIQDEVGQKIADELGEDVSYLHCDVSKEEDVSNVVDAAVYRHGKLDIMYSNAGVIDRSFSGILDVTKSDLDKVLSVNVMGAFWGAKHAARVMIPQKNGCILFTSSSTTNIAGLSSHPYASSKCAVLGLVRNLCVELGQHGIRVNCVAPFVVATAIAGPRNPMQVEALETMVTSWANLKGCVLKADDIAKAALYLVSDEAKYVSGLNLVVDGGYSVVNPSMLKTLKFMD >KGN60085 pep chromosome:ASM407v2:3:36741117:36741515:1 gene:Csa_3G876570 transcript:KGN60085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYDCQNINMSNLSFRWRKFVLIVSLSLMNVTNMRWMVMIPLWTMTNPGKHSQGRILHFQLWVWNLILSMRLMTFTMFMLRMWALASESVIHGFVLRKKNDIELNLAAAVQDSRKRVKLTIQGQKLGLVVLQ >KGN57063 pep chromosome:ASM407v2:3:10380699:10387202:1 gene:Csa_3G151400 transcript:KGN57063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQYHIYEAIGRGKYSTVYKGRKKKTIEYSAIKSVDKSQKNKILQEVRILHSLDHPNVLKFYSWYETSAHLWLVLEYCVGGDLMSILRQDGKLPEDSIHDLASDLVRALQYLHSKGIIYCDLKPSNILLDENGHIKLCDFELARKLSEISKTNSSAPQTKRGTPCYMAPELFKDDGIHSYASDMWALGCVLYECFVGRPPFMGREFTQLVKSILSDPTPILPGSPSRPFVNLINSLLVKDPAERIQWPELCGHAFWRTKITPVSLPPQPAFANLINQHSKPCLSERNGEKLAPNRTPPKCREKDAKGTLRQNENSAFGSSKNETLVKGTPGSQKTQSKVSSKVVEEKKLKENPSASKGVNLLRLSRIAKSNLQRENDKENYRRPVSGNSENDSEVELKNTDMELDFNENVEDESHDEPDESDNINGNQEDKTSNDNHQARMEEAQNMGGDLSDYSPAVNINASDLSNKHDQESPLVRTEVVGTSPSASPQLKNQRTKEGSTYALDTDSSKSSNNLSEFFWHQSDLSVKPVMPSKKADKMSDVNPTILPFEGLQAPDFVKMPKEWQDATSSKIIATLSGNTSVGEKQNMIRYLEMLSNNADAANILTNGPVMLMLVKMLKQSKVLQLRVQLTSLIGLLIRHSTFIDNDLANSGLLFSLTDGLRDKQEKVRRFSMAALGELLFYISTQEEHNSNANPLESPLKETRSPTGWQVPNSLISLVSSILRKGEDDLTQLYALRTIENICSQGAIWASRFTSQDVISNLCYIYRASGKSENIRLTAGSCLVRLVRFNPSCIQSVTDKLSSKDMACALVKGNPREQQITLNLLNMIMVGGNGRDDFQITLLRVIESITEEFSVILENPEIFVHKILPSLAVLYKGNKDGDARFLCLKILFDAMVIFLNEPSLDGQRLEDLKQIANLHFLPLYPALIEDDDPIPMYAQKLLVMLIECNYIKISDILHLKTISQCFEFLLGDLSNANVSSVKLCLALASAPEMESRILSQLKVVRRIGILLEFVYAKDMEDFLEPTLGLCRALLLRSVSCRKGFIYSKEPFLLGDGTPEQKVLVNQLECIRDISDFGNNLGVLLELSGSSEANIADIASECVVFLVTAAPREGTTGLLTNLHKVSVILESWRRGGCLLLVQRMLHSLGYACRQYLAHAMILSLSISEISRIEAIISDIKSSSTPRLANDAMLVAMELQRLHRCI >KGN57679 pep chromosome:ASM407v2:3:15647919:15648767:1 gene:Csa_3G250900 transcript:KGN57679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIRREIMKKIVAAAVVMVLLGCGGSIGTMAQSEDTSCVNTLIPCLNYVNGTRDPPESCCNPLRSIINSNPECLCGLISREGSNRAEAAGIDINEAQLLPARCGEHVNPLSCLAANNTSGSPSMSLALQVITLAISTKLIMSSILHF >KGN59754 pep chromosome:ASM407v2:3:34046355:34048743:1 gene:Csa_3G843240 transcript:KGN59754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METEVRNQNQRGCKALEPEVFLQWGKRKRLRCPRNKDPEISERLCGSLRKKIGSRSDRCVISASEKERIPLQPNRLTRNSEGVTTLRNGGAGTAPSPEKEDRYYSTRGSTAAVVDENGHEERGGSFVLPKLLIALSSKEKEEDFMAMKGCKLPQRPKKRAKMIQRSLLLVSPGAWLTEMSQERYEVREKKTTKKRPTGLKAMGGSMETDSE >KGN59247 pep chromosome:ASM407v2:3:30519688:30520134:1 gene:Csa_3G785410 transcript:KGN59247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMITSAAARNHSQLRGPRPPPLTVNKSSSTNISKKSTKNNPLPISNQRHRRSPIIIYLRSPKVIHVRPEEFKSFVQRLTGNRSSVAVVASSCSATGMINDEEFVSVCNCIPS >KGN59568 pep chromosome:ASM407v2:3:32724941:32725531:1 gene:Csa_3G825130 transcript:KGN59568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGIKKIMQIEKRQLCLKASSVVGALTCKQTKTQNNEAVEQQDCSTRRSVRLLDKNMERLSLGEKGRMESITIHISFDDMPNCSGPVKKDAELETASKKTNEPERKLNEDLDIEVDEREKNEMKSETREMVLDDPLKSSEENLVRDKSGDHTEIEAPHANLDVTCFSEDEEANEACQDDAFVKISAEEIDFLCQLK >KGN60124 pep chromosome:ASM407v2:3:36993340:37000001:1 gene:Csa_3G878930 transcript:KGN60124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRENVNFQVEERSGRITRARAKELSESGGILCSSKSSGVQKHILRANSKRMASDDIKTCSGSSHGLPNKRRAVLKDVTNISTKGFDKNCRNVSNIQGAKTTRKVSSKAKANAPSNAPEEILGAEEDANTRLAEDLSKIRVVESREVSLRETLDEKERTEQTRSLTSRECGVSDMILSVSSEESIPQPNEKYMAPQRSAALRDRGVIDIDSNSKCLQSCSTYAPDIYDRIRVTELDQRASTTYMEQLQQDITANMRGILVDWLVEVSEEYNLVSDTLYLTVNVIDRFLSQNYIEKKRLQLVGVASMLIASKYEEICAPRVEDFCFITDNTYTKGEVVEMESEVLNILHFRLSVPTTKTFLRRFIQSAHASYKVPCIELEFLANYLAELTLVEYSFLKFLPSLIAASAVFLARWTLDQSDHPWNPTLEHYTGYSVSQLKTVVLALHDLQLNTSASSLNAIRQKYKQPKFKCVATLTSTKSVLSLF >KGN56009 pep chromosome:ASM407v2:3:3254139:3256404:-1 gene:Csa_3G046220 transcript:KGN56009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKDSNYVGVEHVGGDMFEKIPNGDAVFMKWILHDWSDDHCITLLKNCYNAIPDDGKVIVMDSILPTLPETTSATKAVAQCDMVEMTLYEGGKERTRDEFKALAAKAGFKRVIFQCLVANLWVTEFLKN >KGN56691 pep chromosome:ASM407v2:3:8260541:8262899:-1 gene:Csa_3G129480 transcript:KGN56691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVNNSSRNSKLLNTLKPLILLGLIFSLLTTHTVLAKSRRPVTDAETRQKKQECYADIESGLWGWQCRSSTTEKENCALRCLSPTCYDLVYGSDPLEEGEKDLARSQEYKYCIYKLSMGESLEGIKGSFDY >KGN57946 pep chromosome:ASM407v2:3:19534078:19537013:1 gene:Csa_3G403980 transcript:KGN57946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRSAAASAPRSLRKLITRTSTRTLPIISQAIHDWEKPEPSTITFLSGRLFSSASTSSFIPPPLPPSAQQREVAEPSTNLFVSGLSKRTTTEKLHEAFAKFGEVAHARVVTDRVTGYSKGFGFVKYATLEDAAKGIEGMDGKFLDGWVIFAEYARPRPPPENNNGFAPYGRRD >KGN58442 pep chromosome:ASM407v2:3:25263223:25264735:-1 gene:Csa_3G644810 transcript:KGN58442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIQQAGVPLLTDAVEEPVFFNAEQYHGILRRRLSRAKAESENKALKSRKIGTVHNKADVAETFMGCFVMEGMEMESRGGQFWVPMECTIRLYIRSVWPCFRKERTVGKLIQHLNTSFKIAAENRINLLWLDILNLKHPEHLLVLVC >KGN58852 pep chromosome:ASM407v2:3:27987680:27993812:-1 gene:Csa_3G734060 transcript:KGN58852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLAASIVSKSGKVLVSRQFVDMSRIRIEGLLAAFPKLVGTGKQHTYVETENVRYVYQPIEALYLLLVTNKQSNILEDLDTLRLLSKLVPEYSLSMDEEGICKTAFDLIFAFDEVISLGHKENVTVAQVKQYCEMESHEEKLHKLVLQSKINETKDVMKRKASEIDKSKIEKNRGDKGGFMSLQSMGSGKIDNGLSDMGISSGGGGGFGSSSGFGLGADVESFSSKPKGRPPSSATAPPKGLGMQLGKSQRTNQFLESLKAEGEVIVEDVQPSVGLSKSAAPPPTDPVTLSVEEKLNVSLKRDGGVSNFDLQGTMSLQILNQEDAHIQVQIETGGNPGILFKTHPNMNKELFSNENILGLKDPNRPFPTGQGSDAGVGLLKWRMQSTDESMVPLTINCWPSVSGNETYVSIEYEASSMFDLRNVVISVPLPALREAPSVRQIDGEWRYDSRNSVLEWSIVLIDNSNRSGSMEFVVPPADSSVFFPISVRFSATSTFSELKVVNILPLRGGAPPRYAQRTQLITENYQVV >KGN56482 pep chromosome:ASM407v2:3:7044551:7046290:-1 gene:Csa_3G121580 transcript:KGN56482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVDWDLHAVVRGYSAAPSAATIVPASSSSSSSSSSNNPVVPFSFGRDLTNNQMKNHFFSLQDPFQPSNCNSTQELHELFKPFFPKSQPSPSPPPPPPAPPAPSLLSSPPAPKILTHQKQSTHLPKQLHSTSASAPRSKRRKNQLKKVCQVPAESLSSDIWAWRKYGQKPIKGSPYPRGYYRCSSSKGCMARKQVERNRSDPGMFIVTYTAEHNHPAPTHRNSLAGSTRQKPITPSTTASGSEKLDPKQPVCSSEEQSTITESKEEKEELLMAEDEEDDDLGISDLIVNDDFYVGFEELDSPITDDCFSDPFPANFDLPWLFNGNPDGEIVKLPC >KGN59556 pep chromosome:ASM407v2:3:32657401:32658135:-1 gene:Csa_3G825010 transcript:KGN59556 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF hand family protein MFFRLEYYLITMETRLTDIKSVQLDPSPFVFVDRISILPILFNFADRIQELVRSLPWPILQPHSKEKALSQCNCSSKSGDQIIITTQQNCSSMSREEVRFVMEKLELFWREERDGGGGDKGIGESDEMIRGMFEENEPSLEELKQTFNVFDRNRDGFIDEHELFIVLSLLESNKGIFIHDCKTMIARFDLNNDGKIDFHEFVKFMEVALS >KGN56390 pep chromosome:ASM407v2:3:6603719:6604915:1 gene:Csa_3G119240 transcript:KGN56390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNEDAEYSPPASMAEAFAMRKKSMNRRRFSEEQIKSLESIFESESRLEPRKKLQLAGELGLHPRQVAIWFQNKRARWKSKQLERDYSVLRANYNTLASRFEALKKEKQALTMQLQKLNNLVQRSMEETESCRGVLSIETIDGKSEIDHRTKYESEVKPCLSAEEKSEHELEVLSNYGSGVKEAYIGLEDPQLRESSQGSLISTPNWSNLDSEGLFSQSNTNGQWWDFWS >KGN60305 pep chromosome:ASM407v2:3:38437323:38441511:-1 gene:Csa_3G894530 transcript:KGN60305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELENPASQTLSEEGNRGKLENEKGGELDLNETRNGKKKKKKNGNRSVSFYLPKIGFGCFRVQRDEEGNVDMEVVNGSGERQKPTHLLIMVNGLVGSAKDWKYAAQEFLKTYPEDIIVHCSKRNYSTLTLDGVDVMGGRLAEEILLVIKRHPNVRKISFLCHSLGGLIARYAIAKLYELKEDVQVNGEYNKHEFRDESYEDEFRGRIAGLEPINFITCATPHLGSRGHNQVPMCCGFYVLEKVAVCTSYFFGRTGRHLFLIDNDSGNCPLLFHMAGDREDLKFLSALQSFRRRVTYANVRYDNVVGWSTSSIRRRTELPKRKGLSGDSKYPYIVNVEMAKIQNPQLYVPSEAEVKRFKKSELEEEMIKGLSSVGWERVDVDFHRSKQRNDAHLTIQVNRYRVNSDGACVVQHMIDNFLL >KGN59497 pep chromosome:ASM407v2:3:32340472:32341405:1 gene:Csa_3G822470 transcript:KGN59497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPAPTDTLRMVFRTCRSYASQVRERARPDSVPLQYMVQEGCWRSFVGRARPGSLWEWRAMRRTPEDISLRRAVVEMWRWSNVRGSVRGSSCREKVTWPWRWPKRVPVSLRRRVMRERALRRWRKKRKREWRREEGL >KGN56344 pep chromosome:ASM407v2:3:6319946:6322181:-1 gene:Csa_3G116810 transcript:KGN56344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKGGSSWLTAVKRAFRSPSKDEDHKKTEKRRWGFRRSTNLHDQVTHQTPSNPSSDAALAAAVATAEAAMVTAQAAVQVARLTTSTRPSNNARDHYAAILIQTAFRGYLARRALRALKGLVKLQALVRGHNVRKQAKMTLRCMQALVRVQARVLDQRMRLSHEESGNSTLSDPSTALGSRYLQYLSDRKEFAMKRDRNLSQQIWRRGRSPSMGSGDDLEERPKWLDQWNSRKAWESRGRASTDQRDPIKTVEIDTFQPYTRTSSNFRRMAQNLQRTNPHSGSSPLNRMQQNVYSFHHSPATPSPSKTRPMLQVRSASPRFVREDKSDNTSQTPSLRSNYYYSGNLVQQGRSGASSSYGGDGNCLPNYMAATESAKARLRSQSAPRQRASTPEREREREKGGVGCAKKRLSFPVADPIGHGVLRSPSFKSVSGTYLGMEQQSNYSSCCTESLGGEISPSSTSDLRRWLR >KGN55943 pep chromosome:ASM407v2:3:2719842:2720860:-1 gene:Csa_3G038170 transcript:KGN55943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKHTKTTMASHFPRCSLVLTIFFLSFTMPEMTTKSVLAIFRPSPWKLAHATFYGDETASETMGGACGYGNLFTNGYGVDTAALSSTLFNNGYACGTCFQIKCAQSKACYSNVAFTTVTATNLCPPNWAKPSDNGGWCNPPRVHFDMSKPAFMKIANWKAGIVPVAYRRVPCGKKGGIRFTLQGNGYWLLAYVMNVGGGGDVSGMWVKGSKTGWIKMSHNWGASYQAFSTLVGQSLSFRITSYTTKETIIAWNVAPSSWRFGSTYNANVNFR >KGN57133 pep chromosome:ASM407v2:3:10838130:10844808:-1 gene:Csa_3G164520 transcript:KGN57133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRTLDLLGHISPAHHLDLNALLRYCSSNVPAFPSFPSNFLVSQFGHGQSNPTYLIEVSSGDSTNRYVLRKKPPGVLLHSAHAVEREFQVLQALGNHTQVPVPKVVCLCNDSSVIGTPFYIMEYLNGRIFLDPKLEGVAPETRRAIYLEAAKSLASLHSVDVNAIGLGKFGRPDNYCKRQIERWAKQYISSTNEGKVDGNPKMFALIEWLRAHIPSEDSSGVTAGLVHGDFRIDNLIFHPSEDRVIGILDWELSTVGNQMCDVAYFCLPYILDIHSDLPNTASGFNNIGTLEGIPSLTEYLARYCSIAGKPWPFSAWKFYVAFSIFRGAAIFAGIYSRWIMGNASGGESAQIAVQKANALVDAAWVFIEQKSLLPENPPSDSIVQVDSQYTRKEGEDWGILKDEGKFVPSKKVMELRTKLIKFMDDHIYPMENEFYKLAQSSLRWTIHPEEEKLKEMAKKEGLWNLWIPFDSAARARKLLFNGTSHIVSAGAENLLLGAGLSNLEYGHLCEIMGRSIWAPQVFNCGAPDTGNMEVLLRYGNKQQLHEWLIPLLEGKIRSGFAMTEPQVASSDATNIECSITREGDTFVINGRKWWTSGAMDPRCKILIVMGKTDVTAPLHKQQSMILVDIQTPGVIVKRPLTVFGFDDAPHGHAEIIFDNVRVPETNIILGEGRGFEIAQGRLGPGRLHHCMRLVGAAERGMQMAVQRALSRRVFGKLIAEQGSFLSDIAKCRVELEKTRLLVLEAADQLDRLGNKKARGTIAMAKVAAPAMALQILDMAMQVHGAGGLSSDTVLAHLWAAARTLRIADGPDEVHLGTIAKLELRRAKL >KGN56397 pep chromosome:ASM407v2:3:6635107:6635418:1 gene:Csa_3G119315 transcript:KGN56397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSCWTPVFVSIFLVTSPPEILSENWLIFITAPMLSPVRFIAFACRLGGGLADTLSTCRSDFLNESVFCSTSGLIADGTVVTSADLGRARVESDGLVGLRGS >KGN60365 pep chromosome:ASM407v2:3:38882246:38883818:-1 gene:Csa_3G901110 transcript:KGN60365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKFELLGELTVRIVSCMACAIDGLADDEKRIGLSVQIQIGVVFINFSIRRKSRALKEVTTLEHMVNMPSIANNGGFEQEPDLFGNIFPFWFIEV >KGN56211 pep chromosome:ASM407v2:3:4984874:4987478:1 gene:Csa_3G099720 transcript:KGN56211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQLFSTRMAGIWDRIDKKLKCYREFEENLSVLQKLARELSFQLEELEHTSTSERSEQWEEEVEDLIEEVEDLERSILKWKLLTVAVYLYGRTVVEKIDEAKELLEEGNYLFPNCFKYLILGGGVAAGYAAREFSKRGVKPGELGIISEESVAPYERPALSKGYLFPRNPSRLPQFHVCVGSGGERLGLNWYEEKGIELILNTKIVKTDLKSKTLTSEDGSVFKFGTLIIATGSGVVKLSDFGVKGDQAKNVLYLRDVKDADKVVEAMKAKKNGKAVVVGGGYIGLEVGAVLRQNNLNVTMVYPGHWCMSRLFTKEIAEFYERYYTSKGIKLVKETSVIELEADPNGEVKKVKLKNGRELEADVVVVGVGARPATGLFQGQLEMNKGGIKTDGLFKTSVNDVYAIGDVAWFPMKIYNERRRVEHVDHARKSAMKAVEAIMEQEKVVEVYDYLPYFYSRVFDLSWQFYGDNVGERTVMFGNRNLVVKKPKFGCYWIKDGKIMGAFLEGGDSEENKAMQNLAWNQPKVDDLRELEDKGLSFAFNYKDFQKTNAA >KGN55965 pep chromosome:ASM407v2:3:2919150:2926716:1 gene:Csa_3G039850 transcript:KGN55965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFEDKGSLKDEIPRRVAKYSPPPCAWGDYFISSHSNSMGITKNEEEENMVEKELEKLKEEVIRMLVATTENSLEKLSLIDSIQRLGLSHYFDREINEILNIQNSFNLDDDDGDIYYTALKFRLLRQQGCFVSCEIFNKFTNEEGDFKESAVKGKREIMSLYEASQWRMNGEIILDKALAFTTTKLQEMAMDSTSPFGDEAEYALKWPILKALPRLITKHQISTYDKDPLKINVLLKFAKLDYNATQKLYQKELCEVSRWWKDLKLMKELSFARDRMVESYIWALGVFYEPKYSYGRIILAKIIVLATVLDDVYDIYATFDELELFTNVIERWDMTDIGIEKLPKCMKVLYRTILKVYEEIEKDINKDNIIPYAIHYAKEGMKRQCRVYFEEAKWFHEGYVPTFEEYMKVAIVSTCYYLFVPISFVGMGIAASQEAFEWVESDPMLLKASGIVGRLMNDITSHKYEQKRGHMDSAVECYMKQHEVSEEDAIVELGKEITKAWKDVIEDYIMKSTKLSNAILMRVLNLTRLSDLFYKKEDGYTFVHGDTKHFITSMLIDPIPI >KGN59351 pep chromosome:ASM407v2:3:31235966:31240425:-1 gene:Csa_3G812230 transcript:KGN59351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSINNIIIVISLLPLFLLISILGGTHGVDNRYDFPSDFIFGSGTTAFQVEGAAKEDGRTPSIWDTFVQSGQQTEDIDVGCNQYHKYKEDVKLMADMGLDGYRFSISWSRLIPNGRGPLNPKGLEYYNNLINELLLHGIQPHVTLYNYDLPQALEDEYGGWISPKIVEDFSAYAEVCFREFGDRVLYWTTVNEPNVFVIGGYDLGFLPPGRCSFPFGKYKNCSEGNSATEPYLAMHHSILAHASAANLYRTKYKDKQHGQIGISIYGISLAPSTNSKEDAHVAQIARQFFFDWVLHPLMVGDYSSMMKKIVGSKLPIFTKDEGNLAKGCYDFIGITYYGEMSCKYLPNNWTVEDRDVYADLQAQIEIQSAAKRSLTSTKGLKGLLEYLIQDYGNPPIIIYENGFEAERNASLHDVPRVKYIMEHIQVVFDALRNGSNINGYFTWSFIDVYELLTGYETSYGLFYVDLDDPDRKRYPRLSAKWYSNFLKGKASTSLDFDPTTEELLFYS >KGN59046 pep chromosome:ASM407v2:3:29071168:29073968:-1 gene:Csa_3G748260 transcript:KGN59046 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase APK1A, chloroplast MIQTNNSSSSSSSSSQIKQILFLCLFLPQPSSSSSQSERDKSTAPNSSKMMMMEKEEKVGMKIFHSAIVFFFSLTLLVSPSFSLDDDSSALTRFRLQADSHGGLLRNWTGSDPCGSSWRGVQCSVNGRVVALSLPSMNLRGPIESLAPLDQLRLLDLHDNRLNGTISPLVNCTNLKLLYLSGNDFSGEIPPEISSLRRLLRLDLSDNNIRGGIPEDISKLSRLLTLRLQNNVLSGTVPDLSVSLVNLTELNLTNNELYGRLPDGMMKKFGEKSFTGNEGVCGSSPLPICSVTGSAPSSDPTRTVPSNPSSLPQNPIIGPNSKESRKGLSPGVIVAIVIANCVALLVIISFIVAYYCARDRDRSSSSMTGSESGKRRKSGSSYGSEKKVYANGGGDSDGTNATDRSKLVFFDWKKQFELEDLLRASAEMLGKGSLGTVYRAVLDDGCTVAVKRLKDANPWRIPLDWTTRISLVLGAARGLARIHGEYSASKIPHGNVKSSNVLLDKNGVACISDFGLSLLLNPVHAIARLGGYKAPEQDETKRLSQKADVYSFGVLLLEVLTGRAPSLYPSPSNPRSDDEEQPVDLPKWVRSVVKEEWTAEVFDPELLRYKNIEEELVSMLHVGLACVLPQPEKRPTMAEVVKMIEDIRVEQSPLGEDYDESRNSLSPSLATTEDGM >KGN60452 pep chromosome:ASM407v2:3:39518659:39520755:1 gene:Csa_3G912355 transcript:KGN60452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKRTKKAGIVGKYGTRYGASLRKQIKKMEVSQHSKYFCEFCGKYAVKRKAVGIWGCKDCGKVKAGGAYTLNTASAVTVRSTIRRLREQTES >KGN57425 pep chromosome:ASM407v2:3:12947735:12949411:1 gene:Csa_3G184070 transcript:KGN57425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLRAIGRPLLAKVKQTTGIVGLDVVPNAREVLIGLYSKTLKEIQAVPEDEGYRKAVESFTRHRLKVCQEEEDWENIEKRLGCGQLFSLIEKWDPWGVPDDYECEVIENDAPVPKHIPLHRPGPLPEEFYKTLEAISGDSTKKVETPEKASQVTE >KGN58387 pep chromosome:ASM407v2:3:24687772:24692586:-1 gene:Csa_3G635870 transcript:KGN58387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGDSKMVDNGKRVHILVVTYPAQGHINPLLQFSKRLHHKGAAVTFVITKYLYNNSPAADNPPPFPVETFSDDHDDGGFLSAVSVPDYHQRLERVGSETVRDLIRRLEEGGRRIDAVMYDGFMPWVLEVAKEWGLKTAVYFTQMCGVNNIYFHIYKGEIKLPLGVEEEIRMGGMPALRAEEMPSFVKDVKSCPGFLATVVNQFRNIEEADWLLCNSFYEQEQQVLEWMEKEWRMKTVGPNIPSMYADRQIHDDREYGFNFFKPIDEACRKWLDNRQKASVVFVAFGSFSTLSIEQMEELAWGLAQTNCFFLWVVRDPEVAKVPIKFVEATMEKGLIVPWCLQLEVLSHESIGCFVTHSGWNSTLEALTIGVPMVAMPQWTDQTVNAKFVMDVWKTGLRAFPDPTGIVRRMTIANCILKIMDDNVGGKEIRKNAAKWGALARQAVNQGGSSDRNVDEFLTQLASGLNI >KGN58065 pep chromosome:ASM407v2:3:21035088:21037378:-1 gene:Csa_3G481250 transcript:KGN58065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMATKIENRTDRRRRIMSREIDRMALITGRLRNLPPSPPPSPSSPSPFLYHQTHQRGHSHTGISPSFFSKDIHANPDSPPLPNAQGVPKPKDAKATPLLKRLSMSEAREEKIAAIGFQINHKKLDPIGEIHTETVSTPSASSMVQKVTSTDNEILLKAHPSKPKLFTSKRLNASILASQTTRVFCSLIIASLAVLSHVNHPLSMIWKMVRSERVVASKPLYILLLTDATIVVARMLAARQKDSREAEEESEKMKEDGHNWDSAVKVLERGLVFYQAFRAIFIDFSVYAVVVICGISLL >KGN58273 pep chromosome:ASM407v2:3:23408973:23410227:1 gene:Csa_3G603600 transcript:KGN58273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILLLLLLFFSFINLATSSELCFDSHCTGDYQTIRFPFRIVNGQPKSCGYPGFDLSCHPTGEPLLHLPHSGDFIVQNIDYMHQEIRINDPDYCLPKKILYLNLSGSPFVKKNSQTVAFFNCSSNYIPYWYNDPIYCLSSHSHTVYASSSPMLINMFESNCAMIKTVSVPSSFSYSSNLWEYLLLKWENPDCGRCESVGQRCEVKSNSSNEIQCTDSQGRSRVGANVVSIAMGVVAAVICFLGVLCCLCFKINFRRRGSSSSIAHWTVSSQRTRTMGLNGATIESYPKFVLDESLSLPKPNNNVCPICLAQYQPKEIVKSIPNCQHCFHECCIDEWLRLKASCPVCRKSPIEAPPSNPS >KGN60325 pep chromosome:ASM407v2:3:38580434:38582974:-1 gene:Csa_3G895710 transcript:KGN60325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLISLNSDSEMSSCLAKCSSKRLENIDENGPPSWLPGSSVLPETPIESMEYLGRSWSLSAKELSKALSTAHDPPSHLQSSVVGFLSAEPNDSKSTVLREPLLRHLPNGDSPPASPRGSDEMKELLLLHQALNPEFFSNQQLLGNGLYKSILRGNKTLGRWMKDQKERKKQEIRTQNAQLHAAVSVAGVAASVAAFIASLVSRETSSGNQNWPLKTSAAIASAAALVASHCIEMAEEMGASHENILNVVNSAINAKTNGDIMTLTAGAATALRGAATLRTRLEKGLGATNFGVGEDKVEEEGKESNILLAINYVSRGGELLKRTRKGIFHWKQVSFNINSNWQVVAKLKSRYMAGTFTKNKKFIISGVNCDIAAWPGRERERETDGEQQRAYFGIVTTDRTIEFECSGKGEKQMWIEGIQYMMNFRAYVK >KGN59494 pep chromosome:ASM407v2:3:32328935:32330640:-1 gene:Csa_3G822450 transcript:KGN59494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLGNVCDQLDSIGAVRELAPSPFLTKTYMLVEDPMTDDVISWNSDGTTFIVWQPPEFAIDLLPTLFKHNNFSSFVRQLNTYGFRKIATSRWEFYNEKFKKGCKERLCEIHRRKAWTNKRKHNSNAKAIQVTHQDNHDEDQRSLSTSSSDDQYTMLAYENKKLKKENGVLSFELTNMKKKCRELLDLVAKYKFVVVNGNKKKADEIMMKPNLKLFGVKLEVEEEDEMEIKQNKRKRSNYPDKPFLLSQTCK >KGN60270 pep chromosome:ASM407v2:3:38157258:38161639:1 gene:Csa_3G892722 transcript:KGN60270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSCYRYAKLYEPLTNCTVGAKFNVSLSEEVDTKESVEAAFPFLGQIGPVYLFNDALSSEQVQGIHSLGPSYMYSFLDNDIATFSENQLPRGILNAKESLASKIIFGLNAQASSGKSLFNVSPTLDLISEKNSFEATAMGGTELCSRRLLQRIIYCVGGVTVLFPLISQSDRYESESSGQFGQNVDVIDTKECLTAEVIELIASVLDENLPNQHQMHLLSGFSILGFLLQSVNPQQLNMETLAALKHLFSVISNCGFSELLIQDAISSIFLNLSIWIYSAYEVQRELYLFLIQQFDNDPRLLKNLCRLPLILDMICKFYCDKDKCKFGSGSKTSLHPPVGVLGERPTKDEIRKIRLLLLSLGEMSIRQNIVAADIKALIAFFERNQDVTCIEDVLHMVIRAIAQKTVLASFHEQVSFIGGYPIFVNLLQREFEPIRLLSLQFLGRLLVGLPSEKKGLRFFNLPSGKAKSVQESHKKINLRMQPLFSAISDRLFRFPPTDNLCAALFDVLLGGASPKQKQNQSDGQKNKSPGSHFAVPQSLVLIFRFLCSCEDISARLKIITDLLDLLDTNPSNIEAFMEYGWNAWLTASVKLGALQQYKVRSMDQVEDKINEQCMIRKLFSVVLLHCICSVKGGWQHLEETATFLLMQSEKEALLPHGSVGASLGWNLLAQTNAKRGSSVGVVLEGGLVVDDMVAGGLVIGQRVGKMRGEVRGER >KGN56239 pep chromosome:ASM407v2:3:5257474:5260222:-1 gene:Csa_3G104920 transcript:KGN56239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVLDSLTLPCSSALASTAFSARRTSLCLPQCRGLKIANSFTSHSLRSARSNARFPHRSATIVCEAQETAAIVPAASEATWESLVTESKLPVMVEFWAPWCGPCRMMHPIIDDLSKEYEGKFKFYKVDTDANPSIASRYGIRSIPTVIIFKDGEKKEAIIGAVPKGTLTASMEKFS >KGN55850 pep chromosome:ASM407v2:3:1952298:1956041:-1 gene:Csa_3G019900 transcript:KGN55850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALIRRVSSSFPRVFGFANRSLSSLNSPGEALDLPTSSSFAHGIHVFHCPDAVGIVAKLSECIASKGGNILNADIFVPENKNVFYSRSQFLFDPCKWPRMQMDEDFLKISKTFNAWKSVVRVPDQDPKYKIAIFASKQDHCLVDLLHQWQGGKLPVEITCVISNHDRAPNTHVMRFLERHDIAYHYLHTYNDNKREGEILELVKNTDFLVLARYMQVLSGNFLRSYGNDVINIHHGLLPSFKGSNPSKQAFNAGVKLIGATSHFVTEELDAGPIIEQMVERVSHRDNLQSFVQKSENLEKQCLTNAIRSYCELRVLPYEENRTVVF >KGN56098 pep chromosome:ASM407v2:3:3913692:3919976:-1 gene:Csa_3G073890 transcript:KGN56098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIGSYPIGSCSRDHQKIYQEWFDYADSDGDGRITGNDAIKFFSMSTLPRQDLKQVWAIADSKRQGYLGFKEFVTAMQLVSLAQSGGEVTHDVLTSNSEVDLKSLNPPRMEGLDVILAKKARKQKSNDHETNGSSKGQSPVSASWFSSKSSKKIPLSSVTSIVDGLKRLYIQKLKPLEVSYRFNDFVSPLLANSDFDAKPMVMLLGQYSTGKTTFIKHLLKSSYPGAHIGPEPTTDRFVVVMSGPDERSIPGNTIAVQADMPFNGLTTFGTSFLSKFECSQMPHPLLEHITFVDTPGVLSGEKQRTQRAYEFTSVTSWFAAKCDLILLLFDPHKLDISDEFKRVIASLRGHDDKIRVVLNKADQVDTQQLMRVYGALMWSLGKVLNTPEVVRVYIGSFNDKPVNEAVTGPLGKDLFEKEQEDLLSDLKDIPKKACDRRVSFPSLTILIFLIEMINEFVKRARAAKIHAYIISHLRKEMPTMIGKAKTQQRLIDNLADEFGKVQREYHLPAGDFPNVEQFRETLSGYNFDKFEKLKPKMIQSVDDMLGYDVPDLLKNFRNPYD >KGN59152 pep chromosome:ASM407v2:3:29916181:29916811:1 gene:Csa_3G777600 transcript:KGN59152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNLFECQYSKAISHLEAAFQRKSEPSDSDGIPTLSMALQLSTSRLKSSPF >KGN58471 pep chromosome:ASM407v2:3:25501841:25507982:-1 gene:Csa_3G646580 transcript:KGN58471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHSVVAARQTCSLLAVTCGNVPKVKCEEEVDEDKLKYPFPELVSCGRLEVRVLANPSKDEFSRIVESCLPSFVYLQGEQLGNDEIGSLVWNGVDLSLEDLCGLFNAALPTFVYLEIPDGGRIAEALHSKGIPYLIYWNSTFSCYAAAHFRHALLSVVQSSSTHTWDAFQLARAAFRLYSVGSNYGLPGIADDSMMSDLEPQLIGEPLKIDVEPPELDVGEGEDEDGSLEALPAINIHDNNVTMRFLICGVPCTPDTCLLRSLEDGLDALLKIEMRGSKLQGKFSAPPPPLQAGSFSRGVVTMRCDIVTCSSAHISILVSGSAHTCFDDQLLEKHIKHEIIEHNQLVHAIHDCEGNKHHMHKPRKSASIACGATVFEVSMKVPAWASQVLRQLAPDISYRSLVALGIGGVQGLPVASFEKEDAERLLFFCSGDGNDKHSEQLLVSVLPSWFKPPTPSRKRVEPSQGIRNSLSHDSLSYAHIPAIRRVGREDPVPMNGFKASLHPARKKLKVASMRPVPRLHRNKMTPFAGLTEVDGNNGGLSKASLSIVTPPKHVTVGSTSATHRKSFSSSSQSKQIISLNPLPLKKHGCGRNPIQDCSEEEFLKDVMEFLLLRGHTRLIPQGGLEEFPDAILNGKRLDLYNLYKEVVTRGGFHVGNGINWKGQIFSKMHNYTMTNRMTGVGNTLKRHYETYLLEYELAHDDVDGECCLLCHSSAAGDWVNCGICGEWAHFGCDRRQGLGAFKDYAKTDGLEYVCPHCSITTYKKKPHRVANGSPQGITNPRIP >KGN56648 pep chromosome:ASM407v2:3:7988817:7991226:-1 gene:Csa_3G127110 transcript:KGN56648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLNQTLYFFVANHPHYMLQTVSSLCSTSHSTSSYQPTSIPNLKFNQKPYPIFPLLEKSTKWTQLTELQQLHAQMVVTGFIHHKPSLNKLIAHVLSMGSLGVGYAYSVFAHTRELDVLTWNSMLRAFVNSNMPRRALQSYTEMLERSRNVPDRFTFPSLLKGCALLLEFKVGKVLHGQVVKYMLHSDLYIETTLLNMYAACGDLKSARFLFERMGHRNKVVWTSMISGYMKNHCPNEALLLYKKMEEDGFSPDEVTMATLVSACAELKDLGVGMKLHSHIREMDMKICAVLGSALVNMYAKCGDLKTARQVFDKLSDKDVYAWSALIFGYVKNNRSTEALQLFREVAGGSNMRPNEVTILAVISACAQLGDLETGRWVHDYITRTQKGHSVSLNNSLIDMFSKCGDIDAAKRIFDSMSYKDLISWNSMVNGFALHGLGREALAQFRLMQTTDLQPDEITFIGVLTACSHAGLVQEGKKLFYEIEALYGVRLKSEHYGCMVDLLCRAGLLAEAREFIRVMPLQPDGAIWGSMLGACRVYNNLELGEEAARFLLKLEPTNDGVYILLSNIYAKRKMWNEVKKVRELMNEKGIQKTPGCSSVVIDNIAHSFLAGDCSHPEIAEISIMLRQVREKLKLAGYVADTSEVLLNIDDNKKEESVSQHSEKLALCYGLLKSEIGGRIVILKNLRVCSDCHTLIKLVSKIYQRQITLRDRNRFHHFKDGSCSCRDYW >KGN60464 pep chromosome:ASM407v2:3:39600260:39603776:-1 gene:Csa_3G912960 transcript:KGN60464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSVMLENGIFPGKNRGKMPIKDSEKLYVCFRLPTDRPSKTCRVQRLKGCSRMEATSVSESKGTVISQKGHFRGIRIENPFTLKVGQIFTGFGVGCGVGIGVGRPMNMGAIPGMNELMSATRGATDAFSGITRHLNNSLRKLGATNIQAGIGCGVGFGHGFGIGLAIKPSFLHQVQSSAMQAMEKVMTKLENNPSLPISQGAIPVSLQSASSITNASENEHPIASIRKIAKEVPGTAPEDLSAYLDTKGSTLQNALSSRSYGTRTEKVIDSFLQNPVFKGEDTELKDEVGRLRLENHLFQMVIMHQKLIQELREENNKLRQILVEDLKIPPSKLFQASNIGRKIPPCSDCFECRRKQRRGRS >KGN56967 pep chromosome:ASM407v2:3:9834928:9835185:-1 gene:Csa_3G146525 transcript:KGN56967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPLSLSLCTSSLLFSIAPLSSSSSSKSSLFLSLNFHLSKMIHFLQLKNPILQDTHFLDPPPSSSPNPNGIKIKGGRPHENPSH >KGN59892 pep chromosome:ASM407v2:3:35281890:35299772:-1 gene:Csa_3G851920 transcript:KGN59892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANFLAQFQTIKSSFDRLVIAVEDVSDLWPTVKNGFEERLPFKRACLNNKTRNPVLVDKLPAEFILTTDARLRSRFPQEQYLFWFREPYATVVLVTCEDLDEFKTILKPRLKLIVQNDEREWFIVFVSKAHPNNDQATKQAKKVYSKLEVDFSSKKRERCCKLDILCPEANFWEDLESKIMESIRNTLDRRVQFYEDEIRKLSEQRLMPVWNFCNFFILKESLAFMFEMAQLHEDALREYDELELCYLETVNMIAKQRDFGGIDHGDDQAMLLNPGSKPLTQIVQDDSFREFEFRQYLFACQSKLLFKLNRPFEVASRGYTFIIAFSKALAIHENILPFCMREVWVTTACMALINAIASHFSEGTMAPDTEKEFFRLQGDLYSLCRVKFMRLAELIGYGPCIERSPVNSASLSMLPWPKPSIWPAVPPDASSEVLAKEKIILQETPRVKHFGIQKKHLPLEPSLLLREANRRRASLSAGNTLEMFDGRPAFIDGPGPDMSPKMSPNKSPGSSMSRTYSSPGFENTIDRPMRLAEIYVAAEHALKQTISSSDLWKCLSAVEEFEKKYLELTKGAAENYHRSWWKRHGVVLDGEIAAVSFRHGNFDLAAKSYEKVCALFAGEGWQDLLAEVLPNLAECQKELNDDAGYLSSCVRLLSLDKGLFLTKDRQAFQSEVIRLAHSEMKDPVPLDVSSLITFSGNPGPPLELCDGDPGTLSITVWSGFPDDITLDSLSLTLMATYNGDEGVKPIRSSTETVLNPGRNIITLALPPQKPGSYVLGVITGQIGKLRFRSHSFSKGDPADSDDFMSYEKPTRPILKVFKPRPLVDLISAISSPLLVNEPQWVGIIVRPINYSLKGAILHIDTGPGLKIVESHEIEMETYADLLKNSIDVAHTGDSNNFERLCLSDGRIEFPDWASNETSILWIPIHAVNERLARGSTTATSQRLSIVDGMRTIALKLEFGAFHNQTFEKTLAVHFTDPFHVSTRIADKCNDGTLLLQVIIHSEVKATLTVYDAWLDLQEGFVHNGNDNGRPTSGYFPLVISPSSRAGILFSIRLGKTNNEDEGEVTNPESILNIRYGISGDRTLGAHLPVLIESSGTEDAKQDLLFKSALVLQRPVLDPCLTVGFLPLPSEGLRVGQLITMKWRIERLNNLQENEDSKCNLDDVLYEIDAKSENWMIAGRKRGHVSLSPNQGSRMVISILCMPLVAGYVRPPKLGLPNIDEANISCNPAAPHLVCVLPPPLSSSFCIPA >KGN56965 pep chromosome:ASM407v2:3:9832106:9832615:-1 gene:Csa_3G146510 transcript:KGN56965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELTLRPLDLTDIDDFMGWATDEKAARYCSWEPYQDKSEAIKFINDQVLSHPYYRAICVDGRPVGAISVMSNTAARDKCRGELGYVLGSKFWGKGIVTAAVKLVMERIFVEWPELERLEALVDVENFASQRVMEKAGFQREGVLRKYGVLKGKVRDYVMFSFLKTDFVS >KGN57637 pep chromosome:ASM407v2:3:15218152:15220114:1 gene:Csa_3G236050 transcript:KGN57637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYCKSLEIVGLKIRCRCRMVIQEVNILLNLIPYQSYKCKVQFLLSGLFSGSEKFRSGGVKKSLKEEAMDSLKAISTGPIESKSTPSPIQAFLGAIAAGVIALILYKFTTTIEASLNRQTVSDNFSVRQLTITIRTIVNGLCYLATFVFGINAIGLFLYSGQLAMNSVMEEGSKDTEPKAKSDEQVSPPTSTAETTLDSTESSNSKDDQSSSNL >KGN57151 pep chromosome:ASM407v2:3:10951049:10951585:-1 gene:Csa_3G165680 transcript:KGN57151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRLSAKSSSPITGILDITPASKRKRNISKSAQQQAATVKMKTTEKEKVEKGLSSEEDDKEDEDGLGNSRVVLNDGGEEDLGPYDWLDGEIMRLNNEIIEWGMGESRGNNNNGCNEKVRCTEDHNHNYGSNCSSSVNSALEMDQWLNWDWDHQDNGSMEGCSLDQWELEEISSWVMGN >KGN58878 pep chromosome:ASM407v2:3:28116044:28117656:1 gene:Csa_3G734310 transcript:KGN58878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFSSIPPYLDPSNWQQQVTHQVGTSSSTALSSQLLPPPPPPPPPPPPPLPPHGVGGAGSIRPGSMAERARMANIPMPEAALKCPRCESTNTKFCYFNNYSLTQPRHFCKTCRRYWTRGGALRNVPVGGGCRRNKRSKGSSSKSPPVSSDRQQTSGSANSSSSAIASNNSGGLSPQIPPLGRFMAPLHQQFSDFDIGSYSYGGGLSAPASATGDLSFQLGNTNLGGGTSIGSLLGFDHQQWRLQQQPPQFPFLSSLDPFEGGNGGGGEAPGTAWQMRPKAPSTSSRNNLTQMGNSVKMEETPDQVNNNVGRQSIGINEQYWSSGSMAWSDLSGFSSSSSTRNPL >KGN57199 pep chromosome:ASM407v2:3:11352705:11355419:-1 gene:Csa_3G171070 transcript:KGN57199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATETCTQAYGEPSYWDNRYSNESGPFDWYQKYHSLAPLVNLYVSRHHRTLAVGCGNSAFSEGMVDDGYEDVVNVDISSVVIEAMQKKYCNLPQLKYVKMDVRDMSTFETCSFHAVLDKGTLDSLLCGNNSRENATRMLEEVWRVLKENGVYILVTYGAPTYRLSLLKRTFSLIKLHVIDKFVSEKRTDPPKWDLLKPVPFDNDVRTLETMLGQNPDIHYVYICTKDSSLKTDEKHEVAVE >KGN59553 pep chromosome:ASM407v2:3:32630007:32630275:1 gene:Csa_3G824980 transcript:KGN59553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSLICFRRLPSAGAACCPEKKNKWETSQEPFVGTESAGVGRARTVRLFVIELGRLRIAGDGGFAVRSLELEERWDG >KGN56457 pep chromosome:ASM407v2:3:6946387:6946637:-1 gene:Csa_3G120360 transcript:KGN56457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKKEEEDDEYHLRGEPVTEDPPEFRSSDEKKLMENARVRQRRKTSSESCDGGGNLGMQCLSIDCVT >KGN58667 pep chromosome:ASM407v2:3:27070415:27072809:-1 gene:Csa_3G717870 transcript:KGN58667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAEAKVRRVVFTSSIGTIYMNPNRSPDTVVDESCWSDLEYCKNTKNWYCYAKTVAEQTAWATAKEKGVDLVVVNPMLVLGPLLQQSINASVAHIMKYLTGSVKTYVNAVQGYVHVKDVAKAHLLVYETPSAFGRYICAETMLHRGELVDILAKFFPEYPIPTKCSDQVNPKKKPYKYSVKKLKDLGMEFIPVKQCIYETVKSLQEKGHLPLPA >KGN55673 pep chromosome:ASM407v2:3:586717:589024:-1 gene:Csa_3G002920 transcript:KGN55673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGEQVEELLNREENRSLLDGLEKASMRVEIAKKQLAEIEKQELELKRFKDYVSQLENRASEIEECQKEILEARGMIEEAERSLAQSEGGNAIRDGEDGGLDRDEERFESVKAASISAIVGTLAGLPIFLNQVNSTSQLLLPTAITFISCALFGVTFRYTIRRDLDNIQLKTGTFAAFGFVKGLATLDGGVPLELSAESFSSHVIDAAVYVSENLYIFICAAVALDYCFKMSLLSPFPIRKSISRVN >KGN55966 pep chromosome:ASM407v2:3:2934968:2942287:1 gene:Csa_3G040850 transcript:KGN55966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKMSFEEVESNSMTSNSNSSFNDNGVPHRSEKFQSSSLGHYFLSHQPKTLEEDKMIRKKLQELKEEVRSMFVTTNKFSKKLSLIDSIQRLGLSYHFDDEINEVLMLMKNPCNVDDEHEDLYITALRFRLLRQQGFFVSCEIFNKFTNERGELKESIRKDENSLLSLYEASHLRMKGENILDEALAFTTTHLKAMAMDSNSPFFEEAKYALKWPIYKAVQRFMARHYISLYSNNPLKNNALLTFAKLDYNSLQKLYQKELSELSRWWKNQKFMEQLHFARDRVVECYLWVIEAYNKPNYCANRRIFLKMVNFLTIIDDMYDTYATLDELQLFTDAIQRWDIKSIEKLPNYMKGLYEAILEFFGEIEQDMSMDNNNIPFALDCAKEALKRQCKAYLVEAKWLSEGYVPTMEEYMNVGVVSVGTYVTALVPFLALGNVASKEVFQWVQTDPMLLKAGGIIARLMNDITSHKFGQEREHVVCAVECYMKQYGVTEGEAIVELNKEVVEAWKSMIQDHIHTKVCTKFPDVILHLGLNLARVTNFYYKERDAFTFVEGETKHLITSSLTMPI >KGN56024 pep chromosome:ASM407v2:3:3347095:3350651:1 gene:Csa_3G047850 transcript:KGN56024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESQEILLNSLQKSGVSIPTDLSSIRNLSPPIFFSICAQVLNRIHPQTKTPFSTSLPDSVADQFKVSSEIASAIKDLGFIGDISFHKFLYPSEEDLYKLIRFLVERLPEASDGKFKVLEDGDGRKETKADTSKCYYVENQSNKIDTDDSVSTHQKVENKLADLNIVAEETKSPDSIVDRFSDFHLDRKSSGEAAMVDNLVNASKDQSEVSGNESVELQGNNRHEVGTYIQKTFEDQEIGPLEEVTTNASELKHFQVELERLKAVTSIVFDDNHSIEFHLRQLEEQINSRKLDLLEMKSRWDAERELLEIKRRSLQESLCASNPKAQEKLQKLREFESEKKLIELEIRRREEENSNIAIDLKKQPKQLSRRSYINRVKEITKNSRKQDADIDRILKETRELQLESNNIQERLHRTYAVVDELVLREAKKDDTGKQAHKLLTNIHENFGEISNKILSTDRLRRETAEYEKKIAASASRSLNFNKLQADLDAIRRENGHLEQHLCHKKTIDKV >KGN58834 pep chromosome:ASM407v2:3:27905835:27908289:-1 gene:Csa_3G733880 transcript:KGN58834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFSFPFLSSLLLASTAVWAAASAGLQSLTPGNSIAVEDENQFLISPNGTFSSGFYPVGNNSYCYSIWYTKSFEKTVVWMANRDKPVNGAKSRLTLNIDSNLVLTDADGTIVWSTDTVSNGEIQLRLLETGNLVVMNQSQNFIWQSFDFPTDTLLPQQRFLKTSTLVSMQNRGVYLSGFYFFKFNDYNVLNLLYNSPSLSGIYWPDTMVTVFVNGRSPYNSSRIAILDEMGGFESSDKLKFNATDYGLGPKRRLTVDFDGVLRLYSLVESTGNWTVTWIPSGARIDPCLVHGLCGDYGICEYDPLPTCSCPPGFIRNDPSDWTKGCKPLVNLTCNSINPSKEMDFIALPNTDYFGHDWGYVDKFSIEMCKDWCLSSCECTGFGYALDGTGQCYPKMALRNGYRKPSTAVRMFIKVTKDEYSLSLALRHSTNELNCSVSQIVLGTEHVYAEKSNKFRSMGLLVGVVVAIGISELIFVGFGWWNVFRKRVNEELVNMGYIVLAMGFKRFSYDELKRATKNFKQEIGKGGFGTVYKGELDDGRVVAVKRLDGVLQGEAEFWAEVSIIGKINHKNLVKLWGFCADKHHKMLVYEYVKNGSLDKFLFSDSSQVLGLEQRYEIAVGTAKGLSYLHEECLEWVLHCDVKPQNILLDESMEPKVADFGMSKLFREINESGFSKVRGTRGYLAPEWMMNLKIDAKADVYSYGIVVLELLSGKTAYGFESSTVCKDGGRNIDMVKWVMEVAEKGEVEKVMDPRLKVEDKQNKKKIDILLKVALLCVKEDRNMRPAMSRVVELLTGYEEPSSHGDVC >KGN60436 pep chromosome:ASM407v2:3:39322517:39326478:1 gene:Csa_3G910730 transcript:KGN60436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSSLSHWHIGQYIVWGCLYMSVISLNSLQYESGNVFSNDLQHEFRPVTGNGSRNISPILFSSSSHFVSCEDLGGVGSFNTTCLLNTNLSLYSDFYISGTGNLEILPHVAICCPIEGCTITLNMSGNIKVSHHAGVVAGSVVFSAANLTMEYNSYINTTSLGGAPPSQTSGTPFGYDGSGGGHGGRGASCFKSNQTSNWGGDVYAWSTLSEPWSYGSKGGGISDEKPYGGLGGGRVKLIIVGVLYLNGSILAEGGDGGSRGGGGSGGSIFVHAVKLRGNGTISAAGGKGWGGGGGGRISLDCYSIQEDIKVTVHGGISIGCSGNAGAAGTYFNADLLSLRVGNDNLTTETETPLLDFSTSPLWSNVFVENNAKALVPLLWTRVQVLSDVFFLMLPLLYRGGKCLAPL >KGN55878 pep chromosome:ASM407v2:3:2195935:2196473:1 gene:Csa_3G021150 transcript:KGN55878 gene_biotype:protein_coding transcript_biotype:protein_coding description:proline-rich protein DC2.15 MASKISSTTSLLLLLNLLFFTMVTSTYVPCPPPPQKHPKGVPSKQPTPQPKCPKDTLKLGVCADLLDGLVHVVIGAPPKTPCCTLIQDLVDLEAALCLCTAVKAKALGLKIDLSVSLSLLLNYCGKKVPNGFKCPA >KGN58196 pep chromosome:ASM407v2:3:22594217:22596111:1 gene:Csa_3G589540 transcript:KGN58196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCYFRSNRFKNISLHTPISIVPLRFIFAVETPLQSYTVTPPIKPWPQRLFPNRLVAMIRRQQNLDLALQIFHYAGKYHPAFTHNYDTYHAIIYRLSRARAFEPVESLLLELQDSGINCSEDLFITVIRSYGLASRPKMALKTFLRIQTFGVRRSVRSLNTLLNALVQNNRFSSVHLLFKYSKSKFGVVPNVFTCNILIKALCKKNDVEGARKVFDEMPSMGIVPNVVTYTTILGGYVSRGDMIGAKRVFGELFDHGWLPDATTYTILMDGYVKQGRFTDAVKVMDEMEENGVEPNDITYGVIILGYCKERKSGEALNLLNDMLEKKYIPNSALCCKVIDVLCGEGRVKEACKMWEKLLKKNCTPDNAITSTLIHWLCKEGNIWEARKLFNEFERGTISSLLTYNTLIAGMCEMGELCEAARLWDDMLEKGCVPNEFTYNMLIKGFLKVGKAKEVIKVVEEMLDKGCLLNESTYLILVEGLLKLGKREELLNILSMIISSGAVDFKAWNLFVPHFVSNVNEQANILEKILIETFR >KGN59620 pep chromosome:ASM407v2:3:33110961:33116033:-1 gene:Csa_3G829100 transcript:KGN59620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSEKVVAVIMVGGPTKGTRFRPLSFNTPKPLFPLAGQPMVHHPISACKRIPNLAQIFLIGFYEEREFALYVSSLSNELRLPVRYLKEDKPHGSAGGIYYFRDIILEDSPSYIFLLNCDVCCNFPLPDMLEAHKRYGGMGTILVNKVSAESANQFGALVADPVTNELLHYTEKPETFVSDLINCGVYAFTSEIFDYIQDVSIHREGRANLRRVSSFEALQSATRNLPIDFVRLDQDILTPLAGKKRLYTYETMEFWEQIKTPGMSLKCSALYLAQFQLTSPHLLASGNGTRSATIVGDVYIHPSAKVHPTAKIGPNVSISANVRVAPGVRLISCIILDDVEIMDNAVVINSIIGWKSSVGKWSRVQANGDYKDKLGITILGEAVIVEDEVVVTNSIVLPNKTLNLSVLEEIIL >KGN59975 pep chromosome:ASM407v2:3:35910780:35913731:1 gene:Csa_3G859620 transcript:KGN59975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFDLVNRPHQHPLFFNEDGRKINGEVAFCSRCRQPLRPPAFTCSNFDCNFHIHQSCLHLPPQIHSPFHPLHPLFLETNNYFCTVCWQMPSGDVYRCRKCNFQIDIKCVLTDTKSSGLRRISGDQFRHFSHPHPLTLQLEENRGKNRVVCFVCDLLIKSTPSYFCSQCDNHFHQGCAELPRELYDVRFHQHPLFLLPNLSFANFLCDSCNNNCRKFVYSCPHPRHCKFNLHVACLQSFNHEHNFTAFRNAMDSFDCRVCGKKGNGFPWFCEICHILAHRKCAKSPLTLRTVGHHLHDLTLTYFRDNVPNHTRYCKICGEKLEMKFAGYGCYECNYFTHLDCAETQRFDLQSTPIVDLTTNHYSSNNEHDNEIQCSVHSHNLNLTMGIRRKGDRICDGCLKGLLSSSYGCQQCDFYVHKECAKLPKTKTHFLHQHLLTLISIPNFIFHCEACHEYFHGFAYHCKTCLSTFDIRCTSIKIPFKHPGHQHPLSLDRTNEEHNCEACGEGVRNRVSFRCVDCNFYLDAKCATLPLTVRYRFDAHPLNLTFVEEEESDEYYCDVCEEEREPWLWSYSCRICCFEAHLGCVLGEFPFVKSKIHEAHKHPLSMVMKGKEQMNCGSCNEWCDENLAFQCGTCKFNVHAIGRCYQQQLKQGKLAYTQRYFYSRGVELYERPTIYSPIREPLRLHGGKGGNSWEEKVFTTVRTFVVYHQQCVHAIQIYYEKNGKAIWSAKHGGDGGTKYEVVFDYPYEYLVSIHGSYNNVMELERVVIESLTLETNKRVYGPFGIEDGTKFSIPNKRVKIIGIHGKCSSYLDSIGLLTLSTRS >KGN58410 pep chromosome:ASM407v2:3:24981630:24995111:1 gene:Csa_3G639540 transcript:KGN58410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFATVVPRFSILLMQSRSDSNPRRGFGNKEDNKADKAGSSGKEKGRVYQPRKPIPKQSSTVPTQAPAVSFRNDGNSYNKSLDLQFEERLEAVKRSALEKKKADIKKEFGAIDYDAPVESEEKTIGLGTKVGIGVAVLVFGFVFALGDFLPSGSTGPVKDSVVENIKLSREEESNLKVTSSELFSYH >KGN59320 pep chromosome:ASM407v2:3:31010773:31015619:1 gene:Csa_3G809960 transcript:KGN59320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASERRYLEDDDTSLMKTIKGATMGFVSGTIFGTIVATWHDVPRVERNVALPGLVRTLKIMGNYGMTFAAIGGVYIGVEQLLQNYRMKRDFVNGAVGGFTAGASVLGYKGKSISTALSAGAALAFTSSVIDIGGQTTRIDTGKEYYPYTTKKRSHIE >KGN59562 pep chromosome:ASM407v2:3:32709264:32709508:1 gene:Csa_3G825070 transcript:KGN59562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEADPILPPLCEITHLGSTLALRLASMDEAEACGKGLELSRTFLLSFVDPHFGIK >KGN59433 pep chromosome:ASM407v2:3:31864288:31867783:1 gene:Csa_3G819900 transcript:KGN59433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWALRRASTPLRNQGYRVRTSYVFGKLEVPYFWEGNVAGFGTTAGLYDKFISFERNNPATWPSSGVYISSHGLSTQSRAENSGEEENVEDGFSELDGNEEELTSGSKIADDDDNDVDDGTQKELDLLDGETELAEKKFTKWVPSKLTKAIWNAPDFSVASALVKWVSQGNKLSRDDISSTMISLRRRQMFRKALQFSEWLEANGQLEFNERDYASRVHLIAKVQGLHKAESYIAKIPKSFQGEVVHRALLANYVVANNVEKAEEVFNKIKDLEFPMSIFAYNQMLVLYKKIDRRKIADVLLLMEKENIKPCPFTYKILIDGKGLSNDISGMEQVVDSMKAEGIELDVSTLSLLAKHYVSCGLKVKAKAILKEIEETNSNGPQWLCRILLPFYGKLQMEDEVRRLWEICEANPHIEECMAAIVAWGQLKNVQEAEKIFDRVVKTWKKLSARHYSIMMNVYRDSKMLTKGKEVVNQMAESGCHIDLLTCNAIVKLYVEAGEVEKADSFLVKAVKKYGMKPLFTSYKTLMDHYARRGDVHNAEKIFDKMRQSSYIPRLGQFGTLIQAYVNAKTPAYGMRERMMADKVFPNKTLAGQLAQVDSFRKTAVSDLLD >KGN57367 pep chromosome:ASM407v2:3:12561499:12563193:-1 gene:Csa_3G182030 transcript:KGN57367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMNLEPKISELLPRCNFPQLKQIHALLLTTSIHQNIHISSSFLRRTTEFRIMDYASLVFSHLSPSFKTEIQFWNAMIRGYAFNGPAHQSVSLYGDLLQRGLKPHSFTYPFVLKSCADLGWFWDGKKVHCRILKTGFSLNSSVSDALFHLYVNFSKFTGPKDAADGMASDARKVFDEMCVRSVEVWNRMILRYTSTGDVSGAQKLFNTMEDRDTVSWNTMISGYIKVGEEALDLFNQMLSEGITPDGYTFVSVFSACSHLGNLMLGTKIHYAIDDFSQLGVIAATALIEMYAKCGDINRAFNLFIKIGKKDVFFWNVMLKSLALHGQAQDALKLFSLMQKQGLKPNDFTFLGALFACSHGGMVEEGQTIFDMMEKEYKIRPRIEHFGCIVDLLSRNGRLEEALDVVDKMPFEADVAIWGALLGGCKLRGDFKRAGEIVERARKLRSKEGGIHVSFSNMYASVEQWAEAVNAREKMENENILKKTGQSSVIYAPNGRSYLL >KGN56770 pep chromosome:ASM407v2:3:8737022:8737893:1 gene:Csa_3G133210 transcript:KGN56770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLFVMFLLVAVAAARGVPGGDQAEFVEAVEVKAAELPRNAGRGDAVRDEKNFIYGGVGLGGLPMLGGSAGIGKFGGIGGVAGIGSLGDPGGLGGGGAGPGVGGASGIGGLAGHGLGGAGGIGGLAGHGLGGAGGVGTGSGIGGIGGSNGGIGGDGGAGGGPPVSGSIRP >KGN57856 pep chromosome:ASM407v2:3:18240578:18244844:-1 gene:Csa_3G354500 transcript:KGN57856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVTVSSAAARTPFRLGVKFSNHSSTLKRPSIVAFKADKHTNTTLVSPHEQVTLPVESNNRRKKRSGNTSKPLKRVKAVLIDEATPCSLDLDYNEAAAKLENIFKLSPMTEDSDKECKDGRSKRGQKRSKKSANRPGDGIVRNQTKRIKRLDLDKRIALKNKKEGKAVALLRKQKDTKNEADEIDGLLLNLEKRIALKSNKEGKAVPSLRKQKETKNEDDEIDRLVRDYSASTDLVSLDWKKMKIPPVLPSSEHTRLFKLVQPMKALVEVQEELQKGLGREPTEGELANATNMNVIQVRRQLEVGRAARNKLIKHNLRLVLFVINKYFEDFASGPKFQDLCQAGVKGLITAIDRFEPKRKFRLSTYALFWIRHAIIRSMTLSSFTRVSFGLDSVRVEIQRAKLELLCELHRLPTEGEITAKVGISQERYLEVMRATKPVYSLHSRHSTTSEELINGITDVEGTGGDNRRQPALLRLALDDVLDSLKPKESLVIRQRYGLDGKGNRTLGEIAGNLNISREMVRKHEVKALMKLKHPARVDYLRRYLI >KGN56413 pep chromosome:ASM407v2:3:6701042:6714733:1 gene:Csa_3G119460 transcript:KGN56413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTASKMDPISRLPLPLLGSEPIPPAPNRLDPLGSSIDWIPDFAGYAWVAYGASSLLVISHFPSPLSPHETKFGPIFRQVLELSGDHLSAVNAVSWSPVLPSEGELAAAAGNRIWVFSHDLGASRGSFCWRQNSVLVQSLKVEAIQWTGSGDGIIACGVEVVLWKNTNKSWEIAWKFKPDVPQTLVSASWSTEGPFATAPHARISKTENMLTERACRSVLVSQSEGEYGHVKIELCHPLPITVIQWRPSVNGPEIGKHSPRNVLLTCCLDGTVRLWSETENGKVRKFSKDVNNKKSMRRHFSVAAVVEINQALKGTLGMDLFVTWATEIRGMCQPFEVTKKVQSSVGFEQNKAGNCEWLISLGPGSLVTFWAVHCLDDVSPLRFPQVTLWKKQELKGFEVGRHYTDGCTNLSNKFLLKKVVISRIHQSGSPSICSLIQLLPCNSLVWSLLSAHTLTDVGDASFDQKRLESLSSCSFSSQLNLSGHAGKILHVAVHPYNCEVKIAASLDSNGLLLFWSLSSISNCALGSPTLTPTWELCGKLVTQDSCSKYTSVQWAPSILDEELILLMGHARGIDFFAVRISQSDEENTECHYLCTIPFTGHGPFENGPTNIFSILLPSDCNITYKFNKFMLLGIWMKGFQALSWEITLHAYDISGTGLHCKCDIDNENRAELSILTFESAFGSKKYCVSIIPCSSQLPNSQIHDQITSFAVVHQGTFVPVQQKLASSGEPSTPAYIMATGSADGCLKLWKSNVGKPSIFHVPWELVCVVVAHQGPITALSLTDCGRKIATISKDNLECKTSSVHLWELAYLGAGILLFEDELSFESNIIAVDWLTLGNGQFLLGICLQNELCVYSLKRFGCHTLLETTKSLDTKTWICIGISRTLPSNCGFLWGPRTTAIVLHDRYFCIVSPWLFLGVTNHDAMCNTHYIGETKTHHVNGTNTNISVAVFADKCCGIKTLPDDIYERKYRPGSLGLISMPDVVDKLCGSLSSFHPQALLFNIYSGKWKRAYSALSHLIEHLSSDKKSSANSTYTIPEIPLSDYFEGVIKTSTDKGVQWSTNSLSSQFKEGVSQWAFNWDSISNDNSFVPSSTKSEFSSFIEPLEKLYELAGLTSMEKTQTLAIVDLLGEISNKSSSSAYESLDEPGRRYWIAWRFQQLQFLRRESRSASMEELAIDSKLIGWAYHSDCQEILLNSVSSNEPTWQEMRSLGVGIWFTNTTQLRTRMEKLARSQYLKKKDPKDCMLLYVTLNRIQVLAGLFKISRDEKDKPLVGFLSRNFQEEKNKAAALKNAYVLLGRHQLELAVAFFLLGGDSYSAVSVCAKNLGDEQLALVICHLVEGRGGPLQQHLITKFMLPSAIEKGDTWLASILEWELGNYTRSFLNMLRLDSNSVTGPPFLSSKHIALLDPSVGMYCLLLATKNSMKKAVGVQSAEILCQLATLMMATSLNRRGLPLEALEHVSTCGSITDVSDGTNKVDIQCFDTISNICQKSPGDSSSWLSVEFAVHLEHQVKLDLAAQYFSKLIRKHPSWPTINFESVGCMSCSKEYEMDYEKSLESYQHKLSVGFAQFEMKFSLLPASLVSMMLLFLCNLGLQFIGNDIVRGFTSQECPDDKNLTTYSFLVHRLLHKALLKTAREISFSASRYTIACSLSFHGGEIRSKCLDTWWYYLQGLLLSLQGVRAALRTTHDSLNDDRVSKLLTILDLVEYNLYFTSAWLLRDSRCLLKMVQLLLANEQSPHDVEIERLKQLLSQFGELIAQNLSSDVDHNHEILEGMANEEYDDIVHSIPGDERWHIIGACLWHHMSKFIKHKLTTLTNKSKEGSFSGITLGNLNSWVPCLSTVKSDQNDILKNMIELISKNFTSLLTIVLAQASSYQLKQLVSFLQYKLDQRLCVATVVWFEQFSKSSEHKKHHADEMYNIDMCNKGEFETLWNITSNPNLVSECFAHEKVHLLHCFDRKLSKRWTDIYNGTTRPEETCSREGALINSSASDTIGSPGKLLRSGRTLVSSEKELATLDDVMPFQKPKEIYRRNGELLEALCINSVDGRQAALASNKKGIIFFSWEDGMASRDEEDYIWSNSEWPLNLNGWAGSESTPAPTCVFPGVGLGTNKGAHLGLGGATVGVGSPARPGRDLTGGGAFGISGYAGMGASGLGWETQEDFEEFVDPPATAEHTSTRAFSSHPSRPLFLVGSTNTHVYLWEFGKDRATATYGVLPAANVPPPYALASISSVQFDQCGHRFATAALDGTVCSWQLEVGGRSNVCPTESSLCFNGHASDVTYVTSSGSIIAVAGYSSSAVNVVIWDTLAPPKTSQAAIMCHEGGARSISVFDNEIGSGSVSPLIVTGGKGGDVGLHDFRYVVTGRNKKHSPKGERISDASNTNMLGTVGEQNLNGMLWYIPKAHSGSVTKITSIPNTSLFLTGSKDGDVKLWDAKRAKLVHHWPKLHDRHTFLQPSSRGFGEVVRAAVTDIQVIASGFLTCGGDGLVKLVQLQ >KGN57391 pep chromosome:ASM407v2:3:12707767:12715031:1 gene:Csa_3G182760 transcript:KGN57391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVKFALRKFLGEENRTGLHFCAFIFSPTNLRFLFSLKKLSQTKTKRKKKKKKKKQLLSSPPITSSNFPGPLPPATLPKSSPNTIRSVGLFLSLNRSFLFLLMPVAKLKASNYPDVMKPEEGNDSLDTIIRQAIGKEPFLSFSRAGESPVQWIQLLHALDQQELPGWPLLSPLKIQMQKCEKCAREFCSVINYRRHIRVHHRLKKLDKDSAKSRDLLAAFWDKLTWEETKEAVSFKNVSIEGIQGSAVIKNLTAIIGKPGFSALPHVYLRAGSALLDIVQGRPSRFPLSSQELFEILDNASEKTFLCGTAVSMQKYIFDGDAVKIGLETKNLVACMSFLLEEKLVKTWLADKDAEALRCQKLLVEEEEAAQRRQAELLERKRQKKLRQKEQRSKEQKLEEKADIEGSVDEMIEDGLLEESSSPQTECHSERDSLGILPDHTPSSIETSQHSLTDEDEDSESHSGFHNGYPEHLPADHNGEQQKIQMNGHKHVISQWQALPKTQRGLSNGYRADQNYQGLKNGDMRRHGNHVQSRAAPIVNGKKVWSRKPKPERDGDRFQARIQEEATTQAEEIKSHEVLIGSISVALGNCNQESKDPVGTPDDYQDGHQTPKKINNHLEKFVKPDSIQTATNRVMVKLWRPVSRNGTKYAMPDQSENGESEAEVTTEKLEDQALLNVYSPHSLDGDTADFGNDSFIQEEPALPVGLEFSSRAAKAFLAQRWKEAITADHVKLNLPSDSESSGCFQLQNENETNFDRGVVVNNGNTILINLEAPKSSANEAAGKTTTKFRTKFEKGAKIKYIPKLRTTTTTTT >KGN60324 pep chromosome:ASM407v2:3:38578854:38579227:1 gene:Csa_3G895700 transcript:KGN60324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMQDEEIKVERHMLEENSYCSGASFVPRENGEEEDDGWIIAHVHNEITNTSQQVYIIDARKFSEEPIAKITLPQRVPYGYHGAFIPHQFE >KGN59550 pep chromosome:ASM407v2:3:32618211:32620572:-1 gene:Csa_3G824950 transcript:KGN59550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEVFERYERQYCELSANLFRKCTSSTALDGEQKKQKLSEIKGGVDEAESLIRKMNLDARSLQPSVKIGLLAKLREYKSDLNNLKSEVKRITFGNVNATARDELLESGLADTLNVSADQRTRLMTTTERLGNMSGRIKDSQRAMLETEDLGVSILEDLHSQRQSLLGAHDTLHGVDDNVGRSKRILTNMTRRMNKNKWTISCILTVLVIAIIVILYFKLK >KGN57338 pep chromosome:ASM407v2:3:12360317:12360895:-1 gene:Csa_3G180265 transcript:KGN57338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDNNNNSPLPPGRHRIFRGIRSRSGKWVSEIREPRKATRIWLGTYPTPEMAAAAYDVAALTLKGPNASLNFPHSLLSYPIPPSTSPSDIRAAAAIAAAARNPQASPATTSTATTTDSSGTEAIDGGGPSSHATGDCSGKFVDEEELLNMPNLLVDMAKGMLVSPPRMKSPSSDDNSPNHSQGDDGLWSYSK >KGN56558 pep chromosome:ASM407v2:3:7463709:7466674:-1 gene:Csa_3G124780 transcript:KGN56558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAHVSLNYFPSSSSSSPSLLRSPPLSYAKRHPVSVAALGDDPIKEWILSEGKATQITKISPVGGGCINQANRYDTDAGSFFVKRNRSIGPSMFEAEALGLSAMYETQTIRVPKPFKFGPLPSGGSYIIMEFIEFGSSRGNQSELGRKLAEMHKSGKSDKGFGFDVNNTIGSTPQMNTWSSDWVRFYAEERLGFQLRLAVDQYGDSTIYEKGQRLAKSIGPLFDNVVIEPCLLHGDLWSGNISSDKNGEPVILDPACYYGHNEAEFGMSWCAGFGGSFYDAYFKVMPKQPGFEKRRDLYLLYHYLNHYNLFGSGYRSSAMSIIDDYLRILQA >KGN59078 pep chromosome:ASM407v2:3:29310439:29310997:1 gene:Csa_3G759990 transcript:KGN59078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILTNNKSSVALFLRLLFLVMVLAETTATLLCKVDTKELCVCRPAVAPLHGKPLQPPTERCCSVLRSADLKCLCNLKSILPSAGINATIALALPSKCGIPSPPECRG >KGN59493 pep chromosome:ASM407v2:3:32321979:32327231:1 gene:Csa_3G822440 transcript:KGN59493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSDPGFKHEPGACSTAAAGRGTAESSEVVMANDQLLLYRGLKKAKKERGCTAKERISKMPPCAAGKRSSIYRGVTRHRWTGRYEAHLWDKSTWNQNQNKKGKQVYLGAYDEEEAAARAYDLAALKYWGPGTLINFPVTDYTRDLEEMQNVSREEYLASLRRKSSGFSRGISKYRGLSSRWDPSFGRMPGPDYVSSINYGAGDDQATESEFVHNFCIERKIDLTSHIKWWGPNKSRTASAGSKSSEEDKNSCVGEVGSELKALGQTTRPTEPYEMPCLGASGVKKAASKVSALSILSRSAAYKSLQEKALKLQETNNENDENENKNTVNKIDHGKVVETPTTSHGGGDPSERYGVTFGTSGGLPLQRNMFPLTPFLTAPLLSSYNTVEPLGDPIHWTSLVSVLPTGLSRTAEVTKTETSSTYTLFRPEE >KGN59086 pep chromosome:ASM407v2:3:29431295:29435673:1 gene:Csa_3G764550 transcript:KGN59086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAKTQALLLKIFFLLFAFNTLTLCIYFTSHSKPSPPTSPHRNPTSDNRFPFPENSHSSKPWPILPSYLPWSPSPVPPRSCEAYFGNGFTLRTDLFKPKLAGKLAGWFRCWYSETLESSICEGGRVRMWPERIFMSRGGERIEEVIGRKEEMELPEFRSGAFELDGGEERVLADEKFLDRFVQEGEVQRHTMRALFGSFRVVSESDFGCQEWIEEPVLLVTRFEYANLFHTITDWYSAYVASRVVGLPNRPHLIFIDGHCKTPLEETWKALFSSLRYAKNFSSPVCFRHVIFSPLGYETALFKGLSEGINCHGGSSHDLWLKPDDHKTARLSEFGEMVRAAFGFPVDRQVERSTSFHNVLFVRREDYLAHPRHGGRVESRLTNEQEVFNALQNWASNHRECRINLVNGLFAHMSMKEQLRAIQDASVIIGAHGAGLTHIISALPKTVILEIISSLFRRPHFALIAQWKGLDYHAINLSGSHADPEVVIEHLSRIMASLGC >KGN56741 pep chromosome:ASM407v2:3:8526607:8531127:-1 gene:Csa_3G131940 transcript:KGN56741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLVNPMEKDDNYSNEGSMAPSFSSHQDLYNNQDQFMRSRPNFETSADNSTGILTYFPPNPITSPDKLSFGEVMQFADFGPKLSLNRFDDDDSEPPGIDPVYFLKFPVLNNERVEDTAGGGETEEAAEIGGRSLVVGELEEENFRSNNNNNNNNGNNGNNNNNNKRKRGRTVKTSEEVESQRMTHIAVERNRRKQMNEHLRVLRSLMPGSYVQRGDQASIIGGAIEFVRELEQLLQCLESQKRRRLLGEPPIVQAADTPPQQQPPFLPPGAVNFPGHQNDAQIFETTAESKSCLADVEVKVVGFDAMIKILSRRRPGQLIKAIAALEDLQLNILHTNITTIEQTVLYSFNVKIASESRFTAEDIASSVQQIFNFIHANTGM >KGN57396 pep chromosome:ASM407v2:3:12752436:12754578:-1 gene:Csa_3G183300 transcript:KGN57396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASINNSVDTVNAAATAIVSAEARVQPTTPPKRRWGSCWSLYWCFGIGSQKSNKRIGHAVLVPEPAVPGAVAPAVEHRTPSTTMVLPFIAPPSSPASFLQSEPTSNTQSPAGLLSLTALSVNNYSPNGPASIFAIGPYTYDTQLVSPPVFSAFTTEPSTAPITPPPESVQLTTPSSPEVPFAKLLTSSLSHTNKSFGTNQKFTLSHCDFQPYQPYPGSPGAHLISPGSVISNSGTSSPFPDKHPILEFRMADAPKLLGLEHFTTRKWI >KGN57831 pep chromosome:ASM407v2:3:17910594:17912023:-1 gene:Csa_3G340350 transcript:KGN57831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQSDSIWVKVHLKSSIEKFYGFFRNHMEDLVNMFPEQFKSFAFVEGQSLSADTVVQLEYNLGSHQLLTANIKLKVVDDMKKYIIYEAVEGDVLKNYKVFTTRLEVVNGSLSKVGGGSFAKWTIDFVKANENVPSPENYLEMFINMSKGVDAYFSKNQKP >KGN59033 pep chromosome:ASM407v2:3:28987689:28994369:1 gene:Csa_3G747640 transcript:KGN59033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRLHRNRHAKSGEKFDFKFSNFKATQVPKGWDKLFVSVISEQTGKAIVRSSKAPVRNGSCQWTESLSDSIWVSQDEVSKEFEDCNFKLVVAMGSARSNILGETMVNMTNYIDSKSSSAVSLPLKKCNHGTILQVKIQCLAPISKVRSGEFKHTDSPKQDFKKEGHDSDSCSDITDSQLSRSIGSSSGADLYSSLHSGEASSKEASFSASYSQLSNSSSEVYESVENDAAKNNYSDIQRQDSVSSQNSAPCLSPNSVITGSEATTIEELRAEARMWERNSHKLMADLDQLKKEFSDQSENQESLHAALSAATAECDGLRKELEQLKLVTEKSKQRRTSIEDLSYQDGEPHILNELKDELNFQKETNADLALQLKRSQESNIELVSVLQELEATTEKQKLEIEELLARHQKDDDIENINKENKKLVLQLEHVKESEKNLQLKVGVLERNLEEAKLDLQKSEASNQRFPQDTERQYDSLQNSEENVGSLHHVNINLVKEIEMLKEKVLELEKDCNELTDENIDLLYKLKQANGDSKGGSLALNSTGDELLSKSFVNFGFESMKHRHSEQISEEKIEKSPNVIENNDFNKKPESMKFELEIIVEELSKELTLKKLEIEKLESSILSKDDEIKILEGLHNKLQAKYSDLQEEKNQIDEKMMEVMLGESDGSSKGLNGLRNEVKALSNSVDLHVSANKLLESKYSELQFKKQELDLHVSQIEQERIRLSESISVLESQLKYMMGEKQSIRLELEDSKSHAVSLQDEFDKLRLEIETENVDLKQMLNDLQNQCAKAQDQCEYLQREKTKLEAAAEHLVEERNLLKKSNGELKNKNFELHEGYFRLESKVKEPLERSAQYFRRIEDFEDYLSLGLEDFASKERFLSSELDSIVEENIKYKEKLAMFESLSNETYWEKATEAQELHGAVVHLTKQLSAAKKDFNIMRMESDENLTALISELSVSKQNQETLIADNEKLLKQLENYKSLEVELKNSVNDLEQKLYVSEKERRQNEENLTNFKVQLQKTAHFQDEVFASSNKLEQKTVAELEDSKQSRIDLEEKLLRIGSGSVVEETSFPGIDDLRNELCEIKRMNSKYQQKLKILEEEKDGCLKRSQSLEAELEHLKEEKQIQRESSSVRIHSLSKTNDKNMTSKDMKLLKNGAVKTVGQNHSGKKKPKDPNSNQSQSQIKYRQDDSGCDIDDEGPHVPEAKSISRIQMLEKELAEALEANKKYEDQLSRLVSDTQNNKENSPISTIEGDVVKTKEGYESLNSDLEAELKDIRERYFHISLKYAEVEHQREELVMKLKAAKNSGRRWFS >KGN57952 pep chromosome:ASM407v2:3:19559032:19559364:-1 gene:Csa_3G405520 transcript:KGN57952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGVKKKRQYSRKNQEFSDSFPHRVVGEVFRRQVLSNLRHSPRYNNDLLSVTVNSRQNNKTPLPFISIFSNINPSFPIFFTKQIFFHSFFTQKPISGITSPSPFSAPNFR >KGN56290 pep chromosome:ASM407v2:3:5756087:5763512:1 gene:Csa_3G113320 transcript:KGN56290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSVTHFPPLNFCFPPSSSKEFPTKQSTLPPQISPSISHFTLHFPGVQYGFRRNEKFLKSVIKCRAAQVGDSSEIVKERSVSVILLAGGKGKRMGASMPKQYLPLLGQPIALYSLYTFSRMIEVKEIIVVCDPSYQDIFEDAKEKIDIQLKFTLPGKERQDSVYSGLQAIDLNSELVCIHDSARPLVLAGDIQQVLKDGRLNGAAVLGVPVKATIKEANDDRFVQKTLDRKTLWEMQTPQVIEPELLRKGFELVNREGLEVTDDVSIVEHLRHPVFITEGSYTNIKVTTPDDLLLAERILNLNS >KGN55577 pep chromosome:ASM407v2:3:4499:25313:1 gene:Csa_3G000010 transcript:KGN55577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVAEGLWRLADYHEKQGELGKAIKCLEAICQSPVSFFPVLEVKTRLRIATLLLTYSHNVNHAKSHLERSQLLLKSIPSCFELKCRAYSLLSQCYHLVGAIPPQKQLLYKGLDLTNSAGHELSVKLWSCNFNSQLANALIIEGDYQNSISALESGYVFSAEICYPELQMFFATSILHVHLMQWYDDNSVQQAVNKCDEVWESIEPEKRQQCVGLLFYNELLHIFYRLRICDYKNAAQHLDKLDAAMKADLQQTQYIEDLNKEMNALNQSLSRSDLHYKDRLALTGKHAQLQEQLRSITRPTSLSKESLEPGHFGNVRRTYRDKLELAPYPIDGEWLPKSAVYALVDLMVVIFSRPKGLFKECTKRILSGMLTIQEELVKLGIADGVREVSLQHSAIWMAGVYLMLIMQLLENKVAIELTRSEFVEAQEALVQMKNWFLRFPTILQACESMIEMLRGQYAHYVGCYHEATFHYIEAAKLTESKSIQAMCQVYAAVSYICIGDAESSTLALDLIGPVYSMMDSFVGVREKTSVLFAYGLLLMKQHDLQEARYLFPFR >KGN55861 pep chromosome:ASM407v2:3:2041489:2051396:1 gene:Csa_3G020010 transcript:KGN55861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANPPPFQVEDQTDEDFFDKLVEDDFVGPDDSGSKFLDGSDSDDAKAFSNLGINDADNTFKDSGGGCGGGDHGHDEAVGEKGSVEVDPGALAGHAEEKGTLVSSNSVGRFDVLESGNDGIGSESTSDLLVSKSDESGGAAIKEVGWSSFHADSSQNWGQGFGSYSDFFNDLGSNDVGSLGGSLENNLNGGATIKSSSVENYANNSTNYVQYQNDHQVYEGSSDQVSAGQDLSSSQQWENLYPGWRYDSASGQWYQVEDSAAVANAQGAVDANLNGEWTNVSGTNTEVAYLQTSQSVVGTVTETSTTDGVSNFNQVSQGNTGYPEHMYFDPQYPGWYYDTISQVWCSLESYNSSIKSTNEAQHNQNGYVSANSYNYGNSSMYGDYVQPNEYGSSDVHNQGLDDKLTGSHHNDNQQNVTSWQTESVSSQAVPTFGGNQLLDRSSSPDFSLRKEQQKSASSYGTVPSYFQPSQVRNEVNGPTSLNSFPSTMDYGHQFHQDNPKEHEHMPRSSDYYSNQNVTNIQQSFHGGHQSSYASNVGRSSAGRPPHALVTFGFGGKLVVVKDSSSFGNSSYGSQAPVGGTISILNLMEVVMGNTNPNAIGNDVRACDYFSALCQHSFPGPLVGGNVGNKELQKWIDERIANCESSGMDYRKAEALRLLLNLLKIGHQHYGKLRSPFGTDTVLRESDNPESAVAGLFASAKKNSVQFNNYHALSHCLQILPSEGQMRATASEVQSHLVSGRKKEALQCAQEGQLWGPALVLASQLGDQFYIDTVKQMALKQLVPGSPLRTLCLLIAGQPAEVFSTDTTSNINPLGGSMAQNSSQFSANSMLDDWEENLAVITANRTKDDELVIIHLGDSLWKERSEITAAHICYLVAEANFESYSDSARLCLIGADHWKFPRTYASPEAIQVFIRYKYIYIHTYVYIYIDMYVCMCVSVYVYICMYVYVFVCIYMHACMYVFVCVYTCIYVWMYMYICMCIYVCIYVRVYIYVCVYINVYIYVCLTYVVLPLSSRTELYEYSKVLGNSQFILLPFQPYKLIYAYMLAEVGKVSDSLKYCQAVLKSLRTGRAPEVETWKQLLLSLEERIRAYQQGGYTANLAPKLVGKLLNFFDSTAHRVVGGLPPPAPSTSHGNIHGNEHYHEPVVPRVSTSQSTMAMSSLIPSASMEPISEWTADSTKMTASNRSVSEPDFGRTPRQNQIGSSKESMSADGQGKTSDSRTSRFTRFGFGSQLLQKTVGLVLRPRPGRQAKLGEKNKFYYDEKLKRWVEEGAEAPAEEAALPPPPTTAPFQNGGTDYNLRSALKKEAPSHDGIAEFPSPNPTPAENISGIPPIPPSSNQFSARGRMGVRSRYVDTFNQGNGTSANLFQSPSVPSIKPKVATNAKFFVPGPAFSAEPIEETLPEPSQEATTTSEHPSTSTPNDSFSTPSTTPMQRFPSMGNISVKGANISGHGPFTAANARRTASWSGANFSDALSPPPKPSGLKPLGEALGMPPSSFMPSESSPSVHTPINGGGGMGDDLHEVEL >KGN56513 pep chromosome:ASM407v2:3:7219688:7223369:1 gene:Csa_3G122370 transcript:KGN56513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENNAQRGLGCNAVRIQRLNALTTGQQASQLRSQPSQQSFSQGLSSQHGMYSQLSQNSFDEVVTNEQRFSSQDRENSIKKNSFFPPVSYSKEDSQLGISKSSTSLTQKWRPSPLPDSKCQVGEELDRRLGTIENSVNKFGKILDSLLSDILQLNKGMKQVSLDVESIWQKMLINSSSLQSMNKDHDDMKAIIDGGFKLISDQLKKDAYQERLHEISDFLSSLEKQVQASQLKLKNDFISTFTKEMKAMICTLKNPLQKFPPHSIQSPESNACLDVPKQKQRRCKIQSAAPNVCTQATGVQKEEVGMWKSVKVGKAFCTSSVVKRDDPNKVIPFSEQQCLVLEREYRVIVDSDEEIERSFSCLIEEKKTVILFISSAQNLVLRRIRF >KGN57975 pep chromosome:ASM407v2:3:19856776:19857324:1 gene:Csa_3G417670 transcript:KGN57975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNKHSLFSTPIAIRFQKHKKGERMEKARKEDLELPIKSTSSPDADFTRLATRTPVRSAKGIQVLGKRSKGKEWGTKVVEGVAEESEKEEKEITMSEEKLSIHKKEEILACARMLLWTQLDKGRNGKLGPHHHPFGDK >KGN59644 pep chromosome:ASM407v2:3:33270139:33275494:-1 gene:Csa_3G835810 transcript:KGN59644 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter MDLESAIGGHPIKKDSWRAVLTLAYQSLGVVYGDLSTSPLYVYKSTFAEDIKHSETNEEIYGVLSFVFWTLTLIPLIKYVFIVLRADDNGEGGTFALYSLLCRHARVSSLPNCQLADEDLSEYTKDGVDLTNKKTCGSRLKSTLEKHRVLQRVLLVLALIGACMVIGDGVLTPSISVFSAVSGLELSMAKPHHRYVEVPVACAILVILFAIQHYGTHRVGFLFAPVVITWLLCISAIGLYNIFYWNPHVYRALSPYYMYKFLKKTQRGGWMSLGGILLCMTGSEAMFADLGHFSQLSIKIAFSFVVYPSLVLAYMGQAAYLSQHHGDSNYQVGFYVSVPEKLRLPVLVIAILAAVVGSQAVITGTFSIIKQCSALGCFPRVKIVHTSSKIHGQIYIPEINWTLMLLCLAITIGFRDTKRMGNASGLAVITVMLVTTCLMSVVIILCWHKSFVYAIAFILIFGSIESLYFSASLIKFREGAWVPIALSLIFLIVMYVWHYGTRKKYEFDVQNKVSINWLLGLGPSLGIVRVRGIGLIYTELVAGIPAIFSHFVTNLPAFHQVLVFLCIKSVPVPHVRPGERFLVGRVGPKEYRLYRCIARYGYRDIHKDDMEFEKDLVCSIAEFIRSEKAETSVKLEDAEESERMTVVGTSSTNVDGVRMCEDEGETAETSEVREIKSPKKLRKRVRFLVPESPQMETEARRELQELMEAREAGMAFIMGHSYVKAKRGSGWVKKVVINYGYDFLRRNSRGPSYAWSVPHASTLEVGMVYQV >KGN57628 pep chromosome:ASM407v2:3:15131203:15132610:-1 gene:Csa_3G233980 transcript:KGN57628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCLEYWTVEVMVFLVGLMPNSKTSTSLVAMCDSTQTIAYMITCGLSATASTRVSNELGAGNFDKAKTAMFATLKLSVLLPLLVVLALAFGHNTWSSFFINNITIMDEFSSMVPFLAISITLDSVQGAYQHLVVYINLSMFYFIGVTISILLGFKLRLYAKDLWIRYICGLSSQVSSPFSCFICKMD >KGN59858 pep chromosome:ASM407v2:3:34998921:34999070:-1 gene:Csa_3G850620 transcript:KGN59858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPWSLKKEFLSWVSFVQESLTTVTWESGTKPSQSLLLFGLPTEKLHS >KGN57204 pep chromosome:ASM407v2:3:11379467:11383563:-1 gene:Csa_3G171120 transcript:KGN57204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAPIRTSGFVDPGWEHGVAQDEKKKKVKCNYCGKIVSGGIYRLKQHLARVSGEVTYCDKAPEEVYLRMRENLEGCRSNKKPRQSEDDEQSYLNFHSNDDEEDGSHVTYRNRGRQLMGNRNVGTNMTPLRSLRYVDPGWEHGVAQDERKKKVKCNYCEKIVSGGINRFKQHLARIPGEVAPCKHAPEEVYLKIKENMKWHRTGRRHVQTDANEISAYFMQSDNEEEEEEKEESLHHISKERFIDGDKRLSKDLKSTFRGMSPGGGSEPSVKRSRLDSVFLKTTKRQTEQVQKQALVKRGGNRRSRKEVMSAICKFFCYAGIPFQSANSVYFHKMLETVGQYGSGLVGPSCQLMSGRLLQEEVATIKSYLVELKASWAVTGCSILVDNWKDSDGRAFINFLVSCPRGVYFVSSVDAMEIVDDPSNLFSVLDGVVDEIGEENVVQVITENTPIYKAAGKMLEEKRRNLFWTPCATYCVDHMLEDFLKLRSVEDCMEKCQKITKFIYNRSWLLNFMKNEFTQGLELLRPAVTRNASSFATLQCLLEHRGNLRRMFVSNEWTSSRFSKSGEGQEVEMIVLNPSFWKKVQYVCKSVEPVLQVLQKVDSVQSLSISSIYNDMYRAKFAIQSIHGDDARKYGPFWNVIDSNWNSLFCHSLHMAAFFLNPSYRYRPDFVAHSEVVRGLNECIVRLESDSSRRISASMQISDYNSAKSDFGTELAISTRTELDPAAWWQQHGISCLELQQIAVRILSQTCSSLCFEHNWTPFAKEHSQRHNSLSQRKMADLLYVHYNLQLRERQLRKQSNESISLDHILMEHLLDDWIVEPRKQGMQEDEEILCPGMEPLDAYENDLIDYEDGTSEGRKGCLQLVGLTDVDTLDVNPANGGASTDNDADVKFYDNELSD >KGN56381 pep chromosome:ASM407v2:3:6544561:6547526:1 gene:Csa_3G118160 transcript:KGN56381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNPDNEIGKKGERSHSGSLESHTSEVRDSEGTRIGILVVSESFVFLRRRGLEDGWAKLEAESSIYLNVVVFFPCEYFISSPVPENMENQSCVKHHIVRSFHSGLCLQKKTRKFAKLAPAIEDSASNLAHPPSSPRRFSPEPLPLLHTKARIEKTHSKISNAPLILPLLSEVPKRTKGNQPRYRNAKDSLTTKIPILVPSESLTSLVILCLSNLFVE >KGN59058 pep chromosome:ASM407v2:3:29138739:29139113:-1 gene:Csa_3G750360 transcript:KGN59058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQSIYKTAKKEYTPTKCGIKIWGKKFKGGGDSDYEWSRSSTVKEGIAKEEPTFVYEIVFAEAAVFGKAGIVVGGNWPHLTGGSFNAGFYIFFDRTVLV >KGN59547 pep chromosome:ASM407v2:3:32608504:32612791:-1 gene:Csa_3G824920 transcript:KGN59547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADELRPQHGNWTYDVFLSFRGEDTRKNFTDHLYYAFKDAGINVFRDDPELERGEDISSELERAIEGSKVAVVVFSERYAESGWCLEELVKIMECRRTLRQLVFPIFYNVDPSYVRKQKDEFEEAFVKHEVRYFRDIDRVLKWRMALTEAANLSGWDLRNIANGHEAKFIRLIVEKVSKEVNSKYLFIALYPVGIESRLKLLLSHLHIGSNDVRFVGILGMGGLGKTTVAKALYNQLYHNFEAKCFLSNIKAETSNLIHLQKQLLSSITNSTNINLGNIDQGIAVLQERLRCKRLLLILDDVDDLSQLTALATTRDLFASGSRIIITTRDRHLLNQLEVDEICSIDEMDDDEALELFSWHAFRNSYPSETFHQLSKQVITYCGGLPLALEVLGSFLFGRSREEWEDTLKKLKKIPNDQIQKKLKISFDGLNDHTYKDIFLDVSCFFIGMERNYVEQILDGCGFFPRIGISVLLQRCLLTIGDKNRLMMHDLLRDMGREIVRENFPKYPERHSRLFLHEEVLSVLTRQKGTDATEGLSLKLPRFSKQKLSTKAFNEMQKLRLLQLNFVDVNGDFKHISEEIRWVCWHGFPLKFLPKEFHMDKLVAMDLRYSQIRFFWKESKFLKNLKFLNLGHSHYLTHTPNFSKLPNLEILSLKDCKNLIELHPTIGELKALISLNLKDCKSLNSLPNSFSNLKSLQTLIISGCSKLNSLPEDLGEITSLITLIADNTPIQKIPNTIINLKNLKYLSLCGCKGSPSKSSFSSMIWSWISPKKLSQNYTSILLPSSLQGLNSLRKLCLKNCNLSNNTIPKDIGSLSSLRELDLSENLFHSLPSTISGLLKLETLLLDNCPELQFIPNLPPHLSSLYASNCTSLERTSDLSNVKKMGSLSMSNCPKLMEIPGLDKLLDSIRVIHMEGCSNMSNSFKDTILQGWTVSGFGGVCLPGKEVPDWFAYKDEGHSIFLELPQYNNSNLEGFIVCIVYCSCFNNTVSTDLPSLSVINYTKSSITTNKPLTNDVIMSTQDHLWQGHLSNKAFKMEPGDEVEIIVDFGAEITVKKIGISLVFDKYVDQTMLEFASTSNDDDVVVDNQDENVSEKDGEVGSKRGFDENDDEGLKNSYQIPKRLKCEIDSNMKIDEE >KGN56775 pep chromosome:ASM407v2:3:8757337:8761286:-1 gene:Csa_3G133260 transcript:KGN56775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNMFGDVKSWEGFLVRFVGFWFTIILLFCTSQGLNLEGLSLLELKRTLKDDFDSLKNWNPADQTPCSWIGVKCTSGEAPVVSSLNLKSKKLSGSVNPIIGNLIHLTSLDLSYNNFTGNIPKEIGNCSGLEYLSLNNNMFEGKIPPQMGNLTSLRSLNICNNRISGSIPEEFGKLSSLVEFVAYTNQLTGPLPRSIGNLKNLKRFRAGQNAISGSLPSEISGCQSLNVLGLAQNQIGGELPKELGMLRNLTEMILWGNQFSGNIPEELGNCKSLEVLALYANNLVGLIPKTLGNLSSLKKLYLYRNALNGTIPKEIGNLSLVEEIDFSENYLTGEIPSELSKIKGLHLLFLFKNLLNGVIPDEFSTLSNLTRLDLSMNDLRGPIPFGFQYFTKMVQLQLFDNSLSGSIPSGLGLYSWLWVVDFSLNNLTGTIPSHLCHHSNLSILNLESNKFYGNIPSGILNCKSLVQLRLGGNMLTGAFPSELCSLENLSAIELGQNKFSGPVPTDIGRCHKLQRLQIANNFFTSSLPKEIGNLTQLVTFNVSSNRIIGQLPLEFFNCKMLQRLDLSHNAFTGSLPNEIGSLSQLELLILSENKFSGNIPAGLGNMPRMTELQIGSNSFSGEIPKELGSLLSLQIAMDLSYNNLTGRIPPELGRLYLLEILLLNNNHLTGQIPTEFDNLSSLSVCNFSYNDLSGPIPSIPLFQNMGTDSFIGNDGLCGGPLGDCSGNSYSHSTPLENANTSRGKIITGIASAIGGISLILIVIILHHMRRPHESSMPNKEIPSSDSDFYLPPKEGFTFHDLVEVTNNFHDSYIIGKGACGTVYKAVVHTGQIIAVKKLASNREGNSVENSFQAEILTLGQIRHRNIVKLYGYCYHQAKVIDMPHSKSMSAVAGSYGYIAPEYAYSMKVTEKCDIYSFGVVLLELLTGKTPVQPLDQGGDLVTWVKNFIRNHSYTSRIFDSRLNLQDRSIVEHMMSVLKIALMCTSMSPFDRPSMREVVSMLTESNEQEVNFIPSPDSDLPLKDNTV >KGN56284 pep chromosome:ASM407v2:3:5690967:5693159:1 gene:Csa_3G112780 transcript:KGN56284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAYKYVSELWRKKQSDVMRFLQRVRCWEYRQHPSIVRVNHPTRPDKARRLGYKAKQGYVVYRVRVRRGGRKRPVPKGIVYGKPTNQGVTQLKFQRSKRSVAEERAGRKLGGLRVVNSYWINEDSTYKYYEVILVDVAHNAVRNDPRINWICNPVHKHRELRGLTSAGKKYRGLRGKGHLHHKARPSRRATWKRNNTLSLRRYR >KGN59229 pep chromosome:ASM407v2:3:30381730:30382880:1 gene:Csa_3G782760 transcript:KGN59229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLKKMKLFPSINSFKQGLSLLSDPTENTCSQIRETPPVFEEQISKSSNFRLSLPVVECSIISQHVRDLKDAECELEISVNNRNHLVPLIKSEEILAAKHNSEPPCLSDTIAMKPNGGHQVKVGNHEEHSSLLDFEDRCPPGGSESVIFYSTSLRSIRKTFEECNSIRFLLESFKVLFYERDVSMHLEFRKELWEVLGGRVIPPRLFIKGRYIGGADEVIGLHEQGKLRKLLEGIPLDLANSPCSCCANTRFLVCPNCNGSCKVLRDAYDGDDDNNLYNRCTDCNENGLAKCPICC >KGN56154 pep chromosome:ASM407v2:3:4345774:4346001:-1 gene:Csa_3G079330 transcript:KGN56154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVRTFAGETFNLEIEPTATIVDVKDQIEDLNGVASYSQRLIFGRKTVEDDKTLEHYQIKNDSTLYLIFRAHGGF >KGN59612 pep chromosome:ASM407v2:3:33070535:33073316:-1 gene:Csa_3G829020 transcript:KGN59612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDNSLSVPESYNQFISLINEYVAEKMRDEQRIVILTRRIEDLRSQLEATNVEIENAKRARETAEQELKGSEVELSLNKTSVQTLEIRISVLQSEIATTGSELESLKIINHLFALNKKIRKFQEELYMKNVEFLKNATEKPHEPEEDNNKISSQSVEERLIRVITQITYGEDDCMTEEQILRENRETKIYLEQRRAAMLMMVKGQTDLEAAVRYP >KGN57770 pep chromosome:ASM407v2:3:16811702:16818357:-1 gene:Csa_3G285040 transcript:KGN57770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKTLGNVIDPLDTIKEFGTDALRFTLALGTAGQDLNLSTERLTSNKAFTNKLWNAGKFILQNLPTQNDSQSWDSILSFEFEKDDCLLKLPLPECWIVSELHSLIDVVTVSYDKFFFGDVGRDVYNFFWGDFADWYIEASKARLYQSGADSVALAQAVLLYVFKNILKLLHPFMPFVTEELWQALPNCKDALIISRWPQISLPRQASAVKKFENLKLLTKAIRNARAEYSVEPAKRISASIVASEEVNQYISVNMC >KGN59317 pep chromosome:ASM407v2:3:30996352:31001100:1 gene:Csa_3G809930 transcript:KGN59317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQQRLFTYFTLVVYFTILTSLARAFTNPPDDTVYRTVIALQDLYSAMNYPLELKGWRKEGGDPCEESWTGVSCSGSSVIYLKLHGLNLTGNLGGQLSNLINLKQLDVSSNRLTGEIPHNLPPNVTHINMAFNHLSQNIPHTLSYMGNLRHLNLSHNTLSGVIGNVFTGLQNLREMDLSYNDFTGDLPSSFGSLTNITRLFLQNNKFTGSVAYLSHLPLIDLNIQDNYFSGIIPENFRNIPNLWIGGNRLRPEVNSPPWDFPLEKTPVGQDISGPPTTKSNAIQNYPSWSVVRHEKKRLGPGGMVLLVGGLTLVVTFAALFLVFAMKKVHENNINLKIGNILPRSLPLDKAEDDSSAAPEESSQNFPLGSQLMGVPRPIPLLNHTRTEKVSGRRGFSKRCRILVRTKVYTLAELQSATNNFSQENLLGEGSLGAVYRAEFPDGQVLAVKNIHMGTLLFTEEEQFLDVVWTASRLRHPNIVTLIGYCVEYGQHILGYEYVRNLSLDEALHCEAYMPLSWTVRLQIALGVARALDYLHTSFFPPFAHCNLKAANILLDEELMPRICDCGLSVLRPLVSNRVKTKASEIVSADRGYLAPEHGQPVFDNTRSDVYSFGVLLLELVTGRKPYDNLKPRKEQLLVKWASSQLHVNTSLEQMVDPSIKGTFSSKALSSFVDIVSLCIQSLFLSLLRVSTMDDQPVKEFRPPMSEIVEHLTNLQRKMEMVKCVAASNETEVNPFEKSFRSTNTGFVSSPAYSYSSTQKAT >KGN58241 pep chromosome:ASM407v2:3:23005791:23006989:1 gene:Csa_3G598380 transcript:KGN58241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAIVTLLEEDGHFRNRNLEIKYMLEEYNRTYQALAEKYDCLKFIIVNTLYSSLSSPSDAEIFQCNFRSLDMKSAAIDDNQKDKYDIFSEEFLIKLRDELVVSSKLCSQNSEKIVDAHTMYGRITGHAEIDKTETKMNELDIRQVKDVHPSVGIDRWESRWTELNSQVTMLMEENLHHQEELTRRNNEKREAIKELQQQIQSLKSENRALQSALRFTKEKLIKQK >KGN58265 pep chromosome:ASM407v2:3:23336459:23340819:1 gene:Csa_3G603030 transcript:KGN58265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEELDHVIRFKMSDFKILDCVSTGLGGRGDEIVFEALVNNRLSPLYNTKVVLRRLKTAQAQRRGKRAIEVLKKLARRRLMYHSYSMQVHGYISSLMSNGHSSFTLVHGHHSSFSLRHWLQQSDWLPTLEATLALDEESVRKVGDTTTGGPAVSRHSRLIRVLMRDLLIGVNYLHSHGLAHTELRLENVHISPVDRHVKVGILGNAAYFHENAGSDNTPESNLDRRQMMIAFDMRCVGFMMAKMVLQELMDPLIFTKFKSFFSKGHDPSCLREYLLRVLEHRLSSGNVGLQILDRNWGAGWNLLSLLLANKPSKRISCLEALRHPFLCGPRWRVAPSKEIIRWGLGSTAVRIAEEYIYSHSQRGKLSHFIELMEMLTPHSKPKHWLEVIPGKWRFLYSTGRHIGLTLRQPPDRVLIGDVCLTVARDTKLNNRISLTSDIEFTVMRGRNWPHDKIGVNGKLVGYSSSRIQAGRRLYLKEENTTAQHVLSQKLSSQKWRKVIPFEELPSSLPAVKLVPADIDLTMKLDDPLGEDVDAARNIIQEVRTQVPPELFDLSKLICGTYVDSRLLILRSIDGSALLFTRSCLDENHR >KGN60369 pep chromosome:ASM407v2:3:38898026:38899365:-1 gene:Csa_3G901150 transcript:KGN60369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSALLRTGSVPLLSPTTTPSSSFSNNKQPLYGLFSSHNSSTSSPRSISLHYCTRNHSNHIRRAASESDIVRSLHQVSNTSDQFSGLASRSRSRSSFPSKIPEEEFLNEDHDQIFDGDSMEEDKVFLNSPIGINDRSKIGAYYEHMLKLNPSDALLLRNYGKFLHEVANDSKRAEECYSRAILASPTDGELLALYGKLVWDTQRDKQRAQYYFDRAVYASPNDCLVTGHYAHFMWEVEEDEAAASAEDSAAGMVRAY >KGN60287 pep chromosome:ASM407v2:3:38293362:38297680:-1 gene:Csa_3G893370 transcript:KGN60287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPILEPFSFGFHWKGSFYALFSANVSKPFAITTRLSSPPPPASSSLPTSFSIVFSFSRFSDMDATAGRSLYSSHRTKTVHLVRHAQGLYNAAGDKEANKYQSFDCFDAQLTSLGWKQVGNLRRHVRSCGLSKRIELVVTSPLFRTMQTAVGAFGGEVYSDDMHVPPLMVQNAGDSNCPAISSLNCPPFLAVELCREHLGVNPCDKRRSISECRSLFPAIDFSMIEQDEDILWTSDIGETNGEVADRGLMFLKWLWTRKEKEIAVVSHCGFLFHVLSVFGDDCHRSIEDEICKQFANCELRSFVLVDWSTKGSESSTTNFSRQVSNRLDLPSDVAAEKHPRRELQMKMWLEEDTTWHHIEKQRLLIIFVFSSLGALILQQCFS >KGN56423 pep chromosome:ASM407v2:3:6771275:6773816:-1 gene:Csa_3G119560 transcript:KGN56423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCKVSKSAPLEEPTPSNSLHDRSALASDTPFSESEIESLHNLFQKLSDSVIKDGLIHKEELRLAIFKNVNERNLFLDRIFDLFDANKNGRIGFEEFVKTLSVFHPNTPKAVKIAHAFKLYDLRHTGFIEREEVCKVYYKYLGETSSAYKYKSFKN >KGN58589 pep chromosome:ASM407v2:3:26415041:26416678:1 gene:Csa_3G695850 transcript:KGN58589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAEEPILSRLDRLDVMLRRLEEIRGCGKSPKSSCASTPSSGTLTSDYHTSSVDLSPKSLEKHCRPINHVVKVAELKGSLVERMDNLEDRVLKLCIQVEGDLEREKDMIMVEKKERKPKRSFKQLVQRCMTGQGTRRDSWS >KGN58933 pep chromosome:ASM407v2:3:28425703:28427913:1 gene:Csa_3G736820 transcript:KGN58933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIFTASLNAHLPLTFPLGPSPPLFTTNTTNSTANTATVRPFLVHSTARRTSSTDLSLLSDSSSSSLEQRLLLLLRQRKTDEAWITYTQCNDLPSPTCLSRLVSQLSYQNTPSSLTRAQSILTRLRQDNQLHRLDANSLGLLAVAAAKAGHTLYACSVIKSMLRSGYLPHVKAWSAVVSRLAASPDDGPAEAIKLFSLVTQRLRRFADPTITADSRPDTAAYNGVLNACANMGAYEKFLQLFEEMEEFGAEPDVLTYNVMIKLCARANRKDLIVYVLEAILAKDIPMCMTTLHSVVAAYVGFGDLETAEKVVQAMREGKRDICRILRDGYLDEIEDVEDLNEDEDELFKKLLPNLNEEIDSEPPLLPKIYSPNSRIYTTLMKGYMNEGRVGDTIRMLEAMRNQGDRSSHPDHVSYTTVVSALVKAGSMDRARQVLAEMTRIGCPANRITYNILLKGYCRLLQMDKAKDLFKEMVDGAGIEPDVVSYNIMIDGSILVDDSAGALGFFNEMRSKGIAPTKVSYTTLMKAFAQSGQPKLARKVFDEMLNDPRVKVDIVAWNMLIEGYCRLGWIEEAKQLVQKMKENGFFPDVSTYGSLANGISLARKPGEALLLWNEVKERCAVRRGYKSDSSSPPPLKPDEGLLDTLADICVRAAFFRKALEIVACMEENGIPPNKTKYSRIYVEMHSRMFTSKHASKARQDRRIEKKRAAEAFKFWLGLPNSYYGSEWGWEPSDRDY >KGN57526 pep chromosome:ASM407v2:3:13983386:13985002:1 gene:Csa_3G205290 transcript:KGN57526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAPVVAFVTPLPFCLTFSSLLRQLHLTNPKFSVVVQLQSPPNRFGVFGLIGLLFQLFEHCATISATSKVVIQFEVARRTRKKERRKKLHFSMRLPRGGN >KGN59155 pep chromosome:ASM407v2:3:29925158:29925904:1 gene:Csa_3G777630 transcript:KGN59155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLYTAASLLSHSSPSSHHFLHFSSSPPSHTPPALSPSDEFNESELFWTADFVQSSNNSSRSRKISTDDRASSFIPPPNSGILAALSDDFNYDRKKRIGTILTRDTTSITKSPSSSTRSIPRPVQSNREYSQSVPCRKFQQSAPIKVPEAMRKGRNDRDHVERKEEEEEEEEQMLPPHEIVRRGSGMSPNTTFSVLEGAGRTLKGRDLRRVRNAVWHRTGFVD >KGN56599 pep chromosome:ASM407v2:3:7710754:7713863:1 gene:Csa_3G126140 transcript:KGN56599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFQSHALKCSDDSSTSSDLVLYSFWRSSCSWRVRFALNLKGLSYEYRAVNLGTREQLSPDFESLNPLKYVPVLVDGPVVVSDSYAILLYLEEKYPQKALLPTDLRLKSRHLQVASIVSSSIQPLIMLELLKTIGENFGPEEPLPWAQSNLEKGFNALEKLVKDFSGQYALGDEVHMADVFLAPQISSAIENFGIDMYKFPTLARIYESYKTIPEFQASSPERQPDALH >KGN57530 pep chromosome:ASM407v2:3:14022940:14028561:-1 gene:Csa_3G206320 transcript:KGN57530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIAANQRGSRSWATAEELPVSIGTPMPPKKKWSNAMPLFIALVVVAEIIFLGRLDVAKNVAMVDSWADLFYRSPPSLPLIDEGDDLNSRFIDGDRISELGICEEWLEKEDAVTYSRDFQKEPILVSGSNEGRKSCAVECEFQASPSKDPDAAFGLNTQGGMPSIIRSMESSLYYADINIAQARRWQWKRK >KGN55969 pep chromosome:ASM407v2:3:2961856:2964943:-1 gene:Csa_3G041370 transcript:KGN55969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGEIILDKALAFTTTKLQEMAMDVSSPFRDEAAYALKWPILKALPTLITKHNISIYEKDPLKNNILLKFAKLNFNAMQKLYQKELHEISRWWKDSKWMEELSFARDRIVESYIWALGICHESKYSHGRIIMAKLAALVTVLDDTYDAYATLDELELFTNAIERWDINETSKLPKYMKYFYEVIFKYYEEIDNSINKDNIQYAIHYVKEAMKRQCRVYFEEAKWFYEGYVPTMEEYMKVAIVSTCYHLFVQISFVGMGVAASQEAFQWIESDPMLLKASGIIGRLINDITSHQFEQQRGHIASAVECYMKQYEVSEEVAVIELQKEIEKAWKDGIEDYIMKSTKFSNAILMRVLNLARLSDFYYKKEDGYTFSHGETKDFVTYMLIDPLP >KGN59729 pep chromosome:ASM407v2:3:33876477:33876886:-1 gene:Csa_3G842020 transcript:KGN59729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNVKKENYKFQSAKVKTEKAAVDRSDLGHKKKKRKVENKPRERNEAPLKWRNEVGGRAKEREKRENGEPQSREETRASASWKKWNGVLFIEYRDDEVADLGRWKGVAN >KGN60062 pep chromosome:ASM407v2:3:36609421:36614132:1 gene:Csa_3G874390 transcript:KGN60062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLCFTRTRDIPIDSFSNDSDTLNNPQIKPQSQNPPNLNLPLPRPRPPPPTPKPQQIPSYKSVPPSQIGPITGRPYINITTLYELDRELGRGQFGITYLCTEKTTGRKYACKTISRRKITNAKDIEEVRREILILQHLTGQPNIVEFKGAYEDKRNLHLVMELCSGGELFDRIIKKKSYSEKEAASICKQILNVVHACHFMGVMHRDLKPENFLMVSEEEDSPIKATDFGLSVFIEEGKVYRDVVGSAYYIAPEVLQRRYGKESDVWSAGIILYILLCGEPPFSGKTEDDILKAVQKDSLKMEDAPWPSISDSAKDLVRRMLTRNPKKRITAAEALEHPWLKIEGEASTKPIDSAVLIRMRQFRAMNKFKQLALKVMAENLSEEELKGLKQMFTNIDTDGSGTITFDELKTGFSRLGSRLSEHEIKQLMDAADVNRNGTIDYAEFITATMHRHRLDKEENIYKAFQFFDKDGSGFITRDELKQAMSQYDMGDEDTVDEIINDVDIDGDGKINYDEFVNMMTKGTMDSKVN >KGN60349 pep chromosome:ASM407v2:3:38726226:38729968:1 gene:Csa_3G895950 transcript:KGN60349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPIMPPYGTPPHPYVAMYPHGGIYAHPPMPPGSYPYSPFAIASPNGIAEASGNTPSNMEGEGKPSELKEKLPIKRSKGSLGSLSMITGKNNELGKPSGTSANGVYSKSAESESEGTSERSDADSENDSQLKSGSGKDSLEGGGTPNGLMHGSQNEGHSLAHPLVNQTMSIIPIQASGAVTGPATNLNIGMDYWGTPTTSAISSLCGKVPSAPVAGAVAAGSRDGIPSQPWLQDERELKRQRRKQSNRESARRSRLRKQAECDELSQRAEALKEENASLRSEVDRIRTEYEQLLSENASLKRRLGESDGNEDPRSTKDAQNLKKGHHTSRTQLAKG >KGN59049 pep chromosome:ASM407v2:3:29088269:29089046:1 gene:Csa_3G748780 transcript:KGN59049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPIDDKKDKVTIRAVSRDEEGKKHVEKAEIESHNIDTIKYIEKKLIDKGIQRLERHPVHGHTGIGQPPPKSGRGGKFTWEGPGDAIENELSPAPPAIDEKDPNYVDEEEEEEVAGLVVGEVEVPAAAPEGVSRVEVDPQLQLQ >KGN58549 pep chromosome:ASM407v2:3:26139798:26141472:-1 gene:Csa_3G681140 transcript:KGN58549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLLLTRHSNVRDIHSNFYFYSNKIIEAKKKQGLPVWPVVGMLPSLVTGLRSNLYEWITEVLCRQNGTFRFKGPWFSSLNWVVTSDPRNIEHILKTEFLKYPKGSFFRETVHDLLGDGIFNADGEIWHKQRKTTSIEFHSAKFRQLTVESLHELVHSRLLPILHRHFVEHLVPIDLQDILLRLTFDNVCMIAFGVEPGCLNPNLPVIPFAHAFEVATEATVLRFVTPQFLWKTMRHFNLGTEKSLKFALKEVNEFAMNVIEKRRREKVNETERSDLLSVFTGLKDENGEPYSDKFLKDICVNFILAGRDTSSVASSWFFWLIDRNPEVEERIVEEICRILKKRGEAAGESSGGNVKFEVEEIKKMEYLQAALSEALRLFPSVPVDHKEVIQDDVLPNGTELKKGTKLVYAIYAMGRTEAIWGKDCYEFKPERWLRDG >KGN59901 pep chromosome:ASM407v2:3:35413825:35418077:1 gene:Csa_3G852500 transcript:KGN59901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLSLWKPLSHCAALIMDKKTRKRHGSGVTERRKSSILRQLQENKLREALEEASEDGSLAKTRDIDCDSPDQDRNVRRSRSFARLHAQKEFLRATALAADRTYCTEDLIPNLFDAFTKFLTMYPKFQTSEKIDQLRSEEYEHLSESFSKVCLDYCGFGLFSHIQTQQFWESSAFTLSEITANLSNHALYGGAEKGTIEHDIKTRIMDYLNISENEYGLVFTVSRGSAFKLLSESYPFHTNKKLLTMFDHESQSVSWMAQSAKERGAKVYSAWFKWPTLRLCSRELRKQITNKRKRKKDSVAGLFVFPVQSRVTGAKYSYQWMALAQQNNWHVLLDAGSLGPKDMDSLGLSLFRPDFIITSFYRVFGSDPTGFGCLLIKKSVIGSLQSQSGRTGTGMVRILPIFPQYIGDSIDGLDVLAGIDDDVINEDSETEKHLESRMPAFSGVFTPNQVRDVFETEMEHDNNSSDRDGASTIFEEAESISIGEVMKSPIFSEDESSDNSYWIDLGQSPFGSDNSDHLIKQKTWSPLPPSWFSGKRNNRQRSPKPASRLLKSPMCGDDKRANARHRNDSVLSFDAAVLSMSQDFSCVEGIPEEDQSGEQDSCCGNVGSLRDSHVVSEIQEDSETGEESARLSFASNGIHPVNHTSEFRDLKRSNSTTSGAFNDLKESAIRRETEGEFRLLGRRERSRFSERGFFGLEEGDRAISMGRRVSFRVEYNEKESLNEMFELGETSCTAFGNEESTSDGEYVDEQEWGRREPEMICRHLDHIDMLGLNKTTLRQRYLINWLVTSLLQLRLPGQDDVGVHLVQLYGPKIKYERGAAIAFNVKESNGRGLIHPEVVQKLAENNGIALGVGILSHVRAVDVPKQNSGQYDLEDMALCKPMGNGHNRKKLFFRVEVVTASLGFLTNFDDVYKMWAFIAKFLNPSFLENNTLSPVPESLESYRGSMF >KGN58021 pep chromosome:ASM407v2:3:20475604:20479932:1 gene:Csa_3G435020 transcript:KGN58021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRIAGSRLRSLKNHAANLGASRFATSSAVAARPTSGGFFSWLTGERSSSLPPMEIPLSGITLPPPLPDQVETSKTKITTLPNGVRIASETSSNPAASIGIYLDCGSIYETPLSSGASHLLERLAFKSTTNRSHLRIVREVEAIGGNTGASASREQMGYTFDALKTYVPEMVELLVDCVRNPVFLDWEINEELQKLKAEIGELANNPQGLLLEAVHSAGYSGALANPLVAPEFALSRLDGTILEEFIAENYTASRMVLAASGVDHEELLSISEPLLSDLPNVPGVKVPESVYVGGDYRRQADCGSTHVALAFEVPGGWHKEKDAIVLTVLQMLMGGGGSFSAGGPGKGMHSRLYLRVLNEYQQIQSFSAFNSIFNNTGLFGIYASTGSNFVAKAVDIAASELISIATPGQVSQMIVSEDIGRQILTYGERKPVEHFLKAVDSITLNDITNIAQKVISSPLTMASYGDVINVPTYESVNSLFHRK >KGN59423 pep chromosome:ASM407v2:3:31817605:31825475:-1 gene:Csa_3G819800 transcript:KGN59423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIDQAELSTEQVLRRDIPWETYMTTKLISGTSLQLLRRYDNRPESYRAQLLDDDGPAYVRVFVSILRDIFKEETVEYVLALIDEMLTANPKRARLFHDPSLASEDAYEPFLRLLWKGNWFIQEKSCKILALIVSARPKTHDGSFANDDSSNSKNKNTTIDDVLDGLVKWLCAQLKNPSHPSRAVQTSINCLATLLKEPKVRSSFVQTDGVKLLIPLISPASTQQSIQLLYETCLCVWLLSYYEPAIEFLATSRTLPRLIDVVKSSTKEKVVRVIILTLRNLLHKGTFGAQMVGLGLPQVVQSLKSQAWSDEDLLEALNQLEEGLKDNIKKLSSFDKYKQEVLLGHLDWSPMHKDVNFWRENITSFEENDLKILRVLITILDSSTDPRALAVACFDLSQFIQHHPAGRVIVTDLKAKERVMKLMNHENAEVTKYALLCIQRLFLGAKYASFLQA >KGN55769 pep chromosome:ASM407v2:3:1194792:1198827:1 gene:Csa_3G011710 transcript:KGN55769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPEDDDLSGDSFYDAVRFPYYQNVVSHSLIKAQYYHGAKARIAVHNVSLSDNGQSSSANIWVLGGSDDSLNVLMAGWQVNPAVNGDNLPRTFVYWTVDTGVTTGCYNMLCQGFVLVNPNIHVGSSILPASIYQGQQYDYQFSIVQAIGHWWVRVGDNQVGLGYWPNELFPNLLRGADQVAWGGSAQPTLYGDESPPLGSGHKPNGKPDEAIFVRNIQYIAPNYILSIPTLNNTINYVSNSSCYDLISNENCSFDPFKYCFTFGGPGGHGCEASTT >KGN56617 pep chromosome:ASM407v2:3:7828664:7833380:1 gene:Csa_3G126810 transcript:KGN56617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARHTIVFFVVLIVLIFPSDILCYSEKEIKNWCSQTPYPAPCEEFLKTKATTKKTPITTKSHFFEILVETALERAVSAHKNALSLGPKCRNSKEKTAWTDCVDLYDQIITRLNRTSARCSPADAQTWLSAALTALETCRTGFEELGLSAFGYPLTANNVSKLISDGLSVNKPASPEGYEPTTMTDGFPTWVSPGNRKLLQSESPKADVVVAQDGSGNFKTVKDAISAAKGGGRFVIYIKSGVYNENLDIKAKNVMMVGDGIGKTIITGSRSVGGGSTTFRSATVAVDGDGFIARDITFRNTAGAKNHQAVALRSGSDLSVFYRCGFEGYQDTLYVYAERQFYKQCDIYGTVDFIFGNAAVVLQDCNIIARDPPNKTITLTAQGRSDPNQNTGISIHNCRITSSGGLSGVKAYLGRPWRQYSRTVVMKSSIGGFISPAGWMPWSGNFALNTLYYAEYMNTGPGASTANRVNWKGYHVITSASEASKFTVGNFIAGGSWLPSTGVPFTSGL >KGN56902 pep chromosome:ASM407v2:3:9395436:9395645:-1 gene:Csa_3G142945 transcript:KGN56902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGGSWRVSCEGHHREGESERKSGGLRGGNSGDEGLSMQSGSGLGQEERTCCKPTYGWLRPKPNFTT >KGN57661 pep chromosome:ASM407v2:3:15366846:15367963:-1 gene:Csa_3G239250 transcript:KGN57661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFITGENPSSTPPKLSLFSLPRQPPEPPGMVTPPLHASISVPFQWEEAPGKPRPSGIIEPNSKPRSARSLDLPPRLFADAKVAHFASPTTGVDEPIFGPDLSSSLSFRFPDTWAETATATAAATKEEKNGKHVGSRRWMSFRKNKKIVIPKSGPEITVTGGGDRNGGSSDGETRVKITRFRSKRSFFRKPNSKSHFIANIYGSLKQVISWRRKGDEMENISPSSYKNKIQV >KGN57912 pep chromosome:ASM407v2:3:18965868:18966216:1 gene:Csa_3G387330 transcript:KGN57912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRTVNFRRHTSSKVCRSPNTSFHNIEKTSLDDPKFLVVGEHEVLENKLDTLMSKHNVPSFAISALSSKVQMQSTMIRRVSRR >KGN58285 pep chromosome:ASM407v2:3:23555695:23558413:1 gene:Csa_3G608150 transcript:KGN58285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSASSSFASRFLQNGDAEDFRPTDDLASADSDDESEVRSMTAKGINHLCSELLELKAESNGDFHRIIISSCLSFSRAFERVKEMERDLMHLKSTIITHTSLVKDLMDGIDLDIESDETVDPTHQSSECNRLSSLIELEAHIYEISNALDNLIYENKIDEALETIKSEDEKLQRLKVEEEDYLFDIVMLYDCVISDKNAKIKLQLAKLSENGKTLPGGEAVPTADQPPSLDSQLPEEL >KGN58348 pep chromosome:ASM407v2:3:24320183:24322696:1 gene:Csa_3G625630 transcript:KGN58348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVLEEEEVLSKDEEIIEGGNLKKGSSKDELFHVIHKVPPGDTPYVRAKYAQLIKKDPESAIALFWEAINKGDRVESALKDMAVVMKQIDRAEEAIHILQTFRFLCSKHSQNSLDNVLIDLFKKCGRIEEQIELLKRKLRMIYQGEAFNGKPTRTARSHGKKFQVSVKQETSRLLGNLGWAYMQKPNYMMAEAVYKKAQMIDPDANKACNLGLCLMKQGRLSEAIFVLEQVQQAQIPGSSEIKAQKRSADLLTEIRSRQSLPDSIDLLGLSVDVDFLNGLELLVNKKGPFSRSKRLPVFEEISSFRDQLAC >KGN56903 pep chromosome:ASM407v2:3:9397687:9397987:1 gene:Csa_3G142950 transcript:KGN56903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNSCKGKSSWPELVNAPGEIAVKIIEKENSSVKAIIVEEGSSVVTNFECGRVFVFIHKKSNMVTKTPHIG >KGN57918 pep chromosome:ASM407v2:3:19070749:19070934:-1 gene:Csa_3G389880 transcript:KGN57918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLDCVRRQMFRSDELRRSATRDGEELDANKDEANDGFGFYKRTMDALTLKRTERVVVETM >KGN56867 pep chromosome:ASM407v2:3:9225024:9226279:1 gene:Csa_3G135640 transcript:KGN56867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFFTKIKTSQHIPPFPPPNPSHSSFPIIAIAIIGILVTAFLLLSYYVFVINCCLNWHRFDLIRRLSPSSTRPLPSPTAYSPALHTRGLDESVIRSIPLLHYNKSMPNHKTSSECAVCLSEFQEHETVRIIPICSHLFHIDCIDIWLQNNPNCPLCRTTISSSLLFHPFPLPSSSPEDPIAAATERDFLVIELGGIRNHPSGQFSQERANSRELPAVKLEQGKKWQKLQKVTSLGDEWIDTREKDEQFCIQPIRRSVSMDSSAERQMYVAVQAALQQSRHGNEVSPGGDGCNGRGRRSLFSFGNGRSCRNAVQVQPVRLEEN >KGN60335 pep chromosome:ASM407v2:3:38640121:38647106:1 gene:Csa_3G895810 transcript:KGN60335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLGFSSMKRLNQLKSPLSGSAQGTSKTFSFSSRSVPDSASSGNFVNLKIAAEKLMKEQASLKTDLEMANGKLRKSLEHARALEDKLQNALNENAKLQVKHKEDEKLWKGLESKFSSAKSLCDQLNETLQRLASQVQDAEKDKEVLEAKLSASSTAIDGLNQKMQELSIKVESVEETIRDREKELAELKIEKEDNCKLYREQQQRTADLIEEKDCMIKRFEETVTENRLIIEGLSSKLEEAQLELNLKEDKITSLIASRDDLQKEKRDLEMHNDEVHKKLKVSLLETRELEDLVNLLSEQLVELDRHSSNFLEKFNQLSLLSDSCFKLAKLESDVASDLAQKRYNKLHEKLICITSENNALNLINVESQQKVDGLQQVQESLKARHSEESRLAGEKIQKLESEIKTLVSEKIETESLISKLEGKIGTLSESSRLSDSKMQSLLQKISALEIENQYNIEKLEKELDGKAEEISTLMKESENHKKHADMIELEGEQLRNILKEKEDFILLSKEREKKLEDKIKENQALLVATEMKLSDAERQHDTMLESKQMELSRHLKEISHRNDQAINDIRNKYEVEKLEIVSKEKEKADQVVQEVERNCEQRLEEMKEESRQSLIRIQEEHAALLSQIQQEHARSEQIYKAKHSEELKYAQLQAENNLKEKLTSLRSEHEAQMKALRCQNEDECRKLQEELDLQRTKEDRQRTLLQLQWKVMGDKLQEDQEVSSKKDYSMSSIKMRDSGGSRKNKHALIRTANAEVSPYLQPTQTPVSQLLKTVEDMNTGSVANIPKHHRKVTRREYEVETTNGRTITKRRKTKSTVLFEDPRKHNKTPRRNTPRGSVVKKIKGGGESRPSNIGDLFSEGSLNPYADDPYAFD >KGN58870 pep chromosome:ASM407v2:3:28076199:28080366:-1 gene:Csa_3G734230 transcript:KGN58870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAKQEECCLENKQSTAATSSSVSEGSGSATAKSPWMCSPASTSPSHRRTSGPIRRAKGGWTPQEDETLRNAVAAFKGKNWKKIAEYFHDRSEVQCLHRWQKVLNPDLVKGPWTQEEDDKIIELVSKYGPTKWSLIAKSLPGRIGKQCRERWHNHLNPDIKKDAWTLEEELALMDAHRLHGNKWAEIARVLPGRTDNAIKNHWNSSLKKKLDFYLATGKLPPVAKNSSQNGARDTTKTPPAKRFPPYSSKGSDSTAQTSSGNTDLYKLDESCKDQIESSAPPDEDMVASTSGVLNESANSRHVKRWVGPSNIMDPRCRKSGTARKNGTITHGEAENDIGKFDEDKILRTPSRFESPKYGSLCYEPPQLETSIPVDSELLSMYLLETNNNSITSPIGIFTPPCVKTRNLSIHSPESILRIAARSFPSTPSILRKRKTETQTCLPLKEMQDVESETVKDVSCEAGHDEILNNSDSRLGSPNGSLCDSPSPSNDHSPTRSNSKAFNASPPYRLTSKRTAISRSVEKQLEFAFDKEKNNHTIKSLESDEISSR >KGN55905 pep chromosome:ASM407v2:3:2490985:2491563:-1 gene:Csa_3G035340 transcript:KGN55905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSYFPLPVKAILLILLINQSNIIANSQPINDTQFIKTTCQSTPYPDLCLSSLSDSAATIHSSCHLMTVAALTVALTHTRSTSSAIESLAKSSNALTPRDSYVIRDCIEEFGDSVEELKMAVEELKDNNKSRSETEDIRTWVSAALTDDDTCMDGLVGDAMNGNVKESIKEMVVNVAQLTSIALSLVSLLK >KGN58296 pep chromosome:ASM407v2:3:23642963:23643328:-1 gene:Csa_3G609240 transcript:KGN58296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPSGVRSPTRTRKLSFHAAQTKSPTHILLLSRSSSVSNPFHPSLESRPFSHGRESCPFHSNREPYASSTRALSLRPLLPLLFFLAQSRVFFIRSKVDFAMSISIKFLILKLNSLTPPIK >KGN59073 pep chromosome:ASM407v2:3:29249390:29265144:-1 gene:Csa_3G751970 transcript:KGN59073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYTISGIRFPAVPPLCKRSDSTFNGDRRMPLSLFMKKDSSPRRIFVTKSTYDSDSVSSTATAASDKVLVPGSGSDGSSTLAGQSENYGAVSEDPQVLPDIDSQIIEAHEKTKEETDQDPESLPVDNIDGDQAPLEEISIPSKNKKAETTVRSIPPPGSGQRIYDIDPYLLSHRGHLDYRYGQYIRMREAIDQNEGGLEAFSRGYEKFGFTRSATGITYREWAPGAKSAALIGDFNNWNPNADIMSRNEFGVWEIFLPNNADGSPAIPHGSRVKIRMDTPSGIKDSIPAWIKFSVQAPGEIPYNGIYYDPPEEEKYVFQHPQPKKPKSLRIYESHVGMSSTEPIINSYANFRDDVLPRIKKLGYNAVQIMAIQEHSYYASFGYHVTNFFAPSSRCGTPEELKSLIDRAHELGLLVLMDIVHSHASKNVLDGLNMFDGTDGHYFHSGSRGYHWMWDSRLFNYGSWEVLRYLLSNARWWLEEYKFDGFRFDGVTSMMYTHHGLEVGFTGNYSEYFGFATDVDAVVYLMLVNDMIHGLYPEAVTIGEDVSGMPTFCIPVQDGGIGFDYRLHMAIADKWIELLKKSDEDWEMGEIVHTLVNRRWLENCVAYAESHDQALVGDKTVAFWLMDKDMYDSMALDRPSTPAIDRGIALHKMIRLITMGLGGEGYLNFMGNEFGHPEWIDFPRGDQHLPGGAVIPGNNFSYDKCRRRFDLGDADYLRYHGMQEFDRAMQHLEESFGFMTAGHQYVSRKDDRDKIIVFERGDLVFVFNFHWSNSYYDYRVGCLKPGKYKIVLDSDDPLFGGYNRLDHSAEYFTFEGNYDNRPRSFLIYAPSRTAVVYALAPDDSELANGETEAITETETESETETETETETETSLE >KGN59719 pep chromosome:ASM407v2:3:33790185:33794656:1 gene:Csa_3G840450 transcript:KGN59719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAANSREEPSVSSSWNGAYGQSPPYAQEAYAPSPYGQASQSYVPQQSFSSPQYHPPENYHGGAAAADNRKKFDRRYSRIADNYKSLEEVTEALARAGLESSNLIIGIDFTKSNEWTGARSFNKRSLHDIRNGPNPYEQAISIVGKTLSAFDDDNLIPCFGFGDASTHDQDVFGFYPEGRFCDGFEDVLNRYREIVPHLRLAGPTSFAPVIEMATSIVDKSGGQYHVLLIIADGQVTRSVDTEHGRLSPQEKKTVDAIVAASNFPLSIILVGVGDGPWDTMREFDDNIPARAFDNFQFVNFTAIMSKNVPQPRKETEFALAALMEIPSQYKATLELNLLGTRKGSAPQKIPLPPPVPASFSTPKPPQFYNYEPSVPPYPGNNNPIETVRSIGNNNPIETVRSVDLSSYDDKLCGICLSNPKDLAFGCGHQTCAECGQDLQICPFCRSSIHTRLKLY >KGN55702 pep chromosome:ASM407v2:3:787921:798914:-1 gene:Csa_3G006640 transcript:KGN55702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPLKSESKSELATSKVRSLQLVPHENNAVTDYTPMPLAISDPEISMEACLTRRSPRFSVSPVGVSLQNVVRNSVKRARSGSSTDMHLGLLENETCQRRSSRLHPGLAGGSGDGTGKLPLENCKLLLMDDGSLRRSPRFNVSGGESGEIMEELCTRSTTSKKRKISDSGNSATESSAELRSLRRSPRFDSINRDERKCGFNQGEKDTEQCLTYEAGKDVVKNVEGMEQKFVRRSPRFSSNHVANEIGCSISSSKKISSRKLKSPKKDSFDELTAHGAEMNSSKKVDTEELSFSKQEEINEAILSSLLRTSKLTGGFCLKIEDELSPCSLCRNHEEEPKLFSGNSHFIAEKHLRRSPRLSADSKCDCNVDYCSGFDTKIQKPLSRSPRPSLSSCENEQSKPLDNQTSKFARGTKASETRHSSSELLESGTGHPLEKTNNSSGADKKNNVSKMASFFVGDPVPADEAQQRWKWRYEMKNQITKEQKVTESDDDEDMIVVNVDCHYTQANIGNTVFSLGDCVYIKGEGEKNHVGTIIEFFKTTDGDNYFRGQWFYRVEDTVIQEEGAFHDPRRLFYSTVMNDNPLDCIVSKVNIKRVKPRVGQKSNSASSYDFYYDMEYCVEYSTFRTLKNDESLKNVDTPSNLDKAAPTNCIDTPHVTSTSNTFENMPKKAELELLDLFSGCGGMSTGLCLGAKLSSIDLISRWAVDSHMSACESLRLNHPETHVRNETAEDFLDLLKEWEKLCRRYKANESEGSHQLRSKANDYLTSSDDEDEFPTSEFEVSSLVDICYGDPTRTGKRGLMFLVRWKGYGPSEDTWEPIEGLSNCQEAIQNFVKRGLGEKILPLPGDVDVICGGPPCQGISGYNRFRNTDCPMDDERNRQIVIFMDIVKFLKPKYVLMENVTDILRFNQASLGRYAVSRLVHMNYQARLGTIAAGCFGLPQFRLRVFLWGARPSEKLPQFPLPTHEVIVRYWPPPEFERNTVAYDENQPRQLEKAVVLQDALSDLPAVSNSESWEKMPYEKPPETEFQRYIRSSKYEMTGCETDNRSTDTLYDHQPHLLGEDDHLRVCNIPKRKGANFRDLPGVIVGSDNVVRRDPANEVLLPSGKLMVPDYAISFEQGRSKRPFGRLWWDETVPTVVTFPSCHSSVALHPEQDRVLTIREYARLQGFPDYYKFSGSVKERYRQVGNAVAVSVSRALGYSLGLAVRRMGGDEPLMVLPPKFSLSNYIQLQKPPDHNDTD >KGN56963 pep chromosome:ASM407v2:3:9826728:9828151:1 gene:Csa_3G146490 transcript:KGN56963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYTRMVVGLVAVIVAVHWAGAAAQSDCTNVLISMAPCLNYITGNSSTPSQSCCTQLSNVVRSNPQCLCQVLNGGGSSLGVNINQTQALALPQACNVQTPSVSSCNVDSPAGSPAGAPDSSNNVPSGTGSKTVPSTDNGSSDGSSIHMSKPLLFSILLASIYASAFKLY >KGN57019 pep chromosome:ASM407v2:3:10094740:10096029:-1 gene:Csa_3G149990 transcript:KGN57019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTSFSLFSSILFLLFSISIASTSFTPRSLVLPVTKHPSLQYIIQIHQRTPLVPVNLTVDLGGWLMWVDCDRGFVSSSYKPARCRSAQCSLAKSISCGKCYLPPHPGCNNYTCSLSARNTIIQLSSGGEVTSDLVSVSSTNGFNSTRALSVPNFLFICSSTFLLEGLAGGVTGMAGFGRTRISLPSQFAAAFSFSRKFTMCLSGSTGFPGVIFSGYGPYHFLPNIDLTNSLTYTPLLINPVGFAGEKSSEYFIGVKSIEFNSKTVPLNTTLLKIDSNGNGGTKISTVNPYTVLETSIYRALVKTFTSELGNIPRVAAVAPFEVCYSSKSFGSTELGPSVPSIDLILQNKKVIWRMFGANSMVVVTEEVLCLGFVEGGVEAETAMVIGGHQIEDNLLEFDLATSRLGFSSTLLGRNTNCANFNFTSTA >KGN55948 pep chromosome:ASM407v2:3:2761967:2762266:-1 gene:Csa_3G038700 transcript:KGN55948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMDRLTEYWAVASRGETRAAGLFGGCRRGPPLGEDKARIYGEYPPSRGPLFRVAAACMNAGNASQAAET >KGN59255 pep chromosome:ASM407v2:3:30573508:30576969:1 gene:Csa_3G790970 transcript:KGN59255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELISNFSKTLAPFCNHLQNTSDALKHSMDRRPIPLDSASSTFIQSLNIQPLTRRVSAVNVDLNFLESMSLETVSFEELLGHVSEVYKKNETDLLELQKQLKGVGYVPEFEVDEDDEILNNVSTPGLHFELSSSMDGLNVPSSYQKSVSTTGLAKHSFEDDILLDDSLSLQNAGLSDVCLATLATEGNSTFSDPYQDLHTPKKFLEKPLGSNFPCQSAAQTVGAPEGEGEDHLTFEEAISPLITLSKDDFESLPSYMKGLASWEDLIVAAEKINSCLGIKGKGKNYILQDEISTLDLGPKARSFLLLLVRMKRMVVETIEGQISYRVL >KGN57462 pep chromosome:ASM407v2:3:13266031:13266390:1 gene:Csa_3G188345 transcript:KGN57462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHSQNTPVFGGALSATEDDGRGRKRIREGKFFSDEISLSQGTVSVEVGVVAEAILVTRSGKGKIWNSGFADGVITREERKRRGERRIKGGGFRLGQGGQSFLNFFVMTLNPRELAAGV >KGN60238 pep chromosome:ASM407v2:3:37895108:37897309:-1 gene:Csa_3G889940 transcript:KGN60238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVRLPCSSSSSILRSKHHHQIFLAPLSCSQNPSYPINGDFNLSISRSSPSLLSTSISHSPLISIRAKRTSTFVFQFASTSQDEAVSSPSDTEEFSQTRLLAQNVPWDSTPEDIRSLFEKYGTVLDVELSMYNKIRNRGLAFVTMGSPEEALAALNNLESYEFEGRTLRLNYAKLKKEKPSPPVKPKPVTFNLFVANLPFDARAKDLKEFFDSGSGNVVSAQIIFNENPRRSSGYGFVAFKTKKDAEAAISEFQGKTFMGRSLRVARSKQFVKLPSEEKPQSEDASTDGVELANAAAEV >KGN59588 pep chromosome:ASM407v2:3:32950620:32953044:1 gene:Csa_3G827300 transcript:KGN59588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSRFDPHASNDTFLSLSSSLKKSLSLSSSPPPLIPSFISSLLSLSLSFSLHVRLVGDFPSDSSIHLSSFLSASLPSDHFHVIAPFDSYQHRLAIKHSLHLDVSHAPSLASHLSEILKSEISNTASSLRSSLLAVPYESVDRVIKKDFEKEKSGEGVYIYLLNLGPQSKPYAYTYGHGDSSPGFTKCLGSIWSGGERYLWVDLGAGPVDYGPSLSGDGVLPRGEFHPLATLHGRPKSQKALLADLASLVWSAYQVHLVPSMRIPVPFESSLVVQFIHVYGSESSDGGDLDWKSIERTLRDGGMLLGEQSLSFKHYSVSYAKCPICAFAISRSTNSYTSRFLFDNYTLIVNEYLDSKRLHQILSDSAEEFRRAGFPEEEEMARVIPVYVFDLNLNTILLLDRYHQSVAFTDMVIAVRTKNTQTVSDYSCNGRHVFTHTRDLERPLVGSILQSMWGVSPTHLAWSSRHNDTIVDYSWSIGQTPFGPFSEVSSLSFVQKDAARRNVILTALNSSITSAIDVLNSVAAHGGDRSLLKPKQRTEFIQRWNLFKYKLDKAMSVMSHFDFEMALYYIRSSDHDLYTLHSIVYNASQELEGSLVCFKDPPFPWGSVSVSVLLFFAFLYVYTKRDRIFKNKRKQF >KGN56777 pep chromosome:ASM407v2:3:8770821:8774443:1 gene:Csa_3G133280 transcript:KGN56777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLVVRSPFVSTGKKLPFSPLLDSLPSCSASGGVFSRTVSTSLKHRRVTRLSRTYGKSRAHSSNVSIGSDGYKHEEEKEGHHVISGSASDISSSKTEKSPSGLPYPLSIALVLIGCGLVFSLIAFVKGGPSSILAAVAKSGFTAAFSLIFISEIGDKTFFIAALLAMQYEKGLVLLGSMGALSLMTVLSVIIGRIFHSVPAQFQTTLPIGEYAAVTLLLFFGLKAIKDAWDLPSSVHKQGDESGPELDEYVEAEELVKEKVSKRLSNPLEIIWKSFSLIFFAEWGDRSMLATIALGAAQSPWGVATGAITGHLIATTIAILGGALLAKYISEKLVGYLGGVLFLIFAIATFFGVF >KGN56195 pep chromosome:ASM407v2:3:4882532:4882794:-1 gene:Csa_3G099560 transcript:KGN56195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSFYVLEPPITGGEEVDGYASMSEATTNTQSYRAHTSNRFSKKRARSVDLLVASVVE >KGN57761 pep chromosome:ASM407v2:3:16705531:16707465:-1 gene:Csa_3G282490 transcript:KGN57761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFNMEVINQFSNAMESALEDFNETESSVENFWGYHFQLPENTSPSSLSNFSSVALLKCQKEFPVITRSSLPVDSGHETMKRKEKQVSERNSGILCAAFGNDSSNARSSKNMEGGRKRRNYEKEQEKPGEVVHVRAKRGQATDSHSLAERVRREKINHKLKCLQNIIPGCHKSMGMAMVLDETINYVYSLQNQVEFLSMELAAACSTLGINFGMGDNRKALGTRSHEE >KGN60312 pep chromosome:ASM407v2:3:38479930:38482752:-1 gene:Csa_3G895090 transcript:KGN60312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRCPELLDLISRDREWDLTRNGVGSSVIENNKLELRLGLPGEASSCLNGNHENGEISLSSAKPFSSCFNIRSNKRALYAKTEGIAGDGNRLDRNDEFQPHKLIFHEKTAEKVSPFTPCLSASLPSSAFHREAQKLSQPSQSSYLKHVLMPQNLDLVSEESSKPCSLKATELNSCLNDGSVPAESSETKHHDKRASVSAAVGWPPIRSFRKNFAVPRSSKPNSLESSKETVQDENGSKLSDCYNGQMFVKVCMDGVPIGRKLNLQAYNSYDQLSAGIDELFHSLLAAQRNYLAAEDGRKMEETTSVSDSKHKNGLYTLVYYDNEGDRMLVGDVPWKMFVSTVKRLRVLKSSVVATEMK >KGN59950 pep chromosome:ASM407v2:3:35771467:35776213:-1 gene:Csa_3G855930 transcript:KGN59950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRSDFAQKLLDDLRLRKERMAAASQTSNRSKTTTIDAYSYSKQIHRGSKNTKTHGMSVPKTGNTINTKYGGSNKSPMTNDTSNQIVPYTRDRNSEQIGDLSMALAFALENGGKLRGNASSGNNLMLGFLQQIGRRSFQIGKMNKRGGLDRNHNVTGYFPTISHLHIKEISKGAHKLNQILRTCSNGSDFGKCSIEIGQELLKGAMDLEESLRMLVNLHEASEHVISPQQKNRIVLLENEEDAEENKDEALDQKLYQPRFSLEKLPLNSRSSQEVKGNGHNQKLATLRYTAEGGNFNQEEQPLTTVKLSFHRRSATCGHDVRTSNTREKVGISNVIAKLMGLDNLSDNSNYAHKDSGSKQKVTQKDLQPSTRGITKKAEPRTNITESRSNSGNPKPTISDKNSTVVNTIFVSQGMNDFPTNDASLRAITFSGKSSWKGIEGVRPQTSPSTPTLTIFNQQNKDEIRQKVPGQEDHLEELAKQLHIKNRDQSHRDEHREVLKKRVLQKDNRDDHMKHPHQKHRELNIMERDQKRGERRRNGMQQIEAQLHKKSEHAIILQGYKKRTNQLEKRHQDKLQSRMHQQIPNSPKYQQPPVVHKAEMGNIYHHVEELKQRIGKQTVQERNQKTSGITSKSLTKPVHGTFAFPKKQQDMSHVRRGKKSCNETITAQHSNVLPNNRCPENDNSRENNCYALNDKTSKITHKSVEQNSSSRDSEMTFEVMEKQDAREPVKNELKSTKMQKSEGLIINQTYAMKQQNPTVQEVEQEKHEKLDVLDGLEVLGANESKEVEAHLVESRETVAIIQPLNGTPNSHEEDDQVLTLPVPADDECHILKEPQISAPKVSCQKTISTNTSNKEEQRSVFGRGEISSSKIVTNAVEEAEQYNMNTLYPPHLAHLHSFSKTKQETLTERENQLKQTLITSEWFLNAAEALFKLNIPSFILHDSCHHSHLKNGRNFTVDCSYEVMKRKGIRQELSKRPCTNISLRSKKIESLDDLIKQLHRDIEALKFYGRNGNPECEVQDYLPRMLESDIYNQEPDFNSMWDLGWNETTFVFFEREEVVKDVEKHILSGLIDDITRDLVHVCHLLTKRSI >KGN58072 pep chromosome:ASM407v2:3:21083001:21088151:-1 gene:Csa_3G483810 transcript:KGN58072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILRLPTSRFVLLAFTLLLLFGFFAIVNSSETYPVHNADEDLEGLEELLALDEEEENQQQDGANSRTSEANVLSKAQRIVLELSNDNSERVIEQNEYVLLLGYAPWCARSAELMPQFAEAANSLKELGSPILMAKLDADRYPKPASALQIKGFPTLLLFVNGTSQAYTGGFTAEEIVIWVQKKTGVPVINTNSLNEAKEFLKKHHMFVVGRFEKFEGPAYEEFLKAASDDNEFQFVAASDIEAAKILFPDIKPSNNFLGLVKDEEERYTTYEGTFEREKILHFLEHNKFPLVTKLAEMNSIRVYSSPVKRQVLIFADDDELHNLLEPLQNVAKKFKSKVMFISIDIANENLAKPFLSLFGLEDSDRTVVAAFDNGMSSKFLLESDPSPSNIEEFARGLYDGTLSPYFRSQSIPNNDGASIEVVVGRTFDELVLKNPNNVFLEVHTPWCITCETTTKNVEKLAKHFKDFDNIVFARIDASANEHPKLQVDDYPTLLFYPAADKSNPIKLSSKGSLKDLAKNVSKLVKSEEHASSKDEL >KGN55720 pep chromosome:ASM407v2:3:901152:903859:-1 gene:Csa_3G008280 transcript:KGN55720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSTSKDAQALFQSLFSAYAATPTTLKIIDLYVIYAVFTALIQVAYMAIVGSFPFNSFLSGVLSCIGTAVLAVCLRIQVNKENKEFKDLAPERAFADFVLCNLVLHLVIINFLG >KGN58676 pep chromosome:ASM407v2:3:27147810:27148733:1 gene:Csa_3G727940 transcript:KGN58676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKVFPNGPSSSCSDAIETVLTVWKKSMLLNCEGFTVFNAEGNLVYRVDNYLAGNKGEILLMDAAGNPLFTIRRKRLSLADSWVVYEGETGANPRFSARKQMNLLKSKCLARVVELAGSWSGKGMSVYEIEGCYGKRCCAVYDEKRRIVAEIKRKEAAGGLSFGTDVFRLVVQPQIDTTVAMALLILLDQMFGSSSTTP >KGN58233 pep chromosome:ASM407v2:3:22912680:22914135:1 gene:Csa_3G597320 transcript:KGN58233 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonucleoside-diphosphate reductase small chain MPSIPDEPLLAPNPDRFCMFPIQYPQIWEMYKKAEASFWTAEEVDLSQDTRHWDSLTSDEKHFITHVLAFFAASDGIVLENLAGRFMKEVQVAEVRAFYGFQIAIENIHSEMYSLLLETYIKDSNEKNRLFHAIDTVPCVKKKADWALKWIDSTESFAERILAFACVEGIFFSGSFCSIFWLKKRGLMPGLTFSNELISRDEGLHCDFACLLYSLLRKKLNVDRVKEIVMEAVNIEREFVCDALPVALVGMNGDLMSQYIEFVADRLVGALGYDKIYNVQNPFDWMELISLQGKTNFFEKRVGEYQKASVMSNLNGNGGIHEFKMDEDF >KGN59552 pep chromosome:ASM407v2:3:32627099:32630296:-1 gene:Csa_3G824970 transcript:KGN59552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKTLVLPFQPLKSPGQKFEGVKFIRRQGPKMQLTLTQPDDWHLHLRDGDLLKAVLPHSASTFGRAIVMPNLKPPVTTTAAAVAYRDSILKALPSNSNFVPLMTLYLTDTMSPNEIKLARKSGAVYAVKLYPAGATTNSQDGVTDLFGKCLPVLEEMVEQDMPLLVHGEVTNSDVDMFDREKVFIETVLKPLIERLPKLKVVMEHITTADAAKFVLSCEHALLAATVTPQHLLLNRNSLFQGGLQPHNYCLPVLKRETHRQAIVSAVTSGSKKFFLGTDSAPHERKRKESSCGCAGIYSAPIALSLYAKVFEEAGALDKLESFTSFNGPDFYGLPRNTSKITLQKAPWQVPKSFSFSFGDIVPMFAGETLEWQPCFN >KGN57097 pep chromosome:ASM407v2:3:10647376:10649294:-1 gene:Csa_3G153710 transcript:KGN57097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSPLSISDEDILKDEPQKLLSPSNPTPEETIFLSNIDVAVAFTVETVYFFEDGSAAEMSRIVKRALAILLVPYYFLAGRFQTNRESGRLELACNNAGVVFVNAKSKVRMRDLGDLSLPNPSFGRFVHRPGLHTNLHERALFTVQVTEFVCGGYAIGMVTNHGVLDGKSAAEMFQNLASICRGGGDLKPQTIFNDRTIFRARNPPLISHPHQEYTPFSPTLKQLPSSFTALNKPSPTPSPPMSASNHLHSLIPFTPSTIATLKNAAAPIPCSTFEAILSQLWRARTRAVYSDRPGETSMVLFAVDVRSKIRPVLPDGFVGNAVVTGFAAARAAEVVERPFSFCVERVKEGIERVSGEEYVRSAIDWLEVYRGIPATCNGKSFYVSAWWKLPFKELDFGFGKPVHVSPVANGNDEFVLLLSPANGGESGGGRSRGSVNVWISLEKEKMKKFMRYIFAI >KGN57194 pep chromosome:ASM407v2:3:11324644:11335645:1 gene:Csa_3G171020 transcript:KGN57194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSPQDYVGRSGGVAFTSQKDTHVSPRVGISIAMRNSDIYSAGISLLELENKERVDVKAFSSDGSYYKLSTLLSMTSDRTKVVRFQPHTLFINRLGCSLCLQQCDSQLSTWFHPSDPPKPFGWQSYAKVELLKLRVEGYKWSTPFSIHNEGMMRISLKKDGGNDPLQLRVEVRGGAKCSRYEVIFRPNTSSGPYRIENRSVFLPMRFRQADGTNDSWKLLLPNTAVSFLWEDLGRRHLLELLIDGSDSSKTDKYDIDEISDQQLVSATGGPSKALRVTVVKEEKINVVLIRDWMPENEPGRYLVGRHMSPLSNPPRIDFFSSESASISNCEYHIIMELAELGISLVDHTPEEILYLSVQNLLLAYSTGLDSGISRLKLRMSGIQIDNQLPLTPMPVLFRPQRIGDETDYILKFSMTMQSNGLMDLCIYPYIGFHGPESYAFSINIHEPIIWRLHEMIQLVNLSRLHDTGSTAVSVDPVIQIRVLHISEVRFRLSMAMSPSQRPRGVLGFWSSLMTALGNTENMPIRINQRFRENICMRQSLMVTNAISSIRKDLLSQPLQLLSGVDILGNASSALGHMSKGVAALSMDKKFIQSRQRQENKGVEDLGDVIREGGGALAKGLFRGVTGILTKPLEGAKTSGVEGFVQGVGKGIIGAAAQPVSGVLDLLSKTTEGANAVRMKIASAITSDEQLLRRRLPRVIGGDNLLRPYDNYKAQGQVILQLAESGSFFGQVDLFKVRGKFALSDAYEDHFLLPKGKILVVTHRRVMLMQQPSTIIAQRKFSPAKDPCSVLWDVLWGDLVTMEFSHGKKDHPKSPPSRLILYLQARPTELKEHVYVVKCSRGTDQALRVYSSIERAMNTYGQNQSKEMMLMRVRKPYSPIADGAIGDYIPKEGTVDWSPQQVPASVPFTITSAFGSSSY >KGN55692 pep chromosome:ASM407v2:3:702021:706346:1 gene:Csa_3G005560 transcript:KGN55692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASMASSKLTEGAVMVICKRESSAETFQPILQVIDLKLVNTSQQSGSERFRLLVSDGTHFQQGMLGTQLNELVKSGKLQKGSIVRLRQYVCNPVQERLIIIVIELDVIEEMCDIIGEPVSATRSSANALSGNPLPSVGGLGASPGSGMIGKGNVSSASFEQPKVNQSHVPHMGSYSNPPETGRFSASIAPPSYSKTDSGSRFNGPSPLTGSYGDQKMAYHNSGSDIPRPPLNSNAYARPQPIYQQPPSMYSNRGPIAKNEAAPRIMPISALNPYQGRWTIKARVTSKGELRHYNNPRGDGKVFSFDLLDAYRGEIRVTCFNTVADQFYNQIESGKVYFISKGSLKPAQKNFNHLKNDYEIFLENTSTIQPCFEDDQSIPQQQFHFHQIGEIEGMDSNSVVDVIGVVSSINPATSLMRKNGTETQKRSLQLKDMSGRSVELTLWGNFCQAEGQRLQNMCDSGLFPVLAVKSSRVSDFNGKAVGTISTSQLFIEPDFPEAHSLREWFEREGRSTLSVSISREVASVGRTDVRKTISQIKDERLGTSEKPDWITVSATVSFIKVDSFCYTACPIMIGDRQCSKKVTNNGDGKWRCDRCDQSVDECDYRYILQLQIQDHTGLTWVTAFQEGGEEIMGIPAKRLYYLKYEEQDDEKFAEIIRKVLFTKFIMKLKIKEETFSDEQRVRSTVVKAESINFSSESRFLLNLMEKLKPENSSSATPKAESTIHNFGFRDTASENIGGGQFVSPIRNSTNFSREYGTPNQGVQYGNQYSSSRPSPSTPLNSNTYCNSCGGSGHSSTNCPSIMSGPALSVGGGMYSNQASGPSGGTSGECFKCHQTGHWARDCPGLANVPPAYGNRGFTAR >KGN58412 pep chromosome:ASM407v2:3:25025735:25033296:-1 gene:Csa_3G640540 transcript:KGN58412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDRNWPSTKDIATFGSPFKNIASEELGSILERHNFHRNTSESIPNRSGSAPPSMEGSFAAIGNLLTQQDTSLVTSLSTLCDALENCVSEEQLRSHPAYFEYYWANVNLNPRLPPPLISRENRRLVRHIGGLGKNRRLSSTDDTASELLHVSKGSLSTHQEETSEDRLSDLVPENFIEKNGAALPAKNKSFITSHHKSLVDLIQEDFPRTPSPVYNQSFLATSSTTEQAVEGDLDAIASGVSSISISKVVESNSCSPILEPSNVIADPVGLITDEAPLKKSQNAERTNRARSPHLEGSRVKNDCQENVAEKSGTIGHDIPKLESRAKTSNVESNRNKLDHQSYGRNHPHIYFSKQQAFPCPAPDIQSQMVSQGISRLEVGLENFSHGQHNFSTAEMQTVFHSSGLTPPLYATAAAYVAPGNPFYHNYQPSGLFSPQFNVGGYALASTVFPPFMSGYPTHGAVPLPEPSVSNFNGRTAGVSIGESIPPVGDLQHMSKIYAQPGFVYPPFVDPAHVQYGQRPIEDTYGGSVHHGQLGSRSFSHMQINSFGSQQDSNVATYLNDNKIQSPTNGGFSTLSQRKGITGGNYGNSSNMSGIIQFSTPSLASPASPSSPVGGVNYLGRRNEMWFPSGLVRNAGDYSGWQGQRGSNSFDDSKRHSFLEELKSSNARKFELSDIAGRIVEFSVDQHGSRFIQQKLEHCSPEEKASVFKEVLPHASKLITDVFGNYVIQKFFEHGTHEQRKELADQLAGQILPLSLQMYGCRVIQKALEVIELDQKTHLVRELDGHVMRCVRDQNGNHVIQKCIECVPSEEIDFIISSFEGQVATLSTHPYGCRVIQRILEHCSDEAQSQCIVDEILDSVYGLAQDQYGNYVIQHVLERGMHHERSQIISKLTGKFVRMSQHKYASNVVEKCLEHGDTIERELIIEEIMGQSEENDTLLAMMKDQFANYVVQKIIEICNDDQRERLLNRIKGHLQALKKYTYGKHIVARLEQLSGEESQASAA >KGN56987 pep chromosome:ASM407v2:3:9899619:9903868:1 gene:Csa_3G147210 transcript:KGN56987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATTVHSDSRPTIMVTNDDGIDAPGLRSLVRVLVSTQLYNVQVCAPDSEKSAVSQSITWRHPVSVKRVAIEGTTSYAVSGTPADCSSLGVSKALFPTVPDMVVSGINMGSNCGYHVVYSGTVAGAREAFFNGIPSISLSYEWVGGRSNIEDYTLAAQACLPIISAMLADVKAQNFPRNCFLNIDFPTDIANHRGYKLTKQGRCIYTMGWRRVTSDSQGGKMLSTMTMDPTSSMECKMSEESSSSELFTRQVISAPIDNEDTDYKYLLEGYITVTPLAALSRAETDCENFLEAWLPGVVARPSPSAL >KGN56420 pep chromosome:ASM407v2:3:6751199:6757362:1 gene:Csa_3G119530 transcript:KGN56420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILPETMLHSDIDMDSSDALRCKRNDGARWRCKELASPGKSYCDRHLIQLMKQNLNYKVRNYGDRGLCSGGRVMEEAGKRNEVRPRFGSLGEESADELDRNRSLVRKQKRQLCNRENNFSKDAKIGRDSGKSELTAFKLSDGKDTADSVKRLGASAKRKRNHVVTNGKSVETDKPNKKNGGSLMCHQCLRSDTSGVVFCSNCQRKRFCYKCIERWYPDKTREDVENACPCCRGHCNCKACLREFVEFAPKELDASVKVERLKFLLHKVLPILRHIQREQSYELEVEGNIQGAQLKEVDVERIKLVQTERMYCDNCNTSIFNFYRSCFNPNCSYDLCLSCCKELRESFHSEGRECQLTSTSQTSVGGMSSSSQVWSANPDGSIPCPPKERGGCGIASLELRRSLKADWANKLIEGAEELTSDYTLPDTCSSEICSSCCLNSNEVRQAAFRENSHDNFLYSPNSEDIMDDGVNHFQTHWMKGEPVIVRNVLDKTSGLSWEPMVMWRAFRQTGANVKFKEETCSVKAIDCLDWCEVEINIHQFFVGYLEGRMHRNGWPEMLKLKDWPSSTSFEDRLPRHCAEYIAALPYSEYTHPKYGLLNLATKLPVGSLKPDMGPKTYIAYGFQEELGRGDSVTKLHCDMSDAVNVLTHTSKVNIKTWQRAFIEKRQKHFAAEDCSELYGGMKSTSDDTEKDSECKQNQVTGQEACLMGLNASCRKGVTKPVKCANADPSMIEKPLGESKPQSSGQFDEHDYNSSNLTDVTVRNSSVDMCSTGASADIFCSKGPESAQKLVIAHTPSQLCGQSSNDTSKIHHETCDSEKASGCNEVNDLRSSHSIKNRADSHLEDDEKMEVATGGAVWDIFRRQDVPKIVEYLEKHQKEFRHIKCKPVNSLVHPIHDQTVFLNAKHKEQLKEEFGVEPWTFEQFIGEAVFIPAGCPHQVRNRQSCIKVAMDFVSPENVEECFRLTEEFRFLPKTHKAKEDKLEVKKMTLYAASSAIREIRELLLKLD >KGN57481 pep chromosome:ASM407v2:3:13546586:13556816:1 gene:Csa_3G198450 transcript:KGN57481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSPAQTGLSLCDPHSGYSSSSGNAVDLGCADLFLESNLGIMTRNVGILEKDDGSFPYRFQLEQDVRMLQQKLQEEIELHTSLEDAIQKKDLRSANFSCLPHHAQDLLSGIAVLEDAVVRLEQEMVSLHFQLSQEKNERRLAEYRLMHSSPCSVSLCSNSEAMKKQNAINLVEMYCEKSPVAEVNECSQPVECEKMSRGPPSSGLWHHPNILSEEMVRCMKNIFISLADSAVPSKSTLESHSPASPRGHLSNSSWWSSSERSIISSRVQSPQIDLPSSSEVLATQNACDPYRVRGKLSWAEIGNYAQAAEVSWMSVGKKQLEYAAGELRKFRTLVEQLAKVNPIHLNRDERLAFWINLYNALIMHAYLAYGVPKSELKLFSLMQKAAYTVGGHSFSATGIEYVILKMKPPVHRPQIALLLALHKSKVTEEQRRFAIDKHEPLLTFALSCGTYSSPAVRIYTADNIREDLLEAQRDFIRAAVGISSKGRLLVPKLLYCFAKNSVDDVNLAVWISHYLPPHQAAFVQGCISQRRQSLIGSRNCGILPFDSRFRYLFLPEKSSLQ >KGN57905 pep chromosome:ASM407v2:3:18869164:18870960:-1 gene:Csa_3G384800 transcript:KGN57905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNPLFLSLSLCFLVLFNGCLATDENLRDVSRRYYGEGQSRYRECRLDRLDALEPSRRIEAEGGIIEMWDPSHEMFRCAGVAVQRYIIDPNGLLLPQYTNAPRLIYVERGRGIKGVVLPGCPETYQESQQSAGEFRDRHQKIHHVRAGDLFAVPAGSAHWAYNDGNEKLIAVVLLDVSNHANQLDFHPRAFYLAGNPEEEFPEWRSQWKGEQGRHSGRKEGSSNKNNIFYAFDDRVLAEILNINIELATKIRGGDDFRRNIIKVEGQLQVIRPPRSRGGRRGEEQEWEEEQEEEMQRQRERHQGRRWDDNGLDETICSMRMKENIGDASRADMYTPEAGRLSTTNSHRFPILRWLQLSAERGVLYRNAMYAPHWNQNAHSVIFVTRGRARVQVVDCRGQTVYDGELQQRQVLVVPQNFAIVKKASEEGFEWVSFKTNDNAMINTLAGRTSVMRAFPVQVLASAYRMSTEEARRLKLNREETTLLAPRMSSSRRPANPVEEM >KGN57511 pep chromosome:ASM407v2:3:13838498:13839931:-1 gene:Csa_3G200710 transcript:KGN57511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPKNTQLRIFFFPFMAQGHTIPAIDMAKLFASRGADVAIITTPLNAPLIAKSINKFDRPGRKIELLIIDFPSVAVGLPDGCESLDLARSPEMFQSFFRATTLLEPQIDQILDHHRPHCLVADTFFPWTTDLAAKYGIPRVVFHGTCFFALCAAASLIANRPYKKVSSDLEPFVIPGLPDEIKLTRSQVPGFLKEEVETDFIKLYWASKEVESRCYGFLINSFYELEPAYADYYRNVLGRRAWHIGPLSLYSNVEEDNVQRGSSSSISEDQCLKWLDSKNPDSVLYVSFGSLASLTNSQLLEIAKGLEGTGQNFIWVVKKAKGDQEEWLPEGFEKRVEGKGLIIRGWAPQVLILDHRSIGGFVTHCGWNSALEGVTAGVPMVTWPNSAEQFYNEKLITDVLQIGVGVGALYWGRAGKDEIKSEAIEKAVNRVMVGEEAEEMRSRAKALGIQARKAIVEGGSSSSDLNAFFKDLRSQI >KGN55578 pep chromosome:ASM407v2:3:31073:32542:1 gene:Csa_3G000020 transcript:KGN55578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYFEPSCNHSLNRLAKGLQLTHNHLGNLQLVAQYLTILGSLALALHDTVQAREILRSSLTLAKKLYDIPTQIWVLSVLTTLYQELGEKGNEMENAEYQCKKADDLQRRLVDAHSSIHHIELIDKVRLEIQQLKGVDIKRAGSISLGVDLDIPGSIGVSVSTSSLKLMDIDSGRRGKRKI >KGN59140 pep chromosome:ASM407v2:3:29838035:29839934:1 gene:Csa_3G776990 transcript:KGN59140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGQISKRRGERIIPQKQKKKKVPFEIFDRWDPFAQFSNEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEASMGCVSSKLYQKDLQRDIIVNNGGEYLNHVVSLTSSTYGVLNLDADQKSKELVSEPTKKSPPREEPEVINAWELMDGLEEGVPIANRGKKSPKPRVFLRGLADFDRRSPLKFFNQIGTPKKAMKSGGKENRGRANGVGRLDYSPKEILKVNNSSKVSPKSALKLTVPVKSTPISARRQSFGSDSGLLSARRRSLSPLFDPELVASYEKQLTEEGEQIKRIVSETPKSRAARHFQESETALKKFEELCPPGGETSVVIYTTTLRGIRKTFEDCNKVRSIVESYGIHVVERDVSMDSGFKEELRALMGSKEVKVPAVFVKGRLIGGAAEVLKMEEEGKLGVLFEGIPTAAGSGCEGCGGMRFVMCLDCNGSCKVLDQTKKKTTKCGECNENGLIRCPICS >KGN57254 pep chromosome:ASM407v2:3:11757396:11759132:1 gene:Csa_3G174570 transcript:KGN57254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATTLKLNSIPNHVTFFETTRPMSHSFGQVSIPRKSDTNVSSSSSSSSSSSASRRLSVSSSSVLVPLMDVFSSSSFSSCSTAAAAAMVQKVASLARLWRQIHGCNDWEDLVEPTLHPLLRREIIRYGEFVTACYKAFDLDPNSKRYLTCKFGKKSLLKEVGLESSGYEVTKYIYATPPDINIPPIQNSPPSCGRWIGYVAVSSDETSKRLGRRDIIITFRGTVTNPEWIANLMSSLTPARLDPHNHRPDVKVESGFLTLYTSEESSMKFGLESCREQLLSEVSRLLNKYKEEEVSITMAGHSMGSALALLLAYDIAELGLNRRTNNEVVPVSVFSFGGPRVGNSGFKKRCEELGVKVLRIVNVNDPITKMPGVLFNENFRVFGGLLNGGANNSYEHVGVELVLDFFNMQNPSCVHDLETYISLLRCPKKQEDEDEDEDEDSGRGKIIINSGEFINKAMEFLCSNAQSLNMFPWRNPMNYLSQSQN >KGN59638 pep chromosome:ASM407v2:3:33235451:33235793:1 gene:Csa_3G834770 transcript:KGN59638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEGERDEGGGGDDANVEGEDIVAEASSCGGFEAGVGTNGGEHRRNHGSEWHQFFVKQLPPHFSDLCPIITE >KGN60406 pep chromosome:ASM407v2:3:39107090:39109757:-1 gene:Csa_3G903460 transcript:KGN60406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKRVTIRAHRKIYLGRPEEQSSSSSRGFKSQLETEIAMDATFKQGPLPSPFIDTVNELTRIFRSLPARPSIEEVEAAVAILNTVQNDEDFKLAELSKQQVPENVPEELFFILQQMRKTMVLFESHEQRREAIRLIELDKMLRSFDELIQRASDLVSGNSQGQTSLNLSDPVEKIAKETVISDPILEKKKGNEEFESNDYKDLVKNSSFVPPLSSSGEGETEKLNLMKVAALIENIAKSGSTVLNLKGKLMDKMELLPISIGKLFDLVELDLSENKIMALPPGISGLQSLRKFNIHSNQLINLPDTFGELVNLTYVDLHANRLKSLPASFGNLKNLISLDLSSNLYTHLPEITGKLTSLKKLNVETNELEELPYTIGSCSSLVELRLDFNEIKALPEAIGKLECLEILTLHYNRIRGLPTTMGNLPKLKELDVSFNELETIPENLCFAVSLRKLNVGKNFADLTALPRSIGNLEMLEELDISANQIRFLPESFRFLSKLRVLQIDETPLEEPPREVVELGAQAIVKYMADAVEKRDTKSQPTQENGFWLWFCSICCSESRNTSKDRLSTELQL >KGN58757 pep chromosome:ASM407v2:3:27562996:27565467:1 gene:Csa_3G731190 transcript:KGN58757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQIGQTEVCAPRSLQVWQAFMDWLSFFFHVIVQIVRGAPSLPQILSYIGFRFPLLPSSAPSFKPLPAAELPLHQFSTKKISSLSDLDDGGGQDRPLEKVTVVLDLDETLVCAYETSSLPAVFRTQATEAGLNWFELECVSSDKEIEGKPKISYVTVFERPGLHEFLSQAAEFADLILFTAGLEGYAKPLVDRIDTEGRFSHRLYRPSTVSTEYREHVKDLSCIQRDLSRVVIIDNNPFSFLLQPTNGIPCLPFSPAQPADNQLLEVLLPLLKHLALEKDVRPILYERFHMPEWFQIHGIP >KGN59876 pep chromosome:ASM407v2:3:35172365:35177898:-1 gene:Csa_3G851770 transcript:KGN59876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEKANVTKELNARHRKILEGLLKLPENRECADCKAKGPRWASVNLGIFICMQCSGIHRSLGVHISKVRSATLDTWLPEQVTFIQSMGNEKANSYWEAELPPNYDRVGIENFIRAKYEDKRWVSKDGKPRSPARVQEEKPAFHGQRPAERSVSGYSGHSENLFEERKRVQTHSVRESVPASRVSLPVPPRGPEQITSAPKPRQEQKPEPVVQQAEATKQTTNDAPTVSPPKVDYATDLFNMLSFDGPSDNGSAAGSTDDSTWAGFQSAEEASSAEKSGPAKPAEATAQSTSAIEDLFKDTTSVSSLVPEKPAKDVKTDIMSLFEKSSMVSPFAMHQQQLAMLAQQQSLLMAAAKSGDAKFSNPSHQQQLAMLAQQQSLLMAAAAKSAAGDAKFCNTQTSVPNGTNVPPQSWPNVAYPIPGLMMQIGAQAGPQTTVQAMNRGLANPVGSSVPYPTSSLYNLGQVSSVPVNGVTPTAKNKSQSTASVSSATPSQSGKEYDFSSLTQGMFSKH >KGN60011 pep chromosome:ASM407v2:3:36237694:36239376:1 gene:Csa_3G866440 transcript:KGN60011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHYSKPNSKPPNMYTHYSIVLSLIFTNLLLFSSLSPTTAAGGSWMLLQRSVGISAMHMQLLQNDRVVMYDRTDFGPSNLSLPGGKCLRDPKAKIKVDCTAHSIEYNVITNTFRPLMVLSDVWCSSGSVLPDGTLVQTGGFNSGERRVRKFRPCSTCDWEEIPLALSARRWYATNHILPDGRQIIFGGRRQYNYEFYPKDEATQNVFDLRFLAETNDNGIENNLYPYVFLQPDGNLFIFANNRAILFDYTKNKVVKTFPAIPDGEPRNYPSTGSAVMLPLNVDAKFIEVEVLVCGGAPKGSYDKANSHGIFVEALRTCARIKITDQNPQWVMETMPQPRVMVDMLLLPNGNVLLINGGSAGAAGWEIGRKPALNPVLYHTNNPVGKRFELQNPTTIPRMYHSTAILLRDGRVLVGGSNPHSSYNFTGVLFPTELRLEAFSPSYLDPEFAYLRPAIQFPASSVKLRHGQALKVGFTVPGKVDSTRLSVTILSPSFNTHSFSMNQRLLILSTTKYRLRGNKKYEVEVTIPGSGNVAPSGYYILYLVHKEIPSIGIWVQLSDRK >KGN58603 pep chromosome:ASM407v2:3:26524488:26524845:-1 gene:Csa_3G697960 transcript:KGN58603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGPDEKLGQSPTVYGLEGCLSRHPPFLSTSKSRAHSFRSSSLPIKVSFNSLSFFPQISVFCTLLILNVPSSSWGV >KGN55618 pep chromosome:ASM407v2:3:290794:292765:-1 gene:Csa_3G002390 transcript:KGN55618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVSNNPPLSRGPPLALALKITVFALLAAAVVVYKLDPFDPAALPAEELSGEPASVAACNGRVLQAADRIGVGELAAAEDFAYDSELGLVYTGDGDGWLKRVRLNDSTVEKWAFTGGRPLGVALGADGDVFIADADKGLLKASKEGVVEVLTEEDDGVKFRLTDGVDVGEDGTVYFTDASSKYAFHSFIFDFFEGRPYGRFLSYNPTTKETKLLVGDLHFGNGVVVAPTQDFVIFCETPLRRCRKYYISGDRKGSVEKFVENLPGTPDNIRYDGDGHYWIGLSTEMTGSSSYWHIALKYPVLRKIMAIMEKYGQRPNLEKNGGVVAVNLEGEQVAWYYDYKWTLVTAGIKIGNHLYSGSLALPGILRLDLDKFPATAAGCPWSKSHDL >KGN56569 pep chromosome:ASM407v2:3:7517025:7519444:1 gene:Csa_3G124880 transcript:KGN56569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEAEAPRRAYGGPPRATHAPPRPRLEPVDREKTCPLLLRVFTKTGSHHFNEDFAVRGKEPRDEVQIYTWKDATLRELTDLVKEVAPEARRRNAKLSFALVYPDRHGRFVLREVGKTFSFGNRRLDDSLALGELGFQIGDYLDVAII >KGN59824 pep chromosome:ASM407v2:3:34748649:34756954:1 gene:Csa_3G848820 transcript:KGN59824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRYDSRSSDPTSYRDRRSDSGYGGSTGYGGSVRSSSSKSDYYGSEAPKKLDLDGLPHFEKNFYIEAPSVAAMTEREVEEYRQRREITVEGRDVPKPVKSFRDVGFPDYVMQEIAKAGFTEPTPIQSQGWPMALKGRDLIGIAETGSGKTLAYLLPAIVHVNAQPILSPGDGPIVLVLAPTRELAVQIQQEATKFGASSKIKNTCVYGGVPKGPQVRDLQKGVEIVIATPGRLIDMMESHHTNLRRVTYLVLDEADRMLDMGFDPQIRKIVSQIRPDRQTLYWSATWPKEVEQLARQFLYNPYKVIIGSPDLKANHAIRQHVDIVSENQKYNKLVKLLEDIMDGSRILIFMDTKKGCDQITRQLRMDGWPALSIHGDKSQAERDWVLSEFRSGKSPIMTATDVAARGLDVKDVKYVINYDFPGSLEDYVHRIGRTGRAGAKGTAYTFFTAANARFAKELIGILEEAGQKVSPELAAMGRGAPPPPSGHGGFRDRGRGYGGGRSWS >KGN60001 pep chromosome:ASM407v2:3:36126757:36130421:1 gene:Csa_3G865360 transcript:KGN60001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDPRHYDNIAVNDGDIQNIVLSYLVHNCYKETAESFTTCTGLKHHTDYLVDMAKRKRIYDFAVEGNALKAIELTEEVAPGLLEKIEDLHFDLLSLHFVELVCSRKCTEALEFAQVKLAPFGKLHKYVEKLEDFMALLAYEEPEKSPMFHLLSVDYRQRVAESLNRAILAHGNLPSYTAMERLIKQVTVVRQSLSQELGKDGFQSFSLRDFLKS >KGN56465 pep chromosome:ASM407v2:3:6979214:6982168:-1 gene:Csa_3G120440 transcript:KGN56465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNLTSLMISIRQNSRFVKNLRIHIRNLSVETNGGNNGREEIESSEKLLNLTQRKDVSEIAAEVGKVIRSKPRWEQSLLSDYPSFNFHDPSFFSELLKQLNNVFLSLRFFLWLSSQPEFLPHPVSCNKLFDALLEAKACVPAKSFLYSFEFSPEPASLENYIRCVCEGGLVEEAVYTFDMLKEAGYRPYVETWNFAFQSCLKFGRTDLIWKLYEGMMETGVQKDVDIETVGYLIQAFCNDNKVSRAYEILRQSLEDGLTPCNDAFNKLISGFCKEKNHHRVLELVHTMIVKNRNPDIFTYQEIINGFCKNWMTLQAFEVFNALKDRGYAPDMVMYTTLIHGFCKMGQLEDASKLWFEMIDKGFLPNEYSYNTLIYGFCKIGNLDEAMKLYKKMLDSGYKETTLSCNTLILGLCLHGRTDEAYDFFREMPCKNIVCDVITYNTLIQGFCREGKVLQSTDLLKELQAKGLQPSTSSYAHLIQKLCQLGSVQEAKEMWNDMHNRGLQPMVCTRDHIISGLCEQGYVVEGMEWLITMLKSNLKPQKETFYKLIQSLIQIGKELLLELKMDSKTSKEEEKELACEACSSYRRWGTFMSNH >KGN60482 pep chromosome:ASM407v2:3:39718757:39721004:-1 gene:Csa_3G915110 transcript:KGN60482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQVWDTLKEAILAYTGLSPSTFFTVLALGLAIYYLISSFFAPSDYGTHPRDLDQIHPLPPPVQLGEISEDDLKQYDGSDSQKPLLMAIKGQIYDVSQSRMFYGPGGPYALFAGKDASRALAKMSFEEKDLTGDISGLGPSELEMLQDWEYKFMSKYVKVGTVKTSVAEGDAAAGEPAESSTAEVSKPVEESHSEPRDDKAEEAPAAANAD >KGN58939 pep chromosome:ASM407v2:3:28456789:28461463:-1 gene:Csa_3G736870 transcript:KGN58939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNKASQDLRKHALEALERRCAAAKVELLQQQKISSTEKSKKEVEGKSALNNSTSVVSRNQYNSSIPLPSEGKPPYKDVNESDPIYFTLSAAVNDKLMATSMDVSNNRGRVIDRIYHKLKTSGYVKRSKELKVDAYILLDNFVPKRAGMIGSRMRALRSNSKRSKRHMSMKQHKKCGTLDLPSDCRKFEIFLPMHDMWKSYMKQRLHNVGPDALARNLLIADLHGAMIRVVECIIPAFTGTSGVMIRETAETFGIVTKDDKFRVVPKKSSVFIFQWDCWKVTLLGDKLSSRIPSLPSTN >KGN58719 pep chromosome:ASM407v2:3:27363850:27367051:-1 gene:Csa_3G730830 transcript:KGN58719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISGATEALNRVPLSEVVSDCVKRWFKDTLKEAKAGDINMQVLVGQMYYSGYGVPRDAQKGRIWMTKASRSRSSVWKVSDKHPGYNASDSDSDELTRDS >KGN55650 pep chromosome:ASM407v2:3:442863:445436:-1 gene:Csa_3G002700 transcript:KGN55650 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit alpha type MARYDRAITVFSPDGHLFQVEYALEAVRKGNAAVGVRGSDTIVLGVEKKSTPKLQDSRSVRKIVNLDDHIALACAGLKADARVLINRARVECQSHRLTVEDPVTVEYITRYIAGLQQKYTQSGGVRPFGLSTLIIGFDPYTGTPSLYQTDPSGTFSSWKANATGRNSNSIREFLEKNYKETSGQETVKLAIRALLEVVESGGKNIEVAVMTKEHGLKQLEEAEIDAIVAEIEAEKAAAEAAKKAPAPPKET >KGN57179 pep chromosome:ASM407v2:3:11170115:11171631:1 gene:Csa_3G168410 transcript:KGN57179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKFEEESRMGFDDSAGFYSPAVFSDDFPSSNFDSFSSIFDMPCDAHKASNFDFYYYNNNNSNSNNNPSSFFDLLSTAAPPLSSPASTVPESSEVVNAPTTPNSSSVSSSSNEAAAIEEVNNSTTTHDKPSASKVLKPIKKNQKKQREPRFAFMTKSDIDHLDDGYRWRKYGQKAVKNSPYPRSYYRCTTAGCGVKKRVERSSGDHTIVVTTYEGQHTHQSPIMPRGSLRVLPESTNNSLTVDHDTTATGLLFQHNTSQPFMYIAPPPPFLTINSSSVAASHNPPPISFQPPSPQASVRDHGLLQDLVPLQMRKEPKDEQNG >KGN55913 pep chromosome:ASM407v2:3:2526576:2527687:1 gene:Csa_3G036390 transcript:KGN55913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKDLSDDQKSSMREAFTLFDTDGDGRIAPSELGILMRSLGGNPTQAQLKAIIAEENLTSPFDFNRFLDIMSKHMKPEPFDRQLRDAFKVLDKDNTGYVRVSELRHILTSIGEKLEPSEFDEWIREVEVGSDGSIRYEDFIARMVAK >KGN58853 pep chromosome:ASM407v2:3:27994718:27995818:1 gene:Csa_3G734070 transcript:KGN58853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSVILSSLPPARALTCNHQDSRHCLFQIGYSVRANSSRARTSFRIQAAAKPPAGVELPKVEPKFQAPFLGFTKTAEIWNSRACMIGLIGIFVVELILNKGILQVIGVDVGKGLDLPL >KGN57864 pep chromosome:ASM407v2:3:18290914:18292342:1 gene:Csa_3G355560 transcript:KGN57864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVACASGFTRFNIIVGIVTFPVKVISSFVGSLLFGILAFLMSNKGVVELLFIAILRGYDIISDGLLIWLTVMILILVVHRNNDTHGLIHLLNLSCPTEQNPLTIYVLHLVELIGRITPSFISHKQENHTYPLTHQQQPSSKDMVFCFDNFERDTHGTVITPNKFMLSDICKLGLEKSASLIILPFHQAWAADGDIDQDDQSIRALNWGVIEKGPCSVGIFANRGNLGSNRGSDDREAISYAKRLATDSRVKLTVLRLYVSSRMEEKEQNWEKMLDSEALRDFKMNCFGEGRVKYIEGVSENGTDTATRVRKMVNEFDHMIVGRRKGLEKSSPQTCGLGEWNDFPELGILGDLIVTLDINIRASVMAIQQTPPPI >KGN56339 pep chromosome:ASM407v2:3:6295029:6296377:-1 gene:Csa_3G116760 transcript:KGN56339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVAQNPNVPIPNHQTATMRKPALYKNKLHMHGVENKLRCATSSTSSSSTGASECLKRRVKVGKRWKEYAGLGNWEGLLDPLDDNLRNEILRYGQFVDAAYKSFDFDPSSPTYATCLHSKASLLESSGLPSTGYRVSKHLRATSGICLPRWLRNAPSISTNSSWIGYVAVSQDKHEISRLGRRDVVISLRGTATCLEWLENLRATLTTLPGEEGGAMVESGFLSLYSSRTESYPSLKEMVREEIGRLLQSYGEEALSLTITGHSLGAALATLAAYDIKEYFKTSAPMVTVMSFGGPRVGNRKFRQRLEKQGTKVLRIVNSEDVITKLPGFVVNNSSSSSSNNNVEEGGGRLRWIQKYVEETQWAYSEVGRELRLSSRDSPHLNRINVATCHHLNTYLHLVDGFVSSTCPFRATARRMFP >KGN56998 pep chromosome:ASM407v2:3:9957855:9959710:-1 gene:Csa_3G148800 transcript:KGN56998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAAGFAVTSSSGVEFEAKITPVVIISCMMAASGGLMFGYDVGISGGVTSMPSFLEEFFPVVYKRTQQHVADDSNYCKYNNESLQLFTSSLYLAALIATFFASYTTRVLGRKKTMLIAGVFFIVGTILNAAAVNLLMLILGRISLGCGVGFANQAVPLFLSEIAPTRIRGALNILFQFDITVGILFANLINYGTSKIEGGWGWRVSLALAGIPALLLTIGALMVDDTPNSLIERGHLEEGKAGVKKIRGTENVEPEYLEILEASRIAQEVKHPFRNLKMRQNRPPLVIAIWLQIFQQFTGINAIMFYAPVLFNTLGFGNDASLYSAVITGAVNVLSTLVSIYFVDKIGRRMLLLEAGVQMFISQMIIAVVLGVKLQDNTNSMSHGLAIVVVVMVCSFVSSFAWSFGPLGWLIPSETFPLETRSAGQSVTVCVNMVFTFVIAQSFLSMLCYMKYGIFLFFSGWVVVMSLFVMFLLPETKGIPIEEMTDKVWKQHWFWKRYMTDVAEKGKASA >KGN56092 pep chromosome:ASM407v2:3:3879241:3883199:-1 gene:Csa_3G073840 transcript:KGN56092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIANLLPPSSSAAPTTSIAKCFLFKPHFFTPNAANFCRTIPSAVSSSSSTHFISGSSNWTPESWKSKKALQLPQYPDPNELDSVLRVLESFPPIVFAGEARKLEESLAKAAVGEAFLLQGGDCAESFKEFNGNNIRDTFRVLLQMGIVLTYGAQMPIIKVGRMAGQFAKPRSDPFEVKDGVELPSYRGDNINADAFDEKSRTPDPQRLVRAYLQSVGTLNLLRAFATGGYAAMQRVSQWNLDFVQHSEQGDRYKELAQRVDEALGFMAAAGITTDHPIMNTIDFWTSHECLHLPYEQALTREDSTTGLYYDCSAHMLWVGERTRQLDGAHVEFLRGVSNPLGIKVSDKMDPSELVQLCEILNPRNRPGRLTIITRMGADNMRVKLPHLIRAVRQAGLIVTWVSDPMHGNTIKAPCGLKTRSFDSIRAELRAFFDVHEQEGSHPGGVHLEMTGQNVTECVGGSKEVTFDDLNSRYHTHCDPRLNASQSLELAFAISQRLRSKRMRSKAGLNGLLVENGFVA >KGN57291 pep chromosome:ASM407v2:3:12017231:12021341:-1 gene:Csa_3G176860 transcript:KGN57291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKETGVSRFTVTNDEALDNFELAPRTEALKSTSESKVTEVAVAAPPPGFVAATDTPPVSVAVSSTETKKKRGRPRKYGPDGKRSLTLALSPMPISSSIPLTGEFPNWKRDNEISQAIVKKPQRFEFENPGQRLAYSVGANFTPHVITVNAGEDITMKVMSFSQQESRAICILSANGTISNVTLRQATSSGGTLTYEGRFEILALTGSYMPTQNGATKSRCGGMSVSLAGQDGRVVGGGLAGLLVAAGPVQIVVGSFLPGHQQEQKPKKPRNESTTIFFPPVNTITGEEMKAMYAGGNKPILTTPSYQEQHNPPSPTPVTGFKISSTDNLPLSDQEPKTQSQSNCEVSC >KGN58965 pep chromosome:ASM407v2:3:28603993:28606104:1 gene:Csa_3G740090 transcript:KGN58965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAAMTDNHPRLDNLRSTSQLLREATASFTSNLFTFLFLSLLILSFRVVVENGTQYVTSFIDRDPSLKALLSRLDIAGEQRLLRTSEDSSLSASVARRQRRQRRRPFLHLTRVGTLDDDIFSGDGDDERGLFGTNRNHPPNASFVFFTQFSSISGFSDLVVDDGIRVSEVVRPGVGFKARSSSFSNDKESADDQEEKDRRLGGENVHQDMDRLVDLQFFVKGLELGRRDAAALFFFVSFLSAAYIWVMLGFLVTYSWASGIVFIAVLNDLTERFGSFVGMVWDGSRLGFKRLSGFILMRWAVRDALTQLLGLWYFGEIEDQYSFFKLFVRLKLMPFSIMSPWIRGYEKEISGFLFAWFLLDTLVAFIFAVDAWVVIVDARRTGREILKEGCYLILTMLNQAIQIKCLEAICCGSFMRWALARVCGKNVAMFFQSVGEVYFMVVWLTFYFAAKCRDAKVQGQRFGRRELEGLMEGVR >KGN58222 pep chromosome:ASM407v2:3:22838319:22841502:1 gene:Csa_3G595230 transcript:KGN58222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGILRRALSRVSASSPSRFLRIRAHASEAQAQQVDQKAAASSHLKTFAIYRWNPDSPSKPELQEYKIDLKECGPMVLDALIKIKNEIDPSLTFRRSCREGICGSCAMNIDGCNGLACLTKISSGDSSTITPLPHMFVIKDLVVDMTNFYNQYKSIEPWLKRKSEPPVPGKEILQSKKDRAKLDGMYECILCACCSTSCPSYWWNPESYLGPAALLHANRWISDSRDEYTKERLDAINDEFKLYRCHTILNCARACPKGLNPGKQIQNIKGLQLVG >KGN56245 pep chromosome:ASM407v2:3:5291417:5294484:-1 gene:Csa_3G105970 transcript:KGN56245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAEKKWLFTLFSAVFLSLLLLLFSSISAFSSPRSIPSIVHHGAPYPPAFAYYISGGRGDKDRLFRLLLAVYHPRNRYLLHLAADASNEERLQLAVAVKSVPAIRAFENVDVVGKPNRISYMGSSNIATILHAASILLKLESGWDWFITLSARDYPLISQDDLSHVFSSVSRDLNFIDHTSDLGWKEGQRVHPIVVDPGLYLARRTQIFHATEKRPTPDAFKIFTGSPWFVLSRSFLEFCVLGWDNLPRVLLMYFNNIVLSEEGYFHSVICNSNEFKNKTVNSDLRFMIWDDPPKMEPVFLNVSNFNVMAESGAAFAREFHKDDSVLDMVDQELLKRGRNRLLPGAWCTGRKSWWMDPCSQWSDVNILKPGSQAKKFEESMKNLLDDWKTQSNQCQ >KGN56273 pep chromosome:ASM407v2:3:5547896:5549384:-1 gene:Csa_3G110700 transcript:KGN56273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCAEIIEQFQKCHIDHPVGKFFGECTDLKIKLDRCFRQEKALKRKANFEQSKKMKERLDALRKENAL >KGN57838 pep chromosome:ASM407v2:3:18024315:18030091:1 gene:Csa_3G345390 transcript:KGN57838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALYSGAFCFHGVPTVTLESLRHKQWAVRSYGISRSRVVSKSQLKVKVKAFSGDGGSVESNDSSLVVCFGEMLIDFVPTISGLSLAEAPAFKKAPGGAPANVAVGIARLGGSSAFIGKVGADEFGYMLADILKENNVCNEGMLFDPGARTALAFVTLRSDGEREFMFYRNPSADMLLQESELNYDLIRKAKIFHYGSISLITEPCKSAHIAAAKAAKDAGAVLSYDPNLRLPLWPSADSAIEGILSIWETADIIKISEEEISFLTRGEDPFDDAVVRKLFHPNLKLLLVTEGPGGCRYYTKEFSGRVKGLKVDAVDTTGAGDAFVAGILSQLATDLSLLQKEDKLRDALLFANACGALTVKERGAIPALPTKDAVQNAIFETVS >KGN56743 pep chromosome:ASM407v2:3:8540729:8544916:-1 gene:Csa_3G131960 transcript:KGN56743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKYIRKSKSSREITLIDASQSSSYIGVRTRAKTLALQRLQKTSNSPPTSPSPTTSGSYLQLRSRRLHKPSSTALPKQKLPQGTTNARGRRVSADSRGTSRLGVCSVAAGSIESVSPRRDDAVQELVVKQSEVQENFNVHDIQEEASFGENLLDFEGGMSRESTPCSLIRKPESIRTPSSSTKASSTTDDRIQLQNSSATDVPTAREVDDFFNCAEGEQQRKFIEKYNFDPITDKPLPGRYEWEKLDD >KGN56017 pep chromosome:ASM407v2:3:3318776:3324175:1 gene:Csa_3G047780 transcript:KGN56017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAEDTAKITVENTKDEAKGEDLKTNTVETVTVENGNSKEDKMKNSVETVENGTNEDDKMKNTIETVTNGTNELEKINEIVPKGEENGVKETEIEKGVVEAEVTKMGEEPKIKEDKESNAENVKDEKEEAKIQAMDEDANPNAKNDEQNVDIKDADSVDVKDDKNEIAKDGEIEGAKDEEMEDAKDEVDDAKDGVEKVDSHMEEDDKEMKDKDPNEEKTKKGRRRKGAIKSKGNKEEDEKEEAEIRTPIVDRPVRERKSVERLVASIERYAVKEFHIEKGRGTPLKDIPNVAFKLSRKKTDDIFRLLHTILFGRRGKAFQIKSNISRFSGFVWHGDEEKQKNKIKEKFDKCNKEKLLEFCDVLDIPVVKATTRKEDIIGKLIEFLIAPHSTTTVLLAEKEKSSKGKKRKRAVKGGISTPGDSGSKSSAKCPRIPIFMKIVAVMNS >KGN59029 pep chromosome:ASM407v2:3:28956689:28958192:1 gene:Csa_3G746610 transcript:KGN59029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSVMSAPPWLEPLLTTPFFSICHTHGDSARSERNMYCLDCHSDAFCFYCRSSHHNDHQVIQIRRSSYHDVVRVAEIEDALDISGVQTYVINSARVMFLNERPQPKAGKGGAHICEICGRSLLDPFRFCSLGCKLVGVKRNGDASFNLEAKKEAMGIERREGISSRRRKGIPHRAPFGS >KGN59988 pep chromosome:ASM407v2:3:36024822:36025499:-1 gene:Csa_3G860240 transcript:KGN59988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLNTIPMKKTLGRQKIEIKKLEKKSSKQVTFSKRRAGLFKKAGELSVLCGAEVAIIVFSPNDKLFCFGHPDVDVLLDRYLTGNLSPPKPAESYIPVAEFNRDFADCALEFEAEKKRAAELIRAAEDSRKNGGFWWQEAVEGLRLEELKDFRSALMDLRAKVAERVEKLTAVRIGGPLLPALPPPPQPMTSSSFHLIGNHQELPPPTAFHLAGNQRVATGLGFF >KGN55606 pep chromosome:ASM407v2:3:208477:208854:1 gene:Csa_3G001780 transcript:KGN55606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMGSSCYNNGLGADQLFMVGHRSNPFTKWKAATPSNCENSSDDGGVLDGLLSNANTHSTAKTATLTETANWTEQPHEFLFFPSLSLLLK >KGN60389 pep chromosome:ASM407v2:3:39006637:39007005:1 gene:Csa_3G902315 transcript:KGN60389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYCRNRTVVPQSMLTTSDTKTSHTPIVVEYIKPLCTSRRRETRDYIDISSTSYSHYEVFRNCTAFDEVFISLRFIKAANDGPNDIPRRSYELREEGGALAGAEFIGVKLKDEILQVVELMLS >KGN57805 pep chromosome:ASM407v2:3:17475830:17477252:1 gene:Csa_3G313200 transcript:KGN57805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPLFLLFLFTISFSIPSQARPCKSLFLSFSLHRHRTLDSPHPFSQMAIIVDITEFKSSSFSSSPDPLFPSVADPTDILRFDLPRPTPVSASTQHFPYDFTSLRDRTKDILSVVVALLFGVGCGALTAATMYLAYSLFAGQFGHRSSVYDDFGEDEDDLSDDNKENIKKMGYINIPDDVAPVKSVG >KGN59329 pep chromosome:ASM407v2:3:31079409:31084748:1 gene:Csa_3G810530 transcript:KGN59329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIKVGTVGGSSPIGRQSDDTGLESLQFCEEIQGLMTIPAENASSFTALLELPATQALELLHSPDSATAAVVNDDSVHHCIKDVPKPYFSAFNCNLTFPTNSGLIERVTKLSVLAKEHSPETTSSVPLNSSVNLEKVKNEPTTDTDSNPNPLQTLISDPTVENTNQRSAKRKEREKKGRGSTKKSKNESNEDAEKLPYVHVRARRGQATDSHSLAERARREKINARMKLLQELVPGCNKISGTALVLDEIINHVQSLQRQVEFLSMRLAAVNPRVDFNIESILATENEPILESNFPTMVSPLMWPEIPVNGTRQQYQPQWHFDGSVNHQGWARDEHNHHNFSPPENSLLSYDSSANSASLHSNQLKMEL >KGN57973 pep chromosome:ASM407v2:3:19817441:19822053:-1 gene:Csa_3G416660 transcript:KGN57973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITVIILLVLTIFLFHRFRTRLSSSAAKNLPPGALGVPFIGQSLSLLGAMRTNTAEKWLQKRVDKYGPVSKMTLFGKPTVFIHGAAANKAVVFSGEEGTVSNRQVESLKMILGKRNLTELSGEDHKRVRGALVWFLRPQTLRSYVGKMDGEVRRHLNMYWHGNNEVTVAPLMKTLTFDIICSLLFGIEEGTTRKSIIECFKTMVDGIWSIPINLPFTRYNHSLKASAKAQQILKQLLKDKAKVMEEEKESEVEENDKDLISYLLRIKNKDKEQALSEEEIVHNIILLMIAGHDTTSILLTLMLRVLATNPTVYAAVLQEHEEIGRSKERGEALTWEDVSKMKYTWRVAMETLRLYPPVFGGFRVALKDIQLGAYTIPKGWQIFWAAPMTHLDETIFGDPQKFEPSRFDQNQTPIPPFSFIAFGGGPRICPGYEFAKLETLVTIHYLITQFTWNLSCSQDFLTRDPTLMPNKGLPIQIFPKS >KGN59312 pep chromosome:ASM407v2:3:30956390:30960894:-1 gene:Csa_3G809390 transcript:KGN59312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPALSSFPYSRVHDMEAQIHHLEQEAYCSVLRAFKAQADAITWDKESLITELRKELRVSDDEHRELLARVNSDDIIREIREWRQAGGHLNARRSSSQPMHDVVPSPTVSASRKKQKTSQLNAPLPGFSSMKPMQYSSSVPAGSRQLNNQCSGTLLANESAEAPPYDTLIGRKVWTRWPEDNSFYEAVIKDYDPVKGQHALVYDINTARETWEWVDIKEIPPEDIRWDSEDPGMTHRGGHGGQSRVYRRSQSLGGGGGRGRGHSKSHSRKEVSPSQNGVGKKGLDDIELLNTEALVQEVEKVFNTSNPDPAELEKAKKMLKDHEQALVDAISRLTYASDGESEGAQPNVNDQLVDKNNNT >KGN57868 pep chromosome:ASM407v2:3:18318943:18319263:1 gene:Csa_3G356580 transcript:KGN57868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHCVLTVHSHARRCMHISTRQDCIPYNVSFPYNMDFYNTFPFHITWSTLDGYINEDDNKIRTLNYSVLERAPGSVAILGDRGNLGRKGVKTLSTRMRSKYSVVHA >KGN55896 pep chromosome:ASM407v2:3:2424185:2427377:1 gene:Csa_3G033780 transcript:KGN55896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHSRRVAVIGAGGAGLISARQLSREGHQVVVFERNNQIGGVWVYSPEIESDPLGVHPKRTRIHSSLYKSLRTNIPREVMGVRDFPFVPREGEDRDPRRFPSHTEVLKYLEDFANEFGICKLVRFRTEVVFAGLEKLGKWRVEFRCENGDVHYDIFDAVVVCVGNFSQPRVAEIPGIDGWPGEQVHSHNYRDPEPFRGKVVVLIGYSSSGTDISQELIGVAKEIHIACRPAKTESSDEKSIISNVSFHPMIESVHKDGTVVFQDGSVVSADVILHCTGYKYHFPFLETNGTVTVDDNRVGPLFKHVFPPALAPGLSFVGLPFKVVPFVIFELQSNWIAGVLSNRIALPSKEEMLADVKAFYEELEARGKPKHRTHKLGGYTPAYCNWLAATCGCPPYEEWRKEMFVATDINKVANLESYRDDWHDDELIHQAYEEFGKYTTTNEGSQNHSNLNV >KGN58523 pep chromosome:ASM407v2:3:25903804:25905308:1 gene:Csa_3G656510 transcript:KGN58523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAGHLVTTARNINSISSSFNKAAPKPSTQTFKIKASSKRNDISLVKHNLLLVIFQISADIPSSIHTFLFHLMIFSFSSLNEAQIRNKTTRRLITISTAGSRWQGKWTCDYLLSLRDLNLEDLVEDENNNAHVFINLCIEKHASFGFTVDGRINTSFTRKCCACSSPYCREINANFNVLVLSSNRANREIHLPDIGGDDPSVIYVKPGLEADLDSLVRDTIRLTTSTKDTCSEMCEKSQPTVQYIGAQNAASIDKRWSRLLELRKSNS >KGN60041 pep chromosome:ASM407v2:3:36453662:36461055:-1 gene:Csa_3G872720 transcript:KGN60041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEYRFSMDQKDIVRILVATIDNFTRGRLINKEQRNLHKEQCAERLASEGGSNDKDTEVRYSDQAVLANLDWGIEALEEALNTSNMETKLARLDHAEKMLQVCALLNSNEKTAGVPNFYLAAWAHLNLSYLWKLRGNAHNSVLHILEMFIVDPFFSRNDFAPELWKELFLPHMSSIVGWYSEERHRLMIEVIPDSSDLSFTADLDQFFNESLIFSLRPDQAEKLQKLEQLYGASLDENTRLFAKYFKDCMNSDSSSTKKVAPMLPIAEPPMTPLHEVSRSIPDYIKFGPILPKSAGFSSIKPKSKDGTAEASWPKGASSPANNIEKFAGQYSQSDLLEENEDDSDQEPYDSYDLSDTATYKLLSPSSTRASEDEQIGPKEEVSKMGSRKHSPTIFSPIASPPVPSPRVLYPIVNEKKSESRTLRLLSSRGEQRVATSALGSPATRSDYSSNSVESDGEKDGHRRIIYKPTHNTTYDNVSSQDFENCSIDKLEDESRSRSSENVTHMVRPPKDFVCPITGQIFSDPVTLETGQTYERKAIQEWLKRGNTTCPITRQPLSSTVMPKTNYVLKRLTTSWQEQHPDVAQDCSWTGTSVSTVGSTFKRRSSVATTPCQPFHGPLNRTYESLNQKGKRLMQEAVSLSPTSVISQATVEKIINSLKPFVSCLCNFENLKQCETAVLTMAGFWKDSKGDPAVHSYLSELAVVNGFMEILLNSREREVLRTSIYVLSELICADGSVGESLSSLDSDFDCLASLLTSGLSEASVLMCLLRPTFTKLSAHELIPSLAQLLQKKNEDFDDLPFVIEPKDAAIAMLEQILMGGDEYSQSRNVASLISAEGCPALVKFLDGEEVRRPILSMLLCCMRVDKGCKDSIVEKIELAPVLELLYTGNEDDRGLCVAFLSELVQMNRRTQCNQILQQIKNEGAFSTMHTLLTHLPKATIEQQPSIASLLLQLDLLVSLDPIIASMRKSFSSWKLTDNSASCQVEPRKMSIYREESIDALFEAFRRKDNYNVQTAAADALLYLSGRLTSSGKCYAKSWLLKLAGFDQPYNALMKDEGLRKPDSELSEREEEEKAISVWEKRVALVICNHEKGYIFKVMKECLKSKSLEMEKSCLVIVSWLCHMVSTLPDTGVRETARRFLLDELVNVLQSSNSQEDKILACLALKTFISDPAALEELGLHARSINKTLRKLRRSSSVVNAIMKALMNLPSVDTTELWSYTEVGAIDCSSNGEVLSLLHLEGRVLSSHSDGTIKVWDARNKVLRLIQEARKHSKAVTCLCVSSSYDTVYSGSLDKTIRVWSIKSEEIQCVQVHEVKEPVYDLKVNGKLACFVSPGNGVKVFNFFGVPKHINFNKYVKCLALSEDKLYCGCSGDSIMEVDLSKNATSTFYTGVRKLLWKQNIYSLHIHGDLLSAAGSTVDGTAGKTFSLANKTTVGSFSTGVDIHHMAASTDFLFTASRLGMLIEIWAKEKHTKIGSVKIGSSASGSHTKITSLTTDDGGLLLVGTSDGKIQVWALE >KGN58229 pep chromosome:ASM407v2:3:22896051:22896391:1 gene:Csa_3G597280 transcript:KGN58229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFKEKEELQKLIQKLPPENIRRVAEIVIQHRTDKTDLSGEIHIGLDKENNTTLWRLYYYVEAVEKAKKLASK >KGN58254 pep chromosome:ASM407v2:3:23136594:23137075:-1 gene:Csa_3G599475 transcript:KGN58254 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain protein MYEDDLDNAEDVIYTGQGGQNLTGNKRQIRDQKMERGNLALKVWTSLYSLIFCNLIRFRELMKFRE >KGN58653 pep chromosome:ASM407v2:3:26903221:26906820:1 gene:Csa_3G710800 transcript:KGN58653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLKERLLPPKPASAINLREAINNRPSASGRVPFQGVDVLGLKKRGQGLRSWIRVDSSGNSQIIEVDKFTMMRRCDLPARDLRLLDPLFVYPSTILGREKAIVVNLEQIRCIITADEVLLLNSLDSYVLQYVVELQRRLKATGVDEVWQNDANHGADLNRRRGSRNFDNVFVNTSPDYLPFEFRALEVALEAACTFLDSQAAELEIEAYPLLDELTSKISTLNLERVRRLKSRLVALTRRVQKVRDEIEQLMDDDGDMAEMYLTEKKMRMESFVYGDQSVTGYRSIDGASISAPVSPVSSPPETRRLEKSLSIARSRHESTRSSESTNENIEELEMLLEAYFVVIDSTLNKLTSLKEYIDDTEDFINIQLDNVRNQLIQFELLLTTATFVVAIFGVVAGIFGMNFEIPMFGNPDAFKWVLLITGVSGIIIFSAFVWFFRYKRLMPL >KGN56387 pep chromosome:ASM407v2:3:6567905:6571397:-1 gene:Csa_3G118230 transcript:KGN56387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFINPFKLCSGLKFLGYFMILLVFAIVAVSYDAVVVLTWGPKLLMGGFRSFLAFSIIILFHVLLLLLSWSYFMVVLEDPGSVPANWVLASEAENMEAGSSSLPEHGPTGDATYSSLDGAGRRSTAYCRQCQNGKPPRCHHCSVCQRCVLKMDHHCIWVVNCVGARNYKFFLLFLLYTFLETTMDTLVLLPSFIKFFDEAKSHSGSPANLVILFLAFVLNLAFALSLLCFVVMHASLLMSNTTSIEVHEKRRAVQWMYDLGKKKNFEQVFGTKAALWFFPLFSKEDLEKIPALRGLEFPIRSGMES >KGN55994 pep chromosome:ASM407v2:3:3133061:3133399:1 gene:Csa_3G045080 transcript:KGN55994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPILGFTKVNRATPFDYGAGHVNPNNAIGPGLVYDTIINDYLNFLCAWGYNHTQLKKFSNKPFVCAKSFTITDLNYPSTSIPKLTINSGVTINRRVKNVGSPGTYVAHVNG >KGN57790 pep chromosome:ASM407v2:3:17199980:17202246:1 gene:Csa_3G303630 transcript:KGN57790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRQPCCEKVGLKKGPWTSEEDKKLINFIVTNGQSCCWRAVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLSDFEEKMVIDLHAQLGNRWSKIASHLPGRTDNEIKNHWNTHIKKKLRKMGIDPQTHKHISISTDSHQSNLPSSHLDTEMSPSSPVNNIPETKDPGEVITSTTVIEPKTPPLNSCVSSTSSSSTSPSLSSNSNIIKFDDLEFPDFEWICSNDTNNNNDNDIGFWEDDDFSSWDFLVGDHEDGDQVPIFQESWPCGLI >KGN58264 pep chromosome:ASM407v2:3:23296364:23299411:1 gene:Csa_3G601030 transcript:KGN58264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAVLARTGRHRQRYDDHFRLVSGCIPYRLIEDSEEVNDQCDTENKIEVLMVSSPNRDDLVFPKGGWEDDETLLEAACREAVEEAGVRGKLNENPLGVWEFRSKSSQDICSMEGACRGYMFALEVTEELESWPEQGNRHRRWLNVKEAFRLCRYEWMRVALEAFLRVMGGDENGEATQEMTAETSAVTVTNVVDCGLISSNCCGRPPPFSQQHGGRSHSTGIGGISRDCRLGITLTE >KGN60122 pep chromosome:ASM407v2:3:36983858:36984235:1 gene:Csa_3G878920 transcript:KGN60122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDYIDVHEQLMSIATFDSVLASTTLYCVSTRPNNNNLEEEVKSNGLAFCSTFKCIIQSQVQNEFLQSLHSIYKCPLKTLNPQNKVCLSPRQTSAHACETGPYIRVSPKILMEKLCSASHFLYS >KGN56976 pep chromosome:ASM407v2:3:9868094:9869165:1 gene:Csa_3G146610 transcript:KGN56976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISHSRPLNSNPIKISIPFEIRPSFFTRASSISLSIWVLTLVFIVVGGVSPAPSKPIASLKNEFEINSTTAMKVHPLPRKRNIAVRNNTTLRNSLEDQSLLNNHKKLRRLPHIFSRVLELPFRSDADVLVEENPDCFRFIAETDGNISDGVRAHAVEIHPGVIKIVVRENESLEMSIDELELDMWRFRLPETTRPELASAAFVDGELIVTVPKGNDEGNSDDGGGDIFRDEMEGRLVLVQ >KGN55643 pep chromosome:ASM407v2:3:396199:398292:-1 gene:Csa_3G002630 transcript:KGN55643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSNKITLKSSDGDYFQVDDAVALQSQTIRHMIEDNCAHNGIPLPNVNSKILAKVIQYCRKHVDASSADPLPSEDDLKTWDRDFVNVDQATLFDLILAANYLDVKSLLDLTCQTVADMIKGKKPEEIRKTFNIKNDFTPAEEEEVRRENQWACE >KGN60093 pep chromosome:ASM407v2:3:36787899:36791576:-1 gene:Csa_3G877640 transcript:KGN60093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKPSNSEKNLMLPVSDPPHGTEEKERLIRGDEKLFRGSSMTKRGAYAALSYMACAVLLVLFNKAALSSYNFPSANVVTLVQMVCSCSFLYALRRWKIISFTVGDSFSDNATSMVPMKTLRQTSPLAGTYLLYMLATMESVRGVNVPMYTTLRRTTVVFTMVVEYLLAGQKYTYSVVGSVGLIVLGAFIAGARDLSFDVYGYSIVFMSNITTAIYLATISRIGKSSGLNSFGLMWCNGVLCAPVLLFWTFIRGDLEATISFPHLFSPGFLVVMFCSCTLAFFLNYSIFLNTTLNSAVTQTICGNLKDLFTIGLGWMIFGGLPFDLLNVIGQLLGFIGSGLYAYYKLIGK >KGN55708 pep chromosome:ASM407v2:3:826762:830720:-1 gene:Csa_3G006690 transcript:KGN55708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVSTAKSLIYTHYSVYSSSKTSLGHHQEHIVFFASGKKSKSGKANTNPWLITCSAGDQQTVVIGLAADSGCGKSTFMRRLTSVFGGAAKPPRGGNPDSNTLISDTTTVICLDDYHSLDRTGRKQKGVTALDPRANDFDLMYEQVKALKSGIAVEKPIYNHVTGLLDPPELIKPPKILVIEGLHPMYDSRVRDLLDFSIYLDISNEVKFAWKIQRDMAERGHSLESIKASIEARKPDFDAYIDPQKQYADAVMEVLPTRLIPNDDEGKILRVRLIMKEGIENFSPVYLFDEGSTISWIPCGRKLSCSYPGIRLSCGPQTYFGHEVTVMEMDGQFDKLDELIYVESHLSNISTKYYGEITQQILMHSDFPGSNNGTGLFQTIIGLKIRHLYHQIIATKQLSNIQSAKT >KGN55685 pep chromosome:ASM407v2:3:659601:661800:-1 gene:Csa_3G004510 transcript:KGN55685 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA-binding protein MGLKEDFEEYAEKAKTLPESTTNENKLILYGLYKQATVGPVTTSRPGMFSQKERAKWDAWKAVEAKSKEDAMNDYITKVKQLQEAAAAS >KGN56450 pep chromosome:ASM407v2:3:6894688:6897956:1 gene:Csa_3G119790 transcript:KGN56450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGQTSWIRHCHTDMSRDLEDFDSYLDFTNEGGKEAVAVSVESILPDDLLERILSYLPIASIFRAGSVCKRWHDIVSSRRFLWNVSHILSQKPWYFMFTSSDDPIGYAYDPVLRKWYAIDLPCIDKSNCFIASSCGLVCFMDNDSRSELHVCNPITKCSMKLPEPLGSKFSDYSALAISVNRVSHNYTISVVKSKQVPGNFFQWDISIHIYDSETMMWVTSLTEVLTGWRGGDESVICDGVLYFLIYSTGGGAPDNRHGLVTYNISNHSSHGLLIRSFIPAPCSLTCGRLMNLKQKLVMVGGIGKQDRPDIIKGIGIWILCGKEWREIARMPHKFFQGFGEFDDVFASCGTDDLVYIQSYGAPALLTFDMNLRQWRWSQKCPVTKRFPLQLFTGFCFEPRLEINP >KGN56721 pep chromosome:ASM407v2:3:8409174:8421302:1 gene:Csa_3G130270 transcript:KGN56721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRGVLIVQLMSLTLTIHLLVVSGEFFKPFNVSYDHRALIIDGKRRMLISAGVHYPRASPEMWPDIIEKSKEGGADVIQSYVFWNGHEPTKGQYNFDGRYDLVKFIRLVGSSGLYLHLRIGPYVCAEWNFGGFPLWLRDVPGIEFRTDNAPFKEEMQRFVKKIVDLLRDEKLFCWQGGPVIMLQVENEYGNIESSYGKRGQEYIKWVGNMALGLGAEVPWVMCQQKDAPSTIINSCNGYYCDGFKANSPSKPIFWTENWNGWFTSWGERSPHRPVEDLAFSVARFFQREGSFQNYYMYFGGTNFGRTAGGPFYITSYDYDSPIDEYGLIREPKWGHLKDLHTALKLCEPALVSADSPQYIKLGPKQEAHVYHMKSQTDDLTLSKLGTLRNCSAFLANIDERKAVAVKFNGQTYNLPPWSVSILPDCQNVVFNTAKVAAQTSIKILELYAPLSANVSLKLHATDQNELSIIANSWMTVKEPIGIWSDQNFTVKGILEHLNVTKDRSDYLWYMTRIHVSNDDIRFWKERNITPTITIDSVRDVFRVFVNGKLTGSAIGQWVKFVQPVQFLEGYNDLLLLSQAMGLQNSGAFIEKDGAGIRGRIKLTGFKNGDIDLSKSLWTYQVGLKGEFLNFYSLEENEKADWTELSVDAIPSTFTWYKAYFSSPDGTDPVAINLGSMGKGQAWVNGHHIGRYWSVVSPKDGCPRKCDYRGAYNSGKCATNCGRPTQSWYHIPRSWLKESSNLLVLFEETGGNPLEIVVKLYSTGVICGQVSESHYPSLRKLSNDYISDGETLSNRANPEMFLHCDDGHVISSVEFASYGTPQGSCNKFSRGPCHATNSLSVVSQACLGKNSCTVEISNSAFGGDPCHSIVKTLAVEARCSSTSSIHSSV >KGN55624 pep chromosome:ASM407v2:3:309327:310199:1 gene:Csa_3G002445 transcript:KGN55624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARYNLRSISLPSRSHPTTLKIEEQLSKLKPFENSSPSTSKSICNGLLGLDDLYASMDELLQMASTKQVLSRHQNRKWVDELVDGFMKLLDTCGKTRDMILQIQEQAQALQSALRRRKGDSSIRNAIANYTHLRKKTKKEALKLISSTKQMNEKMGSTQLMNQDLHLYAVIRGLKESCFVTICIFTSLLSFIGIQSAKWKQSRWALVSKVLMRKGGIGCEEKEEIGDEMGDVDAALGALGEGIDGEKLQWARRRLEGLEMGMEGIENGVNAIFRQMIRTRASLLNFISQ >KGN58994 pep chromosome:ASM407v2:3:28767537:28770696:1 gene:Csa_3G741840 transcript:KGN58994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILQDDVVLVRPSEKDDEPTVITVNCPDKTGLGCDLCRIILFFGLNIVRGDVTTDGKWCYIVFWVTGNSSTRWGLLKQRLMEVCPSCSSASMLSYFRPEPQSSKPPDMFLLKFCCHDRRGLLHDVTWVLCELELLIEKVKVSTTPDGKVMDLFFIIDTRELMHTAKRQRDTCEHLKHVLGDAVISCEIEMIDTEVMLCSQASSLPPEIVEESCSLELNEKSPSRSLASKDVSVTLDNSLSPSHTLVQIVCQDHKGLIYDIMRTLKDYNIQISYGRFNKKQKKICEIDLFIMQADGKKILDQSKQNALSTRLQIELIRPLRVAVLSRGPDTELLVANPTELSGKGRPLVFYDITLALKLLDIGIFLAEIRRHLIGDREWEVYRVMLDEGAGSSVPRSKIEEGVRKMLMGWA >KGN59779 pep chromosome:ASM407v2:3:34234785:34240491:1 gene:Csa_3G845460 transcript:KGN59779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRKDMGNYEPIKGADDCDLVNETAILINPDSVTLVSVSKHCNQSDEDVEMALRGSHSRSPLPIHNANPLTTPVSSKIDEPQFSSSVRPILRSSDQCHRLVSLDVFRGITVALMIVVDYAGGVMPAINHSPWDGLTLADLVMPFFLFIVGVSLALAYKKIPSRGIATQKAVLRTLKLLFLGLFLQGGFLHGVNNLTYGVDIQQIRWMGILQRIAIAYFLAALCEIWLKGSDYVNSETALRRKYQLQLVAAVVLTMLYLALSYGLYVPDWEYQVPSLTTSDVASPKIFSVKCGTRGDTGPACNAVGMIDRKIFGIQHLYKRPIYARTEQCSINAPDYGPLPPDAPSWCQAPFDPEGLLSTVMAVVTCLVGLHYGHIIVHFKDHRDRMLHWIIPSSCLIVLAIGLDFLGMHINKVLYTVSYMSVTAGAAGLLFTGIYLMVDVYSWRRMNVVMEWMGKHALVIYVLAACNVLPVILQGFYLGQPQNNILRLIGVPS >KGN58317 pep chromosome:ASM407v2:3:23817179:23817484:-1 gene:Csa_3G611905 transcript:KGN58317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGSTLILSLHGFSIASVFHKSPSLYRYKGFVARRASQITSSRISEEIASMNKGRKERDASSQSRPFSSQLGMDDPTTVGDCNLSRFRFPFSKSYLTSRKS >KGN58630 pep chromosome:ASM407v2:3:26717274:26719076:-1 gene:Csa_3G703170 transcript:KGN58630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAGHLVTTARNINSISSSFNKAAPKPSTQTFKIKASSKRNDISLRRSNKTTRRLITISTAGSRWQGKWTVDYMLSLQDLNLEDLVEDENNNAHVFINLCIEKHASFGFTVDGRINTSFTRKCCACSSPYCREINANFNVLVLSSNRANREIHLPDIGGDDPSVSFCISSLQLLRSMTTIFN >KGN59135 pep chromosome:ASM407v2:3:29805662:29807849:1 gene:Csa_3G776950 transcript:KGN59135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSGNPFVLSKTSFGSLCSFPSITRRRSSSTSVVADSTVRNSTDVATSQSRRTISAQEARISLVFALASQASTLSQRVLLELASETSKYLLPKRFDSRNLEEALMAVPDLETLKFKVLSRRDEYEIREVEPYFIAETTMPGKSGFDFGGASQSFNVLAAYLFGKNKAREKMEMTTPVLTSQYKSDGEKMDTTTPVITKNVDGKDQWKMSFVIPSKYGQNFPVPQDTSVRIQEVPRKILAVVAFSGFVTDDEVKKRESRLRDALKNDKEFQVKAGSFVEVAQYNPPFTLPFQRRNEIALEVEKKEV >KGN59460 pep chromosome:ASM407v2:3:32071076:32073134:1 gene:Csa_3G822120 transcript:KGN59460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGPMRRVSRQDIQLVQNLIERCLQLYMNQKEVVETLLNQAKIEPGFTELVWQKLEEENREFFREYYLRLMVKRQIVEFNRLLEQQVRMMQIQETGATPLPTSNGSLVQQMHQNPTYSVSSLKQNNVQHPFGNSMPNNAYLNGAMSLHSRMHPTVDMSAHPSRIEAPPSILPTQSSNIGLNGRTIKSEAGYSGNSSYMFGGVDSNVVEPRQTIGDVSVAPFSGMESNAQAMNEPLLEPDTSSFGFLGQIPRNFSLSDLTADFSQSSDILESYARSPFLATETDNFMDIRHREHQEDNKRSLDTISEGLSYEDFGSDS >KGN56955 pep chromosome:ASM407v2:3:9774281:9777650:1 gene:Csa_3G146410 transcript:KGN56955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKGNVMGGAAIPKETQNQDRTPNSKVAGMGSISSKDMIFRADMIDLKTLDIQLEKHLSRVWSKSIDNQMPKEPWEIDLSKLDMIKQIAQGTYGTVYRGKYDNQEVAVKILDWGEEGLATMAETAALRASFRQEVAVWHKLDHPNVTKFIGASMGATNLKIPMDGQNSFPSRACCVVVEYVPSGTLKDHLIRYWTKKLAIKAVVKLALDLSRGLSYLHSKKIVHRDVKTENMLMDINDNVKIADFGVARVEAQNPRDMTGATGTLGYMAPEVLQGKPYNRSCDVYSFGICLWEIYCCDMPYADLSFADVSSAVVRHNLRPSIPRCCPSSLANVMKKCWDANPEKRPEMHEVVRMLEAIDTSKGGGMINPDDIKCFCLGPFGKLRGL >KGN57774 pep chromosome:ASM407v2:3:16895557:16897248:1 gene:Csa_3G289300 transcript:KGN57774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRDHTQQSSNPATAGKPKTWAVEEEEDHDKHLAALGYNVRLSDMADVALKLEQLDMVMGLSEEDGISHLSSNTVHYNPSDVSSWVQSMLAELNTPLQSQGIIHDPVLAIAESTSFSVAADFTDDSEYDLRAIPGVAAFPQIDSSNPRKRFKKSDSESLPVTASCSTSSSSSSEPSRSVVLVDSAETGVRLVHSLLACADAVDTNNLNLAEALLKHIRFLVEAQAGAMRKVAGYFAQALTCRIYRFYPQEPFDYLSSYTDLLQMHFYESSPYLKFAHFTANQAILESVGSAGSIHVVDFNLQQGHQWPPLIQAFALRPGGPPAFHLTGIRPTPEENSTDGLQEVGAKLAQFAEKFGMKFEFRGFFCNNLADLEPSILNLETETVAINSIFELHRLLAHPGAIEKVLTTIKELNPRVITVVEQVADHNGPSFVDRFTEALHYYSSLFDSLEGSPAGGEDVVRSEEYLGRQIYNVVACEGSDRVERHETVAQWRSRLSSSGFDMVHLGSNVFNLASTLLAALFGGGNGYRVEENNGSLTLGWHTRPLIATSAWTVAGGGESTRPS >KGN56861 pep chromosome:ASM407v2:3:9175758:9175922:1 gene:Csa_3G135090 transcript:KGN56861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAEEEDEDEEEEREGLWREMGEEVIGIFKKVGNTIHLTCMESELGHIAPNIPF >KGN56835 pep chromosome:ASM407v2:3:9036773:9037716:1 gene:Csa_3G134830 transcript:KGN56835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFHFHSRGLLSAGPSKKRKEPSTPSASKAGEPSVSSNRLLAGYMAYEFLTKGTLFGRKFDPPRDEATPSAASAAVIQWKKPKSDAAPPEILKKEHQIQSYAEVANILKTTGSHISGIVNPTQLGRWLQK >KGN59798 pep chromosome:ASM407v2:3:34474423:34475312:-1 gene:Csa_3G847590 transcript:KGN59798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSKWIFVLSASKSLYVGKKVKGQFQHSSFLAGGVTTASGRLVSHEGILKAIWPYSGHYRPTEENFIEFIEFLKENNVDLTNVKKCATDDDVLPNSTKKEKEEMDETTEEEKEVRGDGAVTEPSGEAVEMEKHCSNVVARRSKWTTGAGPRIGCVREYPTNLQFQALEKLKLSPRIPNIQKHTYNSNFPIPSPRPSPRIHMSPRLASMVLPSPRTSR >KGN59871 pep chromosome:ASM407v2:3:35126000:35126998:1 gene:Csa_3G851720 transcript:KGN59871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVGAVRLGTPTKAYPKTLYITKLVFPSSPPPTATDALNLAAKALDSFDVTEATDIARFIKKEFDRIHGGGWQCIVGTDFGSFVTHCFGCFIYFCVGSLAILLFRGSAASQQQQQFPEPTHHFSLMDNTLKP >KGN55726 pep chromosome:ASM407v2:3:963143:971467:-1 gene:Csa_3G008830 transcript:KGN55726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEGRICSSREDRNGLALLKLKRLQPTNSETANKTSTVKNMMNRSGGDALRASTPCGVRLLGGNAETFSRSSGMSHERDVFSKRRVDKFEYDDLEWTKKIPECPVYSPSKEEFEDPLVYLQKIAPEASKYGICKIVSPLIASVTAGAVLMKEKPGFKFTTRVQPFRFAEWDTDDQVTFYMSGRNYTFRDFEKIANKIYARRYSSSGCLPASYMEKEFWREIACGKTESVEYACDVDGSAFSSSPSDELGTSKWNLKNLSRLPKSILRLLENPIPGVTDPMLYIGMLFSMFAWHVEDHYLYSINYHHCGASKTWYGIPGQAALQFENVVREHVYTRDILSTGGEDGAFDVLLGKTTLFPPSILLDHKVPVYRAVQQPGEFVITFPRAYHAGFSHGFNCGEAVNFAMGDWFPLGAVASQRYALLNRMPLIPHEELLCKEAMLLYTNSEFEDPHYASAELASHYSIKTSFVSLIRFQHRARWSLMKSRTCTGVSSNLHGTILCSLCKRDCYISYINCSCYGHPVCLRHDIKSLNFSCGSNRTLILCEDIFEMESAAQKFEQECGGQTNYVEELYSYPLLNLFKGADSDGYTPYCEIEVQLDSEFTAAAAAICRLGENECVSSSQPTQSCITENHRPEPSDLSLSCEASTLCSVVDYNETLSTTSHVPRNSSFEHSSDISNRVLEPSRNNCSSSSLGSVRASELTTLVDRESDDSDAEIFRVKRRPLKLDKKTGSDATSLKQFAGQDGRKRLKRIQTNGNSEHLKPLDCHGTDKSRYKFYPSTAHKDSAESDAIEKCGRGSIKCQRFSNEKLMNRQRAYELNNRRRERFQHDMAKPKRVHHPHPSMEIGPKRLKIRGPTFSGPIPDHIDSKWA >KGN56722 pep chromosome:ASM407v2:3:8422846:8426275:-1 gene:Csa_3G130280 transcript:KGN56722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSSQVPKFGKWDDGDDVPYTTYFDNATKAKFERMNPNDSLPHREEISNTVRSNYEQHKIKEGGVVRRQPESPLHHDAPELSGRDYNGIKSAKSRGQQVSRPKHTQEDLGLEDSNMKKQLDSPLDHRSMGQVSLNSPLHQRQGNHSSTSNSSKGTMRNGTVSECSIENSPLHSRQHPRTEAKTVVPSSPLRERRGSSSPRGSSHEGLAPLTPGRSRQQSVPRGNETPDRGATVPKFGDWDESDPSSSENYTNIFTRVRVERQTEDGSLPAGTNVSSIRSRSSAENSKRCCCFPWGK >KGN56367 pep chromosome:ASM407v2:3:6480073:6480326:-1 gene:Csa_3G118020 transcript:KGN56367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGVSNSPHPLLDSMQDELKKVEQDYSEKLLTIEAISESTPHGCRHLNDLLSHLP >KGN59068 pep chromosome:ASM407v2:3:29203977:29204528:1 gene:Csa_3G751430 transcript:KGN59068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSGRHPVYRGVRRRNTGKWVSEIREPRKPNRIWLGTFPTAEMAAVAYDVAALALKGQDAELNFPNSASSLPIPASRSPSDIQAAAASAAAALGAAAAAMEARNNISSRRGSHSEDVMYGARYGQEYELGNQFMDEDLIFDMPNVLMNMAEGMLLSPPRFNNHGGDDNRDFGTDQNLWNFP >KGN59378 pep chromosome:ASM407v2:3:31476791:31478925:-1 gene:Csa_3G815420 transcript:KGN59378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLLSLYISSSFPSPLPPHRTFSSISPHGSTLQSSPRTTNSRRITTIPLSFRTSYRFTADHEDGDEKITGDFGFDEAVDLFNQGAYYDCHDVLEALWNEAEDPTRTLIHGILQCAVGLHHLFNRNHRGAMMELGEGVCKLRKMEFPSGPFRTFEREITAVLDFVYLTQIELAACDESVCVTMEGSERSYELLGRYGEGQKLYDMEKQVDGSTCIVFSSQTSQTHPLRVKLPTLDATKQHLLALDSH >KGN59393 pep chromosome:ASM407v2:3:31569586:31573434:-1 gene:Csa_3G816060 transcript:KGN59393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACNSSACQSNCYRNQHDEHTIEQDSPPSSRPDTPAAMEARRVITKDDNSNTNLCFKCKSKERMSTSGVGDDGRFCSDCFRSNLFAKFRQAVTSNAMISPSDKVLVAFSGGPCSRVALQFVHEMQVKAHNNFEASRDRSLQVFGVGVAYINETAACSIPSDEIDKTVQTIQSVVSSLCQPRKNLHIVQIESVYSSNLNDGREKLKKLLDAVNDVTGKEDLISYLRMLSLQKIAAENGYNRLLVGTCTSRIACHVISSTVKGQGYSLPGDVQYIDARWEVPVVLPLLDCLAQELNMLCCVDGLKTVELTKKSSSGINDLVSSFVALLQVENPSRESTIVRTAGKLTPFNFSRIPDLNESNNVPLATKRRQQRNNFKHVNSLSSESFCAVCNGPLNTSDVLTRHAGSSILGACCSSCQFQILPKDSSSQELFLSLLPPSMVARATHGSLGNSNSLRKKIQEFLLSDDEAES >KGN60018 pep chromosome:ASM407v2:3:36269887:36275547:-1 gene:Csa_3G866510 transcript:KGN60018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVEEKLKTSGGLINNAPQTNLLDEMKLLKEMQDQSGARKAINSELWHACAGPLVSLPHVGSLVYYFPQGHSEQVAVSTKRTATSQIPNYPNLPSQLMCQVQNVTLHADKDSDEIYAQMSLQPVNSEKDVFLVPDFGLRPSKHPNEFFCKTLTASDTSTHGGFSVPRRAAEKLFPPLDYTMQPPTQELIVRDLHDNTWTFRHIYRGQPKRHLLTTGWSLFVGAKRLRAGDSVLFIRDEKSQLLIGVRRANRQQTTLPSSVLSADSMHIGVLAAAAHAAANRSPFTIFYNPRACPSEFVIPLAKYRKCVYGTQLSAGMRFGMMFETEESGKRRYMGTIVGISDLDPLRWPGSKWRNLQVEWDEPGCCDKQNRVSSWEIETPESLFIFPSLTSGLKRPLHGGFLGETDWGSLVKRPMLRVPENIRGDLSYAPTLCSEPLMKMLLRPQMVNLNGTTLQQDSTNNLVKIQDMKDMQNPKMQQLIPTETASPGNQNQHHPGPAQSDPINPNSSPKANVPGKVQTSVAIESEAPTAADGDKAKYDRDLSASTNQSNPLPPVGGCAEEKLTSNEMNMQTLVNQLSFVNQNQIPMQLQSVSWPMQPQLESLIQHPQPIDMPQPEYTNSNGLISSLDGDGCLINPSCLPLPGVMRSPGNLSMLGLQDSSTVFPEVLNFPLPSTGQDMWDPLNNIRFSSQTNHLISFSHADASNLNCMANANIMRDVSDESNNQSGIYSCSNLEMSNGGSTLVDHAVSSTILDDYCTLKDADFPHPSDCLAGNFSSSQDVQSQITSASLGDSQAFSRQEFHDNSAGTSSCNVDFDEGSLLQNGSWKQVVPPLRTYTKVQKAGSVGRSIDVTSFKNYDELCSAIECMFGLEGLLNDPRGSGWKLVYVDYENDVLLIGDDPWEEFVSCVRCIRILSPSEVQQMSEEGMKLLNSAMMQGINCPMSEGGRS >KGN57158 pep chromosome:ASM407v2:3:10988294:11004781:1 gene:Csa_3G166230 transcript:KGN57158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALDRSHSNSNSMQRVKVYRLNDDGKWDDQGTGHVTVDYVERSEELGLFVIDEEDNETLLLHRISSDDIYRKQEDTIISWRDPEYSTELALSFQEATGCSFIWDNICNVQRNLHFSNLSNEAFQSVNSELKELPAVELSTLPLILKTVVESGIADQMRLTELLLNDQDFFRKLMNLFRVCEDLENTDGLHMIFKIVRGIVMLNSPQIFDKLFCDELIMDIIGSLEYDPEVPHTQQFRNFLKEHVVYKEAIPIKDPLVLSKIHQTYRVGYLKDVVLVRMLDDSMVANLNSMIHANNAAVVTALKEDSTFIQELMARLRSPSTSCDSKKDLVYFLHEFCCLSKSLQMVQQLRLFSFYRDLMNEGIFDIITDVLQSQDKKLVLTGTDILILFLNQDPSLLRTHVVRQEGFPLLGLLVKGMVTDFGDNMHCQFLEILRSLLDTYALSGVQRDSIIEIFYEKHLGHLIDIITTSCPTEGFQSAKKIEGAGGSLGDKNGVKPEILSNICELLCFCVQQHPYRIKSNFLLNNMIDKVVLLTRRKEKYLVVAAVRFIRTVLSRHDEHLINYFIKNGTLKPIIDAFVANGSRYNLLNSAVLELLEFIRKENLKLLVRYIVDSFWNQLSQFKNLSSIQALKIKYEQCLENCEMKGISNTSDPRKKNDERAPEKEEEDYFNEDSDEEDTASVAPKQNVESQPALSNGVTTNCPSVSYRSGGLVDYDDDEDDEDYRPPQRKQPDTSDEGNEMMEALRQKRKSAPQEEPELVKKQRLIKKLKPKDGVFVSLCTTLSQAVLPGTKTMKATTQTSMCTSYENKSLGEKNHETGPAISSSSSDSSSGNSDEESCREKESTASRSYSNSSVLHITSENHQLGGDDYPLIPPNSSPEMTVNGSLS >KGN59205 pep chromosome:ASM407v2:3:30193892:30196121:-1 gene:Csa_3G781550 transcript:KGN59205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSRISTFFKPSSSISSHRSRSPEPLNSNADDSDYGTLKIWEKAEHQYINTYKRRHVKSPQCVDSETKTLFPDDSSGKSELASLTKIVVKNKKRSYAQYHLLFGQSDFLLHFCSTCGIKYARGDQDDEQSHKAFHKKYTCGIQFKGWTHERVIDIPSVEDGRILLVLDSDPSAHKNKAYLFVSSQRIVGCLVVEPITKAYKVVSCHLHERPEESKMKDSKPSSTTLQFGNITFHREAILKKPTNNPEALDMNTNGAILCEEEAVPAVCGIRAIWVTPANRRKHVASQLLDAARKSFYKGVALECSQLAFSQPTSSGMALASRYVGSRSILVYKSSIVI >KGN60279 pep chromosome:ASM407v2:3:38228571:38243823:1 gene:Csa_3G893290 transcript:KGN60279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKIVIRRIDNSTSRQVTFSKRRSGLLKKAKELAILCDADVGVIIFSSTSKLYEYSSTSMKALIERYNKTKEENHQLGIPTSEVKYWQREAATLRQQLQSLHENHRQMMGEELTGLSVKDLQNLENQLEISLRGVRMKKDQILMEEIQELNRKGNLIHHDNMELYKKVNLIHQENQELHKKVYGTKDANGAHISSITNGLSVGEDAGIPINLQLSQPQQQDNEAPERATKLG >KGN58579 pep chromosome:ASM407v2:3:26342609:26345347:-1 gene:Csa_3G689780 transcript:KGN58579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSLARNISCSIRSSIPTNCLQHQQWRGIRVKVLRGGLERALTVLQRKMQSSGIERLIKREQVHHIKNSEKRVLARKTLERKIQSKDLARKLKAILIKKVRGL >KGN57483 pep chromosome:ASM407v2:3:13561200:13566342:-1 gene:Csa_3G198470 transcript:KGN57483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEERSLVVSSNRHQVGDGGESPRYTIDEALVSMGFGNFQILVLAYAGMGWVSEAMEMMLLSFVGPAVQSSWNLSPHEESLITSVVFAGMLVGAYAWGIVSDKYGRRKGFFITATITSIAGFLSAFAPNYTSLLILRCLVGVGLGGGPVLASWFLEFIPAPERGTWMVIFSAFWTIGTILEASLAWIVMPKLGWRWLLAFSSLPSFLLLLFYQSTPESPRYLCLQGRTSDAAIILEKIAHRNRTNLPPGILVSSHSYDFEEQGTAVEDVHLLSPTQTKVETSQATTSSMVAFSPLLKLLSRELLLSTLLLWVVFFGNAFSYYGLVLLTTELTGTSRCTSTDTHLNVHEDVNYRDVFISSFAEFPGLLLSAVMVDKLGRKLSMSSMFFLGAIFLFPLVFYRSDGLTTGLLFGARVCITSTFTVVYIYAPEIYPTSIRTTGVGVASSVGRIGGMTCPLVAVALVKGCHQTMAIIFFEIVFILSGICVMLFPFETKGQDLPEKV >KGN59694 pep chromosome:ASM407v2:3:33604922:33605965:1 gene:Csa_3G838730 transcript:KGN59694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSSGSLPLPLNHYVGVKFRPTDQQLLHYLHCKIYGQPYFQGAVFDFDLYGGVEPWEIWQSFGGIDGEDLYFFTKLKRSTTNSGNLSTHINRKIGLVNGTWSGENSASPIYVNEDDQQIIGYRKRFRYENESSEEHHGEWIMHEYNLHPNYLCEGVDPNYVLCRIRRNERARRKLEIQGELKQPNKKRIKAPKISRNERPKAKTRERCDELQPIDDQRAITCETIYNSDIRHDMKTHQDISVVDYLPNMTTNQDNINENISLGTEDYEPCMLTDDELKDIQTLGEFSTYIQNNFDETLCTFKV >KGN57663 pep chromosome:ASM407v2:3:15372466:15373800:-1 gene:Csa_3G239270 transcript:KGN57663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVQRSSSGSHERLNNLFFSCFEINQRVGCYRTHFKSRIALFRNSRPDLRTFWIIKCSWSNSRKKKLKQSISSGPTKGFLTELLHYFVYELKMIQFIALYEQEDAPTSQRKWVLVGIFDLGFVIVYCCHCALLATLLFSASKEKIGGDGVGGDGKGERQTQAHVKAAMVLEFHGLVDKLIAFIHLAYYNSTIL >KGN56468 pep chromosome:ASM407v2:3:6993545:6994578:1 gene:Csa_3G120470 transcript:KGN56468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFKFSIHLLTFLCFSLIAGSTANPRRSFELGVYSIKNGLIDACNSGNYQIINIVFTVSLGNAQTPEINVIDYCTSTGVDGCTKFSQEIKSCQALGIKIMLSIGGGVGKYNLNNFTEATNFSTYLWNNFLGGQSNSRPLNDVVFDGVDITNERSSWDNWSKLGEELRKLYEKQRKKFYLSAAPQCSSLDSSSHSIPQPGIFDYISVQFFGNNLVCQYLNGRLEGFWKFWNSWKMFNADKVFVKLLAGPMAEDMGYIRPDVFRTEVLPELQQSSKFGGVILWSEDLDRGYSSEINPKACGSVEAASESANEVAEFPMGNNYAGQRGFRSCRGSCVL >KGN56116 pep chromosome:ASM407v2:3:4020776:4023069:1 gene:Csa_3G076520 transcript:KGN56116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPNTPVGTMGNGGCSGGSGNGGESGLKKGPWTASEDAILMEYVRKNGEGNWNAVQRNSGLNRCGKSCRLRWANHLRPNLKKGAFSSEEERLILQLHAKYGNKWARMAAQLPGRTDNEIKNYWNTRVKRRQRQGLPLYPLDIRPMSAQSQPTTPTSPLPTTMPMTPTSTPTTPTGGAASSIFQFHSPTTMHSHSPLSSPHQHEPHTFTSFPLNPPNSFTFHRPPPILAAPVRFKHFRTNNNHHSAVNFSHHHPTLMVHSPPPQQLSRVDSFQFPAMTLATTSSSSPHILHNAHSGMVISNCVGGLKQDLPSSTQFHQMNVPHTGLTFNDEKIGNGMSFSSAGLLEDLLDEAQVLACDANSNNPKLSPSSSLVSPEEQRLFDGFQKFAQDSNTCLFLNTKAKEEGEEHGSCGSEDWSKLLNAAMPSNMHLPQWYTNNNVNKEEHQQISSYGSSGQTNSPSILPIEHHHHHHHNDNIVVGGLDVQHIAALFPVSTTEPQDQSTRTNNNNTSCPWDNLPGIC >KGN56355 pep chromosome:ASM407v2:3:6396409:6398137:-1 gene:Csa_3G117410 transcript:KGN56355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALILDHQKPNLKHFCKICKKGFGCGRALGGHMRAHGIVADDSPTPSNHNNIFDDDDPDPDPDPDPDSLHHSWDHHNNNNNKPINRRMYALRTNPNRLKTCRVCHNCGKDFFSWKSFLEHGKCSTTSDDDLDDSLLSSPPCSDDDAYDDEDECTATLRRPSASNSNNNNNNWSKRKRSLRVKVGSPASNEEEDLANCLMMLSNGRVVEPMLVEAEAEGDADAEESCASASKEDHHLLLLQQQQDHNHHRNYNYNNIIRKPINFIPPLSYRLPDTEEDNNNNKAKGTVVGGGKGMFECKACKKVFNSHQALGGHRASHKKVKGCFAARLDHMDIDTRENDDVYEDSLFPTKPNHKSSSSSAFHYENPMASASKRKTKVHECSICHRIFSSGQALGGHKRCHWITSNAAAAAAAAAAEAPKFHDKRVVAPPPANFDTITANSALVLPLDLKLDLNLPAPADEVRKPAMDVSTEIHLQSWVCSKKNKVEKNECEEEEEEQKDKKMMRKNEEEKKIVNNESVEEEAESKVKLAKLSELKDMNMSAGNSSPWLQVGIASTTHVVTPDP >KGN58976 pep chromosome:ASM407v2:3:28655646:28657673:1 gene:Csa_3G740190 transcript:KGN58976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVGFVVGVFGVLILAHAAYSTIQYRSLLKIMQEEFSGPPFHVAVELILGLVLSMWAALTVPGKFHSINPEAEENRIVSLPANQDFMVFNHRGRLFPKQADLKLKH >KGN57997 pep chromosome:ASM407v2:3:20132008:20135882:1 gene:Csa_3G426340 transcript:KGN57997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQILLQCSSPPPPPPSPTSSRGMPGKVMLKNGFEQSSLRKLVSQHVLSGFAASLIFLTQTNQAISGDIPRRENLCQLASAENAAGLPFVNDSDGGGRLMMMRGMTAKNFDPVRYSGRWFEVASLKRGFAGQGQEDCHCTQGVYTFDMATPAIQVDTFCVHGSPDGYITGIRGRVQCLAEEDLQKNATELEKQEMIKEKCYLRFPTLPFIPKEPYDVIATDYDNFAIVSGAKDLSFVQIYSRTPNPGRDFIEKYKSYLSNFGYDPSKIKDTPQDCEVMSNSQLAAMMSMSGMQQALTNQFPDLGLKAPIELNPFTSVFDTFKKLLELYFK >KGN55921 pep chromosome:ASM407v2:3:2572733:2575237:-1 gene:Csa_3G036470 transcript:KGN55921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSLSSLSFAVFHIPLFLSSFTPTNPFLHFLQFLLCRSLSETSSLPPSMADSDDPAAVAAQAVHRRYEGLLMVRTKALKGKGAWYWSHLEPLLLKNSDTGFPKAVKLRCSLCDAVFSASNPSRTASEHLKRGTCPNFYSPSKTPLLSVSPVSRKRNTADSDGGDSFYDISPLTVVDPSGVYGGSFSPFQPHQQQPLLVLSGGKEDLGALAMLENSVKKLRTPRTSPGVSLNKDQIDSALDFLTDWVFESSGSVSISSLEHPKFKAFLNQVGLPSISSKDFATVRLNSKYEMAKADVHLKISEAMFFQIASSGWRPQNQEDTTMVHIALNLPNGTSLYRKTLIIDSSVPCRFVEEVLWDTVLDVCGNIKEKCVGIVADKFMSKALKSLENQHQWLVNLPCQFQAFNSLVKDFIRNLPLFKTVAENCKRVAHFFNFESHIRTIFHKYLLQECGHTCLITLSTAESEEIGATTLFQMVDNMLESAPAIQLAWLDEAFKTTVIEDPIAREVSHLVGSSEFWNEVEAVHCLIKLVKDMAQEIEIEKPLVGQCLPMWEELREKVKDWCKKFHISEESLEKIVSKRFEKNYHPAWAAAFVLDPLYLIRDNTGKYLPPFKRLTTEQEKDVDRLITRLVAKEEAHIVLMELMKWRTEGLDQVYARAVQMKEKDPITGKLRTANPQSSRLVWETYLTEFNSLRKVAVRLIFLHATSCGFKSNGKFERMVCSSYRSSRATTESIKKLVFISAHSKLEKRNLCSNSNENRGSGDDIELFAAVNSEDDLPSEADGSSSL >KGN55985 pep chromosome:ASM407v2:3:3085748:3086058:1 gene:Csa_3G044500 transcript:KGN55985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEHLQPSWLIFREVRNYMIHSEAEMNTERKICLLRIQAVRDPYGLLGYIWAKPVERIQPPYILGFIVAN >KGN58301 pep chromosome:ASM407v2:3:23664112:23665187:1 gene:Csa_3G610280 transcript:KGN58301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSLHNPPFIFFFFIFSFFFFPISSTDTTSLIFKGCSKQKFPDPSQIYTQNLKSLFSTLISQSSQKPFFSTSSGDGGTSLQGLFQCRGDLSLPQCNDCVSKIPSMADKLCGQALAARVQLTGCYLRYEVAGFREVSGTELLFKVCGSIQVAGSGFEERRNTAFEMVENGVKNGGALFYAGSYRSVYVLGQCEGDLSEGDCGGCVKTAAEKVNGECGDSISGQIYLNKCYISYNYYPNGIPSISLGPFNSLVFILFFSILG >KGN59017 pep chromosome:ASM407v2:3:28890066:28894422:1 gene:Csa_3G745010 transcript:KGN59017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSKSKQSLLLPHFLLFPVMAQGHQIPMAELAKLLSQSGVKTTLITTPQNATRIQSLLSQSPLTQIIQLPFPSHQQHLLQNCENFDSLPSLHLLPQFLTATSFLYSEIEHLFPQLSPKPCCIVSDMALPWTIQIAHKFNVPRLVFYSLSAFYLLFMATLRATDFGEKIMAASDYELISIPNFPDSIQVTKSQLVFTLDPVFLEWGNQMAKADRASYGFIMNSFNGLEPKYLEEFKKTIGSDKVWCIGPVSLCNKDTKDKAKRGNKAAIDEQECMKWLDKQESESVIYAALGSICNVIAPQIIELGLALEASNKPFIWVIRQTKSTKKEVENWLAESEFEQRIKDRGLVIRGWAPQVLILSHPAVGGFVTHCGWNSTIEGISMGVPMVTWPLFSDQTFNEKLIVEVLRIGVSVGVEKCLRWGVEEEIGVQVKKEAIRGAIEKVMSGEGEEMRKRVRELAAIAKATMEEGGSSHLNLKRLIEEIMHQADSQQSQN >KGN56493 pep chromosome:ASM407v2:3:7121731:7123972:1 gene:Csa_3G121690 transcript:KGN56493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVYDAAFVNTELSKPTSIFGLRLWVVIGIFVGALIVLALFLLSLCLTSRKRNRHKNQIGFPKSTTPHSPPAVSKEIQEIVHHAVPDHHHHVQPEIHVEIGKLEHRVVFSDRPSSGESRGTVSETASFGSGTVGPEVSHLGWGRWYTLRELEAATNGLCEENVIGEGGYGIVYLGILGDGTRIAIKNLLNNRGQAEREFKVEVEAIGRVRHKNLVRLLGYCVEGAYRMLVYEYVNNGNLDQWLHGDVGDVSPLTWEIRVNIILGTAKGLAYLHEGLEPKVVHRDVKSSNILLDRQWNAKVSDFGLAKLLCSERSYVTTRVMGTFGYVAPEYACTGMLNEKSDVYSFGILIMEIISGRSPVDYSRPQGEVNLVDWLKAMVGDRKSEEVVDPKLREKPPSKGLKRVLLVALRCVDPDATKRPKMGHVIHMLEADNLLSHDEHRVGKDSTHSIEGHQHENRAVSRRVNNQKDEGASSNISEGDSDGNIHHQSRWR >KGN59991 pep chromosome:ASM407v2:3:36041819:36045102:-1 gene:Csa_3G860270 transcript:KGN59991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTANLNHHQHQLQDHQLLLASSSSSSSSSSSLSIVPSYFGLGTAWSSNISLNNNNTCNVYDNPTIFNGEVTTTNSSHPIRSSDCEQKNTNSSSIMLQDLGNYDQWNNTNGDNVVNTGSNNFFTQSLHHSQQQISSTPPTTPPPPPPHHQSFPKFTEILNNNNNITNLQDFININNNNDSDLNDLTHKLLIKTLISSGCQINGTDHPIISRSSNNNRPPHFPQIYPSINVSNWNLSSQPPPPPSFSNSLDLNLQTPADMLVGSFRQDNFGIFKETVSDFHDQIQESPPTGTLPCIIPSKMTTYSSAEECKPKRGCNSMESRLNQQSPPLKKSRLDSRASCPPFKVRKEKLGDRIAALQQLVAPFGKTDTASVLMEAIGYIKFLQNQVETLSVPYMKPAGGNKATQPTHRSSVEDGNEGGQNRDLRSRGLCLVPLGCLSYVTGDSGGGVGIWPPPGFNGGTS >KGN59641 pep chromosome:ASM407v2:3:33247861:33253907:-1 gene:Csa_3G835780 transcript:KGN59641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSLLYKEFAPLAGMIAAECATVGSNTVYKAISGHQISFYVFTFYTCLAAALVLLPFALIFRRSGVFPSEKLSFFLRLISLSAMGVGCQLFSYKGLEYSSPTLASAISNLIPALTFILAVLFGMEKVALRSSSSIAKIVGSTVSITGALVVVLYKGPIILPNPFSSPTRLNLPPPLGSSQPNWILGGLCFFFQYLLNSFWYIVLTQTMNIYPDELVVVCLFYVFEVLISAPICLLAEGNLSAWKLKNSMEVVAVLNSGCVGQSFVSAIHTWGVHVKGPVYVSSFRPLSIAIAAVTGVIFLGDDLHLGSIIGAIIIASGFYSIMWGKIKEEELKGQDDFSNLESSSKDKIPLLKSCKVQAD >KGN55681 pep chromosome:ASM407v2:3:636340:647586:-1 gene:Csa_3G003980 transcript:KGN55681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEEASVLSGLEVPSVGSSGYFHWLNRTPNLVSRISTLRISGACFWDSKKLDFSRRKRTNKKLISEKFTCKMANAKEEGTTKDTTISGNMIFEPILEDSVFRFDCSANDRAAAYPSFSFIKPKDRDTPISSQKLPTYIPVFECLLGQQIVKLELPAGTSLYGTGEVSGQLERTGKRIFTWNTDAYGYGSVTTSLYQSHPWVLAILPNGEALGILADTSLRCEIDLREDSVIQFIAPSSYPVITFGPFSSPAAALKSFSRAVGTVFMPPKWALGYHQCRWSYDSADRVLEVSRTFREKDIPCDVIWIDIDYMNGFRCFTFDPERFADPKTLADDLHQIGFKAIWMLDPGIKHEKGYFVYDSGSEKDVWVQKADGEPYVGDVWPGPCVFPEFTQAKARSWWANLVKDFISNGVDGIWNDMNEPAIFKTVTKTMPESNIHRGDEEFGGCQSHSYYHNVYGMLMARSTYEGMKLANSGRRPFVLTRAGFIGSQKYAATWTGDNSSSWDHLHMSISMTLQLGLSGQPLSGPDIGGYVGNATPRLFGRWMGIGAMFPFCRGHSEMGTADHEPWSFGEECEEVCRLALKRRYRLLPHIYTLFYIAHTTGVPVATPVFFADPKDPNLRKTENSFLLGSILIYSSTLPNQRIDNLNLTLPKGIWSRFDFGDSHPDLPVLFLQGGSIVPLGPVHQHTGEANPSDDISLLVALDENGKAEGVLFEDDGDGYGFSLGAYLLTHYVAELESSVVTVKVSRTEGSWTRPNRRLHVQILVGGGAKIDAWGTDGELLQVTFPSEQEVADLVATSEKEYHHRLESAKTLQDVEEVPEHKGVSLSKTPIELKGTCWSVKVIPWIGGRILSMTHLPSGIQWLQGKIEINGYEEYSGTEYRSAGCTEEYSIIGRNFEHEGDEESLELEGDIDGGLVLRRKIYIPKEDTKILKINSNIVAVKVGAGSGGFSRLVCLRVHPMFNLLHPTESFVSFTSIDGSVHEFWPESGEQYLEGDLLPNGEWKLVDKCLGRALVNKFDIKEVHKCLIHWGTGTVNLELWSEQRPVSKETPLQISHSYEVI >KGN57482 pep chromosome:ASM407v2:3:13557680:13558634:-1 gene:Csa_3G198460 transcript:KGN57482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTHVKGPLPPTPPTLPHPNWNTTVLRFTAPLALTTSTCRIATTAAAILAAAAVIASPPPSAATETSATLSEQQEESSTLSNIPQTLSGECAQPSDCKKARIQRPKSRKAESCTIKCVGTCIRGGDGSPGEGPLNIRRPIVVFKQGFRSRQYCLVECSDICNLIGDGDDGP >KGN60315 pep chromosome:ASM407v2:3:38508097:38508651:1 gene:Csa_3G895120 transcript:KGN60315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVGLIKQKTKEQRVVTIRKAVSSDLSKEIENYKVRIKNNNSYEVEEMKNRSSLVVEEEEEEEELVEAKCSCCGIKEECTKSYILEVQKSFSGNKWVCGLCSEAVKERVLKFPNTTIDKALELHKQFCDSFNTTTRLNPKLSLTTSMRKIARKSFENRTHNTNYHNHNKLSRSVSCDPRIGLQD >KGN56905 pep chromosome:ASM407v2:3:9406851:9407467:1 gene:Csa_3G142970 transcript:KGN56905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIDCKGKSSWPELVGVSGNIAEKIIEKENCYVNAIIVEQGKFVTQDFRCDRVWVWVDKHTHIVIKTPIIG >KGN55807 pep chromosome:ASM407v2:3:1601414:1603641:1 gene:Csa_3G017010 transcript:KGN55807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALDVHMFEALNPSRFITFSFPNPCNSRSSLRIAVLDSPIRLTDSPSVAAMFVPPGLETDWIFSTESGHYHLLFDSPGISRLILVGDQEPVTGHDSLPIYNRQDSASTWSRLVVSLQPLLLALFPKSCFKNGIPEVPILSFVDNVIRRVVLERCIGSSVGEFLVENVEIERESFETREFRRRLRFKRMPNLIQTEIRLIPEDNLNLDGVEIQNIQFKPDTRVLVHPYLPPMVASLSLIASSIDKQIQTGHRPKALCVGVGGGALLSFLATHLDFEVMGVEMDVEVLRVAQQYFGLVENEFLHISIGDATEFLQYASKSVKKQKSESLGVHMSSLYDVIMFDLDSSDARNGMSSPPLEFVRRDVLLSARSVLSEHGILIVNVIPLDKFFFDTLVHEFRSIFDDLFQIDVDNGENFVVIASVCSIKSFPNVTKEEINSFSSRLRLFLPGAYMDSIKRI >KGN55631 pep chromosome:ASM407v2:3:339010:348362:-1 gene:Csa_3G002510 transcript:KGN55631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLRFPYSPAEVAKVRMVQFGILSPDEIRQMSVVQIEHGETTERGKPKVAGLSDPRLGTIDRKMKCETCTANMAECPGHFGHLELAKPMFHIGFMKTVLTIMRSVCFNCSKILVDEEDPKFKQALRIKNPKNRLRKILDACKNKTKCEGGDEIDVQGQDSDQPVKKSRGGCGAQQPKISIEGMKMTAEYKAQRKKNDDPEQLPEPVERKQTLTAERVLGILKRITDEDCKLLGLNPKYARPDWMILQVLPIPPPPVRPSVMMDTSSRSEDDLTHQLAMIIRHNENLRRQERNGSPAHIISEFAQLLQFHIATYFDNELPGLPRATQRSGRPIKSICSRLKAKEGRIRGNLMGKRVDFSARTVITPDPTINIDELGVPWSIALNLTYPETVTPYNIERLKELVEYGPHPPPGKTGAKYIIRDDGQRLDLRYLKKSSDHHLELGYKVERHLNDGDFVLFNRQPSLHKMSIMGHRIKIMPYSTFRLNLSVTSPYNADFDGDEMNMHVPQSFETRAEVLELMMVPKCIVSPQSNRPVMGIVQDTLLGCRKITKRDTFITKDVFMNTLMWWEDFDGKIPAPAILKPQPLWTGKQVFNLIIPKQINLTRTSAWHSESETGHITPGDTFVRIEKGELLSGTLCKKALGTSTGSLIHVIWEEVGPDAARKFLGHTQWLVNYWLLQNAFSIGIGDTIADAATMEKINETISAAKNEVKNLIKKAQERSLEPEPGRTMMDSFENKVNQVLNKARDDAGSSAQKSLSESNNLKAMVTAGSKGSFINISQMTACVGQQNVEGKRIPFGFIDRTLPHFTKDDYGPESRGFVENSYLRGLTPQEFFFHAMGGREGLIDTAVKTSETGYIQRRLVKAMEDIMVKYDGTVRNSLGDVIQFLYGEDGMDAVWIESQKLDSLKMKKKEFERIFRYEFEDENWKPNYMLPEHVEDLKTIREFRNVFEAEVQKLEADRYQLGTEIATTGENSWPMPVNLKRLIQNAQKTFKIDFRRASDMHPMEIVEAIDKLQERLKVVPGEDPLSVEAQKNATLFFNILLRSTFASKRVLDEYRLTREAFEWVIGEIESRFLQSLVAPGEMIGCVAAQSIGEPATQMTLNTFHYAGVSAKNVTLGVPRLREIINVAKRIKTPSLSVYLKPEANKTKERAKTVQCALEYTTLRSVTQATEVWYDPDPMSTIIEEDIDFVKSYYEMPDEEIAPEKISPWLLRIELNREMMVDKKLSMANIAEKINLEFDDDLTCIFNDDNAEKLILRIRIMNDEAPKGELTDESAEDDVFLKKIESNMLTEMALRGIPDINKVFIKCGKVNKFDENEGFKPEMEWMLDTEGVNLLAVMTHEDVDARRTTSNHLIEVIEVLGIEAVRRSLLDELRVVISFDGSYVNYRHLAILCDTMTYRGHLMAITRHGINRNDTGPMMRCSFEETVDILLDAAVYAETDHLRGVTENIMLGQLAPIGTGGCALYLNDEMLKNAIELQLPSYIDGLDFGMTPSRSPISGTPYHEGMMSPNYLLSPNLRLSPISDAQFSPYVGGMAFSPTSSPGYSPSSPGYSPSSPGYSPTSPGYSPTSPGYSPTSPGYSPTSPTYSPSSPGYSPTSPAYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPAYSPTSPAYSPTSPAYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPAYSPTSPGYSPTSPSYSPTSPSYSPTSPSYNPQSAKYSPSQAYLPSSPRLSPSSPYSPTSPNYSPTSPSYSPTSPAYSPSSPTYSPSSPYNTGPSPDYSPSSPQYSPSAGYSPTAPGYSPSSTSQYTPQTSDKDDRSRKDDRNN >KGN55862 pep chromosome:ASM407v2:3:2052826:2061802:-1 gene:Csa_3G020020 transcript:KGN55862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVISPATSLRFNPCSTLRFISGLPRKLPHHILFPPIFPRHSSCYFRRSFCSAVSAGEAAEKTKPDVSKDWKVTRGEKVGEFRKKLRISEIKGGRDEGVDRLGQTFVVMGWVRTLRVQSSVTFMEVNDGSCISNMQCVIDSTTEGYDQVESGLITTGASVWVEGVVVASQGSKQKVELKLQKVIVVGKSDPSFPVQKKKVSREFLRTKAHLRPRTNTFGAVARVRNALAYATHKFFQENGFVWVSSPIITASDCEGAGEQFCVTTLIPSSGEPARSDVGSIPKTKEGFIDWSQDFFGKPAFLTVSGQLNAETYATALSDVYTFGPTFRAENSNTSRHLAEFWMIEPELAFADLDDDMSCATAYLQYVVRHILENCKEDLDFFNTWIEKGIIDRLTNLVENDFVQLPYTDAIELLLRANKKFEYPVKWGSDLQSEHERYITEVAFGGCPVIIRDYPRDIKAFYMRQNDDGKTVAAMDMLVPKIGELIGGSQREERLDYLEARLDDLKLNKESYWWYLDLRRYGSVPHAGFGLGFERLVQFVTGIDNIRDAIPFPRSPGSAEF >KGN57440 pep chromosome:ASM407v2:3:13080349:13081865:-1 gene:Csa_3G186670 transcript:KGN57440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTRYANRTIEENFDLLDLKQAKAGLAQYNPFVLNKTVAEAYEAVVDVLGASGLMVIADNHMSQPRWCCSLDDGNGFFGNNNFDPQEWLQGLSLVAQRFRNKSTVYSESKFVKQPLNNICANIMNGFIDHAGFVMQGPNPFPLFVTHLAQRDLDWALWAWQGSYYFREGQAEPGESFGVLDSNWTQIKNPNFVRKFQLLQTMLQDPNSNASFSYVIYHPQSSQCIQVSNDNKEIFLTNCSTPTRWSHNNDGTPIEMSSTGLYLKASGKGLEASLSSDTLSQQSVWSAISNSKLHLATFTQGGKSLCLQIDSSNSSKVVTNSCICTNGDPNCLQDTRSQWFELVGTNTL >KGN55739 pep chromosome:ASM407v2:3:1048667:1050388:-1 gene:Csa_3G009450 transcript:KGN55739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRRFCIGYALAPKKRHSFIQDSLVTLAASRGVDLVRIDTDRPLLDQGPFDCILHKFYGEDWRKQLMEFRVKNPNAFILDSPDSIERLHNRISMLQVVSELKIDNPDESFGIPKQIVIYDKETLFDRQAWEGLKFPVIAKPLVADGSAKSHKMALVFNHDCLNKLKPPIVLQEFVNHGGVIFKVYVVGQYVKCVKRKSLPDEPEAKLGNVDGLLSFSQVSNMTPREKIDDKHYMMQLDDTEMPPLSFVTDIARGLRRSMNLNLFNFDVIRDSKIGTRYLIIDINYFPGYAKMPGYEKVLTDFFCDLAQKKEALNNPDKKKDVEDKIVLDLQSTDQQTRKIGNDEIGGGQSVEREKKGTPVQD >KGN58814 pep chromosome:ASM407v2:3:27815687:27821154:-1 gene:Csa_3G733190 transcript:KGN58814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLYIGREASKLWKRFCAEISTEISLLIENWKYLLGGLVFQYIHGVAAHGVHYIHRPGPILQDVGFFLLPELGPDKAYLSETLFTFIFLSFVAWSFHPFILKSKRIYTVLLWCRVLAFLVGCQILRILTFYSTQLPGPNYHCREGSRLATLPPPDNAYEVLLMNFRGVLYGCGDLIFSSHMIFTLVFVRSYQKYGTQRFIKQLAWLLAVTQSLLIIASRKHYTVDVVVAWYTVNLVVFFVDKNLPELPDRTNGTALLPLSSKERDTKTRDESHKLLNGNSVDPTDRRQRTHQVNGKIPEDGNAVHVNTTMNGA >KGN57057 pep chromosome:ASM407v2:3:10311712:10320410:-1 gene:Csa_3G151350 transcript:KGN57057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDRSSMQLEDVSCDGDVGKDNILFNNQTDQKEVVHLESDDGLVTSTVCSAPDQQNNVESGLTLVGTGEAQVDGGKDNSKSLASSYCDNKSTYSSMCPTGRISFKLYDWNPAEFPRRLRLQIFEWLANMPVELEGYIRPGCIILTAFVAMPKFMWIKLLEDPTTHVHNFVVARGRPLWGRGNILVYLNNMIFHAMEGESVMKIEMDMQAPKLHYVHPTCFEAGKPMEFVACGSHLRQPKFRSLVSFGGKYLDHDQSFVLPHCQKEGNATWSDHQLFKICIPHTENDIFGPAFVEVENQSGLSNFIPILIGDSETCSEMKAIQERLDMSLLVEATGSSHDTCEHSSLRQKVYSELMMDISWLLKKPSSEPMQQIMNSSQIQRFTRLLKFLICNDSTVILGRVLEHLKIVMENVESNVGVNGSNYPDLRFFEKYLDYAGDVLQLNLRKAGNSVLHLGFLKPKGGHVSQSSSENKLVSVAPGTTLKMEPRENGHFPAVAGSISTGNVETIPLINEKLSEKITIQEHSRKSCGLQFSGVLFRRQTTLFAVTFVAVCFGVCAALVHSHKVGEFAISIRRCLIDKL >KGN57690 pep chromosome:ASM407v2:3:15720375:15723083:-1 gene:Csa_3G252490 transcript:KGN57690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATLSVAKPSIQANGKGFGEFSGLRNSSTSLSFARRTSDDFLSVIAFQTSAVGSSGGYKKGIVEAKLKVAINGFGRIGRNFLRCWHGRKDSPLDVIAINDTGGVKQASHLLKYDSTLGIFEADVKPAGDEAISVDGKIIKVVSSRNPLNLPWKDMEIDLVIEGTGVFVDREGAGKHIEAGAKKVLITAPGKGDIPTYVVGVNADAYSHDESIISNASCTTNCLAPFVKVLDQKFGIIKGTMTTTHSYTGDQRLLDASHRDLRRARAAALNIVPTSTGAAKAVALVLPSLKGKLNGIALRVPTPNVSVVDLVVQVSKKTFAEEVNAAFRESAEKELNGILSVCDEPLVSVDFRCSDVSSTVDSSLTMVMGDDLVKVIAWYDNEWGYSQRVVDLADIVANNWK >KGN56044 pep chromosome:ASM407v2:3:3499814:3500715:-1 gene:Csa_3G060980 transcript:KGN56044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAASSSAVFAAPTFSATATRQQPNPTTISFQGLRALPSVKSSRSVVATKSRRSMTVKAELNPSLVISLSTGLSLFLGRFVFFNFQRENVGKQVPEQNGLTHFEAGDVRAKEYVSLLKSNDPVGFNIVDVLAWGSIGHIVAYYILATSSNGYDPKFF >KGN59147 pep chromosome:ASM407v2:3:29876858:29880026:-1 gene:Csa_3G777550 transcript:KGN59147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHSATRRRLASTTENAWCRALPGGTGTGILALSSTEPPSLQRFEHALHKLQNSHPVLKSKLHFNHTSSTFSFLTSPTPFVQLKIFGIPETSKILLNDQNAVDGNLSISPFQILLERELNDNTAWRSLNSSGSDAAADILFVNLYEVGIGKWVAIFRLHVAACDRTTAVSLLEELLVLMTSDGGGGGEKKWEVERGLEELVPRNLMKKPLLARGLNMLSHSVNSFRLTNLKFKDVKSARRSQLARFQINQTETHKILSECKLRGIKLSSVLVAAGLVAAHSSGSHGFDRHHHRKYGIITLVDCRRFLEPPLTSHDFGFYHAAIFNSYTIKGGEDLWELAERVSTTVEASKNSNKHFTDMSDLNFLMCRAIENPNLTASGAMRTSLMTIFEDTVFDNSGGMQKDIGINDYVGCASIHGIGPSAAMFDSVRNGRLDCSCIYPSPLHSRDQMEALLTNIKTLLVKG >KGN56739 pep chromosome:ASM407v2:3:8515874:8518424:1 gene:Csa_3G131920 transcript:KGN56739 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar H+-ATPase c subunit MSSAFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAE >KGN57299 pep chromosome:ASM407v2:3:12070485:12070718:1 gene:Csa_3G177930 transcript:KGN57299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNEPSRKLLEEMQRRTQAMTQRSGRKDILWVFFTLLRSIMEGMPQLSKFLALNISNNIATSTELTSSNISWARELE >KGN56671 pep chromosome:ASM407v2:3:8154578:8159257:-1 gene:Csa_3G127820 transcript:KGN56671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTMESLIGLVNRIQRACTMLGDYGGGDNTFSSLWEALPSVAVVGGQSSGKSSVLESIVGRDFLPRGSGIVTRRPLVLQLHKTEQGSQEYAEFLHLPKRRFTDFAAVRKEIQDETDRVTGKTKQISPVPIHLSIYSPNVVNLTLIDLPGLTKVAVEGQPESIVEDIEAMVRTYVEKPNCIILAISPANQDIATSDAIKLAREVDASGERTFGVLTKLDLMDKGTNALDVLEGRSYRLQHPWVGIVNRSQADINKNVDMIIARRKEREYFATSPDYGHLANKMGSEYLAKLLSKHLESVIRARIPSITSLINKSIDELESEMDHLGRPIAVDAGAQLYTILELCRAFDRIFKEHLEGGRPGGDRIYGVFDHQLPAALRKLPFDRHLSMQNVRKIVSEADGYQPHLIAPEQGYRRLIEGSLNYFRGPAEASVDAVHFVLKELVRKSIGETQELKRFPTLQAEIAAASNEALERFREESKKTVIRLVDMESSYLTVDFFRRLPQEIEKAGGPAATAAAAASSGGDRYSEGHFRRIGSNVSSYIGMVSDTLRNTIPKAVVYCQVKEAKQSLLNYFYTLLGKKEAKQLSQLLDEDPALMERRQQCSKRLELYKAARDEIDSVSWAR >KGN57763 pep chromosome:ASM407v2:3:16737892:16738303:-1 gene:Csa_3G283000 transcript:KGN57763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPQLTVVDGNGCEITVEEEGCRDTEVPSERNGGVKRANSKFKQSNVMTGRDGPSTILCNDQYESLTGYTFCPGVPQPIIASNFVALIPPINVPNSLPEDAKNYPPQLEA >KGN58428 pep chromosome:ASM407v2:3:25151528:25153615:1 gene:Csa_3G642690 transcript:KGN58428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEILSLKDSVEKDTFFRKLPILAEQLPRQIVLKKLLPLLASSLEFGSAAAPALTALLKMGSWLSTEEFSAKVLPTIVKLFASNDRAIRTGLLQHIDQFGESLSSQMVDEQVYPHIATGFSDTSAFLRELTLKSMLVLAPKLSQRTISGSLLKHLSKLQVDEEPAIRTNTTILLGNIASYLNDGVSIYVKLPAASLTAISQQSC >KGN58904 pep chromosome:ASM407v2:3:28259641:28260643:-1 gene:Csa_3G735040 transcript:KGN58904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIGQFSYTYTEDYIEMDISSSSNFCYSLNSPPQAREFEFQMNAVSLERETTISPADELFYKGKLLPLHLPPRIQMVQKLIQNNSNAHHETEPHFGENFQISFISSSSASSANASIYTPHQSFNFSPAESCRFSFELKPVEHWINTEMGGHQKLRQHKQSSLFQKLKASRAYIWSLFNKSACTDESCAKLAENRPKRKELSFKKDHFTATLVDKNRVRNQRRTSFSTTKPSCPSSLSSSVSSSSSSSFSLSSSSGMFDPQILKRCNSASSEMARSIEGAIAHCKQSHFL >KGN58272 pep chromosome:ASM407v2:3:23399989:23402797:1 gene:Csa_3G603590 transcript:KGN58272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHNRLLVPCFLCFLCFLNLTTTSELCLDSTCNGSFQTIRFPFRIQNQQPKSCGYPAFDLTCHPSGQPLIHLPFSGEFIVQYIDYKNQEIWVNDPNNCLPRKILSLMLFGSPFDAKNTVDFTFFNCSGSDEIPYDFNLNPISCLSGLSYAVFASSSSMVNEILSSRCELVKTVSVPMSLETYSWDLRNDLRLGWKKPNCKKCELNGGICGIKPNSTNEIQCKYNTSTQSGMARGARYAISIGVGVPVTMCVLGFLCCLCARVRSSTSGRNSSIEAHWVISSRPITMGLDGPTIDSYPKIVLGESLRLPKPTDNICPICLSEYRPKETVKTIPQCQHFFHQDCIDEWLRLNPSCPLCRMPPLKSPPSHFPL >KGN59692 pep chromosome:ASM407v2:3:33589173:33589580:1 gene:Csa_3G838710 transcript:KGN59692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMLVGLVRWFAGLAGWFVAFRCNFSDCFELVNALSHKSLDLSEFADFFEDIEVLAMSASVHGLAHRLAKSLCCMAIRGVVLCPIVLHYLEMGWGFIYYRY >KGN58562 pep chromosome:ASM407v2:3:26200647:26202207:-1 gene:Csa_3G684680 transcript:KGN58562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEIGNFLKVWISIWICLSYCYGVGRRIPHGITRFLFIFPVISLFLYLPLLLHSLHLGGLTAFFIAWLANFKLLLFSAGLGPLAAPGISIGTFCAIASFPVKLKQTPLQKTSEFAIHGGLPSKSDHNRHRQSPLSYAVKIFLVAVMVKAYNYTHILPQKLHWLFLCFHIYFLLELSLAAAASIVACGGTLELLPLFHQPYFSTSLQDFWGRRWNLVVTGILRPAVFRPAASAASKLLGKKCGPLAGVTATFLVSAVMHELMFFYMSRQWPPPTWEVSAFFVFHGGCLVAEMAVKRVWIQRWRMRPLPWIVSVPVTVGFFFWSCFWLFFPPFINSCKADVRVVEEYALFGAFLKNNIPINLWPNLNNNIIPFNYVKK >KGN57773 pep chromosome:ASM407v2:3:16878988:16879485:-1 gene:Csa_3G286050 transcript:KGN57773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKRNSHFGGKESFAYIFQRHLFVICSLRGTQTSSPTQNPPFFLSHDHLNFTPLVTLMSGSRIQPAASVLSSSIKRHMGFSFYLPSNSSLSPFHHSSFSFRRSGPARSLSVSMSVAPLEACVKASTTLPNKLGDCNSFSLFLLFLLFAVGSLYFLFGIYVCFEK >KGN59927 pep chromosome:ASM407v2:3:35594206:35598103:-1 gene:Csa_3G854230 transcript:KGN59927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNNSSSVSIPVSDNELDDMERMRVRVRRKRKKQGNRVNNELAPRVFRMMLKYWLVVFFLLAAGLLLFEATKIGQNSRLETKSELETDKESGLDKKPDGNLNRLDPVTRMVAGVRKRCLKILPPNELEQLDIPVLEDSPVSEIDVNYITDSDNSLSVDKTYFSRQSMNATRFNLFTGYQTLEQRENSYKVNRTAEVHCGFYSNDGGFKISNEDKTFMRTCTFVVSTCAFGGGDDLYQPIGMSEASLRKVCFVAFWDEITLSVQESAGHVIGEGGFIGKWRVVVVRDLPFSDQRLNGKIPKMLGHRLFPNVKYSIWVDSKSQFRRDPLGVFEALLWRSNSELAISQHGARSSVYDEAEAVVKKHKATPEEVDVQIKQYRHDQFPDDKRFNGHKALAEASVIVREHSPVVNLFMCLWFNEVVRFTSRDQLSFPYVLWRLKVLKKLNMFPVCIRKDLVNSMGHISKAKPLNVSRLS >KGN55581 pep chromosome:ASM407v2:3:37053:50831:1 gene:Csa_3G000050 transcript:KGN55581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNMDSELYKARVFIQEKIFEILLQRHQRPIDDLQRLRFKDIVKRLEEGLFKTALTKDDYMNLDTLESRLHSLIKRSPMNNQNQQYQQVVSSSSAISQMIPTPGMAHSGNSKMMVASSDDSIISASASLAPMTASTGSIMQAGGINGGSFNRAEGPMTSGYQQSPSFSVGSSGVISSAGAHRITSQMIPTPGFSNNINHASSNQSYASRDNSSNGSGLPSVESTGLSQVQLQKQHIGGQNSRILQNLGSQMGSGIRSGLQQKSYGFTNGPANGSLGLIGGNVQLLKESSTSEGYLTVSPYANLTKPVQQSFDQNDKSLVQGDAYGMNNTDSFGSENLYGPATSVGSMMTAHNLNPTNLPSMSKTSSPFSSNQSNFHGTQQGTHSPLIDGSDKMNFQPPLSSRENIIDSHTQQQFQQHHQFQPQQQPFLQQSSVQKQQIQPQQHLLNNDSINQVQLASNLGSHVKQEPGGEHHNGPFQPQVSEHFPLPEAHNQFHQNPSEDCVRNAQYLSVSSSQSDICSPLSQSSQQMQQFLHPHLLNSNSQNRFSSPAGALSDATLQVQWHPQSQDRNHRQGSIVHEQNVQHDFRKKVSSHDIVQGDNLPTEGSTIGHSFVTRTKSEPPNPLGATCQNNNSARQFINQQRWLLFLRHARRCVAPEGKCPERNCVTAQKLWQHLDRCSSSKCTYPRCQPTKLLLHHHKRCRDLNCPVCIPVRDYIQSRKSVRAHNASDSSLQKLTNGFPKTCDAPDNTTRYILKTLQASETSKDLQSSLKRMKIEQSSQSLVPKSESLAVSASAMNERHMSLDVQCQGYQQGDDTMAVKHELADVKMDVLQSSTLESHSDLKEANAENNCSQRSDGDLVTYDEFSSLPKQENVKIENETESSMQDHSVHVTEHAAATKSGKPKIKGVSLTELFTPEQVRDHIISLRQWVGQSKSKAEKNQAMEQSMSENSCQLCAVEKLTFEPPPIYCTPCGARIKRNAMYHTVGAGDTRHYFCIPCYNDARGDVIVADGTTIPKSRLEKKKNDEETEEWWVQCDKCEAWQHQICALFNGRRNDGGQAEYTCPNCYIQEIERGERIPLPQSAVLGAKELPRTILSDHIEQRLVKRLKHERAERARIQGKSYDEVPGADGLVIRVVSSVDKKLEVKQRFLEIFQEENYPFEFPYKSKAILLFQKIEGVEVCLFGMYVQEFGSECQFPNQRRVYLSYLDSVKYFRPEIKTYTGEALRTFVYHEILIGYLEYCKIRGFTSCYIWACPPLKGEDYILYCHPEIQKTPKSDKLREWYLSMLRKAAKEKIVVDLTNLFDHFFVSTGECKAKVTAARLPYFDGDYWPGAAEDLIYQLRQEEDGRKQNKKGMTKKTITKRALKASGQSDLSGNASKDLLLMHKLGETISPMKEDFIMVHLQHACSHCCILMVSGNRWVCNQCKNFQLCDKCYEAEQKREEREKHPINQREKHALYPDEINGVPIDTKDKDEILESEFFDTRQAFLSLCQGNHYQYDTLRRAKHSSMMVLYHLHNPTAPAFVTTCNLCQLDIETGQGWRCEVCPDYDVCNSCYQKDGGIDHPHKLTNHPSVVDRDAQNKEARQLRVLQLRKMLDLLVHASQCRSSLCQYPNCRKVKGLFRHGIQCKTRASGGCVLCKKMWYLLQLHARACKESQCHVPRCRDLKEHLRRLQQQSDSRRRAAVMEMMRQRAAELNNTG >KGN58206 pep chromosome:ASM407v2:3:22678179:22679346:1 gene:Csa_3G592110 transcript:KGN58206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIENRSRCVLLGVSCGANVADYVARKAVEAGKLLDPVKVVAQVLLYPFFVGSAPTHSELKLANSYFYDKAMCLLAWKLFLPEENFSLDHPAANPLVSGREGPPLKLMPPTLTVVAELDWMRDRAIAYSEELRKVNVDAPVLDYKDAVHEFATLDILLKTPQAQACAEDIAIWVKKYISLRGHEFSY >KGN58345 pep chromosome:ASM407v2:3:24301244:24301414:-1 gene:Csa_3G625110 transcript:KGN58345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVKNHSGHNGIVAVGKRDDLGFPIMETKTATRGREKPTEVSLLRVLKSAVNLNFL >KGN56572 pep chromosome:ASM407v2:3:7531780:7533578:-1 gene:Csa_3G124910 transcript:KGN56572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEEQAKELPQILILGPPSIFPYLESQFSNRFLFLKPWLYNLPLTQFLTSYAQSTQALLIRGGGNTQLTSTIIDCLPSLKLVVTSSVGVDHLDFPELRRRGVAIANAGNLFSEDTADMAGGGVAVGLLIDVLRKISAGDRFVRQGLWSKKEDFPPGLKLSGKRIGIVGLGKIGSEVAKRLEGFGCKISYNSRTKKSMAPYSYYPNVYELAANTEALIICCALTKETYHLINKEVMLALGKDGVIVNIGRGLIIDEKEMIRCLTQGEIGGAGLDVFENEPNVPEELFNLDNVVLSPHAAVMTYESKVELSKLVVNNLEAFFSNKPLVSPVVD >KGN57274 pep chromosome:ASM407v2:3:11906571:11907581:-1 gene:Csa_3G175740 transcript:KGN57274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKCNGHLLINTKPISPLSLHHFPFLLNSFHLHKKKKKKKKKKGISNSKKSLILFQLILQLTLIHSAITPQSSTEFIKSSCSSTTYPRLCFSSLSVHANAIQTSPRLLATAALSVSLSSVKSTATQILKLSHSHGLPSRDVSALDDCLEELSDSVDSLAASISEMPKLRGTNFDLAMSNVQTWVSAALTDETTCSEGFQGKTVNGGVKGAVRTKIVNIAQLTSNALSLINRIGDLH >KGN58885 pep chromosome:ASM407v2:3:28157998:28166112:-1 gene:Csa_3G734870 transcript:KGN58885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSVDEEARLLVNNAPISAPVNYTRDVHVLSFAFLLIFLAYGAAQNLESTVNTEEDLGTTSLGILYLSFTFFSLVAALVVRALGTKNALILGTTGYWLFIAANLKPTWFTMVPSSVYLGFAASIIWVAQGTYLTSTARNHARKNGLHEGTVIGNFNGEFWAVFASHQVIGNIISLVVLKDEAGGSTNGTTVLFIIFLCVVTLGIVLMWFLRKEDSKEEEPSLSSSSLRSSIFSLSKSVVAPLADIRMILTIPLISYSGLQAAFVWAKFTKEVVTPSLGVSGVGGAMAVYGAADAVYLVGPSFKLLFLFGFY >KGN59209 pep chromosome:ASM407v2:3:30213548:30215814:-1 gene:Csa_3G781590 transcript:KGN59209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLSNRVNRESLKPGDHIYSWRAAYIYAHHGIYVGDGRVIHFTRRGQEVGTGTVLDVLLVSSGPARSFVPCATCVPLEEGNGVVSSCLNCFLAGGVLYRFKYGVSPALFLAKARGGTCTLASSDSDDLVVHRAKYLLDNGFGCYNVFKNNCEDFAIYCKTGLLVVDERTMGQSGQAVSIIGGPLAAVLSTPLRLVTTNIYGMAATAVGVYCASRYAADIGMRKDVMKIPVEDLTQRLATGLIQVVEPQILSATVPEPDLLASR >KGN57239 pep chromosome:ASM407v2:3:11572188:11574821:1 gene:Csa_3G172930 transcript:KGN57239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKDKPQSFSGGFPPPSSRYSGLSPTGSIFNGKSEATSSSMLLPPMPSGGLSDSGQFGHGMPTDSNRFSHDISRMPDNPPRNIGHRRAHSEILTLPDDICFDNDLGVIGSADGPSFSDDTEEDLLSMYLDMDKFNSSTATSTIQVGESSSAVGEARSTPTSTIGAATSKDDAAVGLKERPRVRHQHSQSMDGLTTIKPEMLVSGSDEASAADCKKAMSATKLAELALIDPKRAKRIWANRQSAARSKERKMRYIAELERKVQTLQTEATSLSAQLTLLQRDTNGLSAENNELKLRLQTMEQQVHLQDALNEALKEEIQHLKVLTGQAIPNGGSVTNFASFGAAQPFYPSNQAMHTLLTAQQFQQLQIHSQQQQQHFQYHQLHQLHQQSTGDNKSKGSASSPNPKDNNTSDTSSTTC >KGN59961 pep chromosome:ASM407v2:3:35834779:35835242:1 gene:Csa_3G857020 transcript:KGN59961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKSPFFSLSLNDAVGGVKFRTAPPSLNPMEKLKHRKIESVGQKVSDYSRASESGTEAAAPPICSGNNRREKERYGDKDSEHEILLKEKGIEFHN >KGN56212 pep chromosome:ASM407v2:3:4988359:4990695:1 gene:Csa_3G099730 transcript:KGN56212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDVLGNNRFRYLKDTSQHRDIRTKIIQFLTGVGKFAVDSTIFSSLKAVSGKSEVHKIRQGGLKDTPYSSSLNEKKPEEVKLVMKPEEVKLVMDKMQAEMEEKEGNVNKMKQQQHHCTSAEIVDDDSEPLKKKQGEGDKGSANVIQNKKRIFIRSRL >KGN55940 pep chromosome:ASM407v2:3:2705458:2711872:1 gene:Csa_3G038140 transcript:KGN55940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKLTLSLPSSSSLTVPFQNQKPAASLLPSSSRSSSQLIRVSSRRLFLRRKLVLPPPRATTDQPGQVEGDEVVDSNVLQYCSIDKKEKKTIGELEQEFLQALQAFYYEGKAIMSNEEFDNLKEELMWEGSSVVMLSSDEQKFLEASMAYVSGNPILSDKEFDDLKLRLKTEGSEIVVEGPRCSLRSRKVYSDLSVDYFKMFLLNVPATVVALGLFFFLDDITGFEITYLLELPEPFSFIFTWFAAVPFLVWLALTLTNAVVRDSLILKGPCPNCGTENVSFFGTILSVSSGGNKNNIKCTNCATELVYNSKTRLITLPEA >KGN60211 pep chromosome:ASM407v2:3:37701209:37701358:-1 gene:Csa_3G889680 transcript:KGN60211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDGEKGGSGEEDRTHGGDDNASMAGGGGNKEKQLDGEGVRVESGDGGR >KGN56471 pep chromosome:ASM407v2:3:7006203:7007553:1 gene:Csa_3G120500 transcript:KGN56471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFRRRALLFFMVILTLMAKSNGGKIAIYWGQNGNEDTLAGTCASGNFQIVILAFLAVFGNGQTPQLNLAGHCDPFSNGCIRLSSEIKSCQAKGIKVILSIGGGAGSYFLSSDDDARKVALYLWNNYLGGHSASRPLGNAVLDGVDFDIEGGTNQHWDVLARSLLKFGAQNGRKVFITAAPQCPFPDAWIGNALTTGLFDFVWVQFYNNAPCQFSGDGSNLFDAWKQWTSTIPHAQIFLGLPAAPAAAGSGFIPASVLKSKVLPIIKHSAKYGGVMLWSKFYDEQTGYSSSIKHNV >KGN58698 pep chromosome:ASM407v2:3:27246953:27250928:-1 gene:Csa_3G728150 transcript:KGN58698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCNEKNRGVNDRETEHPVLTKPHQKPVIQNGSITAQHLTIDNNLLVDPKLLFIGSKIGEGAHGKVYEGRYRNEIVAIKVLHRGSTPEERAALESRFAREVNMMSRVKHENLVKFIGACKEPLMVIVTELLPGMSLRKYLMNNRKQQLDPRMAINFALDVARAMDCLHANGIIHRDLKPDNLLLTANQRSVKLADFGLAREESVTEMMTAETGTYRWMAPELYSTVTLRQGEKKHYNNKVDVYSFGIVLWELLTNRMPFEGMSNLQAAYAAAFKQERPSIPGDISPELAFIVQSCWVEDPNMRPSFSQIIRMLNAYLFTLPPPSQSSPSSPKSDTTETATTSNSAITEFSSRARGKFGFLRQLFAAKRAKNSQ >KGN60488 pep chromosome:ASM407v2:3:39768772:39769911:-1 gene:Csa_3G915170 transcript:KGN60488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECSRRISSFPFAVVAISLLLVVLVSVPEADAKTDPLIALENHGGKMLKSKLNLSVVFYGQLGRIQKKTLRAFLKSLNKNGPVESGSQVSSWWRMVSSYVPGAAEIKVKVVKQYVDANYSLGKVMTRDFIKILVKNAVAGLPGAIPVIVGARDVTVEGLCMGKCSEHGVIEEIPYVIIGNPETECPGACAWPFHRSDYGPAGAILKPPSGDVGADAMVVALASGLASVVTNPSLTGLYQLGEKANMIEVSTACPGMFGTGAAPGYAGKVAVDPLTGGSYNAVGVKGKKFLLPAFWNPKTSTCWTVM >KGN56317 pep chromosome:ASM407v2:3:6101289:6103476:-1 gene:Csa_3G115060 transcript:KGN56317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIFLGTHRNHHFQSQPLQFFLFSLILFKVQSLSFTFPNFQQNNPNLFFEGDSFTSNGLIQLTKNQADGPLTDSSGRASYAQPVRLWDAATGQVTNFTTHFSFRVTQLNQSSFGDGIAFFIVPYESKLPANSTGGFLGLFSSDLAFDSSKNQVFGVEFDSKQDDWDTSDDHLGINVNSIKSINHLDWKSSMKDSRTANAWITYNSATNNLSVFLTYDSDPIFTGTFTISTFVDLKSFLPERVRVGFSAATGKWFQIHNIISWSFNSTLDDNLGGGDKNKNTGLAIGLGVGLGVGICGLILLGLFWWGKKLRRMEDDVDDSIDDEFEKGTGPKRFTYRELTRATKNFDEAGKLGEGGFGGVYKGLLTESNTEIAVKRVSRGSRQGKKEYISEVKIISRLRHRNLVQLFGWCHEKGEFLLVYEFMPNGSLDTHLFRGQTMLSWPVRYKIAIGLASSLLYLHEEWEQCVVHRDIKSSNVMLDSNFNAKLGDFGLARFVDHELGSQTTVLAGTMGYLAPECVTDGKASKESDVYSFGVVALEIACGRRPVESRAEPDQVRLVEWVWESYGRGEVLKTADKRLEMEFDEQQMEALMVVGLWCCHPDFKLRPSIRQVINALNFEASLPTLPAKLPVPMYFAPSMNLCNFSYTSSGTPVDRSQCSCSNCSTYTTQSSGSGSSVSLLKSQRHE >KGN55980 pep chromosome:ASM407v2:3:3043194:3043904:1 gene:Csa_3G043960 transcript:KGN55980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHNGNSLRIKIKIRSTNAAPKVDDNDCKNQFSSTETPMEKGLLFQPSTPVTAAATRRTSPSPIFGVVDCSKFLHSWLLTGRRGRKSTFSPTSSTTTTDTVVNVIPSDRLNKKRVKTETHSDYEESSTIGKVHVKENKNNGALEKEYKCNMCRKVFTNPKALGGHKSGHNKANKTDAAIKKETASATIGTSFEPKPTMSMADSSTDPTDWKRPVLDFDLNKLPPEEASLESCFLHL >KGN56240 pep chromosome:ASM407v2:3:5261548:5265423:-1 gene:Csa_3G104930 transcript:KGN56240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVCSSSSSSSSGYLGSAKAWIVHGIVAGIAIGAAFGARSYLGRSKKFRSRVVGIIPARFASSRFEGKPLVEILGKPMIQRTWERAKMATTLDCVVVATDDARIAQCCKGFGADVVMTSESCRNGTERCNEALQKLEKKYDIVVNIQGDEPLIEPEIIDGIVKALQAAPDAVFSTAVTSLKPEDAFDPNRVKSGKVNLQYPYLLHLGIQSFDSKFLSMYPELESTPLQLEEDLEQLKVLEHGYKMKVIKVEHEAHGVDVPEDVDKIESFMKERNLA >KGN56747 pep chromosome:ASM407v2:3:8581642:8587443:1 gene:Csa_3G132000 transcript:KGN56747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSITLPLNPSLLLTPRAFSLSLFSSPKLSSSRSLSNSLICRSLNPSSNDREELRWIREEQRWFREEERWIREEQRWARERQSLLQEIAELKLQIQALERRNSVQGGTISVSETIANIAGLLQVLKEKNLIAESGPTVSRILLDESSREEDVEIEKKTIVEEVVKFSEESKAEKEVKKERKSLRTGSEGAEVLAMQEALMRLGFYSGEEDMEFSSFSSGTERAVKTWQAASGFREDGIMTTELLDILFKEEVTESVGSDAKTDEKGNIPTDQRGSENGTVINSVTEIQEIQKTIVKEGSESFDVSQQRVFLIGENRWEDPTRLHSSNGKASDGKTKVISTNCLTCRGEGRLLCTECDGTGEPNIEPQFLEWVGEGTKCPYCEGVGYITCDVCEGKTVT >KGN60196 pep chromosome:ASM407v2:3:37581080:37581439:-1 gene:Csa_3G888550 transcript:KGN60196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMKWRNSRSQSIRLGQSCVSSNEQESKRLGWQILWRKLKKEKRKMFSCSSVELRSSYNPNAYHLNFDEENWDSEPDNLSRSFSARFADPSIVSRNLRLLD >KGN57944 pep chromosome:ASM407v2:3:19499694:19503030:-1 gene:Csa_3G402970 transcript:KGN57944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIILISWLIIQFFTNSECLSRADFPDGFIFGTAASAYQFEGAVDEGNRGPSIWDTFVKEPGRILDFSNANKTVDQYHRFKDDIKLMKDMGMDAYRFSIAWPRIFPNGTGKPNADAINYYNNFIDALLEKGIQPFVTLYHWDLPQVLEDEYEGWLSRRIVKDFEHYAVTCFQAFGDRVKHWITFNEPHGYSIKSYDLGIQAPGRCSFLGHILCKKGNSSSEPYIVAHNILLSHAAAYRSYENHFKKRQGGRIGIALDAIWYEPLSENDENKEAALRALDFEIGWFLDPLFFGKYPPSMRRLVGTRLPKISLVTAKFLTGTLDFVGMNHYTSLYARNDRIGIRKLIFNDASSDSNVITTPHKGMSTIGERVCLKDI >KGN59643 pep chromosome:ASM407v2:3:33265171:33269189:-1 gene:Csa_3G835800 transcript:KGN59643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRWVVLAVIFAVLFCGFSSVSALPTKIVAGVLSKAVSALVSWIWAIRSASNTGVSTRSMIKFERGYVVETLLDGSKMGIEPYSVGVSPSGELLILDAENSNVHKISMPVSQFCRPKLFAGSSEGYSGHVDGKLRDARMSHPRGLTVDQRGNIYIADTKNKAIRKISDAGVTTIAGGKWRKSGHIDGPSEESKFSNDFDLVYVGSSCSLLVVDRGNQAIREIQLRAEDCTEYDGSFLLGIALLTAAMLLGYMLARFQFRVLATFSSKNDSRVDLRNIPSIPPYGRVEKSIRRPLIPSEEAEDNQPEENIICSLGKLFLNTGSSAAEIFVALLLGARRKASDSHSREHYQVNKHAPSRFGVQENFAASYGREPLETMTRKPYSCSTTRVENVQRYKRIWGNNGGREEQPYPSSPKMFYNRSSERNEVVFGEVQKEEQLREQNKEKCCVGGGGFVEGRSFSNNKHENAFVTEKRRMRD >KGN56925 pep chromosome:ASM407v2:3:9555192:9557693:-1 gene:Csa_3G144150 transcript:KGN56925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKRDQNKLGGNVSGGASAPPAKRGRPFGSVNSNAAAVAAAVAAGTETLAPSTLLGPSLHIHTSFADQNNKRIVLALQSGLKSELTWALNTLTLLSFKEKDDMRRDSTPLAKIPGLLDALLQVIDDWRDIALPRDLVKKQRVRTLGANSSVTGFGNEFEALGSDGLRPSSSVSESTGHASKPSSRPWWLEEDGLFNLDDEGRAERQQCAVSASNILRNFSFMPENESIMALHRHTLETVFQCIEDHVTEDEELVTNALETIVNLAPLLDLRIFSSLKPSYIKITEKRAVEAIMGMLGSAVKVWHCAAAELLGRLIINPDNEPFLLPFVPQIHKRLVDLMSIPALDAQAAAVGALYNLVEVNMDCRIKLASERWAIDRLLKVIKMPHPVPEICRKAAMILESLVSEPQNRGLLLAYENAFAEILFSDGRYSDTFARILYELTSRPNNKVAAAQGVWGM >KGN59211 pep chromosome:ASM407v2:3:30217265:30219482:-1 gene:Csa_3G781610 transcript:KGN59211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLSNRVDRESLKQGDHIYSWRAAYIYAHHGIYVGDGRVIHFTRRGQEVGTGTVLDVLLVSSGPAQSFVPCPTCIPLEEGNGVVSSCLNCFLAGGVLYRFEYGVNPALFLAKARGGTCTLASSDLDDLVVHRAKYLLDNGFGCYNVFKNNCEDFAIYCKTGLLVVDQSTMGQSGQAVSIIGGPLAAVLSTPLRLVTTNVYGMAVTAVGVYCASRYAADIGMRKDVMKIPVEDLTHRLATNLIQVVEPQILPAMAPESHLLTAR >KGN58303 pep chromosome:ASM407v2:3:23675516:23677272:-1 gene:Csa_3G610790 transcript:KGN58303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWWWAGAIGAAKKKFEEDEPPRSFESVALVIGVTGIVGNSLAEILPLSDTPGGPWKVYGVARRPRPAWNADHPIEYIQCDVSDPQDAETKLSQLADVTHLFYVTWTNRTTEIENCEANVKMLRNVLRSVIPNAPNLRHICLQTGTKHYVGSFESIINKSSQRHDPPFTEDLPRLECPNFYYKQEDLLWEEIEQSQKKDLTWAVIRPNLIFGFSPFSLMNVVGTLCVYAAICKHEGRPLKFPGNKLAWEDFQVASDADLIAEQHIWTAVDPYSKNEAFNCNNGDVFKWKHFWKVLAEQFNIEEYGFDEEGESLTLVDLMKDKSDVWDEIVKENQLQQTKLEEIGTWWFVDSIFSMSGNIDSMNKSKEHGFLGFRNSKNSFISWIDKIKAFKIVP >KGN56364 pep chromosome:ASM407v2:3:6457171:6461839:1 gene:Csa_3G117990 transcript:KGN56364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMFQGLIDRALRTVRGSADDIGWLQSASGMPSVEDGTERFVEILEDIRHGIHRLPNSVVYLLVPGLFSNHGPLYFVDTKTKFSKMGLACHIAKIHSEASVEKNAREIKDYVEEIYWGSGKRVLILGHSKGGVDAAAALSLYWSDLREKVAGLALTQSPYGGSPIASDILREGQLGDYVNVRKLMEILICKVIKGDMQALEDLTYERRKKFLMQHHLPAELPVVSFHTEASISPAVLATLSRVAHAELPAPLSTAQPAKLPVVIPLGAAMAACAQLLQIRYREKSDGLVTCRDAEVPGSTVVRPKRKLDHAWMVYSSLNDDTSEADASQVCEALLTLLVEVGQKKRHQLTNKDE >KGN56126 pep chromosome:ASM407v2:3:4119809:4121293:-1 gene:Csa_3G077610 transcript:KGN56126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDLDVQIPSAFDPFADAKDSDAPGTKEYVHIRVQQRNGKKCLTTVQGLKKEFSYDKILKDLKKDFCCNGNVVQDKELGKIIQLQGDQRKNVSQFLVQAGLVKKDQIKIHGF >KGN58898 pep chromosome:ASM407v2:3:28223131:28224674:1 gene:Csa_3G734980 transcript:KGN58898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLGRLQDCHHLPSFSRPLSSLSFRTRLSVPSSSSKSSSRAFPSFQSSSFDSVFRTPQRPRSSLPLILDPISSSILKTTSVTLTAAAALFFMRFCGKPAIAAPIPPPTVDSVKESMKDEGSRGEKETVLEEELVNDSVEALRSLIEVKVKARKFPEAIKILDRLIELEPNDLEWLVLKANVYSHVGNSELARNEFQKILEKDPFQVEAYHGLVMLTETSDIDSLKAILNRVEEALEHCKKHKGKSEERDFKLLIAQIKVMEGSYSEALKDYQELKREEPRDFRPYLCQGILYTLLKRNDEAEKQFEIFRRLVPKNHPYKEYFDENMFAAKHFVQQIERDAAASNN >KGN60186 pep chromosome:ASM407v2:3:37495417:37498260:1 gene:Csa_3G882970 transcript:KGN60186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFWVFGYGSLVWNPGFEFDEKIIGYIKDYKRVFDLACIDHRGTPENPARTLTLEQEEGAICWGAAYCVRGTPERERAAMEYLEHRECEYDQKTLVNFYKEENSIEPALTGVIVFTSTPDKEVNKYYLGPAPLEDMARQIATAVGPCGNNRDYIFMLEKALFNIGHEEEMVIELANEVRKVLDLLENGISRENKLLGAAPHIVLKSHIASLELQLLPEAIATDS >KGN57376 pep chromosome:ASM407v2:3:12599808:12601373:-1 gene:Csa_3G182120 transcript:KGN57376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANAASGMAVRDECKLKFLELKTKRNYRFIIFKIENQEVVVEKLGSPEETYDDFSAAIPANECRYAVFDFDFTTDENCQKSKIFFIAWSPDTSKVRNKMVYASSKDRFKRELDGIQVELQATDPSEMSFDIIKARAF >KGN60392 pep chromosome:ASM407v2:3:39018499:39019512:-1 gene:Csa_3G902340 transcript:KGN60392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALKQTLASEGPRGLYKGMGAPLATVAAQNAVLFTVRGQLESFFRTYPGASLEVKQQVVCGAGAGIAVSLVACPTELIKCRLQAQSALAASNSVGVAVKYGGPMDVAKHVLKSHGINGLFKGMVPTLAREVPGNAVVFGVYELLKQQFAGGRKTSNLGRGSLMVAGGVSGAAYWLAVYPTDVIKSVIQVDDFKNPKFSGSMDAFRKILALEGVKGLYKGFGPAMLRSVPANAACFLVYEITRSSLG >KGN56213 pep chromosome:ASM407v2:3:4991269:4994557:1 gene:Csa_3G099740 transcript:KGN56213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAWMAAPRRILLSHNSLSTPQIASLTHRRGLAGGGDHHGPPKVNFWEDPFNPSRWKREHFVIVSLTGWGLVLYGAYDFFTKGKKEEKVGDGRH >KGN56085 pep chromosome:ASM407v2:3:3823230:3826177:1 gene:Csa_3G073280 transcript:KGN56085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHYYPSIPFFILTILSTLLTSSNSHRCSDKFQELVNARNLSNCQRLPTLGAELGWSIPAPNKSHHVFRVLFGALMEADGGWLAWGVNPGKKPEMVGTRAVIGIKNPTNGSSYCRSYNLTHETRIGCPLRPTDLEEIKCTKFEYETMTDYHLISASLNLSAAIYNDLKLNIVWQSGLDVDEDTPLAHTTTLMNIDCVETLELSTGKSTDMTHLKIYFRKVHGILNIIGWGTFLPIGAIIARFFRKFPFESDVWWYRTHEVCQLAGFAIGMVGWGIGMWLAHSSPDYIFNTHRVFAIFIFVFATLQTLAIKFRPSPTDDSRKVWNIYHHFLGYALLALIYINIFEGMRILKPENKEKWRYAVIGILVTLGLVTLVLEVHTWRKFIKQRTTRKKLGSQFPNQARPNQQEEEDGDGMTIQSPPFSRRNIPSTPAPI >KGN58005 pep chromosome:ASM407v2:3:20205576:20206269:1 gene:Csa_3G426910 transcript:KGN58005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSEAHSVNLNAMKEQKEVVYDRVTQRLVDIMAHQPEKKFGIERLKALGATEFTGTTKPEEFEKWIRTLEKCFRRIVEEMFARKNSKKSLTTNTSQRLTSHSSLDVEKTIPDAPSTTRRECPFPDIHYANDKTTSGTCGSSDAKLNARRYVFPMWHHASFPQCIQNGMGERLISRRK >KGN57387 pep chromosome:ASM407v2:3:12684937:12690568:1 gene:Csa_3G182230 transcript:KGN57387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSPTCSPTSLQLRLALNCNNCGKFPSLLVRARVRKLDPRLRVICHPIVHNGVKFDRGNGRRGTGVCFAGSESTPDGFSGWSESDSQGEGLDLRRKKWFGGFVGIGITGFILVSGITFAAWSINKQNSSRQKPQMEALSTQQELLLDSETGTDRLGEDEKEDTSVDADDETLAGKAGNQEDSSSYTENEETLNKNRVGDGVDVEELAENHVESSSSNNDVHNVASLQEDFQSDSSLNVTSVAPGSLSSLISPESEFDTNVASCLKDVNNYHPGLEVSTSEPEMNILKDEPDNLPNSNTNSLNLKTDIRDERPDTGENYDLGSKKLPVYDDSSSNYISGNQDETLDPVDEITDSSLQGFSSISRDTAKESGLFDGETVAKSSEGVSSPSRIEQFSSEDNAPSIEQHLESELSEAALVSISDYPLADDQEKNHETIMNGTAAKRELQEISFSSAGVPAPLVSAAVKTHPGKVLIPAVVDQVQGQALAALQVLKVIEVDVEPSDLCTRREYARWLVSASSALSRNTTSKVYPAMYIENVTELAFDDITPQDPDFASIQGLAEAGMISSKLSRHDISSSLDEDQGPLYFSPESLLSRQDLVSWKMALEKRQLPEADRKMLHQVSGFIDTDKIHPDACPALVADLSVGEQGIIALAFGYTRLFQPDKPVTKAQAAIALATGEASDIVSEELARIEAESMAENAVAAHSALVAQVEKDINASFEKELSIEREKVEAVEKMAEEAKQELERLRSEREREGLALMMERASIESEMEVLSRLRSELEEQLQGLMSNKVEVSYEKERINKLRKEAEIENQEISRLQYELEVERKALSMARAWAEDEAKKAREQAKALEEARDRWEKRGIKVVVDSDLREQESAGDTWLDSSKQFTVEETTERAENLMEKLKRMAAEVRGQSRDVIEKIIQKIALLVSNLRQWISKTGEQAEELKNGAISRADRSAKELQQSTAELSLAMKEGAKRVVGDCREGVEKFTQKFRTSYG >KGN58544 pep chromosome:ASM407v2:3:26100739:26104970:-1 gene:Csa_3G677370 transcript:KGN58544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYETCSLVQAGRGANDDYALGSRLLENGNLGHEFDGQSPSTNLDSKPSSGLMVTGMEENLLEDDSVKDTVPFDFHLMNEPYGVPCMVEIFRFLCSLLNLVEHMELGARSNTMAFDEDVPLFALRLINSAIELGGPSFRHHPRLLSLIQDELFRNLMQFGLSTSSLILSMVCSIVLNLYHHLRTELKLQLEAFFSCVILRLAQSRYGASYQQQEVAMEALVDFCRQKTFMVEMYANLDCDITCSNVFEDLANLLSKSAFPVNCPLSSMHILALDGLIAIIQGMAERIGNGTGLENTPVNLEEYTPFWMVKCENFSDPIEWVPFVRRKKYIKRRLMIGADHFNRDPKKGLEFLQGTYLLPDKLDPKSVAAGMAERIGNGTGLENTPVNLEEYTPFWMVKCENYSDPTQWVPFVRRKKYIKRRLMIGADHFNRDPKKGLEFLQGTHLLPDKLDPKSVACFFRYTAGLDKNLVGDFLGNHDEFCVQVLHEFAWTFDFQDMNLDTALRLFLETFRLPGESQKIQRVLEAFSERYYEQSPQILVNKDAALLLSYSLIMLNTDQHNVQVKKKMTEEDFIRNSRHINGGNDLPRDFLSELYHSICKNEIRTTPEQGNGFPEMTPSRWIDLMHKSKKSSPFIVSDSKAYLDRDMFAIMSGPTIAAISVVFDHAEHEEVYQTCIDGFLAVAKISAWHHLEDVLDDLVVSLCKFTTLMNPSSVEEPVLAFGDDIKARMATMTVFTIANRYGDFIRTGWRNILDCILRLHKLGLLPARVASDAADESELSSDAGHGKPLSSSLSVAHIQSIGTPKRSSGLMGRFSQLLSLDSEEPRSQPTEQQLAAHQRTLQTIQKCNIDSIFTESKFLQAESLLQLAQALIWAAGRPQKGNSSPEDEDTAVFCLELLIAITLNNRDRIVLLWPGVYDHISNIVQSTVMPCALVEKAVFGLLRICQRLLPYKENLADELLRSLQLVLKLDARVADAYCEQITQEVSRLVKANASHIRSPSGWRTITSLLSITARHPEASETGFDALLFIVSDGAHLLPANYTLCIDASRQFAESRVGQVERSLRALDLMAGSVDCLRRWAKEGKEAVREEEVIKISQDIGDMWLRLVQGLRKVCLDQREEVRNQALLSLQKCLAGVDEIRLPHDLWLQCFDLVIFTVLDDLLEIAQGHSQKDYRNMEGTLILAVKLLFKVFLLLLQDLSQLTTFCKLWLGVLSRMEKYAKAKVRGKRSEKLQELVPELLKNNLLVMKTKGVLVQRSALGGDSLWELTWLHVHNISPSLQSEVFPGQDSNFELGQGEKSGLTSSEANSVTSSDKVVSDNAGTGG >KGN57544 pep chromosome:ASM407v2:3:14150673:14150900:1 gene:Csa_3G207930 transcript:KGN57544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGNNSQKERKCGCCHTKILVSVRLNPLHCPPSFATTDGSDAFPNSKSHIIVLHFQPFDSNNHLEKHNTLLFVV >KGN60115 pep chromosome:ASM407v2:3:36939176:36941617:-1 gene:Csa_3G878840 transcript:KGN60115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFMQFLVDPKKNWFARQHMKTLTKRLRNYGLRYDDLYDPYYDVDVKEALDRLPREIVDARNQRLKRAMDLSMKHQYLPDNLQAMQTPFRSYLQEMLALVKKERAEREALGALPLFQRTIP >KGN56230 pep chromosome:ASM407v2:3:5210251:5223486:-1 gene:Csa_3G104350 transcript:KGN56230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRILKAARASGSLNLSNRSLSDVPSEVYRSLDAVQADEKWWEAVELQKLILAHNNIQLLSEELRNLSSLAVLNVSHNKLSELPAAIGELPALKLLDVSFNSLMRIPDEIGSATSLVKFDCSSNHLQELPGGLGRCSDLSDLKASNNSISSLPVELANCSKLTKLDMEGNKLKVISKNLIASWTLLTELNASKNLLNELPENIGSLLRLIRLNVFQNKISSIPPSIKDCCALAELYMGNNSVSTLPVEIGALSHLGTLDLHSNQLKEYPVEACKLHLLVLDLSNNSLSGLPPEIGKMTTLRKLLLTGNPIRTLRSSLVSGPTPALLRFLRSRLPENEGPEASSTQRGDVITMAARMSITSKELSLEGQGLSAVPSEVWESSELMKLDLSKNSFQELPVELSSCTSLQTLILSRNKIKDWPGAILKTLPNLTCLKLDNNPLKQIPSDGFQAVSKLQVLDLSGNIACLPEHPTFSSLPLLQELYLRRMRLHEVPSSILGLKHMRILDLSQNSIQLVPEELKNLAALTELDLSDNNISLLPPQLSLLEPSLQVLRLDGNPLRSIRRAILEKGTKAILAYLKDKLAE >KGN57666 pep chromosome:ASM407v2:3:15394242:15394571:-1 gene:Csa_3G239300 transcript:KGN57666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILPSLFGRTSSSIVVLYHLLSPAFFVWLTIQILGWLMFGWRMLLLGICTFAAISMIPKLSIGWPYHNIYSLSIFVLMLIFGYGRSNPHLPSLSNLLTMIWWELVIAML >KGN57128 pep chromosome:ASM407v2:3:10814731:10818195:1 gene:Csa_3G164470 transcript:KGN57128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSILTIGRRRISSTNAWATGRRLLSPFTSQSPSPSISQFPSQQTPESLPHPFPPLGSPSSGRAWLHSHANRFEARIKGVSKICRFSSQALDGLPLFRLVDIPLAQTGEGIAECELLKWFVQEGDEVEEFQPLCEVQSDKATIEITSRYKGKVGQLLYVPGDIVKVGETLLKVHVEGFEDEIQVSGLTEGHLAKPEVKESQQDKSKNCGVLSTPPVRDLAKEYGIDINDVSGSGPDGRVLKEDVLQYAVKKGILEDHVSSAASFRVQFDESETHTHAPDGVMWTYEDKKVQLRGFQRAMVKSMAIAAKVPHFHYVEEINCDALLELKASFQGNTTEPNVKHTSLPLLIKSLSMAMSKYPMLNSCFNEDSFEVTLKGSHNIGIAMATPHGLVVPNIKNVQSLSVLEITKELSRLQLLAMENKLSPGDISGGTITLSNIGAIGGKYGSPLLNLPEVSIIAIGRIKKVPQIADDGSVYPSSIMTVNIGADHRVLDGATVARFCNEWKRFIENPELLILHMR >KGN58069 pep chromosome:ASM407v2:3:21073579:21075863:1 gene:Csa_3G483780 transcript:KGN58069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKGTFRIKFPFKLRFTFVINGSLWSVFSTFTILLIPRFCTCYRYYTGFPKDLGPSRVIHFTSEREFVQLLHEGYPVVVAFTIRSNYSKHLDNVLEEAAVEFYPNVKFMRVECPKYPGFCISRQRKEYPFIEMFHSPKQASSQGKIADSNVTKYSVKVLPFNYDTSAYGFREFFKRHGIYGR >KGN60089 pep chromosome:ASM407v2:3:36764404:36769412:1 gene:Csa_3G877600 transcript:KGN60089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDDYNDLDMGYEDEPPEPEIEEGAEEELDTNTDDVPGEPVEAEGKEDEEPVERVRKTSKFMTKYERARILGTRALQISMNAPVMVELEGETDPLEIAMKELRERKIPFTIRRYLPDGSYEDWGVDELIVEDSWKRQVGGA >KGN60383 pep chromosome:ASM407v2:3:38969614:38972290:-1 gene:Csa_3G902260 transcript:KGN60383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDSFTDKNAVFKRLKAKSENKICFDCNAKNPTWASVSFGIFLCIDCSAVHRSLGVHISFVRSINLDSWSPDQLKMMSYGGNNRAQVFFKQHGWNDDGKIEAKYTSRAADLYKRTLSKEIAKIMAEEPPRPSSPVSSHSNGNGNGNALPAIKTTKQEAPEISSSPKASHSVVIKKPIGAKKTGKVGGLGARKLTTKTSENLYDQKPEDPPTPVSSSITTSGTTASLLSSRFEYVDNAQSSDVSSNGSPVFGHIAPPKSSSFFAEFGMDNNHNGVYSKKSGSNSSKIQVEETEEARKKFSNAKSISSAQFFGDQNKSAESEAKASLQKFTSSSAISSADLFGQGMDDSTLDLAANEFISRISLQASQDISSLKNMAGETGRKLSSLASTLMTDIQDRIL >KGN60063 pep chromosome:ASM407v2:3:36614885:36616918:1 gene:Csa_3G874400 transcript:KGN60063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALIKSKLNLPPFLSSLSHRIQNHRSFSSSPSISDPPLQDDLATDSPQNASIPLLSPEQIQVSEKFHALIKEYYRRNPGPDSTPPCPNFTISSLSNDLSQISAPHSVSPAVVRYVIEKSGAVRHGIPFLPALAFFNWATAGEGFEHSPQPYNEMIDLAGKVKQFGLAWYLIDLMKARNVEITVVTFSMLVRRYVRAGLAAEAVHAFNRMEDYGCNADIIAFSNVISILCKKRRAVEAQSFFDNLKHKFEPDVIVYTSLVHGWCRAGDISEAESVFREMKMAGISPNVYTYSIVIDALCRSGQITRAHDVFAEMLDAGCNPNSVTFNNLIRVHLRAGRTEKVLQVYNQMKRLRCAADLITYNFLIETHCKDDNLGEAIKVLNSMAKNDCTPNASSFNPIFRCIAKSQDVNGAHRMFARMKEVGCKPNTVTYNILMRMFAVPKSADMIFKLKKEMDEEEVEPNFNTYRELIALYCGMGHWNHAYMFFREMIDEKCIKPSMPLYKMVLEELRKAGQLKKHEELVDKMVERGFASRNL >KGN56075 pep chromosome:ASM407v2:3:3723002:3726478:-1 gene:Csa_3G064240 transcript:KGN56075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKIVFLVFLGAFLFSTSGARKLSTASFQDEKFFRRPRFGGGGLGGGGGGGFGGGGGGGLGGGSGGGFGGGSGFGGGVGGGAGGGGGGGFGGGGGGGLGGGAGGGFGGGAGAGDEKFFRRPRFGGGGLGGGGGGGFGGGGGGGLGGGSGGGFGGGSGFGGGVGGGAGGGGGGGFGGGGGGGLGGGSGFGGGAGFGGGSGGGLGGGGGAGGGGGFGGGGGGGLGGGAGGGFGGGAGAGGGFP >KGN58847 pep chromosome:ASM407v2:3:27964762:27965205:1 gene:Csa_3G734010 transcript:KGN58847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIINRYGFRSAIRVARIIKETYEMGLENVRWFVMGDDDTVFFMENLIDMLGRYDHNQMYYIGANSESVEQDVVHSYTMAYGGGGFAISYPLATVLVQILDGCINRYAHMYGSDQKIQGCISEIGVPLTKEHGFHQVSPHFHSNIYY >KGN57446 pep chromosome:ASM407v2:3:13118176:13123316:-1 gene:Csa_3G186720 transcript:KGN57446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDHFGLLVDQLLTESNLEATIERKNRICHPMASTNANADNMISSSNIDVESVSPSSIVQCRICHDEDDGSKMETPCSCCGSLKYAHRKCIQRWCNEKGDTICEICHQDFKPGYTSPPPVFYYGDINSPIHFRGSWEMSRLNLHVPAGMPDHEYLDSDFDDFFAPSPRSILCCRVVAVIFIALLVLRHTLPIVISGAGGYSWTLLMLLILRIVGILLPIYVMVKAFTYIQRRHRYQAPRLQLTTLGDNSESTNHSQSHLIHLR >KGN59471 pep chromosome:ASM407v2:3:32141892:32150398:-1 gene:Csa_3G822230 transcript:KGN59471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSYGLGYFFALQSGQMIMLALLLMVGSFYFGTLFGDNVPIYVSHLPSNSTSSSSLGNSTIPNQVSITYRKVPLSIPENGVDVCPLNYTEYIPCHDISYIKELIPTLDLSRKEELERHCPPLDNRLFCLVPPPEDYKIPVKWPTSRDYVWRSNVNHTRLAEVKGGQNWVHEKDQLWWFPGGGTHFKHGAPEYIQRLGNMTTNDTGTLSSAGVYQVLDVGCGVASFSAYLLSLGIQTMSFAPKDGHENQIQFALERGIGAMISALATNQLPYPTSSFEMVHCSRCRVDWHENDGILLKEVDRLLRPNGYFVYSAPPAYRKDKEYPMIWEKLVNLTTAMCWKLIARKVQTAIWIKQENPACLIINAENKAVEICDAVDDFQPSWKIPLRNCIHVTDQSYAQKLPPRPERLSVYSRNLRKIGVSQEEFDLDTLYWKDQVNQYWKLMNVSETDIRNVMDMNALYGGFAVALNNFPVWVMNVVPIKMKNTLSAIYDRGLVGVFHDWCEPFSTYPRTYDLLHAYRLFSQYKSGGEGCLLEDIMLEMDRIVRPQGYIIIRDEPSITSRIQEIASKYLWDVEMQTLQTKDNNPESVLICRKKFWAIT >KGN59172 pep chromosome:ASM407v2:3:30016273:30017845:1 gene:Csa_3G778280 transcript:KGN59172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNEIISTTTWQRRLEGKVALITGGASGIGECTAKLFVHHGAKVVIADIQDDLGHALCANVLGSTNSLYVHCDVTEESQAAVETFGKLDIMMNNAGIADPSKPRIIDNDKHDFDRVLSINVTGVFLGIKHAAQAMIPAKTGSILSTASVASYTGGSASHAYTCSKHAVVGLTKNAAVELGQFGIRVNCLSPFALVTPLATKFVGLDGPEFEKIMGSKANLKGVTLKAEDVANAALFLASDESRYVSGHNLFIDGGFSIVNPNIDIFQYPQN >KGN55893 pep chromosome:ASM407v2:3:2411487:2413450:1 gene:Csa_3G033750 transcript:KGN55893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKTLFSGIDGWPGEQVHSHNYRDPEPFRGKVVVLIGYSSSGTDISQELIGVAKEIHIAWRSTKTELLNTESINSNVSFHPMIESVHKDGAVVFQDGCVVLADIILHCTGYKYHFPFLETNGIVTVDNNRVGPLYKHVFPPALAPGLSFVGLPFKVVPFPLFELQSNWIAGVLSNRIALPSKEEMLADVKAFYENLEAFGKPKHRTHELGDDMPAYLDWLAAVCGCPAYEEWRKEMYIATHMNKVANLRSYRDDWHDNELIRQAYEEFSKYATNEGSGNHSKLSV >KGN56975 pep chromosome:ASM407v2:3:9860442:9865624:1 gene:Csa_3G146600 transcript:KGN56975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICVSVVGASSLLRLVPVFGDQSSDMGEILNELEYVLRSKPNGLTIEEAILLQTCRSKAVRDFTFGGILGGGLTWAGAWRLNKFTRLNLSVGAASLCGFWRFSRSLNSCVDYILALDGSRMQKELANIVVTRYHNDPHAMQYISKHFYYEEVFDDSTSDRPKIRWRYRNFFSDDVAHSQRTHGNDNNVHENSHRDSSAYQGDSYGDPDDNGNAHEFKPVLTKPGTDAATADPLDCIFGTLARKEEIQNSTPSIPSPKPHSRSRRYNRRHRKDNHTKSTNFEHV >KGN60083 pep chromosome:ASM407v2:3:36720872:36732749:-1 gene:Csa_3G876060 transcript:KGN60083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQSISEAVSSSSTTASSDHSQSSSSSSSSSSTTPSEKPQSISAAAAAEDLAVGSRDGGGAQETVTVDRRGNFSAVCRWTVQNFPRIKARALWSKYFEVGGYDCRLLIYPKGDSQALPGYISIYLQIVDPRGTSSSKWDCFASYRLAIVNVLDDSKTVHRDSWHRFSSKKKSHGWCDFTPSSTVFDSKLGYLFSNESILITADILILNESVNFTRDNNEPASSMMMTSSLVACPAPEVLSGKFTWKVHNFSLFKEMIKTQKIMSPVFPAGECNLRISVYQSSVNGAEYLSMCLESKDTEKTVILPDRSCWCLFRMSVLNQKPALNHMHRDSYGRFAADNKSGDNTSLGWNDYMKMSDFVGQDSGFLVDDTAVFSTSFHVIKEFSNFSKNGGLIGGRNGSGIRKSDGHMGKFTWRIENFTRLKDLLKKRKITGLCIKSRRFQVGNRDCRLIVYPRGQSQPPCHLSVFLEVTDSRNTSSDWSCFVSHRLSVVNQKMEEKSVTKESQNRYSKAAKDWGWREFVTLTSLFDQDSGFLVQDTVIFSAEVLILKETSVMQDFIDQDMEPSGSGSLTDKVAKKSSFTWKVENFLSFKEIMETRKIFSKFFQAGGCELRIGVYESFDTICIYLESDQSVGSDPDKNFWVRYKMAVVNQKYPAKTVWKESSICTKTWNNSVLQFMKVSDMLEAEAGFLVRDTVVFVCEILDCCPWFEFSDLEVLASEDDQDALTTDPDELIDSEDSEGISGDEEDIFRNLLSTAGFHLTYGDNPSQPQVTLREKLLMDAGAIAGFLTGLRVYLDDPAKVKRLLLPTKLSSSNDGKKVSKTDESSPSLMNLLMGVKVLQQAIIDLLLDIMVECCQPSEGGSGDHLEANSKPSVSGSGTTTTSLEGETENAASEVEDFPPFQRLESVEESSSAPAVQSSDMIRTDRQGKSLPEDLIHPPETSAGVSENVFLRTKTKWPEQSEELLGLIVNSLRALDGAVPRGCPEPRRRPQSAQKIALVLDKAPRHLHSDLVALVPKLVEHSEHPLAAGVLLERLQQPGAEPALRIPVFGALSQLECGTEVWEQILFKSIEFLADSNDEPLAATIDFVFKAGAQCQHLSEAVRSVRGRLKNLGMEVSPCVLDLLSKTVNSWGDVSDIILRDIDCDDADDFCSKISRELFLFGEAGPTSESLNPLDEQDLHAARHFSDIYILIELLSIPCLAVEASQTFERAVARGAITAKSVAVVLEKRLAQKTNSNTRFIAESLQPGDSVTDGETNEQRRVQRDDFTSIVGLAETLALSRDPRVRGFVKMLYPLLFKWYASESYRARMLKRLVDRVTSSAENNREVDMDLEILVMLIGDEQEIIRPVLNMMRDVAELANVDRAALWHQLCATEEENSRIREESKVEIANMMKEKTALSQKLSESDAMNIRLKNEMKAELERFSREKKELSEQIHDIESQLEWLRSERDDEIVKLTAEKKVLHDRFHDAETQIAQLKSRKRDEMKKVVKEKNALAERLKSAEAARKRFDEQLKRYANENMTREEIRQSLEDEVRRLTQTVGQTEGEKREKEEQIARCEAYIDGMEAKLQACQQYIHSLEASLQEEMSRHAPLYGAGLEALSMKELETLTRIHEEGLRLIHTLQQRKVSPAGSPLVSPHSLSHSHGLYTSAPPPMAVGMPPSLIPNGSGIHSNGHVNGGAVGPWFNHA >KGN57645 pep chromosome:ASM407v2:3:15275836:15280339:1 gene:Csa_3G238110 transcript:KGN57645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSSETKFLQELILYAASAALSCLVLFAGLRHLDPNREASKKALEHKKEIAKRLGRPLIQTNPYEDVIACDVINPDHIDVEFNSIGGLETIKQALYELVILPLRRPELFSHGKLLGPQKGVLLYGPPGTGKTMLAKAIARESGAVFINVRISNLMSKWFGDAQKLVAAVFSLAYKLQPSIIFIDEVDSFLSQRRSSDHEALSNMKTEFMALWDGFTTDQNARVMVLAATNRPSELDEAILRRLPQAFEIGIPNTRERAEILKVILKGERVEDNVDYHRIANLCEGYTGSDILELCKKAAYFPIRDLLDEEKTGKQSDSPRPLSQSDLETALLSSRKTKVVAKEYAGLGSHQMDPSDPRIQAVLNELSKFGISPSNVGSEEDDS >KGN60106 pep chromosome:ASM407v2:3:36879217:36880792:1 gene:Csa_3G878750 transcript:KGN60106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGGSLSDSVLKKIILSYTYVAVWIFLSFTVIVYNKFILDKKMYDWPFPISLTMIHMGFCASLAFLLIRVFKLVEPVSMSRDLYLSSVVPIGALYSLSLWLSNSAYIYLSVSFIQMLKALMPVAVYSIGVLLKKEGFKTETMVNMLSISFGVGIAAYGEAKFDAWGVALQLGAVAFEATRLVLIQILLTSKGISLNPITSLYYVAPCCFVFLLVPWIFVEFPILKATSSFHFDFVIFGTNSFCAFALNLAVFLLVGKTSALTMNVAGVVKDWLLIAFSWSVIKDTVTPINLFGYGLAFIGVAYYNHSKLQALKAKEAQKKAAQADEESGKLLEERENDGLGKKNESED >KGN55707 pep chromosome:ASM407v2:3:820895:825452:-1 gene:Csa_3G006680 transcript:KGN55707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKKFFDKKKSATFQLLARDSSDPNYDGTAATDRVFVRVDNHSYTLPAFDDGPVDASGSACYDEDPNSIFADASEDYDEEENGGFGSSMQFSGDVGGTSSLPENVRKDILELGFPDDGYNYLLHLREIKNTGGGSTFYQNPKAKLNQVPRDEKAYDASRMIVSKMNVDADENAYKVASKIVGVRVQNVVDPEIAALLDDDDLSRFGSDVEDLEEDFVVQANLCEDGEDGTTNSKISVVEDDERTAGSLLVNNKSFGDHIFEDADMEHLEEAVDGSDVDKPRTRRLLDDQFDTLLNRDYASSDSDGTDCDEPCIAEEEESLAQKLNHALGNHSKDDLELEQGYKAPADILSGKEGAEDKELLQSASDVIHRCMEYAEKYQNEDDGIEDEFVFDESSDESEVWDCETIVSTCSNLNNHPGKIMAPEMTRRKKLAETVTGALNSNNPVITLRGKEKLPVNFLPHGRKVDKVKDTSNLRTEPQKRKSHGQESKEEKKERKAAIKEARREARRTKKETKGLYKEEAHRAQKVVAFSGPASIHLM >KGN59359 pep chromosome:ASM407v2:3:31307080:31307746:-1 gene:Csa_3G813040 transcript:KGN59359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNFAPFDLHLLHSLSLSSSQKQKGKSTAEKVRSMGKFVELLDVGVRMAARFHSHCPQTARLYYHPPSDHDSDGHHHHHHYLHQIFQAYDGGHSTELVTAYGMKTVAMDGSFDAENVFHSADF >KGN60342 pep chromosome:ASM407v2:3:38682575:38685176:-1 gene:Csa_3G895880 transcript:KGN60342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDESSHGSGAVASTRLPGAELLCKNRNLKKNINLVPQVNWVSFFEAMHQVAKTHSAKCVRIEAISVMNLILMRNNTYLEKEKFGQALLFDSVVEFIRKESGSAIQKHAVRLLFLILNCPTFFVAFCSGCKEAEANEVGNENVRSAGGFQKFQTILHGLADCLTCSGNGIEELKLRKNTVLLLAFLASSGKAGFEILTSNKLYTYSNFLTLILQVVASELEQEKTVPEPMENLEERALLLREVLILFNRLASHSLYSMTILRVVTNSRDMATLTIDVTNKLCRKNNRNGQLDSKKRKMRESEVVDLAQVFRKRLLSYLGNSIL >KGN58259 pep chromosome:ASM407v2:3:23201516:23201754:1 gene:Csa_3G600000 transcript:KGN58259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYYRVGAYPKENPVFLGREYLNRTNRPVVCFGTKQLELGSLNWNVYYPYRGSFNGEDPST >KGN56091 pep chromosome:ASM407v2:3:3873934:3878486:1 gene:Csa_3G073830 transcript:KGN56091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSFSTYSHGLSLLILFATSSLLGFAFGGEGFCSAPSVVDSDADSKALYYKVTNPTLSPSHLQDLPGFTRSVYKRDHALITPESQVFSPLPEWTNTLGAYLITPALGSHFVMYLAQMKEKSKSGLPPTDVERFLFVIQGAVKLTNSSGISEKLTVDSFAYLPPNFDHSVMSDSSATLVVFERRYASLVDHHTKQIVGSTDKQPLLETPGEVFQLRKLLPMSMPYDFNVHIMDFEPGEFLNVKEVHYNQHGLLLLEGQGIYRLGDYWYPVQSGDAIWMAPFVPQWYAALGKTRSRYLLYKDMNRNPLDHK >KGN59893 pep chromosome:ASM407v2:3:35308699:35309154:1 gene:Csa_3G851930 transcript:KGN59893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKREGVWRQCCVRSGARRGRDIARSRAIATNNARIGKVQIMELATITFLEKLVIATTTVENHAIIPHEF >KGN58692 pep chromosome:ASM407v2:3:27223988:27228197:-1 gene:Csa_3G728090 transcript:KGN58692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTLLCNASSASAFLARTSSSKTSLSLPLKPLALRSFSPSSRTGFFRIYALTSNDIKVGTNIEVDGAPWRVLEFLHVKPGKGAAFVRTKIRNYVTGNTVEKTFRAGSSLEEANVYKEVKQFTYKDGSQFVFMDLNTYEEIRLNAADVGDRTKWLKEGMDCIVLFWNGKVIDFEVPITIQLTVVDVDPGLKGDTAQGGSKPATLETGAVVSVPLFINVGDSIVIDTRTGQYTSRA >KGN56924 pep chromosome:ASM407v2:3:9550770:9553238:1 gene:Csa_3G144140 transcript:KGN56924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADHRLPNFLLSVRLKYVKLGYHYLISNAMYLLLLPFLAALSAHLSTFQFQDFLHLWNILNFNLLSVALCSSLLVFLTTLYVMTRPRKVYLLNFSCYKPHPARTCERGTFLHRSELTGSFTEENLGFQKKILERSGLGEKTYLPEAVMRIPPNPCMDEARKEAEAVMFGAIDELLEKTGVKAKDIGILVVNCSLFNPTPSLSAMIVNHYKLRGNILSYNLGGMGCSAGLISIDLAKQLLQVHPNSYALVVSMENITLNWYFGNDRSMLVSNCLFRMGGAAILLSNRPSDRRRSKYQLIHTVRTHKGSDDKCYNCVFQQEDDTGRVGVRLSKDLMAVAGEALKTNITTLGPLVLPMSEQLLFFATLVAKKIFKIKKIKPYIPDFKLAFEHFCIHAGGRAVLDELEKNLDLSEWHMEPSRMTLNRFGNTSSSSLWYELAYSEAKGRIRKGDRTWQIAFGSGFKCNSAVWRALRTVNPAKEKNPWMDEIHEFPVEVPRVAPIHKP >KGN59461 pep chromosome:ASM407v2:3:32074235:32081615:-1 gene:Csa_3G822130 transcript:KGN59461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLFVFPQTLRSLEQDYEDNRLSVQNPTEIASLPPSQLEEFVKGISFDLSDKDLFCVEEQDTFDRVYSLIRDYKHLSPSCKLNIVESLRSNFSVLLPNIDSLARASPNNDGDAPVLDQIASHRNAFKIYTFFLLNIVNSEEANGSSSNNSKVTASTRKKMPKSSWDWEMQRGRILNLIANSLEINISLLFGSSDPDENYLSFVTKNVFSMFENSVLLKDVDTKDALCRIIGACSTKYHFTTQSCASIMHLIHKYDYVVTHMADAVAGAEKKYSDGILAISLIRDVGRTNPKEYVKDTAGAENIGRFLVELADRLPKLFSTNIGLLIPHFGGESYKIRNALVGVLGKLTVKAFCDIEGEQSCKSVRLRSKQAMLEILLERCRDVSAYTRSRVLQVWAELCEEHSVSIGLWNEVAAVAAGRLEDKSAIVRKSALQLLISMLQHNPFGPQLRVVSFEATLEQYKKKLDELEPNKSSENVDGGSPFDGDIFNGDGEVDNGHIKGEGGNRQDSLTDSYPPQMEEEVVQKDNLTLDVGNTEQIRALVASLEAGLRFSTCISEAMPILVQLMASSSATDVENTILLLMRCRQFQIDGSEACLRKMLPLAFSQDKSIYEAVENAFITIYITKNQIETAKNLLHLAIDSNIGDLAALEFMIDALVSKGDISSSTISALWDFFCFNVGGTTAEQSRGALSVLCMASKSSAGILGSHIQDIIDIGFGRWSKVDPLLARTACIALQRLSENDKKRLLAGNGSRVFDKLESLITSSWLPEKIWYAAADKAIAAVYSIHPSPEILAANLVKNSLTSVFNGNKDDELQADIESGNGDILTTVHIDKLSRYLFIASHVAMNQLVYIELCTRKIQKQKAKEKTVVDGQTGHGNGGTVANGEKEDGINAELGLAASEDAIVDSLSEKAEKEIVFGNSRGKNLIGHCAPFLSKLCRNFSLLHKFPDLQASAMLALCRLMIIDADFCQANLQLLFTVVETAPSDIVRSNCTIALGDLAVRFPNLLEPWTENMYVRLKDPSNSVRKNAVLVLSHLILNDMMKVKGYINEMTLRLEDEDERISNLAKLFFHELSKKGANPIYNLLPDILGKLCNQNLQRESFCNIMQFLIGSIKRDKQMESLVEKLCNRFSGVSDVRQWEYISYCLTQLGFTEKGMKKLIDSFKTYEHVVSEDSVMEHFKSIINKSKKFAKPELKLCVEEFEEKLNKAHAERKEQEVTARNAKIHQQRIDNTETTFVAVKNEDSPESEITEDENTESSEDGESINDNSEPKLVESEDTGASSELTESETCKTEIQSSQVENDEGTSNLRVKRRSSRRRGISS >KGN55727 pep chromosome:ASM407v2:3:992602:995095:1 gene:Csa_3G008840 transcript:KGN55727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLLSSSSSSSRSSLFFTTNPKFPLFSSLPTISRPSFLSFNLSHKESGALRFPSLRLTSSLSDDPSTTDEDDTTSRPKITDEWGEETEPEPDSTLTRLSDFDPPKEDDEWGGDEGNGKPSVVEEKSEEYVDNRDKLLELKRCLVDTVYGTEFGFRAGLEERAEILEIVNQLEAANPTPAPVEASGLLDGNWILVYTAFSELLPLLALGALPLVKVEKITQEIDSNTLTIVNSTTLSSPFTTFSFSASAAFEVRSPSRIQVQFKEGILQPPEIKSRLDLPENIDIFGQKVNLSPVQQTLDPVQQTVASLFQVISGQPPLKIPIPGDRNKSWLLITYLDEDLRISRGDGGLFVLVKEGSALLDQ >KGN57337 pep chromosome:ASM407v2:3:12347142:12348070:-1 gene:Csa_3G180260 transcript:KGN57337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSFSTFNYEDYFSSSESSNCRLPIFSDEDFMLAASNPKKRAGRKKFKETRHPVYRGVRRRNSGKWVCEVREPNKKSRIWLGTFPTAEMAARAHDVAAIALRGFTACLNFADSAWRLPVPASADARDIQKAAAEAAEAFRPSESDGSSVDDSRTENGMMMETTTPENLFYMDEEAVFGMPGLLEDMAAGMMLPPPQHFRDDMDFYSDVSLWSY >KGN59196 pep chromosome:ASM407v2:3:30169282:30170024:-1 gene:Csa_3G779010 transcript:KGN59196 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hairpin-inducing protein MADKQPHLNGAFYGPAVPPPAKTYHRHGHGRGCACCLLTTFLKFLIAIVVVLGVAVLVFWLVFRPNKLKFDVTGAQLTRFNVSGNQLHYDLALNLTVRNPNKRIGVYYDVIEASPYYKDQRLNTQWLTPFYQGHKTTSVLSPQFDGQQIVIFAGDKLTEFNGETLAGVFEVDVKIRLRLRLKVGAVRIGKFRPKVNCELKVPLKSNPNSFTFQTTRCDFDF >KGN60465 pep chromosome:ASM407v2:3:39603846:39606267:1 gene:Csa_3G912970 transcript:KGN60465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMASSSSSVFLKSPTSPSPALHFRGGEFPKSQPWIRGRPRTKIVVKAQTEVRREDIVIIGGGIAGLATALSLHRLGVRSLVLEQAESLRTGGTSLTLFKNGWRVLDAIGVGNVLRTQFLEVQGMVVKSEEGKQLRSFTFKDEDESQEVRAVERRTLLETLASHLPAGTIQFSSKLEAIQRTHQNEVKLELVDGTQLIAKIVIGCDGIRSPVARWMGFSEPKYVGHCAFRGLAYYPNGQPHEPKVNYIYGKGLRAGYVPVSATRVYWFICYNSSSPGPKITDPAVLMQQAKELVRNWPSDLLTIMDATPDDTLIRTPLVDRWLWPAVSPPASSGRVVLVGDAWHPMTPNLGQGACCALEDAVVLARKLTTALKSESETPSVEDALRSYGTERWPRVIPLTIRANVVGSALQWANPIVCPVRNNVVIPKLVRLGPLLEHTNFECDAL >KGN57448 pep chromosome:ASM407v2:3:13142482:13144190:-1 gene:Csa_3G187230 transcript:KGN57448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVRLTPEDAELLQQARAAPSAVDLVSDDDRSVAADSWSIKSEYGSTLDDDQRNADAAEALSAGNLRPASDYSSDKDEMEPDAEAVTSMLGLQSYWDSQYADELTNFREHGHVGEVWFGSEVMETVASWTKSLCYDVSQGRFLNQAGNVKTLNVDQGSKFLSSWSVLDIGTGNGLLLQELAKEGYVLKFCLLTLANI >KGN59624 pep chromosome:ASM407v2:3:33146244:33147524:-1 gene:Csa_3G829140 transcript:KGN59624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFFTLSVAGGGFLLIGSWEALSSLNSIANSNPDASSSSLNTLQTSSQSPLSTAKIASSSSSLSFIFAFLLSFLVILNSLVSFLDALNSSDRVGSTLQLPVLAIASLFLLYSILGLLVNLKDSLHLPSTILSLIALFAFVEEFLLFYLQRKDTSGIENRYFDLLLVPITICVISTMFELKSPKLSSPKIVRGVGLILQGTWFLQMGLSFCTNLITDGCSLHEKSRGNYTIKCKGHPEYHRARAIATLQFNCHLALLVVLVIGVYSIIIHRNGGAGDFLHYRPIGAEMQPFGFQGQFTLDSDDDLGEESNLGKTKQAVIDVGVNGHDAHQ >KGN56496 pep chromosome:ASM407v2:3:7129536:7133966:-1 gene:Csa_3G121720 transcript:KGN56496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMEKLYKNPIKAQVMKMVILGVIVAAALMDEVEGRKGKVKKGKKAKILEGNGIEYGAISCRAHTASITEFGGVGDGVTSNTKAFQAAVEQLSQYTDDGGAQLYVPAGKWLTGSFNLTSHFTLFLHQDAVLLASQDPNEWPVVEPLPSYGHGRDTSGGRYISLIFGTNLTDVVITGNNGTIDGQGDRWWQLFHQGKLKYTRPYLIELMYSSDIQISSLTLLNSPAWNVHPVYSSNILIQGITIIAPVRSPNTDGINPDSCTNVRIEDCYIVSGDDCVAVKSGWDEYGISFGLPTKQLVVRRLTCISPTSAVIALGSEMSGGIEDVRAEDIVAIDSESGVRIKTGIGRGGYVKDIYVRRMTMHTMKWAFWMTGDYGSHADKNYDPHALPVIQGINYKDMVVENATMAARLEGISGDTFTDICISNVTIGLAPKAKKQPWTCTDIEGITSQVTPPPCDLLPDQGEEKITTCKFPEDNLPIDSVQLKKCSYQFSHA >KGN59126 pep chromosome:ASM407v2:3:29770297:29772914:1 gene:Csa_3G776860 transcript:KGN59126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVKESDMISHDEVESPLQSEQQLKQHRFSSLGRQSSIYSLTLDEFQHTLCESGKNFGSMNMDEFLTSIWTAEENQAINASQSGTAVVAAVAALSNAQGHLPVSGGASMEKRNIEKQASLPRQGSLTLPAPLCRKTVDEVWSEIHKSQQGRNHNSNSGNANSQNPESATRQPTFGEMTLEDFLIKAGVVREHCIGGGVPQPLPPSQQYGMYQNSNHTIGAGYVSRPIMGLNTSAAGGGASGNAAAGGITTYQPVPQGGSTIGDTSGFAGNGKRNSVFSSQPPPAVCYGGRVVNGGGGGGGGGGYPPAQPMGLAAPVSPVSPEGMCTNQVDSSNQFGLDLGGLRGRKRIIDGPVEKVVERRQRRMIKNRESAARSRARKQAYTVELEAELNQLKEENAHLKQALAELERKRKQQYLEETKNFHTKAQRAKEKLRVMRRTLSCPL >KGN56185 pep chromosome:ASM407v2:3:4746694:4748407:-1 gene:Csa_3G095020 transcript:KGN56185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVLLGYDIGVMSGAAIYIQEDFKISDVKVEILVGIISLYATIGAAAAGRTSDLFGRRYTMALSAGFFFFGAILMGFAPNYGLLMAGRFVAGIGVGYSSLIASVYTTEVSPASFRGCLSSFPEVFLNFGILLGYISNYAFSKLPIQLGWRFMLGIGLVPSVFLAALVILVMPESPRWLVMQGRLGEAKQVLIRTSDTIEESLQRLDDIKTAVGISASCEEDVVEIRKQTGSGVWKEFLHPTPAVRHILIAAVGVHFFQEASGTNAAVLYSPRIFEKAGISSSDQKLLATVAVGVVKTAFILVATILFDRVGRRPLILMSLGGMIVSLITLGVGLTIIERSQEEGTWVVGLCVSMVLMDVAFFSMGIGPMSYVSSELFPLKLRAQGMSLGMVVNNVTGGIVSMTFLSLYRAITIGGAFFVYAAIAMVGWVFFYVVFPETRGHNLEDVERLFGNLLWKFSHTLDAEESSENA >KGN59582 pep chromosome:ASM407v2:3:32920048:32923236:-1 gene:Csa_3G827250 transcript:KGN59582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLFIRPVKQIWRRARFLHSWANMAKLFLALFCVIALAVFGFANGYEKKGEIGIFELKRGDFSVKFTNWGATIVSLLVPDKHGKLDDVVLGYDSIQEYQNDTTYFGSIVGRVANRIGGAKFTLDGVLYKLIANEGNNTLHGGTRGFSDVVWKVTKYQKDGRSPQIVFSYRSFDGEEGFPGDLLVTATYTLIAKNQLKLTMNAKALNKPTPVNLAQHTYWNLGGHNSGDILSNHLQIFGSRITVVDHNLIPTGKLEPVKGTPFDFLKPRTVGSRINKLPKGYDINYALDDGTGEHKLKKAAVVHDKKSGRMLELSTNVPGVQFYTGNYIKDVKGKGGFVYQAHAALCLETQGFPDAVNHHNFPSTIVTPKKPYNHIMLFKFSTK >KGN60077 pep chromosome:ASM407v2:3:36689831:36693488:-1 gene:Csa_3G876010 transcript:KGN60077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSQLFFLLLSLQLFFSLLTSSHAISSGSGDGYTIYGRVKIPSNTLKGFGLPGKTSNIKVILNGGQRVTFLRPDGYFSFHNVPAGTHLIEVAALGSFFSPVRVDVSARNPGKVQAALTENRRVLSELVLEPLRDEEYYEVREPFNIMSVVKSPMGLMVGFMVIVVFLMPKLMENIDPEEMRRAQEEMRNQGVPTLSSLLPGAGRSS >KGN58321 pep chromosome:ASM407v2:3:23866731:23867519:1 gene:Csa_3G613430 transcript:KGN58321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPPVAKLRAQGIGTVCGRLLLGTAEKIPESEIVDTTGAGDAFIGAVLYALCANMPPEKLLPFSAQVAAGCCRALGARSGLPYHTDPRLASFLH >KGN58875 pep chromosome:ASM407v2:3:28101766:28104343:1 gene:Csa_3G734280 transcript:KGN58875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPDSTMAQQEDGWPLGLRVMNARVGGVAGNHDLSASVSFNTLRTHSPSSFTDSSSDLDTESTGSFYPDKSITLGSLIGVSSILELSRRSTKGNKVEILEDKKKYKSKPGLFSLSLCIKLRPDAVSFSSSPSLQHSLEAERKATRNRRNHNPTLYGPNDYSPVPGANSLFSSDQVDPVSFEQAGEEESRRSDGELVRNGNSQGIPLLFSCLYCQLIK >KGN56331 pep chromosome:ASM407v2:3:6231962:6236369:-1 gene:Csa_3G116680 transcript:KGN56331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSARLLKKVLKEQEELRQHYSQSSTAEDGHDSGSGPSSPTASSINPFDLLIDDEDDSQIDPHQDNDQEAVEEVSVDRHKQFVCNGAKSAISTSNKKLKKKKKKKERESSSSTTDKILDKPLDVILKSLSLDINPSALRSPQGPDKAKNGTEESVKQCMPSLLEVEPKYLNAGNELRRIFGAKVVKSFEKNNQASSSRQLRGGRRVNHLSRKTYLVSPSDHWPRWDGSLSMEYLESRNGYHYFRYVHLPSYVEAQKAFEAARSIHDLNGIASILLYHPYHLDSLITMAEYFKFAGDHEMSSDAVAKSLYALECAWHPMFTVFQGNCQLKIRHETNKPMFTSLFTHVKNLDRRGCHRSALEVCKLLLSLDSDDPMGALFFIDYLSLRAEEYTWLERFCESYKNDTSLWLFPNFSFSLSICRFFLEGKEPSKVDNVDATRANSTDLLKQALLLHPFVLKRLVEKVPLKEQFWVQILEHSFFLPAQTGIPSLDHLINLYVERNYIIWRIPYLQKFLRETAQLVKVLSFNARYAHLLVSDFSDSLSSAPPEALQDFVVDPRMRDVQNIIQHANPPDHRQPTHEIENRSALAVLFESMLPWVNYGDERDVGVDEGNQFDEHDEH >KGN57039 pep chromosome:ASM407v2:3:10210470:10211705:-1 gene:Csa_3G150190 transcript:KGN57039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLFPVFSGDRASEQSDFEEEHDDDDDIGSVSNLSPPSTSWSFGGLIKTLATKSESVISNYRRDLEELGSGLRKETSVIREVASRAVKDLPTTLDVGASVAQESLESVGQAIDDIGSAVWKSTSKIISHGRDTFLASDLVSENHENNSDIVISSNQLNKPRNIEFKQYNRFNTQLVAIQRDLNTYLEEPNDIENYKNWKLGFVLEEKEKEIEDLVKESDDVRETYRTVVPSRISHDIFWSRYFYNFHKIKQAEEARVKLVKRAISAKDEEELSWDVEEDDDKEGGVSGESSENGKTIEGNMKKIDVDDSLKKMGGDGRGEKIGTIEEAKGKVFASGSVQKFGLNKKLSVAEDEEDLSWDIEDEDEPTKS >KGN56500 pep chromosome:ASM407v2:3:7144240:7145111:1 gene:Csa_3G121750 transcript:KGN56500 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger protein MNRRIIPLLFAVPQTEPEPESSLSPTAMGYPIGYADMFFPNAFLHLLFFLGYIRSLIVSLFQFLGLSDFLESNVVWPENPTSIFYDRSVPTILIEKFVPVVKFTDIVAAVEFPPECCAVCLCEFQDDDEVRFLKNCKHIFHKECLDRWMIRDQRSCPLCRTLIVPEESIPPLMDFSDCTEFSGYYS >KGN57950 pep chromosome:ASM407v2:3:19556834:19557235:1 gene:Csa_3G405515 transcript:KGN57950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDISSFTSEQACQRATGNPFSQARSLVCSNLMLLIPSRGRYQVPVFPSQQHSSILFVKRVMIRIILEQTPNDRVDVRAQWGLAEPCHCQPRFPLAKELMALFSIPPLTSDDVNNIFGQTMLLNIDALLVVPW >KGN58859 pep chromosome:ASM407v2:3:28013661:28016129:1 gene:Csa_3G734120 transcript:KGN58859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVALSQKAIFVNILLCFILNIVNARITRHYKFQIQLQNVTRLCQTKTIVTVNGQFPGPRIIAREGDRLLIKVVNHVQNNISLHWHGVRQRRSGWADGPAYVTQCPIQTGQSYVYNFTVDGQRGTLFWHAHISWLRSTLYGPIIILPKTHQPYPFPQPFKEVPIIFGEWWKADTENVINQAMQNGGAPNISDAFTFNGLPGPSYNCSAQDTFKLKVKPGKSYLLRLINAALNDELFFSIANHTLTVVEADAVYVKPFKTDVILITPGQTMNVLLHTKSNSPNATFLIAARPYATAPVAFDNTTVTGLLEYEPTKSLLNKNKKLPLHKPVLPRFNDTSFSIKFNGKIRSLANSKFPAKVPMRVKWRFFFTVGLGLLPCRRNRSCQGPNNTRLSASINNVTFVQPNTALLQSHFFNKSNGVYTTDFPANPPIKFNYTGTPPKNSMVSSGTKVVMLPYNSAVELVLQDTSIVTAESHPLHLHGFNFFVVGQGIGNFDPNKDPPKFNLVDPAERNTVGVPSGGWVAIRFIADNPGAWFMHCHLEVHTSWGLKMAWIVEDGKLPNQKLPPPPSDLPKC >KGN55758 pep chromosome:ASM407v2:3:1162617:1162863:1 gene:Csa_3G011610 transcript:KGN55758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKVIKTVNPQRLSRVLHVPVTWKEGKRTGSKKTALSGRGVEKDAGFLNDLIGDLKKKPLGSFFSSSLLC >KGN55816 pep chromosome:ASM407v2:3:1660339:1660632:-1 gene:Csa_3G017100 transcript:KGN55816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPIHVTSNGGVFGDVTFDSHDTKKRRRGNGGGDGGGGCGGDGGGGDGGGGGGCGGGGGGGCGGGGGGG >KGN55824 pep chromosome:ASM407v2:3:1721672:1726808:-1 gene:Csa_3G017180 transcript:KGN55824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTCNDSTERIQKLNIENGDSFSHPKLLKYKRRKVSVVRDFPPGCGRSLLLNSSTATKGVIGDVIESPLSVHHEVLGSVEMSNANTTLEATTKKTNISCLEDGHNTMNVESSLLIEDLEGKDESFINIKNSIGDEPSLKDLHGVVVSGIGKEVLEPSKLRPCSPLDDTTFVSNGKDVKKVVREYPPRRKISAIRDFPPFCGQNAPPLSKEEGSPMIVSQNNFVHQNKLSKLDKNGECLGDNARKEERNIELVEDVTKLAMDKICSDSMVEPIKATKMDDKCGSKIKCTSKRMQTSCSDKFKFGKKRKSTVNEVKETMEKEVDTGEAPSEENISNIPSHRKQLKLVPCEQTLAVERPVVLGLMASSTCPWRQGKLNLKPSPGGGSNGKKVKKHDLRQLEKTKSILKKEDRKEYQKNSSKKTSVVEKDVNGDMHQLVVAGSMDTSINDDESIDSHVNHRSNNANVSLIPFSQINESGSEQGTDSKGTRTRVRETLRIFHAVCRKLLQEEEAGKKAQGNAPRRIDFIAAKILKDKGKYVNVCKQILGQVPGVEVGDEFRYRIELNIIGLHRQTQGGIDYVKCGQKILATSIVASGGYANNLDNSDVLIYTGQGGNLMHSDKKPEDQKLERGNLALKNSFDEKSPVRVIRGSESSDGRTYVYDGLYLVEKWWQDMGPHGKLIFKFQLCRIPGQPELAWKEIKRSKKFKVREGLCVDDISQGKESTPICAVNIIDNEKPPPFNYITNMIYPDWCRPLPFKGCNCTNGCSDSERCYCVVLNGGEIPFNHNGAIVEAKALVYECGPSCKCPPSCHNRVSQHGIKFQLEIFKTKSRGWGVRSLNSIPSGSFICEYIGELLEDKEADQRTGNDEYLFDIGNNYSDNSLWDGLSTLLPDAQANACDIVEDGSFTIDAASYGNIGRFINHSCTPNLYAQNVLYDHEDKRIPHIMFFAAENIPPLQELSYHYNYMMDQVRDSEGNIKKKRCHCGSAECTGWMY >KGN59663 pep chromosome:ASM407v2:3:33390779:33391408:-1 gene:Csa_3G836470 transcript:KGN59663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGLLFDKVCGKKDDGKIHEASKISTINRDRSPTHNITGTSLLIPVTLPSLLIFFSFSALLKSKRLSFTDLFPP >KGN57174 pep chromosome:ASM407v2:3:11122061:11122843:1 gene:Csa_3G167380 transcript:KGN57174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPQLFSQISSFISYRLYFSAMCPSGTASDDRSDVASVGFRQAFEVLDADHDGKISRDDLRKFYSGGGDADEDAIGSMIAAADLNRNGVVEYEEFERVLSGGRRRSTGIMEEVFKTMDKDGDGRLSHGDLKSYMHLAGFSISDEEVTAMIRFGGGDESDGVCYEGLLKILAVDNMY >KGN60230 pep chromosome:ASM407v2:3:37815736:37819324:-1 gene:Csa_3G889860 transcript:KGN60230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGGNRLLPAHAFPLFQEGIGLVLSRWSALQLAVENEWGGRDSRRKVELLCAEIFTWFTQNKEQLYIDDLEIILDEAMLSLSTQVDDGSIEEVAEKLIIMHEECVDGNFSSIERLRQSPRPQVAHAHVNQAESDDDDDDDVEEDVNRNDMMVDAVQPAQSRPANPQLNPEATAEAEDGWVQVTSRRNRGTRN >KGN56047 pep chromosome:ASM407v2:3:3521704:3524918:1 gene:Csa_3G061010 transcript:KGN56047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESAQAFKNLHSREYQGHKKKVHSVAWNCTGMKLASGSVDQTARVWHIEPHGHGKVKDVELKGHTDSVDQLCWDPKHSDLIATASGDKTVRLWDARNGKCSQQAELSGENINITYKPDGTHIAVGNRDDELTILDVRKFKPVHKRKFNYEVNEIAWNMTGEMFFLTTGNGTVEVLAYPSLRPIETLMAHTAGCYCIAIDPVGGYFAVGSADSLVSLWDISQMLCVRTFTKLEWPVRTISFNHTGEYIASASEDLFIDISNVQTGRTVHQIPCRAAMNSVEWNPKHNLLAYAGDDKNKYQADEGIFRIFGFESP >KGN58572 pep chromosome:ASM407v2:3:26290020:26292534:1 gene:Csa_3G687730 transcript:KGN58572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAACTSTPPSSLMLTSASSSVHPPQDLASSLVSFKAKLQISTIKSFSSSNFLCRSRRSPDWVFLNFQRRARQHVIVAAFAAEANVAEVEAEEEEGVAAAPTATAPKPKKGKAALPLKRDRRRSKRFLEIQKLRENKKEYDLTTAISLLKEMSSTKFIESAEAHFRLNIDPKYNDQQLRATVNLPKGTGQTVKVAVLTQGERFDEAKNAGADLVGGEDLIEQIKGGFMDFDKLIASPDMMPKVASLGKLLGPRGLMPNPKAGTVATNLPQAIAEFKQGKVEYRADKTGIVHLPFGKANFSEEDLLINLLAAIKSIETNKPSGAKGVYWRSAHICSSMGPSIRLNIRDMLDFKLPSSA >KGN57852 pep chromosome:ASM407v2:3:18222725:18223134:1 gene:Csa_3G353970 transcript:KGN57852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPTPLSGDRKSLQVAPTFDNTSTDGTNYNSWLKTHPSGLESFDGMMKGLKRKKIVVFLDYDGTLSPIVDDPDRAFMSSEVIYSLSINFTYIYIYIFNNIRFKS >KGN57923 pep chromosome:ASM407v2:3:19117817:19123998:-1 gene:Csa_3G391900 transcript:KGN57923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVVGFDIGNENCVIAVSRQRGIDVLLNEESQRETPAVIYFGEKQRFLGSAGAASATMNPRSTISQVKRLIGRNFSEPDVQIELKMFPFKTSEALDGSILVHVKYLGETHTFTPVQIMGMLLAHLKDVAEKNLGAPFSDCVIGIPSYFTDLQRRLYWSAAVIAGLKPLRLMHDCTATALSYGIYKTDFSNTGPIYVAFVDIGHCDTQVSIVSFEPGHMRIMSQTYDRDLGGRDFDEVLFSHFVAEFKKNYDIDVNSNVKASIRLRAACEKLKKVLSANLEAVLNIECLMDEKDVKGFIKREEFEKLASGLLEKISIPCTRGLADAGLAVENIHSVELVGSGSRIPAISRLLTSVFKKEPSRKLNASECVARGCALQCAMLSPVFRVREYEVQDSFPFSIGFQSDAGPISLGLNNVLFPKGQHIPSTKILSFQRNSLFHLEAVYSNPDELPPHMSSKIGCFTIGPFQGSNNSNSRVKVRVQLNMNGIITVESATLVEDTIDQQMPRRDATYSNTEKMETEFVDSSHSESDVSRKARGTRRIDIPVSEHIYGGMTKAELLEAQGRELQLAQQDKNMEQAKNKKNALESYVYEMRNKLFNTYRSFASDQEREGISSSLQQTEEWLYEDGDDETESAYSSKLDGLKKLVDPIINRYEDEEARAQAKAHLLKRISDYRNSGDSLSPQVRALIFEECDKVEQWLTEKNQQQELLAKNTDPLLWSSEIRTQEEDFDKTCQRILGPVSSHTNSGDSKETNHHNSSDNHHS >KGN58085 pep chromosome:ASM407v2:3:21190666:21198165:-1 gene:Csa_3G497380 transcript:KGN58085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGMAWEVLGSGQGNGLGGRGSSGGGALGWWVTLPQGLGGIKSHFRLADFPFLPLDNVAFMSLFFLGAGGALIWTILIYSKFKVSRGQRDNGPSFIDSLSLSPFTLRPTWQYSQEVKCISFVNQVTYCRLEKKKVLILWASKKIKPSHRYLCLSKCPHHPPLQEVFDHDIVLSNDLMCEAEINLQP >KGN57262 pep chromosome:ASM407v2:3:11820012:11830382:-1 gene:Csa_3G175630 transcript:KGN57262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIKEICLEGFKSYATRTVVPGFDPHFNAITGLNGSGKSNILDSICFVLGITNLQQVRASNLQELVYKQGQAGITKATVSVVFDNSERNRSPLGYEDHQEITVTRQIVVGGRNKYLINGKLAQPSQVQNLFHSVQLNVNNPHFLIMQGRITKVLNMKPPEILSMLEEAAGTRMYETKKEAALKTLDKKQNKVDEINNLLDQEILPALEKLRKERIQYMQWSNGNADLDRLKRFCIAYEYVQAKNVRDNAASQVEQMKANISEIDDGTVRMQLEIKDLETKITTLTAEKEASMGGEVKTLTEKVDRLSEDLIRETTILENKEDNLKGEKKNAEKMVNDIKDLMNSVEERASAVKKAEEGAADLRKSVEKLSKDVEDYEKEYQGVLAGKGSGDEEKCLEDQLGDAKVAVGCAETELKQLKTKISHWEKELVEKTKQLLSKREEATFVENELSAKKKDVENVKFSLESLPYKEGQLEALQKERAFELEGVQKLKDEIRYLSAQLASVEFKYRDPIRNFDRSKVKGVVAKLIKVKDSSAVMALEVTAGGKMFNVVVDDENTGKQLLQNGDLQRRVTIIPLNKIQSNPVPPRIQHAATKLVGKENAKLALSLVGYDEELESAMEYVFGSTFVCKNIDAAKEVAFNRGIHTPSVTLEGDIFQPSGLLTGGSRKGGGQLLRQLHDLAGMEAELSTHQKKLSDIEAKISDILPLQKKFADLKAKLELKMHDLSLFQTRAEENVHHKLGELVKRIEQDLEESKAAAKGKELEYKDRVNAVLLLEKSIKEHDNNREGRLKNLEQKIKGTKSKLQSCLKDLKGHENEREKLVMKMEAVIQEKASLEAELVALKTQVNRLTLEIEEQRAKVLFIKTNNDHAQSELNTIRLKMKECDSQISCIVKEQQELQNKLGEMSIERKKMENEVKRLEMENKDCSVRVDKLVEKHAWITSEKQLFGKSGTDYDFESHDPLKAREKLETLEAQQSSLEKRVNKKVMAMFEKAEDEYNDLMSKKNIIEKDKSKIKMVIEELDEKKKETLKVTWVKVNSDFGSIFSTLLPGTTAKLEPPEGCSFLDGLEVRVAFGGVWKQSLSELSGGQRSLLALSLILALLLFKPAPLYILDEVDAALDLSHTQNIGRMIKAHFPHSQFIVVSLKEGMFNNANVLFRTKFVDGVSTVQRTVTAKQNK >KGN58865 pep chromosome:ASM407v2:3:28045881:28048163:-1 gene:Csa_3G734180 transcript:KGN58865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSNIVMTPDRELRKSDRYIDNRPFGIQAQEGTHGRPRFQNTIFSHNFQEFDCLLPNPSPSNIASSSSIRTSSSNDASHEEDYLEDCDFSDAVLTFINQILMEEDMEDKTCMLQDSLDLQAAEKSFYEVLGKKYPPSPEVNRSLANQYTDSLNEELCGDSSNYLSNYSSTSYRGDDDPQTLSEDVFQIRSALGDTISPSSNSSSNSVISGGDGWVDFSNNTIQVPEPNNRSQSIWQFQKGFEEASKFLPGGNKLCLDFEVNGSATQGPDEGTSQIYLKAARKDQRNVFSPESRGRKNPHDENGDLEEERSSKQAAVFAESPLRSKMFDIVLLCSAGEGHERLVSFRQELHDAKIKSMLQSGQLKVSNGGRGRRKKQSAKKEVVDLRTLLISCAQAVAADDHRNASELLKQVRQHASPFGDGSQRLASCFADGLEARLAGTGSQIYKGLINKRTSAADVLKAYHLYLAACPFRKISNFTSNRTIMIAAESATRLHVIDFGILYGFQWPTLIQRLSWRKGGPPKLRITGIEFPQPGFRPAERVEETGRRLAAYAETFNVPFEYNAIAKKWESVTVEDLNIDQDEFLVVNCLYRAKNLLDESVSTESARNTVLKLVHKISPNLFISGIVNGAYNAPFFVTRFREALFHFSAIFDMLETVVPREDYERMLLEREIFGREALNVIACEGWERVERPETYKQWQFRIMRAGFVQLPFAPEIFERAVEKVRSSYHRDFLIDEDSRWLLQGWKGRIIYAISTWKPSVE >KGN59227 pep chromosome:ASM407v2:3:30375690:30376278:1 gene:Csa_3G782740 transcript:KGN59227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSPDSGGGDIGGFPYILGISVGILFLLTTLTITTYLCTRTTVPPPNRRLPQGGRRDFVVDFVQGLEDEVINGYPKILYSEAKLERKLESAATNGCSICLVDYKDDDVLRLLPDCGHLFHCGCIDPWLRLNPSCPVCRTSPVPTPISTPLAEVIPLAVGRS >KGN56986 pep chromosome:ASM407v2:3:9894405:9894722:1 gene:Csa_3G146710 transcript:KGN56986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLDNVGCQYGVRDRSQSRNDFETCHINLRSRGVWPPTLRSSFCQCSSFCHTYQFNCLLCLIRSAIRRLGLSQRTNSVLQWVSRHASSGGLGHVASYMSMAMPIT >KGN57540 pep chromosome:ASM407v2:3:14111152:14114449:-1 gene:Csa_3G207890 transcript:KGN57540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSFSAPFLSPPVASGRRGWRRVGVAPVTTAPSEVAVEESRLESRVEERDGYWVVKEKFREGINPQEKVKIEKEPMKLFMENGIEEVSKLSMEEIDASKLSKDDIDVRLKWLGLFHRRKHQYGRFMMRLKLPNGVTTSEQTRYLATVIRKYGKDGCADVTTRQNWQIRGVLLSDVPDILKGLSQVGLTSLQSGMDNVRNPVGNPLAGIDPEEIIDTRPYNNLLSQFITGNSLGNPAFTNLPRKWNVCVIGSHDLYEHPHINDLAYMPATKNGRMGFNVLVGGFFSAKRCAEAVPLDAWVPEEDIVPLCGAILEAFRDLGTRGNRQKCRMMWLIDELGIEGFRAEVVKRMPRQELERASSEDLVNKQWERRDYFGVHPQKQEGLSFVGIHIPVGRVQADDMDELARLADEYGTGELRLTVEQNIIIPNIQNSKLEALLKESLLQKFSPEPPILMKGLVACTGNQFCGQAIIETKARALKVTEEVQRRVTVTRPVRMHWTGCPNTCGQVQVADIGFMGCMARDENKKPVEGVDVFLGGRIGSDSHLGDVYKKAVPCKDLVPLVVDILVQHFGAVPREREEEEED >KGN57211 pep chromosome:ASM407v2:3:11417298:11418718:1 gene:Csa_3G171190 transcript:KGN57211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSSSSSIRVYRRRISLPAVAMAVTVVLLVFMVSICWSGSVGHMPSTTEEARDYQEMKSKAEEKDQTGETWTEWAKEKITGGLGLKSERQEDDEGGVKKVTDFTSDSAKKAKDKIQNVASGVGQYGAEKAEEVKGMAAEKAGEAKDKAAKLGTVAEKTTEAADKAKEKAQNAAKGTKEKVTSLKNKAEESSGEATEKTKEAANEARKKTEETAEEAKERASTGAREAEERAGEMKEKAKVKGKEAKERAEEEAGRAEEIAEKGKRWAKEGFEAAKEKAEEVVEAAKEKIGEQYEAAKKKSQRIKDDVVRSEVEDEL >KGN56948 pep chromosome:ASM407v2:3:9724640:9729952:1 gene:Csa_3G146340 transcript:KGN56948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNADFECPHRVAIPPKKPFLDSLASNLKETFFPDDPFKQFKNQPLPTQIFLWLKYFIPILNWAPHYTLDFFKADLVAGITIASLAVPQGISYANLASIPPIIGLYSSFVPPLIYAMLGSSKDIAVGTVAVASLLMSAMLGKEVNPVEHPKEYVQLVFTATFFAGVFQASLGFLRLGLIVDFLSHATIVGFMGGAATVVCLQQLKGITGLVHFTHETDIVSVMRSLFTQVHKWRWESIVLGCCFLFFLLLTRYLSKKKSIFFWISALAPLTSVILGSLLVYLTHAEKHGVQVIGSLKKGLNPPSASDLVFGSPHLAITIKTGIIIGIIGLAEGVAVGRSFAAFKNYHIDGNKEMIAFGMMNIIGSCTSCYLTAGPFSRTAVNFNAGCKTAVSNIVMAIALMITLLFLTPFFHYTPLVVLSAIIITAMLGLINYEEVIHLWKIDKFDFVVCLGAYIGVVFGSVETGLIVAITLSLLRVLLIMARPRTLVLGNIPNSTIYRSVDQYPTANRVPGILILQLEAPIYFANSNYLRERLSRWITDEEERIKSSGETSLQYIILDISGVSSIDSSGISMLEELKKTTERKGLKLVLCNPRSEVIKKLHEANFIEAIGQEWIYLTVGEAGTACNFMLHTCKPNHVAAELNSPV >KGN58087 pep chromosome:ASM407v2:3:21204631:21205267:-1 gene:Csa_3G497890 transcript:KGN58087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMRYWAVDIDEEHDHKVRPTITTYKYYNYTSVYIHHATINDLEYNTKYFYEIRSGDAMRRFFFTTPPMASPDAPYIFNIIGNLGETYDSNQMFVHYYSNSKGQAVLFVGDLSYADNHSFHDNRKWNQSGTLEDTLLIRSGSSDSSLNDCEEVADTVREVSLEYYSVVESESMIFSAFLTKIHLR >KGN56658 pep chromosome:ASM407v2:3:8052631:8052828:1 gene:Csa_3G127205 transcript:KGN56658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDRFCSSVIIQYLNDTFPNKPKKQYSGEPQLHSDAQVFAKTWRLKPLPLPISFESGKVEEVYYQ >KGN56866 pep chromosome:ASM407v2:3:9217516:9217917:-1 gene:Csa_3G135630 transcript:KGN56866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDESGGRGRGYGDDSTGSREIRYRGVRRRPWGKFAAEIRDSRRQGVRIWLGTFNTAEEAARAYDRAAYNMRGHLAILNFPNEYPLTRGGAYSSGSSSSSSMSMRQNEVIEFEYLDDKVLEDLLDYGEESDKRS >KGN58959 pep chromosome:ASM407v2:3:28563194:28564147:1 gene:Csa_3G739050 transcript:KGN58959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRRGGLGFGFGFHSKPNYIYPASDSLRCSESSTDNNLFEFDESDIWTSATTTAPTPPMESRKIFPISKKLPKRSGSAATAVEKAVKASSSLPVNIPDWSKILQKDQNKHGRRAVADEDFDDSDDGDDDDIRRAPPHEYLARRRGDSFSVHEGIGRTLKGRDLRMVRNAIWKKTGFED >KGN57625 pep chromosome:ASM407v2:3:15111058:15111554:-1 gene:Csa_3G232970 transcript:KGN57625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALDEKDIYKSQHEQIGGGANDGEIARRRQRLRRRKTAERLAEGEANDGEEVNVEELVEGDGCMRSEEVEGEVREKWS >KGN55596 pep chromosome:ASM407v2:3:153321:155741:1 gene:Csa_3G000690 transcript:KGN55596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLSLLEVALMPNLQVLLICLVGALLATDYCNLLPAHARTSLNKIVFTVFTPCLMFANLAKTVTFQDIVSWWFMPVNIGLTFLFGGILGWIVVKILKPKPYLEGLVIAASSSGNLGNLLLIIVPAICDEDGSPFGDRDTCTSLGLSYASFSMALGGFYLWTYTYQLVKTSSMRLKALEVEEAEEQLKAPNHASNGDLQAHLLNKQNGEQAHLLPVSVSSTTNTLLEQVESQHSVDSLEKGESPSIWAKTLEFMHSIIEELMAPPSLGAIVGFIFGAVAWLRNLVVGDNAPFKVIQDSVQLLGEGTIPCTTLILGGNLVQGLRSSKVKASTIIGVIGVRYVVLPLIGISVVKAANALGFLAPDPLYHFLLMVQYTTPPAMAIGTMTQLFGVGQEECSVIMLWTYLAAALSLALWSAVFMWILS >KGN58485 pep chromosome:ASM407v2:3:25627430:25632764:-1 gene:Csa_3G651710 transcript:KGN58485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTEEKTNYLSDEEIPLKSSPVAKNVLHSFDCETAAVRSSPDTRWWKTSNIRIRPLRSAYIVLVRAKINVLLPFGPLAILLHYLTGKHGWVFFFTLLGITPLAERLGYATEQLAFYTGPTVGGLLNATFGNATEMIISIYALKSGMIRVVQQSLLGSILSNMLLVLGCAFFTGGIIHHTKVQVFNKGAAVVNSGLLLMAVMGTTFPAVLHFTHTELHLGESALSLSRFSSCIMLIAYASYLFFQLKSQHDLYGPLDEEVDGEVEDDSEIYAWEAIGWLAILTVWVSVLSGYLVDAIQGASESLNLPVAFISVILLPIVGNAAEHASAIMFAMKDKLDITLGVAIGSSTQISMFVIPFCVVVGWCMGKPMDLNFKLFETATLFITVLVVAFMLQEGTSNYFKGLMLILCYLIVAASFFVHVDPSNDD >KGN57027 pep chromosome:ASM407v2:3:10129963:10130755:-1 gene:Csa_3G150060 transcript:KGN57027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGFGVFPLGSCFDGCRDHAQASGFGTRIWNLSDRPVELQIRVGSILKKVHSLKPGCSKRLKCKSIYKAYMPGRSMKSLLYYYDEACQPYVWIHESGGDSMRMVKQQYVSLEDLREFSEIRIFRDHQRGCISVRKKQRPDFC >KGN58092 pep chromosome:ASM407v2:3:21264489:21265459:-1 gene:Csa_3G501410 transcript:KGN58092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMYRIVKCCELEHTKYLDSPFRYDDGTSDHNLVIHQSAAKLSNDLLKLLVLLIHQNNHVRDTNVCNSNNPPSDFIEDQALKHKHTNLNSEITNAGYQILQNPQYCDLCWVTSNLKEGPSANVSKSQWQDWKFNPCVLHPTTPLNDDIHIQTEEKFGMVRGLVAIGLSAIRDVYTSVEQVCFDFETVLTALVEKVNAKVAKGLDRQYSGLISQVASLEDHVYCWAYELQRKLEQDSLKMGMPKHGTFAKIDTSSLPTTSTCSINCCRDCLNILHIVAKEIVRHEFGSKRFDWSNRKYT >KGN56279 pep chromosome:ASM407v2:3:5605429:5613079:1 gene:Csa_3G111250 transcript:KGN56279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELELIGEEEEEVKPCSVENLCRKEKRKALKKIKRKQLRKELALKRLQEEEVRLNDPEEQRRIQLMELEEEERSERERKLFEERERAWMEAMELKKKKMAEEEEEEQQRRALEEESTPREAENENEFNEEDGWEYIEGPAEIIWKGEEIILKKKLIKVPKKSVEPEKSFEDAHRPTSNPLPPQSEAFTDYKNTPISTKQVIEDVASQVPNFGTEQAFFLFFLCIFTICTYLFLLQINHIVRSILKLELVDLVNVVAEFTSTLKNRVHCLSRTCIMVQALLGSKTRGWRSVNDAHTIITYCENCVNLSWRVTVLLLSPFGVDNTKNKFFLFCSFPDEILDIYLFVNDQAHFARDSENGVKFTDEEVERCYEEFYDDVHTEFLKYGEIVNFKVCKNGSFHLRGNLYVHYKSVDSAVLAYNANNGRFYAGKQIICEFINVTRWKIAICGEFMKTRYKTCSHGTACNFIHCFRNPGGDYEWADSDKSPPRYWLKKMTSLFGYLDEYENETHSELEHWNKFGKSSKSMTIDVDSYRSRRSKSRSLDHGSRRSDNNENDYRWIRHSRREKVHEKQQSLDKEEYEKKKYDQQKKNRSNDTDSDESVDKFGERSKDRNRSREKEKYDRWRKNRSNDTDSDESVDEFEERSKDRDRSRRSSRRPRKQESNWKTDGRNVDRDDNKNAANETDEDWSSGDGDKDGHQHKRRKSNSRASETSRSTDVYPSNSVIKDRDTHEVSSERNKERRLTYGGKPKKHWDEVTKDSDDHLEKKSNLKTDYESKKPSAGKSSSHYFESSSRDVNTEDGYSIDSLHNDVLDERWEPSFSDRCIERFKPLDPDKEHCNEHSDKEGRWDPERSYDKFEKCRETASAMCCESGSSVSQIERSKSDVLGALNNPVHKVNKRNRRKLGSNNSYEHQKSRRKSSRHDDDQDFSDLKSEKTNSEDGYLPKSHDKEAQTWPRGGNH >KGN57330 pep chromosome:ASM407v2:3:12308838:12309450:-1 gene:Csa_3G179200 transcript:KGN57330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGVSVPRSRRPQSSSRPLIDVVSWYCAVGLLGLILIGSIRETSSSGDGRLLRGNLVTWRPCDEIYVVGEGETLHTISDKCGDPYIVERNPHIHDPDDVFPGLVIKIIASSTHINLPTSD >KGN60437 pep chromosome:ASM407v2:3:39332387:39351122:1 gene:Csa_3G910740 transcript:KGN60437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSIITVFGALRVFVKMLLMWNSKILVDGGRNTFVTASVLEVRNLVVLKQNSSISSNSNLGMYGQGLLHLIGEGDTIKGQRLSLSLFYNITVGTGSLLQAPLDEDESRSLVTKAMCDSEACPLDLLTPPDDCHFNYTLSFSLQICRVEDLIVNGIIKGSIIQIHRARTVIVNNTGMITASELGCDEGIGKGNYSNGAGSGAGHGGRGGSGYFNGWVSNGGEEYGNAALPCELGSGAEGPDHFDTPVAGGGMIVMGSIQWPLLTLKIFGSLTADGQSFLKVIGNDNSSMIGGHGGGSGGTILLFLQELELFRNSSITVIGGNGGSLGGGGGGGGRVHFHWSNIHVGDEYMPVASISGSINNSGGASNKGGSYGRKGTITGKECPKGLYGTFCEECPVGTYKDVEGSDANLCFPCSLDLLPSRANFIYRRGGVDRPFCPYRCISEKYRMPNCFTPLEELMYTFGGPWPFSVILSCFLVLLAILLSTLRVKFVGYGSYRDADSIESHSHRHFPHLLSLSEVRGTRAEETQSHVYRMYFMGPNTFREPWHLPYSPPNAIIEIVYEDAFNRFIDEINSVAAYHWWEGSVHSILCILAYPCAWTWKQWRRRHKIHRLQDYVKSEYDHSCLRSCRSRALYKGMKVGATPDLMVAYIDFFLGGDEKRLDIVSIIEKRYPMCIIFGGDGSYMTPYNLHSDALLTNLIGQHVPATVWNRLVAGMNAQLRIVRNRSIRSSLIPVIDWINSHANPQLEFHGVKIEVGWFQATASGYYQLGVLVVAFGDYSSHQLEKSDVLYEHTNEPSREDATSETESLTQFDQSWQSLSLKRVTGVVNGGLVNKANVRFLHYRWDFLYPLSLLLRNRKPIGHLDTVQLFITIVLLADISITLLMLLQFYWISLVAFLLVLLVLPLSLLSPFPAGLNALFSKEPRRASLARIYALWNATSFVNIGVAFVYAIFLYVLSTFQPPNETNMWSNRRETEKWWIMPVILVIFKSTQAQLVDWHIANLEMKDISLFCPDPDAFWAAEFA >KGN59188 pep chromosome:ASM407v2:3:30116457:30118303:1 gene:Csa_3G778440 transcript:KGN59188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSPLSFFYLLLFSSLSAIAHSNPITLPLNSFPHLSSPDPLQALTFLASSSQTRAHQIKTPKSNSVFKSPLSPHSYGAYSTPLSFGTPQQTLHLIFDTGSSLVWFPCTSRYLCSECSFPKIDPTGIPRFVPKLSSSSKLVGCQNPKCSWIFGPDVKSQCRSCNPKTENCTQTCPAYVVQYGSGSTAGLLLSETLDFPDKKIPNFVVGCSFLSIHQPSGIAGFGRGSESLPSQMGLKKFAYCLASRKFDDSPHSGQLILDSTGVKSSGLTYTPFRQNPSVSNNAYKEYYYLNIRKIIVGNQAVKVPYKFLVPGPDGNGGSIIDSGSTFTFMDKPVLEVVAREFEKQLANWTRATDVETLTGLRPCFDISKEKSVKFPELIFQFKGGAKWALPLNNYFALVSSSGVACLTVVTHQMEDGGGGGGGPSVILGAFQQQNFYVEYDLVNQRLGFRQQTCS >KGN58127 pep chromosome:ASM407v2:3:21656949:21659113:1 gene:Csa_3G535110 transcript:KGN58127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSIFALGFSQSLQFPHSHSHFHSNSRISVQKPHCSSHGSKKPRISLSLRTTWPSISISLFASGFLLGPLLDGLHSRVNLVVYRTGSIHIGPLHTNIWVPFLLGLFYCTVGLIQLYLDEKFSLKQSQGSLGKTVASLIALGLFIELSAEMYKAGVADNIEAYALFAGAEFIWALLDSSLLGFSLACVLGLGCPLAEIPIMKFFHLWEYPKANIDIFGEGIISWTVTCYFVYTPFLINLSRWLKSVVDAAAVNEDESG >KGN57916 pep chromosome:ASM407v2:3:19055090:19055651:-1 gene:Csa_3G389860 transcript:KGN57916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYRAIQCFLLLICSSLILIYNFFRYEMASNRWVEESRVPRKTSSNSSYGLVALNGELYVISFLKTESAEARRLRHPTKGGSMYMQIYNPKKKTWRSLATWSPFNHSLDLDTAVICTVRL >KGN58449 pep chromosome:ASM407v2:3:25306479:25306877:1 gene:Csa_3G645870 transcript:KGN58449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFQNQIRIPQTVGLIRDLGLFYIREYLDRNYMNILSFKFLAFLSTTFLNSIAISHTQAKYLYCFLMADLSLAICVPSIIMGISFLRLLSKELLLAISILITPWVVF >KGN58547 pep chromosome:ASM407v2:3:26122294:26123016:-1 gene:Csa_3G680630 transcript:KGN58547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSSENIPPSKSPLHFLFSCFRSSSKLPTKKASSIAPRIRRTSSRTFRFKKSSATVPVDVADPSHDELSFLPEFKKSPPLSFIMPKAPQVGGEIVVDSSLKPSQTRKKPHSTQSNFTTKKLSPTRKTAALLHSVSLPSQQLTRQKYFKKPVSGHLPAAVATTSPAFSDGNKPTPKLPTYYPVKNFDRVIMVVIVAIVMLWGRLCAILCTTALFYLGRRMRSEIEPDGGGSGRGRLSGNS >KGN58382 pep chromosome:ASM407v2:3:24643014:24645993:-1 gene:Csa_3G634340 transcript:KGN58382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKFNPYIQHTPSSSSSPQPPKRDRMWDALGRCGKILEGYGKMAGEAAENVWHHIKVSPSIGDVAKARFVQGTKLLAEGGPERLFHHTFGVIPEEKYLHSYACYLATPTGPVNGTLYITTKRLAFCSESPLYCSSSPPGQSEWLYYKVVIELNRVANLRPSPNLLDPSEKDIHLVTKDGHEFWFLGFLSFSRALKNLNEALKGSSS >KGN59610 pep chromosome:ASM407v2:3:33061564:33061996:1 gene:Csa_3G828990 transcript:KGN59610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKPPCCDKSNVKRGLWTAEEDAKILAYVSNHGVGNWTLVPKKAGLNRCGKSCRLRWTNYLRPDLRHDSFTPQEEDLIIKLHQAIGSRSIPYSLPLISSSFEDTRLTS >KGN58312 pep chromosome:ASM407v2:3:23781370:23781833:1 gene:Csa_3G611370 transcript:KGN58312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDLFALFFSDKGSSKWIKVHERRTLHDVLKEPNYIIPGIPVFYVVSRNSKFYKDFKAGRWVPPS >KGN59770 pep chromosome:ASM407v2:3:34165958:34168950:-1 gene:Csa_3G844880 transcript:KGN59770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFCPTCGNMLQFELPNMGKSSRFFCPTCPYVSYLENRVKIKRKQHLVKKELEPIISDDDMKNAAQTEATCPNCAFGKAAFIQIQLRSADEPATTFYKCMNENCRQNWRED >KGN56182 pep chromosome:ASM407v2:3:4720296:4721338:1 gene:Csa_3G094500 transcript:KGN56182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFLFEFVSCCVPVNMSRIDNPEETEAAGSKEMRSLPPITTRKHRRRKRSALLPSAAAKLAADWKPSLGAISEDKVVLVVMEREKSDEQTTELERSVKRKSRSRDGESRGVHGRNNSNDFSLRQSPSAIPVVIPAFSPAPFLF >KGN57629 pep chromosome:ASM407v2:3:15132790:15133433:-1 gene:Csa_3G233990 transcript:KGN57629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLGGMICCVTGLSGALETLCGQAFGRKFYGKMELYLQGSCILTFFCSIILSVLWIYTEQILVLLYQEPEISRISAMYMKFLIPKLFAYGLLQNIMRFLQTQFVVMPLVLFSTVPMLIHIPIAYGLVH >KGN56629 pep chromosome:ASM407v2:3:7890722:7893529:1 gene:Csa_3G126930 transcript:KGN56629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFRAIYESIGCHMILSKKIFPTLDDLSCWPMNQILKFSRTLPLPSVSLLKATLIIGDSGIVHGQFLTSFKEIFSYEPKDNSNSEDIISSTKSIN >KGN59295 pep chromosome:ASM407v2:3:30851317:30857111:-1 gene:Csa_3G806270 transcript:KGN59295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTPGSFNHHTSSNDSQNSWNPDTGFGANDRRFAFSRQASSKQWQDLPPHTPFSINSDDSAKPLLSRNMSSINIPPGGYFASESNKFFSVKDNSGDKLSVLSLAFSILENVRSGNRYMKRLFLMISLNVLYSTAELAIGLLTGRIGLVSDAFHLTFGCGLLTFSLFAISASRSKPNHVYTYGYKRLEVLAAFTNALFLLFLSFSLAVEALHAFIQDESEHKHYLIVSAVTNLLVNLIGVWFFRNYARINLVYRKAEDMNYHSICLHVLADSIRSAGLILASWFLSLGVQNAEVLCLGLVSITVFMIVMPLFKATSGVLLQMAPPSIPTSALSKCWRQITSREDIVEITQARFWELVPGQVIGSLSLTTKKGTDSRQALEFAHDMYHELGIQDLTVQTEDA >KGN56863 pep chromosome:ASM407v2:3:9190999:9194037:-1 gene:Csa_3G135110 transcript:KGN56863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEFTRLARPTKQGPQENGPNYSSITIIKKERKELFGSCGFANLAEILWRGAGCVVKTETRHRGGDHGRNSKMESATLNRIINRLLEIGSRSGKQLLLSETEITQLCLASREIFLRQPNLLEIDAPIYICGDIHGQFSDLLRLLEFGGFPPHSNYLFLGDYVDRGKQSIETICLLLAYKIKYPENFFLLRGNHECASVNRIYGFYDECKRRFNVKLWKIFTDCFNCLPAAALIDEKILCMHGGLSPHLDRLDQIRNLKRPADVPESGLLCDLLWSDPSVNTRGWGPNERGVSYTFGADRVSEFLRKHDLDLICRAHQVVEDGYEFFANRQLVTLFSAPNYCGEFDNAAAMMHVDSNLMCSFQILKPPEKKPKFGFGSISSGRYGGPKKVKSFLGSIG >KGN57994 pep chromosome:ASM407v2:3:20062960:20063205:1 gene:Csa_3G423820 transcript:KGN57994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPPASPPPSQLRHAQLFASTSPSRNLHISSGVSALQRLLLCQSSLASPSPSQIRFVRTQDPSVLSKPETVRPVRSVCLRS >KGN56862 pep chromosome:ASM407v2:3:9183997:9186360:-1 gene:Csa_3G135100 transcript:KGN56862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQELGVLNALDVAKTQWYHFTAIIIAGMGFFTDAYDLFCIAVVSKLIGRIYYYTPGSSRPGSLPPNVSAAVSGVALCGTLAGQLFFGWLGDKMGRKKVYGITLILMVVCSFGSGLSFGHSAKATMTTLCLFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILAGGIVALIVSAAFNNRFSAPSYEIDPVGSTVPESDYVWRVVLMFGAIPAGMTYYWRMKMPETARYTALVAKNAKQAAADMSKVLQVVVEAEEEKLEKILNTNKNNFGLFSRQFLKRHGLHLVGTTTTWFLLDIAFYSQNLFQKDIFTAIGWLPPAKTMSALEECFRIARAQTLIALCGTVPGYWFTVALIDIIGRFTIQLIGFIMMTIFMFAIAFPYNHWILKENRIGFLVMYSLTFFFANFGPNSTTFIVPAEIFPARLRSTCHGISAAAGKAGAIIGAFGFLYAAQNPDRTKTDLGYPPGIGVKNSLIALGCINFIGSVLTLLVPEPKGKSLEELTGENEEPEVEAVGQQQV >KGN59603 pep chromosome:ASM407v2:3:33031391:33033092:1 gene:Csa_3G828430 transcript:KGN59603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQLAMGHLWNNIGSLMATAMFVWAIIQQYFPYHLRAYIERYAYKFLGFLNPYITIIFPEYTGQRLRKSEAFTAIQNYLSSRTSIRAKRLKAEAVKDSKSLVLSMDDNEEVIDEFQGVKIWWTSSKTVPKTKNISYFPASDERRSYKLTFHRRHRETILDSFINHIMEEGKTVELKNRQRKLYMNNSSTNWWDKSSWRHVPFEHPANFRTLAMDPKKKQEIVNDLVKFKKGKEYYEKVGKAWKRGYLLYGPPGTGKSTMIAAMANFMEYDVYDLELTSVKDNTELKKLLIEISNKSIIVIEDIDCSLDLTGQRKKKKKTEEEGDEAKEIEKKAKEEEKKESKVTLSGLLNFIDGIWSACGGERLIIFTTNHKEKLDEALIRRGRMDKHIEMSYCGFEAFKVLAMNYLDVEWDDSYDKIKEMLEEIEMAPADVAENLMPKYEGEETGECFKRLIKGLEDAKVAAEKKKAEEEAEAAKMADKEKEKTQTENGVATREVKENGYVEKKQNN >KGN56060 pep chromosome:ASM407v2:3:3609649:3610911:-1 gene:Csa_3G063110 transcript:KGN56060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKALELLLEEASAVSAPGGFPDHPHRGFETVTYMLQGAMIHEDFEGHKGRIEVGDLQWMTAGKGIVHSEIPSSIGTQRGLQLWINLSSKHKMIEPRYQEIHNENIVEATREGVKVRVIAGEALGVKSPIYTKTPTMYLDFTLVPGSRIEQPIPTGWNAFVYVLEGDGGVFGSMKLMPTVTPHHLLLLGNGDGLEVWNKSSTKTLRFILVGGEPLNESVVQLGPFVMNTQEEIDQTVEDFENCTNGFERARHWKSS >KGN56083 pep chromosome:ASM407v2:3:3802195:3808435:1 gene:Csa_3G067770 transcript:KGN56083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVAAAAGGVSIGVTNLQLHHLKQPNSPFLGKKLRFKHKPPHLWTVSVQNPRNVLVSALGADMIHMVHNLFVGVGVGLPCTVMECGDIIYRSTLPKSNGLTLTIPGAILALGTLSYLWATPGVAPGFFDMFILAFVERIFRPTFRKDDFVLGKKLGEGAFGVVYRVSLAKNPSDKKEGDLVLKKATEYGAVEIWMNERVRRACANSCADFIYGFLENSSKKGDGEYWLIWKFEGEATLADLMQSKEFPYNVERMILGEGQQLPKGLERENRIIQTIMRQLLFALDSLHSTGIVHRDIKPQNVIFSEGSRTFKIIDLGAAADLRVGINYIPKEFLLDPRYAAPEQYIMSTQTPSAPSAPVATALSPVLWQMNLPDRFDIYSAGLIFLQMAFPAMRTDSGLIQFNRQLKRCDYDLVAWRKTVESRASSDLRKGFELLDLDEGIGWELLTSMVRYKARQRTSAKAALAHPYFNREGLLALSLVQKLRLQFFRATQQDYTEAANWVIQLMAKSGTEQGGGFTEAQLLELKEMEPKKKANVERNALASILKLQRKILKTLNESMDELSRRGKSLWWSRWIPREE >KGN57662 pep chromosome:ASM407v2:3:15369322:15372168:-1 gene:Csa_3G239260 transcript:KGN57662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDEKEVSNSLTFISEEKIDSLSPMYFGVSCAFFALRLLSTSDCKDEKWSEVREKMLQGSAQLLGLLIWSAQREVDRQKPNLHHKLEAAEREIGELKRIRHEDAKANEKVVCIFAAQEQRWLIERRKLRQHIGGLMNDARLLEKKEGVISELNEKLKEMEMTLESKEKQLEEEIRKGSDLEERLSKAENVVEELRETAKREAQEHSSELWKHKTAFIELVSNQRQLEAEMARAVRQVEASKGELDSVLEQKEESVMLVQKLSAEIVKMRKDLEQKDKILSAMLRKSKLDTAQKQMLLKEVKLSKARRKQAELEAERWKTISESRHERQSLRSMLSNQANSGNDVPTSAEDKHSNTSAFSNTGKTVSKPTDIYIDYNHSESIESKNFPPLAESECLSPERNGDSGRMIDVKQMEELVCSEAEKYVLILQQRHDLEIDAFAEQMGVKDEKLEVFHWQMLNLELESKRLQSHLSGQNQEILQLRHENMKLKALSMEREEELASLKDQLASQFKAQRYQSPKWVPDENNGTWSDVKIIKIKPGEEEQQRNKDSVGTIREDAVEREETAPSNHVEDRNPSIQSPGTEFEDEKEIPCHSPIQEASPNSPQGVDNAEPLASIGQQFGRTYSAQWRMDIHALGVSYKIKRLKQQFLLLERLVGKQETARNSENEDNGQVGIRDFLLFLTLLNKQVGRYNSLQEKTDELCQRMHDYEASVKSGESKVVRTKGKTKALENFLEQTFQLQRYVVLTGQKWMEIQSKISLEFAKVADELQKSGSFDVMRFASSVRTLLQEVQRGLEVRITRIIGDLEGTLACEGMICLSR >KGN56109 pep chromosome:ASM407v2:3:3969733:3970379:1 gene:Csa_3G074480 transcript:KGN56109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNKFKKSEIVVLLSLTILLVITPLLSSSLRPTYLYFIFNLLIIALGVQAGLLNDPPPDHQDKTNKLSLTSPETVASSTETSALKKHRALEKAHSDKISSGNVKMESLKKCPSASSIFYIGEGDSEAEETTGTIEDGEEEVVVGGGGNNNGQELFAQAETFIGNFYKQLKMQKEESWKNIHGFYQKRF >KGN57510 pep chromosome:ASM407v2:3:13836761:13838050:1 gene:Csa_3G200700 transcript:KGN57510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFILTTLLLLSHFLYVCSTRCPPPPLPPPPPPPPECPPPESPPPLPPPPETCECQQDLRFLDQRLAVVYPIIQTFKALITSDPLGVTQTWVGSDICKYQGFYCDHPPDNKSAVTIAAIDFNGFQLTASTLDGFIDGLPDLAIFHANSNNFFGTITPKIASLPYLYELDLSNNQLSGTFPVAILSVTDLSFFDIRFNFFTGFVPQQLFVKSFDFLFLNNNNFGMKLPINFGSTTTPYITLANNKFTGPIPRSIGKASANLTEILLLNNQLTGCIPYEVGFLKKAIVFDAGENLLTGPLPCSLSCLEKIEQLNFAGNFLYGQVPEVVCDLGNLLNLSLSDNYFTKIGPSCRKLVRNGVLDLRKNCIRGLPDQRSPLDCFFSYLFQYPCPFPATYGFVPCQKSKSIHSFGTGQQKRSYVPIANGGNSTQKP >KGN56136 pep chromosome:ASM407v2:3:4195097:4195667:-1 gene:Csa_3G077700 transcript:KGN56136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLHHHPNKGGRSLEVIDVRKLSVNKVYLNQSQRRTVVDPSFVKQYVTKTSEPSKSCMKKPWWKKPEMRRRQRVAKYKLYGVEGKVKRSIKKGIRWIKTKCSRIISGF >KGN57992 pep chromosome:ASM407v2:3:20043036:20044595:-1 gene:Csa_3G423800 transcript:KGN57992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLGFAFGAVVCVMMFLQKGEGTQFIVGGAKGWSVSMAQTYNQWAEANRFQIGDSLVFNYDGGQDSVLQVTQDDYTNCNIQSPIKQYSGGHSVFQFDKSGPYYFISGNKDNCLRNEKLVVIVLADRSNSNSNQTTTSPISAPSPSPSPSPPNSTEPTPSPAPANDQTGAPSPPPSGSTEINPSTPPAEEINPSPPTTGVESPSPSAGTVEINPAPPVSGPPPSVGYSIISGSFGSIGAFVAAVFLSF >KGN56938 pep chromosome:ASM407v2:3:9653423:9656982:1 gene:Csa_3G145750 transcript:KGN56938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVPTTRDNMAFGRVLKCGESSKNTPPITSAFEMEAISKHIISLPKTVLRLRHLQDNQRCLQHSSELEICLRYRFPPLCTNYSAIYSLHYLSSSVTVMLLRAEEQSQQLQLVEREDIEDEDDLFEAIDKLTSHGINAGDVKKLQDAGIYTCNGLMMHTKKHLTGIKGLSEAKVDKICEAAEKLVNFGYITGSDALLKRKSVVRITTGSQALDELLGGGIETLAITEAFGEFRSGKTQLAHTLCVSTQLPTSMRGGNGKVAYIDTEGTFRPDRIVPIAERFGMDPGAVLDNIIYARAYTYEHQHNLLLGLAAKMSEEPFKLLIVDSIIALFRVDFTGRGELAERQQKLAQMLSRLTKIAEEFNVAVYMTNQVVADPGGGVFVSDPKKPAGGHVLAHAATVRLMFRKGKGEQRICKVFDAPNLPESEAISLTHITSHLTLPFSRFLKSSNYDLNRTARISDNTRGHCRCEGLRSHMILEY >KGN59475 pep chromosome:ASM407v2:3:32174159:32174753:-1 gene:Csa_3G822270 transcript:KGN59475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYTFGCLSPATIQSPAVTFRYPRSASATSSSLISINKLHSHFFQLAPSLLFVAPPVSSSLSLSLISLAFLSFLLGEPLSVFHFLKYCRVDLVGFLFSWKTCVPRSDVF >KGN55745 pep chromosome:ASM407v2:3:1079824:1082406:-1 gene:Csa_3G009510 transcript:KGN55745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPDDEDNRWPPWLKPLLRESFFVQCKHHIDSHKSECNMYCLDCMNGALCSLCLNFHKDHRAIQIRRSSYHDVIRVSEIQKVLDISGVQTYIINSARVVFLNERPQPRPGKGVTNTCEVCERSLLDSFRFCSLGCKIVGTSRSYQKKRVAMAASDSEDSYSSSSNHRRSKSNNSNNNSNKIQSFSPSTPPPTSVNYRTAKRRKGIPHRAPMGGLVLEY >KGN60310 pep chromosome:ASM407v2:3:38468597:38470789:-1 gene:Csa_3G895070 transcript:KGN60310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCQRSSPLLVITLAVLHDYNHRKTIHLLLRCATQLSMRQLFEIQAQIIASPIPSIDPNIIAVKFIGVSSSHGNLRHSVLIFNHFLSFPNIFAYNALLKAFSQHNAWHTTISYFNNQLVLPNAPNPDEYTFTSVLKACAGLAQVLEGQKVHCFVTKYGCESNLFVRNSLVDLYFKVGCNCIAQKLFDEMVVRDVVSWNTLISGYCFSGMVDKARMVFDGMMEKNLVSWSTMISGYARVGNLEEARQLFENMPMRNVVSWNAMIAGYAQNEKYADAIELFRQMQHEGGLAPNDVTLVSVLSACAHLGALDLGKWIHRFIRRNKIEVGLFLGNALADMYAKCGCVLEAKGVFHEMHERDVISWSIIIMGLAMYGYANEAFNFFAEMIEDGLEPNDISFMGLLTACTHAGLVDKGLEYFDMMPQVYGITPKIEHYGCVVDLLSRAGRLDQAESLINSMPMQPNVIVWGALLGGCRIYKDAERGERVVWRILELDSNHSGSLVYLANVYASMGRLDDAASCRLRMRDNKSMKTPGCSWIEINNSVYEFFMGDSSHPQSLRIYSMIRELKWKMKVAGYKPKTDLVIHNIDEEEKEDALSTHSEKLALAFGLINTSEGTTIRIVKNLRVCNDCHDAIKIISKIVEREIVVRDRSRFHHFKDGKCSCNDYW >KGN57168 pep chromosome:ASM407v2:3:11081405:11082250:1 gene:Csa_3G166330 transcript:KGN57168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSDRLTFTNFLLLSIIALYFSNGFPNLFNPQPNQTNLVVYVHDYFTGEDASAITVGGRKGPESSVLEFGTQMVVDDLVTEGPKIDSREIGRAQGMYINSQSDGKGLYMVFSVIFSGGEFRGSSLEIQGPDLFTMKEREFGVVSGTGFFRFVKGFGIMQTESMDLVHLRAVIKLNITVNHY >KGN56193 pep chromosome:ASM407v2:3:4859424:4865966:1 gene:Csa_3G098550 transcript:KGN56193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEEEDEENFGSKELGPKTYCVTGATGFIGSWLVNLLLLNGYKVHATARDPAKSLKLLSSWTVTDRLRLFKADLQEEGSFDEAVKGCDGVFHVAASMTFNVDQQDNIEEYVQKNVIDPEIKGTINLLKSCLKSKSVKRVVLTSTISTLTGKDADGERRRLVDESCRTLVDQVYSLLKRLSEDAAFKFASENSIDIVSIITSTVSGPFLTSYIPSSIRVFTAPITGDSDFLRILSNVNERMGSVAVVHTNDICRAHIFLMEHENAKGRYLCCVESCGLSELVERLSRHCGANFQRCVDEKKNWMPSEVSNKKLKDLGFRFEHGIDDIINETIDACVECGFISLT >KGN59857 pep chromosome:ASM407v2:3:34997873:34998205:1 gene:Csa_3G850615 transcript:KGN59857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACKLWQRIRNIPNSEASIRTYKSWPPLITTLISRRSFNQMAHPLPNPLISVFANAIQEQFLKHFSLHSLKLMLSLTHEYVVSGNFIPGNFANPTSSQQFRCLVLTHPSV >KGN57310 pep chromosome:ASM407v2:3:12123887:12124234:-1 gene:Csa_3G178515 transcript:KGN57310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGNSTMWTSLMDSCIWVLGGMKCRAGGRQVCSLCPEDLRVLAMKLILSLSKISIKVAFSRKVEKGTLKKLGGLRHEKSTKYTELGEARLKMMRTTRKDSGKIWKRGCHACEKYR >KGN57423 pep chromosome:ASM407v2:3:12938593:12941106:1 gene:Csa_3G184050 transcript:KGN57423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIIFTSMTHSLAQATLSSFLASYRMLTLVYTFPVTSKRIESVNFSWCPSSSVVCAAKGPRPRYPRVWKTKKRIGTISKAAKLVDCVKGLSNVKEEVYGALDSFIAWELEFPLITVKKALKTLENQREWKRIIQLTKWMLSKGQGRTMGSYFTLLNALAEDGRLDEAEELWNKLFSQHLESIPRIFFHKMISLYYDQAMHDKLFEVFADMEELGVQPNMAIVTKVGNVFQELGMLDKYKKLMKKYPPPKWEYRYIKGKRVKIRAKYLSENGNSNNGLSEHAKMEHSSTNSIDEAEITSEDSSLEDDEDMSEDPDEILEDEHMWSKSNFEHDFMGLGQL >KGN59868 pep chromosome:ASM407v2:3:35098278:35105047:1 gene:Csa_3G851690 transcript:KGN59868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNDDVLILITFCSYRTKLAHGLLSGKYSIPSPEKFDKLNSSSSAIDAKQEGIPPRMFKAVIAASHPEFSTMRQQDALEFFLHFLDQVERQNSGSFGSDPARSFKFGIEDRIVCSSGKVAYNRRHDYILSLNIPLHEATNKDEVEAFQKLKAQRISEGKELGTDEIVRPRVPLEACLASFSSPEEIHDFYSTALQTKTTAIKTARLTSFPDYLVLHMRKFIMGEGWVPKKLDVYIDVPDIIDLSQMRSKGPQAGEELLPEAGPEDDFQSNRPTANDDIVAQLVSMGFNHLHCEKAAINTSNAGVEEAMNWLLSHMDDPDINDPISQKADFVVDQTKVDMLLQFGFQEAIAKKALKASGGDIEKATDWIFNNPEASISSEPDFLDATTSSTPTNLDTGLPDGGERYKLMGIISHIGTSTQCGHYVAHIFKDGRWVIFNDDKVGVSVNPPKDMGYLYFFERLKE >KGN56489 pep chromosome:ASM407v2:3:7095422:7102311:-1 gene:Csa_3G121650 transcript:KGN56489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKKDDSNSHDETSAVKHVLRKKPKFSYTRDFLLSLSDLDVCKKLPSSFDKSIIAEFEEASYDRQRVSGALSLNSFRRNEYGSSPPSKAEPSNYSRRIHGKREVHSSGRSDKDSDSQSDRDSVDSGWRYGDQSRRSSQGPEHDGLLGSGSFPRPSGFATAFSAPKVRGNDQYQLNRSNEPYHPPRPYKAAAHQRGNANDSYNHETFGSSEFTSEDRVEEEKKRRASFESMRKEQHKAFQESHKSNPVKQKDEFAILMEMDESKDDEKLLKTSSGFDESISIQTSKNDREKSFTSQSTVSRPLVPPGFATTVLEKNFATRSSVNPHLLEGKDDVDKCLQTKEEQMHNGIVENLEGKGSSEQMDRTEQYGKSSINASTNNTGEKIIDLFSAVDSSNKTTGIDIQSHKKSLEVFEASEKSAAVDFKTEKLPANTAIGEPSQVHSSSILEKLFGSAIKLDGGAPNFIEQHDNEMDDACSPQNSQSSKFARWFVDNDRKQEDNLSPKRSIDLLTMIVGGEKGGYDVSDVEHSEQSLPTVAFHGYESTENYITSSATSSNVAKPEPFYNKSKPEAVSAILTCEAVEQTLLSTVSGNDSALQPADQTCIHSVADVKHPSVKSDDHASHHLLSLLQKGSSPLVSEYGDDGAYMSTAFHNNKEESTHNVSNPGKTLTLETLFGSAFMKELQSVGAPVSAQRGSSGSVKSDASESHGPTPDDGLLSNNEIRSSMINHDHGDQRQQNQPDIVRGHWLNLNGPRPESESSHPLAKLGHRIGGPAEMPFPEEDSLIISDSMNFQNLISMGNSAKPQPPFSHNTQDNNAAMLNPAFKDERQSMGGLDGLPFSANAYDRRETEMPHRKAPVHSSFSQLHPPQTNNIKLFHQFESHPPNMNSQGDVMLAEGIVHHDSPSNHQFIANMLRPPTSGLSGFDHSIHHPMMQQMQTSVNLPPQHLLQGLSRGVAPPMASRTLPLHHHSIRASAAPPQPNHQVTSLVDELNSMQGFHIGQRVPNIVGPRISSPAPGNQPDAIQRLIQMGHRSNSKQINHLSAGGGHGQGIYGHELNMGYGYR >KGN56712 pep chromosome:ASM407v2:3:8360078:8364043:1 gene:Csa_3G129690 transcript:KGN56712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRCFPFPPPGYEKKARIEDLDLLKKDKHKKKRKKENRDHKEKKKSRSRHKRDKEKDRVKDKAKTLDESKLPDKIQCHNRQFLFQKDLEDKDNCRLTEKRYAGQSAGYSDGKFSCGSHLPEKFSVSEIALELPKRNKDEDVDSGFRNLSGEKLFACLPEKDDWMDKTMAKVTQNFNEGKTKNKNKDSREAKYGDLGIQEESKFRKRGTIQPMVKDGVDSVEKNADAKTEEKKWKGNGRDEKSKDKQKDKDKEGKGKDKERDKKKKKKEKIEQKFGNLKKSKERYEGDVTSPDSIITSQLLRDSHGSVASIGSLMKRKEFENNGIFAKDHMSSNSTKFTSSSDLPRVNHKMLKSCQSSAPTASNWQVQSKPPHPDLECLSQVYLVPKMEEWSDFCDQDWLYSSSASKLKKLNAHRYEMETTTPYVWAEAMQIDSIDICALPYVVPY >KGN56984 pep chromosome:ASM407v2:3:9892318:9892777:1 gene:Csa_3G146690 transcript:KGN56984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISEAWMSRLQWSPMTYPFILTSKAYLRQCFCAKAAGLEQIEGLDSESGFGLLPFRGYST >KGN57249 pep chromosome:ASM407v2:3:11675315:11679833:-1 gene:Csa_3G174030 transcript:KGN57249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELEGVDDLFLHLVFAKLGPKDSAIAACVSRQFRSSASEDSLWKKFCNQDLNLTDPVDHLGNPIPSFKETYQVWRRAFGMYPWPLVKRVKRCWDRLKNWLSTNFPEALRTLRDGASEADIEELENVLKVKLPLPTRILYRFHNGQELKGGYVDSIRGFPLGLIGGYTFYGETVNVYLLPLRQVVFETKSIIRDVGFSRKSKFIVVASSSTFTEKVFFLNCASGQLFVGTAKLRDDGEMIPCVPGALINSVHECNTEQQQDAMLLWLEEHVRRLENGIIKLREIKNIRSISLFPEEPPLCSTAVTNGVRVRASAIFLPESTDILDSSGNHQFAYSIRMSLQDEGCIINGMIFNSCQLHLRHWKVRANDHVISIVDGEAVIGKFPLLKPGEEFVYESCSSLYSSVGSLEGSFTFVPGSLAYPEGSPFEVQVARFPLQVPTYIF >KGN60000 pep chromosome:ASM407v2:3:36123355:36124994:1 gene:Csa_3G865350 transcript:KGN60000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPQLIPSSSSSSLSHKPILNPNSISSSLIPSPRFFSPASSSSAASLFRHRHHKPNSLKCSASSFPEKHHTGSPKSDDVVELPLFPLPLVLFPGAILPLQIFEFRYRMMMHTVLQTDLRFGVIYTDPVSGTTDVGCVGEIVKHERLVDDRFFLICKGQERFRVTNLVRTKPYLVAEVTWLEDRPSGNGEEDLDTLANEVESYMKDVIRLSNKLSGKPEKEVQDLRRNLFPTPFSFFVGSTFEGAPREQQALLELEDTAARLKREKETLRNTLNYLTAASAVKDVFPSNS >KGN58488 pep chromosome:ASM407v2:3:25642475:25642809:-1 gene:Csa_3G651730 transcript:KGN58488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIKMRKKEGKMRKKKKRMTFCDDNFQCHLKIVGSYPTTVDAFNKASTVPFCHASEDIASPDTPMNASGDVLSSDGVKMASEDPKFLAVKIQSKR >KGN56742 pep chromosome:ASM407v2:3:8535657:8538526:1 gene:Csa_3G131950 transcript:KGN56742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGEKVFTLKEVAEHNNHKDCWLIISGKVYDVTKFLEDHPGGDDVLLSATGKDATDDFEDVGHSDNAREMMDQYYVGEIDSSTIPKKVAYTPPKQPHYNQDKTSEFIIKLLQFLVPLAILGLAVAIRFYTKQA >KGN60296 pep chromosome:ASM407v2:3:38380496:38383680:1 gene:Csa_3G893950 transcript:KGN60296 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor protein kinase CLAVATA1 MSSLHFLSFLTLFSLFFLPGIKSIDDQRQILTKFKSSLHTSNSNVFHNWTLQNPICTFSGIACNSHGFVTQIDLSQQALSGVVPFDSLCQLPALEKLALRSNSLSGEITNSLNNCVKLKYLDLSGNSFSTSFPSIHSLSELEFLYLNLSGISGKFPWESIGNLKDLIVLSVGDNSFDSTTFPLEVTNLKKLNWLYMSNCSLTGEIPRSIGNLTELLNLEFSDNSITGTIPVEIGNLNKLRQLELYNNQLTGTLPVGLRNLTGLKNFDASLNYIHGDLSELRYLTNLVSLQMFENQISGQIPVEFGEFKSLVNLSLYKNKLTGPIPQSIGSWTEFDYIDVSENFLTGSIPPDMCKKGTMKKLLVLQNNLTGEIPATYGSCSTLTRFRVSQNLLTGVVPSGIWGLPNVNIIDLDSNKLEGSITSDIGKAVALSELYVGNNRFSGRLPLEISQAKSLASVDLSNNQFSDELPATIGDLKKLDSFELQGNKLSGSIPESIGLCKSLSIINLAQNYLSGHIPSSLGLLPVLNSLNLSNNHLSGEIPSTFSHLKLSSLDLSNNELTGPVPETLSNGAYKESFAGNPGLCSVADNFIQRCAQSSGPSKDVRVLVIAFAIGLILLSFTLWCFINLRKSGNDRDRSLKEESWDLKSFHVMTFTEEEILDSIKDENLIGKGGSGNVYKVTVGNGKEFAVKHIWNTNPYEEKKNKSYRSSSPMLVKQKTKSSEFDSEVKTLSSIRHVNVVKLYCSITSEVSSLLVYEYMANGSLWDRLHTSRKMELDWETRYEIAVGAAKGLEYLHHGCDRPVIHRDVKSSNILLDEFLKPRIADFGLAKILHTTASSNDTSHVIAGTPGYIAPEYGYTYKVDEKSDVYSFGVVLMELVSGKKAIEGEYGENKEIVQWVSKNLKTRESILSIIDSRIPDAYKEDAIKVLRIGILCTARLPNLRPNMRSVVQMLEGAQPSPLLGIIITKDVGSNNDNVKKVLS >KGN56688 pep chromosome:ASM407v2:3:8237019:8239675:-1 gene:Csa_3G128960 transcript:KGN56688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCFYYFKDKSRSRRQRSAPQLKEQQESDFSGCSRTAASSCSLTSPRSVPELYEEKAHNLRVFSFTELRQATQDFNRLLKIGQGGFGSVFKGSIKPVDGNGDPLVVAIKQLSKDGLQGHKQWLAEVQFLGIVEHPNLVKLIGYCAVDGSRGIQRLLVYEYMPNRSLEDHLFNKALPPLAWRTRLHIVLGAAQGLAYLHEGLEVQIIYRDFKSSNVLLDENFHPKLSDFGLAREGPEIGRTHVSTAVMGTNGYAAPDYIETGHLTAKSDVWSLGVVLYEILTGRRSLERNRSRFEHKLVEWVKHFNPDSKKFSLIIDPRLENQYPINAARKLAKLADTCLAKNAKDRPSMAEVVNSLKEIIKSEDNSKPYEKSPDSVIDEPDMEREPEKMEAPKSWRRRMSHLQKLGEHVEGASRRRFMIMQSAKVP >KGN58131 pep chromosome:ASM407v2:3:21715798:21716319:-1 gene:Csa_3G535640 transcript:KGN58131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVELRMVPVIVLVLMLMLVGVLVSVLRGIIGVDKRGPGMVIGVLNIGIKLVIEIGSSVVGRPRRCGGRRVVPLQFCRKGMEQEEEEKGGGLRMIRRLRMEEEEEEEEEGGR >KGN56008 pep chromosome:ASM407v2:3:3221618:3239178:-1 gene:Csa_3G045220 transcript:KGN56008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLYNAWLPPPVALETTKEKESFALVVNSLKDSYRPDDLDSVYSTLKWVSVIDLFIKAKSEVSLEDVAAIVDIGLELFHMSQDKLYAQVRWGNILVRILNKYSKRLSLKVQWRPLYNTLVHTHFTRNTGPEGWRLRQRHFEAITSLVRSCRRFFPAGSAADIWAEFRSMLDNPWHNSSFEGSGFVRLFLPTNLDNQDFFSHNWIEECMKYWDSIPNCQFWNSQWAAIIARVVKNYSFIDWECFLPTLFTRFLNMFEVPVANGSGSYPFSVDVPRNTRFLFSNKMGTPSKAIAKSIVYLLKPGSSAQLHLEKLVNLLEQYYHPSNGGRWTYVLDQFLLHLVFTFRKRLQAEQKADENNQNKLYLGPSERKSFVKTILKLIDRGQYSKNEYLADTVAAATSILSYVEPSLVLPFVAYRFVMALETMTATHQLKTAVMSVAFVGRPLFLTSLSASTVRSSDLVADDKFDDLLMISLSNALLGMDANDPPKTLATMQLIGSLFSNLASLNDNSDELSIIPMIRFSEWLDEFLCRLFSLLVDLEPSSVLNDGLLSSSASGTFLVDEGPYYYCMLEILLGRLSKPLFAQALKKISKFVKTNILPGAVAEVGLLCCACVHSDPEEAVAQLVAPVLSSAMSSMKTMPSTEFGGGGKSKEKTALSPALETAIDYHLKMLSVAVSFGGPALLPYKDQFKEAIACGFDSPSWKVNGAADHLLRSLLGSLILYYPIDQYMCTVRHPDVSALEEWISTKDYSNDESPLVIPKWHIPNDEEIQFANELLDLHFQSALDDLLKICESKTHADPGDEKDHLKVILLRIDSSLQGVLSCLPDFIPSVKNGKVGSSVHSFFIAGASGPSVGSTKLREKAAEIIHIACRYLLEKKADDNGLLMLIIRIMNALGNYGSLEYDEWSNHRHAWKLESAAIIEPPTNFIMSTCSKGKKRPRWALIDKAYMHSTWRSSQSSYHLYRVSGNFCPSEHVILLVDDLLQLSLHSYENVRVHAGKYLIKLLKRWPSMISKCVLSLSENLKNAESPEYAVLGSCTILATQPVLKHITVNSKSFSSFIFGILSSSHHESLKAQKAINELFVKFNIHFSGVSKSIFLTSEKQMDEMDFAALVYKLRSMSFHSTSLHWRYNLMANRVLLLLAMASRNNPSSSNILSETTGHFLMNLKSHLPQTRILAISALNTLLKESPYKVSVQEECDSPVEMQIDSKSSLEGALTQIFQEEGFFSETFNSLSHLHITDADAAASGGNHRNSSFQSHADKSITRFYFDFSASWPRTPSWISYIGSGTFYPNFARIFKRLIQECGVTVLLPLKSTLEEFANSSERSKQCVAAEALAGILHSDVNGLLEAWESWIMVQLQNIIMAQSVESTPDWAACIRYAVTGKGKHGTTVPFLRQQILECLVRPLTAAATTTIVAKRYAFLSASLVELSPSKMPSAEIHIHIRLLEELLGNMCHSSSQVREVIGVTLSVVCSNIRLLTSHPHDHSLEDVDVELKEERWAKLLIERTTQAVKNIQNSSHSFKLDTAQNGHSNVDSEDDVKWMETLFYFLLSLMKSGRSSQLLDVIVGLLYPVISLQETSNKDLSALAKAAFGLLKWRVFWEPHLQKVISVILSSAGDSNWRTRSATLTYLRSFMHRHTYILKSSEKQQIWRTVEKLLTDNQVEIREHAATVLAGLMRGGDEDLAKDFRERACREANDLQGKRRKRNLSSGQSLATVHGAVLALAASVLSAPYDIPGWLPECVTLLARFSVEPSPVKVTVTKAIAEFRRTHADTWNIQKDLFSEEQLEILADTSSSSSYFA >KGN57980 pep chromosome:ASM407v2:3:19882213:19882555:1 gene:Csa_3G417720 transcript:KGN57980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQTAKTESGSTSKASTQVLRLMTRVRLTQERLGCKWLAYGLHGMARINEDGSRDSVKGRGSDVNGSSPEETQLRLEADGNAHDWLRHG >KGN59570 pep chromosome:ASM407v2:3:32756988:32761143:1 gene:Csa_3G826640 transcript:KGN59570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIQNPQLSNQEAVVDVNEFVSVENPDSKRSKFGSFFKNPYPPGFSRKLVAEVIATYLLVFVTCGAAALNASDARRVSQLGASVAGGLIVTVMIYAVGHVSGAHMNPAVTMAFAATRHFPWKQVPLYGAAQLSGATCAAFTLRLLLHPIKHLGTTTPSGSDLQALVMEIVVTFSMMFVTLAVATDTKAVGELAGIAVGSAVCITSILAGPVSGGSMNPVRTLGPALASDYYKGLWVYFVGPVVGTQLGAWSYKFIRASDKPVHLISPHSFSLKMRRMSRSDVSESNH >KGN58638 pep chromosome:ASM407v2:3:26772291:26775717:-1 gene:Csa_3G708680 transcript:KGN58638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNNGSKKNQNQALPINTTFNFPSPLPPFPQGKSAFAGGVIDLGGGLKIRLISSFNKIWTTHDGGPSNLGATFFEPSPLPQGFFSLGHYCQPNNKPFFARILVGRDDSLAGDALKKPVDFTLVWTSEKSNIKRDTDGYIWSPTPPDGYRAVGHVVTTSSVKPSVDRVRCVRADLTEQSEKETWIWGLKDSIDENGFNIFSFRPTRRDITAAGVSVGTFVALPATNSPLPLLCLRNSASISAAMPDVSQISTLFRAYAPLIYFHPKEKFLPSSVNWYFSNGALLYNKSVESKPVPIDPNGTNLPQGGQNDGGFWLDLPIDGGAKEKVKHGDLQSCQVYLQIKPMIGGTFTDITIWIFFPFNGPATAKVGIIDIPFRKIGEHVGDWEHITLRISNFTGELWKVYFAQHSKGEWIDASSLEFEKGNKVVAYSSLNGHASYSKPGLVMQGGGEIGLKNETAKSGLVLDTGASSVEIATEYLREEAVTEPAWLNYFRQWGPKIEYQIAEEMEKVEKLLPGRLKEAFKQFMNRLPDEILGQEGPTGPKLKDSWNGDERS >KGN59842 pep chromosome:ASM407v2:3:34899109:34902006:-1 gene:Csa_3G849980 transcript:KGN59842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEQTIPDGLTSMSRALTPRRKRKRNDIDNINGIKKVGKGVQTVEQEVVEPVDTISKLPESAIHHILSFLRSAKEAARTSILSKKWRDAWKSFSVLTFNERSYLKAEVGLNSDKQRQKFIDSIDNSLQSHLTQNLGIYKLVLRITPELVSHLKRWVDMAGENGLGELDIHVETTRKRCKVPLCMHSIKTLSGLRLQGLYWSSFEALEFNNLQKLYLRRLHVDPQLIQKLVSTCPLLTDLRIIECRGLTNLKISGSQKLERVDLYQCHFLRRVELQVPSLKTFWYCAKKSSCCKLNLESCTSLKRLTLEDPSMTENFFNKLLVSFPVLEKLNLSRCDKLQIIGIANVELQSLGLRCCKRLKHIDVDSLKPCSLDYHGREMVHAFGCLPLKEAKISLVSKKKEDPSAFPTRNVFIRSFLGRHCKGFKIIVWFCKNVIIHDEIKDIFLPSLPNLKFHAIKPSTNAKDLLEDLLTKEHPERIIVASSFSSEVPHALHKIKGEVETSCCSYINSNRKCWRHFLKDTKVVNIAEIEGISDWFNDVRDRVDQVTCMELHWEPSMHFGKVPPHHEEDNDQAL >KGN56170 pep chromosome:ASM407v2:3:4566072:4566290:-1 gene:Csa_3G088680 transcript:KGN56170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNDDQHAMSATLLVILQLSVVVSHHQLRNRRPNQCLMKSLLVDLVSDLPSRAQFAQSRCVAPYYQLAFDFK >KGN57648 pep chromosome:ASM407v2:3:15287756:15291984:-1 gene:Csa_3G238140 transcript:KGN57648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDAYWRYTDSQQQPPSSVPPVVGKRPRVDYDTSGLHELPNYYPRQDDRPVLQGIKSVDSINESYERYLRTSQISSYGGQSARPVGGGVPGHPMNDPPILGLGGVVSGANVNDRSTSFGGGRPNMPLPPDASNTLFVEGLPSSCTRREVAHIFRPFVGYKEVRLVNKESRSAGRDPVVLGFVDFVSPAHAATAMDALQGYKFDEFDRDSVNLRLQFARFPGARSGGGHRGKR >KGN57509 pep chromosome:ASM407v2:3:13816573:13826299:1 gene:Csa_3G200200 transcript:KGN57509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSYVCNFPCQEVERHNKPEVELKTSSELLLNPILICRNESEKCLIETSINSLRISLKVKQADELENILTKKFLRFLSMRAEAFQVLRRKPLQGYDISFLITNYHCEDMQKQKLIDFIVQFMEDIDKEISELKMSVNTRGRLVATEFLKQFI >KGN58735 pep chromosome:ASM407v2:3:27433033:27436702:1 gene:Csa_3G730970 transcript:KGN58735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMDTDENSNAKGKEGQGKLIMVILVGAPGSGKSTFCELVMGSSSRPWVRICQDTIGNGKSGTRAQCLKTATSALNDGKSVFVDRCNLEIEQRADFVKLGGPQVDVHAVVLDLPAQLCISRSVKRTGHEGNLSGGKAAAVVNKMLQKKELPKLNEGFTRITFCHNESDVLSAIDMYKSLDLHTMLPHGCFGQKNPDKKVQLGITKFLKKAEKPSKTCSSANTDKNSPTPQPTQEKRESCGKKEESSCTMSRNVAMESEKGESPGIRSLKDKISQSDPPTLAFPSISTSDFKFSHEKAAEIIVEKVEEFMDKLGNARLVLVDLSHGSKILSMVKAKATEKNISSTKFFTFVGDITKLNSEGGLRCNVIANAANWRLKPGGGGVNAAIFSAAGSGLEVATKQQANSLQPGNAVAVQLPSTSPLLNREGVTHVIHVLGPNMNPQRPNYLNNDYDEGCKLLGNAYSSLFQAFISIVQDKYKSVKGIHECLGSTPPELQKHSEDGHHKFKRENLQNLERSKKWKGSQNSTEGLNQNNNTVPKKSKHWGSWAQALYDTAMHPERHTNSVLETSDDVVVLYDIYPKARKHLLVVARHEGLDQLADVCTEHLPLLRTMHAMGLKWINKFFREDGPLVFRLGYHSAPSMRQLHLHVISQDFDSSHLKNKKHWNSFNTDFFRDSVIVINEVSSHGKANIMDDESLMSMELRCNRCRSAHPNLPKLKAHISKCQAPFPSTLLEGGRLVVEPSNAPLS >KGN59680 pep chromosome:ASM407v2:3:33488632:33490342:1 gene:Csa_3G837610 transcript:KGN59680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNITQLTRECDLHIRYAVQLVSALAGAIHHTAARLDSQRLDGDNILSSSGIFHLWRFFAVGDTLIGLRYLYTRPGDFRSASLDLLEHFPVLVLSSMNRAVAIWLSVESSEYRGALNKIGTVHIICNLQLSIYSLHSSPQFPIQ >KGN58027 pep chromosome:ASM407v2:3:20560199:20560369:1 gene:Csa_3G444550 transcript:KGN58027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTVNAKKTGNVNVIGDEEFEAEEVGGCRSSLPDGLSPPPNIHLGYHVDDDPTLWS >KGN60193 pep chromosome:ASM407v2:3:37554266:37555696:1 gene:Csa_3G888520 transcript:KGN60193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGEWRSCYLDIILVPLGFLISSGYHAWLWHRVRTQPFTTLIGINTNARRFWISSILQDNEKKNILAVQSLRNTIMGCTLMATTSILLCTGLAAVLSSTYSIKKPLNDAVYGAHGDFMLGLKYVTLLTLFLFSFFCHSLSIRFINQVNILINIPPGAASITTDYISDLLDKGFILNTVGNRLFYAALPMLLWIFGPVLVFVCSVSMVPVLYNLDVVCSHTTAKRKTIVAGGGNGELSNV >KGN56818 pep chromosome:ASM407v2:3:8948185:8950959:1 gene:Csa_3G134670 transcript:KGN56818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFALKDKVTDTLSRLFADSPDSPSHSSTPLHGDPSPGSRSFSKEGNALSSYFSYILPFSGFEGPKSTQPQKNYKLHQPRPVPYDIVNFDSLEPLECSLVCENKGIRDNEEDFDDSFSGRSTSSSEVFEEATCQSSPEKIVTNLTVDSVLISTDTYDFLLQRLPNIVKGRQWILLYSTLRHGISLRTLIRKSGELSGPCLLVVGDQQGAVFGGLLECPLKPTAKRKYQGTNQTFVFTTMYGEPQLFRPTGANRYFYMCMDDLLALGGGSNFALRLEEDLLNGTSGPCETFGNSCLAHTQEFELKNVELWGFAHVSQYVS >KGN58242 pep chromosome:ASM407v2:3:23007533:23011125:-1 gene:Csa_3G598390 transcript:KGN58242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIPNQRSIKLVFHLKLCWRQYSTSSNLATWFYKYVDKSNVHSWNSVIADLARGGDSVEALRAFSSLRKLGLIPTRSSFPCTIKSCSALCDLVSGRMSHQQAFVFGFETDLFVSSALIDMYSKCGQLKDARALFDEIPLRNVVSWTSMITGYVQNEQADNALLLFKDFLEEETEVEDGNNVPLDSVVMVSVLSACSRVSGKGITEGVHGFVVKKGFDGSIGVGNTLMDAYAKCGQPLVSKKVFDWMEEKDDISWNSMIAVYAQSGLSGEALEVFHGMVRHVGVRYNAVTLSAVLLACAHAGALRAGKCIHDQVIKMDLEYNVCVGTSIIDMYCKCGRVEMAKKTFDRMKEKNVKSWTAMVAGYGMHGRAKEALDIFYKMVRAGVKPNYITFVSVLAACSHAGLVEEGWHWFNAMKHKYDIEPGIEHYGCMVDLFGRAGCLNEAYNLIKRMKMKPDFVVWGSLLGACRIHKNVDLGEIAAQKLFELDPDNCGYYVLLSNLYADAGRWADVERMRMLMKNRQLVKPPGFSLVELKGRVHVFLVGDKEHPHHEMIYKYLEKLTLELQKIGYVPNMTSVLHDVDEEEKEIILRVHSEKLAVAFGVMNSAPGTTINIIKNLRVCGDCHTVIKLISKLVHRDFVVRDSKRFHHFKDGVCSCGDYW >KGN59934 pep chromosome:ASM407v2:3:35654661:35657133:-1 gene:Csa_3G855280 transcript:KGN59934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVATKLQSHVKPALEPRAILGPGGNRDRAPQNPKCKPETLKKTEKQSKALPAISESVIRDNVSVGSSCSSDSLSSNYSAKLLKPYAVKPVSAGGDSNATTTSPALSLPGKRCDWITLHSDPLYIAFHDEEWGVPIHDDKKLFELLVLSQALAELTWPLILSKRDVFRKVLNDFDPSSIAQFTENEFTTLKVNGIQLLSEPKLRAIVDNANQVLKIQKEFGSFSNYCWSFVNKKPIRNRHRYNRQVPVKTPKAEFMSKDMIRRGFRCVGPTVVYSFMQVAGIVNDHLVSCFRYEECDPKVKDDKKLRVEDKR >KGN60158 pep chromosome:ASM407v2:3:37268474:37273300:-1 gene:Csa_3G881710 transcript:KGN60158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSSTQFDSASGFTSSQTNDSSSAKSRESPGLIPVTVKQISEASHSGEEKANFVINGVDITNVTIVGKVSEKAERNTDITFTVDDGTGTIGCKRWVNDTFDTNQMEEIQDGMYVRVNGHLKMFQSNKQIFAFSVRPVTNFDEITFHFIECIHDHLRNSKLQNLKGNGSTQLQTSDSIVKTPVQNGSNGYHTTSSAIPSEQHTVDVKKSCDELVLDYLQLPSSVAKERGIHKDELSQQLKLPMEKILDSIRSLEDEGLIYSTIDEFHFKSAA >KGN55854 pep chromosome:ASM407v2:3:1988623:1994198:-1 gene:Csa_3G019940 transcript:KGN55854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVAKAWRFSLISANLALLQPQNSGNGYVVSSKNALSRSASSQRKATFSWSMLCGVMLFALGLISLFTGHIASDLEWYSQHLVNRRLYSKLDGARHRTINIWESKLSKNYYGCSKRSPRFAPAVSERSSNGYLLIATSGGLNQQRTGITDAVAVARILNATLVVPELDHHSYWKDDSDFVNIFDVGRFISSLSKDVTIVKRVPDKVMRAMEKPPYTMRVPRKSEPEYYLDQVLPILLRRHVVQLTKFDYRLSNMLDEELQRLRCRANYHALKFVKPIDDLGHKLVKRMRKMAKRYIAIHLRFEPDMLAFSGCYYGGGEKERRELGEIRKRWETLPDVSEEEARKSGKCPLTPYEVGLMLRALGFQNDSYIYVASGEIYGGEETLRPLRELFPNFYTKEMLANAELKPFLPYSSRLAAIDYIVCNESNVFVTNNNGNMAKILAGERRYSGHKRTIRPNAKRLSALFMERNKMDWDTFARKVKSCQRGFMGEPDDLKRGKEFHEFPDSCICEKPFTEKIKEDEGSNHHELQWINSQGVLEKRNFVESITSSQY >KGN57954 pep chromosome:ASM407v2:3:19611727:19615271:1 gene:Csa_3G406030 transcript:KGN57954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGESSGLIIGISIGVVIGVLLAISALLCFRYQRRRSQIGNSSSRRATTIPIRINGADSCTILSDSTLAPESPVKSGHNGMSHWLDGFRKSNVVAASGILEYSYRDLQKATSNFTTVIGQGAFGPVYRAQMSSGETVAVKVLATDSKQGEKEFQTEVMLLGRLHHRNLVNLVGYCAEKSQHILVYVYMSKGSLASHLYGGKNESLSWDLRVRVALDVARGLEYLHDGAVPPVIHRDIKSSNILLDDSMRARVADFGLSREEMVDKHVSNIRGTFGYLDPEYISTRKFTKKSDVYSFGVLLFELIAGRTPQQGLMEYVELAAMTSDGKVGWEEIMDDHLDGNFNVQELNEVAALAYRCINRSPRKRPTMRDIVQVISRIINLRLEQKHHRKSLSATTDEVSIDIDRSEHFRKDSMDSASDTHEI >KGN58576 pep chromosome:ASM407v2:3:26306563:26317320:-1 gene:Csa_3G687770 transcript:KGN58576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEMDIEHRETTNNVMILLTDPEGTPLGAPMYLPQNAGPQQLQQMVNKLLSNEEKLPYAFYISDQELTVSLGAYLEKNKVSVEKVLTVVYQPQAVFRIRPVSRCSATISGHAEAVLSVSFSPDGRQLASGSGDTTVRLWDLNTQTPLFTCTGHKNWVLSIAWSPDGKHLVSGSKAGELFCWDPLTGKPLGNPLTVKTFPIIYFSVEKVLTVVYQPQAVFRIRPVSRCSATISGHAEAVLSVSFSPDGRQLASGSGDTTVRLWDLNTQTPLFTCTGHKNWVLSIAWSPDGKHLVSGSKAGELFCWDPLTGKPLGNPLTGHKKWITGISWEPLHLSAPCRRFVSSSKDGDARIWDVSLKKCVICLSGHTLAITCVKWGGDGVIYTGSQDCTIKVWETKQGKLIRELKGHGHWVNSLALSTEYVLRTGAFDHTGKQFSSPEEMKKVALERYNKMKGSAPERLVSGSDDFTMFLWEPAVSKQPKIRMTGHQQLVNHVYFSPDGQWVASASFDKSVKLWNGITGKFVAAFRGHVGPVYQISWSADSRLLLSGSKDSTLKIWDIRTHKLKEDLPGHADEVFAVDWSPDGEKVASGGKDKVLKLWMG >KGN60439 pep chromosome:ASM407v2:3:39357454:39360205:1 gene:Csa_3G910760 transcript:KGN60439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDATHRMVCQDDLFNPLSSMGVQVSFILVLSHFFHLVLKAFGQPGPIAQILAGMVLGPTGLSNIKAIRDVFFQASAADYYEIFGFLSRIIFMFLIGLETDFPYILRNLRVAGIVACGGAAVGSVFGIAVSFFLYQQFEEKSSRFGFFFIVMLILAYTASPIVIRLAAELKFATSDVGKLAISSALINEMACLAVFNAILALRSFQEFGKGIFCAVFIAGVVILNKYLASWFNKRNRNQKYLKNMEVFFLLSLVIAASVIIELQAFNSIVSSFIFGVMFPKEGKSARTLMHKLTYSVHNFVLPIYFGYVGFQFDGNNLWKMSNVIIVGIMVLLSIGSKMSGTLAACNYLNIPLNEGVFLGFVLNLKGHADLLLIGGASKSILTWSNPRAYNLLLISIVINTIISGPIVALLMRREHKLFSHAHTSLEYTDPTHELRALACAYGPRHLAGIFPLLSSLSGGHTSQLSPFLLHLIELLHKRRTNVSYHELEQDELSDDEGYGGNDVLEIHCAIDAFISDTKIFMSLSKAISAFPTLYEDVCNAAEDLRVSIVILPFHKHQRIDGKMESGKEGIRTTNQKILRHAPCSVGILVDRVQTGFLSFSHLLVSDHVQHVATLFFGGPDDREALAWSRRMISHSRINLTVIRFVPKATSDVEGAATTSSSDDGVLMALPSLRTTSSETDNTFLADFYDRHVSTGQVGYVEKQVKNGEETVAELRDIGDMYSLFIVGKGGRGHSPLTTGMSDWEECSELGTVGDLLASSDFNISGSVLIIQQHRHQKKDLIDD >KGN55920 pep chromosome:ASM407v2:3:2563182:2564745:1 gene:Csa_3G036460 transcript:KGN55920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNITPSSSPFSSIIHNTDRTEEEEEEDEFNRATPTSGTDAGPKHHPTPLHLYGGDGVLPKRSIKKHPDSAASVSTGSVSCSKCRPHAREKFSVVPLDNNGVAKQFFSMASPNGMLKSIVSSLTRKSPKPINEGTDLAAREEHWKIALGEISHKLIQATRKRDEAILEASRLKYSMSELEKKLNKLEIYCHTLKSELDECASNPRKTKPEVQKNSDSISDKIIENFLSSVSESRSSVRQLSRSLAMQLRQIGGKIYERIQFLLQSQDIKIPLSKNLKTSLIFHLEAILNRAFFEDFETIGFQKNSPNQILNPSDRTEANIASFNRLHRLSWEEVLSKGTRHFSEDFSRFCDRKMSDIVAMLEWNRAWPEPLLQAFFAAAKSVWLVHLLATAVHPSLPIFRVDSGVRFDGVYMEDIAGEKARELAPATVRIMLSPGFYVFDNLIKCKVVCRYHINLNH >KGN57985 pep chromosome:ASM407v2:3:19920624:19921761:-1 gene:Csa_3G418760 transcript:KGN57985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLLALVEERKKAREEEKNRLNLRGLQKRFKRKRKMWHMTFLNLNSRCIALRPQEKKEMRLKEQEELLNKVDKVTLFVKKGKAKRTQSEEICEELEKKLEDLSPVEDEVEEDLRLGDENAPLFIEYVSKNFKIENGFFPFKDNLPTLLRAPIRAFKWEIFFDEKRAFTGKTTSSYRKSNTTSLQSTRRALREWNSPSLNLLETPQPCVLLELLQRELHAH >KGN59235 pep chromosome:ASM407v2:3:30432167:30435280:-1 gene:Csa_3G782820 transcript:KGN59235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRLIRLRSPYSNSTLNFLRFSTFIRKRKGSSSSSSSSGGTQKSQYPEAVDASSSFRSLFNEITEILGSESCVHDKISFRDLGLKGSPEGEFLNGEEHLPGAPDVCKNAEQETEGTQLVVLEENDVSSVVHEITAVIRGGNGLVSMEERLGNLDVMFSSEIVEKVLKRCFKFPHLALGFFNWVKSRDGFQCTTNVFNTVLSIAGEARDFKLIDKLLEEMESYSLLKDIKTWTILISLYGNAKLTGKSLMVYSKMKESGCEPDGVVYKTLICSLSAAGKPELAMEFYQEMAKKGISVVDMKMCKMLLSSLAGSGDTASVLDIAKDMVALFNVQERDVYHYILKSFCISRRIKEALEFIRDLNSKGIVLDSEYFEILIGGLCRANRIEDALELINIIKRKGDVDGKIYGIIINWYLRKNEVSKALDLFQNMKEMGYFPTTSTYTQLMQHLFSLAEYEKGFELYKEMLEKGIELDTVAIMTVVVGNVRQNRITEAWNVFRTMENKPTWKSCSVFIRELFRISRTDEIVKVLNEMQELNIVIPDKLFRSVVSYMEKNGDVICLEKVKKMKSIVELFPQEDEINRENDAPTIKDLGMEVNFKHSKPTSVTCHLETLPRNYREEDLDAIYKILSSSTDWKLIKKALENCSVEFSPELVIEILRKCSLDGCAAFNFFAWVGKQPGYNHTTETYNMAIKIAGLGKDFKHMRSLFYEMRRRGCSITPYTWTIMIMQYARAGLTEIALKSYEEMKEINIKPNANTYKYLIMSLCESKRRKVDEAITLFQEMIHSKYIPDKELLETYLGCLCKLSRLSDAKKCIDHLRKVGFSIPLTYSLYIRALCRARKLDEALTLLEEVVGDERSKLDSYIYGSLVYGLLQTGRTEEALAKMNSMKQVGINPTVHVYTSFIVYSFKEKQTRRALEILAKMLQEGCEPSIATYSAIVHGYMNMGKVCEAWKVFNYMKKYGPSPDFKAYTMLISCLCKAGRSEEALEIISDMLNNGIAPSSINFRTVIFGLNREGKHVLARDVLQQKLGLIRRRKFQI >KGN58408 pep chromosome:ASM407v2:3:24956778:24960472:-1 gene:Csa_3G638540 transcript:KGN58408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVCTVYTTQSLNSTFSSSIKSHLGFHQKNQQLVFLSSNGKKWGSSNKKDGHNTVTNNNSYLITCSAADQQTVVIGLAADSGCGKSTFMRRLTSVFGGAAEPPRGGNPDSNTLISDTTTVICLDDYHSLDRTGRKEKGVTALDPRANDFDLMYEQVKAIKSGVTVDKPIYNHVTGLLDPPELIKPPKILVIEGLHPMYDSRVRDLLDFSIYLDISNEVKFAWKIQRDMAERGHSLESIKASIEARKPDFDAYIDPQKQYADAVIEVLPTKLIPDDNEGKVLRVRLIMKEGVEFFNPVYLFDEGSTISWIPCGRKLTCSYPGIKFSYGPETYYGHEVSVLEMDGQFDRLDELIYVESHLSNLSTKFYGEVTQQMLKHSDFPGSNNGTGFFQTIVGLKIRDLYEQISASRAKAPVEVAKA >KGN59623 pep chromosome:ASM407v2:3:33137325:33143953:1 gene:Csa_3G829130 transcript:KGN59623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGEESGSRPRPRSKPYLSNSCILLIVVSGMERFVFKGVASNLVTYLTDVMKMSNSSAAKTVSSWCGFTSMLPLVVAPLADSYWDRYSTILGSAFLYVLGLVALTSTTLARTWSPTNTASSFLFSSLYLISLGQGGYNPSLQAFGADQLDHDDAELPTINAKTPSDEKPKKKSLFFQWWYFGVCSGSLLGVTIMSYIQDNFGWVLGFAIPMCAMVSSVALFSCGTKIYRYKRDVEEDEVEKRRFVKVMEIFKATASRLMCWRSVVTTTLSIHKSEDDVELELQETKPLCHENSGAIMKAMVNKNNTTIIPRERVCVPHKVKLVLRLLPIWTMLLMFAVIFQQPATFFTKQGMTMERTIGADFKIPPATLQSAITISIILLMPLYDKVLIPITRLFTGTKKGITVMQRMGIGMFLSTIAMILAALIEAKRLTMTKNASSLSILWLLPQYIILGISDIFTVVGMQEFFYSEVPVSMRTTGFALYNSVFGVGSFCSAIMISVVELLTSVEGKPNWFSDNMKEARLDKYYWLLAFCSGLSFVLYVIWCKCFRTSRIDEEETEY >KGN60407 pep chromosome:ASM407v2:3:39114788:39117431:1 gene:Csa_3G903470 transcript:KGN60407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKIKHFPILCWKTSKKVGAEDPRRIIHSLKVGLSLTLVSLLYLIQPLFQGIGNNALWAVMTVVVVLEFTAGATLCKGLNRGLGTVLAGSLAFFIEGVANRTGKVFRACFIGAAVFLIGSVATYMRFFPKIKKNYDYGVVIFLLTFNLITVSSYRVDNVLKIAHDRFYTIAIGCGVCLLMSLLIFPNWSGEELHNSTVLKLEGLAKSIEACVNEYFFDTEIDENKESCSGDQIYKGYKAVLDSKSTDETLALQASWEPRHSSHCYRIPWQQYVKLGGVLRHFGYTVVALHGCLQTEIQTPRSVRILFKDPCTRVAREVSKALIELANSIRNRRHCSPEILSDHLHEALQDLNKAIKSQPRLFLGSNKNQSRNMLALAAAEAGQKQKEKKRQSGVSLSSVKTDSSALMEWKTKRASEQSREAERKVLRPQLSKIAITSLEFSEALPFAAFASLLVETVAKLDIVIDEVEELGRIACFKEFKHGDDDDKEEHITVKCEKPKINVTQNQLSSVSGAE >KGN59130 pep chromosome:ASM407v2:3:29784091:29784646:1 gene:Csa_3G776900 transcript:KGN59130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVHVTGSCLHQYSPPPPPFEAVKAPPPQKEGTEQELHSLVTSLDGRRDDGEKGSESDVQENDGATMEVAATVSEKKEEEEEEEEGGRERLKRHRREMAGRVWIPDIWGQEELLKDWIDCSAFDACLFPTGIGSARAALVEERRRANNGGLTLENRC >KGN60070 pep chromosome:ASM407v2:3:36659192:36659478:1 gene:Csa_3G875940 transcript:KGN60070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVVGECKVIEHKPYDHKADVYSFGIVLWELLTGQLPYNNLTPLQAAIGVVQKVFPYSHHIK >KGN59138 pep chromosome:ASM407v2:3:29827985:29829138:-1 gene:Csa_3G776980 transcript:KGN59138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQVDLETLVSACAGGGAHDRKIACEEALDDGDPRPEEENREVTEQPEIPPDFPPESFWLSKDAEFDWLNQNAFYERKDSTKGSSNSTNLNPTVNPTSNSNSQRFSLNFKSKASILGLPKLHKTCFVDSKSRRNAKSGNTRLFPKQSGSSEKSDSALVEPSSPKVSCMGRVRSKRDRSRRWKNRRRSCEPAPPKEKPERKDTEPGFLCTFRNLFRCWKKTPVVKPTAPDSGDSLAMKASDKIALNIDALTAESRPRRSVEIEPPGLGGVKRFASGRRSGSWVVGDGE >KGN56602 pep chromosome:ASM407v2:3:7732902:7733891:-1 gene:Csa_3G126170 transcript:KGN56602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVWSPDRASKAYIDTIKSCEIYGEFGVAELLSAMAAGWNAKLIFETWSDGGPVATSVGLSIAAGHSGGRHLCIVADERSRSKYVEEIRKAGVTSLPEVVIGDAEAVAAETEGVDFLVADFRGKDFARVLRVVRVSERGAVLVCKNAWERTVLGFRWQGVLRRGTRVVKSVFLPVGRGLEIAHIGSAGGSSNSAVIGSRWIKHFDIRSGEEHVFRE >KGN57653 pep chromosome:ASM407v2:3:15321921:15325871:-1 gene:Csa_3G238190 transcript:KGN57653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLSPRLHRFRLTKLHHVSASKPTKATKLTLRKNSRCCSAIAIDAPSSLTDAAGIRWGSTTFQGLREEMEDDAVVRSDGFNDFLFAAVFDGHGGYSSVKFLREELYKDCVAALQGGQLLNGGDFEVIKAALEKAFDDTDKRLLLLLEAAGEEDESGATATVAFIRNDVLFISHLGDSCVVLSRSGGAQVLTSSHRPYGNNSTSLQEIRRIREAGGWIVNGRICGDISVSRAFGDIRFKTKKSEMLQKGVEEGRWSEKFISRVQFNGDLVTASPEIFQVTLGSNAEFVLMASDGLWDYMNSSDAVMFVRNELRQHGDVQLACEALAQAALDKGSQDNVSIIMADLGRTDWQNLPLQQDNVIFELAQALATMGLVSLGIWWASSSFSL >KGN58510 pep chromosome:ASM407v2:3:25773319:25773667:-1 gene:Csa_3G653420 transcript:KGN58510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSPAVDGPGGGRAIASGAEGGFSGGNLEDARFGIWDWLSEPVPEMAVIIEESEVQ >KGN57455 pep chromosome:ASM407v2:3:13206321:13206659:1 gene:Csa_3G187290 transcript:KGN57455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFWLGKDDIGSHLLQARFSGVDMRIRVKGGHTLQIYAIRQSIAKALVAFYQKYVDEQTKKKIEDILVRFDRTLLVVDP >KGN57487 pep chromosome:ASM407v2:3:13632855:13636008:1 gene:Csa_3G198510 transcript:KGN57487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERDESTGTESRPNNNAEAVQEAKASVDMEERDESTGTESRPNNNAEAVQEAKASVDMEERDESTGTESRPNNNPEAVQEAMASDNMEERDESTGTESRPNNNAEAVQEAKASDNMKERDENTVTESRPNNNAEAAQEGKASDNMEERDENTDTESRPNKIAEAVQEAKASVEVEVLTCLSNEAKYSGYQELGTTPEFSSKIDGPDEEKAGVQQNMELGSGYLLSELSEKDNQTISNHADNDRVEAGNLLSNDKDTKNLKLSIEDEATTLLNECSELPLEDVTKNYIEKMNPPIGDLTQITSIQSLETIPSNSQQSARKDKIFLKSKKKNYKLRSHVSSDRVLRSRTQEKAKAPERSNDLNNFTAEEDGKRKKKKKRNIQGKGARVDEYSSIRNHLRYLLNRIRYEQSLIEAYSSEGWKGFSSDKLKPEKELQRASNEIMRRKLKIRDLFQRIDALCAEGRLSESLFDSEGQIDSEDIFCAKCGSKELSLENDIILCDGICDRGFHQFCLEPPLLNTDIPPDDEGWLCPGCDCKDDCLDLLNEFQGSNLSITDGWEKVYPEAAAAAAGRNSDHTLGLPSDDSEDGDYDPDVPDTIDQDNELSSDESSSDQSNSDPSNSDTSGYASASEGLEVSSNDDQYLGLPSDDSEDNDYDPSVPELDEGVRQESSSSDFTSDSEDLAALDNNCSSKDGDLVSSLNNTLPVKNSNGQSSGPNKSALHNELSSLLDSGPDKDGLEPVSGRRQVERLDYKKLHDVSILL >KGN57688 pep chromosome:ASM407v2:3:15715150:15715988:1 gene:Csa_3G252470 transcript:KGN57688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHTPSPLPGIDCYFPTRRNPRKQHPLSLPLPLPLFPLKLPSFSLFFPFPKYPNPLPPQTTAAQVKPPPLFQLPLFPFSFISFIFLFSPSSPPFQLQFQWQHDA >KGN57670 pep chromosome:ASM407v2:3:15401910:15409880:1 gene:Csa_3G239830 transcript:KGN57670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAALRSRSSKEVATLTLSRCRHCISNSIHAAFTPNKSNDCFLNSPYHLVSFKPVSLRGDFFDTGTQPLDHRRIYQSPTKTLNHRLSKKGCFMSTYGDPPEVWSGDGIVIRGSNSSLNGRGGDGGSSNSGSGGGFGSNSNDGCWGGSSLGPNFPTPKEIAKGLDKFVIGQERAKKVLSVGVYNHYKRIYHESLQRPTGDTFNNKADAADDDKVELEKSNILLMGPTGSGKTLLAKTLARFVNVPFVIADATTLTQAGYVGEDVESILYKLLMVADYNVAAAQQGIVYIDEVDKITKKAESLNISRDVSGEGVQQALLKMLEGTIVNVPEKGARKHPRGENIQIDTKDILFICGGAFIDLEKTISERRQDSSLGFGAPVRANMRAGGVTDAMVTSSLLETVESSDLIAYGLIPEFVGRFPILVSLSALTENQLVQVLTEPKNALGKQYRKMFQMNDVKLHFTENSLRLIARKAMSKNTGARGLRSILENLLMDSMYEIPDVRTGKDIIDAVIVDEESVGSDSPGFGAKILYGKGALDRHLSGQKATSQDSEREPEPEGETDLPSVVASGM >KGN58706 pep chromosome:ASM407v2:3:27299117:27305590:-1 gene:Csa_3G730700 transcript:KGN58706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSSSSSATVAVEKATSDLLISPDWTMNIDICDSINSNHWQAKDVMKAVKRRLQHRNPKVQLLSLTLIETMVKNCGDYVHFQIAERNILGEMIKIVKKKADMNVRDKVLVLLDSWQEAFGGPGGKHPQYYWAYDELRRSGIEFPRRSLNAAPIFTPPVSNPTLRITQAGYGMPSNSSRRLDETMATEIEGLSLSSLDSMRNVMELLNDMLQAMTPGDSLAVKDEVIVDLVSRCRANQKKLMQMLTTTGDEEILGRGLELNDGLQTLLANHDAIASGSVLPTQSTNQSPQMPESSAATQKASEVGGSSLRDSSPLSNANNTSSTASVAKSQIVEEDEEEDEFAQLARRHSKSQPIPIQSSSTESVDNLALVSTGNTVTSSTPPSSNTCTALALPDPPAPVKTSKEQDMIDLLSITLSTSSTSPHTPLTPPASSQNTHQVPVSASNTQGYHPHHSASQGQVPYNSYVVPWAQPGPQVQLQSQTKTQSPSPSPSQQQFQSQPQPHPQQQYRPQPQPQPQRPQQQFQPRPQQQQYQPQQQFQSQPQSHPQQQYHPQYAQYSSGYYPPPPWAGSSLNANHQSNVSVSNMGYLRGKEPALSTSSLPARPLQHLNSFPSRGNNELGTHGGGDSWAAGAPRNSTPSTTQKPFIPSYRLFEDLNVFGSTDPRLKVTSSNATSSLAGSSGQSMVGGRK >KGN56696 pep chromosome:ASM407v2:3:8282964:8284758:-1 gene:Csa_3G129530 transcript:KGN56696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVSKRSLIFIMVCRLPKPKSRTDIQFHVSGVPFNLDRDIIVEKSGKIAELLKEKSEDSIQEFLRNIPAHPNTFELVARFCHGFRLKMSCENVLPLACLAFYLGMTESHSPNNLLSKALTFFEQKILPSWNETIKAFLTTEDIMQQAVDTGLVDECIESLVGKAVNNPYLLGEPIVNFISDELSEDDVLHHNPSARRKLFVSEWQSEDLTILPLSLYELTIHSLNRHAVPLRFVAASLLKYSKKWVFCSAKGDEKISVCKTNRQREVIEAVERLMPHQKGLFPCTILFEMLRFAIRLEANAGCRNGLELRIGKQLDQATASDLLIPSRGYAKERRYDIECVKRIIKHFYRDYGSNMEGLIAAAKLIEEFLFEVASDRDLEINAFVSLVEMSSAASMGTDRSSDGIYRAIDTYLDKHSFLTESEREEVCRGLDYHRMSAEACEHAAKNQRLPLRIVVQVLFLVQLQLRDAIVKDIQRTDNKLTQDEVENDRELNLSEAIVKNEMEKMSNKVIELEKECHVMRKEIEEGCVHMVKKEKTNMWREMKRKFGCINKMNNCNCQVKKKRVHPKLGT >KGN59962 pep chromosome:ASM407v2:3:35840437:35841532:1 gene:Csa_3G857030 transcript:KGN59962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGSNWTAKQNKVFENALAIYDKDTPERWQNLARAVGGKTAEEVKRHYERLVEDVNKIETGQVPFPNYRRSVPAARGFYFLDQEQRMRSLQLN >KGN58283 pep chromosome:ASM407v2:3:23523726:23533597:-1 gene:Csa_3G607640 transcript:KGN58283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCNSFGSSLWMMPFSKYCRGDDVYWKHFSKGNGGVHHSYHCSEQEPHSNYSGWPTYIANIEVPLVHAGYDAYPSLSEVTHENLTNMECGLPTQLSFSARETSDGTFFKAIEDYDPDGKRLSYQLNRLYHSDPVLNPLTPWDRPPIKTVFCIYGTDLKTEVGYYFAPSGKPYPDNWIITDVIYEIEGSLISRSGNLVDGDPGIASGDETVPYHSLSWCKSWLGPKVNITRAPQVEHDGSDVQIDMNVEHNYGEDIIPNMTRSQRGKYITYYEDSESIPGKRTAVWELDKVNHRNIVRSPVLMRELWLQMLHDIHPNAKSSFVTKVKRGPLRHEDCYWDYGKARCAWPEYCEYRYVFGDVHLGQSSVVSHHMQVSSITHIFWQIPAIMGALLLSGVGETARRILEDSDNF >KGN56046 pep chromosome:ASM407v2:3:3516021:3518347:1 gene:Csa_3G061000 transcript:KGN56046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVVGKLESPRECVPETKLEAKMVETMKQRATKGSIIRSFDCIILKFPKIDDSLRNCKTIFQQFDEDLNGIIDRRELKKCFDGLEILLTEEEIDDLFDACDISTAMGMKFNEFIVLLCLVYLLKDDPNAVFSKSQFGMPKLEQTFESLVDAFVFLDKNKDGYVSKSEMVSAINETTSGERSSGRIAMRRFEEMDWDKNGMVNFKEFLFAFTRWVGIDENEDGEEEEEE >KGN60358 pep chromosome:ASM407v2:3:38825329:38831107:-1 gene:Csa_3G901030 transcript:KGN60358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGDCQVMSSNMGGNMVSTESLFSSPIQNPNFNFISNFQHFPSIVPKEENGLMMRGGKEDMESGSGSEQLVEENQGIEMESNINNNDSITQQNQKKKRYHRHTARQIQEMEALFKECPHPDDKQRLKLSQELGLKPRQVKFWFQNRRTQMKAQQDRSDNVILRAENETLKNENYRLQSALRNIICPSCGGQGILGEPSLDEQQLRLENARLRDQLEQVCSMTTRYTGRPIQAMASAAPPLMQPSLDLDMNIYSRQYTEAMVPSSDMMALPSMLPPEAAHFPEGGLLIEEEKTLAMDLAVSSIAELVKMCRLTEPLWVRDNESGKEVLNVEEHGRMFPWPLNLKQHLINEFRTEATRDSAVVIMNSITLVDAFLDANKWMELFPSIVAKAKTVQVISSSVSGHASSSLQVMYAELQTLSPLVPTREAHFLRCCQQNADEGSWTVVDFPIDSFHDSLQHSFPRYRRKPSGCIIQDMPNGYSRVTWVEHAEIEEKPIHQIFNHFVHSGMAFGANRWLAILQRQCERIASLMARNISDLGVIPSPEARQNLMKLAQRMIRTFSVNISTSGGQSWTALSDSPEDTVRITTRKVVEPGQPNGVILSAVSTTWLPYPHYRVFDLLRDERRRSQLEVLSNGNSLHEVAHIANGSHPGNCISLLRINVASNSSQHVELMLQESCTDQSGSLVVYATIDVDSIQLAMSGEDPSCIPLLPIGFSIVPIIGSTIDGHPAPPPEDGTPNPNSGCLLTVGLQVLASTIPSAKLNLSSVTAINNHLCNTVHQINIALGGPGRLENDNVVAEPNNPPTPPPPPPPSKQ >KGN56125 pep chromosome:ASM407v2:3:4109824:4114490:1 gene:Csa_3G077600 transcript:KGN56125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGICTSKGKYSRQYDHYEQQPKAPAQHTHRSPEYRQTAGGAKPSGPPKSPKHTPFRSDTILGKPLEDVKLHYTIGKELGRGQFGVTYLCTENSSGKQYACKSILKRKLVTKNDKEDIRREIHIMQHLSGQPNIVEFKGAYEDKLSVHLIMELCAGGELFDRIIAKGHYSEKAAASICRSIVNVVHICHFMGVMHRDLKPENFLLASKEEDAMLKATDFGLSVFIEEGKVYRDIVGSAYYVAPEVLRRKYGKEIDVWSAGVMLYILLSGVPPFWAETEKGIFDSILQGHIDFESDPWPHISPSAKDLVKRMLTQDPANRITSAQVLEHPWMREGGEASDKPIDSAVFTRLKQFRAMNKLKKLALKVIAENLSEEEIQGLKAMFTNMDTDKSGTITYAELKSGLARLGSTLSEAEVKQLMEAADVDGNGTIDYIEFITATMHRYKLEKEEHLYKAFQHFDKDNSGYITRDELKAAMKDYGMGDEETIREIISEVDADNDGRINYDEFCSMMRSGTQQAKLF >KGN59154 pep chromosome:ASM407v2:3:29922480:29924575:-1 gene:Csa_3G777620 transcript:KGN59154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVFRNKSGIRLLSRIINSPDLSFNCCSWRSLQTLAYEEVRTSPDGPYDSTAFILHGLLGSGRNWRSFSRNLLSRLSNSSSSEWRVVLVDLRNHGKSAELEGFGPPHDMVNAAKDLAKLIESQDWAWPDVVMGHSMGGKVALQFLESCNRGDYGNSASLPKQLWVLDSVPGNVNPENSDGEVEKVLKTLQGLPSLIPSRKWLVTHMIERGFSKSLSDWIGSNLKKSGEHETWSFNLEGAIQMFNSFRETSYWSLLEHPPKDTEIAIIRAANSDRWRSDVVQQLERLSSKGSEESKGRVSAHVLPNSGHWVHVDNPKGLLEIVAPKISSL >KGN56065 pep chromosome:ASM407v2:3:3640874:3643440:-1 gene:Csa_3G063650 transcript:KGN56065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPIFCGNFEYDARQPDLERLFSRYGKVDRVDMKSGFAFIYMEDERDAEDAIRALDRREFGRKGRRLRVEWTKQERGIRRPGPGGGGGGGGGGGGGGSRRSSTNTRPSKTLFVINFDPYHTRTRDLERHFDPYGKILSVRIRRNFAFVQYELQEDATRALELTNMSKLMDRVISVEYAVRDDDDKRNGYSPDRNRDRSPDRKRRSSSPYRRERGSPDYGNGISRSPYRRQRASPDYGSRRSPSPYQRERERGSPDYGRGRSPNHSPYRRERERSSDHVRTPSHRPSHQRERPNDDRVPNRSPSPYGRGREKGSPDGRGTSYSPRDRERSSNPDNGHDHGHDQQQTTIPEPGESPNYGGTQSPKRRGYGSRSPQAEE >KGN56970 pep chromosome:ASM407v2:3:9852427:9853139:1 gene:Csa_3G146550 transcript:KGN56970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIELVVTCDCCGLKEDCTQFYISEVKAKFEGKWLCGLCSEAVRDEAKRSKKPLVGNLEEAVNAHMSFCRKFKSNPAVRVADGMRLMLRRRSSDVSSSSPSSSSSVKKYARSASSSQVGFDMSSLSLY >KGN57276 pep chromosome:ASM407v2:3:11915519:11916103:-1 gene:Csa_3G176245 transcript:KGN57276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISNSKKTLILFQLILQLTLIHSAITPQSSTEFIKSSCSSTTYPRLCFSSLSVHANAIQTSPRLLATAALSVSLSSVKSTATQILKLSHSHGLPSRDVSALDDCLEELSDSVDSLAASISEMPKLRGTNFDLAMSNVQTWVSAALTDETTCSEGFQGKTVNGGVKGAVRTKIVNIAQLTSNALSLINRIADLH >KGN55910 pep chromosome:ASM407v2:3:2517189:2517854:1 gene:Csa_3G035875 transcript:KGN55910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQLSHSHDGDETVSVSASELRSHQAPLSLFCIFSQSPPSTEPPSTSSSSPISSSKPDTFFSNGGLYVHSNLFKTSRSFHFPTTETASFLAFPIKISCQENPVSAFLFNGPSFKSPTTTVLRHLNLASFKTFTKSESSDTRTKHSAFLLSIISTMSFLNSTSAASGLSDTVFTKNPALFNSSAKPYPTSGKTSLAAFLYFCIFIEYLATNFTLLLPFADSI >KGN56441 pep chromosome:ASM407v2:3:6856906:6858631:-1 gene:Csa_3G119710 transcript:KGN56441 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroquinone glucosyltransferase MEEAKQREEQQEFESSTPHVVMMVSPGMGHLIPLVEFAKRLVLLHRFTVTFVIPSGGPPPKAQISLLSSLPSAIDHVFLPPVSLNDLPPQTKGETIIVLTVTRSLPSLRDQFKSMLTQRNPVAFVVDQFCTIAIDLAREFNVPPYVYLPCSATTLSLVLHMPELDKSVVGEYTDLTEPIKLPACSPFPAKALPDPFLDRKDDSYKYFLESMSRFGLADGIFVNSFPELEPDPINALKLEESGYPPIYPVGPIVKMDSSGSEEEIECLKWLDEQPHGSVLFVSFGSGGTLSSIQNNELAMGLEMSGQKFIWVVRSPHDKEANASFFSVHSQNDPLKFLPEGFVERNKGRGLLLPSWAPQAQILSHGSTGGFLSHCGWNSTLESLVNGVPMIAWPLYAEQRLNAVILIEEIKVALKVKMNEESGIIEKEEIAKVVKSLFESEEGKKVREKMEELRVAGERVVGEGGSSSRTVLEVVQKWRNRN >KGN58433 pep chromosome:ASM407v2:3:25203564:25206546:1 gene:Csa_3G642740 transcript:KGN58433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRIPSHQLSNGLYVSGRPEQPKERPPTMSSVAMPYTGGDIKKSGELGKMFDIPVDGSKSKKSGPITSGSLRSGSFGGVASHSGPIMPNATARTMYTTSGSLPSQGLSGSVSLKKSNSGPLSKHGEPVKKLSGPQSGGVTPIGRQNSGPLPPVLPATGLITSGPISSGPLNSSGAQRKVSGPLESMGSIKLQGSAAHGHAVTTLTYDDDYSFAKNFPKIVLWSLILLFVMGFIAGGFILGAVHNAILLIVVVVLFAAVGTLFVWNTYWGRRAIMGFITRYPDSELRTAKNGQFVKVSGVVTCGNVPLESSFQKIPRCVYTSTSLYEYRGWSTKAANPTHRRFTWGLRSLERHVVDFYISDFQSGLRALVKTGYGARVTPYVDDPIVIDVNPLNEELSPNFIRWLGERNLSSDGRVMHLKEGYIKEGSTVSVMGVVQRNENVLMIVPPPDPIASGCEWSKCIFPASIEGIVLQCEDTSKNDVIPV >KGN56459 pep chromosome:ASM407v2:3:6950369:6954297:1 gene:Csa_3G120380 transcript:KGN56459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPPDSPYAGGVFLITIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDANPDDPLVPEIAHLYKTDRAKYETTARSWTQKYAMG >KGN57507 pep chromosome:ASM407v2:3:13810476:13813162:1 gene:Csa_3G200180 transcript:KGN57507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFSSLSILLLLPLSFLLLSPAESRPAAATGQPNFREALEIIIGGGGHNNPDPNPPEYPPECPPPPPPPCPSPPPEFENERLRKAFFVIRRLKSKIKSGRLDVVRTWQGNDVCQYVGFRCDPLLVEKERSISAVMFNGFRFGGPELFLEGFMDELDDIAVFHANSNFFRGPIPKKIDRQRFFYEMDLSNNKLPGGFPTNVLGASELTFLDLRYNNYCGPIPEQIFDMDIISAIYINNNQFSSNLPDNLGKTPAKYLTFAHNQFSGSIPKSIGDAKKTMEEIVFFDNQLEGCLPFEIGSLENAVLFDAGKNRLTGPIPLSFACLAKAELLYLADNQLYGPVPEEICKLPNLGNFTLRNNFFTQVGAECKKLIKKKILDVSNNCIPGLPKQRSKEECLQFAYRPKYCGNDKSFTYIPCKNNHYLHPHVSKPTDNINYVSPKKTYAALTPPS >KGN56521 pep chromosome:ASM407v2:3:7278502:7280500:-1 gene:Csa_3G122450 transcript:KGN56521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPDKPGEDAGVSGFEPEETEPDSELEELELEVQQMAQRILHYRSTLSAQIKSSFCSLLESSRPLAIASEPGISARPDHEDDEQTTRGEDTNLHEEGLETAKKIQLIKDKISSNNTMIPTVLKRMKDCISTIDKLDSYNGVIHPAFKRKKTS >KGN55822 pep chromosome:ASM407v2:3:1706130:1708392:-1 gene:Csa_3G017160 transcript:KGN55822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMALFSPSSHLSTFSPSHHTTHFSFRPFSSLRTRNPSSSSSSLFTIRATADNGAGISGGSATVSVETPVEQKDPEPAKLAPEEQESLAGTNGSVAAAEEVVEVVSKFEDPKWVNGTWDLNQFQKNGSTDWDAVIDAEARRRKWLENNPESSSNEDPVVFDTSIVPWWAWIKRYHLPEAELLNGRAAMVGFFMAYFVDSLTGVGLVGQMGNFFCKTLLFVAVVGVLLIRKNEDIETLKKLIDETTFYDKQWQATWQDETSGSGKM >KGN56222 pep chromosome:ASM407v2:3:5109676:5111172:1 gene:Csa_3G101810 transcript:KGN56222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPLTQLSLPPGFRFFPTDEELLVQYLCRKVAGHHFSLQLIAEIDLYKFDPWVLPGKALFGEKEWYFFSPRDRKYPNGSRPNRVAGSGYWKATGTDKIISSEGKNVGIKKALVFYVGKAPKGTKTNWIMHEYRLITSSRKTGSSKLDDWVLCRIYKKNSSCQKPTGSISSKEYSNASPSSSIDEVIESLPETGDDFFAYPKTTLQQNDIMNRFNFEIPADSVHSDWASLAGLYSVPELGPVDHSGTFDFNNNNNTIADLYVPSVTSPFCQVDYPPASAFRYSTQQRDGGGVFGFSQ >KGN60490 pep chromosome:ASM407v2:3:39771998:39772441:1 gene:Csa_3G915185 transcript:KGN60490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVADDGCQARGQCHDHGICPDVTAWWLKDCTGGAVVSSAKWPCTGSWALRLWVPNNHVRNSINISMLCAFSHAKPFNRHVSGSHNHGDGTWQSSDGVFYKNLNEITSHNFSKRVIGIHVLLHNLDFNFGSPWNVGANHAPPGRNL >KGN55981 pep chromosome:ASM407v2:3:3044982:3048633:-1 gene:Csa_3G043970 transcript:KGN55981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFESECSALESVEDNEVNQEAIPFDDVNRIRINGTCANDADQSCAAESGLDARVSISPAAKGEDLSGEALNSPPSITKSPIDLSSPVTKGYGLKKWKRIPRDFVKDMNSSDDTSKILKRALSTSGNPVKPQLSPSENKQNNEGSVGSVTPLRNIGNVDGLVFHGSSSNSRFATGSAFNHGTDSENSEDRSSKSSTAASVPKARYDLNTVLGHVREKNRIKSISGKSTGGSGQKGQQGKGRVEGSKKARGERIKVEKENSQSSIESDSRSSCFVFRQGTLAAASNGNQNERSVTDDGDNSDGAYAGDQQFSEEAETAYRKEDEVEAEDVTQDNLAADLSWEVKDEKDRNHWSPLNKDPMDESIISLQSAQHALEKEIKKLREIGKDEIASSSIINDAEPSSFAYDNLETQKSSSSFQMGPGKAATSSAESTVLSLTGKVKLLETKLEETIAMLKSKESRVTELESSISTSKSPKEEEVMEIEKEFERSFRQRLEAEIEYLAIVRAIENLQCGIVVDGKKNLADEQVEMMSRLREAESKATALKERAEGLEKYCGDVLETEEVLTTQGEVFKVSSCAFLQLILLILVLWLFVLQMPSPAELVVPT >KGN56449 pep chromosome:ASM407v2:3:6895054:6895221:-1 gene:Csa_3G119800 transcript:KGN56449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKPCKRPPEQAAENGVRADSMKNPQMQVEKQKGVKEMRNRATPFIIQAVESEWG >KGN56644 pep chromosome:ASM407v2:3:7974986:7976162:-1 gene:Csa_3G127070 transcript:KGN56644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAVREKLHDMSVMRKAKAEAKAEEKAEKELAKARVEVAHEVRLAREAEAAMDLHVAKAGMKAEKEMAKYADTHTNTFVSPGGTTTLPDYSVPVAPGMTMVGAPGTVDMAGAPSPVNKKLL >KGN55696 pep chromosome:ASM407v2:3:727839:733964:-1 gene:Csa_3G005590 transcript:KGN55696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTCIACTKQTDDGGEDGAARGSGTPSTKEAVKSLTTQIKDMALKFSGAYRQCKPCTGSSSYKKGQRPYPDFDTASEGVPYPYIGGASASSTPAWDFPPINRHPHTRSDSRFKAAYRGDQTPGGDSTISACDVVLEDEDEPKEWMAQVEPGVHITFVSLPNGGNDLKRIRFNREMFNKWQAQRWWGENYDRITELYNVQRFNQQALHTPPRTEDGRDSSYSKVGRDSPMTSANKDWTPRNYKPSGSKGFPSDQHYDHGNSHPYAGSSAYPAGSVKGDMSSMEASRTTTSSRDEPSISISNASDIEAEWVEEDEPGVYITIRQLVDGTRELRRVRFSRERFGEMNAKQWWEHNRERIQAQYL >KGN57935 pep chromosome:ASM407v2:3:19373149:19381449:-1 gene:Csa_3G398930 transcript:KGN57935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPQKPWKVEYAKSSRSSCKTCKSPIQKENLRFGKMVQATQFDGFMPMWNHAACILKKAKQIKSIDDVEGLDSLRWEDQLKIRQYVEDSVAAAAVVVTPIEYGIEVSQTSRASCKHCKQKIMKGEVRLSTVLDGKGTKGLAWYHANCYMEQCPSAQVEKLAGWQNLPPSDQAAISTLVKKPSSAVKNEEKQTTSKAGKRKKDTAEDQDSKVTKATGDVSESRSMKNAIVSADSQNSADLVSKLEAQSKGLWKLKDDLKKHVTTSELREMLESNDQDSTGSELDLRDRCADGMMFGALAKCPICFGSLCYSRGMYRCHGYQSAWSKCSYSTCEPERLRGKWKVPEETGNLYLSKWFKSQKGAKPIRLLPPPTSSTTNSNQTSNGQSQSSNSENLAELRVSFYGLKDSMGEWKRKIEGEGGAVHAKIKKDTNCLVVSGYVDEYNPEMKKARRMKIPIVREEYLVDCFRKQKKLPYDRYKVEATSESTSLVTVKVKGRSAVHESSGLQDTGHILEDKKSIYNTTLNMSDLLTGINSYYILQIIQDDKSSDCYVFRKWGRVGNEKIGGVKLEEMTKSDAIREFKRLFLEKTGNPWEAWEQKLNFEKQPGRFFPLDIDYGVNKDMPKKPKNYPATKLAPQLAELMKMLFNVETYRAAMMEFEINMSEMPLGKLSRSNIQKGFEALTEIQNLLNSSVHDPYMKESLIIDASNRFFTVIPSIHPHIIRDEDDFKSKLKMLEALQDIEIASRLVGFDGDSHESLDDKYKKLHCDIAPISHESEDYKLIEKYLLNTHAPTHTDWALELEEVFSLEREGEFDKFVPFRQKLKNKMLLWHGSRLTNFVGILSQGLRIAPPEAPATGYMFGKGIYFADLVSKSAQYCYTDRNNPIGFMILSEVALGEVYELKKAEYMEKPPRGKHSTKGLGKKVPAALEHVKWKEDVVVPCGKPVASNVKASELMYNEYIVYDTAQVKMQFLLKVRFHHKR >KGN58978 pep chromosome:ASM407v2:3:28659131:28661348:1 gene:Csa_3G740210 transcript:KGN58978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKYFGNPYRGDPGVPHSDPGSFVNIWIGAAAFSTISWFNPYIWHISNQFNWHDKAFLFEQYHWKRAREKNKPYQFTWNKMDRELRDSYYFNMPIFFP >KGN59940 pep chromosome:ASM407v2:3:35674856:35677989:-1 gene:Csa_3G855340 transcript:KGN59940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLLLLLLLLTILLKFLYSTFWLPWTIQTHFRKQGITGPPYRPFIGNSAHIRRLFKEAQSNPIPFHHDILSRVLPFYFQWSRQYGKTFLYWFGSKPRLAISDPDLIKEVLVNTRGYFRRIHFNPLSKVLFGEGLIGLEGEKWVARRKIANQAFNIERVKGWVPEIVVSVLSVLEKWEEMKGGMEEFELDVHKEVRRLSADVISRTAFGSNFEEGKRIFSLQEQQTYLFSQAIRSVYIPGFRFLPTKKNRERWSLEKETRELIKVLIETNSKGRENATNLLSMLMSSYKNQNGEEERLGIEDIIDECKTFYFAGMETTAHLLTWALLLLAKHQEWQDKAREEVLKVCGYKKPPAAENLNELKLVGMIINETLRLYSPAVMLTRTASKQLTLGSLDIPAGTELFLALAAVHHDKEFWGEDANCFNPLRFCEPRKHLASFLPFSLGPRICVGQNLALIETKVALAMIIQRFSFAVSPTYTHSPMLFITLQPQFGAQLLFRSLRN >KGN56133 pep chromosome:ASM407v2:3:4173767:4175338:1 gene:Csa_3G077670 transcript:KGN56133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQADQGISADNLVDVPLKRKRGRPRKYPKLNYDENILIAKNRGKKHLEAIPISPGSGVNGNQSLPTIQIQNVADGMLGQVVSGVIEAVFEAGYLLCVRVGNSGITLRGVVFKPGHYVPVSAENDVAPDVQMIRRNAIPLATGNQAPEDTPQSKNGEIPLHESSGLKLGFKYTTPHSSQDALKDNSISSIFAQITPSGSLRGNVVPVVLEPAKLTNGPSVPTETLTIQTVDIESAKGKEVLVGTSTLSESAPTSVTVGIENFQPQTTQQVLIDDVQVENSSHNQSLVVEVHDSEGKSMALPSTPFESLVTEVIKRIQTPSLTAETQTEDNKPSVTISAKECQDGSEVEANIIADGALMIEPLKAVQPLHESSEPIPKALDDESKTGKITELLQENMIQTPEPWAEAQNPGFMLKSDEPESKKEIGDENSGSQKQI >KGN60119 pep chromosome:ASM407v2:3:36969147:36970916:-1 gene:Csa_3G878880 transcript:KGN60119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLSSWSHALVRISPYTFSAVGIAISIGVSVLGAAWGIYITGSSLIGAAIKAPRITSKNLISVIFCEAVAIYGVIVAIILQTKLESVPASQIYTPESLTAGYSIFASGIIVGFSNLFCGLCVGIIGSSCALSDAQNSSLFVKILVIEIFGSALGLFGVIVGIIMSAQATWPAKPI >KGN56334 pep chromosome:ASM407v2:3:6250932:6252451:1 gene:Csa_3G116710 transcript:KGN56334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQSFIMIKPDGVQRGLVGEIISRFEKKGFSLRGLKLMSVDRAFAENHYSDLAGKPFFNGLIEYIISGPVVAMIWEGKNVVATGRKIIGATKPVDSDVGTIRGDFAIDVGRNIIHGSDSVESARKEIALWFPEGPVYWQSSLHSWIYE >KGN56249 pep chromosome:ASM407v2:3:5315034:5319746:-1 gene:Csa_3G106010 transcript:KGN56249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSISLQFTPFFSPSLHQGRHFYKPLRLNPLLLPPPKPPGYLAIVRSLQRDTELLPLPPPPQKQTDRLAKFVSTAAGLFPLYITSGGIVACMKPSTFSWFVQRGPGSYSLALSLVMLAMGLTLELKDLFNLFMQRPLSILYLCVAQYTIMPVVGAAIGKFLGLAPALSVGLILLGCCPGGIASSVVTLIARGDVPLSIIMTVCTTLQAVVLTPFLTRTLVGASIPVDAIKLSLSTLQVVVVPILIGSYLQKTCPGVVKRIIPFSPLFAVLTSSLLACSVFSENIVRFKSSLVSSSLSFDASPWIAIKTMLSEELGIVILAVFSLHLAGFVVGYTIAAIGGFRERERRAISLEVGMQNSSLGVVLATAHFSSAMVALPAAMSAVIMNMMGSSLGSFWRNIEPSAH >KGN56358 pep chromosome:ASM407v2:3:6409206:6435484:-1 gene:Csa_3G117930 transcript:KGN56358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMFSTATSSQIRFLLHSLTESNAESVLKELSEFIDCGIEGSFILLRTCLDHFTSHGTDLENPLLLLVISSVFKHLLDRPNFSTILCESLKSRDINQVTLENISNLLNLSMCERIGVGLAVSDSENLDARLCGKNFCISQIEELCANAVSVDSTQQIQDIIMFLQRSEGLSKHLDSFMQMLSLVQLKDVTEFVLSPLLSDELREEKFLRDVNLSHESLDNDFDSILAEMEKEMSMGDIMKELGYGCTVNATQCKEILSLFLPLTEITISKILGMIARNHTGLEDSRNIYSTFSLALGFSGLSDLPSLNSWDVDVLLDTVKQLAPKVDWIRVMENLDHEGFYIPNEEAFSFFMSVYRRACQDAFPLHTICGSVWKNMEGQISFLKHAVLAPPEIFTFAHSGRQLAYIDGLHGDKLQLEHTNQAWTCLDLLAILCELAERGHARSVQSILEVPLKHWPELLLLGMAHTNTAYNLLQYEVSFLVFPLMLRNPLGSELIFQLWHLNPNLVLRGFVDAQNSDPDSMLRIVDICQELKILFSVLDMIPYSCSIRLAAIASRQECLDLEKWLSNNLSTYKDVFFEECLKFLKGIHYGGSQDFSTKPFYPSNAFSNIYLDTASTFLKVLRSNVGITASAKLSEEMEKLQDAVLESNPKLQNGEASDVPATEGYTDDIEAEANSYFQQMFSGQLTIEAMVQMLARFKESSVKREQLIFECMIANLFEEYRFFPKYPERQLKIAAVLFGSVIKHQLVTHLTLGIALRGVLDALRKPADSKMFVFGTKALEQFVDRLIEWPQYCNHILQISHLRSTHVELVAFIEQALLRISAGHSDSDVSAGNVELNGSGNIQPGQQLSSAMELQQKYESAIDDRLKFTTPSVDVKPNVPPMGQTSIQPTGDASANQKNTTNTPAALAPSPGFVRPSRGAASTRFGSALNIETLVAAAEKRETPIEAPGSDVQDKISFMINNISLANLEAKAKEFTEILKEQFYPWFAQYMVMKRASIEPNFHDLYLKFLDRVNSKALSKEIVQATYENCKVLLGSDLIKSSSEERSLLKNLGSWLGKLTIGRNQVLRAREIDPKSLIIEAYEKGLMIAVIPFTSKILEPCQSSLAYQPPNPWTMGILGLLAEIYSMPNLKMNLKFDIETQMVAEVKSGIMSSLNQVELPLEVATPSNSGNHTHLLSQYATPLHLSSGTLMEDEKLSALGLSDQLPTAQGLLQATPSPSPFSTNQLPAGIPNIGSLVVINQKLNSLGLHIHFQRAVPIAMDRAVKEIVSGIVQRSVSIATQTTKELVLKDYAMESDETRIFNAAHLMVASLAGCLAHVTCKEPLRGSISSQLRSSLQNLGVASDLLEQAVQLVTNDNLDLGCAIIEQAATDKAIQTIDGEIAQQLSLRRKHREGVNTTFFDTGMYAQGPLGVVPEALRPKPGHLSVSQQRVYEDFVRLPLQNQNSQAAQSTGSSVTASGTGLSNQFGLSSGQLNSGYTSGLVTGLEGVSRSVDDAVEPSSVPQLSAPSGHIAADGVGIRGPENDLVVPSFPSAASAPELHAVDASDSLKEPGSSTQPLPSPITTDRLATTISEPSLTTRDALDKFQVISQKLEALVSSEAREAEFQGVIAEVPEIILRCISRDEAALAVAQKVFKVLYDNASNTFHVGAHLAILIAIRDVCKLVVKELTSWVIYSEEERKYNKDITLGLIRSELLNLAEYNVHMAKLIDGGRNKAATEFAISLLQTLVVDESSVISELHNLVDALAKVAAKPGSSEPLQHLVEIIKNPATSVAAISGVNVGKDDKARLARDKKAPVPSITNREDSSILESEDPAGFRDQVSILFAEWYRICELPGANEAAFNHFILQLHQNGLLKGDDMTDRFFRLLTEISVAHCLSSEVINSGALQSPQQIQNLSFLAIDIYAKLVFSILKGSGKTALLSRILAVTVRFIQKDAEEKKGSFNPRPYFRLFINWLPDLGSLEPIVDGANFQILTAFANAFHALHPLKIPAFSYAWLELVSHRSFMPKMLTGNSQKGWPYIQRLLVDMFQFMEPFLRNAELGPPVHFLYKGTLRVLLVLLHDFPEFLCDYHFTFCDVIPPSCIQMRNIILSAFPRNMRLPDPSTPNLKIDLLAEINQSPRILSEVDGALKLKQMKADVDEYLKTRQQGSSFLADLKQKLLLPPSEAASAGTRYNVPLINSLVLYVGMQAIQQLQARSPHAQSSANTVTLAVFLVGAALDIFQTLIVELDTEGRYLFLNAVANQLRYPNTHTHYFSFVLLYLFAESTQEIIQEQITRVLLERLIVNRPHPWGLLITFIELIKNPRYNFWNRSFIRCAPDIERLFESVSRSCGGPKSADENMVQNWVPDTAH >KGN58791 pep chromosome:ASM407v2:3:27735530:27738181:-1 gene:Csa_3G732490 transcript:KGN58791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSGVRAFVLLAFCCLLSGLAESTVRHYKFNVVLRKATRLCSSKPIVTVNGQFPGPTVYAREGDNVLIKVVNHVKYNLSIHWHGIRQLRTGWADGPAYITQCPIQPGQSYVYNFTITGQRGTLFWHAHILWLRATVHGGLVILPKLGVPYPFPTPNKETVLVLAEWWKSDTEAVINEALKSGLAPNVSDAHTINGLPGSISNCPSQRGFTLPVQKGKTYLLRIINAALNEELFFKVAGHKLTVVEVDATYVKPFKTDTVLIAPGQTTNVLLTANQNSGKYMVAVSPFMDAPVAVDNKTATATVHYTGTLATSLTTSTNPPPQNATQIANAFTNSLRSLNSKKYPANVPLTIDHHLFFTVGLGINPCPTCKAANGSRVVASINNVTFVMPTTALLQAHFFKTKGVFTTDFPGNPPHKFNYSGPGPKNLQTISATKLYKLQYNSTVELVLQDTGIIAPENHPIHLHGFNFFEVGRGIGNFNPKTDPTKFNLVDPVERNTIGVPSGGWTAIRFRADNPGVWFMHCHLEIHTTWGLKMAFLVENGKGPNQSLLPPPTDLPKC >KGN60218 pep chromosome:ASM407v2:3:37733786:37739248:-1 gene:Csa_3G889740 transcript:KGN60218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPLHRGASGVKVHGHGDDKWDSQMKDKTDKEEVDRKGSLDHGGNLAPRLPFRLLLPDNSPSKYGGTENGFASDSFLVGNSRSRQQFILQMLRFSLVLIIILALTGSFWWTLSISGSSQVQIFHGYRRLQEQLVSDLWDIGEISLGPSRLKELEFCLPEFENYVPCFNSSLSQEDEYDRHCEPNSSLNCLIQPPLKYKIPLRWPTGRDVIWVSNVKITANEVLYSGSLTKRMMMLEEEQISFRSASPMFDGVEDYSHQIAEMIGLRNESNFREIGVRTILDIGCGYGSFGAHLFSKHLLTMCIANYEASGSQVQLTLERGLPAMLGSFTSKQLPFPSLSYDMVHCARCGVDWDNKDGRYLIEVDRVLKPGGYFVWTSPLTNTQSVLNKKENQKSWNFIQDFVEYLCWEMLNQQDETVVWKKTSKSNCYSSRKPDSSPPICGKGHDIESPYYRPLQDCIGGRKSRRWVPIYERQTWPSRANLNKSELALHGLALDDVADDSLNWKMAVKNYWSLLSPLIFSDHPKRPGDEDPLPPYNMLRNVLDMNAHYGGFNSALLEAGKSVWVMNVVPTDGPNHLPMIMDRGFIGVLHDWCEAFPTYPRSYDLVHAAGLLSLEAIKKPRCSMLDLFSEIDRLLRPEGWVIIRDTTTLIESARTVTTQLKWDARVIEIEDNNDERVLICQKPFLKRQAK >KGN58000 pep chromosome:ASM407v2:3:20173413:20173703:1 gene:Csa_3G426370 transcript:KGN58000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPLVSGITTRDAALSVELGMQAVNLALGEAALGVGDVIHGKDKDSVDDSTSASDCDGVLRQGGHFGLKLLEINYILIYSIDFTIFLIIKLLKFKI >KGN59822 pep chromosome:ASM407v2:3:34719860:34725652:-1 gene:Csa_3G848310 transcript:KGN59822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELENLKMWNVIMGFLCFFGVLSVQASVSYDSKAIIINGHRRILISGSIHYPRSTSEMWPDLIQKAKEGGLDVIETYVFWNGHEPEPGKYYFEGNYDLVRFVKLVHQAGLYVHLRIGPYVCAEWNFGGFPVWLKYIPGISFRTDNAPFKFQMERFTRKIVNMMKAERLYESQGGPIILSQINTCNGFYCDYFSPNKAYKPKMWTEAWTGWFTQFGGAVPHRPAEDMAFAVARFIQKGGALINYYMYHGGTNFGRTAGGPFIATSYDYDAPIDEYGLLRQPKWGHLKDLNRAIKLCEPALVSGDPIVTRLGNYQEAHVFKSKSGACAAFLSNYNPRSYATVAFGNMHYNIPPWSISILPDCKNTVFNTARVGAQTAIMKMSPVPMHESFSWQAYNEEPASYNEKAFTTVGLLEQINTTRDATDYLWYTTDVHIDANEGFLRSGKYPVLTVLSAGHAMHVFVNGQLAGTAYGSLDFPKLTFSRGVNLRAGNNKIALLSIAVGLPNVGPHFEMWNAGILGPVNLNGLDEGRRDLTWQKWTYKIGLDGEAMSLHSLSGSSSVEWIQGSLVAQKQPLTWFKVTYVLTLSRNSLWYHVPRSWLNPTGNLLVVFEEWGGDPNGIHLVRRDVDSVCVNINEWQPTLMNWQMQSSGKVNKPLRPKAHLSCGPGQKISSVKFASFGTPEGECGSFREGSCHAHHSYDAFQRTCVGQNFCTVTVAPEMFGGDPCPNVMKKLSVEVICS >KGN56844 pep chromosome:ASM407v2:3:9089262:9091311:1 gene:Csa_3G134920 transcript:KGN56844 gene_biotype:protein_coding transcript_biotype:protein_coding description:Csf-1 protein MPFKRFVEIGRVALVNYGEDYGKLVVIVDVIDQNRALVDAPDMVRSQMNFKRLSLTDIKIDIKRVPRKKELIEAMKAGDVQKKWEDSSWGRKLLVKKRRAALNDFDRFKLMLAKIKRAGLVRQELSKLKKSQS >KGN59018 pep chromosome:ASM407v2:3:28895967:28903251:1 gene:Csa_3G745020 transcript:KGN59018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRELAFALEVQSQLEGTLDHTRSEILAEARPGSSYLDETARSGGCKRFKGSVVNGLIVYTRVRKSQINVYSGLLDNGNRKKCDSTDGREVLGSFAPEESCRTEEVQIQKTSSVCKKESDEVVENSGNKEEGAEGSSLVIAKDIKVEGNLPGWEIKRFTRSSLGPKVEPMDITPLAIGSVKEEVISDVGGETSETVNSLSTPKNKLELKMSKKIALNKRPMTVRELFETGLLEGVPVIYMGVKKADDFGLRGTIKDSGILCTCSSCNGCRVIPPSQFEIHACNQYKRAAQYICLENGKSLLDLLKACKGSRQTLEATVQSLISSSPEEKHFTCRDCKGCFPSSVGQVGPLCPSCEESKRSKWMLTLPAPPTSGIGKRLRLAEPTTSKSSGSASVSISSRYKRKWVTKAKSKSSEYTSISRSPRSAPMRIPSKNKSALKMRKKSLKPALMLKSSQSASKCSSSLAKNQWKITTKDQRLHKLVFEEDGLPDGTEVAYFARGQKLLQGYKKGSGILCCCCNCVVSPSQFEVHAGWSSRKKPYAYIYTSNGVSLHELAISLSKGRKYSAKDNDDLCIICLDGGNLLLCDGCPRAFHKECASLSSIPRGDWYCKFCQNMFQREKFVEHNVNAVAAGRVHGVDPIEQITKRCIRIVRNIETDLSGCVLCRGSDFSKSGFGPRTIILCDQCEKEFHVGCLKDHKMAFLKELPRGKWFCSIVCTRIHSALQKLLIRGPEKLPNSLLGAVNRKLGENCSDIQVDVDVSWRLISGKIASPETRLLLSEAIAIFHDRFDPIVDITSGRDLIPAMVSVRDVGGQEFGGMYCAILIVN >KGN60040 pep chromosome:ASM407v2:3:36447459:36452535:-1 gene:Csa_3G872710 transcript:KGN60040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDTVPPIIAAHINYLLNHFPLPVKIEHMWSGSRHYPGIMDRFTLVMPYCLEFVKWDIMYNAESPFSAPDIVFGPEDENFHPFSSKVDEGEGDHNSLHNSLRDWNSKDPSRLTSLLQELRDRYVSYQRKRVEGVDDERLKFELCTMLSREGIEMHLSSGVEKPEEVKFAVPLVDININKMIPGCPWRHPQKIFLQVLYPVVRKYATTPSAPRLKLVSTSELKALLSIEDIKLPTWIDGMCMAEYLPHLEESLEKQVLEAVSLIDVRRGFIEALNSFFGRPLEADPVFCRTATVITASGVFTFLVHILISTQFPKKQPSLVLQSSQHFNSQGAPIKSQLITDYPWSPRWEPLQMAERIFEFLADEALSFKRYCNEAQLQC >KGN58981 pep chromosome:ASM407v2:3:28700644:28701648:-1 gene:Csa_3G740240 transcript:KGN58981 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multidrug resistance protein 1, 2 MPISSYLPDATPVPDKLRGEVELKHVDFSYPTRPDIPVFKDLNLRARAGKTLALVGPSGCGKSSVISLVQRFYEPTSGRVMIDGKDIRKFNLKSLRKHIAMVPQEPCLFAASIYDNIAYGHESATEAEIIEAATLANAHKFISGLPEGYKTFVGERGVQLSGGQKQRIAIAQQGSHSHLLKNYPDGCYARMIQLQRFTHSQVIGMTSGSTSSARTREDEVRDS >KGN59368 pep chromosome:ASM407v2:3:31425660:31429862:1 gene:Csa_3G814350 transcript:KGN59368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNEDIKLRTRLSVLSSHFSHSLSISMASSEQEAALAAVPSDSPTIFDKIINKEIPSTVVFEDDKVLAFRDIAPQAPTHILIIPKVKDGLSGLSKAEERHTEILGHLLYTAKLIAKQEGLDDGFRVVINDGPSGCQSVYHLHVHLLGGRQMNWPPG >KGN56330 pep chromosome:ASM407v2:3:6229516:6231052:-1 gene:Csa_3G116670 transcript:KGN56330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGSSSNGNNLKNGVIALLVLLPSILFYLCFLSNCGGGCSSGLWKWCYHHPLLLVNALFFLNVNLLFWLISHVQSSHWMIDLYWTLIPVMLVHYFASHPLAEYNELRSWVVVALTWIWSIRLSHNYLRREGWQLGTREDWRFTDMRQQYGKNWWWVSFFAVYLSQQVFLMGVCVPLYVVHSVKEELKLWDLVAIFICVSGIGMAYFADTQLHEFVSRNRKLKMSGKAMVPNLEEGLWRYCRHPNYFGEQLWWWGVGILGWGLGVGWSLVGSLLNTMCLAYVTKLVEARMVKQHYRAEAYRQYQKTTSVWIPWFRKSLYKQKNM >KGN57301 pep chromosome:ASM407v2:3:12074904:12082998:-1 gene:Csa_3G177940 transcript:KGN57301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRFHMARRGGAIGSFLCILVVLISSHIHVTARSDKEIRERFYGNLINSTAPTSGDGSFAQMFDKVLEKEFSDNDLPEGSGGSSFNSSVADQEAELETVAKITHEKGKKNDSQKANGTRAFQLQDVFSLENEESDDVTTLIDKKDNVFVMSNKKSKYPVLQVDLRLISDLVVVIVSAAIGGIIFSCLGQPVIVGYLLAGSIIGPGGLKFISEMVQVETVAQFGVVFLLFALGLEFSLTKLKVVGAVAIFGGFLQIIIFMFLCGIVAMLSGAKLSEGVFVGSFLSMSSTAVVVKFLVERNSSNTLHGQVTIGTLILQDCAVGLLFALLPVLGGHNGLILGMISMGKLLLVLSVYLTAASILSWSFVPRFLKLMMQLSSQTNELYQLAAVAFCLLSAWCSDKLGLSLELGSFVAGVMVSTTDFGQHTLDQVEPIRNLFAALFLSSIGMLIHVHFLWSHLDILLASVMLVVFVKTAVATIVAKAFGYGIRTSFQVGVMLAQIGEFAFVLLSRASNLHLIGGKVYLLLLGTTALSLVTTPLLFKLIPAVLNLGVLMHWFPSENNIQNEEKVSMIEAHNRML >KGN59246 pep chromosome:ASM407v2:3:30511648:30516522:-1 gene:Csa_3G785400 transcript:KGN59246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTCVGPNLANNGFLKSVTAAVWPSRPPEERLPPPKDGTESKSKTNESSDSSKSADDSKDSEPQKDVQTQSTPPETVKIGNNDQNKVVEREMSSRVIKDETRAPEGTKSKKATHIKRLSSAGLQIDSVLGRKTDNIKDHYTLGRKLGQGQFGTTFLCVEKASGKDFACKSIAKRKLTTKEDVEDVRREIQIMHHLAGHPNVIQIVGAFEDAVAVHVVMELCAGGELFDRIIQRGHYTERKAAQLARIIVGVVEACHSLGVMHRDLKPENFLFINQEEESALKTIDFGLSMFFRPGETFTDVVGSPYYVAPEVLRKLYGPECDVWSAGVIIYILLSGVPPFWDETEQGIFEQVLKGELDFISEPWPSISGEAKELVRRMLVRDPKKRLTAHEVLCHPWVKSDGVAPDKPLDSAVLSRLNQFSAMNRLKKIAIRVIAESLSEEEIAGLKEMFKMIDTDNSGQITLEELKHGLERVGANLKDSEISGLMQAADVDNSGTIEYGEFVAAMLHLNKIQKEDHLFAAFSYFDKDGSGYITQDELQQACEKFGLSDFRLEDIMREVDQDNDGRIDYSEFVAMMQDTGFGRR >KGN58480 pep chromosome:ASM407v2:3:25593692:25594937:-1 gene:Csa_3G646670 transcript:KGN58480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEYKLSQHSSDSVSIELPGMVIITAILVFFLILVFVLLLQLYAKWLWSRIEDPTPLPLETRRRRRRRFEFSAVHDPNSARGLDPTVLRSLPVIIFHPDDFKDGLECAVCLSDLVEGEKAKLLHDCFHGFHSDCIDMWFQSHSTCPLCRNPVTTAAADTTELHDEDSTSGLSSESPTFPTNVLIWGNQGQVTSAGALLEVEESSPQNHFPAASSSASTAYPDAELVIDVQNDVQFSPLTTNEDGKLPVVTRLRSLKRLLSRQRRVNPCNSNSANAESEQLP >KGN59894 pep chromosome:ASM407v2:3:35316339:35316879:1 gene:Csa_3G851940 transcript:KGN59894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVVGNSAKMIVALLFLLALMLSMNEKQGVVEAKVCERRSKTWSGWCGNTKHCDRQCKNWEGATHGACHAQFPGRACFCYFNC >KGN57427 pep chromosome:ASM407v2:3:12957567:12961277:-1 gene:Csa_3G184580 transcript:KGN57427 gene_biotype:protein_coding transcript_biotype:protein_coding description:White-brown-complex ABC transporter family MEKDQPQPQAEPPPPPPPPPLPPRSYTLTASSISYTKSTTISPYNWLFKSCITTTQPTYILRNISFTAHPSQILAIVGPSGAGKSTLLDILSARTSPTQGSLFLNSSPLNPSTFRKLSAYVPQHDASLPLLTVSETFTFAARLLLPNKTLIPSTVNSLLSDLRLSHLANTRLISGLSGGERRRVSIGLALLHDPAILLLDEPTSGLDSGSAYNVISTLKSICESRNRTVVVSIHQPSYRILSEIDGILLLCKGCVIHHGSVESLESYLISKELCIPPQLNALEFAMEIINDLTPCNKGVVIIGNEGGTYCSNNHEDNENNEIKYRSCRLHEILTLHWRFWIIIFRTRQLLLTNTLEALVVGIVLGTIYINIGMDKAGIEKRFGLFAFTLTFLLSSTTETLPIFLNERPILLRETSSGLYRLSSYIIANTLVFLPYLLAIALIYSASVYFLVGLCATWQAFAYFVLVIWVIILMANSFVLFLSSLAPNYIAGTSLVTVLLAAFFLFSGYFISQESLPKFWMFMNFMSMYKYALDALLINEYSCLVSRCFIWFEENENECLVTGGDVLVKRGLDQNQRWRNVYALVAFFVLYRLLCLLVLIRRVSTSKK >KGN57845 pep chromosome:ASM407v2:3:18145192:18145665:-1 gene:Csa_3G346920 transcript:KGN57845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVRLGVPICIECGTHRNPCRCRLVGPSLGFLALVVTAVVEWPVGALVYLFRHHKGRRIMGHPVTVVYPSVSNAIPI >KGN57492 pep chromosome:ASM407v2:3:13662752:13668109:-1 gene:Csa_3G199050 transcript:KGN57492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPMLKTHFHENGFLFALLLIPLCFPFAIAEKDFWRSTLVGMADSSDPLKLTFNAPAKHWTDAIPIGNGRLGAMVWGGVDTEILQLNEDTLWTGTPADYTNPDAPEALREVRKLVDDGKYAEATEAAVKLSGKPSDVYQLLGDIKLEFEVSHQSYTPETYHRELDLNTATARVKYSVGDVEFTREHFASNPDQAIVTKIAASKPGSLTFIVSIDSKLHHSSHVVDGQSLIVLHGSCRGVRIPPKMDFDDNPKGIQYSAVLSLQVSDGSVVVHDLDEKKLKVNGSDWAVLRLVASSSFKGPFTQPSLSGKDPSSESLATMKKIKGLSYSNLYARHLNDYQSLFQRVSLHLSKSSKNESSSPNSGGKEVRVASTAERVKSFQTDEDPSLVELLFQYSRYLLISCSRPGTQVANLQGIWNKNVEPAWDGAPHLNINLQMNYWPSLSCNLKECQEPLFDFTSFLSVNGRKTAKANYEASGWVAHQVSDIWAKSSPDRGQAVWALWPMGGAWLCTHLWEHYTYTMDKNFLKNKAYPLMEGCASFLLDWLIDGKDGYLETNPSTSPEHMFIAPDGKPASVSYSTTMDMAITKEVFSSIISAAEILGKTKDTFIDKVRKAQARLLPYKIAKDGSLMEWALDFEDQDVHHRHVSHLFGLFPGHTITVEKTPNISEAASNTLHKRGEEGPGWSTAWKIALWARLHNSEHAYQMVKHLFDLVDPDHESDYEGGLYSNLFTAHPPFQIDANFGFSAAIAEMLVQSTINDLYLLPALPRNVWPDGCVKGLKARGGLTVNMCWTGGDLNEVGLWSSEQISLTTLHYRETTVAANLSSGTVYTFNKLLKCVRTYSLPK >KGN55891 pep chromosome:ASM407v2:3:2384922:2392141:1 gene:Csa_3G033230 transcript:KGN55891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSLFPTPFLPFLIPSSNNTTKHRLFLSFHFLQISENRESNKLITMSRTIEEEEDPRINGIRTKIRVVPNFPKPGILFQDITTLLLEPKAFKDTIDLFVERYKGKNISVVAGIEARGFIFGPPIALAIGAKFVPLRKPKKLPGEVMHEEYVLEYGRDCIEMHVGAVEAGERALVVDDLIATGGTLSAAINLLERAGAEVVECACVIELPELKGRERLSGKSLYILVEYRRG >KGN57941 pep chromosome:ASM407v2:3:19451606:19452001:1 gene:Csa_3G401960 transcript:KGN57941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQSKKPWSITETLDGSKELGLKLDAAEMLFESSFLLNYFPEQSGKAKITMFDVVSEKSFKATMERKSASNQFLITWKKDFVKNINYKSGDEILLYWEPQIKSLCFEIVRCSALRTYPQMSGQYHSYNYRS >KGN59900 pep chromosome:ASM407v2:3:35393897:35405925:1 gene:Csa_3G852490 transcript:KGN59900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGGRGSTQWKDAAGRLGLSSMRLKLACSCRGLARGRHSLDKANGFREETTLQTMLEWLNLDNHRNYKVEGAYLEDGKGLSNWDVFSHLPARIRNSDTGDVADDHYHRFLEDIELMHSMGMNSYRFSISWTRILPKGRFGKVNRRGIAFYNKIIDNLLLKGIEPFVTIHHHDLPAELDKRYGSWMSAQMQEDFVYFAKICFEEFGDRVKYWITINEPNVITLMGYTKGVYPPGHCSPPFGNCSTGNADIEPLVVMHNMLLAHAKAVRLYRTHFQKKQGGSIGIVAYCHMYEPLTDHEYDIKAAQRALIFIFAWVYDPIVYGDYPKEMREILGSQLPSFSDVEKDIIRGSLDFICVNHYTTFYTKDCLHSACFGGGNHPVTGYLNTTAYRDGVSIGDPTGIDVFFVVPRGLGKVINYIKERYPNKPIFVTENGYSSPQSEGNETEVLIKDTKRVNYHKNYLASLANAMRDGADVRGYFVWSLIDNFEWADGYDTRFGLLYVDRKTLERRPKLSAHWFSSFLGGNLHELTKYSSIVHKNAFDSLMDH >KGN56516 pep chromosome:ASM407v2:3:7260834:7261723:-1 gene:Csa_3G122400 transcript:KGN56516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEFLFDAKLVSLKLSVSALGSPAYYVTVECGNHERRTKVSSGKHEHACWNEKFSFELPTSDWNNITHIKFRIMETKLLNNESVGATVIHLGGIVSEGYERGLIEVLPAPYNVVLEEDNTYKGEIKIGFKFFTKEEIALTEARESIADGKLQRQPICSKILNLWRIVWLKLSCFIDHKNSSSGQKKQ >KGN56753 pep chromosome:ASM407v2:3:8615764:8621408:1 gene:Csa_3G132550 transcript:KGN56753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSPKKSVSNSPTSSGRPPQAVKFSRRTASGRFVSLSRDEDLDMSGDYSGQTDYINYTVLMPPTPDNQPGGGGTGSDSKSDGMAKSRFGSEARGLVRRVGDPEPNGGDGDAGKMDRRMSVMKSSNNNNKSMLLRSQTSDFDHNRWLFESKGKYGIGNAYWEDGEQDHGYVSEGMSMADFLDKPWRPLTRKIKVPPAVLSPYRLLVFIRMVVLAFFLAWRIRNPNPDAVWLWAMSIVCEIWFAFSWLLDILPKLNPINRATDLGALREKFDQATQTNPTGRSDLPGVDVFVSTADPEKEPPLVTANTILSILAADYPVEKLSCYISDDGGAILSFEAMAEAVKFAEVWVPFCRKHNIEPRNPDSYFNVKTDPTKNKKRPDFVKDRRWIKREYDEFKVRINGLPDAIRKRSEMHNKREEDKEKKLARDKNGGDTPAEPVNVLKATWMADGTHWPGTWLNPSPDHSKGDHAGILQVMTKVPENDPVLGHPDENKLDFTGVDIRVPMFAYVSREKRPGYDHNKKAGAMNAMVRASAVLSNGPFILNLDCDHYLYNCQAMREGMCFMMDRGGDRICYIQFPQRFEGIDPSDRYANHNTVFFDGNMRALDGLQGPVYVGTGCMFRRYALYGFNPPRANEYTGMFGQVKSVARTNYQPQSEEDDSDSQPLTSHPDLDLPKKFGSSTIFTESIPVAEFQGRPLADHISVKNGRPPGALLMARPPLDAQTVAEAVAVISCWYEDKTEWGERIGWIYGSVTEDVVTGYRMHNRGWRSVYCITKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSKNNAFLGSKRLKFLQRVAYLNVGIYPFTSIFLVVYCFLPALSLFSGHFIVQGLNVAFLTYLLIITVCLCLLSLLEVKWSGIALEEWWRNEQFWVIGGTSAHLAAVIQGLLKVVAGIEISFTLTSKSAGDDEDDIYADLYLVKWTSLFIMPLTIMIVNIIAVVIGFSRTVYSVIPQWSKLAGGLFFSFWVLAHMYPFAKGLMGRRGRLPTIVYVWSGLLSITVSLLWISISPPDADGTTQT >KGN57071 pep chromosome:ASM407v2:3:10447876:10449999:1 gene:Csa_3G151490 transcript:KGN57071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVERRRGKMEEERKNEEMKKMGNDYDNYHKVEMVFRVLGFVLSFVAAIVVGLNNQTKVVPLAVSLNSPPLDYTFIAKWHYLSAFVYLLATNIIACSYSFLSLFLLLKNKSKDNILGLLIIVLDTVMVALLFSGSGAAGAVGVIAYQGNTHVQWNKVCDIYGRFCKQVAASTVLSLAGAVVFMSLVVLASVGLQKRPN >KGN56209 pep chromosome:ASM407v2:3:4968336:4974111:1 gene:Csa_3G099700 transcript:KGN56209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLVSNSGAFIINSIQISTSKHPNTPGSLVSLGKHVMPMKFLGTQSVADDQCKHIELVKNRNSRNYFQAMAFVNAISATSFLAVDAAKAETLKHMWEGAASVYTLADGGMGDWFGGILYSAGQQANVAVQDQLSALSFTSLAVIFGAGFVTSLSPCTLSVLPLTLGYIGAFGSGKSKAEVVGNSVAFSLGLATTLALLGIGASFAGKAYGQIGQGLPLAASGLAVIMGLNLLEIIELQLPSFFNNFDPRSAAANFPSSVQAYLAGLTFALAASPCSTPVLATLLGYVATSKDPIIGGSLLLTYTTGYVVPLLLAASFAGALQSLLSFRKFSSWINPISGALLLGGGLYTFLDRLFPVTMAM >KGN57053 pep chromosome:ASM407v2:3:10283591:10286728:1 gene:Csa_3G150820 transcript:KGN57053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVNSLKKRWKSENFIAIFFFFFFISSLSLVIPFSSMAELLCFNLLFLFTLSISFLLQRASASYAGSASSIVNPAKVKQISWSPRAFVYEGFLTDLECDHLISLAKAELKRSSVADNLSGKSKVSEVRTSSGAFIHKAKDPIVSGIEDKIAAWTFLPKDNGEDIQVLRYEYGQKYDAHFDYFADKVNIARGGHRMATVLMYLSDVEKGGETVFPSAEESQRRQASETNEDLSDCAKKGIAVKPRKGDALLFFSLHPNAIPDTSSLHGGCPVIEGEKWSATKWIRVDSFDMVVRDHTNCGDENPSCERWAELGECTNNPEYMVGSPELPGYCRKSCKACS >KGN56343 pep chromosome:ASM407v2:3:6315032:6317809:-1 gene:Csa_3G116800 transcript:KGN56343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRWSWRSYCVVSCPSSVVFMFLVLVSECSPPGDPIKCVSVSPNKYANCTITNFYGSFPDRSICRVAEVIYPTTEAEVMLAVAAATRGLRKMKVGTRFSHSIPKLACPEGEEGVVISTKYLNRTVKVDVEGMSISVEGGATLRQIIEDAATAGLALPSTPYWWGLTIGGLLSTGAHGSSLWGKGSAVHDYVVAVQMVSPGGPEDGYAKQRTILPDDQNHLNAAKVSLGVLGVITQVTLKLQPMFKRSITYLKRDDKDLGDEVIRFGKSYEFGDMSWYPSQRKVVYRIDTRVPSNTSGNGLYDFIPFRPTLSVELALLRASEEEQESRRDAAAKCLSGNLITSTLSLLAYGLTNNVILNKMYNIYMSYINIVGITFLKYPVIGYNNRLQSSGSCLDSLNDFRITACPWDPSIKGEFFYETSVSIELTVVKSFIEDVQKLAELEPMAFCGLELYNGILMRYVTASTAYLGKQQDSVEFDLTYYRSKDPMSPRLFEDVIEEVEQMAVFKYGGIPHWGKNRNVAFHQVFHKYRNIEKFLKIMKEYDPHRLFSNEWTDQILGVEGTVNIYKDGCALEGLCICSKHTHCAPSKGYFCRAGKIYKDARVCSYLQSPNHT >KGN58707 pep chromosome:ASM407v2:3:27308415:27309616:-1 gene:Csa_3G730710 transcript:KGN58707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPTTIQLPAQTTYAALASPLTVLGSQYIAPYPVDLRITKKVMTMKEGSFAVTDVNGTLMFKVKGSVFSLHDRRVLLDAADNPVITFQQKLFSAHRKWYAYRGESRDSKDLLFTVKKSSILQFKTHLDVILATNGSDSGCDFKIKGSWMERACTIFLGDGSSVVAHMHKEHSLQSIVLDKDTFGVTVQPNVDFAFIVALVVILFEINEDRSGND >KGN60441 pep chromosome:ASM407v2:3:39397274:39397510:1 gene:Csa_3G911270 transcript:KGN60441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYGIGRRIKTRNDDESGSGVPICLGEEGLIYLFLHGVKSRHVAVFYHGNPKATEGAEEVHTCKEKAKSKMHCVISAR >KGN58745 pep chromosome:ASM407v2:3:27490937:27493229:1 gene:Csa_3G731070 transcript:KGN58745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVFPLTLVLMGRTGNGKSATGNNILGKKAFVSKKSSSCITKTSTFEKCVRNDGQVINVIDTPGMFDSSSESGSTAKEIMKCMELGSEGIHGVILIFSVRNRFTQEEEATIQTLQNTFGSKIVDYTIVILTGGDEFESDEDIEDYLSRECPLALKDILAACNNRCVIFDNKTKSEEKKDEQVKELLELVKEIIDQNGGQPYKPPLISNQKLAKEFDEVKTKLEHFCTQDFNSDPKLEEKLNEFMLEVSNTLERQLEEEREARRQVEERTLKIQKQYNDETQKLNELLRCSLQRPPPVPVEVEQQSERRLRIQSLFTSFKRLIRQLLF >KGN58135 pep chromosome:ASM407v2:3:21770188:21774732:-1 gene:Csa_3G536670 transcript:KGN58135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEILRTPFRGILNDIRRRAACYKQDWIDGRISGIGILAPTAYIFFASALPVIAFGEQLSRDTDGRLSTVETLASTAICGILHSILGGQPLLVVGVAEPTIIMYTYLYNFCKERKDIGGDLFLAWAGWVCVWTALLLFLLAIFNASRLINKFTRTAGELFGMLISVLFIQEAIKGVVSEFEVPETENTSLENYQFHWIYTNGLLGIIFTFGLLYTALKSRKARSWLYGTGWLRSFIADYGVPLMVVVWTALSFSVPSKVPVGVPRRLQSPLAWQSTSLNHWTIVKDMGKIPPAYIFAAFIPAVMIAGLYFFDHSVASQMAQQKEFNLKNPSAYHYDILLLGFMTLLCGLIGLPPSNGVLPQSPMHTKCLATLRRRLMRRKMVKSAKESIERKASDSEIYGQMQAVFLEMESNPITTTVVKELEDLKEAVMKGESKDENANVTFDLEKHIDAYLPVRVNEQRLSNLLQSLLVAASVFALPAIKKIPTSVLWGYFAYMAIDSLPGNQFWERILLLFVMPSRRYKVLEGFHASFVESVPFKYIAAFTLFQFVYLLLCFGVTWIPIAGVLFPLPFFLLIGIRHRVLPKLLQPHYLQELDAVEYEEVTGTSVLSLSLSLKEKNSTHIVDSEDEVKICDAEILDELTTHRGELKVRTKSFNEDRHNQIHPDQRHW >KGN58946 pep chromosome:ASM407v2:3:28483399:28486139:1 gene:Csa_3G736930 transcript:KGN58946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWQVLLAAAVAGSTGLVAKHILGAGVNPDGTALVEESKKCDESCEDREKPDGIVKLKGPIETEFGSPVVSNELNEFGREGIFRFSSSGSRGATSSRHRSKKLRKKTRIRYRGGVKEARGGNGEMENCTAGRDLAMEPKKSSGRFSVCLKKRRTSKNSGAAKTESCSSKDDSLFHWGIGVGIMYMMSAGKAEINKLNVTVDETAKVVRELKSELYKRKYSRHVEAGKGRECNTIQPEIERSSAEIQRLSEARNYTVSMFDDGECESSVLTEEPDPEIHDMDQLEAELATELEKLPWCSAEDSCQAGALTGLEKTKVSSNEFHGPENLISHTYPSHGVVPAELDQKLCHLLIEQQEHQIGELESELNVAQSKLNEKEAELQALKDCVRRLTEFSLTNASDDETDAHTEKNQSISWEQGFEPMRSVVVGMKRPVESEPWHCNV >KGN59164 pep chromosome:ASM407v2:3:29979027:29986127:-1 gene:Csa_3G778210 transcript:KGN59164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPSISLSIFLFLSLHFTSSFSKIPLSFPSSLLLRPQSSPIDPLLPYQTSFFTQILDHFNFNPQSYQSFQQRYLINDTYWGGAAHNSPIFVYTGNEGNIEWFAQNTGFLLQYAPHFRALVVFIEHRFYGKSIPFGGDEDVANSNSSMLGYLSSTQALADYATLITDLKKNLSAVDSPVLVFGGSYGGMLAAWFRLKYPHIALGALASSAPILQLENITSPYAFNNIVTQDFKSESQNCYSVIKESWHLIDITSTHPQGPQLLRKSFKFCKEAEAESIKNWLSTAIIYTAMTDYPTPSNFLNPLPAYPVKQMCKAIDDPRSGNDSFTKLYGAANIYYNFTGTVTCFDLDDDSDPHDLGDWSWQACTEMILPTGGNTKESIFPASTWHFADRFQFCKTFFDVEPRRIWIPTHFGGHNIERVLKRFGSNIIFFNGLRDPWSGGGVLKNISSTIIAIVAKEGAHHVDLRFSNPDDPKWLKDVRKQEVNIIEDWLSQYYLDLAQY >KGN58623 pep chromosome:ASM407v2:3:26653738:26657432:-1 gene:Csa_3G702610 transcript:KGN58623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFVDGAPFTLQSSSSNKGADVLYALMECPYLVDATNLFKGTPEIRVTVSEESGVERPTMSKWVYVFQKEYATVDPALVDFVGTDEATTCVGIAIRNRKNGITSVAHMDFPDIIQIALSQMLSLVVDPTADAELDVHLVGGFEDVLLKENNNITRKGDRKKMEGYSLPLCNKIIGSLWTRPEKFHLQTLCILQHNTRRDSEGNSYPIFNGFAVKTSDGSVFPASFDSTSRCPDEIVRRIRLSSSYEDPSWEGRLLETYETQTDQFRIEPCRWTPWKQHMALSLQRLSDSEILQSCSTSPSVEGPDFVENARRQWAYLVEHPDWRETFPKKKPRIFRRAANGKWERSN >KGN57553 pep chromosome:ASM407v2:3:14223354:14224701:-1 gene:Csa_3G210480 transcript:KGN57553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCASKPKTSIEKEVTPLPVEESKKETTIMAVLKEAKHENGSEKESDDQVKEKKIDVREKKVEEDIQPLSLGCLLIQDKKEVEIPTETKKEEPKEVKVEAAKPKEAAPKAAIVAPTTAEPQKLTAIVDAAEPQKATAVVQAAEPQKPTAVVAAAEPQKATPVVAAAEPQKATPVQQLNHNATPAVAAAGPQKATPFVAAAEPQKATPVVAAAEPQKATPVVEAAEPKKATEGEKTGVEVESLVEKIAKIEAASVAVTTKTQ >KGN60092 pep chromosome:ASM407v2:3:36782362:36785485:-1 gene:Csa_3G877630 transcript:KGN60092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDGVCALDVVDFPPLQSTTTPEKHRRSFSLLSSPLMIVGLSRKNVLYRQLPHQPLMLSVLKLDGSSFDIQVRRSATVAELKGAVELVFSHMPQHGPGKISWLHVWWHFCLCYAGQKLVDDADYIASFGIKDGDQLQFVRHVTTGYNVIRKQSKKLVVSSKLISRISSRSKSFKQNDQKDMERYNYNDIESGRCQHSGSNNNDLFMNHEPKMVVFLGGWFSHTKLASAGKMSIKSLVRPSVTRPSLVRGFKNLIQLCREKRHYEKVNKKKRSIIGV >KGN58783 pep chromosome:ASM407v2:3:27694664:27698635:1 gene:Csa_3G732410 transcript:KGN58783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVIKTQQISSRPVEKVVVHPLVLLSIVDNYNRVAKDSRKRVVGVLLGSSFKGTVDVSNSYAVPFEEDEKDPSIWFLDHNYHESMFSMFKRINAKEHIVGWYSTGPKLRENDLDIHQLFHDYLSNPVLVIIDVQPKELGIPTKAYYDVEEVKENATHKSQKIFVHVPSVIAAHEVEEIGVEHLLRDVKDTTISTLANEVTGKLTALKGLDARLSEIRGYLDLVIDKKLPLNHEILYHLQDVFNLLPNLNVAELIKSFAVKTNDMMLVIYLSSLIRSVIALHNLINNKMLNKEHEKAEDAKPAIVPTASGN >KGN58720 pep chromosome:ASM407v2:3:27371018:27377535:1 gene:Csa_3G730840 transcript:KGN58720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTRKKALKFKPGPAARFNADASCRNLSKCHLGGVIFGCTNSTIKECLSKQLFGLPSQHFSYVMNIDPGLPLFLFNYSERKLHGIFEAASSGQMNINTYGWTADGSERTPYPAQVQIMVRRQCQPLLENQFKPIITDNYYGLNHFWFELDHAQTNKLISLLASQAMAPSVRPSTTNHRPFCTVLPSLETREGSEKIKPQNMDVQWDLASQVADTIDVTSSLDAGNSAFEVHCDENEVNEEEKNRLLHKLQELARNHHESPMLPLTSDTDHTALNKDKNLENSDRYVEPIKSKESSVEDFGSSTEFPSLIAKLVQEIHELRESKAEQTEKIVLLEEKLLVAEGEIMELKSFFTLQNSNALEAKRVVEEEQIENSCLDPRESIFLIGGYDGASHLSTLELYDPSRDMIKSLRAMRSVRGYASVAWLNSQLYVLGGGNGCVWYNTVESYNLETDQWTLSPSLNLEKGSLGGVNIGDKLFAIGGGNGIESLSDVEMLDLYLGRWIRTRSMLQRRFAVGAVELNGVLYATGGFDGSDYLKSAERFDIREHSWTQIASMNEKRGCHSLVTLNDKLYALGGFDGRSMVSSVEVYDPRMESWIIGEPMKRMRGYAAAGVINESIYIIGGVLVDDKILDTVETYKEGYGWQEKTSKVLKKRCFQSAIVL >KGN60112 pep chromosome:ASM407v2:3:36928715:36932227:-1 gene:Csa_3G878810 transcript:KGN60112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLSAPATAPEALPGSPSISDRGRFFFRRRPFPSNFTLLHRPPVCRTLSLTFARRRNQNSSVSSSSSSSKKKKRNLIPKEARDEEEDVEEDALELLFSQLEEDLKNDASSLDEGEDDEFSEEDLARLERELGLALGIDVEEEEEEDDDDGDDEDDEEEEMPVKLKNWQLRRLALALKKGRRKTSIKSLAAELCLDRTVVLELLREPPPNLLMLSASLPDTPKPSIIETKTLQTTDEEPLVVDTAKEEEVTKVPVHVMQQSWAAQKRLKKVQIETLERVYRRTKRPTNAMISSIVQVTNLPRKRIVKWFEDKRVEDGVPDPRLPFDRSSPQSA >KGN57613 pep chromosome:ASM407v2:3:14987499:14987796:1 gene:Csa_3G229390 transcript:KGN57613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLLGNRRNSSSSSSALPLAHPFFRKKELELHSPFSVSSKDFEGSPIDHEMFTHEDIKGTDFQALVTFPFNNRLQWTFV >KGN58420 pep chromosome:ASM407v2:3:25078248:25081689:-1 gene:Csa_3G640620 transcript:KGN58420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIDGAVQNQPQQQQPLPAQQVVFAERLNQAVQQQLNLEALKNRATTLFKAISRILEDFDVYGRTNTTPKWQDILGQYSMVNLELFNIVDEIKKVSKAFVVHPKNVNAENSTILPVMLSSKLLPEMEVDDNSKREQLLLGMHNMPVSTQIEKLKTRIEMIGAACESAEKILAETRKAYCFGMRQGPAIAPTLDKAQAAKIQEQENLLRAAVNFGEGLRLPGEQRQITPTLPMHLIDVLNAGDGVQSFNETSGMYAKSTPLTSNNISSQGAVIQVR >KGN57901 pep chromosome:ASM407v2:3:18826017:18826310:1 gene:Csa_3G383770 transcript:KGN57901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEPFSLKLLQMDDVSDVSDVSDVSDVSDVSDVSDVSDVSDVSDVSDVSDVSDVSDVSDGRKEF >KGN58101 pep chromosome:ASM407v2:3:21344892:21348335:-1 gene:Csa_3G509960 transcript:KGN58101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMKFKTIVSPLTDLQFNTHLPKSEALALNSSFSLSLPSSLRRNNSLPLHICPPFIQSSAIRRRRSLPSSCLASDGTALNVEAKVTVDDLSLEVKKKAMDVAPELKGTSIFLVGINSSIKTKLGKLLADVLRYYYFDSDSLVVEVSGGEAAAKLYKQSDEKGFQAFETEVLKQLSSMGRLVVCAGNGAVQSSTNLALLRHGITLWIDLPLQMIAEEFAEDRSQHPVFDISTSGSYSEVLGQITSLYQKVKDGYATADALISLQKLASKLGYDDFNAVTTEDMAMEALKEIEKLTRVKKMIEAAAKPF >KGN58650 pep chromosome:ASM407v2:3:26882246:26882616:-1 gene:Csa_3G710770 transcript:KGN58650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLFEFIKVEAAFFAFPGRWLLAPAPPAPDLPPPLAFETVQLSAAVLLFSSLPPPPFTPNQKTINN >KGN59989 pep chromosome:ASM407v2:3:36027788:36029260:1 gene:Csa_3G860250 transcript:KGN59989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPQEFLPSPKTIPSLMASLTASAVLFRTFYNELIPDAVRDYFVSRLHDFYTRFSSQLIIVIEELDGLTVNQMFDAANVYLGTKVSSSTRRIKVHKPQKEKELAVTIDRNQELIDIFQGVNFKWVLVSSRIERPISSKNRNANVHEHSDVRHFELSFHKKHREMALRFYLPHILREANTIGDEKKAMKLHTIDYNGTHYWGSIDLNHPATFDTIAMNPETKKALIDDLNTFIERKEYYRRVGRAWKRGYLLYGPPGTGKSSLIAAMANYLKFDIYDMDLKEVQYNSDLRRLLIGTGNRSILVIEDIDCSIELQDRSSDSKNQTKSTEDEKITLSGLLNFIDGLWSSCGDERIVVFTTNHMDRLDPALLRPGRMDMHLHMSYCDFGGFKILAYNYLLIQEHPLFEKIKEFLNKVEATPAELAGELMKSDDTISSLQGIIQLLHDKQEKTRLSDLRINSGKA >KGN56207 pep chromosome:ASM407v2:3:4961841:4963568:1 gene:Csa_3G099680 transcript:KGN56207 gene_biotype:protein_coding transcript_biotype:protein_coding description:LHCII type III chlorophyll a/b binding protein MAATAATATLLRATPFLGQARASPQNPLRDVVAMGTGKYTMSNDLWYGPDRVKYLGPFSAQTPSYLNGEFPGDYGWDTAGLSADPEAFAKNRALEVIHGRWAMLGALGCITPEVLEKWLKVDFKEPVWFKAGSQIFTEGGLDYLGNPNLVHAQSILAVLGFQVVLMGLVEGFRINGLDGVGEGNDLYPGGQYFDPLGLADDPVTFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLLDHLDDPVANNAWVYATKFAPGS >KGN56318 pep chromosome:ASM407v2:3:6108192:6108522:1 gene:Csa_3G115070 transcript:KGN56318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKKIPPATETLRDSKPPAIGMLKSSQTCQDFSDRPLPSQPKTKHNGKSFKEFENCWERTDTDDSKCQKLLEAEKMLHAKLEVPSR >KGN57183 pep chromosome:ASM407v2:3:11209054:11210325:-1 gene:Csa_3G168940 transcript:KGN57183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGNKDRMFDSGTLHGGEDDADLRRGPWTVEEDIILINYIHNHGDGRWNSLARCAGLKRTGKSCRLRWLNYLRPDVRRGNITLEEQLLILELHSRWGNRWSKIAQQLPGRTDNEIKNYWRTRVQKHAKQLKCDVNSKQFKDTMRYLWMPRLVERIQAASVASTAVDGSRSYGSVTPTQPALMMNGGSDFVSTPQVTPSYTSENSSSGGGGGSSDGTQVSSMSELTDCYNNFSCFPSGYGQDAAAAAALQSPAGGFFNEGTLDFQAMEQSSCEWMAGDGGVVTSESLWSGAGDGADYLWFLQQQLN >KGN60408 pep chromosome:ASM407v2:3:39119959:39129337:-1 gene:Csa_3G903480 transcript:KGN60408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSIMKLLEDDEDESMHSGAAVDAFQAALNRDIEGDVQAVAQTSESDAAFPQGNNNGSSTLSLQASSQSENSETHVQQNQNFRLKQEQHSSLMELERSVPENQQQHNSAPFQVSKNQPQADREQGEGEQVSAQFSQTAGLQVSEKAPILVNDSNRMQNRDNESQYLKLQKMSNQQSMVAEQANNPLNRSKQVPFASLMPVLMPQLDKDRGMQLQTLFNRLKRNEMNKDDFIRLMRGVVGDQMLRLAVCQVQSQPPPSVRQLPPRMPSMGPGTPNFSDPRPFTQLHPKGMNPPAVQSYMPSPASQGRSSSGYPAMDKNMQSLREVEQRPDCNGNQITSSSTSTIQDRERSSVSVPGLEKQQLHFQQKSFNMYGNSGNYHPYTGSNMNASSLSLKPQPHEGQVKQISQQAPNFDRQVTINDSKRVQAGSVPHLHNNLTSQQNSWKSSTSKEQTITSYVKQEPSDQVSEQSKTQHSNLQGLSSIPSMQAEQVNTNPGIAKDPFDKQTSKMGFPTPNNVMPPTSTNAANSISSDSSSLHESNAAVPSATTPGMQNRAPQKKAAVGQKKPLEALGSSPPLSSKKQKVSGAFADQSIEQLNDVTAVSGVNIREEEEQLFSSAKEDSRASEASRRVVQEEEERLLLQKAPLQKKLVEIMAKCGLKGMSNDVEKCLSLCVEERLRGVISNLIRLSKQRVDAEKPRHRTVITSDVRQQITLVNQKAREEWEKKQAEEEKLRKLNDPDDGSGVSGDKEKDEGRMKSLKVNKEEDDKMRTTAANVAARAAVGGDDMLSKWQLMAEQARQKREGGVDSASSSQAGKDAVRKSSSAAGRHGKDNLEGERKGTSRKFGRNQTNATQTKVARSISVKDVIAVLQREPQMSRSTTIYRLFNRVHPESTGE >KGN58175 pep chromosome:ASM407v2:3:22435128:22441265:1 gene:Csa_3G585870 transcript:KGN58175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVPLSSLCLTTNPVPRGIGSSSSYRFSFTTFGIRFGIQSPVTKRIRSSVCAAASLAFRDLDADDFRHPLDKQNTMILRAIPGLSELGKVLLGTVAEQVMLLENIGTSILVSENQLSDLHQLMIEAAEVLNVEAPDLYVRQNPVPNAYTLAISGKKPFVVVHTGLVELLTGKELQAVLAHELGHLKCDHGVWLTFANILTVGAYTVPGLGGFLARNLEEQLFRWLRAAELTCDRAALLVAQDSKVVISVLMKLAGGCPSIADQLNVDAFLEQARSYDKASSSPIGWYIRNAQTRQLSHPLPVLRAREVDDWSKGQEYKNLLKRGTKINFVETA >KGN56769 pep chromosome:ASM407v2:3:8732045:8735946:1 gene:Csa_3G133200 transcript:KGN56769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMPYSRQYFSISFFFFFFSFFSVLHCVSYVYASNGEAAMLFSWLRSSGSGSHFSDWNALDASPCNWTSISCSPHGFVTDISIQFVPLRLPLPSNLSSFRFLQKLVVSGANVTGKIPDDIGNCTELVVLDLSFNNLVGSIPGSIGNLRKLEDLILNGNQLTGSIPAELGFCSSLKNLFIFDNLLSGFLPPDIGKLENLEVLRAGGNKEITGEIPPEFGNCSKLALLGLADTRISGRLPSSLGKLKNLRTLSIYTTLLSGEIPSDLGNCSELVDLYLYENRLSGSIPPQIGDLKKLEQLFLWQNNLIGAIPKEIGNCSSLRRIDFSLNYLSGTLPLTLGKLSKLEEFMISDNNVSGSIPSSLSDAKNLLQLQFDNNQISGLIPPELGTLSKLTVLLAWQNQLEGSIPESLEGCSSLEAIDLSHNSLTGVIPSGLFQLRNLSKLLLISNDISGPIPPEIGNGSSLVRLRLGNNRITGGIPRTIGRLSSLDFLDLSGNRISGPLPDEIGNCKELQMIDLSYNALEGPLPNSLASLSELQVFDVSSNRFLGELPGSFGSLVSLNKLVLRANLLSGSIPPSLGLCSGLQRLDLSNNHFTGNIPVELGQLDGLEIALNLSNNELYGPIPPQMSALTKLSVLDLSRNNLEGDLKPLAGLSNLVSLNISYNNFSGYLPDNKLFRQLSPTDLTGNERLCSSIRDSCFSMDGSGLTRNGNNVRLSHKLKLAIALLVALTFVMMIMGIIAVVRARRNIIDDDDSELGDKWPWQFTPFQKLNFSVDQVLRSLIDSNVIGKGCSGVVYRADIGNGETIAVKKLWPTISAAADGYTDEKPRVRDSFSTEVKTLGLIRHKNIVRFLGCCWNKNTRLLMYDYMPNGSLGSLLHERGGKNDALDWGLRYKILLGAAQGLAYLHHDCVPAIVHRDIKANNILVGLDFEPYIADFGLAKLVDEGNFGRSSNTVAGSYGYIAPEYGYMMKITEKSDVYSFGVVVLEVLTGKQPIDPTIPGGLHVVDWVRQKKGVGVLDSALLSRPESEIEEMMQVLGIALLCVNFSPDERPNMKDVAAMLKEIKQETDSKIDVFVEGGCDGQENKRPRGVLAMATASSSANKLGIESVCVKSDGFSLTSSSLLHPSSSTAKMGAK >KGN56642 pep chromosome:ASM407v2:3:7970174:7971319:1 gene:Csa_3G127050 transcript:KGN56642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLDLGSASHFVHQLQHRSVDLHLQHQTDVDDGSDHQPNSGGEIVARRSRGRPPGSKNKPKPPVIITRESANTLRAHILEVNTGCDVFDSVATYARKRQRGVCILSGTGAVTNVTLRQPSSTGGAITLPGRFEILSLTGSFLPPPAPPGATSLTIFLAGGQGQIVGGNVVGSLIASGPVIVIASSFTNVAYERLPLDEEEQPPNGGGSLSNPFPDPSVGLPLFNMPSSNMAGNQNQLPVDGWGGGNSGGRASY >KGN59488 pep chromosome:ASM407v2:3:32281650:32284171:1 gene:Csa_3G822390 transcript:KGN59488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADADQLPRRKPLGNKRANRDPDSATVTAPCGACKFLRRKCVNGCIFAPHFASDQGAARFAAVHKIFGASNVSKLLLHIPVSRRNDAVVTISYEAQARLSDPVYGCVSTVLALQQQVASLQAELAAVQAQLISSRLAFAAAVQNSQSSQVGVLQPAYSNNSSISASTSAPNAINLSSFASNYDLPTETAPSSHHLEPLHFPHRPIDEEEDEENSQLPAIFAD >KGN57095 pep chromosome:ASM407v2:3:10624208:10627510:-1 gene:Csa_3G153200 transcript:KGN57095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITEDSTINYKISPPNSYGAVVLGGTFDRLHDGHRLFLKAAAELARDRIWIGVCDGPMLSKKKFPELIQPIEERMQNVVNYIKSVKPTLLVRVEPIVDPYGPSIVEEALEAIVVSKETLPGGMSVNKKRSENGLSQLKIEVVDLVTEEQSGGEKLSSSTLRKLEAEKAASALQQQKD >KGN56969 pep chromosome:ASM407v2:3:9843058:9845725:1 gene:Csa_3G146540 transcript:KGN56969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVERARGESQSMSGSLQSLCWGSSAFGGIVSSYFSGSLVDAYGVRFVFGVTALLPLITSVVAVLVKEQPVRGINRPSANYDFVRSSRQHVVELWGAVSQRNVLLPTLFIFLWQATPQSDSAMFFFTTNKLGFTPEFLGRVKLVTSIASLLGVGLYNGFLKKVPLRKIFLVTTIFGCALGMSQVLLVTGLNREWGISDEWFAIGDSLIITVLGQASFMPVLVLAAKLCPEGMEATLFATLMSISNGGSVLGGLIGAGLTQMFGVTKDSFENLSTLIILCNLSSLLPLPLLRLLPQETPDSNSDTIDVELKSN >KGN57668 pep chromosome:ASM407v2:3:15398890:15399298:1 gene:Csa_3G239320 transcript:KGN57668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKSSSCTSNGKGEICSCKGHVARNDKRTSQWRAFHERMRDCVDDLHFSGEKLPINVGRPDGGGVLGGGHGGGTVMVDWDRTGEERGERREHC >KGN56757 pep chromosome:ASM407v2:3:8644648:8647398:-1 gene:Csa_3G132590 transcript:KGN56757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIDVSKYAHSPVHKAVAARDYTNLKKILAGLPRLCNPSEIHTEAASLAEENKADAISAVVDRRDVPNRDTPLHLAVKLGDETATEMLMVAGADWSLQNEHGWSALQEAICSRQEGIAMIIVRHYQPLAWAKWCRRLPRLIETMRRMRDFYMEITFHFESSVIPFISRIAPSDTYKIWKRGANLRADMTLAGFDGFRIQRADQSILFLGDGTEDGKVPSGSLCMISHKEKEVMNALDGAGAQATEEEIRQEVTAMSQTNIFRPGIDVTQAVLLPQLTWRRQEKTEQVGAWKAKVYDMHNVVVSIKSRRVPGAMTDDEFFSSCNENETESEEFDDILTEDERKQLENALKLDSSELTSENGDGIVAHRHSCFEQREVPVEDVNGCRNGETRQEKKGWFGGWRKRDSKNEGQKKIAPPRSSLSMDDKVSDLLGDCPPENNSSRPGRHSVETVSEHRRGRDTRSTSSTSESKNRHKDGSHENEYKKGLRPVLWLSPNFPLQTEELLPLLDILANKVKAVRRLRELLTTKLPLGTFPVKVAIPVVPTIRVIVTFTKYEELQPVDEFATPPSSPTAAARRESPSVTSTLSSSWFQWIKAPYQRPGTSTSVSSSSRIETLEDPFVIPCDYTWVTAEAKKKKMQEKNKAKKGRSRRD >KGN56774 pep chromosome:ASM407v2:3:8747224:8750136:1 gene:Csa_3G133250 transcript:KGN56774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNESYRLVRATVVSPRSSLPHSLSLVPTRALQRLLATHLAFPLQKAIGALEDFRRRYFLWTNRNNCLTATFDKKTIKTLKEQLFGIEYEVRVINGFTNNSSLPLVIWCASKDGDIGGRALQEHDDFSWPVKTNFWITTTTSQFSCTVKLDRTRKSFDAFKVPRDIYRCSALRKCSWLVMEDGFYFSDDEVNWKKDFSW >KGN58366 pep chromosome:ASM407v2:3:24503689:24506742:-1 gene:Csa_3G629240 transcript:KGN58366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQLLFLVLFAEGVVALLLLVKIGLLREFVIKSLDQLKMGKGPATVKTIAATMSVILLSSLMNIVKIQNKGAKLGTMSPMDQVLWRTQLLEASLIGFTLFLGFIIDRMHHYFQKLIGLRENIGSSKEEVERLQKEKTELAQKEEKCSKQIKELREEISILSANLKKVKQESVEKDKRIETADAHVTSLQKQAADLLLEYDRLLEDNQNLQNQTLGGYKK >KGN59442 pep chromosome:ASM407v2:3:31922073:31922792:-1 gene:Csa_3G820480 transcript:KGN59442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRSLLVSELTTTMKKNTKTTRKRKSCEENKQPLKVVYISNPMRVHTSASEFRALVQELTGRDAEFPDPTKFYPASSCEIMNDDDVEKKVVAAEGEEDEQELLIDSSCDDDFLRSSYESLEDILRRDVMENFGAISTSLFNPLV >KGN59487 pep chromosome:ASM407v2:3:32276055:32278303:-1 gene:Csa_3G822380 transcript:KGN59487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRFYRSPTLSRVLLRRYSAATAPATAPAFDSVSEPLFSYLEGFPKPDPKYAETILAIPRSISGKSISAKERKAGRVPSIVFEQEDGQHGGNKRLISVRTNQIVKLVKNLGRSFFLSRLFDLEVLSDFESGDIVEKVRVLPRKIHLHAGTDAPLNVTFIRAPSHAVLKVDVPLVFRGEDVCPGLRKGSYLNTIKRTVKYLCPADIIPPYIDVDLSELDVGQKLVMGDLKVHPALKLVKSKDEPVCKIAGARVSDQQKKSK >KGN60126 pep chromosome:ASM407v2:3:37009441:37011982:-1 gene:Csa_3G878950 transcript:KGN60126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVDYYNILKVNRNANDDDLKKAYRKLAMKWHPDKNPNNKKEAETKFKQISEAYEVLSDPQKKAIYDQYGEEGLKDMPPPGSGGFPFGNGGGGGSSGFNPRNAEDIFAEFFGSSPFGFGSSGPGKSMRYQSEGIFGGFGGSENIFRTYSENVTPKKPAPVESKLPCTLEELYSGSTRKMKISRTVVDANGRQVPETEILTIDVKPGWKKGTKITFPDKGNEQPNQLPADLVFVIDEKPHDVFKRDGNDIIMNHRVTLAEALGGTTINLTTLDGRSLSIPVIDIVSPGYELVIAREGMPIVREPGNRGDLRIKFDVKFPTRLTPEQRAGLKRALGG >KGN57253 pep chromosome:ASM407v2:3:11724933:11727437:-1 gene:Csa_3G174560 transcript:KGN57253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYMANIGEDELEWIEKVRSGGTIPLRGVDGNYSNCWSSPHGDKFLVRGPEYFSTKAKVPAGESLLKPLGFDWIRSSAKIGEILNHPNSRVQKAIKDSFPTGPRPFIWAFNLQLPSKENYNLVSYFASIEPLPKGSLIDQFLKGDDHFRNSRLKLIADVVEGPWIVKKAVGEQAICVVGRVLSCKYIVGDNFFEVDIDVGSNIMAKAVFHLVFGYFTTLTADIAFLIEGKTKVEVPERILGCFRFSELNPSSAMPMEPSNSMGSDATNTNLQTSMTTRLWKSIR >KGN57793 pep chromosome:ASM407v2:3:17295368:17305882:1 gene:Csa_3G305640 transcript:KGN57793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQQSSRLHRLLTLLDTGSTQATRFTAARQLGEIAKSHPQDLTSLLKKVSQYLRSKNWDTRVAAAHAIGAIAQNVKHTSVSELIDCVGLKISEAGLSVSIKDVLTISDVQSAFKSFDMNNVLEFGALVASGGQEFDVTSENIKSPKERLARQKQNLRRRLGLDACEQFIDVNDMIRDEDLILDKVNMYDNGGDRQMFPSKSIHNIQQFVANMVPYIVSKRPSAREMNLLKRKAKINSKDQTKHWSEEGETDVAGTQLVETPRGLGPDLLSSQKSAVSVNNDDDSGDHDGDGQWPFHNFVEQILLDMFDSNWEVRHGSVMALREILTHQGGCAGVILSDISIDGAPFSTLEDESVPNKLKRERDIDLNTQLMEDEFEFKPKRPKFEDASCPHVNVMVSADEDINLGVNLKVETDDRLMPDDQPGVQFEICSVKVEDHPNGSCYPHVDTPTAAVEECPDSKLPCEDTTMLTNFSENRELRNLVKLTRHSWLKNFEFLQDCAIRLLCILLLDRFGDYVSDQVVAPVRETCAQALGAVFKYMHPTLVNETLHILLQMQFRQEWEIRHGSLLGIKYLVAVRKEGLKRKGRLKKLVIIGIHSWYVGVVFNITRSIQLHRERTCGSESGMEPAHSGFVGGRNAIIIYLWVVFTSPPADSILAGIRVVTGPLGFLLTVKIYTGDPLN >KGN59101 pep chromosome:ASM407v2:3:29610904:29611215:1 gene:Csa_3G769660 transcript:KGN59101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKFLVYKKKEISRKVDPVMDIRPFLRTTNFIKGTTKWCGGLTKSNEPDFEIRWEEMKFIFKLMEIQMDSFRLNVQSSLKDFLFCLELQLSREGRRHWRMGR >KGN57551 pep chromosome:ASM407v2:3:14208820:14211836:-1 gene:Csa_3G209970 transcript:KGN57551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSAVAILCLLLLSAVVTVSADAADCIDGCFTACVQKDSRAMQRCERKCTIKCGPDNKVEENTAR >KGN59064 pep chromosome:ASM407v2:3:29168861:29169678:1 gene:Csa_3G750900 transcript:KGN59064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRGFCRSKWHKNFKMKRNPRKVKWTKAYIGGCMEKDVTWDSTFEFERKRNRPERYDRNFAEEPLKAIKKIDKDTADAWKESKGARRGSEGGSFPHPSKDQSQGGTNQKQSKISPMEE >KGN56107 pep chromosome:ASM407v2:3:3954933:3960762:1 gene:Csa_3G073960 transcript:KGN56107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTTDESSRILHEIETLKSAKFDLERRISALESQLHNLNQPHNNGVSNASSTSPSTFPHALSPDMIYRYSRHLLLPSFGVQGQLRLSKSSILVVGAGGLGSPALLYLAASGVGRLGIVDHDVVELNNMHRQIIHTEAYIGQSKVESAAATCRSINSTVQIVEHKEALRTSNALEIFSKYDIIVDATDNAPSRYMISDCCVVMGKPLVSGAALGLEGQLTVYNYNGGPCYRCLFPTPPPTTACQRCADSGVLGVVPGIIGCLQALEAIKIASAVGDPLSGRMLLFDALAARIRIVKIRGRSVQCEVCGENSEFKAAQFQEFDYEKFTQTPLSTSPLKLKLLEPNSRISAKEYRDRLRSGEPHVLVDVRPEHHFKIVSLPNSLNVPLASLEGRVEEVVWALKEKEENKQNDDVKVYVVCRRGNDSQRAVKYLQEKGYPSAKDIIGGLEGWAQEVDPTFPSY >KGN56813 pep chromosome:ASM407v2:3:8936429:8938807:1 gene:Csa_3G134620 transcript:KGN56813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRRAPGWVRGLGIRRFSGGTRRRIEDEGDWFYASEWWGNDHDSDAHTVFRSTSEKGNGVVSVLTYPSSRPNGLHWPGTGRWLQQRYAEVCSGCKNEGRFGILGYQWRVLRFNDNTRQSTAKVLAAYRESEPESIFLMQQAHCLAVPYLKSMVSVGLTTVASCGYDLSNAIRGKQNLNILCIGHGGGSLPLFLASKIQGANVDIVEIDPLVISASIQAMGFPAFSVMTASGDRLSGQPRFIDDIMWKGIHERLFLYELDAEDFISNTTNLYDMVFIDAYDGDDIFPHKLWDPNSTFLEALTKRVHPKHGTVVVNLHSDSDVVEPDGSVPSVLEHILPMGKYVSQIGRAYIDVLVGDEKNSGLGFTVAVPWVCNTSLVVCKGLKMNCEYLNRDSVMNTLISKSLEVERLLKLPFSCLEYIKRGFILID >KGN57216 pep chromosome:ASM407v2:3:11449889:11450098:-1 gene:Csa_3G171730 transcript:KGN57216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGRDYGNDYEGHYFEDQSGEIRRRMWPSDEDRGSRWVAEPGIDRKASAFIARFYEARVSDPERQTLSL >KGN60418 pep chromosome:ASM407v2:3:39209735:39214306:1 gene:Csa_3G904070 transcript:KGN60418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANCLEICNENLQGGETKEEREVCTLDGTIDWHGQPAIRSKSGGWVAGIIILLNQGLATLAFFGVGVNLVLFLTRVLQQNNADAANSVSKWTGTVYIFSLVGAFLSDSYWGRYKTCAIFQIIFVIGLVSLSITSQLFLIKPKGCGDEDTPCESHSRLGNALFYLSIYLIALGNGGYQPNIATFGADQFDEEDSTEGHSKVAFFSYFYLALNLGSLFSNTILGYFEDEGVWALGFWVSAGSAFAALVLFLCGIPRYRHFKPSGNPLSRFCQVIVAAAKKSTVKMPASGDELYSLDAKDCSMNRGRRILHTHGFKFLDRAAYISSRDLDNQGRGIGNPWRLCPITQVEEVKCILRLLPIWLCTIIYSVVFTQMASLFVEQGAAMKTVVSNFHIPPASMSSFDILSVAVFIFLYRRVLDPLAGKLRKSDSKGLTELQRMGIGLIIAVMAMVSAGIVECYRLKYAKGDCTHCEGSSSLSIFWQVPQYAFIGASEVFMYVGQLEFFNAQTPDGLKSFGSALCMTSISLGNYVSSLLVTMVMKISTEDHMPGWIPGNLNRGHLDRFYFLLAGLTAIDFAVYIMCARWYKCIKLEGKCEENEDLEHNKV >KGN56601 pep chromosome:ASM407v2:3:7726724:7730243:1 gene:Csa_3G126160 transcript:KGN56601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRSGPAMAWNVFKFCTALRGLGSIMILLVLGVVGVTYYAVVLTNYGPALYDGGLDSLIAFAVLISFHCLLVMLLWSYFSVVLTDPGSVPPNWRPAVDEERAEGDPLNTMEFSILHPELSNQRIRYCRKCNHLKPPRCHHCSVCGRCVLKMDHHCVWVVNCVGALNYKYFLLFLLYTFLETSVVTLSLLPHFIAFFSEGEIPGTPSTLATTFIAFVLNLAFALSVMGFLIMHISLVAANTTTIEAYEKKTTPKWRYDLGRKRNFEQVFGMDKRYWLIPAYSDEDLRRMPALQGLEYPSKPELESQEF >KGN57357 pep chromosome:ASM407v2:3:12507692:12511627:1 gene:Csa_3G181440 transcript:KGN57357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFLMGMELKRFFFIFIICFDLCILSSNGATLQNEIIIERESLVSFKASLETSEILPWNSSVPHCFWVGVSCRLGRVTELSLSSLSLKGQLSRSLFDLLSLSVLDLSNNLLYGSIPPQIYNLRSLKVLALGENQFSGDFPIELTELTQLENLKLGANLFSGKIPPELGNLKQLRTLDLSSNAFVGNVPPHIGNLTKILSLDLGNNLLSGSLPLTIFTELTSLTSLDISNNSFSGSIPPEIGNLKHLAGLYIGINHFSGELPPEVGNLVLLENFFSPSCSLTGPLPDELSKLKSLSKLDLSYNPLGCSIPKTIGELQNLTILNLVYTELNGSIPAELGRCRNLKTLMLSFNYLSGVLPPELSELSMLTFSAERNQLSGPLPSWFGKWDHVDSILLSSNRFTGGIPPEIGNCSKLNHLSLSNNLLTGPIPKEICNAASLMEIDLDSNFLSGTIDDTFVTCKNLTQLVLVDNQIVGAIPEYFSDLPLLVINLDANNFTGYLPTSIWNSVDLMEFSAANNQLEGHLPPEIGYAASLERLVLSNNRLTGIIPDEIGNLTALSVLNLNSNLLEGTIPAMLGDCSALTTLDLGNNSLNGSIPEKLADLSELQCLVLSHNNLSGAIPSKPSAYFRQLTIPDLSFVQHHGVFDLSHNRLSGTIPDELGNCVVVVDLLLNNNLLSGAIPSSLSQLTNLTTLDLSSNTLTGPIPAEIGKALKLQGLYLGNNRLMGMIPESFSHLNSLVKLNLTGNRLSGSVPKTFGGLKALTHLDLSCNELDGDLPSSLSSMLNLVGLYVQENRLSGQVVELFPSSMSWKIETLNLSDNYLEGVLPRTLGNLSYLTTLDLHGNKFAGTIPSDLGDLMQLEYLDVSNNSLSGEIPEKICSLVNMFYLNLAENSLEGPIPRSGICQNLSKSSLVGNKDLCGRILGFNCRIKSLERSAVLNSWSVAGIIIVSVLIVLTVAFAMRRRIIGIQRDSDPEEMEESKLNSFIDPNLYFLSSSRSKEPLSINVAMFEQPLLKLTLVDILEATNNFCKTNIIGDGGFGTVYKATLPDGKVVAVKKLSEAKTQGHREFIAEMETIGKVKHHNLVPLLGYCSLGEEKLLVYEYMVNGSLDLWLRNRTDFEPKVADFGLARLISACETHVTTEIAGTFGYIPPEYGQSGRSTTKGDVYSFGVILLELVTGKEPTGPDFKEIEGGNLVGWVFQKINKGQAADVLDATVLNADSKHMMLQTLQIACVCLSENPANRPSMLQVLKFLKGIKDE >KGN60033 pep chromosome:ASM407v2:3:36419770:36422708:1 gene:Csa_3G872150 transcript:KGN60033 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin coat assembly protein ap17 MIRFILLQNRQGKTRLAKYYVPLEESEKHKVEYEVHRLVVNRDPKFTNFVEFRTHKVIYRRYAGLFFSLCVDITDNELAYLECIHLFVEILDHFFSNVCELDLVFNFHKVYLILDEFILAGELQETSKKAIIERMGELEKLE >KGN58600 pep chromosome:ASM407v2:3:26501963:26509958:-1 gene:Csa_3G697930 transcript:KGN58600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSEMGSEDRVDDRTFKVDFTGDGMAKLRERIKLKMKEFMGDYTDDTLVEYVIVLLRNGRRREEAQNELNVFLADDSHSFVSWLWDHLASSMDLYVEPPAKASANEVPTQKSPVAEPDTRNNSHNLESDSERGKTEKLSSRRRTREWRGIANEETRVVPRSEVSRVKHSSPEQVPGHRKRSRPDDHQGTEREAAFQVSIAAPRRLLQFAMRDAVATTRPSNASKEPHSKRLRSVVSASNSDTTNRPRRLQSVAKVPNPMATVIKAVTEASEDVVRVKSSSVFDRLGRQSRDMDLTESSGRPSEYGATFVENDEYGDMNHSEDRPYSATYLERGNYIGKYSRDEPMFEPETGLASDSTSENEDVNIQGHRVFDDSWTAESGVRKGSNLRTVAFRAVENSDDERVAQYTQKDQPSLAANSSRDIVNISVNVNTWKPPHYQDQGQIPELAGQKFLQESELQGTRSAVQVMENGEPVTLVNQRKTPASNLQEEFQKPPLSANGQFAGTRPLEEADARTIFVSNVHFAATKDSLSRHFNKFGEVLKVIIVTDATTGQPKGSAYVEFMRKESAENALSLDGTSFMSRILKVVRKNASQLEGASTVIWPRAVRGSPFPTSRFTRAPFMRGVPGGFRARPPMKLRARSMQWKRDNQTTTADNGASLSGNSIPSPGARSLTYVRTEPKPVDK >KGN59340 pep chromosome:ASM407v2:3:31169576:31173709:-1 gene:Csa_3G812120 transcript:KGN59340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNPFVFSSLFVLWLYCICFAGVRTYAISCSFNEKEALTAFKQSLSDPSGRLSSWNNGRNCCEWHGVTCSFISGKVTKLDLRNSWGFTNLMSSAYDFLQYTRSCLGGEISSSLLELKDLNYLDLSLNDFNGAPVPHFFVMLKNLRYLNLASAHFGGQIPLHLGNLTNLRYLDLSEYLYEYESNFKVGNLRWLSGLSSLVYLNVGGLDFSSLQTNWMNEINRLSSLLELHLSGCNIISVDTKVGFLNLTSLRVFDLSYNWISSLFPTWLSNLTSLQRLELQFNNFNGTTPRDFAELKNLQYLDLSGNNLRNSGDHMPSYLQNLCKLQLLNLYNNNFGCTVEELLGSFPNCSLNNLEFLDLSGNHLVGEISNSLDSLQNLRHLDLSGNKLWGSLPNSIGNLSLLQSVSISSNFLNGTIPPSVGQLSNLIHFSAYDNFWKTVITEAHLVNLTELKSLQITTEINRALVFNVSYDWVPPFRLKNLHLRNCLVGPQFPVWLQVQTQLTGAVTISNAGISGSIPDNWIYPNAVVHSHNNLLVDSILQKYPNLLFLFLHHNLLTGPIPSNIGDLMPNLRMLYLSNNHLSGVIPSDVQTMSNLAVLSLSDNQFSGELFDYWGELRLLFVIDLANNSLYGKIPSSIGFLITLENLELSYNHFDGKIPKSLQNCPQLVSIDLSQNRLYGSLPMWIGVVVSRLRLLNLRSNHFTGTIPRQWCNLPKLRVFDVSNNNLSGEIPTNKVDGKENEMEFFGFAFYVSMGIGFPIGLNILFFTIFTSRSRRILYIRFIDRVNDNILEGIGFVITSMRRMRGRRFQ >KGN57882 pep chromosome:ASM407v2:3:18459542:18460838:1 gene:Csa_3G363150 transcript:KGN57882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSQPLSIDSFSYSWLVNIKPSLESSGNNSFRTSLDASDEPASSFIEMDPRMPPSKRFFRNSQDFKFDFPVSPPSLTFVHADQLISNGCLVPFFIDPVKVQKYEDEDFNPNFPKSSHIENDVHPANTSDCSSMRKCRKLSKKVLQKYLSFFKPLYQRIRGHRTSSSKPENVGRRSKSMKNWEYAYEASPRISVAYSADDWRRSCDSESSIYEAVLHCKKSIGR >KGN56620 pep chromosome:ASM407v2:3:7848656:7851446:1 gene:Csa_3G126840 transcript:KGN56620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGFNNGIRVFRACRALLAPSKSSATVPATAPKSKTTSKLEPKPKLPKVKTPPSSVAAGVAATPEPKIKKEMSRPSGILKVTQVSPALSNFLGVSEASRSDAVTQIWSYIKLHNLQNPDNKREIYCDDKLKAIFEGREKVGFLEIGKSLARHFVKN >KGN57363 pep chromosome:ASM407v2:3:12541708:12546169:-1 gene:Csa_3G181990 transcript:KGN57363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFNSRAEVFRYLKTAAICHPESEESRTVKEPGNNVEVKKTIAKDLPTGWIGEIRETKTANRIRRDSSYIDPVNGNALRSIRDVHRYLTSGKVSRLTHKSRNQRDNNIEFQHDEISSPVVSKKEVLTIGKARRQIIWSENTSEPSEMVDGEAMFPNASVGETVLFPIPDPSLGGISAKPHCSTPTDAKGLKQSDGKNDISEIVLTPKEFIQHKCPIENGATKGESRKRQRKTNDINLPRRASKRLAGLQAEPVLQVKTGRRARSVACEESDKQVASTTKLVAFQCPENPDVKHKTNSTADPSKINTSPDSGGKAHICVDLSIVMKMKSADAYEQQPKPESSLPPEDVLEKHVGMVEIEDKANVKKQGPLLKLPMEDLLTDPCIAFAVKTLTGDVLDASISSDASLTPNHVDPFTPFTPNERCNSGPENKLNEKVACPELPVARVGKVENNVEKLKSTLELPVGEIFSDPCIEFAIKTLTGEIPLDNNRDIEDYFYQFSTSKTEKTNENASDQPGLDYFCKTNVSQKQQAIQALGASPKINFQTCGTGLHHQERNRNEFQRH >KGN56523 pep chromosome:ASM407v2:3:7285543:7286992:1 gene:Csa_3G122470 transcript:KGN56523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKNSLSRRKKQYEFDLQREKQEKEKAAKKLQAKKNSMKVDGSGKKKKGGGGFQVGKKKVKTKLTATAKAKASQAMELDK >KGN58733 pep chromosome:ASM407v2:3:27424068:27427193:-1 gene:Csa_3G730960 transcript:KGN58733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSMLLPLDNNVVFGRCLEDQQSLLLELKNNLVYDSSLSKKLVHWNESVDYCNWNGVNCNDGCVIGLDLSKESIFGGIDNSSSLFSLRFLRTLNLGFNSFNSSMPSGFNRLSNLSLLNMSNSGFDGQIPIEISNLTGLVSLDLSTSFLFQVSTLKLENPNLMTFVQNLSNLRVLILDGVDLSAQGREWCKAFSSSPLLNLRVLSLSRCSLNGPLDPSLVKLPSLSVIRLDINIFSSRVPEEFAEFLNLTVLQLGTTRLLGVFPQSIFKVPNLHTIDLSNNDLLQGSLPDFQFNGAFQTLVLQGTKFSGTLPESIGYFENLTRLDLASCNFVGSIPNSILNLTQLTYLDLSSNKFVGPVPSFSQLKNLTVLNLAHNRLNGSLLSTKWEELPNLVNLDLRNNSITGNVPSSLFNLQTIRKIQLNYNLFSGSLNELSNVSSFLLDTLDLESNRLEGPFPMSFLELQGLKILSLSFNNFTGRLNLTVFKQLKNITRLELSSNSLSVETESTDSSSFPQMTTLKLASCNLRMFPGFLKNQSKLNTLDLSHNDLQGEIPLWIWGLENLDQLNLSCNSLVGFEGPPKNLSSSLYLLDLHSNKFEGPLSFFPSSAAYLDFSNNSFSSAIIPAIGQYLSSTVFFSLSRNRIQGNIPESICDSKSLQVLDLSNNDLSGMFPQCLTEKNDNLVVLNLRENALNGSIPNAFPANCGLRTLDLSGNNIEGRVPKSLSNCRYLEVLDLGKNSIDDIFPCSLKSISTLRVLVLHSNKFHGKFGCQERNGTWKSLQIVDISRNYFNGRISGKFVEKWKAMVGEEDFSKSRANHLRFNFFKFSAVNYQDTVTITSKGLDVELTKILTVFTSIDFSCNLFNGHIPAEIGELKALYLLNLSHNSLSGEIPSSIGNLSQLGSLDLSSNMLSGQIPLQLAGLSFLSVLNLSYNLLVGMIPIGSQFQTVSEDSFIGNEGLCGYPLPNKCGIAIQPSSSDTMESSENEFEWKYIIITLGFISGAITGVIAGVFLWEKKSKAWMRWASALALALKCHNNTNKRRV >KGN57640 pep chromosome:ASM407v2:3:15235551:15240040:-1 gene:Csa_3G236570 transcript:KGN57640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPALLPSPTSKFRLRSPFLISGFRTLVTTCRAVLLPHFGGPEVLQLRSDVPVPILKPHEVLVRSCAVSINPLDTRMRSGYGRSIFEPLLPVILGRDISGEVAAVGSSVRSVSVGDEVFGALHPTAVRGTYADYAILSEEELSAKPVSFSHVEASAIPFAALTAWRALKSTARITEGQRVLVIGGGGAVGYAAVQLSVASGCHVATTCGSQSIEKLLAAGAEQAVDYTTEGIEFKIKGKFDAVLDTIGVPDTERIGIHLLNRGGHYMTLQGEAASLTDRYGVVLGLPFSTGVLLQKQLKYRFSHGIEYWWTYMRADSEGLEEIRRLCEAEKLKIPVEKTFPITQVREAHDAKDKNLIPGKVVLEFD >KGN55658 pep chromosome:ASM407v2:3:487721:487909:1 gene:Csa_3G002770 transcript:KGN55658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPILPTIKTTTAAAAATTSTSSSTPIAIPSQMPKTTTIVASPTATAASTSASTTVPSWTIPR >KGN59424 pep chromosome:ASM407v2:3:31826052:31833415:1 gene:Csa_3G819810 transcript:KGN59424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLEIMDPNTCIRGCCSTNSIPLHLQPSAFTLLSPIARGGESTVYEGRLDGRKVAVKKPILSTSEELDKFHKELQLLCELDHPGIVKLVAANAKPPNYMFFFEYYESPNLAEKLHVEEWNPSINQVLMITLELAKTLQYLHNLGIVHRDVKPANVLLDKDLHPYLADFGLAIHQKYLKEVSAENWKSSGKPTGGFYKKSMVGTLIYMAPEILRKEIHTDLSDVYSFGILMNELLTGVVPYTDLRTEAQAHTVLEMNYTEQLLTAAIVSDGLRPALPSDESGTPSRLLSLIQSCWDANPKNRPSFSDIVMDLESIWESRKSLESVSSGQLDLCGRLSDANEHDCAYREEINWSNQGEHCSQKFSGDRCGLNEWLDHSTDQLAYRPTLSCGSFSTRGMRETMEDSHFLLPHFCSDENIHAFGIFDGHRGAAAAEFSAQAIPGFLQRAFSTRSPADVLMEAFVKTDIEFRKELEFFRKSKKLKQKDWHPGCTAVVALIVRDKLFAANAGDCRAILCRAGDPIVLSKDHVASCLEERERVINNGGQVKWQVDTWRVGPAALQVTRSIGDDDLKPAVTAEPEITETVLTSQDDCLVMASDGLWDVLSNSEVVDIIRDTVKDPGMCSKRLATEAAARGSRDNITVIVVFLHPVSTIERIY >KGN57117 pep chromosome:ASM407v2:3:10746788:10748976:-1 gene:Csa_3G154390 transcript:KGN57117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVYQDLVSGDELLSDSFPYKEIENGMIWEVEGKWVVKGAVDVDIGANPSAEGGGDDEGVDDQAVKVVDIVDTFRLQEQPSMDKKVFLTCIKKYIKKLTPLLKGEQQEAFKSKIEGAVKFLLPKVKDLQFFVGESMADDSAMVFAYYKEGATDPTFLYIAPGLKEVKC >KGN56966 pep chromosome:ASM407v2:3:9832986:9833498:1 gene:Csa_3G146520 transcript:KGN56966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSRISIRPFNLSDADDFLRWASDERVTRYLRWNTITSKEEALTYLEKVAIPHQWRRSICLDGRSVGYVSFKPESEEKCRAHISYAVAAEHWGQGIATIALRAAIPAALRQFPELVRVQAMVEVENEGSQKVLEKLGFCREGVLRKYGFCKGEIRDLVVFSLLRTDQLM >KGN58064 pep chromosome:ASM407v2:3:21029674:21030472:1 gene:Csa_3G481240 transcript:KGN58064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPIKHLSHQPCVCGRRGVSAGVLRCRAAPTPEMKKKMMMGRWRMVWRRIKKRIIMSWRCRAHEMRVQGYDRESYLQNFDDGFTMMMMMGATGEDSDVISRSFSARFAISRPLSS >KGN59895 pep chromosome:ASM407v2:3:35324949:35325330:-1 gene:Csa_3G852440 transcript:KGN59895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTIFQSQPPPRRTPSVAGREPGRNRAVRSTCRSFSFGGMVRGGRNLMALPGDRCRRNQGSREVLRRALMPPSRRPTLRWMNFRPTPSRLSIMSMAES >KGN56487 pep chromosome:ASM407v2:3:7088311:7088601:-1 gene:Csa_3G121630 transcript:KGN56487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAPKRIDYPFESDSEKYLSVARSYLGRKANHIITFCPSPAVSLLINFTNGNNPTKVNKHETCRGK >KGN57345 pep chromosome:ASM407v2:3:12424418:12427251:1 gene:Csa_3G180330 transcript:KGN57345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLGCQFPFTVYKNSKKKRPGSSILCSVQPSQGNIKVIINGAAKEIGRAAVIAVTKARGMEVAGAVDSHCVGEDIGKVCDMEEPLELPILNDLTMVLGSISQSKETGVVVDFTEPSKVYENVKQATAFGMRSVVYVPRLKVDTVAALSNFCEKASMGCLVAPTLSIGSILLQQAAISASFHYSNIEIVESTAHAQDLPSSDAAQIANNLSNLGQIYNREDISTDVLARGQVIGEDGVRVHSLVLPGLPSSTNVYFSRPGEVYTLKHDIINVQCLMPGLLLAIRKVVRLKNLVYGLEKFL >KGN58001 pep chromosome:ASM407v2:3:20179384:20181564:1 gene:Csa_3G426380 transcript:KGN58001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQAPKSSRPSKPPNQSPPTSRSSASSLSSHLAMVELKQRILTALSKLADRDTHQIAIDDLEKIIQSISPEAIPMLLNCLYDSSADPKPAVKKESLRLLTVVCASHSDSTSTHLTKIIAHIIRRVKDSDSGVKDSCRDAIGALSAQYLKGDSSGGGDNGGLGSVVALFVKPLFEAMGEQNKGVQSGAALCMAKMVECAASPPIMAFQKLCPRICKLLNNPNFLAKASLLPVVSNLSQVGAIGQQSLENLLPSIHELLGSTDWATRKAAADALSALALHSSNFITDGGASTFAVLEACRFDKHIEEFR >KGN58721 pep chromosome:ASM407v2:3:27378130:27379306:1 gene:Csa_3G730850 transcript:KGN58721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSPAILPISNPQSTVVPAPTSGGSVQSQGPVTTPAFRAFINHLSEYLRHGLSQRRPWAELADRTAFSKPESFSEATLRIRKNYSYFRVNYLAVIALILGFSLVSHPFSLILLAGLLSSWLFLYLFRPSDQPLVLFGRTFKDSETLILLVVLSVFVVFLTSVGSVLVSALMVGVALVCAHGAFRVPEDLFLDEQENVSTGFLSFFSSAASTAAAAAVPVAART >KGN57296 pep chromosome:ASM407v2:3:12052721:12053176:-1 gene:Csa_3G177400 transcript:KGN57296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADFESSKNGNDHALCANNCGFYGNPNNRNLCSVCYAAFLKETGAKYFERQKSSKSQINLETRQSSYFGVSENLETCDHNDPAPPKTQNRCEICQKKVGMIGFSCQCGGCFCGKHRYPEEHSCGFDHKEVGRKILAKQMVERKADKLEFRI >KGN60399 pep chromosome:ASM407v2:3:39059758:39064686:1 gene:Csa_3G902410 transcript:KGN60399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVKEIIGELNKESFVSLLSNLIGEAEFVQNNPKENLIPQEDKIVNHVLHVLNPYSTANGGPLLINHVSYAPGRGNLIVEYPGTVSGKVVSFVGSHMDVVPANPDTWKFSPFSLSVDGDQLRGRGTTDCLGHVALLTELLKKLAQTKLKLKSSVVIVFIASEEDNSIPGIGAEQLAKEGYFDNLKGGPLFWIDTADSQPCIGTGGSIPWKLVATGKLFHSGLAHQAINAMELAMEALKVIQLRFYEDFPPHPMEQAYGFASPSTMKPTQWIYPGGGLNQIPGECTISGDVRLTPFYAVKDVMKKLQDYVDYINANIEKLDTRGPVSKYVLPNEGIKGRIDITFGEPMSGVACDINSRGYEVLHNATKEVIGHVKPYSLTGSLPVIRDLQDAGFDVQTAGYGLLDTYHAQNEYCLLSDMVKGYKIFGSIISQLEED >KGN59386 pep chromosome:ASM407v2:3:31523951:31529866:1 gene:Csa_3G815990 transcript:KGN59386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGKNPPPTPLPSSNGKSSNPIKIVKRDAHSVRIKLAVGGVPEKILTAFQSVLDEHLNEDAALINCSSATHHLNQIEEDLNVSLAQGTQPRSALLDDLQDQETVIQECIRQLEGVEATRASLVSLLVEALQDQESKLELVRNQLQVARSQIELASNVRKRFTSTTVPGPSATTVDLLTEMTHATDSKLSSVQQNIISSQSPLIQAMGSFPGPKTSEEENKRAAAAAVAAKLAASTSSAQMLTSVLSSLVAEEAASMNGGLKSSGFSSLSLFSPEKRQKLEKPMPISDVSSSDGAGASFVAPMQQQMTSMPLAQSANGQPVSQANPSQASFAPPPPPVPPSLSSTPPVNQYAQSGGLMGVLPYNFGAYSLPPPPPLPPHIAMGLSRPTSQPPPQQLQQPQQSQPASSGFYRPPGIGFYGQGQQSTPPPVPRQ >KGN57603 pep chromosome:ASM407v2:3:14799591:14816906:1 gene:Csa_3G225330 transcript:KGN57603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGPFSFRRSSSRRRPKKVVQSSPSSSPQLPPQISTSSPPINNNGNGLVGGGGGGAVGKVKKKAGGCRLWMRLDRWGQSELLEWDKNAIIRRVGIPARDMRILGPVFSHSSNILAREKAMVVNLEFIKAIVTAEEVLLLDPLRQEVIPFVDQLRKLLAKTGSSQLENDGNVSRGGKWLPVSEAAEGEQYELPFEFQVLENALEVVCLYLDSSVADLERDAYPVLDELARNVSTKNLERVRSLKSNLTRILARVQKVRDEIEHLLDDNEDMAQLYLTRKWMQNQQFDALMGGGGASNSTTAVVPHLRRLSSNRSGSVVTSNLLDDNDVEDLEMLLEAYFMQLDGTRNRILSVREYIDDTEDYVNIQLDNQRNELIQFQLTLTIASFAIAVETLIAGLFGMNIPCTLYERDGVFGYFVGGTSAGCLLLFLVILGYAKWKKLLGS >KGN57726 pep chromosome:ASM407v2:3:16229876:16230140:1 gene:Csa_3G263240 transcript:KGN57726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFISRLSNEGNVVYGVNVIEGCMNVDVYCEVNSGTSYHVEVGIEVTLLLCSLCD >KGN58243 pep chromosome:ASM407v2:3:23040064:23044282:1 gene:Csa_3G598890 transcript:KGN58243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPSFAREIEMIGNGLLRGSFRSFSSVSSPRRRLEGKVALITGAANGLGQATAQEFVDQGAHVIIADIDTTLGPQVAEQLGHTAKFVECDVALESEVAAAVNFAVTHHGKLDIMYNNAGITGPAVPPSIAELDLADFDRVMNVNVRGVVAGIKHAARVMVPAGCGSILCTSSISGLMGGLGPHPYSISKHAIPGIVRSAATELCRSGVRVNCISPAPVATAMAVKGIGEMYKGVSKEEIVGIINGLGVLKGAICEEADVAKAALFLACDDSKYITGHNLVVDGGFTSFKNLDFPSFH >KGN56267 pep chromosome:ASM407v2:3:5503001:5506115:-1 gene:Csa_3G110640 transcript:KGN56267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNEYDYLFKLLLIGDSSVGKSCLLLRFADDSYVDSYISTIGVDFKIRTVELDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDVTEMESFNNVKQWLNEIDRYANDSVCKLLVGNKCDLVENKVVDTQTAKAFADELGIPFLETSAKDSTNVEQAFLTMAAEIKKKMGSQPTSSKSSGNVQMKGQPIQQKSSCCS >KGN56411 pep chromosome:ASM407v2:3:6695183:6697420:1 gene:Csa_3G119440 transcript:KGN56411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVEQNDPLKGVEQKDPLKGVDWKAVGGDMQKDPSSTNIIKKRRPTKIRQIPDYYFLPRRSLPSVMAFYGACIAGGIGAGMLVEIWINDKIKKDGGVIWEFDK >KGN57466 pep chromosome:ASM407v2:3:13344606:13345600:1 gene:Csa_3G190360 transcript:KGN57466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSLSNNNKHRRDDAKEIKRVLITINVMGSSGPLRFVVKEEDLVCEVIHIALKSYARQARLPLLGTDPTNFLLYSSNIALNPNEAIGSKQARNFVLCKKEGTTPKRMTIKESHGWKAWINKSLNFKILSH >KGN56045 pep chromosome:ASM407v2:3:3504701:3513466:1 gene:Csa_3G060990 transcript:KGN56045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMLLRKVWGSVSTRSRLHLENSSTGFEESSLGDFDRIPVEILMQILQLAGPKTTAKMGMLCKSWRSLVSSDNTLWIFFLQNQLEPWDSVLFGETKLASGYPLETFSGQMMPLSFMKVYNKRAEVPGSVIIDGGSGYCKFGWSKYDGPSGRSATFLEFGNIESPMYSRLRHFFATIYSRMRVKTSFYPVVVSLPICHYDDTESAKASRQQLKEAIYSVLFDMNVPSVCAINQATLALYAARKTSGIVVNVGFQVTSIVPILHGKVMRKVGVEVVGLGALKLTGYLRELMQQNNIHFESMYTVRTLKENLCYVAEDYEAELTKDTQASYEAAGEGWFTLSKERFQTGEILFQPRMGGVRAMGLHEAVALCMDHCHAAELSYDDSWFKTVVLSGGSACLPGLAERLQKELYGLLPSPLSNGIRVIPPPYGTDTAWFGARLISNLSTFPNHWCITKKQFRQKSKLNLIW >KGN57538 pep chromosome:ASM407v2:3:14096720:14097136:1 gene:Csa_3G207640 transcript:KGN57538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSNCTKYIFPIKSGAKLYPTYYYYSILKRVSGKFPSSNTGGIRGIAAVICHQRRHPLIHFIFSNFPPYFIFIPDSNPRRIATVPRNFTFRPLYFPLRTHHSQRIIRKRKIKLRSRPSELQRRFIISPSSFFSSIERP >KGN56054 pep chromosome:ASM407v2:3:3573760:3576362:1 gene:Csa_3G062560 transcript:KGN56054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPSVMTESSDKETEAFVEVDPTCRYGRYPELLGTGAVKKVYRAFDQEEGIEVAWNQVKLRSFSNDPSMIDRLYSEVRLLRSLKNNNIIALYDVWLDKLHGTLNFITEVCTSGNLREYRKKHRQVSLKALKKWSKQILKGLHYLHSNDPCVIHRDLNCSNLFVNGNVGQVKIGDLGLAATVRKNHSAHSVLGTPEFMAPELYEEHYTELVDIYSFGMCLLELVTLEIPYSECDNVAKIYKKVSSGIKPQALGKVKDPEVKAFIENCLAESKVRPSAADLLRHPFFREIDDDENEDDNNDH >KGN59917 pep chromosome:ASM407v2:3:35534796:35537001:1 gene:Csa_3G853150 transcript:KGN59917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQFSASFSSPKIMISMSLFILIFFLYTLFWLLNLTSLFTSQRRKNNLQPLPVARGAWPVIGHLHLLSSSEPGHKTLGKMADSNGPIFTLKLGIHRAIVVSNWEIAQECLTTNDIIFASRPKLTSAKLLGYNNSMFGLAQYGPFWRHMRKVVSLELLSTHRLQQFQPIRISEIQSSINKLYQLCTKEKPLVEMKAWFEDITLNIMFKIIFGKRFTDDLKGDQDHRKTFRNLMELFGVFVPSDSLPFLSWLDLGGYEKAMKTTSKVLDEVFDKWLEEHRQRKIENNDNGAEDFMDVMLSIIKDDDEQLSGYVGDSVIKANCLAMILAGSDTTTTTMTWTLSLLLNNQETLKKAQIELEEQVGRQKQVTESDVKNLIYLQAIVKESLRLYPALPISIPHESTEDCSIFGYHIPSRTRLIVNIQKLQRDPLVWEEPNEFRPERFLTTHKDFDVRGQNPQLIPFGNGRRMCPGTSFAFQIIHLTLANLLHGFEIDRPSKDLLDMEESVGLTSTKKSPLEVVLTPRLPALGSH >KGN58798 pep chromosome:ASM407v2:3:27762276:27763359:1 gene:Csa_3G732550 transcript:KGN58798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHGTNLKTDPPQISQLDSNLQPESSNPSPTMMGWDQALFHNSLLALYLIGPPTVVSLRFLQAPYGKHIRPGWGPTMSAPLAWFLMESPTLWLTLLLFPSGDHSSHSKALLLISPFLLHYLNRTCFYPIRLLWSGTRRNAQVGFPVLVAIMAFGFNLLNSYVQTRSVSHYVKYEGDGWFWWRFFGGGVVFVMGMAVNIWSDGVLVGLKRRGGGYKVPTGGWFELVSCPNYLGEIMEWLGWAVMCGSWAGLGFFLYTCANLVPRARANHTWYLNKFGEVYPINRKAVIPFLY >KGN56949 pep chromosome:ASM407v2:3:9732797:9733957:1 gene:Csa_3G146350 transcript:KGN56949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCPIKPPRDMKTSTSLLPSALLLISIFTLLFQPSHQQTPSPPPPFLPNPRLLKAYTALQAWKHAITEDPSNFTANWYGPDVCNYSGVFCAPALDDPDIRTVAGIDLNHGNISGTLPDDLGLLTDLALFHINSNRFCGTIPNSFRCLTLLFELDISNNDFSGEFPSVILSLPALKFLDIRFNKFSGDVPSSLFELKLDALFINNNDFNFSLPENIGNSPVSVLVFANNNINGCLPSSISNMNTTLNEIIITGSGLIGCLPSEIGSLSNLTVFDVSDNNLVGPLPETMAGMKKLEQLNVAHNQLSGEIPASICSLPKLQNFTFSYNFFCSEPPVCLKLQASDDQKNCLPDRPFQRSPEECKAFYSNPVDCSVFGCTLRPPPPPPPPY >KGN56497 pep chromosome:ASM407v2:3:7135727:7137670:-1 gene:Csa_3G121730 transcript:KGN56497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENKGLHVVVFPWSAFGHLIPHFQLSIALAKAGVHVSFISTPKNLQRLPPIPPSLSSFITLVPIPLPKLPGDPLPEGAEATVDIPFDKIPFLKVALDLTEPPFRKFIADHAHPPDWFIVDFNVSWIGDISREFRIPIVFFRVLSPGFLAFYAHLLGNRLPMTEIGSLISPPPIEGSTVAYRRHEAVGIHAGFFEKNDSGLSDYERVTKINTACRVIAVRTCYEFDVDYLKLYSNYCGKKVIPLGFLPPEKPPKTEFEANSPWKSTFEWLDQQNPKSVVFVGFGSECKLTKDQIHEIARGVELSELPFMWALRQPDWAEDSDVLPAGFRDRTAERGIVSMGWAPQMQILGHPAIGGSFFHGGWGSAIEALEFGNCLILLPFIVDQPLNARLLVEKGVAIEVERNEDDGCSSGEAIAKALREAMVSEEGEKIRKRAKEVAAIFGDTKLHQRYIEEFVEFLKHREDPIPNQ >KGN57583 pep chromosome:ASM407v2:3:14544029:14544895:-1 gene:Csa_3G219180 transcript:KGN57583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEIVLSIVVAFSLTTHLAMAVPSDYGYQVVPGATHLVGRDGLCLEMSPWFYKGSNFPTRLSPCNEQKKQTQLWTVLQDETIRPMNDRYCLVSYVSVNFITNVVVSECAKEPHSNKKWIHKEDRTIVHVGSGMVLTGNSNYVTVQRNKNAPSQSWEATKSLTPMVANIKWLENLCLQSTKDSNYVQLDGCNSENKSQHWSLYGDGTIRKHVNRNYCLTSEQDFGRFVAVSKCEDKPQQRWGLGAEDNTINHPNTDMVMDVLSVPFDDLPLAVVTNHRDGTATQRWIIY >KGN59379 pep chromosome:ASM407v2:3:31480882:31486651:-1 gene:Csa_3G815430 transcript:KGN59379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNNGFDFGCFRHEKFHINGVFLFLNPTARLFSRLRFVPWHAFEYCSHTSGKQMGSFQSSKPICPSNKHCSEWVKNNMKYCLCGTKDGVSLTLGMISVISWGVAEIPQIVTNYREKSSDGLSLAFLLTWILGDLFNVFGCILEPATLPTQYYMALLYTITTGILFTQAIYYGHIYPQMKYRRRQCKGLVHSEANAQIDARDKAQQSYGSVNVNQVNNDDMSKFNTSKRESASTSPIPLPMLRQNSSTGRELYYMSARSLSRSHTPTSGSFLRQKMTPPYIHNPMQEPLLDGNEPSSAARPPNVKTMLCLVFMLTFFSTLNHHHSAESRFYSVSDNSNKGFVIPVGRKLLQVAGVLQNNVNEGGGGGIGTYLGWAMAVIYMGGRLPQICLNIKRGHVEGLSPLMFIFALIGNSTYVASILVSSTSWSKIKPNLPWLVDAFGCARPGRSGLQKFTGQKLET >KGN58854 pep chromosome:ASM407v2:3:27995690:27998600:-1 gene:Csa_3G734080 transcript:KGN58854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRRVVVQLSKTATAATVRTASLGSSSRFSLLSSPSSSRLASPWRLLHVGMDRPNASPVTRQMINYGLSHARSQRSGESYAQGLLVLEQCLSAQSSEGEDADNSRGAVLLAMSTLLAERGDIHDAIDKLQRIEDLAHCSLDIRVAALEALAGLHLELDLNDSSSAIADKCLQLFETSELADDGDSEVLRARVKAVKGLVELVQNNLGAAESLFEGFQTIERCAGSAAFTYGEFLVASQNFSSAKEVYKRVIEVGSEVKDSSEQCALAGGNMSPMDVLVAATCALGQLEGNLGNFSEAEDLLTNALTKTEEYFGSHHPKVGVILTCIALMFRHKAMKEHSSSILIQEGLYRRAIDLMKVSPEDRGGQSKVHRCDIAAIAGEAYAEILDVQKNRKPEAQIVRSWVRGAWRNGRISLEEVLDIGQPPSKVPVIDTRICRLI >KGN57076 pep chromosome:ASM407v2:3:10464558:10468204:-1 gene:Csa_3G151540 transcript:KGN57076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAFMEVSADDRLDFGKMGYGCQHYRRRCKIRAPCCNEIYPCRHCHNEATSVMSRLSDRHELNRFDVKQVVCAVCDTEQPVARVCTNCGVNMGEYFCEICKFYDDDIEKGQFHCEDCGICRVGSREKYFHCKKCGSCYHVNLRDNHSCIENSMQHHCPICYEYLFDTLKDVSVMKCGHTMHLECYSEMINRDKYCCPICSKSVVDMSKAWKQLDEEIEATVMPEEYRHKKVWILCNDCNDTTEVYFHIIGQKCCHCQSYNTRAIAPPVLPQ >KGN58323 pep chromosome:ASM407v2:3:23952359:23957608:1 gene:Csa_3G621430 transcript:KGN58323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSLFTPSISSFNNIAPPPHFSPTPSHNASDFKFRRRSYCRVHRKTVSFSSSPRLPVSLRFLVYGRRNLANFICSAADKPEISSTAKIRSEVLSPFRSVRMFFYLTFIASGTLGGLIATTQLLGALANSSRADEVPDILEGLGVDFGAVALFAFLYFRENNAKNAQLARLSREESLSNLKLRVDQNKVIPISILRGIARLVICAGPESFIIEAFKSSEPFTERLLERGVLVVPLATDVTTLNFEFDDREEVKDITTKRKRLWRLTPVYMTEWSAWLDEQKKLAGVTSDSPVYLSLRMDGRVRGSGVGYPPWNALVAQLPPVKGLWSGLLDGMDGRVL >KGN60396 pep chromosome:ASM407v2:3:39032894:39033581:1 gene:Csa_3G902380 transcript:KGN60396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCLVLPCYVMKRRRRRRSTQPHLGYHRLNKSNFGRNEYIGDHAESSLVKVVAGKERREFLVDPFVLEENPFRILIEKGGDDDEDEDGDGKRKRVIFVDVDAILFEHLLWLMYNDCSSLFKLNVEEILDFYAQDF >KGN56858 pep chromosome:ASM407v2:3:9162906:9166635:1 gene:Csa_3G135060 transcript:KGN56858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLLRLLVPFYSFNWSLNILKSPTEFLDITVWFKINFFTAPSYVQKLAPSCWDHEGGCDSIAVGFSILQLISFQEKGNTLMMERGTHRHDQHAFLFSFITQFLPSSINDNLFNKNMETTCRPESVDHFFFGKFHLLTKLIKFIP >KGN57099 pep chromosome:ASM407v2:3:10661829:10663326:1 gene:Csa_3G153730 transcript:KGN57099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSMEIKVVSARDLNNVNLLMKMDVYVLVKLLVTDISGKSKPKSAQKFMTPVDKEGGSNPIWNFSVKFSVDEAAVRANCLTLVFKLRCQRNLGDRDIGEVYVPVKELLDSAGEGKGDLMQHLSYQVRKPSGSPQGVLNFAFRFGENSNSGPVKPDQIHSHNPVPTYPPLEPSPVAVSHGGGYPPLPEPSLVAISHGVAYPPPPSLPPQPEVHSQPSNLYPVLPPKLAEPEIVFTAYPPPQPAAVYSVYPPCTSYHSYAPAPTYSAYAPAPTQQPGYGYNHVPPPPTTATYGYPPPPTYVYPPPPSYYPPPMQNKKSNMGLGLGAGLVGGMLGGLLIGDMVSDAADGGFGDSGGFDF >KGN57596 pep chromosome:ASM407v2:3:14746480:14752410:1 gene:Csa_3G222780 transcript:KGN57596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRFRKSRPNLNVKLSEQLGRGGPLCTSWLSKMQRIGVFKRIALNERRNPGSGKRRPSRPPNSVRPSPVITMSSTLRSSSVTFFFFSSLLISSLSIVLASESDHKYLQDESVTLWVNKVGPYNNPQETYNYYSLPFCHPSGHSAHKWGGLGEVLGGNELIDSQIEIKFQKNVERTTICQLELDESKVKQFKDAIENGYWFEFFMDDLPLWGFVGELHPDKNSNNVKHVLYTHKNIIIKYNKDQIIHVNLTQENLKPLEVGKTLDLTYAVKWISTNVTFARRFDIYLDYPFFEHQIHWFSIFNSFMMVIFLTGLVSMILMRTLRNDYAKYAREDDDLETLERDVSEESGWKLVHGDVFRPPRNLVILSAVVGTGAQLALLVLLVILLAIVGMLYVGRGAIVTTFIVCYALTSSISGYVSAGMYSRNGGKNWIKSMIFTASLFPFLCFGIGFILNTIAIFYGSLAAIPFGTMVVVFVIWAFISFPLALLGTVIGRNWSGAPNNPCRVKTIPRPIPEKKWYLTPSVVSMMGGLLPFGSIFIEMYFVFTSFWNYKVYYVYGFMLLVFLILIIVTVCVTIVGTYFLLNAENYHWQWTSFFSAASTAVYVYFYSIYYYYVKTKMSGFFQTSFYFGYTLMFCLGLGILCGAVGYLGSNLFVRRIYRNIKCD >KGN55860 pep chromosome:ASM407v2:3:2022585:2036598:1 gene:Csa_3G020000 transcript:KGN55860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTCEKELQKSGSKNPNENKKDLNMQPESEIGQVQHDRSSARTDTDDISAQELSCKPPQKPSVDLEDEDAICTRTRARYSLANFTLDELENFLQETDDEDDLQHVDDEEEYRKFLVAVLQDVDGDSKSQENETVEDEDEDNDADFEIELEEALESDVDEVTRDLTQKENNRAVRRPETRQNKRLKASVQNNKRHLGQAKRPLRPLLPILPNEPIPSFSPHDGKTLATWNAPTSRSSVNKDNLINGFAPNQIGQLYCLIHEHVQLLIQVFSICICDSSRQHIASQVHGLISEMLHKRNEVLAWKKVPFPGICFDFPCVYSSMPDEVTNSSFQVQRTLESNGFCGGKITGSTQQTYQRVASQTTYDRGRDSVSVRQVVEGSSWAPFVSGPVLSMLDVAPLNLAGGFLDDVNTVVQDYRRRRLESTSDTPLEREPLFPLPSLHAFPGVNCEGMSGRISSVNTATLSPSQQPPKKSLAAALVESTKKQSVAMVLKDIAKLAQQFFPLFNPALFPHKPPPAAVVNRILFTDAEDELLALGLMEYNTDWEAIHKRFLPCKSTHQIFVRQKNRCSSKANENPIKAVRNMKTSPLTVEEITRIQEALKIYKSDWMSVWQFAVPYRDPSSLARKWRIAHGIQKSYKQQNPEKKEKRRIYESTRRKMKAANHDSKFENTGRINSNRYGNVDNDGTPFANEAFATEWRPGTSSGLNLVDGNLPCDILPEKDIQSKEQSNSVESGDMQTQKKDVHWFSSGPVHSEPPQSLSTPTGHVTPTTNAQNLRVSDVKSPIYSRNYRARRSNSSHLVKLAPDLPPVNLPPSVRVVPQSFFRGSVFGAPAKAFAAKSNKEISQAINTVNSRLNNSNPSNNTHNVVIPLMEDASKTNMEESRANNDNPTETERGTDSDLHMHPLLFRASDDGSVPYYPVNCSSSSSDTFGFFSGNQPQLNLSLFYNPQPEYHVGFEKLLKSKKLTSSHSIDFHPLLQRSDDIDQVHTTTSLDGRSRGHNIFGAVQNQPLVSNGRLTRGTESFKHGDKSYGLDLEIHLSSASNKETTPGNKVFTAHDHLKSVTARNSDRLENLHNGHLNGQTRTNEEGNLVSDAHPLVQPSIDNCSDDVDDLSHPGIIMEQEELSDTDEEVEENVEFECEEMADSEGEDGSDCEPITDLQHKRVIRSPG >KGN57961 pep chromosome:ASM407v2:3:19683851:19688714:-1 gene:Csa_3G414070 transcript:KGN57961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGTPTNGRSRLSFSMVNGGQELCLTSTPTSIAGSDCGVIEFSKEDVEALLNEKLKRKDRFNLKEKCDNMVEYIKKLKLCIRWFQELEYSYLLEQKKLQDELESSEIKCSEMEMIVKKKEEELNSLIVELRKNNAFLLEKFTKEESDKLAAVESLTKEKEARLIMERSQASISEELARAQRELSSANQKISSLNEMYKRLQDYITSLQEYNGKLHTELSTAEDDLKRVEKEKAAVVEDLSMIKGELALSKASQDEAVKQKDAMVNEVTCLRREIQQVRDDRDRQLSLVQTLSDEVEKCRESAGKYCEELDEMKAKTNELEATCSSQSFELRALQNHLAAAENKLQVSDLTAMETMHEFEDQKRLVLDLQQRLADAENKLMEGEKLRKKLHNTILELKGNIRVFCRVRPLLPDERSSPEGNFITYPSSVESLGRGIDLVQNGQRHSFTYDKVFSPEATQEDVFVEISQLVQSALDGYKVCIFAYGQTGSGKTYTMMGRPGLLEEKGLIPRSLEQIFQTRQSLQPQGWKYEMQVSMLEIYNETIRDLLSTNRSASDVLRAENGSPIKPYSIKHDASGNTLVSDLTVVDVRSAREVSFLLEQASRSRSVGKTQMNEQSSRSHFVFTLKISGINESTDQQVQGILNLIDLAGSERLSKSGSTGDRLKETQAINRSLSSLSDVIFALAKKEEHVPFRNSKLTYLLQPCLGGDSKTLMFVNISPDSSSANESLCSLRFAARVNACEIGTPRRLTNTRP >KGN58753 pep chromosome:ASM407v2:3:27532742:27536329:1 gene:Csa_3G731150 transcript:KGN58753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCCRSPAAVAREDVKSSFSGQDHSRRDSNAGKKVPVTVLNGVPKENIEEKYMVDRELGRGEFGVTYLCIDRQTRDLLACKSISKRKLRTAVDIEDVRREVAIMKHLPKNSSIVSLKEACEDENAVHLVMELCEGGELFDRIVARGHYTERAAAAVMRTIVEVVQLCHKHGVIHRDLKPENFLFANKKENSPLKAIDFGLSIFFKPGEKFSEIVGSPYYMAPEVLKRNYGPEIDIWSAGVILYILLCGVPPFWAETEQGVAQAILRGLIDFKRDPWPSISESAKSLVKQMLEPDPKLRLSAKQVLDHPWLQNIKKAPNVPLGDVVKSRLKQFSMMNRFKRKALRVIADFLSTEEVEDIKEMFKKIDTDNDGIVNIEDLKAGIHYFSSQLAEPEIQMLIEAVDSNGKGTLDYGEFVAVSLHLQRMANDEHLRKAFSYFDKDGNGFIEPDELRDALVEDGADDCTDVANDIFQEVDTNKDGLISYEEFVAMMKTGTDWRKASRHYSRGRFNSLSIKLMKDGSLNLGSE >KGN60467 pep chromosome:ASM407v2:3:39613141:39616238:1 gene:Csa_3G913980 transcript:KGN60467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYLDSYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIVVYDVTDQESFNNVKQWLNEIDRYASENVNKLLVGNKCDLTANKVVSYETAKAFADEIGIPFMETSAKSATNVEEAFMAMAAEIKNRMATQPMNNARPPTVNIRGQPVNQKSGCCSS >KGN56242 pep chromosome:ASM407v2:3:5270605:5271171:-1 gene:Csa_3G104950 transcript:KGN56242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPQALTVPQSNPPPSILPFLTAFSIVIVIFITIIIFSVVASFAIIVCIYVICKYLIGSTSLDGHSSNLEAGEPNENQMMITRSRILLTHHQTSSHGRDIMERSESNAWREDMERTIMIEKVAAPVSYGSSEAATKCIDCAICLEDFENGELCQNFPVCNHIFHYSCIQHWLKKNMTCPICRCSIVND >KGN55804 pep chromosome:ASM407v2:3:1570950:1572574:1 gene:Csa_3G016980 transcript:KGN55804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVQAQYPSNVLLLNRNIQDAEHDYSLQPQPGGGGGILQQSHALFNNGGGNHLSNLRKRGRDNSVSVSPPTINPLCFQPQIIDLSQLHNHHHPSNVVSTGLRLSSGDQPLNLYHHPPPPPSSQNHASLVSLSSSVFISDDFSSQIKQHREEIDQFLQTQEEELRRTLAEKRQRHYRELLAAAEERAVRRLREKEVEVEKATRRHAELEARAARLSMEAAAWQEKARAEEAAAAALQAQLQQAIMRGTGIGGSGDGGVVGDCTAEDAESGYIDPERVLAESGPSCKSCRKRVASVVLLPCRHFCVCSVCDHVVRTCPLCRASRNSSVEVYLS >KGN59685 pep chromosome:ASM407v2:3:33528714:33533822:1 gene:Csa_3G838640 transcript:KGN59685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSGMGPVGKPGSGVALFADKLPEGINEMKIRDEKVEKEMEATVVDGNGTETGHIIVTTIGGRNGQSRQTISYMAERPVGQGSFGIVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRLLDHPNVVSLKHCFFSTTEKDELYLNLVLEYVPETVYRVIKHYTKANQRMPLIYVKLYAYQICRALAYIHGGIGVCHRDIKPQNLLVNPHTHQVKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDIWSAGCVLAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKIFHKRMPPEAVDLVSRLLQYSPNLRCTALEACIHPFFDELRDPNTRLPNGRPLPPLFNFKPQELKGATLELLSKLIPEHARKQCSFLDF >KGN58520 pep chromosome:ASM407v2:3:25872322:25875782:-1 gene:Csa_3G654990 transcript:KGN58520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGDEIGKGAYGRVYKGLDLENGDFVAIKQVSLENIAQEDLNIIMQEIDLLKNLNHKNIVKYLGSLKTKTHLHIILEYVENGSLANIIKPNKFGPFPESLVAVYISQVLEGLVYLHEQGVIHRDIKGANILTTKEAKTLIHERSIKINHSFCYQ >KGN59067 pep chromosome:ASM407v2:3:29186350:29189343:-1 gene:Csa_3G750930 transcript:KGN59067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDQYPLSDLRHLLSTPPSRSHFPSSSSIPHHDLSSSAFRHHHTPYDLIMMMPRDTPLPDFRSDSTTTPSASILSPHPVHNAFDPETASIAADCATARWPRQETLTLLEIRSRLDSKFKEANQKGPLWDEVSRIMAEEHNYQRSGKKCREKFENLYKYYKKTKEGKAGRQDGKNYRFFRQLEALYGETSNSPSLPDSHFVGDTNLRFQQNGTNNPTASAPMSHEAHQKHYCDSLSLSNTSEFETSASSDGNDDLGSVGVMDNDSMEKRRKKRGGKCWKAKIKQFIDSQMRKLIDKQEAWLEKLMKTLEQKEKERMIRDEEWRRQEVSRMDRERSFWAKERAWIESRDAALMDALQRLTGRELRDNNQYNSSPDHGLIVAEHHRNNNENQNEDGSEILNNNTARELLADNNDNYQRKIIDNHGNKKRKENSTSTTTTYNLYFQQHTDSSLYSRGGGGQYDGATHIKEQSPNSSNAGGSGGGHVVQDNCFRFLMGEGDQSQSGLWENFGLKLNNGSDQT >KGN56810 pep chromosome:ASM407v2:3:8924471:8927262:-1 gene:Csa_3G134590 transcript:KGN56810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAATGASFFATSLRGSRSKHWLSDSLLATPSTQVLPILSRSLAIESPLLRASSEKWRPVMIISAAVVQGETAVTVGVEEGVEEETEVEGGSPVESGSTKLYFGNLPYSVDSSQLAAIVQDYGIAELIEVLYDRNTGKSRGFAFVTMSSIEDCNKVIENLDGSAYMGRILRVNFSDKPKPKEPLYPETEYKLFVGNLSWSVTSEILTQAFQEYGNVVGARVIYDGETGKSRGYGFVSYSTKSEMETALETINELELEGRVIRVSLAEGKQAHG >KGN58224 pep chromosome:ASM407v2:3:22853018:22858460:1 gene:Csa_3G596240 transcript:KGN58224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSWNSISMEILYGVLGWISILCWALASYPQVILNFRRKSVVGLSFDYLILNFTKQSSYLIYNATLFFSTTVQKQYFDKYGYDQMIPVALSDVFFPFHSIILILVVSVQIVFFDRGSQKVSKASIAILVAVWFFAGACFFMALPTHSWLWLVSIFNSIQVFMTFIKYSPQAFLNFTRKSTVGFSIGNIVLDFSGGVATLAQMSVQSVDQGSWVNFLGNIGKPLLALVSIAFDLIFFYQHFVLYHIKASRVSFQRDPESTDSEPLIKDSDHLQGIGTTS >KGN56668 pep chromosome:ASM407v2:3:8142660:8145368:-1 gene:Csa_3G127790 transcript:KGN56668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTVVDAAPIKETNGSLAEKKPTVVFVLGGPGSGKGTQCANIVQHFGYTHLSAGDLLRAEIKSGSENGTMIQNMIKEGKIVPSEVTIKLLQRAIEETGNEKFLIDGFPRNEENRAAFEVVTGIEPSIVLFFDCPEEEMEKRLLSRNEGRVDDNIETIRKRFRVFLESSIPVIQYYESKEKVRKIDAARPVEEVFESVKAVFTPKSAKAE >KGN56634 pep chromosome:ASM407v2:3:7904207:7907601:1 gene:Csa_3G126970 transcript:KGN56634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQAFRRLGGKEWRNTQLENISNKIFDRFGKERLSFEDLYIATLLVFNDINKYLPGQHVDPPKKDRVKEVMEMCDKNQNYAIDREEFLEFVKQLTSESFISVSQRLIITLVVAPTLAVVTKKSTEGVPGLGKMVQKVPSSAYALLVTLAALLFQNSRQQLLK >KGN59004 pep chromosome:ASM407v2:3:28819062:28819993:1 gene:Csa_3G743410 transcript:KGN59004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETPDENQAQIIKGKRTKRLRPSSVSSTSSSADCSSSSAGLTEEEEEDQDLANCLILLAQGRSRITEGCSSVFVQQKLVAANESLFLYQCKTCDRCFPSFQALGGHRASHKKPKFFNNITANSVEQQHQQQQQQHHHQLQDNNFTTSNSIQLSLQLSTASRPPPPPTAGDLIKSKVHECSICGAEFSSGQALGGHMRRHRALTATTTRPITTTPQFIKKERNMLELDLNLPAPEDDRHRPPVAVFSTASPLVDCHY >KGN58352 pep chromosome:ASM407v2:3:24369956:24370210:-1 gene:Csa_3G626650 transcript:KGN58352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNFLSFYLEDKVKKKLHLTSMPFNKLESLRSWTLSFSFWGVLLQSTLKLWSGKRCKANSDATLGKNGGGSGNGVASNGLEEFV >KGN58734 pep chromosome:ASM407v2:3:27429676:27430958:-1 gene:Csa_3G730965 transcript:KGN58734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLICTCGCKTRLSCFEDTLISREIAVAVYQKFECHQGRECLAKELVGYIYILPILCSSYGSSYPLHFMIIWGWNSFIIISITIVLFFPFFVF >KGN57708 pep chromosome:ASM407v2:3:15978157:15978339:-1 gene:Csa_3G258100 transcript:KGN57708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSSSCLQKNNKDNAKAPRLRRLTVNARRHSRPPRRARNSKINPAPPPKKSTGGGGQTN >KGN57421 pep chromosome:ASM407v2:3:12917285:12920778:-1 gene:Csa_3G184030 transcript:KGN57421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLMVLMIQQVLIGIDTMEMINEMELFGPSEIDFGFEELDDGASDDGDDDDDGDGEDEDEDDDEDEDDDDDDADDEYNRDWVSVIDDEDDQNHSDETLGDWAKLETMRSSHPMHFANKLSEIASDDPIDWMEQPPATLVIQGVLRPAFNEEQTVIEKHLSSRHLSNGDINEAQELEENLEGHGRINHHGHESSSSKDGLNLMEALDESIPASEASFYRLEMIKVQLFTGNSHPSNVEIEDLMKAQPDAIAHSAEKIISRLRAGGEKTTQALKSLCWRCKGIQVEEAVINGIDSLGFDVRVCSETQVQTLRFAFDTRSRAMNLDTKIYPISRFG >KGN57687 pep chromosome:ASM407v2:3:15697921:15704557:1 gene:Csa_3G252460 transcript:KGN57687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNGNKDCVPEALSKLVDADDSGESPVKVKCIEQREAFPGEPKCVVCGRYGEYICDETDDDVCSMECKQSVLRKVANTMAPDKAPSKRLPAADECFYVKESNYSGSLTCEQTEMLRKKLGISIKGDLDCAPILSFSFGNLPQKLFQNLETAGYEMPTPVQMQAIPAACLGKNLLVSAETGSGKTVSYLVPIVSYCARACLECFHGEKKPLAMVLTPTRELCIQVEQQAKLLGKGMPFKTALVVGGDALAGQLHRIQQGVELIVATPGRLVDLLTKHDIELDEVRTFVLDEVDCLLQKGFRDQVLQIFRALSVPQILMYTATPSPEVEKMAKSMGDGTVIISTSMSNKPTKALKQVVIWVESKNKKQKLFDILTSKQHFMPPLVVYVGSRLGADLLSNAITVTTGINALSIHGHKSMKERREAMRSFIMGEVQVMVATGILGRGMDLLCVRQVIIFDMPNSIKEYVHQIGRASRLGEEGKAIVFVNEENKNLFQDLVETLKSSGAPIPRELLNSHHTANSSYRAKNQKKRKYS >KGN58145 pep chromosome:ASM407v2:3:21947995:21950610:1 gene:Csa_3G556210 transcript:KGN58145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKAVHVSDVPNLDQVPANASLALYSNRFSKGVEFGQKAFRASKFLVIGHRGSGMNALQSSDRRMRAIKENSILSFNAAAKFPIDFVEFDVQVTKDNCPVIFHDDVILSVDKGTVFEKRITELTLSEFLYYGPQQDPQKEGNCLLRKTKDGKIVNWNVEADDSLCTLEEAFQKVETSIGFNIELKFDDHIVYDHGYLTCVLQTILQVVFENAKERPIIFSTFQPDAALLVRKLQATYPVFFLTNGGTELYDDVRRNSLEEALKVCLEGGLQGIVSEVKGIFRNPGTVKKIRDSELSLLTYGRLNNVAEAVYMQHLMGVEGVIVDLVEEITEAMEEMMIKPKAIEKGEEEEGKKEEGEGKVEEVEMDKKPQFSERELSFLLKLIPQLIEL >KGN58499 pep chromosome:ASM407v2:3:25691332:25696652:1 gene:Csa_3G651830 transcript:KGN58499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVAIQGVIPLAASKPLSITSIAPSIQDLSHKLIERLANSSASFVIQFPPIMNDGGPRYAGRGHPNNRGRSPRSADHFTYRPRHAGGEGSSVAGSSNPGSGAFRGRSSHQMSSRNFRKPVGQKQASSSEQWQWRPLNSGKDASPGAVDLQLQHNSTDDMSNNNKQLLESIASNSDCIELSSSSAQNVSKSLHSAVERIHVQGPTAVCGSYGDSFPYDNCNRSDVVGQELKVQPSLKSCAKDESFTIQLGKSNDVFNSTDSKDKKPSVDLDSFDICPPKTGGVMLNPSLLAMNREKRNEMRRAMEGNNGIVLRPGMVHLKGGISVRDQAKIVKKCRDLGIGAGGFYQPGYREGGKLHLKMMCLGKNWDPDSSTYGDIRPFDDTKPPNLPDEFYQLVEKAIKDSYAIMAEDSTIKNPERVLPWMKPDICIVNFYSQNGRLGLHQDRDESQESLDKGLPVISFSIGDSAEFLFGDRSDVDQAEKVTLESGDILIFGGKSRHVFHGVTAIHSNTAPKALLEATNLRPGRLNLTFRQY >KGN58866 pep chromosome:ASM407v2:3:28048198:28050026:-1 gene:Csa_3G734190 transcript:KGN58866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQPIKPVRLESTVVIGLQNRRLFVLASFTAVTSVSRSLSLITLRSQHLSLSRSHFPLSLSLDSLTPAAAPPAPPYPFISRENCSLSLSTSLELCLQLRPAPVVPQVAVSPSVAARSIIPPRFHLRLSHPDQATARLLRRSHTRPGEELGTVKSSPFVVNQARIQIVLGEFSLVKTQKNV >KGN57250 pep chromosome:ASM407v2:3:11681712:11690122:-1 gene:Csa_3G174040 transcript:KGN57250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVDDRFLELNTKTCVVLGGRGFLGRSLVLRLLKLAKWNVRIADSANSLELDPSEHDSLLSDALIAGRASYFHVDVRNKDQIVAALSGSSVVFYMDYEDSHSNDIYLSYMFIVQGAKNVISACRDCKVRRLIFNSSADVIFDGSRDILNGEESLTYPWKFEDMLSDLKAQAEALILFANDIDGLLTCALRPSNVFGPEDTRLVPFIVHQAKSGFAKFIIGNGENMSDFTFVENVTHAHICAEEALDFRMVSVAGKAFFITNFKPMKFWEFISLILRGLGYRRPSVKLPSKVVWNVLLFMKWIDEKFGFNKYNHSTWAHYIQLASCTRTFSCAAAHKQLGYSPVVSLEEGITLTIKSFSHLRKELSLASFTEFTEESKADKLLGGGRVADVLLWRDEKKSFTCFLASSLLFYWFFVRGGTFISSAAQLLLSIIIVLYGYGFIPPNIYGFPVQKLSVTAFRQSDSVVRDSIMTLACLWNRGVHNARALARGEDWNYFLKAVAFLYLLKLLLARSLTMLLGVGLVFAFTAFFVYEQYEAEIDEFAKFFFIGIMELKKLLASHLPTPLMSFLCCDRVPHHTTVSKR >KGN57149 pep chromosome:ASM407v2:3:10947027:10948417:-1 gene:Csa_3G165660 transcript:KGN57149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTHDDLLPSPKSSELGSKMGTFLIILTILCGLCCFILCLIAETTRSQVIWMGIDENNKEKRRCSYSGSGKTPLLCTASAFLGMAVMMVVQHLYVLIAVSKSAPPALIAWDPSFATSKSLTFQAAFFFVSTWISFAVGEILLLIGLSVESGHLNNWSTPKESCLVIKEGLFSAAGVFQLATVFLAAGLYMTAVRAQRMFEQQENVRREVLESYHIHSSPPRSLSSPPLQPMPPIAREDPVIRHSQHHQERAPFWSLLQSTAPFCKLSA >KGN56296 pep chromosome:ASM407v2:3:5839494:5840282:-1 gene:Csa_3G113380 transcript:KGN56296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSTQKGHYRFVAFVSPLSSSSLHADVGLSVSAFMTPSDSRSKEQLVPRVGKIVHVPTASTFVSSHKPSISSQRPTMSFSRVLAQNWVSTDFPPTSNVATPIASTAPPKAHVSNAYGALSSPSCSSNIESPTVDHPVDATVDDFFMPLSLFLGLIFVMPHLLLMFMILLVLLLPPHLLQFLYLVFPMMSLHCIWCSYTCF >KGN55677 pep chromosome:ASM407v2:3:598347:599231:-1 gene:Csa_3G002960 transcript:KGN55677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METQETTEEIIASIKDKRQELEARILAQHSSQLELLSSLESLVPDIVSSLDLSLQVVSSFNGRPFTPTPVLPELKNKPSKYPLLTTNSLPHKSRPNLLPSGEAKPTIQKNQNAKAYTESNSDRKRARQPDGGKFSMDDSGSPLSVVRSMVAVCLLERVPFTTIDSSTVLRKLENDQKATAAEKAALREVGGDSGAILAVEMALRSMAEDSGGVELEEFVVSGKSRVMVLGIDRTRLMKELPESANFQLQESSLGEGNSSHNQNQQVVSGGGVDVNGGVFGGERETGATTRISKW >KGN59472 pep chromosome:ASM407v2:3:32151988:32164535:1 gene:Csa_3G822240 transcript:KGN59472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKHSTKTSRVRIERRRRRWQSNICAQLSTRNPKRMPTNHGSKGRRSVSRVVWNTFQKQNQVFPIRSVVSHRRFRHIQISLQFRQAIDQLQESFRNLKLPAMPTSTAGIAGSGDSSNTIIGSSAEDKSLKESAAAQSQYRAQNEVQELEKSSKQLYPCQPGEAQGAVAIPADQETNRSSGNDQNIVPHHGTFNNIAVSSSSNFRSNVDDARDIDIAVQDAVLREQELATQNIIRSQRDSVGADGLPVERSDIFSERYDPSSLKEHLLKITSEHRAEMAIKRGKLNLPEEGNLEIGNGYGVPGGCAFYGASKPGIVANGNNVTGQKIQGQIKEAEQSSASKALPEYLKQKLRARGILKEDAEHSNSVRADTNSDAVSNTKLQGEKLPHGWVEAKDPHSGVSYYYNESSGKSQWERPSELSSNTQLSSAVSLPEDWMEAIDQTSGVKYYYNMRTHVTQWERPVASHQTTLTHSNDKFPGPWNDQTLEQSKCITCGSGMTLVQGSRYCNSCTSGVSTSSTNGIWQDQPSEQNKCMGCGGWGLGLVQAWGYCIHCTRILGLPQCQYLPTNNISNQQKIENVKHSADPSIKKSVTDRSKWKPPIGKGGKRESRKRSYSEDDELDPMDPSSYSDAPRGGWVVGLKGVQPRAADTTATGPLFQQRPYPSPGAVLRKNAEIASQTKKGSSHYAPISKRGDGSDGLGDAD >KGN60479 pep chromosome:ASM407v2:3:39700369:39701971:-1 gene:Csa_3G914590 transcript:KGN60479 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin ligase protein cop1 MRSSLSSPSSPTPQIQNSEKLTQQSPTPLRCEWDFTLASVVSSPTNCAASDTLGVVEFDPSDSLIATAGIARKIRGYRLHNLLPQDIDHNATITQLDHTRASDFCICTPAKLSSLRWKPNANGRILGSGDYDGVVMEYDLEMKVPIFERDEHGGRRVWSVDYSTVEPVVGASGSDDGTVQVWDTRCESGECVAVVQPSVVRSAVCCVEFNPFGGGLVAVGCADRKAYGYDRRKMREPVVVFEGHGKTVTYVKFVDGGTVVSASTDGSLKMWKTEEGGGARVVRTYEGHVNGRSFVGLSVWREGGLIGCGSEDKRVFVYDKRWGEPIWVKEFDGGVGVGVGVGMGSGYGLVSSVCWRQVGEQECTLVAGGSDGVLQVFVGHKKRSF >KGN59174 pep chromosome:ASM407v2:3:30025758:30026674:-1 gene:Csa_3G778300 transcript:KGN59174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKFGVFRLEGKVTIITGGASGIGASTARIFHENGAKVIIADIQDKVGQKIAHKLGEDVSYIHCDVMQEDDVAKLVDTTVHRHGKLDIMYNNAGVIDRKHGGIFDVTKFDLDKVVGVNVMDAFWGAKHATRVMIPKKNGCILFTSSATTNVAGLSTHSYASSKCAVLGARVPAKAIEILTTSWANLKGRVLKADDIAMAVLYLASDDANYVSGLNLVVDGGYSFVNPSMLN >KGN55875 pep chromosome:ASM407v2:3:2166967:2170175:-1 gene:Csa_3G020630 transcript:KGN55875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNLHNLIYRLSSTSLGKNTNTSRLLKQNVGCNLLVDSVSTLKHVQGAWLTTLREFSAKSGGFGGGDTKNEWDKSVSESFSGPTSDDLGWDSASSWSTGLTKEHFDGEAVGRRASGGGNSESPQSSLVSGLQEFEDKVRELEAENRKSKVYVDKWGERMRETSILLKQVKEPGARGSYLKDSEKAEMYRLHKENPEVYTIEKLAKDYRIMRQRVHAILWLKELEEEEEKKLGHPLDDSVELLLDNFPEFFKSHDREFHVASLPYKPDFKVMPEGWDGTTRDLDEVHYEISQKEDEMLYQEFVEKLNFNKKKVAGEVFRHKYSRRRDADGWKFTIEKLGPRGKQGSGGGRKFVSLPNGSSRPLNEMEKMYVRRETPRHRRKILP >KGN59116 pep chromosome:ASM407v2:3:29693485:29702113:-1 gene:Csa_3G775290 transcript:KGN59116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELQSPPEPSSTDITSNKGKKKKPPAKEKKEPEKRAKKTSNKGKKKPPAKEKKELEKRAKKKTPVTATVVTATTSTEVNKHQSTARLDDVVPEVKVSEFDPCVENHFRAMDAIVELCCEAEDGDGGIDESDIQRFSSSTIFLREWRFYNYEPKTIKFANDSRGPEGKDADITIDLPQFSSAAVLKKGAPPGASTSLDFRNFAMHVGGPVWAIDWCPQVHERTNSLIKCEFIAVSAHPPGSSYHKMGIPLTGRGMVQIWCLVHGTESYEPIDVGEPPSDLSSQPKRPRGRPPGRKEKGASVLPSQPKRPRGRPKKEQKESNDKKKGDNCQLVQEFSMENPVGSSNLLEIDGVPKNTENFVLLENNVERESSTLQEVSTCHSEDEVPAKKRRVRRKVKPRNLVDDVGVLSLAEYQEDGSIANNHEANENVKSEYSGEDNLLCKDISENVVLDASSIEFSIPESVALPRVVLCLAHNGKVAWDLKWKPMNACTDNCKHRMGYLAVLLGNGSLEVWEVPFPHAVKAIYSKFNGEGTDPRFMKLKPIFRCSRLRTTNTQSIPLTVEWSRTPPYDYLLAGCHDGTVALWKFSANSSCEDTRPLLRFSADTVPIRAVAWAPSESDLESANVILTAGHGGLKFWDLRDPFRPLWDLHPAPRIIYSLDWLPNPRCVFLSFDDGTLRLLSLLKAANDVPATGRPFTAIKQKGLHTYICSSYAIWSIQVSRQTGMVAYCGADGAVVRFQLTTKAADKENSRHRTPHYVCEYLTEEESIITFRSPPPNVPIPLKKLSNKSEHPLSMRAILSDSVQSNEDKTATASTLENEATICSDVDVRVESGSEDTLTPTKKKNRTQPKCKEGVEKLELECSDEPKDDAHMDADVDAQTDAVLEAQMDADALPTSGDHFENLPPKSVAMHRVRWNMNIGSEEWLCYGGAAGILRCREIVLSALDMKLMKKK >KGN57015 pep chromosome:ASM407v2:3:10077095:10080069:-1 gene:Csa_3G149950 transcript:KGN57015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLFSSSIMSPPDELVAAGSRTPSPKTTSATLLNRFVQTNPSAVSLQLGDHVQLAYTHETESALCPRSFAVKDDIFCLFEGVLDNLGSLRQQYGLAKSANEVILMIEAYKALRDRAPYPPSHVVGHLSGSFAFIVFDKSTSTLFVASDQNGKVPLYWGITADGYVAFSDNADLLKGACGKSLASFPQGCFLSTAVGELRCYQNPKNKITAVPANEEEIWGATFKVEGPAALAATR >KGN57355 pep chromosome:ASM407v2:3:12475495:12480758:1 gene:Csa_3G180430 transcript:KGN57355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGGAITDPSFLPYPIPSSMPSSSEFSSSLRKMDGYGEVCLLGDGFDPTGIVRIREDEYDSRSGSDNIDGAVSGDDHDANDEQPPKRKKYHRHTPHQIQELEIFFKECPHPDDKQRNELSRRLGLETKQVKFWFQNRRTQMKTQIERHENAILKQENDKLRAENSVMKDAISNPTCSTCGGPSIPVHLSFEEHQLRIENARLREELHRLYAVTNKFLGWPVVPFANHGSSPSSDSCLELSVGRNGPGNLSTVSDSMGLNLGNELFSAGSVMPISKPDIGMLTNDIPLERTIYVDLALAAMNELVKMAQMDGPLWIRSRADSGKETLNLDEYSRTFPSSAGMKHTNWTTEATRDTTMVIINSLALVETLMDANRWAEMFPCLIARATTTDVISSGMGGTRNGALQLMHAELRVLSPLVPVRTLKFLRFCKQHADGLWAVVDVSIGEGSNSNSFSGCKRLPSGCVVQDMPNGFSKVTWVEHTEYDETVIHQLYRQLISSGSGFGSQRWLATLQRQCDCLAILMSSTIPTEDPAGISPSGRRSMLKLSQRMVDNFCSGVCSSTLHKWDKLVVGNISEDVKVMARKSINDPGEPPGIVLSAATSVWMPVTQQRLFAFLQDECLRSEWDILSNSRPMLEMLRISKSQGPDNRVSLLCANPMNANENTMFILQETWTDVSGSLVVFAPVDTSSVNLVMRGGDSAYVSLLPSGFAILPIDQSNYACTNGEDATVKSSINSGHGGACLLTVAFQILVNSLPTAKLTVESVETVNHLISCTIQKIKAALQVS >KGN59724 pep chromosome:ASM407v2:3:33838538:33840172:1 gene:Csa_3G841480 transcript:KGN59724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQRPDHDHDQPQSSELYRLLAQTGAFTLGGPAFPPPPASTQTMSSSSSYYPLDNNKSPQGVAAPTPHDRALAALKNHKEAEKRRRERINSHLDKLRTLLPCNSKTDKASLLAKVVERVKELKNETLEIAELESFPSETDEISVLSGEKSEDGRLLFKASLCCEDRSDLIPDLNDILNSLHLKTLRADIVTVGGRIRNVLLIAANDHHSVESVHFLQNALKSLIERSNSSLTSKRRRLVLHHK >KGN56141 pep chromosome:ASM407v2:3:4219514:4222566:1 gene:Csa_3G077750 transcript:KGN56141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCFQCCGSEEKVNKKSLKKSIKEFEETKTLTSFANISFKSDASRRRYITEEIKKLGKGNITAQIFTFDELSTATNNFNHENLLGEGGFGRVYKGIIESTKQVTAVKQLDRNGFQGNKEFLVEVLMLSLLHHPNLVNLVGYCADGDQRILVYEYMAKGSLEDHLLDIASDKPPLDWKTRMKIAEGAAKGLEYLHETANPPVIYRDFKASNILLDEEFNPKLSDFGLAKLGPTGDKSHVSTRVMGTYGYCAPEYALTGQLTTKSDVYSFGVVFLEIITGRRVIDNARPTAEQNLITWAQPLFKDRRKFTLMADPKLEGDYPVKALYQALAVAAMCLQEEANTRPLISDVVTALEYLAANKDVDADGDVDDESDSGSVSGSGSGSGSASPDRSSNKGDKNIDVEGDGR >KGN57449 pep chromosome:ASM407v2:3:13152338:13156575:-1 gene:Csa_3G187240 transcript:KGN57449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSFSALPRHSFASTSALSSVRLFLADLTFAASPPWLPSSASGLLKLMAPSRKSRSVNKGFLLLMRYPQANTWKMPAKASRSRFLWFGGKSSCGFVKACSIRRSEWEAKQVHNHVLKLGFDSDVYVRNTLINCFSVCSNMTDACRVFNESSVLDSVSWNSILAGYIEIGNVEEAKHIYHQMPERSIIASNSMIVLFGMRGLVVEACKLFDEMLEKDMVTWSALIACFQQNEMYEEAIRTFVGMHKIGVMVDEVVAEMQMSGFKPDETTLVSVISACARLAALEQGKWVHAYIKRNGLTINVILGTTLIDMYMKCGCVETALEVFYGMIEKGISTWNALILGLAMNGLVESSLDMFSNMKKCHVTPNEITFMGVLGACRHMGLVDEGQHHFYSMIHDHKIQPNVKHYGCMVDLLGRAGKLQEAEELLNRMPMTPDVATWGALLGACKKHGDSEMGRRVGRKLIELQPDHDGFHVLLSNIYASKGKWDDVLEIRGMMTKHRVLKIPGCSMIEANGVIHEFLAGDKTHPDMDAIEDMLVEMAMKLKLEGYTPDINEVLLDVDEEEKESTLFRHSEKLAIAFGLINISPPTPIRIMKNLRICNDCHTAAKLISKAFCRKIVVRDRHRFHHFEQGFCSCKDYW >KGN58392 pep chromosome:ASM407v2:3:24739052:24742312:-1 gene:Csa_3G636410 transcript:KGN58392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESEQHFHKSTATSKPSSRVSTMKLSFSLPSKSSSSSSKPNLVKPSKEFDDKTLDHGPLNDSKQYVNEFDASKPLSETTGKSRNLVIPSLQNEWRPLKRMKNLEVPLDQSDESHLKFESASGLDPLDDSKMSYGLNVRQSVDGMKISDESKSGEEPPRPAPLEVIMLEKFKADLERLPEDRGFEDFEEVPVESFAAALMNGYGWRQGKGIGRNAKEDVKVREYSRRTDKQGLGFVSDVPVGISKKEEEKDGGRERERKRDEGRVKENRDRESDGLASIGKHVRIVRGRDAGLKGRVLEKLDSDWLVLKLSKRDEHVKLKVRATDIAELGSKEEEKFLKKLEELKVKNENTGQKRRREVEQVVEKRENGSRDKEKRTGRLSWLTSHIRVRIISKEFKGGKFYLKKGEIVDVVGPSICDISIDGSRELVQGVSQELLETALPRRGGPVLVLYGKHKGVYGSLVERDLDKETGVVRDADSHELLNVRLEQIAEYIGDPSYLGY >KGN59239 pep chromosome:ASM407v2:3:30459236:30462049:-1 gene:Csa_3G783850 transcript:KGN59239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPSHGVSLFFLFLTLFSLPPFSSAALRRNPLPKSPSSTSTAASSQINSNSILVALLDSHYTELAELVEKALLLQTLEDAVGKHNLTIFAPRNEALERELDPEFKRFLLEPRNLKSLQTLLMSHIVPERVGFNQERSSSLVRHRTLGDSHLNLKNSDSGKIIVDSAEIVRPDDVVRPDGVIHGIERLLIPRSVQEDFNRRRNLQSISAVLPEGAPEVDPRTHRLKKPAPPVPVGTSPVLPIYDALAPGPSIAPAPAPGPGGPHHHFDGERQVKDFIQTLLHYGGYNEMADILVNLTSLATEMGRLVSEGYVLTVLAPNDEAMAKLTTDQLSEPGAPEQIIYYHVIPEYQTEESMYNAVRRFGKVRYQTLRLPHAVMAQEADGSVKFGQGEGSAYLFDPDIYTDGRISVQGIDGVLFPLEEDKAPEKKSNSALKVATKPRRGKLMELTCTMLGAVGQDSHFSSCL >KGN58837 pep chromosome:ASM407v2:3:27926811:27927107:1 gene:Csa_3G733910 transcript:KGN58837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNYCICFGAGQKKKKSNNNNQTDGHKGGRKFRPPRGHGGRTGVGGSGAGNGNLAVLTDHHDGGVAMVATLGFAVAAAAVVDVAEHGGSCGGGECGGG >KGN57552 pep chromosome:ASM407v2:3:14216490:14217298:1 gene:Csa_3G210470 transcript:KGN57552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFSHNPTLNGSLPSSPISLFQSQLPCLPKSPIATVGRRTSVLAELVAKRVHDHRPSHNSLAWFDSTIL >KGN58364 pep chromosome:ASM407v2:3:24470082:24473659:-1 gene:Csa_3G628730 transcript:KGN58364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDAAKNNDTWNWEGDGYTIQKDTDIEISECLWDGVSENGDLSYVFDETTPVKACGDLAYHVTCSDDRNKKSEESRETHSQAKRRRMLQFTAQDLETSICREDLSSRFLKSHNKVVSSPAALAEVSYGIPECSGLADNVLISCHENLDHSPEGWIAECLNDADMHCSPEDLSFAGTSDIQIDVSEFCDGAPEFKSNVVQHHPTRAPPNIIFKGRKSYIRTPTKLASSVAYPFAFIKPCGFHGDVTLKDINQRIRTPPPSKLKHQPEDPSESYPTSAFSGKPVVGKTKIHTEGGKGSITIMRTRG >KGN56802 pep chromosome:ASM407v2:3:8882151:8884238:1 gene:Csa_3G134510 transcript:KGN56802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPIPFQDLNLLPDPSSTAVMTAATSPKTATGINSSFNKFVDTGKLLTPKLEPKLEPFDDLFETRESQQPQSVQQPFLSTPSSNFFSNTDFSQTPFSDQNHTPLSQSSSISSDKDNVYSEFYRISQLFRSAFGKGLQSYGDADVEVVDPDAQAIVPVPEENQISTVVVSKRRYDKRSSELVRVTDLGVEDQRYFRDVVRRTRMIFDSLRVLSTAEEEKSPGLMRRLRGDLRASSLMRERGLWLNRDKRIVGSIPGVHIGDLFFFRMELCVVGLHGQAQAGIDYVPASQSSNGEPIATSIIVSGGYEDDEDAGDMIIYTGHGGQDKFSKQCMHQKLEGGNLALERSMHYGIEVRVIRGMKYAGSVASKIYVYDGLYRILDCWFDVGKSGFGVYKYKLLRIDGQAEMGSSILKFAENLRTKPLSLRPSGYLSLDISMKKEAVPVLLFNDIDNDQEPLYYEYLVRTVFPPFAFHQSGSGTGCSCVTSCVHDCFCAMKNGGEFGYDQNGFLVRGKPIIFECGPFCQCPPQCRNRVSQKGLKHRLEVFRSRETGWGVRSLDLIHAGAFICEYAGVVLTREQAQVFSMNGDTLIYPNRFSDRWAEWGDLSQIYSNYVRPSYPSVPPLDFAMDVSRMRNVACYISHSTSPNVLVQFVLYDHNNLMFPHLMLFAMENIPPLRELSIDYGVADDWSGKLAICN >KGN59106 pep chromosome:ASM407v2:3:29629790:29631571:-1 gene:Csa_3G769710 transcript:KGN59106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLKIHRASEKPNLDWEASLKQYENVMASESEALKVKATIKLAQLSKIAPENILKSVIPIIAKPLEDNPTNNASQSMRAAAAYCLRCISCRGDGTLATAVGHSGALESLVKSLLHSSGCFCKILVKCIWSIVTFDQSSRVIIARNGGLEVIICMLVLVIDGTRRYLLEILSAMALLREVRKALIRSRGLPFLVQAARFGCMASRERACEAIGLIAITKRGRNKLVELGVVPVLIELLREGDYVTKLVAGNTLGIVSAHLAYIRPVAQAGAIPLFADLLQWSDPICKEIAEDVFCLLAVAETNAVVIFDHLVKVLKEGDDRGKAAAADVLRILSSYKYSISVVQNSGAIPVLVDLLHDGNHEVREKVSGAIARLSCYETDRVALADAGAIQGLIGLLQDDLEKVKLNVTEAVTSFSKDPLYCTRVAEAISTPAFQNLQERITHIRAAEWQSMVSVHQLGINQFTFDLDLL >KGN56675 pep chromosome:ASM407v2:3:8192223:8193876:1 gene:Csa_3G128850 transcript:KGN56675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRAAVLRHLRVPVRFSALARRECQPTPCSGGSLRLFSSQDDQSTKEEVTERVLSVIKRHPKVDPSKVNPDVHFQKDLGLDSLDTVEIVMALEEEFKLEIPDNEADKIDSCNLAIEYVCNHPMAS >KGN58284 pep chromosome:ASM407v2:3:23544972:23547127:-1 gene:Csa_3G607650 transcript:KGN58284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKVNEMLRGASRAVLILLLLFQGSGGDGSEFVGDGGDFGGDYSKLSGIIIPGFASTQLRAWSILDCPYSPLDFNPLDLVWLDTTKLLSAVNCWLKCILLDPYNQTDHPECKSRPDSGLSAITELDPGYITGPLSSVWKEWIKWCIEFGIEANAIIAVPYDWRLSPTMLEERDLYFHKLKLTFETALKLRGGPSIVFAHSLGNNVFRYFLEWLKLEIAPKHYFQWLDQHIHAYFAVGAPLLGAPDTIKATLSGSTFGLPISEVTF >KGN58104 pep chromosome:ASM407v2:3:21384918:21386496:1 gene:Csa_3G511970 transcript:KGN58104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEYCEEFEKEISGLSHLEDEAKTCKKKPDVVKFFYKGYINEEQQYVMIKGQKQDVDEALKKVVCSKTKWDKMSIGTYQIRMGLIFLHNPNTDASVWLVFVKKKIMSTRPDSTISMDKIMLIYCIMEEIPVNVGEIICKHILAWIKHPHDGRPFLHLIEKLCLKACLTKKESQIKVEDVDESNEEEESEEDNSEKATLSPKVSLRREVRKDDIDDPLQEGKETTSMVDRDKRPLTAKINYSSLNAKCQDEGISENDEEEVDEAYNFKFIEMDKRFEDVIHCHINLQEMHLLSKKQLCDLELKCDNANGSSRHK >KGN57724 pep chromosome:ASM407v2:3:16207191:16207493:1 gene:Csa_3G263220 transcript:KGN57724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINRALLGWMKHPKDTKPFPTRVEKLCHKYLPTFVRYPQIEMGGRVNLAGLNRLRSIHSNKEGLCRQKTQKQGGYELEQQDVNESPHHPPLKFEEAILKP >KGN58723 pep chromosome:ASM407v2:3:27384898:27386453:1 gene:Csa_3G730870 transcript:KGN58723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSFDRPSPNQITVLMNHRQAVLFIPDLRLRIAVEFQLKHTKRCCKSLIEQEEPVKLRMDSAAIEKSPTRKRNRLQSTALSLLSFRGFFLTSVDVSNSGKPLLLSRERKNKRRMMGNTVRNGNRELFHPFSSFLLTTLHHLADYDALHFSCAAFPNAAARQCTTEILKQEYLTKLIPIEDWGNIENSISKDFDYWLYC >KGN60152 pep chromosome:ASM407v2:3:37232946:37237096:-1 gene:Csa_3G881650 transcript:KGN60152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEKAAGEGEKKDSVADVGRKKKSRGIFSRLWNSIFRVRGDDFEKRLQHISKEEAAVLARLKRRSLTWRRMARNLIIFSVVFEIVAVCYAIITTRTVDLNWKMRAFRVLPMFLLPALSTLAYTAFLSFTRMCDRKDQKTLERLRAERQAKIDELKEKTNYYITQQLIQRYDPDPAAKAAAATVLASKMGADSGLKVRLGDESNPNVPSGKSNDVEFVQAGGGLRNRKQGHSRSSSTGSASLHHIEEEARRPAVSDAPHASEHNQLVVGHYNPQGPAVNDGGWLARIAALLVGEDPTQSYALICGNCHMHNGLVKKEDFPFITYYCPHCRVLNRSNQSEEQVSGHGSPPVGSIKPRSTSDPIVLTSNVDAETISEIQEAIEQTESANLISEEEKVRTDETT >KGN56263 pep chromosome:ASM407v2:3:5478251:5484064:1 gene:Csa_3G110600 transcript:KGN56263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKTHPPVCPFLIRIRIPIPIPIPFLISFSHFIATDPTTTTTNIITHSNSQPHTPLHDLLLLLLLLHRAFPFPPTANTLNQPPFKFQPTALYLFPRDSSPSSLFLRSLCVMGERTPPGSYFHYPPPSAHASPHRTPSIPLDRERCLAELLSERQKLGPFVQVLPHCSRLLNQEIRRLSGLNQTSVDHERFEHGSPYRSLGQLSNGRPMDMEGWPPMQMEGSGHVHGMGPLQAHSMGWPRVQGIPTTPIVKRVVRLDVPVDKYPNYNFVGRLLGPRGNSLKRVEALTECRVYIRGKGSIKDALEEEKLKDKPGYEHLNEPLHLLVEAEFPEDTINARLDHAVAVLESLLKPVDELLDQYKKQQLRELALLNGTLREESPSMSPSMSPFNSTGLKRAKTGR >KGN58178 pep chromosome:ASM407v2:3:22457177:22457464:-1 gene:Csa_3G585895 transcript:KGN58178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQLTLCPPLVTVDVENAAAKKVIQDLSERLAFREIMEMGRQNVLYVNWVHGHHRASTAEAADDDSAGGGFRQELSVPIQQAIAVNVEGDETTD >KGN58100 pep chromosome:ASM407v2:3:21338248:21338625:1 gene:Csa_3G509950 transcript:KGN58100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFGCLKVRRHWRLARHLRLVVGRQDNTKKAMQLKLRLDGKLERLVGRRRLSLEWARRGRLHARKAWLGN >KGN57759 pep chromosome:ASM407v2:3:16688656:16689417:-1 gene:Csa_3G280980 transcript:KGN57759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNHGSTAIKVLEVCTVAPPPGSTVPVTLPLTFFDILWFRFPPVERLFFYKSPVPFYVIVSNLKKSLSLVLQHYLPLAGAIVWPENSPKPAVETAVRDGIVLTVAESEDDFDHLIGDGLRKEAKLRPLVAELAAEEDRAAVVAVQVTWFGNGGFSIGITSHHAVLDGRSSTSFMKSWAGLCKNLVGGGEIFCPAAETMPFYDRSVVTDKMGLEAIYLKCLLAHEGPNNRSLKFWDFKTPPDSFRGISNNSLL >KGN60081 pep chromosome:ASM407v2:3:36714697:36715121:1 gene:Csa_3G876040 transcript:KGN60081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKCASAEGERAFQSYSKEVITGSGCGYEESAGEEHEAGGFGIWRERRSRSVFYISSLFILYFSVRRLKFYNMIDYHVYDLTLAAVDECTCVSERIIDEIGVV >KGN56292 pep chromosome:ASM407v2:3:5781098:5788853:-1 gene:Csa_3G113340 transcript:KGN56292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGMPHPIPRTVEEVYGDFKGRRAGLIKALTTDVEKFYQQCDPEKENLCLYGLPNETWEVNLPVEEVPPELPEPALGINFARDGMQEKDWLSLVAVHSDSWLLAVAFYFGARFGFGKTERKRLFQMINDLPSVFEVVTGNGKQSKEQSATHNNGSKSKSSGKMSRQLESHSKGVKMSPPPKEDEDSGDEEEEEEDDEQGATCGACGDNYGNDEFWICCDACERWFHGKCVKITPAKAEHIKQYKCPSCSNKRARV >KGN57342 pep chromosome:ASM407v2:3:12377547:12378211:1 gene:Csa_3G180300 transcript:KGN57342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISGRCAACKYLRRRCPSDCIFSPFFPSNNPQRFTIVHRIYGASNVAKFLHQLPTHLRAEAAETLIFEAKCRIEDPVYGCVGIISQLQHELHVAETQLAKTRAEIACVASNVQEQPQPQDFAFEPIQGPNSVGFSQIGLSTPAHCFNSTFFLNS >KGN57155 pep chromosome:ASM407v2:3:10974635:10977732:-1 gene:Csa_3G166210 transcript:KGN57155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGARDIDDLPKNHANYTALTPLWFIERAALVHPSRLSVVHGSRRYNWRQTYQRCRRLASALSNRSIGAGDTVAIIAPNIPAIYEAHFGVPMAGAVLNCVNIRLNAQTISFLLGHSASAVVMVDQEFFPLAEEALNILAAKGDGHYKPPLLIVIGDENCDPEALKYALSKGAIEYEKFLEIGNPEYAWKPPEDEWQSITLGYTSGTTASPKGVVLSHRGAYVMSLSAGLVWGMNEGAIYLWTLPMFHCNGWCYTWALAALCGTSICLRQVTAKAVYSAIAKHKVTHFCAAPVVLNTIVNAPKEDTILPLPHVVHVMTAGAAPPPSVLYAMSQKGFRITHTYGLSETYGPSTICAWKPEWDSLPPEAQARMNARQGVRYVGLEGLDVVNTQTMQPVPSDGKTVGEIVMRGNAVMKGYLKNPKANKEAFANGWFHSGDLGVKHPDGYIEIKDRSKDIIISGGENISSVEIENTLYQHPAILEVSVVARADERWGESPCAFVTLKSKIDKGDEQRMAEDIMKFCKSKMPAYWVPKSVVFGELPKTATGKVQKHLLRAKAKEMGPVKMSKL >KGN57493 pep chromosome:ASM407v2:3:13687484:13689155:-1 gene:Csa_3G199550 transcript:KGN57493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLWSWISDLPNSDDWTTHSSSFTFNLATHGNSSIQLTAHRSTASDSDTSLSFALELIGFSSFGETKTLWVSNACPLSSDKPFLPLILQLLQEIISRSPAGQKSTCPRSRLQKLKPDPVSWIMDSHSPESFSGFFNLIFLIRLFWVCACDAPAEIGSFYFNYLLSPHLEALSSNHAPVLRTFLITIGVDAELCFTRTLGYVIAKWLILREVGVGLQTLTHTPPKRSLGFTYATEAHGLWILKGHTPVMGMRVTRAGGGGRKYQFPLIEAKESALRYALAHQQLEAVIQLEYSVKYHEGYVHVGARVDNIRLHVARLALGSVDDVEYAEERHFVSRVRVWIGPEVGANYVGAMSLGRSTENVEREVKVQKILKGRYGKAKMSTVKATARTSTRTKMRNWRWDQEAEGNAAVFEAVLCDNTTGNEVAMKKNSGGSENGEEGFVNRYSGTNRAFSKSGGVVFAGDEYGEEVGWRLSKETDGSVLKWRIGTQIWLTYYPNEMRIPYFETRFVEWCDEVDLPLLPIK >KGN58478 pep chromosome:ASM407v2:3:25587377:25591448:1 gene:Csa_3G646650 transcript:KGN58478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRRITNLRGGGCGLPKESTNSPGCGCVSSQFSLSLIIESFPFSDSLLSPPPPPPPLPSFQFSFLNLSLPPDRLTHLNPTKTLVNFLIFHLFISDSSRIQFERMTHRCLSSRRRALPLPTATVKGDLNNKDLQAIEFSADYCNACSYISLCLPHCCYVLIYMYLIYILSLCGMADKACIKRLQKEYRALCKEPVSHIVARPAPSDILEWHYVLEGSEGTPFAGGFYHGKIKFPPDMITPNGRFMTQKKICLSMSDFHPESWNPMWSVSSILTGLLSFMMDNSPTTGSVNTTAAEKERLAKASLAFNCKNPTFRKLFPEYVEKYNQQQQSEQLVAEQASSKSSEEGEGKHIMKRVRSMEENSNKADGEDMKRNRKQSFPTWLMLLLFSIFGVLMALPLLQP >KGN56906 pep chromosome:ASM407v2:3:9407768:9412179:1 gene:Csa_3G142980 transcript:KGN56906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLLQLVALLLHFIFISSFSAALAHNHDDPTIRTMEAFSGYPVHEPSSHGFSTPITSLSVDADTLVKQIEELSTFSDSPAPSVTRILYTEKDVLARRFVKSLMGLSGLSVREDAVGNIFGRWDGSEPDLPAVATGSHADAIPFSGKYDGVVGVLGALEAINVLKRSGFKPKRSLEIIFFTSEEPTRFGISCLGSRLLAGSDALAKALETTVDGQNISFISAASSAGYLTDPAQISTVFLKKGSYSAFLELHIEQGPILEEEGISIGVVTAIAAPASLKVEFEGTGGHAGAVLMPYRNDAGLAAAELALAVEKHVLDSGSIDTVGTVGILELHPGAINSIPSKSHLEIDTRDIDEERRNIVLKKIHESANEIAKRRRVKLSEFKIINQDPPAHSDKSIIEAMVSAAKDLNLTHKLMISRAYHDSLFMARVSPMGMIFIPCYKGYSHKPEEFASLKDMSNGVKVLALTLAKLSLQ >KGN58830 pep chromosome:ASM407v2:3:27889857:27890824:-1 gene:Csa_3G733350 transcript:KGN58830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEGKAVVRETDMPQGIQSHVLELAYKALDQHEVSDCQSIAHFIKQRFDEAYGPAWHCVAGKAFGGCITHLCGSYIFFHVDTMEFLVFKDGRDCFKSVEETVGVCRKY >KGN58971 pep chromosome:ASM407v2:3:28630816:28636134:-1 gene:Csa_3G740140 transcript:KGN58971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQPESSDSKGTKRDFSTAILERKKAPNRLVVDEAINDDNSVVALHPDTMEKLQLFRGDTILIKGKKRKDTICIALADDTCDEPKIRMNKVVRSNLRVRLGDVVSVHQCADVKYGKRVHILPVDDTIEGVTGNLFDAYLKPYFLEAYRPLRKGDLFLVRGGMRSVEFKVIETDPAEYCVVAPDTEIFCDGEPVKREDEDRLDEVGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFCINGPEIMSKLAGESESNLRKAFEEAEKNAPSIIFIDEIDSIAPKREKTNGEVERRIVSQLLTLMDGLKSRAHVIVIGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLRIHTKNMKLAEEVDLERIAKDTHGYVGADLAALCTEAALQCIREKMDVIDLEDDSIDAEILNSMAVTNEHFQTALGTSNPSALRETVVEVPNVSWEDIGGLENVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISVKGPELLTMWFGESEANVREIFDKARQSAPCVLFFDELDSIATQRGSSVGDAGGAADRVLNQLLTEMDGMSAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDEESRLQIFKACLRKSPISKDVELRALAKYTQGFSGADITEICQRACKYAIRENIEKDIEKERRKSENPEAMEEDADDEVAEIRAAHFEESMKYARRSVSDADIRKYQAFAQTLQQSRGFGAEFRFEQSSAPAASDPFATSAGGGDEDDLYN >KGN57259 pep chromosome:ASM407v2:3:11810564:11811872:-1 gene:Csa_3G175600 transcript:KGN57259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPMKISLMLKLLFFATLLFIAYTTISATNNIPLLHKPPIRKMAVGYRKGEKAVVVPKVYKPTSADFPWGGGYVRRPPILNNP >KGN57609 pep chromosome:ASM407v2:3:14936280:14941185:-1 gene:Csa_3G228370 transcript:KGN57609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQIVSSYQMLINAPVNYRRGKKNLILSGWGDDALLSEACTNPYYNSDCYQSYSELTQKNLERLNEHIIDYDLLEDLVIHVDKTFDEGAILVFLPGVSEIHLLYDRLAASYQFGGQASDWILPLHSSIASTDQKKVFLRPPYGIRKVIIATNIAETSITIDDVVYVIDSGRHKENRYNPQKPNSLSSKTAQQSHGLITTVPSSSVGRELSHVSSEPLVPPILDVSNHCNPLSGKNSKLLISLPPF >KGN57847 pep chromosome:ASM407v2:3:18149275:18149754:-1 gene:Csa_3G346940 transcript:KGN57847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDENGGIKMGIRQIVRLKETLQHWQGVTVCPKSKAAAHENGSQNQNQNHGILSPAINKRLTNVLCCDSDEETCQSPEHPPDVPKGYLAVYVGPELRRFIIPTSYLRHSVFKVLLEKAEEEFGFDHSGALTFPCEIEIFKYLLKCMESQQKDHPDDHTPG >KGN58320 pep chromosome:ASM407v2:3:23839576:23846075:1 gene:Csa_3G613420 transcript:KGN58320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFSILLPLLVLHVFDLRFSCSGQSSVSPHIYLSPSLQPSLQTMIPGMSTEHARLESISFSFVPSKLRDNWISKSLLGSSTSPAPSPGPSSAPLPVRRHRRRRGRPHVVAPTPSKDQGCDQICMEPLTAAPFGSPCGCVFPMKVRLLLDIAPYAVFPVMNELESEIAVGTYLEQSQVKIMGASADSQNQGRTMVDINLVPLGEKFDNTTAVLTYRRFWDKKVHLNMTLFGDYEVVYISYPGIPSSPPYGGYMGSAPSGSAGDLPITANFVNKSQKMNVKTIAIIALSAFVVLLVFIGALSIFFKWRKVGRPSNAVGPVFTPSINKRSGLGSALSSSIASSTSVSLMSTMPTSLQSVKTFALSELEKATDKFSSKRILGEGGFGRVYCGILDDGNEVAVKLLTRDNQNRDREFIAEVEMLSRLHHRNLVKLIGICIEGRTRCLVYELVHNGSVESHLHGIDKRNGPLDWDARLKIALGAARGLAYLHEDSNPRVIHRDFKASNVLLEVDFTPKVSDFGLAREATEGSEHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLSGRKPVDMSQPHGEENLVTWARPLLTSREGLEQLVDPSLAGTYDFDDMAKVAAIASMCVHPEVTQRPFMGEVVQALKLIYNDTDETCADYCSQKESSARDSDFKGDLVPSDSSWWNAGGLTPRLTYGQASSFITMEYSSGPLEEMENRPFSTSSLVGDEISLPIRHGNRSGPLRTARSKPSFYRFSGSRSEHGGFLSRPSWNSNGFWV >KGN60474 pep chromosome:ASM407v2:3:39656863:39658632:-1 gene:Csa_3G914050 transcript:KGN60474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMVEVRVPNLDCEGCASKLKKALFKLKGVEEVEVEIEMQKITVRGYGLEERKVVKAIKRAGKAAEGWPFPGYSSHYTSFYKYPSYIANHYYDTYGGHNSNSNSNSNYSTTTTSSNKHHHHHHHHLNISNSNNCSSQLHTFFQTPSLYSLALSSDHAIASLFSDDNPHACSIM >KGN55871 pep chromosome:ASM407v2:3:2122336:2124652:-1 gene:Csa_3G020590 transcript:KGN55871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKQSLLFSENLTPPKFEVIVINANMSCNGCRQRVSQIVSKMSELAELTIDVTKKQVIVKGNVKCQSKNQENTIHSQMNNCDSLKSPSPHFSNSCF >KGN56469 pep chromosome:ASM407v2:3:6996557:6997717:1 gene:Csa_3G120480 transcript:KGN56469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIQLSSFASSSFLLMILGFVGCFFEPTTGRIHGGIATYWGQNIREGRLTAACATGKFQIVNIGFLSTFGNGQPPQVNLTRHCNPICNGCWNVSAGIVNCQNDGVKVMLSMGGPHGNYSLSSAAEALDLADYIWSNFLHGHSTSPRPFGYAPLDGVDFRIERGEFSPYYTLLARRLHDYGQQCGRKVYLTAAPQCHFPDNYLTQSLHTGLFDYIWVRFFNDRQCQYNSSHPSGFRSSWMRWIHSIPAKKFYLGIPASEEAGKGYVAPSVLMREVLPFVKRSVGYGGVMLFDLSNDVQTNYSSLISGRV >KGN58850 pep chromosome:ASM407v2:3:27981843:27984009:1 gene:Csa_3G734040 transcript:KGN58850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANFCFFSFLAIFFFTVPAASHNFFYAGFRDPTAATNLTLPDIAKIEENGLLTLTDDGGFQQGHVFYESPVRFRNSSNADPFSFSTNFVFAIVNEFPNLGGHGLAFTIAPSKNLHALPVQFLGLLNSTNHGDPSNHLFAVEFDTFKNAEFEDIDDNHIGIDLNSLISSASTTASYFINDGNTKQFINLKSGAPIQVWIDYDAPVNSLTVALSPFSTKPQKPILSFNVDLSPILFEFMYIGFSASTGQMSSSHYILGWSFSTDGPSQSLNIDSLPSVPGPKNTYSDLAIGISILIILIVIAGIFLTLYKIKKKIDIIEAWELEIGPHRYPYKQLELATKQFSNRELLGRGGFGKVYRGTLPNSKTRVAVKRISHESKQGLREFMSEITIIGRLRHRNLVQLLGWCRHGGNEDLLLVYEFMVNGSLDSYIFGKPKVILSWEQRFKIINGVASGLLYLHEGYEQVVIHRDVKASNVLLDDEMNGKLSDFGLAKLYEHGENPTTTRVVGTVGYLAPELHRTGKATTSSDVYAFGALVLEVACGRRPIGPREVPEEIVLVDWVWEKYKEKKLLEVMDEKLKGDFNEVEAVMILKLGLLCSKDSAAARPSMRLVMRCLDGEIGVPDEITGPRMVEGADEFVDSWSDNRDITSASLSTSSLSILDGRS >KGN56758 pep chromosome:ASM407v2:3:8647745:8648545:-1 gene:Csa_3G132600 transcript:KGN56758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRGRKRKWKCKFLLFWLTWRLSIFDILSSSDFVLPFSVFFLSNKLPLLEERGIERERGWGLGSFPAILVSRERVSWKKQTGGGGGKFS >KGN58258 pep chromosome:ASM407v2:3:23177285:23193197:1 gene:Csa_3G599990 transcript:KGN58258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITKEISRLNGVIRDPITSMHVMEKSNFKIASDEEIEIALSGQYLLNLPITVDESKLDKVLLKKYFATHPQANLPDFVDKYVIFRRGTGIDQTSDFFFMEKVDMLIGRFWAYLLRLTRLEKILCRRPISRSTEDRKKNDEIPPDADQDLDVERVRLENMELSASNLLGKVTIQEPTFDRIIVVYRRASTKSKPERGIYVKHFKNIPMADMEIVLPEKKNPGLTPMDWVKFIVSAIVGLVALVGSIEMPKADFWVIFAVLSTVIGYCAKTYFTFQQNMATYQNLITQSMYDKQLDSGRGTLLHLCDDVIQQEVKEVIISFFILMEQGKATLEDLDLRCEELIKEEFGEHCNFEVDDAVQKLEKLGIISRDTIGRYYCVGLKRANEIIGLTTEELVLKARQGVNP >KGN56066 pep chromosome:ASM407v2:3:3647233:3649695:-1 gene:Csa_3G063660 transcript:KGN56066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPIFCGNFEYDARQPDLERLFSRYGKVDRVDMKSGFAFIYMEDEREAEDAIHALDRREFGRKGRRLRVEWTKQERGIRRPSGGGGGSGGGSRRSSTNTRPSKTLFVINFDPYHTRIRDLERHFDPYGKILNVRIRRNFAFVQYELQEDATRALEVTNMSKLMDRVISVEYAVRDDDEKRNGYSPDRNRDRSPDRKRRSPSPYRRERGSPDYGNGISRSPYRRQRASPDYGSRRSPSPYQRERERGSPDYSRGRSPKHSPYRRERERSSDHIRAPSHSPPHQRERPNDDRIPNRSPSPYRRERERGSPIGGRGRSYSPRDRERLNPDNGHDHQENTVAEPGECPSYSGTQSPKHRGYNSSRSPQAEE >KGN60276 pep chromosome:ASM407v2:3:38206482:38213408:-1 gene:Csa_3G892770 transcript:KGN60276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRAVRLQIRDHHVVVDNGILQLTLSKPDGIITGVRYNGVDNLLEVLNPESNRGYWDLVWNSPGSKGVFDVIKGSRFRVIVENEEQVELSFVRMWDPSLEGKFVPLNIDKRFIVLRGSSGFYSYAIYEHLKDWPDFDIGETRITFKLRKDKFQYMAVADNRQRVMPLPDDRLSGRCQTLAYPEAVLLTNPKNPALKGEVDDKYQYSCENNNLKVHGWISTNPPTGFWQITPSDEFRSGGPLKQSLTSHVGPTTLAIFLSTHYAGQDLVPKFRAGEPWKKVFGPVFLYLNSTSVGDDPFWLWEDAKIQMMAEVDSWPYSFPASEDFPKKDQRGIVSGRLLVFDRYVDQDYLPACGAFVGLSAPGEAGSWQRECKGYQFWTRADDGGYFTINHVHTGEYNLYAWVPGFIGDYRCDALINVSQGSCIEMGDLVYEPPRDGPTLWEIGIPDRSASEFYVPDPNPIHINKLFVDHPDRFRQYGLWNRYAELYPNEDLVYTVGTSDYRKDWFFAHVPRKTNNSHEGTTWQIKFKLDRVDHKSMYTLRVAIASATLAELQVRVNDPRSKRPLFTSGLIGRDNSIARHGIHGIYWVYGVGVAGCHLVEGENTIFLTQPRCTSPFQGIMYDYIRLEGPPTK >KGN56782 pep chromosome:ASM407v2:3:8789517:8792515:1 gene:Csa_3G133330 transcript:KGN56782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLEEFQANLESLPNILQKKYALLHDLDQSLQGLVKQNEQRCEQEIEDIKRGVKCGNITPDTSLIRFSDEALDEQKHSIRIADEKVALAVQAYDLVDTHIQQLDQYLKNFDEKLRHERGTAAATGLPASSVDVNTKSGRGTEGGRGGRKKRNGQAAAATTEAPTTANPTGMELELPVDPNEPTYCLCNQVSYGEMVACDNPNCKIEWFHFGCVGLKEQPKGKWYCSDCAGSKGRRKGR >KGN59675 pep chromosome:ASM407v2:3:33457706:33458850:1 gene:Csa_3G837560 transcript:KGN59675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQSSMNSDPKSVNDKHKNNQDHNPPPKGLKMKQKVTEDHNYQPAKDSKMNQKIDDDDDDDVYRDLFSLYPRYFEEQFNIENIGSFVQVGFEERRDQRDDDYRGESSKRKRITKSPELELIDLNSDSSSSEPVKLIEEIVKIYSDYIEHVFQMMKDRFNDEQRWNFDKTKCSDLAEIFVQKMKRLGIELIEMKKDPNQRENYRVIKPRVLQITNQLEKMHDRFDSSQNIRASAKRACTRNELILCINEIDEMMKELYGITLRIEELKALEMKNKTMEIRQRNLRI >KGN56854 pep chromosome:ASM407v2:3:9133340:9136690:-1 gene:Csa_3G135020 transcript:KGN56854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEEQGCFKPRQVLLSHHTMKQSNNHTQAPNSADETLSLHPQHRTLLLHAVAFFLERNGFSKTLKKFRSEAQIEGSSKDLLLSLEEMCHKHLKKCSQAITTENKPEKEKSEPVESLKNSNDTTVHDEAGKKSKDKKKKKNKEKLETVATISNDIAVDSIGLNGDVASLEEKVVKSKTKKKKDGRHSSHENSNQLNDGTNILNEEEQNDISKKRKRLASEDNDIPAVNEKATEDVKRRKLECSKGGSDSVQSTKVDVDAGNRSTINEVSPQTNEYVEKTAEKTSTKKAFKKHSNGSTEPKTINPFQRVKVDAVTFADEKLADNSYWAKGGAESGYGAKAQEVLGQVKGRGFRHEKTKKKRGSYRGGVIDLQSHSVKFNYSDDD >KGN57807 pep chromosome:ASM407v2:3:17492009:17492431:-1 gene:Csa_3G313220 transcript:KGN57807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPFRKNIKDETKRQVYCALIRLLQDKDLSVQLAACRSLCLHVEDANFSEEKFTDLLPMCWESCIKLAEDVQEFDSKVVVCTL >KGN56049 pep chromosome:ASM407v2:3:3534175:3538383:1 gene:Csa_3G061520 transcript:KGN56049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLITFLIILVSLFLLLKNNKEKWFLLPIMPVYEKFMEFSAHTRTVFHRINQIDPDNVMKIIGFLLLHDNGDQEMARLALANESHIEKVVMLAKTELHQLRSMPVPVSPLAIRNRQPSTSPPGWEQQQLTNKHTPDYISLGYHDSSMYDLQNAANLFCLEDHMEQSNSGLSSFDCSYADNMLNSFSTRNTRHYSNVPDFQPRTCHYYNKGFCKHGNSCRYLHSNLVPGSLSHLYNTNSNVNDDHVFRPGSLERLEFEIVELLKSRRGSPISIASLPMIYYEKYGKVLQAEGYLTESQRHGKSGFSLTKLLTRLKNSIQVIDRPHGQHAVILAEDAPKFMDHRKDRNDPGPIVSSARQIYMTFPADSTFTEDDVSDYFSVHYGMVEDVRIPCQQRRMFGFVTFHSMETVKLILSDDSEHLICGARVLVKPYREKSKLLERKYQERIEQQHQDYFASHYNSLESEQLHSIGRGYDFPPTMLRLRMEEQQQQQRELERSLSEMTLVQKSVVNQPYFSYQMNELKVPVPEATESFEHLMDILNVLNSGSNSSSSSTDDNKQQPHPQNVTDWNHMELNLESEGYNLPESPF >KGN59843 pep chromosome:ASM407v2:3:34906671:34906958:-1 gene:Csa_3G849990 transcript:KGN59843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGVEGQSGFLVTSVFNLTNANGVCNEELGLVPKGFFSVKSLYHLTINLNEVKNASTMRVLQNKRC >KGN55803 pep chromosome:ASM407v2:3:1532287:1538476:1 gene:Csa_3G016970 transcript:KGN55803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSPTLSNNSSDTATTTAGAVAANVAVSPNHLANRTGTPPKTLRGLNKPKCRVCGNVARSRCPYESCKSCCARNQNPCYIHVLKANATFPDKTPSSSSPLFDKQSPDPSSSGTSNRVASLRQLSSNFSQFNNVRLPIRSPKPLTRKDAATINEWRFSKLREFRERHIEAENEAFDRYMKNINLLEEVFSTKSMIDDRPLKDRPPVNSGTEANPEEMTPGLKLKLGSTSDNSRKRIRKIVEDGLRKIKIVETIDNVDEVTDHAQADRGEDETNPNDGCKMLEGWHAKRTRALGDLIDKLNKARNEEDLKSCLAMKHQLSDQHKTTSSEAESEETDTSKEQQVIKKDLDSRKELGFSLPKLVNKTNIDQQTLNQIDAHFSSLKQIGNL >KGN56392 pep chromosome:ASM407v2:3:6611781:6613704:-1 gene:Csa_3G119260 transcript:KGN56392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDPKLFVFDEVAKHNHQADCWLIISGKVYDVTPFLEDHPGGDEVLLLATEKDATEDFETVSHSLDATEEMEKYYIGNIDMSTIPKPADHRPPASKSESAATEAATEKTSSAQSSGSLIKVLQVLIPLLIIGVAFYLQYYGKKQ >KGN56624 pep chromosome:ASM407v2:3:7858260:7873965:-1 gene:Csa_3G126880 transcript:KGN56624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIELEPRVKALDYKVKGVSRESPSQKAANVLDLDLRTHWSTATNTKEWILLELDEPCLLSHIRIYNKSVLEWEIAAGLRYKPETFVKVRSRCEAPRRDMVYPMNYTPCRYVKISCLRGNPIAVFFVQLIGVPVSGLEPEFHPVVTHLLPNIVSHRQDADDMHLQLLQDMTVRLFPFLPQLETDLLGFSDAPDLNLRFLAMLAGPFYPILHLVNERAASKSTANGTEIEVSKNYQMSSPLTVSSNFEPRKSRSILPVVPSTSSSVVFRPDAIFTLLRMAYKDSTFGSVCRVASRILLKLVEPIAVPEVSSLADEAVVSDEFSKPASSDPISIIDYSKLFGEDFEVPDDKWDLSYLSILDVGAVEEGILHILFACASQPNICSKLAERSVDLWLALPLVQALLPVLRPPLSSPFDVVNDIFSLWKRPVVQQALSQIVATLSSPLYHPLLHACAGYLSSFSQSHAKAGCVLIDLCSSVLAPWMPRIIAKVDLVIELLEDLLGVIQNARHSLDHARAALKYILLALSGYFDDILGNYKEVKHKILFLVEMLEPFLDPAICGSKTTIAFGDLSPVFPQNLENSCVIALNVIRSAVQKPSVLPSLEFEWRRGSVAPSVLLSVLQPHLQLPTEVDLRNSSTSKPLNHDFSVSSQLGNSSKFNALNECEGKIDDHDTAGKSDVNEDASPFFVPPELRCERLDNHSSCLNEGSLISSHGNVNIDSKEMVQGTNPDRFHGELILDFGINIEYFNLEADYLQLVNYRDCEVKASEFRRLALDLSSQSELTSEGHDAAIDALLLAAECYVNPYFMMSCRYNSNHVKFLKSSETTFNPTSGLTRLAGKSKADLETIAHLERKRDKVVLQILLEAAELDRKYHLNLNDSEFCPYNGEELDEKMIMLSSNDVQSADAVTLVRQNQALLCTFVIRLLQRKPNSMHEILMQSLLFLLHSATKLHCSPEDVTDIILGSAEFLNGMLTSLYYQIKDGNLRLEPGTIHGTQRHWILLQKLVHASSGGNYRTDFTSSANNSICSGNLIPASAWMQRISKFSVSQSPLARFLGWMAVSRNAKQYTMDRLFLASDLPQLTSLLHIFSDELSGVDNIYKRHNKVEIEETENKDLGTVEQHGGQSFHVMYPDLSEFFPNMRNHFVAFGEVILEAVGLQLRSLSSNALPDILCWFSDLCSWPFFQSDATSHSRSHFIKGYVSKNAKCIVLHILEAIVSEHMEPMIPEIPRLVQVLVSLCGAAYCDVPFLNSVVLLLKPLISYSLQKISIEEQVLDDGSCTNFESLCFNELLSNIKENVDRDDSPGKVYNKALSIFVLASFFPDFSFQRKREILQSLISWVDFTSSQPTSYFHDYLCSFQKVMESCRDLLLQNLKAFGGIPIYLSDLEDASSNTLFEESSKLHLGFICDIYKNLVSNSNSENLESKNEGNNTELSVEEIVEFRKDLDVFISKLFPTIEQCWNLHHQLAKNLTVTLAECLVYSQYLSSVALNACSTEKEEGEHATQSKTSNQLLVYLRGGLRRLAETAIKLEEESCWEAASVIIDCLLGLPRSLHLENIVSTICSALRSVSCNAPRLSWRLQTQRWLSALLRRGISAGNGDEVSLVDMFCTMLGHPEPEQRYIALQQLGNLVGIDVFDGTAAQQYSQIRSSFISTGLEESVSESVLSHLVSHTWDQVASLAASDSSLYLRTRAMALLIAYVPYASQHELQSLLSSADCIHGTKVLHPASEGPLLQLSLALISSACLHSPVEDVFLIPESVWRNIEALGSSKTDGRLGDLERKACQVLCRLRNEGDEAKEVLKEVLSSSSEKKFDEDFLSIRESILQVLSNMTSVQSYFDVFSQKKDEEKMELEEAELELDIAQKEFRQPDSNNFPGVTSSAVANSRLQQIKNSIRSIEKSQLQEEVAARRQKRHLMKQARHKYLEDAALHEAELLQELDRERTVEMEKEIERQRLLELERAKTRELRYNLDMEKERQMQRELQRELEQAESGPRSSRREFSSSSHSSRPRDRYRERDNGRPSNEGNARTTVSGLQTETSTTTSSSMTGVPTIVLSGARQYSGQLPTILQSRERPDECGSSYDENVDGSKDSGDTGSVGDPELVSIFDGHSGPLGSGQRHGSRGSKSRQVIERRERDGGRREGKWERKHS >KGN55942 pep chromosome:ASM407v2:3:2714660:2717360:1 gene:Csa_3G038160 transcript:KGN55942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQSKDPFEAAFEEQEESPPNSPSAADDLEIPTTVAPSPADAFDLQDGDDDPKSASVATHSVAPASTTSMFVSSSSHVSTAPKTKEDDEEEEEENVEVELAKFPSSGDPDKMAKMQAILSQFTEEQMSRYESFRRAGFQKANMKRLLASISGTQKISVPMTIVVSGIAKMFVGELVETARVVMTERNDTGPIRPCHLREAYRRLKLEGKIPRKSVPRLFR >KGN60117 pep chromosome:ASM407v2:3:36953631:36964693:-1 gene:Csa_3G878860 transcript:KGN60117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRAATPPPPLHQLSAAVSTATTATNTSPAMSSRPPYRGGGYGRHRGYSSERPYSGGRGQFVSGDSHFQSVQESNLGFRQGERGGYGNNAGSYTAPRNPRPPSFGGNHQFRQAPPSSQRHQYRGPYPHTHYQQPPSFNQNQGVRMPQQFRTRPPKPLDFRHWDYAKTQPPYTCERFSILSYNILADYLAMDHKQKLYHHIPHYMLDWEWRKNHILFELGLWSTDIMCFQEVDRFHDLEEALKDRGFSGIWKMRTGIPVDGCAIFWRVSRFKLLQEEFIEFNKLGLRDNVAQICVLERTQDNGDNSVTQPISTSNPNRVVVCNIHVLYNPRRGEIKLGQVRVLLEKAHAISKIWNNAPIVLCGDFNCTPKSALYNFISEQKLDLSGLDRDKVSGQSSAEIFQPSSLYRNPRFQNANGSVPLQQRSESSDCETKPDSSVSDIQKQDCSHSCMKNENLESANNILSPDRSHIDIDALDTSCNNLQLGMKGTTLHSEGQKESQHSALFDHKNVGETTFCEKTDSFNECSVTCAEDEFAVGHTSKRIGELVSPLGTDPKVHLNETEKRQIEKVGHCRLNNTSSTDGFKDHNSAKQSKDSVNTIILDDVQLDSRTVFLDPKNVSSTPCKNSMADTAIDSFDVVTLDHSIAEGEKESSSARNIEGGPSLGLPRIEPVVDERPEILSSDEQDVATLNGSLTEDDRTFLSALHDVEDPFSFEVQHSGSHQSLVAPLTGFKNDLLPGLNTKSLEVENLIHDRSLWTPKDIETATGNADSTLIEHSLRLRSTYTEAEDLSGTRDLNGEPLATSYNRCFLGTVDYIWRSEGLQTVKVLAPIRKQVMQQLTQGFPTKKWGSDHIALATELAFVGSREE >KGN56662 pep chromosome:ASM407v2:3:8087018:8099784:-1 gene:Csa_3G127240 transcript:KGN56662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRKKPSSKKLNSNQQSQPSKFGIEHFFERHSQNVSHSQKISSQTSDSKTDVSNSMNRGDDRNMGRLDSKDAAGAAAATAQKSESRVLLVSDAVLASENPKVLQRNDASCSPLNTTPENLIAARGNDGDDSSNELSPEFSKSVSLKRFKFSPGMLIKQSQDEGTRTDEITWKISPVNERLQAVSKQLPETIRVLANSSRLSSLRIRQCSRNKTSSVESGNFEQLSSPNPKPSERSIASLNKTGLKRVNPKHDGEPIGVVNDSLSSSRVANSPSRFQTPPSLSYCDKVANDVAACNEAPDHPILRPHKKALLELLDQVEDVIAVDTVASSIDLGDDSSELKGRNGKTLTARVDSAAMKIPKRVSDTVMKACPCDFLVLEVTEKCELADTYGVKRSYKVLRLLNEQSGEERAAYLWEDWFDSIIAPGDTVNVIGEFDDQGRCHVDRDNNFVILHPDILVSGTRVAGHLTCPRRSVLDERLKSNEQSVAALIGTLLHQVFQAGLVEEAPAVAFLEEVSRTVLQKSMENVYACGANEKDIRITMNEAVPKILHWIAMFKGPMGSKAPSIEFRSEDDPRKVSISEVIDIEEMAWAPKYGLKGIIDASVRVNVMSDYNKCAVNVMPLEFKTGKVPAGQSSMEHCAQVILYTLLMSERYQKHVGYGLLYYLRSNQTQGIRVQRSDLVGLIMRRNELANNILKASTAQSLPPMLQIPNVCKGCRHLDVCTIYHKMQNGSKETSGLGVLFESHTDHLQASHGIFFQHWERLIDLEAKEMELVKRGVWHSRSTDKNQTSTCLSSIVLSTLDDQPHCTFEKDNRISYCFVRQDSNNDSSNTASINDMDSSLRVGDYVILSTDSGHLTLASGIITDLSSVHVSVSFSKRLRLPGSTSSTEARDLMKQVWRIDKDEFMTSFAVMRFNLVQLFLQGEQNAHLRKLIVDLAAPRFDGGCIFSQDPAISYIWSEKNLNDDQRRAIIKILTAKDYALILGMPGTGKTSTMVHAVKALLMRGVSILLTSYTNSAVDNLLIKLKSQNIDFIRIGRINAVHEDIRSHCFSELNIQSVEDIKMRLDQVKVVAVTCLGITSPLLVNKKFDICIMDEAGQTTLPVSLGPLMFASTFVLVGDHYQLPPLVQSTEARENGLGISLFCRLSEAHPQAISALQSQYRMCRDIMELSNALIYGDRLRCGSEETANAKLEFSSSKLSSSWLKEVLNPCKPVIFVCTDLLPAYETRDHKIVNNPIEANILAEVTKGLLDGGIKGSEVGIITPYNSQASIIRLAINIASVEVHTIDKYQGRDKDCILVSFVRSSENPKSCTTSLLGDWHRINVAITRAKKKLIMVGSRKTLSKVPLLKLLIKKVEEQSGILSVTRNDILHQSNNLPSCT >KGN59310 pep chromosome:ASM407v2:3:30936493:30951313:-1 gene:Csa_3G808390 transcript:KGN59310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKSITDRRSRVLSITTTVAAGGGDLTGVAERGRTPGLRRQTAHSLGSRSHVPRIFDFLCEEAGCTDMVEALFGCEEIVGVEQRNERKRFWVLQLCSQHIINTEKAMTSKGPRSKLDHESRAKRQKALEASKEPNRPKTHWDHVLEEMVWLSKDFESERKWKLAQAKRVALRASKGMVDQATREERKLKEEEQRLRKLALNISKDVKKFWMKIEKLVLYKHRTELDEKKKKALDKHLEFLLGQTERYSTMLAENLVETYKPSQVNSTNEPHNAHVQEIDESKAVEPTELNVEHESDSVDFDEEFDVHSEDESEDNEQTIDEDEALITKEERQEELEALQNEVDLPLEELLKRYSGEKDDLEVSPETSTGGAEETEVEDHGKGNECSTSRKVHEIGSLTFTGRRCNESNGESSNIENHTKRETRETKNLSTLPVAFPKDDVFYDFTEEREDGDYDFTGGEDKDDETTLSEEEKLDKVESNNGKDEILMLQNESEIPIEELLARYGKDHYNDYDSDYDTEDTSACSDDLTNSPSHEEIEPTGLDVSVHKNVDPGKSHSSASSPPEGKGSLENFEGRESEDGIFDAAAAERSVQPSGNTFPTTTVRSKSPCLDSPSHEGIEPVRLDFCVDDNIDPNKCHSASSPPERKGSFENSGETESEDRIFDAAAAARSAQPTGNTFSTTKVRTKFPFLLKHSLREYQHIGLDWLVTMYEKRLNGILADEMGLGKTIMTIALLAHLACEKGIWGPHLIVVPTSVMLNWETEFLKWCPAFKILTYFGSAKERKVKRQGWMKPNSFHVCITTYRLVIQDSKVFKRKKWKYLILDEAHLIKNWKSQRWQTLLNFNSKRRILLTGTPLQNDLMELWSLMHFLMPHIFQSHQEFKDWFCNPISGMVEGQEKVNKEVLDRLHNVLRPFILRRLKRDVEKQLPKKYEHVINCRLSRRQRQLYEDYIASSETQATLASGNFFSMINVIMQLRKVCNHPDLFEGRPIISSFDMAGIVMQLSSSVCSALSPGLFSRVDLKGLGFLFTHLDFSMTSWEVDEVRAIATPSSLIKGSTSVNKSEEIGSGFRYRKRLHGSSIFADIQNAIMEERVRQAMERAEAMAWWNSLRCDKKPIYSTSLRELVTIRHPVYDICHEKSDPSSYCYSSKIADIVLSPVERFQMMMGLVESFTFAIPAARAPAPLCWYSRSCSDVFLDPSYEQNCSRFLFPLLTPIRSAIIRRQVYFPDRRLIQFDCGKLQELAILLRKLKSEGHRALIFTQMTKMLDILEAFINLYGYTYMRLDGSTQPEERQTLMQRFNTNPKIFLFILSTRSGGVGINLVGADTVIFYDSDWNPAMDQQAQDRCHRIGQTREVHIYRLISESTIEENILKKANQKRALDNLVIQSGSYNTEFFQKLDPMELFSGHRSLAIKNMQKEKNQCTNANEVSVSNADVEAALKIVEDEADYMALKKVEEEEAVDNQEFTEEVIGRMEDDEFMNDDEMKLDEGGDQVNGMIISNKDNEAIIHGANDLNEERAVIVASKEDDVDMLADVKQMAAGAAATGQTISSIDDRLRPIDRYAIRFLELWDPVHDKAAVESDVQFEETEWELDRLEKYKEEMEAEIDEDEEPLVYESWDAEFATEAYRQQVEALAQNQLMEDLEFEAKRKEAEEAENCDPTRNETHSELKPKAKKKSKKAKFKSLKKASLSSELKAVKKEASVEFLSTDDEDICSEDVLESLSAQSSLQKKRKKAELSLDSESGKSLKKKSKKLKKNIVDTFPQDHPNVSGVQYDEAMEVKPRENGVDLEHKVVGRNRMGGKISITSMPVKRVLTIKPEKLKKGNIWSRDCVPSPDFWLPQEDAILCAMVHEYGTHWSMISSTLYSMTAGGFYRGRYRHPVHCCERYRELVQRYVISAPDNPNSEKITNASSGKALLKITEENIRVLLDLAAEQPDREYLLQKHFTALLSTVWKARIRGNRLDSSLSWNGFYSGARYFSTGNHITRYFGRETTGKLKFGNTGHNFKLLAAALNDVCSTRMDDKKPQSYHGERASVTTEQLELTLEFQGENDLNVPFPSSVDLIVSDSVYLPLVNLDTCESSGARKRTKVAETRFRDAARACKEDFHGWASSVFPIIDLKSRSVSKSQSLGKHKLGVADSSKSAKSKHRKMGPDHGESSHHPIADHQMPSLVQEDNHNLYSLSSPILTDYSFPFGMDEYPFPHEEPGSREMIPHDYIPGLISGLDIPEEI >KGN60056 pep chromosome:ASM407v2:3:36580391:36585093:-1 gene:Csa_3G874340 transcript:KGN60056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKKGGKVDWTGWKESVRVVLATVPLLYKERNTSMDRYHRVEKPRAETPIDENEIRITSQGRMRNYITYAMTLLQEKGSNEIVFKAMGRAINKTVTIVELIKRRIVGLHQNTSIGSTDITDTWEPLEEGLLPLETTRHVSMIVITLSKKELNKSSVGYQPPLPAELVKTFVEYDYEGEGSPHDQGPGRGRGRGRNGRGRSRGRGPPGNGFVANEYDDGDWDRNRGGNGRGRGRGRSGGNFRGRGRGGYNGPQFDLQQDGGYNQDMLPAQGRGRGRGRGGYRGRGRGFRTNGGPIQAAA >KGN57560 pep chromosome:ASM407v2:3:14289263:14296719:-1 gene:Csa_3G213510 transcript:KGN57560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVSLRLPLISSLLSPASSRSSNLAFSRRALGISWRHQRQRPPLRFPLLSSPFSPRSSSTNTEIHIDSSKNRVSEGPITPRSQDFNAWYLDVIANAELADYGPVRGTMVIRPYGYAIWEAIQEYLNVKFKETGHENMYFPQFIPYSFIEKEASHVEGFSPELALVTIGGGKELEEKLVVRPTSETIVNHMFTQWIHSHRDLPLMINQWANVTRWEMRTKPFVRTLEFLWQEGHTAHASPEEAEKEAIQMIDIYTKFSYEQAAIPVIAGRKSKVETFAGADKTYTIEAMMGDRKALQAGTSHNLGQNFSRAFGTQFTDENGQRQHVWQTSWAISTRFVGGIIMTHGDDGGLMLPPKLAPIQVVIIPIWKKDGDKAEVLNAASSVKEFLQTAGLKVKLDESDQKTAGWKFNFWEMKGVPLRIEIGPKDVASKSVVISRRDIPGKQGKVFGISMEPSVLEAYVKERLDEIQSSLLQQARTFRDSNIVDVSSYNELKEAISEGKWARGPWSASDQDELRVKEETRATN >KGN60318 pep chromosome:ASM407v2:3:38544466:38549932:-1 gene:Csa_3G895640 transcript:KGN60318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDWRQERSNFRPGEWLNLMVTEPYYLSHFLAFFSYFVIRSSANHILFYNITHLLLCRELQAILVFLIFYMFKTVRRETPEAFIADMLLFVKIFLVALASMMDYHLAIWYVIVFTGIYVFTQQPVFQGSGTCSKLTPLQLESLLTEGNNKSRFWLVEFRVSFSADCIRSSRCLPELSVTYSNKHLSFGVVDLGLFPNVAAKFGISLGGSMNQLPTYILFENAAEIARLPDLDFEVKSYPPITKRLLCRHFDLDRRLLEYAYGK >KGN56570 pep chromosome:ASM407v2:3:7521174:7525774:1 gene:Csa_3G124890 transcript:KGN56570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNEEPASGAAKLGDEGDGDDGIGGYVLIVFAVFFFVSAFALLLFFLLRGATTAEGAISSNPSKGANDDLAASGNDTTTTNIISSGNGSFTLSLSLVGGDASVDTISMPSPTTVVPKSGAFLAEEDNSLGEARRMAREEPQENQLLEKELDPQGEDTVVKFENTNMQVSELVDSSILGKYSTWKKQNDNKNQDPIIRLMRDQIIMARIYLSISKKKKKVDLSEELQNCIKRSRRVLGEANIDAELHNSAPQKIKAMAGVLSKARDQLFDCKLVIKKLRAMLLTEEEKVRRLKMKNTFLTQLGVKGIPGGIRCLSLRLTVDYYLLPPEKRNFPRSENLQNPKFHHYALFTDNVVAAAVVVNSTVMNAKDSSKHVFHLVTDNLNFGALKMWFLLNPPKEATIHVENLDELKWLNSSYCPVLRQLNSEAMREYYFKEEQSTTSSSSASSLKYRNPKYLSMLNHLRFYLPQIYPELDKILFLDDDVVVQKDLSGLWLVDLEGKVNGAVETCVENFHRFDKYLNFSNHYIARDFDPNACGWAYGMNIFDLKEWKKRNLTGVYHTWQNLNEDRLLWKLGTLPPGLMTFYGLTYSLDKSWHVLGLGYNPSINPLEIENAAVIHYNGNMKPWMEMAMTKYRPYWRKYIDFNHPYLRQCHFIK >KGN56149 pep chromosome:ASM407v2:3:4306188:4308241:-1 gene:Csa_3G078800 transcript:KGN56149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIASTLTTKLQKPSLLHDSSFHGTPLASPSSLRLKSTAATSLTISASASQPPYDLNQFKFNPIRESIVSREMTRRYMTDMITYADTDVVIVGAGSAGLSCAYELSKNPNIRIAIIEQSVSPGGGAWLGGQLFSAMVVRKPAHLFLDEVGVEYDEQDDYVVIKHAALFTSTIMSKLLARPNVKLFNAVAAEDLIVKGGRVGGVVTNWALVSMNHDTQSCMDPNVMEAKVVVSSCGHDGPFGATGVKRLKSIGMIDSVPGMKALDMNTAEDAIVRFTREVVPGMIVTGMEVAEIDGAPRMGPTFGAMMISGQKAAHLALKSLGEANSIGDEGEMGERKVGLEEEELLLAAGESPEVADA >KGN56498 pep chromosome:ASM407v2:3:7136471:7136794:1 gene:Csa_3G121735 transcript:KGN56498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQNLHLRRPPHTHYPSLRRSIAEPRWKHVGILRPVRLSQSPHKWQLRELHSTCYLVNLILCQLAFASESDEHHRFWVLLIEPLEGRFPWRIGLELCFWRLFWRKKS >KGN55584 pep chromosome:ASM407v2:3:69259:84331:1 gene:Csa_3G000080 transcript:KGN55584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFDMAQSARTIGSSFNSSSGNDDTLLQSFAAAPNGDDYDSDGSNFAPPTPTTISTAIPAELAGVIPLIDRFQVEGFLRMMHKQIHSSGKRGFFSKRSVGPQVREKFTFEDMLCFQKDPIPTSLLKINSDLVSRAIKLFQIILKYMGVDSSDRVSATSLDERIELVGKLYKHTLKRSELRDELFIQISKQTRNSPDRQYLIKAWELMYLCASAMPPSKDIGGYLSEYVHNVAQGVSTDPEVRVLALNTLNALKRCMKAGPRHIIPGREEIEALLTGRKLTTIVFFLDETFEEITYDMTTTVADSVEELSGVIKLSAHSSFSLFECRKFVSGAKALDLGNEEYVGLDDNKYIGDLLAEFKATKDRSKGEILHFKLTFKKKLFRESDEAVVDPMFIQLSYVQLQHDYLLGNYPVGRDDAAQLSALQILVEIGFITSPESCTDWNSLLERFVPRQIAITRPKREWELDILSRFRSMEHLTKDDARQQFLRILRTLPYGNSVFFGVRKIDDPIGLLPGRIILGINKRGVHFFRPVPKEYLHSAELRDIMQFGSSNTAVFFKMRVAGVLHIFQFETKQGEEICIALQTHINDVMLRRYSKARSAAVGSMLGDSSCNLKTQSVEAYEKRVQDLSKGIEESKRNAEQLLKELHEKNKQEVVMQEELEALKESLRFEKQNLAEATHNLERLRSQYDEKDNEHQIMLTERRSLEAKIAKLSTMMLENNGKKDTVGIDEQLLQKLQDELRLRNDELQASEEIRKKLVNEKLFLEQRIFGLEKKTSNEMEHLQISFEHERKVLKLRVAELEKKLEEVTQELAVMESTLTTRNSDLAALQNNLKELEELREMKEDIDRKNEQTANILKMQGAQLAEMEALYKEEQVLRKRYFNMIEDMKGKIRVYCRLRPLNDKEIMEKEKNVLTSLDEFTVEHLWKDDKLRQHMYDHVFDGTASQEDVFEDTRYLVQSAVDGYNVCIFAYGQTGSGKTFTIYGSEDHPGLTPRAIGELFRILKRDSNKFSFSLKAYMVELYQDTLVDLLLPRNAKRSRLEIKKDTKGMVSIENVTIASISTFEELKSIIYRGSEQRHTSETQMNEESSRSHLILSIVIESTNLQTQSVSKGKLSFVDLAGSERVKKSGSSGSQLKEAQSINKSLSALGDVISALSSGGQHIPYRNHKLTMLMSDSLGGNAKTLMFVNVSPAESNLDETYNSLMYASRVRSIVNDPSKNVSSKEVARLKKMVAYWKEQAGRRGEDEELEEIQNERHTKEKGDVRYSM >KGN57361 pep chromosome:ASM407v2:3:12535239:12536138:-1 gene:Csa_3G181970 transcript:KGN57361 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-hexulose-6-phosphate isomerase MASQSPASLASRICSEIASIFSKPTQPYAPPLEILVSELSSVAERKARVFLYGVGREGLMLKALCMRLAHLGLSSHQVFDMNAPPIAGSDLLIASAGPGGFSTVDAICSVARSKGGRVLVLTAQPENGSSVKYADVICYVPAQTMADDEESGEAAEWRPLLPMGSLYEGALFVLFEMVVYRIGEVLGESPEAVRSRHTNLE >KGN55662 pep chromosome:ASM407v2:3:501909:503575:1 gene:Csa_3G002810 transcript:KGN55662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METPSSIRRVTRSQTLSAAQSINNSNVSIPRKVEECDNNGLSKSRQRNRKSQDLGGVKGQDRSALIDITNDSPIVGLAAGSLMTPISSVTKQRSCRPKMMTPGSGEALLRGQVKTLLQKVEEEAEISKLSLESRPFVHLQSPAGLLAPTPANTPQINLTQDENICSITNHPIVEEQTISQVVVDVDLFNEKQQEENQINRSLLLDFSEKSETNDDEATSSDCSSVLTHHGKFSISSTLSLSPSQDDDNFSLWSIQVNASSHGEEEDDEDIEEEEEVIEDEEDEEGDDYINDGGLVDELCKGISKISVNEKGEAEEFVGKHTRFVYDSEDEMIEEVSDESRGGGGVSPSILRLKGMPTPKGKHLRFGSEEE >KGN56366 pep chromosome:ASM407v2:3:6475943:6476870:-1 gene:Csa_3G118010 transcript:KGN56366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPIFNYIEASDAKANSFSHSPSITTHHEYQQVSHNTKQTTTIQQNRHHPMFRGVRKRNWGKWVSEIREPRKKTRIWLGTYPTPEMAARAHDAAALAIKGRSAFLNFPELAQFLPRPLSRSHKDIQAAAAQAAAATFSAGINAESGGEEAVEESREALFPGSDGGERTEDSTNSTSTVAGDETLFDLPDLVMGSSDLKDGFVYHSSLWQFCAAADHNGYRLEEPSFWELI >KGN57404 pep chromosome:ASM407v2:3:12799319:12803682:-1 gene:Csa_3G183380 transcript:KGN57404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEMAMGRALKIIFFLTFVLWLWLWIMLPTKLYKNYWTPKLNMNLNSTFFREQGTNLLLFSFPIMLMAVLGCFYLHFQKKSSELDPKPFSTQRVRGESFLKRPVVVMNPLGIVNTLEIMFGVSFLLLLIWSLGNYLYVSFGHLHRDNVGETIWELKFRSVSLRVGYIGNICWAFLFFPVTRGSSLLPLIGLTSESSIKYHIWLGHLSNLLFALHTIGFIIYWALTHQLALLKQWSEDYVSNVAGVIAMAFATIIWVTSLQSIRPKMFEVFYYTHHLYILYIIFYLIHVGVAYVCLILPGIFLWAIDRYLRFLQSQRRVSLVSARHLPSGAVELNFLKSPELSYNPTSVMFINVPSISKLQWHPFTVTSNCKLEPDIVSVVIKSGGSWTSKLHKQLSSSLDHLQVSVEGPYGPASTHFLRHESLLLISGGSGITPFISIIKEIMIVRSTNINFHVPQIRLICAFKKSIDLTMLDLLLPVSSTQTEISNVPLHIEAYITREKEQPQTNSENLINTIWFKPNPLDSPVSEVLGRNNWLLLGAIISSSFVMFLILLGIVTRYYIYPIERNGDAVYNYTYKVLWDMFLAFACICFSSSLVFLWQRKTNASNDKKIQIHNFQSPKASPGSWIFGGDSELESLPYQSLVQATNIHYEGRPDLNKILFDCKGSDIGVLVSGPTGMRHDVAKICSSAYGDNLKFHSMSFTW >KGN58533 pep chromosome:ASM407v2:3:25972727:25989572:-1 gene:Csa_3G664570 transcript:KGN58533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTAYLQAQTFRLKFAVTSPPFHLRRILRLPKSPSPTLISPRRSFHGGEGGAERLALAQRTIPRRNLSFMLPPFTSSNFLFPNSSRRYFTFFLFVFLLHSSIFPDFITDCYLPPSYSWKNTGSGSEVMAYNLESGKMLESFRVFEGIRVHGISSISLNFNEASSFTKLDFILVVFGEKRVKLYRISVEVIAEVCVNMVLLCSLPRFNHWVLDACFLKSRDSSSLAGSDNCGYIAIGCGDNSVHVWDTCESRMILKVESPERCLLYSMRLWGDDIDTIRVASGTIFNEIIVWEVVPSKGNKKNLDEKSHKTHDIQFHHMQYEAVHKSRLVAHEGSIFRIAWSSDGFKLVSVSDDRSARIWSLNAKGSDADNPGEVIVLFGHNARVWDCCIYDSLIITASEDCTCRAWGIDGQQLEMIKEHIGRGVWRCLYDPISNLLITAGFDSSIKVHRLNTSLSGTSNEPAENADRSMKREVFTTCIPDSLDHNRHMDSKSEYVRCLRFSSERTIYVATNHGYLYHATLSDSMGVMWTKLIHVGEEVQIICMDLLACSPFEVSGGAEDWIALGDSQGRMTVLKVLHDSNAHTPDISFTWSAEKERQLLGTFWCKSLGFRYIFTADPRGALKLWRLADHVSASQNGKNYNPSLVAEYISCFGLRIMCLDVSCEEEIVVCGDVRGNLILFPLSKDLLLETPITTGVKIIPTCYFKGAHGISTVTSVVVARLESCQTELHSTGADGCICHIEYVKVNDRKVLEFIGMKQVKALTSVQSLFYDQTSLDLTSNLYATGFASADFIIWNLTTEAKVLQIQCGGWRRPYSNYLGDIPELKNCFAYVKDETIYIHRHWVSGSERKVFPQNLHVQFHGRELHSLCFVPEADNKLGISSRSCWIVTGCEDGTVRMTRYTRGINSWPASNLLGEHVGGSAVRSLCYISNVHLISSNGTITPDAKDTQESDLDDREDPVLLISAGAKRVLTSWLQKHRKLEKIANACLHHNAKGSCEPSGFPTSISFKWLSTDMPTKNSTSRRNSFNTMQDEATTGSSINPDAESKSLQEKEELSLKSCSVEKYEDDWRYMAVTGFLVKHFNSRFTVCFIVVACSDATLSLRALILPHRLWFDVASLVPVGSPVLTLQHIVFPKFHSDGGGETLLGNVYIVISGATDGSIAFWDLTGNIEAFMKRLSSLRQEKFIDFQKRPRTGRGSQGGRRRTSLSTVTKSRSSKKMVIKKDEDDTNSSIQNQVPCESSSKVNISEANAAGSQPDCSTSSELILSTSNYSSEMCDIQPIHVVTNAHQSGVNCLHVAAVNSSECVNNCYLYHVISGGDDQALQCLTFDLSLLSENTSSEKMESESECAKFIFHSEDHNHKYLARFLRPHKIESAHSSAIKGVWTDGIWVFSTGLDQRIRCWKLEAQGKLVEYAYSIITVPEPEAIDARACDRNHYQIAVAGRGMQIIEFSTSCDFCRR >KGN57447 pep chromosome:ASM407v2:3:13128427:13132908:-1 gene:Csa_3G187220 transcript:KGN57447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKFSNLTGTDYSEGAIDLARSLAERDGFSNINFLVDDVLETKLEGQFQLVVDKGTLDAIGLHPDGPIKRIMYWESVSKLVAPGGVLVITSCNSTKDELVQEVENFNQRRVNTFAEPESSDETQPEELLPTFQYLSHVRTYPTFTFGGSVGSRVATVAFLRN >KGN60226 pep chromosome:ASM407v2:3:37789436:37791027:-1 gene:Csa_3G889820 transcript:KGN60226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSCQSSLTGDSSAANNGGSTASTGRDQYLRHLNKLSHKISKPSFVKKPGFDSSSQSNPSFNQNQSLPQPPQPPPPPPELGVQAQTQQPPQHHQPPVYNINKNDFRDVVQKLTGSPAHERFSNPPPIHPPKPQSSRLQRIRPPPLANVSNRPPPMLNSGVPLPQQQQQPPPGLNPRNSLSSANNFGRPVAPPLSPLPPLPAVHAPAESPVSAYMRYLHTSFSTLDSSQKQFSGFSPLAPLVSPRWNNLTSQQHLPPPAMAPGTIPPPPSSAAITSDSQLPMPSSPLPFGCMNSPRSAYPLLSPSLLFSPSAGPLGYPQFPLSPTVPVPSPRWRNL >KGN56791 pep chromosome:ASM407v2:3:8819133:8820893:-1 gene:Csa_3G133910 transcript:KGN56791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNNTCISIPPLAQTMDGDHFFDHRCIWVNGPVIVGAGPSGLSVAAALKQQGVPFVVVDRADCIASLWQHRTYDRLKLHLPKQFCQLPNFPFPEEFPAYPSKFQFISYLESYANHFDITPCFNETVQSAKYDETFGLWRVKTLSLSPKPTQVEYICRWLVVATGENAEKVVPEFEGMEEFGGHVMHACEYKSGEAYRGKRVLVVGCGNSGMEISLDLCNHDAKPSMVVRSSVHVLPREILGKSTFEVAISLMKWLPLHMVDKMLLIIARLVLGNVEKYGLKRPSVGPLQLKNAAGKTPVLDIGALQKIRAGEIKVVAGIKKFRRGAVELVNGEVIEIDSVILATGYRSNVPSWLKENEFFSSDGIPKSPFPNGWKGKAGLYAVGFTRKGLSGASLDAINVAQDIAKSWKEDTKQKRKSVAARHRRCISHF >KGN57550 pep chromosome:ASM407v2:3:14198980:14202748:-1 gene:Csa_3G209470 transcript:KGN57550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRSIVRDVRDSIGSLSRRGFEVKLSGHHRGKSNASSLNDLHDQPPVVQNGCWASLPPELLYDVIRRLEESENTWPSRKNVVACAAVCRSWRIMCMEIVKGPEICGKLTFPVSLKQPGPRDGSVQCFIKRDKSNLTYHLYLCLSPALLVENGKFLLSAKRTRRTTSTEYIISMDAENISRSSSSYIGKLRSNFLGTKFIVYDTQPPYTAAPLPPPGKTSRRFYSKKVSPKVPTGSYNIAHISYELNVLGTRGPRRMHCIMHSIPVSSLDAGGTVPGQPELLPPNSLDDSFRSASFSKAIDHSMEFSSARFSEIGRAALDGDEEGKMRPLVLKNKPPRWHEQLQCWCLNFRGRVTVASVKNFQLIAAIQPAAGAPTPSQPGPPEHDKIILQFGKVGKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >KGN59381 pep chromosome:ASM407v2:3:31490157:31492675:-1 gene:Csa_3G815450 transcript:KGN59381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGPRSYVPPAYVPISQSDLEAEISSRDESNPTPNELKDGLSQWSSGICACCDDMQSCFIGLFCPCFLFGKNAELLGSRTMFGSCATHFILWALTNTVCCLLSDGILWNVPGCFLACYACGYRKALRSKYNLPEAPCGDFVTHFFCHFCAICQEYREIRERAGGYGSHELNLTVVTPPTTQTMESNPET >KGN58089 pep chromosome:ASM407v2:3:21213369:21213629:1 gene:Csa_3G497910 transcript:KGN58089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKTMKSTSIDAAAVKKRALISLQRVHDMAAFDRRSTATATMCKDDLIITKKDWSDLGGDEPIHGNQSQDRVEEGPQAASTKWIGP >KGN56833 pep chromosome:ASM407v2:3:9022659:9023638:1 gene:Csa_3G134810 transcript:KGN56833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASVVFGFTPAATCSGVMTTSSTKLHFRTKPNSDLGFLTSQLSGIRISSNHSLISPASISTAPLRPSLQPVARRICPFTGKKANKKNLVSFSNHKTKKLQFVNLQYKKVWWEAGKRFVKLRLSTKALKTIEKNGLDAVAKKAGIDLRKE >KGN58574 pep chromosome:ASM407v2:3:26297502:26300764:-1 gene:Csa_3G687750 transcript:KGN58574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSAFSPLPFPLSLGFRRPQSPTTAFPRLPFPISSSLSSSSSESKSAKSSSPTDNLVSSSNGTTPPSPSFVDPSTPPHSNFTYAFPNPTPPASASLHPILGFMQSAESSIERVIFDFRFLALFAVGGSLAGSFLCFLNGCVYICDAYKVYWSSCVKGIHTGQMVLRLVEAIDVYLAGTVMLIFGMGLYGLFISNVSPDEPPSVDRALQGSSLFGMFALKERPKWMKISSLDELKTKVGHVIVMILLVKMFERSKMVTIATGLDLLSYSVCIFLSSASLYILHNLHRPE >KGN57746 pep chromosome:ASM407v2:3:16456398:16456892:1 gene:Csa_3G271390 transcript:KGN57746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQSSSTCSDGSTWMEGWLRWWLRREKIDSSEERMETLRLHDGGESRRRSTRWIEGNLAGGFAGWNATAEERWSLAATVAHNGSRAKDERAKTSFVCAWTVVNIVCGGATFNGDR >KGN58726 pep chromosome:ASM407v2:3:27397215:27400992:-1 gene:Csa_3G730900 transcript:KGN58726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSINFNPFENWFSKPPNPIPPLNLIAFRDSLSQKSSTSPNFASRSLSNLFRKPKKAPEPGYYEKMLEQFYWECDNLPDYRHAPEVEKILKEDPLFENKENPTQEELEKNEKLWKAIRDSPVVQFLERAEEIEAKHNEMKLKENENPFRNEDKELWRAIPHVPGLDGRPMPRKAIKSKRESDAKFWDFARQFFFGLWGFRQRPYPPGRPIDVAQAIGYKHLERRYYDFIMRSGGWYYKDRLGRTRGPLELINLKTAWGGGIIDKDTFIWGEDMDEWAPIHMVYGLERAIATWEVRLGAAATAFLHKLQKGIPPWVPVKGHEKKTYKQLQQEALESKRRDLAVLAANDGVWPGVRIPSHALFLWASGTELTSILEADHMPNKYIPRDLRYKLAKIIPGLRPWEVLSVEQAMDHLTYNGEWHREPLGSFNTGPPYIKEWNRDIWEFLKIYMDVSALFYSEMEEVVRGFRKIMDKVSANITARRIRRKQRKFAMERAESLGYDFKKIKYDP >KGN55620 pep chromosome:ASM407v2:3:299887:300227:1 gene:Csa_3G002410 transcript:KGN55620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKMRGIIYKEEEGRVYQISGGEVEMMKQSGSKGERAGISVGPREESSAVNEGGTAAVEGGGSFEEGDGGERAKIGRTVVKPLHVIYNIYDSDFTK >KGN59292 pep chromosome:ASM407v2:3:30840048:30840849:1 gene:Csa_3G806240 transcript:KGN59292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEYKHFSHLHDLKLYQIQEDQTNQQFRCSGCYSLCHGLVYGCQSCEFFLHEACATAPRSLQHPSHPSHHLTLLPSPTYPDGSFLCNACGATGSSFCFSCIPCDIDLHVDCGLLPQQLDLESHRHTLSLLFSPPSSICNLCRRAIDSRYWSYSCSTCNFDIHTYCATTPTAPPVGGMRNDRHLQFPAVDQEDYDSVSPVDRYGGATTVNPRDGVVVDPFLQAQAELHELQMQMQIVNEMAKMMASVNLSSLAP >KGN55999 pep chromosome:ASM407v2:3:3157969:3176378:1 gene:Csa_3G045130 transcript:KGN55999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTASTSISSPSTPRWNLERPFLTGRFHQEAKTTSRFAELKLDSFSNGGLEKAIGCYDAAIQELIVIDDLLSALLGIEGRYISIKRVHGKENEVSFQVEASMDLTLQELAKRIFPLCESFLFISQFVESRSQFKKGLVNHAFAAALRALLLDYQAMVAQLEHQFRLGRLSIQGLWFYCQPMMGSMQALFAVTRQVSANDIAGSAVLNLLQSQAKAMAGDNAVRSLLEKMTQCASNAYLGILERWVYEGVIDDPYGEFFIEENKSLKKESLNQDYDTKYWRQRYSLKEGIPTFLANIAGMILTTGKYLNVMRECGHNVQLPASENSKLMSFGSNHQYLECIKAAYDFSSSELLKLIKEKYDLMGKLRSIKHYLLLDQGDFLVHFMDIARDELSKKLDEISVEKLQSLLDVALRTTAAAADPCHEDLTCCVERMSLPKSLRALKDLVDSKTLDINDQEEPMGITGLEAFSLSYKVRWPLSIVISWKSLSKYQLIFRFLFHCKHVERQLCWAWQVHQGVRSLNIRGTSISRSSLLCRSMLKFINSLLHYLTFEVLEPNWHVMHNRIQTAKSIDEVIQHHDFFLDKCLRECLLLLPQLLKKVERLKLLCLQYAAATQWLISSSIDVCKSEESSDSMICSEKTKQWNGRTPKGTKLTTSNSAVMESILKFEKEFNSELQSLGPILSKSSQAEPYLTHLAQWILGIEMTNSLPSMSVHIILTFSRSREMRMITMLALLCLHGSLFGCRVTEFIRESKLASLSISSAMAVQWLLLCHGFVTLLVVVSFLCGQWPIFEGTPIQRIHHFITSGAYDYFLRFVGYLFGSKGTNAVLAVESFCCDRPNPILQVIYLAIIGVTYYIITMSTFQYVPGYYLSGIHRYTSFLAVTVGVLLFLLTSFSDPGTVNADNVTRYLSAYPYDNIIYSEKECSTCKIPKPARSKHCSICDRCVARFDHHCGWMNNCIGERNTRYFMAFLLWHFLLCVYGTVAIGLVLAGQLKELKVIYVLTVYYGIENSFSGLAPYVVQWILGSYNTQLLLMVFLAIVSLLLGGFFGYHAKLCLTNTTTNETFKWQEYLSWQRKVNEAKASAAALKTSMDGLSSERKPPESKWRTIFRRSRLEQVQVVKNNTYDRGLLHNIHEVIFPFSSRPSFSRRKPKSG >KGN57333 pep chromosome:ASM407v2:3:12329147:12331568:1 gene:Csa_3G180220 transcript:KGN57333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGGMSQGGSGEDGVEENMAAWLLGINNLKIQPFHLPPLGPHDVRIKMKAVGICGSDVHYLKNLKLAHYVVKEPMVIGHECAGIVAEVGADVKHLVPGDRVALEPGISCWRCGQCKEGRYNLCPDMKFFATPPIHGSLANEVVHPADLCFKLPENVSLEEGAMCEPLSVGVHACRRANVGPETNVLIMGAGPIGLVTLMAARAFGAPRVVIVDVDDYRLSVAKDLGADEVVKVSIDLQDVDQDVTQIQKAMKGEIDVTLDCAGFEKTMSTALQASRSGGKVCLVGMGHNEMTVPLTSAAAREVDIVGVFRYKNTWPVCLEFIRSGKIDVKPLITHRFGFSQKEVE >KGN60131 pep chromosome:ASM407v2:3:37065874:37073313:1 gene:Csa_3G879490 transcript:KGN60131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIGGFWIGSFRLGKSMENSLENSHGTDIPKKSRSLDLKSLYESKVSKEVQNKRLKRKGRAEDGDVQKNERRNRKKVSLSNFSSIYSRSRKSLDEVYDAGLGSSGHDSKKALKSESKDKLNSSSEFNEVPLILDENVMHIPKRKRGGFVRRKKSHDGQILKPSGQLDAKAGSLDDKAGTVDQIAKSSVKDSSDQVECCKTNRKLAFKDLKEKEPKELRLHLKKEDGQADQLTRENELNPASRLKEEGEHIDHSVVKPVSPSSKKSKKNVRKRKISASGSKSNSKEGEASISQSTKRRDGFPEDDEENLEENAARMLSSRFDPNCTGFSSNTKGSLPPTNGLSFLLSSGHDNVSRGLKPGLESASVDAAGRVLRPRKQRKEKKSSRKRRHFYDILFGDIDAAWVLNRRIKVFWPLDQIWYYGLVNDYDKERKLHHVKYDDRDEEWIDLQNERFKLLLLPSEVPGREERRKSAVGNDPANEKGRSGSRKGKETDAVILEDDCNIGSYMDSEPIISWLARSTHRNKSSPSHNSKRQKTSSLSSKSGSQANEKPANLLVKSSGMPERLADVDGPEKSASETTTCSTTRKLPIVYFRKRFRNIGTEMPHKRETDFASRRSHASLSFSFSNIDDVEEPDISPRRSEAHRLLWCVDDAGLLQLAIPLMEVGQFRFELNIPQYSFLNVTSSADTFWLFHLAMLIQHGTLTLLWPKVQLEMLFVDNVVGLRFLLFEGCLMQAVAFIFLVLKMFQSPGKQGRYADFQFPVTSIRFKFSCLQDIGKQLVFAFHNFSEIKYSKWVHLDRLKKYCLISKQLPLTECTYDNIKKLQNSKTQFRASPFCGRSSSVKGTQKISSLGINLKGAACVNSGHSNLCSNETKRNFPAFALSFTAAPTFFLSLHLKLLMERCVAHLSLQHHDSIEHPENYGRLTVDDVLTDDCANSLSTSSKASDRWNSCPQSDLGTGLSDCEDGDGVQSSQYKSTPVATTCAGSQDTDKARNGIKRRIRPLGKNKSGKTTALPNVARSDNNSFLNDLSVEIPSFQPVDGELHGPQQSMDVGWNASAVVIPSPNPTAPRSTWHRNKNNSTSLGLASHGWSDGNSLLINGLGNRTKKPRTQVSYSLPFGGFDYSSKSRNSHPKASPYKRIRRASEKRSDVARGSKRNLELLSCDANVLITLGDRGWRECGAKVVLEVFDHNEWKLAVKLSGITKYSYKAHQFLQPGSTNRYTHAMMWKGGKDWILEFPDRSQWAIFKELHEECYNRNIRAASVKNIPIPGVCLLEENDEYEAESAFMRNPSKYFRQVETDVEMALNPTRILYDMDSDDEQWIKDILPSSEVGSSSGLGEVSSEVFEKTVDAFEKAAYSQQRDEFTDDEIAEVMNETLASDLTKAIFEYWQQKRRRKGMPLIRHLQPPLWETYQQQLKDWECTINKSNTSFCNGYHEKAASVEKPPMFAFCLKPRGLEVFNKGSKQRSHRKFSVSGHSNSIAYDNDGLHGFGRRLNGFSLGDDKMAYIGHNYEFLEDSPLIHTSSSLFSPRLEGGILSNDGLERNFLPKLHKSKSRKYGAWASTYDSGMASFNQRMIGKRDGLNRWNNGYSEWSSPRRYPFDGSQRQILEQLEGSDVDEFRLRDASGAAQHARNMAKLKREKARRLLYRADLAIHKAVVAIMTAEAMKAASEDDSNGDG >KGN55600 pep chromosome:ASM407v2:3:179752:180480:1 gene:Csa_3G001720 transcript:KGN55600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNTNTNANTNININIDAVSETSPDQRHRRERSPMAASPPPPARIIDDINNPPTDVIEPSSSIVVAAPESVTPGDVRRRQSVNAMFDVGTSSHEHVGGSSDVEAGKKRGRGDGGEQQQQVKAAKKKGELTEVPKGEPRCATCNKVFKSWKALFGHLRSHPERTYRGALPPPTAAELDIRRCQQQLASTLLTVAQQVSASRRGLDIDLNQPSTADDGDSPDNTRDAGFDLNLEPPPESDDEK >KGN60308 pep chromosome:ASM407v2:3:38461809:38464137:1 gene:Csa_3G895050 transcript:KGN60308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHSLEGFIFVLIHVLVIIMKWPTFCLSSMVESKILNVGEELWRETLPLQMGSRLYHLQGLKPDMWYEVKISYPGSIPASFSLELKRDLTSPVEKQSRKLLDTEKLIFKTEGMKLKGDQVDAHVLVTVKSEGVVAIPSVREMESIVYNIVCDELLIGIPHKAWGVGGLVIFCLILAFIIPSLLPPWLLQTNQTQGPGNQHASKNS >KGN58045 pep chromosome:ASM407v2:3:20795136:20796243:-1 gene:Csa_3G457670 transcript:KGN58045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPGYLVGGYYGTGAPQFSPDNKKSTAEHFPLDEYLLDFSNEDVAMHSGFFDNVAGNCSDSSTLTAIDSCNSSVSGGDNQLLAKFESGSFCEAQFSSELCIPCDDLAELEWLSNFVEESFSTEEIDKDFPAIPFLSGGISSAATPETSSSSGATAFGYGNAKTTTFFHSEALTLPGKARSKRSRATPCDWSTRLLQATAPEKTEGTMAKPETTSGRKCLHCAAEKTPQWRTGPMGPKTLCNACGVRYKSGRLVPEYRPASSPTFVSTKHSNSHRKVMELRRQKEMQHQEQFVSQSSIFSRSNGCDEYLIHPPPPPQRR >KGN58177 pep chromosome:ASM407v2:3:22457009:22461164:1 gene:Csa_3G585890 transcript:KGN58177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIIISLFSISIFFVFLFRFRPPPADFSSPPTYPLIGCLISFYINRNRLLDWYTELLSKSPTGTIVIRRLGCRRTVVTVNPVNVEYILTTHFHNFPKGKPFTEILNDFLGCGIFNVDGDQWRTQRKLASHEFSAKSLQEFVVETLKSEVEMRLLPALEASAHDAKVVDFQDLLRGFAFRVICKIVLGSEEETIFALQKSFDVASEVCARRAMEPVYVMWKMKRWFGVGSEQRLKMEVAEVHRKVMNIIDKRRKKEKIQDIHGTQDLLSRLIKTGHDDEVIRDMVISFIMAGRDTTSAAMTWLFWLLCIHPNIENQLIEEIDLTSVKLDYQSLKELKYLKACLCETMRMYPPVPWDSKHAVADDYLPDGTLVRSGDRVTYFPYGMGRMVALWGKDQFEFKPSRWVVEQDGRGGRRRGVKLVSPYKFPIFQAGPRVCLGKEMAFLQMKYVVASILSQFRIKPVAGDHPVFVPLLTAHMAGGFKVFIQRREREREREAIQDKQTKSTI >KGN59535 pep chromosome:ASM407v2:3:32553517:32555849:1 gene:Csa_3G824800 transcript:KGN59535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDIMSPAVDSDSKMGSDPTSRIAEVKEWLGSEFGRAGKEVPDFEYTPFSVSHLHNLCNLSQAKTRAAEILAKDFRLKAAEYRAQEARIREILESVGMAQENLPSNVVSSAQVLANVANLLNIRDTELSSFLVAMGDFSLRKISVEEKRAKVEKDSKVLLDHTRKAIARLTYLKRTLAQLEDDVAPCEAQMDNWKTNLAVMAAKERQYMQQCANYKAMLNRVGYSPDISHGILVEMAEHRKELEKKTKPMLDTLRSYQDLPPDKALAALAIEDKKRQYAAAEKYLEDVLHSALTTTQ >KGN58646 pep chromosome:ASM407v2:3:26845647:26850782:-1 gene:Csa_3G710740 transcript:KGN58646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLTVKTLKGSHFEIRVQLTDTVMAVKKNIEDVQGKDNYPCGQQLLIHNGKVLKDESTLAENKVSEEGFLVVMLSKSKTSVSAGQSSTQPAQNPPVAQPVLSSTPAAQVTPSPTPAPQAPTQAPTQAPSSAPKNTTSTSERVTDNVQTDTYGQAASNLVAGNNLEQTVQQLMDMGGGNWDRETVVRALRAAYNNPERAVDYLYSGIPESAEVAVPVGRFGSGQATETDASVPAPVSGAPNTAPLNMFPQEALSAGSGGGGGNLGSLEFLRNNPQFQALRTMVHTNPQILQPMLQELGKQNPQLLRLIQDHHAEFLQLINEPVDNFEGEMFEQAEPDMPHAINVTPAEQAAIERLEAMGFDRALVIEAFLACDRNEELAANYLLENSGDFDD >KGN55967 pep chromosome:ASM407v2:3:2947638:2948517:-1 gene:Csa_3G040860 transcript:KGN55967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNQCRAFFMEAKWFNEGYIPTVEEYMKVSITSAAYYVFASISFLTIGNNAASKQVYEWAQTDPILLRATSVIGRFVNDIASHKFEQERGHVASAVECYMKQYGVSEEEAVTELQKQVVNAWKDIIEDYMKSSKLFPSFILDHVLNVARLSDLFYKEEDGYTFADGETKRFITLMLKNPMPI >KGN60037 pep chromosome:ASM407v2:3:36436142:36438377:1 gene:Csa_3G872190 transcript:KGN60037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSDRSKPSIERRNWGKIFNGLTQMLRTQQNQLETLVTERKLLEDRVKMQHERWVADIRLYEDHVSQMRDELFLQDMERSFQASKSDLLAGMKQTELYVCRLKIEHSEAELEDFKSFFDDFIAHKNSKLQESFLRSASEPAEANGGGEGGMSKFGNTDEVRRSEALESEVRRFRSEYEKLASEKSSEVSALVTENKFVWNQYNVIEADYSSKLKNKHSELERAHLKVEELLATLEQLQSSNNEKDDVIAMLRNQVGKMETDSFKLKDEISRLSHDLEVQRKSVNATATPVLKPCKAGLRTSGLGGKNGSRSRSNVIVNKDAYSAQPSHSGNQMKRGAGDISDPGTPRLFTSSFKVPKLKNEINL >KGN57505 pep chromosome:ASM407v2:3:13787858:13788112:1 gene:Csa_3G199670 transcript:KGN57505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGSGNSGRGLKEEKEKKGEKKERGAKCNGTHTKPDPHESNLIRNLNKWQCHLI >KGN58519 pep chromosome:ASM407v2:3:25838966:25859630:-1 gene:Csa_3G654980 transcript:KGN58519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSSNPSFLISLDFKRSLTNGEAMDVMGLRSLFQDKLVVLGRRDIRSYPVPSTGFSCHLYHILVIGYIQGLVKLADFGVATKLTEADVNTHSVVGTPYWMAPEVIEMSGVCAASDIWSVGCTVIELLTCVPPYYDLQPMPALFRIVQDKHPPIPDSLSPDITDFLRQCFKKDARQRPDAKTLLSHPWIQNCRRALHSSLRHSGTLRNSQQDGSIEAEISNGDNQNSCESPSAEKNEVADSDFKADSRKELSSDVATDASKSQKHFASGPNFVEEGESLEEDTLLDQVPTLSIHENSSLLTSSGRLATSGPTEFHESHGRAHDEVIMNGEVPLTELRKDASRKQGEQETSTTSGRRSFGFEPESQDNSFQKVSKMSVALGGDELSKFSDTPGDASLDDLFQPLDKHSGDQATGASTSLSILQSNMGNVPVNDVGKNDLATKLRATIAQKQMENEMGQASGGGDLIRLVMGVLKDDDIDIDGLVFDEKLPGETLFPLQAVEFGRLVGSLRPDEPEDVIVSACQKLIAIFHQRPEQKIVYVTQHGLLPLTELLEVPKTRIICSVLQLINQIVKDNVDFQENACLVGMIPLVMGFAVPDRPREVRMEAAYFFQQLCQSSSLTLQMFVACRGIPVLVSFLEADYAKYRDMVHLAIDGMWQIFKLQRSTLRNGFCRIAAKSGILLRLINTLYSLNEATRLASITVGAGYPVDGLTPRPRSGQLDPSHPIFSQYEASFPMPDQPDLLKVRHGIVDHHSSTGPPEPSRASTSHSQRSDTNQSDYRHFPMDTDRPQSSNATNEALGSKPSELASLDKVLHLASKEPSGSASKEHENADRWRTERMANSNRTSTDRPPKFVEPASNGFSTTSAATQQEQVRPLLSLLDKEPPSRHFSGQLEYMRQLSGLERHETIMPLLHASNEKKINGEPDFLMAEFAEVSQRGKDNANLDPASKVSLKTAAKKVGPLISNEGAASTSGIASQTASGVLSGSGVLNARPGSATSSGLLSHMVSTLNADVAREYLAKVADLLLEFAQADTTVKSYMCSQSLLNRLFQMFNRVEPSILLKILKCINHLSTDPNCLENLQRADAIKYLIPNLELKEGSLVSQIHTEVLSALFNLCKINKRRQEYAAENGIIPHLMHFIISDSPLKQYALPLLCDMAHASRNSREQLRAHGGLDVYLSLLEDDLWSVTALDSIAVCLAHDNDNRKVEQALLKKDAVQKLVKFFQCCPEQHFVHILEPFLKIITKSSRINTTLAVNGLTPLLIARLDHQDAIARLNLLKLIKAVYEHHPRPKQLIVENDLPHKLQNLIEERRDGQRSGGQVLVKQMATSLLKALHINTVL >KGN60082 pep chromosome:ASM407v2:3:36715870:36718251:-1 gene:Csa_3G876050 transcript:KGN60082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNVCWPYFDPDFDTLPERINGPTCRVCIDNESMEDCTIVKVDSLNKQGLLLEVVQILTDLNLSISKSYISCDAGWFMDVFHVKDENSHKLTDQKVINSIQQAIGTTKGPDNSAKTRRYVNKLLNSDNSGEHTAIEMTGTDRPGLFSEISAALADLHCNVVEAHAWSHNARLACIAYISDQSTDSPIEDPHRLANIEEHLSTVLRAATAPPIASWTHTLQQEVKISATITTNVERRLHQLLVSVKDYDWTSESISRRPKRKEEWRKTTVSIESCDQKGYSIVSIECKDRPRLMFDTVCTLTDMQYVIFHASISSKKDNAFQEYFIRHVNGYALNSDYDKHRVVKCLEAAIERRVCEGVRLELCANNRVGLLSDITRVLRENGLNVVRADIATQGEKAINAFYVKDISGKEVDMEMVESVKKEIGPVVLRVKNETSPPSTPQITRSRFSFSDMLKSQLERLSHNFIAIRH >KGN59819 pep chromosome:ASM407v2:3:34690023:34692153:-1 gene:Csa_3G848280 transcript:KGN59819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCASSYQRFKSVSFCFPPLSINFHSQFSSITYDEDLPDFFDHLLRQCNGIQHSKQVHSATVVTGAYCSAFVSARLVSIYSRYGLVSDARKVFGSAPFECYSNFLLWNSIIRANVYHGYCIEALQLYGKMRNYGVLGDGFTFPLLLRASSNLGAFNMCKNLHCHVVQFGFQNHLHVGNELIGMYAKLERMDDARKVFDKMRIKSVVSWNTMVSGYAYNYDVNGASRMFHQMELEGVEPNPVTWTSLLSSHARCGHLEETMVLFCKMRMKGVGPTAEMLAVVLSVCADLATLNSGQMIHGYMVKGGFNDYLFAKNALITLYGKGGGVGDAEKLFHEMKVKNLVSWNALISSFAESGVYDKALELLSQLEKMEAYPEMKPNVITWSAIICGFASKGLGEESLEVFRKMQLANVKANSVTIASVLSICAMLAALNLGREMHGHVIRARMDDNVLVGNGLINMYTKCGSFKPGFMVFEKLENRDSISWNSMIAGYGTHGLGKDALATFNHMIKSGYRPDGVTFIAALSACSHAGLVAEGHWLFSQMRQNFKIEPEIEHYACMVDLLGRAGLVEEASNIIKGMPMEPNAYIWSSLLNSCRMHKDTDLAEEAAAKISNLNSKITGSHMLLSNIFAASCRWEDSARVRISARAKGLKKVPGWSWIEVKKKVYMFKAGYTISEGLEKVDEILHDLAFQIENYEIDDPIIELNV >KGN56159 pep chromosome:ASM407v2:3:4415773:4419651:-1 gene:Csa_3G081360 transcript:KGN56159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLHISPSLRHVTVLPGKGVREYIKVKVGSRKVSCRMLIYSLLFFTFLLRFVFVLTAVDTIDGESKCSTLGCLGKKLGPRLLGSRLEPKVPDVMYQILDKPMSKDELQGRLDNIPQTLEDFMAEIKEIKPDAKTFALKLRKMVSLMEQRTRTAKIQEYLYRHVASSSIPKQLHCLALRLANEHSTNAAARLQLPSAELVPALVDNSYCHFVLATDNVLAASVVAKSLVHNALRPQKVVLHIITDRKTYFPMQAWFSLHSLSPAIIEVKALHHFDWFTKGKVPVLEAMEKDQKVRSQFRGGSSAIVANETEKPNIIASKLQALSPKYNSVMNHIRIHLPELFPSLKKVVFLDDDIVIQTDLSPLWDIDMNGKVNGAVETCRGEDKFVMSKRLKNYLNFSHPLIAETFDPNECAWAYGMNIFDLEAWRKTNISLTYHHWLEQNLKSDLSLWQLGTLPPGLIAFHGHVHIIDPFWHMLGLGYQENTSFADAETAGVIHFNGRAKPWLEIAFPQLRPLWTKYISFSDKFIKSCHIRAS >KGN57809 pep chromosome:ASM407v2:3:17517951:17524310:-1 gene:Csa_3G313240 transcript:KGN57809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMSNSDMAAMYTLLMNSMSGDEVVRKQAEKALSETDSRSGFCSCLLELITSPDLVSQADIRLMSSVYLKNSINRYWRSNTRRSIPNICGISNDEKEHIRKKLLSHLREPDYKIAAILAVVISKLARIDYPKEWPDLFAVLIQQLQSADVLLSHRILMVLFRVLKELSSKRLISDQRNFAEISLHFFDFGWHLWQSDVQKILHGFSTLSGSYNPNELNHEELYLICERWLFCLKIIRQLIVSGFPSDTKSVQEVKPIKEVSPALLNVLQSFLPFSHSDFRLTGNDELFTATSRVDSSFQERNSKFWDFIKRACIKLMKVLIALQARHPYSFGDKSVLPPVINFCLNKITDPEPYVLSFEQFLIQCMVMVKNTLECKEYKPSVTGRVVDESGMTLEQMKKNISSAVGGVLNSLLPADRVVHLCGVLIRR >KGN58436 pep chromosome:ASM407v2:3:25227931:25228251:-1 gene:Csa_3G643760 transcript:KGN58436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNASSRSKRKRGGQTAETMKIIRNTMKYVNDQSEAIVEWPSVQHQSKDAFYAEVMKQLQAIPELSTHDRRCLMWTLMCNVHDMKALWEISNELKLDYCTVMLEDNA >KGN56226 pep chromosome:ASM407v2:3:5163962:5164231:1 gene:Csa_3G103570 transcript:KGN56226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAEIRFTITRRKHSYTNFTFPYSPMNFIKQFISRLHILVIQKRPQVHPNQPVIQQRRHCLLCVDPSMVYEHITRLQSHTLHLLLPQMS >KGN57136 pep chromosome:ASM407v2:3:10853459:10855383:1 gene:Csa_3G164550 transcript:KGN57136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVFKQLILLTLLLVALVAVDAKLLAGPISPDPFLNVNLGKDIKHRKETVFNVLSFGAKPDGKTDNSESFMKTWVAACHSKGAARVVIPRGTFLINQIAFAGPCASTSPIIVQIDATLKGGVDISNYFSDEWILFEKINGLIVTGRGALDGQGSAVWEYNDCGMNPNCQKLPVNLKLNNITHGLVKGISSVNSKGFHMFVTNCENVRLRKLHLTAPEDSPNTDGIHLSRSNNIKISRSVIATGDDCISLGRGSMNVAINKITCGPGHGISVGSLGKYPNEEDVRGIVVKNCTLLSTDNGLRIKTWPDSPPSAASGILFQDINMVNVKNPILIDQEYSCSKTNCQRPPSRVKISDVHYINVKGSSISPVAVQLKCSKQFPCQNIEMFNINLKYSGPPNKELPFSAKCSNAKVGYRGLQFPPPCK >KGN56275 pep chromosome:ASM407v2:3:5553521:5556296:-1 gene:Csa_3G110720 transcript:KGN56275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRCRMDKEEGEKHLGLLKLMQILSFLVVFVAGVVIGLATTSHVSRYFTSQTELYSFINHFSVPTTHVEENCTDSNICERRDCSSFHTFIHPDNLTHAMSDDELFWRASMVSKRENDYPFERVPKVAFMFLTRGPLPMLPLWERFFAGHEKLFSIYVHALPGYKLNVSTSSVFYRRQIPSQRVSWGTVSLADAERRLLANALLDFSNDRFVLLSESCIPVYNFQTVYEYLINSAHSFVESYDEPTRYGRGRYSRQMLPDIKLQHWRKGSQWFELSRALAVYIVADIKYYTLFKKFCKPACYPDEHYIPTYLNMFHGSLNSNRTVTWVDWSMGGPHPAMYGPANITESFIESIRNNGTECLYNSEITYVCYLFARKFAPSTLEPLLNLTSSVMKF >KGN60180 pep chromosome:ASM407v2:3:37410047:37413501:-1 gene:Csa_3G881920 transcript:KGN60180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRLGFLCRWGKEKDPQKGTPVVVTMEKPNFSVVEIDGPDAAFRPVEKSRGKNAKQVTWVLLLKANRAVGCITWLLTVLWALLGTIKKRLIYRQGVAIEGGKLGRGKLLFGVIRVFLVTSIAILIFEILAYFKGWHYFQNSNLHIPQASELQGFLHSLYVAWLTFRAEYIAPLIQTLSKFCIVLFLIQSVDRMILCFGCLWIKYKRFEPKIEGDPFKLDDVEGAGYKYPMVLVQIPMCNEREVYEQSISAVCQIDWPRDHLLIQVLDDSDDESIQMLIKAEVAKWSQKGVNIVYRHRLVRTGYKAGNLKSAMSCDYVRDYEFVAIFDADFQPNPDFLKLTVPHFKDNPELGLVQARWSFVNTDENLLTRLQNINLCFHFEVEQQVNGVFLNFFGFNGTAGVWRIKALEESGGWLERTTVEDMDIAVRAHLNGWKFVFLNDVKVLCEVPESYEAYRKQQHRWHSGPMQLFRLCLPAVISSKISTWKKANLILLFFLLRKLILPFYSFTLFCIILPLTMFVPEAELPVWVICYVPIFMSLLNILPSPKSFPFIVPYLLFENTMSVTKFNAMVSGLFQLGSSYEWIVTKKAGRSSESDLLAAAERDAKTMNQAQIYRGASESEISELAHLKEHKEVVSAPVKKVNKIYRKELALAFLLLLASLRSLLAAQGVHFYFLMFQGVTFLLVGLDLIGEQMS >KGN55660 pep chromosome:ASM407v2:3:493921:497600:1 gene:Csa_3G002790 transcript:KGN55660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQVIREWVGINNFAMATQAKLLELMGKLKQENVNTLTILVMGKGGVGKSSTVNSIIGERAVSVSPFQSEVPRPVMVSRSRAGFTLNIIDTPGIIEGGYINDQALEIIKRFLLNKTIDILLYVDRLDAYRVDNLEKQVIKAITNSFGKVIWRRALVVLTHAQFSPPDGLPYDEFVSRRSAALLETVRTGGSFIRNDAQVLSIPVVLVENSGRCSKNEKDEKVLPNGIAWIPYLVETITKVVLNGSKSIFVDKKLIEGPNPNQRGKMLIPFIFVLQYLFVVKPIKRAIRSDILTQSRPSWELREMSFSSRKY >KGN60175 pep chromosome:ASM407v2:3:37378462:37389578:1 gene:Csa_3G881880 transcript:KGN60175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKNKSRSDLLAAGRKKLQQFRKKKDNKGSGSQGGSSRNTSKLEQHDADADIGIGAAKSTSGRFSSDEVLASSVDRNPHIVDSSASSSTEHSLAAETDDHSTVSVKQEMDLAEASAIDQGETSMQEVGYREDFEHTVQNVEASGFVSSGPSVPTDVEGNDNPTSNLSFAESSSQISSASVEQQGRIVEVGGGCREEELLVSPSTSLLQAREDVGMGDAVMQPGQVHETEIAGDKQLDTGGTSESAAETTFKETRCNEEEDIAAGVTSISVAVTKSNNYSISSPGENLGMENSSSSSRDDWKEERQVHAEDTIHSSRSQVESIPEDNFADLSEGHGMASQTSVKVSDVRDANTISLNAHMTATSDAQSETFSSFRQDCNFFDLLERMKEELIVSSCSKEIFNMQITEQNELQMELDNHRSKSTKDVALLNTSLNEVVERNQSLVDELSHCRSELEDVSTAKEKLRDQLLTAEAEIEKLSSKTSETENSLEKLHGDMFRLAKELDDCKHLVTMLEGEKERLNGIITFENENKIKLAEEKELYSDENQKILSELSSLKSLNVALEAENSKLMGSLSSVAEEKTKLEEEREQLFQMNGTLSAELANCKNLVATQQEENMNLTKNLALVTEDRTKVEEDKNHLFHKNETMASELLVLDERLSTEHEKRVKFEGDLKDALAQLDQLTEENVFLSNGLDIYKFKIEELCGEIISLQTRTREDEDRAENAGSDQYHGNNFQENVSSQITFKKCLPNPSSVLTGGKPFEVTEQEIFGDSLGFVTLGQHLEEAELMLQRLEKEITGLQSNSASSRSGSKTAAPAISKLIQAFESQVNVEEDEVEAEIQSPNDPYKLSIELVENLRVLLRQVVVDSENASVLLKGERDHQNVAISTLNEFKDKFEALENYSNNWVMANIEHGVLFDCFKHHLNDAGDKIYELEILNKSLKQQATHHKNFNRELAERLCGYESTLTELERQLCDLPQSSNEMVSLICNQLDNLQGGAIERAMTLEKDWHSFLLELAETIVKLDESLGKSDTPAIKFCTSDQLLSCISASVIDAVKTIDDLRERLQATASNGEACRMSYEEVTEKYDSLFRRNEFTVDMLHKLYGELQKLHIASCGSVSGSDMNMQIKMVGDPLDYSNFEALIKSLEDCITEKLQLQSVNDRLCTDLERRTVEFVEFRERCLDSIGIEELIKDVQSVLSLEDTEKYHAEIPAIYLESMVSLLLQKYRESELQLGLSREESESKMMKLTGLQESVNDLSTLILDHECEIVLLKESLSQAQEALMASRSELKDKVNELEQTEQRVSAIREKLSIAVAKGKSLIVQRDNLKQLLAQNSSELERCLQELQMKDTRLNETEMKLKTYSEAGERVEALESELSYIRNSATALRESFLLKDSVLQRIEEILDELDLPENFHSRDIIDKIDWLAKSSMGENLLHTDWDQRSSVAGGSGSDANFVITDAWKDEVQPDANVGDDLRRKYEELQTKFYGLAEQNEMLEQSLMERNIIVQRWEELLEKIDIPSHFRSMEPEDKIEWLHRSLSEACRDRDSLHQRVNYLENYSESLTADLDDSQKKISHIEAELQSVLLEREKLSEKLEIIHHHNDHLSFGTFEKEIENIVLQNELSNTQDKLISTEHKIGKLEALVSNALREEDMNDLVPGSCSIEFLELMVMKLIQNYSASLSGNTVPRSIMNGADTEEMLARSTEAQVAWQNDINVLKEDLEDAMHQLMVVTKERDQYMEMHESLIVKVESLDKKKDELEELLNLEEQKSTSVREKLNVAVRKGKSLVQQRDTLKQTIEEMTTELKRLRSEMKSQENTLASYEQKFKDFSVYPGRVEALESENLSLKNRLTEMESNLQEKEYKLSSIISTLDQIEVNIDVNETDPIEKLKHVGKLCFDLREAMFFSEQESVKSRRAAELLLAELNEVQERNDAFQEELAKASDEIAEMTRERDSAESSKLEALSELEKLSTLQLKERKNQFSQFMGLKSGLDRLKEALHEINSLLVDAFSRDLDAFYNLEAAIESCTKANEPTEVNPSPSTVSGAFKKDKGSFFALDSWLNSYTNSAMDEKVATEIHSQIVHQLEESMKEIGDLKEMIDGHSVSFHKQSDSLSKVLGELYQEVNSQKELVQALESKVQQCESVAKDKEKEGDILCRSVDMLLEACRSTIKEVDQRKGELMGNDLTSENLGVNFISTAPDQLSRTGRTHLLSEEYVQTIADRLLLTVREFIGLKAEMFDGSVTEMKIAIANLQKELQEKDIQKERICMDLVGQIKEAEGTATRYSLDLQASKDKVRELEKVMEQMDNERKAFEQRLRQLQDGLSISDELRERVKSLTDLLASKDQEIEALMHALDEEEVQMEGLTNKIEELEKVLKEKNHELEGIETSRGKLTKKLSITVTKFDELHHLSESLLTEVEKLQAQLQDRDAEISFLRQEVTRCTNDALVATQTSNRSTEDINEVITWFDMVGARAGLSHIGHSDQANEVHECKEVLKKKITSILKEIEDIQAASQRKDELLLVEKNKVEELKCKELQLNSLEDVGDDNKARSAAPEIFESEPLINKWAASSTITPQVRSLRKGNTDQVAIAIDVDPASSSNRLEDEDDDKVHGFKSLASSRLVPKFSRRATDMIDGLWVSCDRALMRQPALRLGIIFYWAILHALVATFVV >KGN56667 pep chromosome:ASM407v2:3:8139963:8141882:1 gene:Csa_3G127780 transcript:KGN56667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSTSIPESSSSAATLVSSFLHKPTSSSWSSSFSKLSISFDAIKAPSLSTHNTKFLIKAAAWTRRSRGEAAKRGNKKSWKQRTDMYMRPFVLDVYFSRRFIHAKVMHRGTSKVVSAASTNCKDLRYSLPSPTDDNACRIIGNLIAERCKEADVFAMSYDPPSMERIQDKVGIVIDTIKENGIIFV >KGN59156 pep chromosome:ASM407v2:3:29928561:29931640:-1 gene:Csa_3G777640 transcript:KGN59156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWASAEGGPPEVTLETSMGSFTVELYFKHAPRTCRNFIELSRRGYYDNVKFHRIIKDFIVQGGDPTGTGRGGESIYGKKFEDEIKPELKHTGAGILSMANAGPDTNGSQFFITLAPCPSLDGKHSIFGRVCRGMEIIKRLGSVQTDNNDRPIHDVKILRASVKD >KGN59817 pep chromosome:ASM407v2:3:34673848:34684267:1 gene:Csa_3G848260 transcript:KGN59817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYSSKLSSMAKRRHLMPSLFFLLLIFLTVLGVIVPVFALLPSLHSHSHPLFRHHHHRSNFNKVHTRKFEIDDDMFWKDGKPFQIIGGDLHYFRTLPEYWEDRLLRAKALGLNTIQTYIPWNLHEPKPGNFTFNGIANIVSFIQLCQKLDFLVLLRPGPYICAEWDLGGFPAWLLSKMPASRLRSSDPGYLQWVERWWGIILPKVAPLLYNNGGPIIMVQIENEFGSYGDDQAYLHHLVALARGYLGDEIILYTTDGGTRETLEKGTIRGNAVFSAVDFSTGERPWPIFNLQKEFNPPGKSPPLTAEFYTGWLTHWGENIATTDANSTAAALNEILAGKGSAVLYMAHGGTNFGFYNGANTGNDVLDYKPDLTSYDYDAPIKESGDVDNAKYEAIRRVIQHYSGALIPSVPSNNEKIGYGPIQLQKVAFLFDLIHMMDPVDVAVSEEPLSMESMDQSFGFLLYTTEYVAKDNEDGHVLFIPEVHDRAQVFLSCSSKNKGVRPTSVGIIERWSNRRLNLPNTRCDSNTLYILVENMGRINYGRYLFDRKGILSSVYLDNNVLHGWKMIPLPFNNLNEIPRVDFFSQIAHSRLNKIIAKRGLEAKFGNISGEPILYSGYFYVDKANLRKDTYLSFGGWTKGIAFINEFNLGRFWPVVGPQCNLYVPAPILRLGKNVLVILELESPNRDTVVHSVDRPDFTCGSSKSNLSQQLLSHQHSVGL >KGN59469 pep chromosome:ASM407v2:3:32112116:32114602:1 gene:Csa_3G822210 transcript:KGN59469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTPNDFSVVILPSDGGIDSRPLLPDKDKESEDADHWHDCSQNLSSDEDFSDLDLLQFVRLEGTDKTGNRILRVVGKYFPAVVVSGERLKRYIFHKFQNELSEGPFCIVYFHTTAQKDDNCSGLTILRWIYEELPSDYKDRLQFLYFVHPGLRSRLVLATFGRFFLSGGLYWKIKYLSRLQYLSEDIKKGEVEIPDFVKSHDDVLEHRPLTDYGIEPDSLNVTEVPFSANSVWRYEERWMSRQYMT >KGN59976 pep chromosome:ASM407v2:3:35915667:35917836:1 gene:Csa_3G859630 transcript:KGN59976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENHDPQNPLASFTWDDGAYSTIRRIVVYEKEWICSIQIEYDGNGESIMSETHGENEGSMSEVVFEYPDEFLVSISGYYGSIRNWGVDRIVIRSLTFESNGRYYGPFGIQEGKYFKSPGTEGKIIGFHGISDPYLNAIGVHVQTVQKLGIQPEPPKPLNMGQYGGKGGNPWKETFETIKRVRIYHGLWIDSFQIQYEEVDEMGTLVWTEIYGGEGGFLATVDLEFDEYFISVEGYYSDLQKWGMDATVIRSLTLKTNQNTYGPFGIEDGTKFSFPFKGLKLVGFHGRSGVYLDAIGLYLRPTPINGTEKFSLGECGGEGGDPWDENFTTIRKLVINHGQWIDSIQMEYEDENGEVVLSEKHGGNGGSESEVVLNFPDEHIVTIRGYYDNLRDWGLDTIVIQSLTVETNTTSYGPFGVENGTKFSFPSDGVKVVGIHGRSGLYLDAIGLMAVPVED >KGN59987 pep chromosome:ASM407v2:3:36017174:36021942:1 gene:Csa_3G860230 transcript:KGN59987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIVLDHNDAADWTYRGEGAVNLVLAYTGSSPLFFGKVVRIQKTARNGLHCTSVRHVVALSAHERLLWRDVGDLDSCTDRDVVCQMYVQHVMIPLLDSKHVDAGVHILVTREFLETIEKNILSQRPAWRVSAGRVNIHCDSVLLQSDHSIFPQGGEGEFCISVEIKPKWGCLPTSRFISKRNAIKKSVTRFRMLQAWKLQKGEISEFSDYDPLDLFSGSQDRIYKAIKNLFSTPQNNFRVFLNGSLILGTEGGYAVDTNLEIEEAFEDALRSVIQRGNGFRTMSFLQLVAEAVHKSGCLNRLLDVQKLDSFDIEGAIHAYYDIISEPCLVCRQMNDDELLKRCATLHSAHLDQSLEIVRNYLIAATAKDCSLMITFRPWHVDCPGSTYNSIFVESTKQTFDYKVNFIDLDLKPFKKMEQYYEQDKKIIRNYNQMFVTELEADNY >KGN55823 pep chromosome:ASM407v2:3:1709682:1713882:1 gene:Csa_3G017170 transcript:KGN55823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVATTASSFALTKRISPLITSSHNGKSNRTLSMSSSSVRMAPLSTNFLIPFAGGSVSGEFSGVKLRPSSLNPNYSPGSKGKRGVVTMVIPFARGSAWEQPPPDLASYLYKNRIVYLGMSLVPSVTELILAEFLYLQYEDETKPIYLYVNSTGTTKGGEKLGYETEAFAVYDVMSYVKPPIFTLCVGNAWGEAALLLAAGAPGNRSALPSSTIMIKEPIARFQGQATDVEIARKEVRNVKAELVKLYAKHIGKSTEEIEADIRRPKYFSPSEAVEYGIIDKVLYNERATEDRGVVSDLKKAQLI >KGN58935 pep chromosome:ASM407v2:3:28436056:28440694:-1 gene:Csa_3G736830 transcript:KGN58935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAVGADPDRRFCRLSLIDFASEDDFLLPSPSCDLHDVSSLDITNEDEEHDRIRQSGAIDCSRIDERTDGFEQREDKPQLVPSSEPEAIKRNGKYNLRKSLAWDSAFFTSAGFLDPEEFTSMIAPVGRNEKRVLPIISEDVQKSSDSISTLESEIMPLESIEGNLFEDVRASIQKSSRIVGKANSRTKVEPGRQEAQKPPSAGRLDLTSQNKMKDRSASSKLPDALQGPGKTIKQNSSQPRGGQQLKAVGRLPSSSLSSKKPSLGHNPTATAKDGTISGTRPADRRDSVSLRTTAHRPTRISTSAKSAQKTSSDVSTSSSDKVGKSSSKDVRKKTECKALPSSGVQKTPSRVTSKVTSPFGKSRLSSKFASGISPASSISEWSTESSSSPRVSLHSISSKRISTDSEASHDGRNHPVGPHTQTTGLLSQSVKKASSQSSILPPASVKPSGLRLPSPKIGYFDGSKTSSTKSNLAVPGGMTKIGAGNVSTNGGESKIKPSKLQPARLLPKSTTRANPTMNLKSHKSSATKMSKTKALDQKVKELHREGSNTDLHDSDACAESNDISGAMREEMTKENEACSNANETLTTNSAGENLTTIHRTSRPNVDGMVIGSISKK >KGN58851 pep chromosome:ASM407v2:3:27985087:27987243:1 gene:Csa_3G734050 transcript:KGN58851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNFDRPLRRNGAYDARLHGREMNKNPMFFNLSIVVSLLLVSVVSSAASKGTDFIFHGFQSANLSLDAMAVVTSNGLLKLTNETRQKIGHGFYPNPVNFVDFSHGVSSFSTTFVFAIISEYPNLSGHGIAFVVAPTKTFPGAEPSQHLGIFNDNNNGNTNNHIFAVELDTIQNLELKDIDANHVGIDINGLISKKAETAGFYPSNNGEFRNLSLISGQPMQVWIEYDGINKEINVTLAPINIPKPKIPLLSYVWDLSSVIKNSSMFVGFSSSTGSVSTSHYILGWSFRLNGQAQSLDISRLPKLPQKKHRSKVLTIGLPLISGGIALLVILSIVHVIRRKRKFAELLEDWELDYGPHRFKYKDLYTATNGFKEKEILGSGGFGRVYKGALPKSKLEIAVKRVSHESRQGMKEFVAEIVSLGRLRHRNLVQLLGYCRRKGELLLVYDYMQNGSLDKYLFNETNPSLNWSQRFRIIKGVASGLLYLHEEWEQTVIHRDVKASNVLLDNELNGRLGDFGLARLYDHGTDPQTTHIVGTLGYLAPEHTRSGRPTTQMDVFAFGAFLLEVATGKRPIEIRGMMEDVILLDWVLLCWMRGAIVEAKDPKLGTEYVTEEMEMVLKLGLLCSQSNPMARPSMRQIVQYLEGDAVMPEMGSIETLGGGGGYEGFDDLGMSYNSFLDKAIAYSTSSLERGFAHSSDAQSLLSGGR >KGN59886 pep chromosome:ASM407v2:3:35223569:35228142:1 gene:Csa_3G851860 transcript:KGN59886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMGRFNRHEISILLLVFMSGLLQIHGADTLTCYKSNSPCRFKQMRCPAECPSKSPSNHTHKVCYVNCESPVCKAGCKSSKPNCNGPGSACLDPRFIGADGIVFYFHGKSNEHFSLVSDPDLQINARFIGIRPAGRSRDFTWIQALGFLFNSHSFSLQAKRAATWDEKVDHLKFTYNGNEVVIPDGHLSQWKSSESNIMVQRTSNSNSVLLTLPEIAEISVNVVPITKEDDRIHNYRIPKDDCFAHLEVQFRFHGLSSKVEGVLGRTYQPDFINPAKPGVAMPVVGGDKEYRTSSLLSADCSACLFSPARISNKVDPKMRQYSFIDCSGGSTSGNGIVCRK >KGN56162 pep chromosome:ASM407v2:3:4447869:4448708:1 gene:Csa_3G081380 transcript:KGN56162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKIKRSLSTLFHSSLSSFMASSHLHFLSTPNPILLLPQSHPSFTTPLQITSKRDLSIKCNSQDSESDPNFPSPDPNFGALNQPETFPIEKRRRSDILRQRKPSTEIAKPEPPNFEIGWKRTKEISVEKPIGWMIMDFLEKLEGLMEREFGSTELLAKVGEIVAERAREEAEILRDDGKVEERMVTELFRVLKLMEMDLAMVKAAVKDETLSERLGQAKARCRQAILVANSF >KGN60378 pep chromosome:ASM407v2:3:38948485:38948970:1 gene:Csa_3G902210 transcript:KGN60378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAKEDEDPKRHRIFTYGTLKTGFANHKLMQDLINHNHAVFLGKYSTHLSFPLLLGPYGIPYLINLPGSGHLVRGELYAVSDHGLARLDELEEISIEHYNRLPVKVVGDDMVVIGVECYFAGKGAGEGLWNEKDGEALEEYTEREAVKFVLKDQTCKGIP >KGN57406 pep chromosome:ASM407v2:3:12820451:12823040:1 gene:Csa_3G183890 transcript:KGN57406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIFTSLFLPFLFLFLPFLSSDFVPSDIYLLSCGSSSNSSFFNRIFVADSLKPASDFLAAGKSVALSDRNPPPDSPSLYHTARVFTRVSSYKFNIKKNGTHFLRFHLSPFSSPAFALHSANFTISANGVFLSTISHVNDSVIKEFMVRIDTNVLEIEFQPASSSSFGFANAIEVFSAPKELITDNGAKLVDSDGGREYYKLTSQILETKYRINVGGPKLTPFNDTLWRTWVPDEPYLALKSAAKLASTFHTPNYEPGGPTREVAPDIVYMTAQQMNKDYSISGAKFNLTWNFPLDSNGVNHLVRLHFCDFVSSALNQLYFNVYINGYPAYRDLDLSSLSLQLSTPFYIDFIVDSGSSGDVQISVGPSDLSSSLRYNAILNGAEIMEMVNSKVLFTEIEKRKRNLWVIVGPVVGGFIGLCLIVAAIVAFGCKRRKKRKPKRAESAGWTSVQAYGGGSSDSKLSRGSTLASFGPNGYHSLKIPFSEIQSATNNFDKSLIIGSGGFGMVYKGVLRDNIKVAVKRGVPGSRQGLPEFHTEIAILSKIRHHHLVSLVGYCEEQSEMILVYEYMEKGPLKKQLYGSVVSPLSWKQRLEICIGAARGPRLDETHVSTGVKGSFGYLDPEYFRRQQLTDKSDVYSFGVVLFEVLCARPAVDPLLAREQVNLAEWALHWQRKGMLEKIVDPHLVGQINPNSLKKYGETAEKCLADYGIDRPTMGDVLWNLEYVLQLQIGPSNEPSEPVDIDDSDFPTSTAIHPSNMRRHSEEGTDNFSDISTTKVFSQLLTNDGR >KGN57844 pep chromosome:ASM407v2:3:18133991:18134401:-1 gene:Csa_3G346910 transcript:KGN57844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVGIGVPICIECGTHSNPCRCKVVGPTLGFLAFAVAAVVEWPVGALVFCFRHHKGRRIMAHPATVVYPSVSNAVPI >KGN56414 pep chromosome:ASM407v2:3:6715502:6719141:1 gene:Csa_3G119470 transcript:KGN56414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAEARAAFQRTVNRCFVQEDAKRAPRLACCQSSSSTSKQVDSGPANAAADGPDQPSTGFMPSSRASSYSNLLPDSKWWLQTQSSYGFQKIFTLEHINPLEAGNETSKSGTEKSCTSSDIHRPEGSNTVCGVDDFSRSSLDTDHGVSGLCTKRVTTILNEDIKTLEGTDSQECVGSVDMKADFECLEKDSFNSKTVSKNQDEFYFDPDSPWIQEEKAEPWWWITDKDELAYWVAQKSLDHIENCDLPPPKKTCLSFKRCPYAKKQCYEHNTNLVSTFESTHQNCGLDFCRFGRTQRDLSESIEQGNLLHLSHKSSSCTNPDDLTKTMQTSEDNTSKAELMDALLHSQTRAREAEIAAKRAYAEKEHIVELFVRQATQLFAYKQWFQLLQLESLQIKNSNQPMSNLFPLVLPWKSYKNMVSHKRWRRVTGQKRVEQDQRKSDISTYAVAFALGLSLVSAGLLLGWTVGWMLPSF >KGN57054 pep chromosome:ASM407v2:3:10290285:10292415:1 gene:Csa_3G150830 transcript:KGN57054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGLMRRQWIQYIEGLIHEGTLDGQYLQLLQLQDESNPTFVSEVVTLFFEDTEELLNKLRIAISQPPVDFKKIDDHVHQLKGSSSSIGALRVKNVCVDFRTACEQQSPDWCSSCLQQVEQEFYGVKEKLSYLYALEKRILNAGGSIPADLSF >KGN58386 pep chromosome:ASM407v2:3:24681561:24682024:-1 gene:Csa_3G635370 transcript:KGN58386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGENQAITMEALFLEDDLKWRSTSTLDPALPYSKDAAKHQRSNWLLASFGFRMSIDSVQKKARIIQTPKSCSSVGCEPSITKPPPTRA >KGN58871 pep chromosome:ASM407v2:3:28082100:28084497:-1 gene:Csa_3G734240 transcript:KGN58871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKNMLADLDGLPEEDKIKMATMIDQLQIRDSLRMYNSLVERCFTDCIDNFQRKSLTKQEETCVRRCAEKFLKHSMRVGMRFAELNQGAATSD >KGN60476 pep chromosome:ASM407v2:3:39681166:39684700:1 gene:Csa_3G914560 transcript:KGN60476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMNPFDLLVDDDNEDPNQLASAHRSAPVLPSKKAPAPAQPAKPAKLPSKPLPPAQAVRESKNDNGRGGRGGGRGFGRGRGGGGGFNRDSANNENGGRNGFSDRYQTPEDGEKAAERRGYGAPRGSFRGPRRAGFSNGEAEEGERPRRVYERRSGTGRGNEFKREGAGRRNWGAPGDENAPDVEEPVAENEKNVAPEQQLGEDGVVDDNNKTEEAVKEPEEKEPEDKEMTLKEYEKLREESRKLLAKTEVRKAEVDEELENMQQLSSKKTNDEIFAKLGTDKDKRKDAADKEERAKKSVTITEFLKPAEGERYYNPGGRGRGRGRGSRGGFNNGSNRMNVAAPSIEDPGQFPSLSAK >KGN57060 pep chromosome:ASM407v2:3:10335644:10344201:1 gene:Csa_3G151370 transcript:KGN57060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFGADPHLGWLQIGVLISSKVFSRAFGHQPERFRPSVFEVMETGDSAPPSLGPRYAPDDPTLPKPWKGLIDGSTGLSYYWNPETNVTQYEKPVSLPPPLPLGPHPGVSISKPTSIPEAHSMPSSGTVAPHVQQNHYNIPQQDGQLNNQLSQHTGHLISQQHSSVASQVAVNHHPGMQMAPDGRQHGSQSNQVMQQQGVFGMSSQHIGQQQVMHQGQNMAHANQQMSQHPNQQPLQNPGQALQNPGQQMPQPSVQHLGQPNMHNPKPLVGQPQGPQYGQQQLQYIGYQQSLHPNVQQNSQQQVQQSPLVQPFGNHLEQKPAFLKREEENIQSGNQVGFSSSQFQQSGGTPSIHNLHSGTNSSQMQPLGLASDQARQFGSSPGNMQQQLPVGQVQHAGTELTHRHHHSRFQDQMGPAVIPGQQPVAENLPGRGGNEYYFGRNEGSGPGPHQPRLAAIPMARSQQDSRMSGAPFPSAAPGHPSGTKFAGGPTHNLYNHGSGGSSLPNNALMGPPHVGASDVTNMSPVEVYRQQHEVTASGDNVPAPFMTFEATGFPPEILREIYSAGFSSPTPIQAQTWPIALQGRDIVAIAKTGSGKTLGYLLPAFILLRQCRNNPQNGPTVLVLAPTRELATQIQDEAIKFGRSSRVCCTCLYGGAPKGPQLKELDRGADIVVATPGRLNDILEMKMINFRQISLLVLDEADRMLDMGFEPQIRKIVNEIPPRRQTLMYTATWPKEVRKIANDLLVNSVQVNIGSVDELAANKAITQYVEVVPQMEKQRRLEQILRSQERGSKVIIFCSTKRLCDQLARNLGRGFGAAAIHGDKSQGERDWVLNQFRSGKSPILVATDVAARGLDIKDIRVVINFDFPTGIEDYVHRIGRTGRAGATGVAYTFFSEQDWKFASDLIKVLEGAGQPVPPELRNMAMRGGPSFGKDRGGMGRFDAAMGGSRWDSGGRGGMSDAGFGGRSGARDGGFGGHGGFGGRGGMRDGPGGRGGRGDFFSTRGRGRGFGGPLGGHVGWGRGDRGPPHDRFNGVDGRGRGRGQGRFDNRRDFSNRSRGRSYSRSPERVRTWGYSRSRSRSRSGSHSRSSRSWSRSRSRSRSRSRSRSWSRRYSRSRSHDNNERPRVRNFDKKDDQPLESVGAASPGTQKNGFEEKDVRELAPIPGSSSSMEATNPENGVDTSDQIVNATAEVI >KGN56389 pep chromosome:ASM407v2:3:6585076:6585906:-1 gene:Csa_3G118740 transcript:KGN56389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGERRRQSSATVPKGCLAVKVGQKGEEQQRFVVPVMYFNHPRFMQLLKEAEEEYGFDQKGTIAIPCHVEEFRHVQGMIDRENSFHRRHNHHHHQQQHHHHHLGCFRVSF >KGN57414 pep chromosome:ASM407v2:3:12872461:12876605:-1 gene:Csa_3G183960 transcript:KGN57414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPFETVITSSRDDLSKRARKPYTITKCRESWTEPEHDKFLEAIQLFDRDWKKIEAFVGSKTVIQIRSHAQKYFLKVEKSGTGEHLPPPRPKRKAAHPYPQKSSKNGVALVTEPFQSSSVEPKYNIKPDSSSTPASFISATAMSSRADNSIQTVNFSQEQVIENNCSSSTDRTTRARFPTKSSIEEHNSLQLRVLPDFGQVYNFIGSVFDPKASNHLKRLEQMDQIDVETVLLLMRNLAINLTSSDFEDHKRVLSSYDGFMEHG >KGN58051 pep chromosome:ASM407v2:3:20871592:20871789:1 gene:Csa_3G464695 transcript:KGN58051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIGRIPIPEKKRKISPHLLGEKLRRKNEYKRRPNGGVIEMLKRRRNRGEEEETVKDRALTSEEE >KGN58627 pep chromosome:ASM407v2:3:26709721:26711076:-1 gene:Csa_3G702650 transcript:KGN58627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMGNNSREDIMDLDLNQEPLDQSYDSVLGLDTILNDLETAHGRIEERIRQLEAVTTRATRRQRWRHAPTVTEPAETPAAYAHLERHETVDDSAAAQQRILHSEKTSKKNGPHLVAKALGMDSEPKATGNKMGSLFDCNICLDVAKDPILTCCGHLFCWSCFYQLSYVHSNAKECPECQGEVTDTSIIPIYGHGNGNRAQKSKPNDSGLKVPPRPRAQRIESMRQQILFRGTSSSIIEERIQQISNMIGAMGEQSRSQNFDVTHGRIERTNLLGRRRRATQYASQALPVPENENSQQNRSLQVSRLLLQGAASFSSLSSALNSAMDSAERLVEDLETYIHNHSAGRSRPHSLPNNLNNGDSLSGIVPTVQSDGIAPDPVGGITFLVPQFESSSRSMDIATNIERLENQTSNATEFDRIILPSPSTRRRSELPRRSDVDNQILQERRRRRLG >KGN56585 pep chromosome:ASM407v2:3:7626187:7627174:1 gene:Csa_3G125520 transcript:KGN56585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCHSSPFILTPSYLACRQRRRRPVRVYSLLRRMRFAKEEANSAGVKVEMEIKNLKLYMENQSIIEENERLRKKAFLLHKENQVLLSQLQNFSHYKPPHSSSDLSACSWESKQNHL >KGN56173 pep chromosome:ASM407v2:3:4649070:4649571:1 gene:Csa_3G088950 transcript:KGN56173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCDERVEKKSKGLAFQVFSSKDQVLKKKTNSYENLAESISLLAKKFGRALKRWDKRLDPRGNYVDPYVKNVGSSGGNKFKHFHVKCPNYLRKQGQWYFVMLSDEETHSCIDSDDEVHALIDKLVIHDTC >KGN58341 pep chromosome:ASM407v2:3:24270925:24271800:-1 gene:Csa_3G624580 transcript:KGN58341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTKVDRTTTKVDLDIIKIITVDTQVGEEEVGGVEAGPTVAFQAIIILLQELDTKEAEEGAEVMAVDGGEWVVAQGAAEETRLRRNQIVFVCSSYLLLELKCLPWLVG >KGN58861 pep chromosome:ASM407v2:3:28020984:28023481:1 gene:Csa_3G734140 transcript:KGN58861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLGRTTMNEATSSCHPREPEMDVSQSHSLVPTIVNPIPPTFVPHFLLFFWVSFHLKAHPNRIILWISFLGLGAWICVSASDWFSRLLGFRMEEKEQNPAEINSFYVISKLRSNPFDLNKKRKLPAEHLGLPSPKHKHCSEEFASKSAFLYGGLPETEHMNVQFIKENANVLCFDEVSRPESVKDSNSLSEESDSATSVFHGAKFELNQAITCTHDTSTTRSMSFGGASSESIHFSVESSTAMESSSTEQETAFPSGENRMETIQKLQEQLLELDSHEDYNCAEYENDDTKQCTDQELEELFYSNGLNPNTYILSSGRWAINHEAQSRARAPTIDQEFEQYFSMLML >KGN59437 pep chromosome:ASM407v2:3:31885126:31888512:1 gene:Csa_3G819940 transcript:KGN59437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWALRRASTPLRNQGYRVRTSHVFGKLEVPYSWEANVAGFVTTATLSDRFISFERNNLATWPSSGIYISSHGLSTQAGAENSGEEDNVEDGFSELDEALPTTRSEIADDDDDIVDDGSQNELDLLEGETVLAEKKSSKWVPSELTKVIWNAPGLSVASALDKWVSEGNELSRSDISLTMMSLRRRRMFGKALQFSEWLEASGQLEFNEKDYASRLDLIAKVQGLHKAESYIAKIPKSFHGEVVHRTLLANYVVANNVKKAEEVFNKMKDLKFPMTTFAYDQMLILYKRIDKKKIADVLLLMEKENVKPSRFTYIVLIDVKGLSNDIRGMEQVVDTMKAGGMEPDSYTLSILAKHYVSGGYKDKAKAILKEIEESNSRIPQWSRRILLPLYVSLQMEDEVRRLWKSCEENPRIEECMAAIVAWGRLKNVPEAERIFNIVVKTFTKLSTRHYYTMLKVYGDSKMLTKGKELVNQMAKSGCRIDPFTWDAVVKLYVEAGEVEKADSFLVKAAQQYGMKPLFTSYMTLMDHYARKGDVHNAEKIFHKMRQSGYMPRLGQFGTLIRAYVNAKTPAYGMRERMMGDKLFPNKALAGQLAQVDPFRKTAVSDLLD >KGN58779 pep chromosome:ASM407v2:3:27663252:27663662:-1 gene:Csa_3G731890 transcript:KGN58779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVGLITRVNSFRSVESCIGYGWVGVGSSRICICKNKYFGRCGYDFGDGPRPETAGSFGTLIDGNSVVSFFFHSFLRSVVFIFLQTQYGGCGSRRWLRVSFTWLCSVETWGRWEFADNIPTKIERNNAIISIEQLN >KGN60255 pep chromosome:ASM407v2:3:38024983:38031555:1 gene:Csa_3G890110 transcript:KGN60255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVSGNIGLQVLFVFVVFPVICLVIRRKWRLSVARKEEINRLLVLSSEEAFRAEIEASARYTSSSFTPLGHQCAVCYSPTTTRCSRCKAVRYCSGKCQIIHWRQGHKENCHPPNHAVASSTDFETKVTEQDHYESSTSDSSAASFSGFSSSILSSESSDDTSTSDSSSQIEPEKSDGYMSADAMPDSLEITRGIDIADQPKSLTPMFGNLVDSVDSFPSSSKLNQLKPSGSHGKDSRSSVNSSSFVIDGNHKESVATVSSDFWARTLDHKGSTTDSLSNSALPKFSGGHGRKLSSPPSFSFSSSNILQACSSEGMNASNITLPGTSEDKKGKKPVDGENFSKNHSIPSNVCNSAASNNQGHKKTGNFKPASTSHVLRSRSTSAVRPPNGSGGKTLDSNVSTSPPLRCERSAKVVVDSISKVQSKDGNFSQRKIDSECCLPSSAGGTSVSLSRIQSGRVDTMQATSGIPSQVACTLNSKNGLRTSMLKVVDQFRGSKLLKNSHACTVTEIPGRFNYKGLFSYDHFVKLYNWNKLELQPAGLINCGNSCYANVVLQCLAFTPPLTAYFLQGLHSKSCVKKGWCFNCEFESLILKVKEGRSPLSPVGIISQLPNIGRQLGNGKEEDAHEFLRYVIDTMQSICCKEYGVSSSGSWEEETTLVGLTFGGYLLSKIKCTRCQGRSERQERIMDLTVEIEGDIGRLDEALRKFTSKEILDGDNKYLCSRCKSYVRAKKKLKILEAPNILTVVLKRFQSGNFGKLNKPIKFPEILDLAPFIRGTSDKSPIYRLYGVVVHLDVMNSSFSGHYVCYVKNAKNKWFKIDDSTVTPVDIETVLTKGAYMLLYSRCLPRAPRLLRHSTVAVDSKTRATHSRTDERNTAAKTTTTSTRQSYTRDTPGEPGSIGSIHFGHPQIHRIFEEDSSSDHSSLISSTSDEGSYSTESTRDSTSADELSDYIFGDPGRVWNTWQNFPNLNPHVHPLHLS >KGN59662 pep chromosome:ASM407v2:3:33383929:33387646:-1 gene:Csa_3G836460 transcript:KGN59662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEKSETGGGYVRADQIDLKSLDEQLQRHLSKAWTMEKNKRREDEEGVGIGGGGGGGGGGGGVGGGRPAITRQEWEIDPSKLIIKAVIARGTFGTVHRGVYDGQDVAVKLLDWGEEGHRSEAEIASLRAAFTQEVAVWHKLDHPNVTKFIGATIGSSDLHIQTENGQIGMPSNICCVVVEYCPGGALKSYLIKNRRKKLAFKVVVQLALDLARGLSYLHSQKIVHRDVKTENMLLDKTRTVKIADFGVARVEASNPNDMTGETGTLGYMAPEVLNGNPYNRKCDVYSFGICLWEIYCCDMPYPDLSFSEVTSAVVRQNLRPEIPRCCPSSLANVMKRCWDANPDKRPEMDEVVTMLEAIDTSKGGGMIPLDQSQGCFCFRRYRGP >KGN59275 pep chromosome:ASM407v2:3:30734612:30734983:1 gene:Csa_3G798620 transcript:KGN59275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLSVSLLELNSIENLRFRIQSLISSFHICCEGRKGLAGWLSPFQQSRGGHQWAQQEKGEGYLCFRVQPVSGGGSDSDWFQLVS >KGN59757 pep chromosome:ASM407v2:3:34066279:34069137:1 gene:Csa_3G843760 transcript:KGN59757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAHFLLGPIPIPISSASSAIGFYSSLIADSLPRTYSCNPNSKSTLPLPRSNSIVSSWSAAIDGHRNHYELLGVPPAASSKEIKKAFRLLARKYHPDVSKDSRAADAFKSIRHAYEVLSNEVTRAQYDRALKLQETTDRPYRGKWYYSPDFEERQKIYRWTELKQKIQYERYHKYNKFRQDSSRIDEEEGESDSQLRSPFLEVLKSTFLSLFLVQIFGSRLSLTFSSLVALLDRKLDSGYKMGYAIAWLLGGRAGVLLAICLSFASWMCGKSSSGVVAVVVVAMWMGTNLARYAPLPQGAVLTLLYMSIKLQADLN >KGN56069 pep chromosome:ASM407v2:3:3665054:3668209:1 gene:Csa_3G064180 transcript:KGN56069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAPSDLWIKEYNEASKLGDDINGMISERSSFPATGPESQRHASAIRRKITILGTKVDGLQSLLLKLPVKQPLSEKEINRRKDMLVQMRSKVKQMASTLNMSNFANRDSLLGPEMKSADVMSKTAELDNQGLVGFQRKIMKEQDEGLEKLEETITSTKHIALAVNEELSLHTRLIDDLDQHVDVTDSQLAKRLGIMNKRAKGSCSCFGMLLSVVGIVVLITVIWLLVQYL >KGN56148 pep chromosome:ASM407v2:3:4297834:4300572:-1 gene:Csa_3G078790 transcript:KGN56148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFPMPHFFSVSLLISLLLFSNFSLCFSQDYIIGGGGGGGGGDDDDEAKPAAPPPEQNQCNGIFLSYTFISREKELPRLKNATAQSWAFKSEAVILNAGLTELKAWKMFIGFHHHEILVSANGAVVMDGSDFPVDVGNGTTLAGYPMTDLKTSIETAGDINQIQATVEFTGTQFGIKPPGVPMPKTIRLENDGFKCPAPRHRGKRTMHVCCKKDPKFKVKKEKKTKFFARQNGDLSLTYDVMQAYGNNYLAQVTIDNNNPLGRLDHWNLTWEWMRGEFIQSMRGAYTHTRDLSQCVYGTAGQYYQDFDFSQVMSCDKRPIIADLPADRINDDKVGKLPYCCRNGSLLPTLMDESKARSIFQLQVYKLPPDMNRTALNPPQKWKISGVLNPKYVCAAPLRVEPTEFPDPSGLQSTSTSIASWQIICNITRPKVKQSKCCVSFSAYYNDSVIPCNTCACGCDDIDTDTCNPNAHSMLLPPEALLVPFSNRSAKARAWAKIKHYRNPKKLPCPDNCGVSINWHINSDYTNGWSARLTLFNWEDYPFEDWFTAIQLNKAGRGYENVYSFNGTRLPKIKNTIFLQGLPGLAYLMGEVNGTNPKTDPRVPGKQQSVISFTKKGIRNLDILRGDGFPNKVFFNGEECALPKDIPTASSAHHRSCDVFMSLIFILALTFVFMTDRFH >KGN56144 pep chromosome:ASM407v2:3:4251462:4255110:-1 gene:Csa_3G078260 transcript:KGN56144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSLTQSAKSINGSDVILRRSSPVPIRRFSCSPSLPAQKPHSSVVCVKNALHISSVSNFGTLGTRKSDFNACKAYEADRSQPIESNIELTVADQPSEAAKKVKIGLYFALWWSLNVVFNIYNKKVLNAYPYPWLTSTLSLAVGSLIMLVSWMTRVAEAPKTDFEFWKSLFPVAVAHTIGHVAATVSMSKVAVSFTHIIKSGEPAFSVLVSRFLLGETFPIPVYLSLLPIIGGCALAAVTELNFNMIGFMGAMISNLAFVFRNIFSKKGMKGNSVSGMNYYACLSILSLLLLTPFAIAVEGPQMWAAGWKTALSEIGPNFVWWVAAQSVFYHLYNQVSYMSLDEISPLTFSIGNTMKRISVIVSAIIIFRTPVQPVNALGAAIAVLGTFLYSQAKQ >KGN59213 pep chromosome:ASM407v2:3:30224372:30226216:-1 gene:Csa_3G782120 transcript:KGN59213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIQLKNKAMDVAMKIKKLGQDDPRRIIHSIKVGVALTLVSLFYYWKPLYDGFGASGIWAVITVVVIFEFTVGATLSKGLNRGLGTMLAGALGVGVDYLANLSGQKGEPFVLGIFVFLIAEFLEMVLVSAASATFSRFFPGIKARYDYGVLIFILTFSMVSVSGYRVDEFLTMAHQRLATILVGGAICIIVSIVVCPVWAGETLHNSIISNINKLANYLEGFGGEYFHCSDEHVTIPEKDKPFLQEYKVVLNSKSTEDSMVGSLSSL >KGN56077 pep chromosome:ASM407v2:3:3736905:3737257:-1 gene:Csa_3G065250 transcript:KGN56077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSKIVFLVLLGALVCSTFEARKLSIASSTQDEKFEDHGLVDGELSGRARGLAGQFIGIGFNSSVSLGVGVGSPPVLYVGTPPINVGIGVSGGSENGPSGGGK >KGN57608 pep chromosome:ASM407v2:3:14913179:14928028:-1 gene:Csa_3G228360 transcript:KGN57608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEDWISQANARQRRGRAGRVRPGTCFCLYTHHRYEKLMRPFQVPEMLRMPLVELCLQIKLLSLGYIRPFLSKALEPPREEAMASAISLLYEVGALEGNEELTPLGQHLAKLPVDVLIGKMMLYGGIFGCLSSILSISAFLSYKSPFIYPKDEKQNVERAKSALLSDEGNGSGESCGNDKQSDHLIMVNAYKKWEKILHQKGAKAAQQFCKSHFLSSSVMYMIRDMRVQFGTLLADIGLVDLPIRSCFGRTTKEDLDSWFSDSSQPFNMYSDHPSIVKAVLCAGLYPNIAAGEEGITEAALNSLGRSFGPATTARPVLYDGRREVYIHPSSVNSNLKAFQYPFHVFLEKVETNKVFIRDTSVVSPYSILLFGGSINIQHQVSFLFCFVFLETEIPFNSSCGFMLFASEWNCHYRRLVEIDCTGANCCTL >KGN60386 pep chromosome:ASM407v2:3:38993019:38993507:-1 gene:Csa_3G902290 transcript:KGN60386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHVQNQHVMDQDKDNRIDNDIMTRRLKNRERQRRYRARKRLEEEIKKASTAKQPIQTGTYFQPNGIANNPLTRVHCSRNWKKEARSANISRGVEEGSCNSSNMAAKTSTSESQLQCVPSNVDPQLETPSHSKTPAEQLVSETAKKVFGRRNWKADARKKKN >KGN58332 pep chromosome:ASM407v2:3:24119541:24120507:1 gene:Csa_3G624000 transcript:KGN58332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSCLFHNFPSISGHPLTRHRNPTISLHSQILPLNSLKLKEQSFLFNPQIEKLRTRGSPSFPLVYAAQNNFLRVFQTVWKIGKDGIEAGTDLVPDSVPRPIARISVGIVALTIGLFLFKSVLSTALFVLVLPTLT >KGN57313 pep chromosome:ASM407v2:3:12141320:12141686:-1 gene:Csa_3G178540 transcript:KGN57313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCGISLRRKRVAVFLCGGTFRALVLRGAARKKEKLSGNSNSTGSYTGCPTRLHPTSISCCEDTCARKVEAHLARTILVDQSETSTPGLS >KGN56102 pep chromosome:ASM407v2:3:3936524:3938047:-1 gene:Csa_3G073920 transcript:KGN56102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFFSSLSFFLLFSSFLSHAAGWVGVNYGRIADDLPSPDDVVKLLKTHGIDRIKLFDTESTVLTALSNSNISVVVSLPNEYLSSAASDPSFTDNWVQSNISHFYPSTKIDAIAVGNEVFVDPNNTTNFLVPAMKNVYASLQKFNLHTNIKVSTPLAFSALASSYPTSSGSFKPDLIEPVMKPMLDLIRQSQSHLMVNAYPFFAYIGNADKISIDYALFRENAGVIDSGNGLKYSNLLEAQVDAVFAALSAIKFEDIPVVVTETGWPSKGDENEIGASIENAAAYNGNLVKRVLTGSGTPLRPKEPLNAYLFALFNENKKQGPTSERNYGLFYPNEEKVYEIPLTSADVEGGVGSTPAVNGSKVIKNGQTWCVANGKVAPEKLQAGLDYACGEGGADCSSIQPGATCYNPNSLEAHASYAFNSYYQKMKRAVGTCDFGGAAYVVTQPPQYGQCEFPTEY >KGN57718 pep chromosome:ASM407v2:3:16085454:16088877:-1 gene:Csa_3G259190 transcript:KGN57718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGRLANLEARNAERTAENFKKNNLVKIPRVYWEFTTRQVLTMEFCEGHKVDDIEFMKQSGIEPSKVAKALVEVFAEMVFVHGFLHGDPHPGNILVSPDNLNGFTLVLLDHGIYKQLDEEFRLNYCQLWKAMITLDTNKILQLGEWFGVPKYSKYFPLIFTGRSFDR >KGN57735 pep chromosome:ASM407v2:3:16361243:16366121:-1 gene:Csa_3G270790 transcript:KGN57735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLQSQATTTDLRPCKNGQFRTLMPILGGALALLILTTIPLSFPLLNYSLLLLKTSLESPASAASAAFRPQIFSSGNLSLLSALPCDLAIGDWIPNSNPKAPLPYTNDSCWAIHDHLNCLKYGRPDGGFLRWRWRPDGCELPSFNPAQFLELMRHKAFAFVGDNIARNHVQSLICLLSKLEYPIDVSPSRGEHFKKWKYMNYNFTVAFLWTTHLVKSKELTTGGVFNLYLDEYDEAWTSHIAGFDYLMISSGQWFLHPMFYYENGQVTGCHDCFLNNVTELGIYHGYRKAFRTAFKAILNSENYKGITYMRTFSPSHFENGLWNQGGNCLRTEPFKSKNTALEGMNLELYMTQMEEFRRAEREGRKKGFKLRLLDTTQAMWLRPDGHPSKYSHWPQGNENLNNDCIHWCLPGPIDIWSDFLLHMLKMEGIRSAQERVQFALQTELNQR >KGN58551 pep chromosome:ASM407v2:3:26142891:26151392:-1 gene:Csa_3G681150 transcript:KGN58551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFFRNSIFFILLLATAAAVELEADREARRRESDRVTDLPGQPPVKFNHYAGYVKLRPEQPQDQKALFYWFFEAHEPNDVASKPLVLWLNGGPGCSSIAYGAAQELGPFLVQSNGQLKLNDFSWNKAANMLFLEAPIGVGYSYTNKTTDLEKLGDKITAEDSYAFLIGWFKRFPNFKLHHFYVAGESYAGHYVPQLADMIHERNQNSSKDTFINLKGFMIGNAAIDDERDSKGMVEYAWTHGIISDKLYHNIMNECSFTTDSNSTNQTTTHCEEHARGFSLAYSHIDIYSIYSPICLSSSSTSNFTSSILLTATPPRIFSMHELWHKLPLGYDPCTEAYANKFFNREDVQRALHANVTKLSYPYTPCSGVIQQWTDSPTSILPTIQKLLNAGLRIWVYSGDTDGRVPITSTRYSINKMELEIEEEWRAWYHKQEVAGWVETYKGGLILATVRGAGHQVPVFAPQQSLALFSYFLSANTLPSTRS >KGN57045 pep chromosome:ASM407v2:3:10253689:10261314:1 gene:Csa_3G150740 transcript:KGN57045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLLGGKGANLAEMASIGLSVPPGLTISTEACQEYQENGNRLPDGLWEEILEGLESIEKDMGAVLGDPLKPLLLSVRSGAAISMPGMMDTVLNLGLNDEVVAGLADKSGERFAYDSYRRFLDMFGNVVMDISHSLFEEKLEHLKIAKGIELDTDLTASDLKELVEQYKEVYVEAMGETFPSDPKQQLQLAVKAVFNSWDSPRANKYRSINQITGLKGTAVNIQSMVFGNMGSTSGTGVLFTRNPSTGEKKLYGEFLINAQGEDVVAGIRTPEDLDTMKDHMPEAYKELVENCEILERHYKDMMDIEFTVQENRLWMLQCRSGKRTGKGAVKIAVDLVDEGLVDTRTAIKMVEPQHLDQLLHPQFEDPSAYKDQVVATGLPASPGAAVGQVVFSADDAEAWHAQGKSVILVRAETSPEDVGGMHAATGILTARGGMTSHAAVVARGWGKCCVSGCSDIRVNDSAKVLVIGDLVINEGDWISLNGSTGEVILGKQPLSPPALSGDLEIFMSWADQIRRLKVMANADTPEDALTARNNGAQGIGLCRTEHMFFASDERIRAVRKMIMAVTVEQRKSALDLLLPYQRSDFEGIFRAMDGLPVTIRLLDPPLHEFLPEGDLEEIVKGLTAETGMSEDEVFSRIEKLSEVNPMLGFRGCRLGISYPELTEMQARAIFQAAISMSSQGIKVLPEIMVPLVGTPQELKHQVSSIRRVAEKVFSEMGSSISYKVGTMIEIPRAALVADEIAKEAEFFSFGTNDLTQMTFGYSRDDVGKFLPIYISQGILQNDPFEVLDQKGVGQLIKLATEKGRAARPSLKVGICGEHGGEPSSVAFFAEAGLDYVSCSPFRVPVARLAAAQVAV >KGN58274 pep chromosome:ASM407v2:3:23410793:23413577:1 gene:Csa_3G603610 transcript:KGN58274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSLPLPTTLKIPFPSSNPSSSLQFPTFTNPNPLTGRLIQEINNGRLHKAISTLEHMVHQGSHPDLQTYSLFLKKCIRTRSFDIGTLVHEKLTQSDLQLDSVTLNSLISLYSKCGQWEKATSIFQLMGSSRDLISWSAMVSCFANNNMGFRALLTFVDMIENGYYPNEYCFAAATRACSTAEFVSVGDSIFGFVVKTGYLQSDVCVGCGLIDMFVKGRGDLVSAFKVFEKMPERNAVTWTLMITRLMQFGYAGEAIDLFLEMILSGYEPDRFTLSGVISACANMELLLLGQQLHSQAIRHGLTLDRCVGCCLINMYAKCSVDGSMCAARKIFDQILDHNVFSWTAMITGYVQKGGYDEEALDLFRGMILTHVIPNHFTFSSTLKACANLAALRIGEQVFTHAVKLGFSSVNCVANSLISMYARSGRIDDARKAFDILFEKNLISYNTVIDAYAKNLNSEEALELFNEIEDQGMGASAFTFASLLSGAASIGTIGKGEQIHARVIKSGLKLNQSVCNALISMYSRCGNIESAFQVFEDMEDRNVISWTSIITGFAKHGFATQALELFHKMLEEGVRPNLVTYIAVLSACSHVGLVNEGWKHFKSMYTEHGVIPRMEHYACMVDILGRSGSLSEAIQFINSMPYKADALVWRTFLGACRVHGNLELGKHAAKMIIEQEPHDPAAYILLSNLYASTSKWDEVSNIRKAMKEKNLIKEAGCSWVEVENKVHKFYVGDTSHPKAAEIYDELQNLSVKIKKLGYVPNLDFVLHDVEEEQKEKLLFQHSEKIAVAFGLISTSKMKPIRVFKNLRICGDCHSAIKYISMATGREIIVRDANRFHHIKDGRCSCNEYW >KGN59331 pep chromosome:ASM407v2:3:31089653:31092665:-1 gene:Csa_3G810550 transcript:KGN59331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKKKSRVPIHEEEEEQVETPTQSSTNLNSLYQILGVERTASQPEIKKAYYKLALRLHPDKNPGDEEAKEKFQQLQKVMSILGDEEKRAVYDQTGCIDDADLAGEVVQNLHEFFRTMYKKVTEADIEEFEANYRGSESEKKDLIDLFKKFKGNMNRLFCSMLCSDPKLDSHRFKDILDEAITAGELKSTKSYDKWARKISETKPPTSPLRKRVKSNKESETDLYAIISQRRNERKDRFDSMFSSLVSKYGGGDASEPTEEEFEAAQKKLENRKSSKKSKRK >KGN58767 pep chromosome:ASM407v2:3:27609181:27612751:1 gene:Csa_3G731780 transcript:KGN58767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPCCFAILNCCKGNSSTEEPAEGIAVTNNVRIFSYNSLRSATRNFHPSSRIGAGGYGVVYKGVLRDGTNVAIKSLSAESTQGTREFLTEINMISNIRHQNLVQLIGCCIEGTHRILVYEYLENNSLASTLLGTMSKHVDLDWPKRAKICLGTALGLAFLHEDAEPSVVHRDIKASNILLDRNFDPKIGDFGLAKLFPDNVTHVSTRVAGTVGYLAPEYALLGQLTKKADVYSFGVLMLEVVSGSSSSKTAFGEELSILVEWTWKLKQEGRLVELIDPELIDYPKAEVMRFITVALFCTQAAANQRPSMKQVVEMLSREVHLNEKLLTEPGIYKGHKISKLNGAGTSSEGTSSHGHRGKKVIKSATSSPQFNSSNSMTQMLPR >KGN57283 pep chromosome:ASM407v2:3:11953023:11962964:1 gene:Csa_3G176300 transcript:KGN57283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLIRKTPGKLTSGLVLKSSYWNCFRSRSIHAPASAPQKGAATGLYGFDHLKSPQGFRRFVDEAIERSGELVTFISSMPSSAEVIRAMDEISNSVCSVFDSAELCRQTHPDREFVEEANNAAMRMNEYFHFLNTNHTLYSAVKKAEHEAHLLTREAHMAAHYLRVDFERAGIHLSADKLDRVNQLNIEISQLCQEFKENILIDPGYVDIFPPLRMPNNLHHLAKPIYRSSESFGSRSSKKENGFRLMTDSDSLSSILQFASDDEVRKMAYVKGNSSPRANLGVLDKLIATRHSLAQILGYRSFAEFAVTPNLASSPAVVMSFLQELSKVVRSRADEEFNQIREFKLKKCINKFEDLEPWDEAYYTSMMKSTAYNLDSSVIASYFPLSQCIEGLKTLVKSLFGASFYNIPLAPGESWHPDVLKLSLQHPEEGELGFLYLDLYSRKGKYPGCAHFAIRGGRKVSETEYQLPVVALVCNFSSSNDRSNVRLNHSELETLFHEFGHALHSLLSRTEYQHFSGTRVVLDLAETPSNLFEYYASDYRVLKTFAKHYSTGEILPEKLVKSMKGAKMMFAATELQRQILYALIDQTLFGEKLTSERDTVSVVADLKRQYTSWKHVDGTHWQSQFCHLLTYGAGYYTYLYAKCFAATIWEKLCKEDPLSRETGNALRTKFLQHGGSKEAVDLLTDLVGDGIIRYSEGGVIPDITSLCKEMGLTKNL >KGN60254 pep chromosome:ASM407v2:3:38017399:38018334:1 gene:Csa_3G890100 transcript:KGN60254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFNLIQTKPQTCDHVYGLYLVIKHPAAESRVTRFLARAVLLALFIVSLPYFGSSVRGGSSIASNNAEFGNENDIGIFSSEINEKSNDYRIVYLEQSDDVEKTKLGQNEIKSPYRRRLFAYESDAKRAAALNELEDVLLEPPRSASRKSRRYLQRTRYLPDLMDDSLESYPRHIFIDAGVPEKEAGGSGTSWFDHNYPTRNKKFEMYKIETVSTDQLAESSSKEPQVGISDWLSNNVKAEDYVVMKAEAEAVEDMMKSKVVRLVDELFLECKPQKNGGRKVSGGGRRAYWQCLALYGQLRDEGVAVHQWWG >KGN55833 pep chromosome:ASM407v2:3:1799446:1801719:-1 gene:Csa_3G017270 transcript:KGN55833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIINFSNSRAYAKFPSSLFRHFLPIEAHFRNLHLGSNEESSSIASSIHPPRRIHLVSPNNVTPNLHVDTASDVVSLNKTIASFVRACDLESARNVFEKMSVRTTVTWNTMLSGYTKVAGKVKEAHELFDKIPEPDSVSYNIMLVCYLRSYGVKAALAFFNKMPVKDIASWNTLISGFAQNGQMQKAFDLFSVMPEKNGVSWSAMISGYVEHGDLEAAEELYKNVGMKSVVVETAMLTGYMKFGKVELAERIFQRMAVKNLVTWNSMIAGYVENCRAEDGLKVFKTMIESRVRPNPLSLSSVLLGCSNLSALPLGRQMHQLVSKSPLSKDTTACTSLISMYCKCGDLDSAWKLFLEMPRKDVITWNAMISGYAQHGAGRKALHLFDKMRNGTMKPDWITFVAVILACNHAGFVDLGVQYFKSMKKEFGIEAKPVHYTCVIDLLGRAGRLDEAVSLIKEMPFTPHAAIYGTLLGACRIHKNLDLAEFAARNLLNLDPTSATGYVQLANIYAATNKWDQVAKVRKMMKEHNVVKIPGYSWIEIKSVTHEFRSSDRLHPELTSIHKKLNELDGKMKLAGYVPDLEFALHDVEEEHKEKLLLWHSEKLAIAFGLMKTAPGTPIRVFKNLRVCGDCHRAIKFISAIEKREIIVRDTTRFHHFRNGFCSCGDYW >KGN56698 pep chromosome:ASM407v2:3:8289755:8292227:-1 gene:Csa_3G129550 transcript:KGN56698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSRDPTPPYPSAARISDSPCYPQYSASLKCLEVHSSEKSKCQEHFDVYKECKKKEREARLERNKTRSFFS >KGN58702 pep chromosome:ASM407v2:3:27282147:27287502:1 gene:Csa_3G730170 transcript:KGN58702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCILCVIQKWSRRVATMLPWLVIPLIGLWALSQLLPPAFRFEITSPRLACVFVLLVTLFWYEILMPQLSAWRLRRNARLRERKRFEAIELQKLRKTATKRCRNCLTPYKDQNPAGGRFMCSCCGHISKRPVLDLPIPPGFSNSGIIKELVGKSGKLLNQKVWPDNGWISGQDWLEGGTWVGKSVAGKSSYWRRNGCGGDEHCLAEKSYSGIVIFCCKLFTSIFLSIRWLWRKMFRVSSSREDNLSDSEHRGLLAKMGENGANFPESRVEKARRKAEEKRQARLERELLEEEERKQREEVARLVEERRKLRDEKKGVEKDRDRTSQLFREKDGKKEAERKRQERRKEKDKNSSKSNSDAEELEKKTGKETERKRDLDKKSETDRRENHKLGLEGVKGQSNVCHSVKNIPGNNFGRGYTGSRYLDRMRGTFLSSSKAFGGGSLFGKVYNAPASVVKDKSNGSMDHVNMSVSTRDISSERVVGKSALNGDDKNINHPVFTESQAVVAPKKSWQQLFTRSPSVPSSTSANVISRPVVKPSSDISNTQLSGQVIGSQLSGQVSGAQLTGQLSSTQSYDNPINFGLPSPFTISTYPKGPASSSIGFSPVIEPQFSHVGEGSHEFVPEEPELFEDPCYIPDVVSLLGPVSESLDDFRLDLGTGFVSEMERPRTLKTASSEINKPSPIESPLSREKHNCFNNFPSTPKALDLRSPPKDEMNANEKGTWQMWNSSPFGQDGLGLVGGPAGWIRPAESNRPNMDDFFHPPQKTFPPTFIKEDQVLSGTLPSQNVFLGNGQGVGPFNQVISCDHDPWLKKPFFPPLSRSENNFTVMPQDETVQNEMMYGSPNRSSTGHPFELPATSCWPKEWEAQGSGMGAGKPSVVKPPVGGLFPSPDVQSLWSFDMKTGN >KGN58863 pep chromosome:ASM407v2:3:28028865:28029464:-1 gene:Csa_3G734160 transcript:KGN58863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNFGGDENSKAVSHIDATPRHSFIFLFLFKHTPNFSKSNSHSPPPPLLLLATVHARALFVVSNFHYLLLKLYSINLYLLLFISLAMDSKQGTGNYEEECSTPTRWECRIPAVFNCPPPPKKKNATAGKKREPPKHGYFQPPDLEALFSIPPRREACA >KGN59647 pep chromosome:ASM407v2:3:33295408:33296632:1 gene:Csa_3G835840 transcript:KGN59647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSHQILAKQKLGFSASLREAFKIFFHCPNFISLVIVFSFPFFASLLAHHILLHPTFVQLLKLLSQENPFDPSQRYIIRCQLGDTRHCLSQRSSDNYNLKETLSHRFLISTLLSSVLIFFLDLLSTISTVSISASIYAGNSQMGFKEMLVEVRKLLAARLRGTMATSLYVLLIASLTLLGLVALSTNMFLMPKSSFIFGTIFVILLAKYIEWSAIWNMGIVISILDKNHGYIAIGVAAYLSRGSRKLGFSLMLVFFALKVAFALPCLYALWNEGSCGALGNVVSVSFKCVGDIVMWVVLMVYFYDCKREFLEKKIDLENNGKAIKANQQ >KGN55802 pep chromosome:ASM407v2:3:1515132:1526503:-1 gene:Csa_3G016960 transcript:KGN55802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVESVELPSRLGILPFRNKVLLPGAIIRIRCTSSSSVKLVEQELWQREEKGLIGILPVRDDADAPAIVPVLSQGVGSDSGDRSSRVQSGTSDSQRVDGKNHQEVIQWHSRGVAARALQLSRGVEKPSGRVTYTVVLEGLCRFTVQELSTRGTYYTARISPSEMTKAEMEQVEQDPDFISLSRQFKATAMELISVLEQKQKTGGRTKVLLETVPVHKLADIFVASFEMSFEEQLSMLDSVDLRVRLSKAMELVDRHLQSIRVAEKITQKVEGQLSKSQKEFLLRQQMRAIKEELGDDDDEDDLVALERKMQSAEMPANIWKHAQRELRSLKKMQPQQPGYNSLRVYLELLADLPWKKATEENELDLKAAKERLDSDHYGLVKVKQRIIEYLAVRKLKPDARGPVLCFVGPPGVGKTSLASSIAAALGRKFVRISLGGVKDEADIRGHRRTYIGSMPGRLIDGLKRVSVCNPVMLLDEIDKTGSDVRGDPASALLEVLDPEQNKKFSDHYLNVPFDLSKVVFVATANRMQPIPPPLLDRMEVIELPGYTPEEKLKIAMHHLIPRVLEQHGLSAEFLQIPEAMVKLVVQRYTREAGVRNLERNLAALARAAAVRVVERDQTVPLNKDVHQVSSPLLENRLSDGAEVDMEVIPIGADHEIPNQLRIASPLVVDEAMLEKVLGPPRFDDREAAERVISPGISVGLVWTAVGGEVQFVEATAMSGKGELHLTGQLGDVIKESAQIALTWVRARATDLKLASACESNLLEGRDIHIHFPAGAVPKDGPSAGVTLVTALVSLFGQKRVRADTAMTGEMTLRGLVLPVGGIKDKILAAHRYGIKRVILPERNLKDLVEVPSGVLASLEILLAKRMEDVLEQAFEGGCPWRLHSKL >KGN58018 pep chromosome:ASM407v2:3:20461280:20464242:-1 gene:Csa_3G434990 transcript:KGN58018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTGGDNHFPFLVDDIPHFTHYLPPQHLKEHSNPLHNHPAYSTVDQYFVDVDDVVAENIVAGKKAQKRARYFRLAGPRQQVFFEPQEVHACIVTCGGLCPGINTVIREIVCGLHHMYGVHDVVGIQGGYKGFYSKNTISLTPKSVNGIHKRGGTILGTSRGGHGTSKIVDSIQHRGINQVYIIGGDGTQKGVAAIYEEIRKRGLKVSVVGIPKTIDNDIPVIDKSFGFDTAIEEAQRAIDAAHVEAESNENGIGVVRLMGRNSGFIAMHATLASRDVDCCLIPESPFYLEGEGGLFEYIEHCLKEQGHMVMVIAEGAGHELISEDKNQIDASGNKLHEDAGSWLSQQIKDHFKEKGNISINLKYIDPTYMIRAVPSNASDNIYCTLLAHNAIHGAMAGYTGFTVGPVNGEHAYIPFQRITERQNKVVTTDRMWARVLSSTNQPTFLNPKQVNDQQTTSHN >KGN58149 pep chromosome:ASM407v2:3:22001784:22005713:-1 gene:Csa_3G560240 transcript:KGN58149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDADVSKQIQQMVRFIRQEAEEKANEISVSAEEEFNIEKLQLVEAEKKKIRQEYEKKEKQVEIRKKIEYSMQLNASRIKVLQAQDDVVNDMKEAASKELLSIGQNEHVYKNLLKDLIVQSLLRLKEPAVLLRCRKSDVYLVESVLGSAAVEYAEKEKVHEPEIIVDHVHLPPGPSHHHQHGPSCSGGVVLASRDGKIVCENTLDARLDVVFRKKLPEIRKSLFSQVAA >KGN55788 pep chromosome:ASM407v2:3:1359447:1362923:-1 gene:Csa_3G014360 transcript:KGN55788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELMLNWLLLIVPFVGFVLGFGVLKRLNNLYYALKLGKKWDELPPGDLSWPLIGSTLSFLKYFTFGPPDGFIRDFSRRYGKIDMYKTHIFGKPTLIVCKPEICRQVLTDETKFIPSYPTSITAVFGKKSLLQVPKEEHRKLRRLTMAPISGHAALEMYIDHIEHTVISGLEEWSSMKKPLELLTTIKQLTFKVIWNIFMGSTPIKSTSIREMETLNENIALAFLTLPLNFPGLPFHKALMVRTKIISNTNVTKCR >KGN58109 pep chromosome:ASM407v2:3:21424190:21429770:1 gene:Csa_3G516480 transcript:KGN58109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEGVASIALLPCGSVSGHFIQLPHSICYGLHGTELECERECSRGEDYRLIKLTITNYNNKQERTVVVECRGHDAARFHSIVHAHGWEEDVVSMVEKKHGKNEIMVSFECQMLKSEKAAEDHIRQFMPKLVGQDAVVNVGPMSIGGLDFEAEEQPGE >KGN58270 pep chromosome:ASM407v2:3:23376117:23376416:-1 gene:Csa_3G603570 transcript:KGN58270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSTLEHVSSSFVLLCSKNWKQACDVVFRVSVVYRGSGSGSGRDIATFTLVLLTYQTVSSLLIIHLISNFV >KGN57995 pep chromosome:ASM407v2:3:20063757:20067137:-1 gene:Csa_3G423830 transcript:KGN57995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEFLVAVLSMLLVAALVPLFLWRRYQDSRSGHAHEDEDQVPQRETVVRATGGGRRMRRRPAMAASSSNAEASLEDNADGSDDEIVAEEYHGGRVLKKKEKKRQEREAQRQAEQASRDSRLTKQDRYAEIRRKKDEEREEQERLLEEEAKARKAKEEEAAALEFEKWKGAFSVDAEGTTEAEVEGGNQDLLTAFVEYIKSHKCVPLEDLAAEFKLRTQECINRITSLESMGRLSGVMDDRGKYIYISKEEMQAVADFIKRQGRVSISHLASKSNQFIDLEPKLQLVEEINVEEIAVS >KGN60263 pep chromosome:ASM407v2:3:38082565:38086895:-1 gene:Csa_3G892170 transcript:KGN60263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGVTKTTPVSDLRFSSVVPAKATGEDEVKELTAMDLAMKLHYIRGVYFFRASEEVRNLTVYDLKKPLFLLLEKYYVVSGRIRRRIVGDDGDRAFIKCNDSGVRIVEADCEKTIEEWLSIEDGDKILNRDGCLVHSQAIGPDLGFSPLAFIQLTRFKCGGLSVGLSWTHVLGDIFSASTFINVWGHIMNNRPLHQLRPAPATHIPTSRSSRLISTPPLKRLDPTGDLWIGSTDCKMATRSFRITSAQLDRITSVVGRNRSMNFSTFESITAVFWNSLSKIRLEDSDSRTISIYSTKCPNRESEIPSNGMEMSGVEADFPVAGAAEGELAEVIVKKRIDEGGEVEELVETKMDDSDFIAYGARLTFVDLEEADVYGFELEGQKAVHVNYEIGGVGENGVVMVLPGPPRSDGRDGGGRTVTVILPEKEVPDLIDEMEKQWGIV >KGN59708 pep chromosome:ASM407v2:3:33736232:33740158:1 gene:Csa_3G840350 transcript:KGN59708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPLVDGMSSLLKTQNPASTSRNLVSSRQPSPKQSRNEATSSTSYAMSQNCAKKPLRHPNGSSVSNKKHPTNLNVQNEGLTDVTKHTHVSNKGKSELVNLTDSSNKSTESSFQKKVSSEGGGAEPLVKHIIDDSKDCCSSGSDESGNQVLNASKGASTQIIDQRKSQPAEISCPSPQNSLYSTTLYAEAKQSFSNTEVSECASSIEKSSESVDITNSLDLDKSRKTSVYRGSTGSDISDESNSSSLSNAMYKPHKANDTRWEAMQVVRSHDGMLGLNHFRLLRRLGCGDIGSVYLSELTGTKTYFAMKVMDKAALASRKKLLRAQTEREILQSLDHPFLPTLYTHFETEKFSCLVMEFCPGGDLHALRQRQPGKFFPEHAARFYVAEVLLALEYLHMLGIIYRDLKPENVLVRDDGHIMLSDFDLSLRCAVSPTLVKSSNSGLEAKSSGYCVQPACIEPTCIMQPDCIQPACFTPRFLSRHRKEKKSKPKSEVYHQVSPLPELIAEPTSARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGRTPFKGAGNRATLFNVVGQPLRFPESPSVSFAARDLIRGLLVKEPQHRLAYRRGATEIKQHPFFQSVNWALIRCANPPEVPRVDVIDFSSRMETPHTPAGEKMPGVDVKPSGNYLEIDFF >KGN55997 pep chromosome:ASM407v2:3:3144357:3147580:1 gene:Csa_3G045110 transcript:KGN55997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDMDLFPQGGREVVRVDPNFTATGTYTNPSLLSFSISFYIVLIKFQKYRKLIGAKYFNKGYAANAGSLNASYETARDNEGHGTHTLSTAGGNFISGANVFGNGNGTAKGGSPKALVAAYKVCWPQVDSGGGCYDADILAAMEAAISDGVDVLSLSLGGGSKDFSDDVTAIGAFHAVQQGIVVVCSAGNSGPAPGTVENVAPWIITVGASTINRDFTSFVSLGNKKHIKGASLSDKILPEQKFYPLIDAVDAKANKVSSDIAQLCLVGSLDPEKVKGKIIICLRGENARADKGYAAVKAGAVGMILANAEENGDEIIADAHLLPVSHVSYTDGQSIYQYINSTKTPMAYMTHVRTELGIKPAPVMASFSSRGPNTVEETILKPDITAPGVNILAAYSEDASPSGSFFDKRRIPFNIVSGTSMSCPHISGIVGLLKTLYPNWSPAAIKSAIMTTAESRANDMHPIQNGGNLKANSFAYGAGHVQPNRAMNPGLVYDLTTKDYMNFLCAQGYNKSQISKFSAMSFVCSKSFKLTDFNYPSISIPDMKSGVVTIKRRVKNVGKPSTYVARVKVPHGVSVSVEPRTLKFTRIDEEKSFKVVIGSVANNKHKGYVFGSLIWEDGKHHVRSPIVVNLG >KGN57494 pep chromosome:ASM407v2:3:13694124:13694858:1 gene:Csa_3G199560 transcript:KGN57494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKALRVYGEVLRLVRQLPKDTRPYYAKYVRENFVNYREVDAQDAKSLEELFHRAYNHSLWVLNKYSVDGSAADKLKEICYG >KGN59730 pep chromosome:ASM407v2:3:33876568:33881247:1 gene:Csa_3G842030 transcript:KGN59730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHIVGYPRMGPKRELKFALESFWDGKSSAEDLKKVAADLRSSIWKQMADTGIKYIPSNTFSYYDQMLDATTTLGAVPPRYGWNGGEIGFDTYFSMARGNASVPAMEMTKWFDTNYHFIVPELGPEVKFSYASHKAVEEYKEAKALGVETVPVLIGPVSYLLLSKPAKGVDKSFSLLSLLDKILPIYKEVVSDLKAAGASWIQFDEPTLVKDLDSDKLKAFSDAYAQLESTLSGLNVLVETYFADIPAEAYKTLTSLKGVTAYGFDLVRGTKTLDLIKGDFPKGKFLFAGVVDGRNIWANDLAASVSVLEELAGIVGKDHLVVSTSCSLLHTAVDLVNETKLDNEIKSWLAFAAQKIIEVNALAKALAGNKDGAFFASNAGAHASRKASPRVTNEAVQKAAAALKGSDHRRATNVSARLDAQQKKLNLPILPTTTIGSFPQTVELRRVRREYKANKISEDEYVKAIKEEINKVVKLQEELDIDVLVHGEPERNDMVEYFGEQLSGFAFTVNGWVQSYGSRCVKPPIIYGDVSRPKPMTVFWSTTAQSMTSRPMKGMLTGPVTILNWSFVRVDQPRFETCYQIALAIKDEVEDLEKAGINVIQIDEAALREGLPLRKSEQAFYLDWAVHSFRITNVGVQDTTQIHTHMCYSNFNDIIQSIIDMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPSTEEIADRINKMLAVLETNILWVNPDCGLKTRKYAEVNPALKNMVEAAKLLRKELGSAK >KGN55665 pep chromosome:ASM407v2:3:508189:508653:1 gene:Csa_3G002835 transcript:KGN55665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAPSTLNIRFILSFTEKIQSLQDHNSKHKEMNTINRQSLFVKNVNPNNSKREINQRENEVNPRKDGFPSRKIITASVFAFKIVHSGLNIHRNLDLLKTISKNESVLISISLGIKNTESVLFLEGIEGVNGFDKVRLKSARDITFFQLLDHMKP >KGN55797 pep chromosome:ASM407v2:3:1480162:1483919:1 gene:Csa_3G016420 transcript:KGN55797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLPASLQACLGMGKVAFLAILVSGGIVMQILACALYNNWWPMLSVIMYVLLPMPLLFFAGSDSSSLYTDSNDSWINATKFLTGASTVGSIAIPVILKHAGIIGWGAMAMDLSSFVVFVVAILCFMGMSEDDDYSMF >KGN57979 pep chromosome:ASM407v2:3:19879460:19879825:1 gene:Csa_3G417710 transcript:KGN57979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVGSNAQNEDKGENVSTEGEGLGIVACEYILIESFMQLKEGEIHGSLDESSNQKERDVKKSDNFTPMTRKRRELVLVKDHGVGKVMADANSSSSLTNLGRTIVGPLLLWTITLLVVLLIR >KGN60116 pep chromosome:ASM407v2:3:36945708:36953442:1 gene:Csa_3G878850 transcript:KGN60116 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium channel AKT1 MSKKWKKKGLLGKSMCGAEQEREIDQITRNEGSHYSLDGSHYSLTGGILPPLGANGQSNRRLKLRRRTISPFDYNYRVWETFLIFLVLYTAWVCPFEFGFIPSPKGLLAAIDNIVNGFFAIDIVLTFFVAYLDKTTYLLVDDRKLIALRYAKSWLVLDVVSIIPSEVARAILPPSLQAYGYFNMLRLWRLRRVSSMFARLEKDKNFNYFMVRCAKLIFVCLFTAHFAACCFYLIAANYPDPKETWIALSIDDFYTASLGRRYVTAIYWSITTITTIGYGDLHPVNEQEITFTVFYLFFILGLQAYLIGNMTNLIVHGTSRTRKFRDTIQASSNFAHRNQLPVRLQEQMLAHLCLKFRTDLEGLQQQETVDALPKAIRSSIALHLFYSLVDRTYLFNGVSTDLIFQLVTEMKAEYFPPKEDIILQNEAPTDFYIIVTGAVDLITQRRGMEEIVGEAKKGDVVGEIGVLSYKPQLFTVRTSRLSQLLRLNRTTFFNLVQASVGDGAIIMNNLLKHLKEIKDPMMEEILQEAEQTLSRAKIEMPLNLYHAADSGDDLLLHQLLKRGLNPNEVDTRDGKTALHIAAAKGKEHCLALLLEYGADPNQRDFEGNVPVWQAIQGKHESIVKVLMDNGANISSGDVAQFACTAAEKNSLDMLKSIIHCGGDITLPRSNGTTALHMAVCEGNSETVKFLLDHGADIDKPDVNGWTPRGLADHQGHEKIKELFSVKQAGQTSAAVRIPQNPESKYVQKFPSESSMPPRISENSCPTPIRESFFSDRPPRRRSNNFQNSLVGFMTTNTGERDILQRASLSYSNSQSMRMTYQQRVTISCPETGDISGKLVLLPKSNQELREIGSRKYGISIAKVLTKEGAEVEDTYLIRDGDHLVLVGDAGTSQQKL >KGN55846 pep chromosome:ASM407v2:3:1895164:1897140:-1 gene:Csa_3G019370 transcript:KGN55846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPSKHHNLGLTLGLTLDSPPLHLNSWTNDASSDRTSETGRSLLRGIDVNRMPPSTADCEEEAAMSSPNSTVSSVSGKRSEREMNGEDLDGDRACSRGISDEEDGETSRKKLRLTKDQSAVLEESFKEHNTLNPKQKLALAKQLGLRPRQVEVWFQNRRARTKLKQTEVDCEFLKRCCENLTEENRRLQKEVQELRALKLSPQFYMHMTPPTTLTMCPSCERVAVPPSSTSAPQPTVTRMGQAQSQPHHARPIHHINPWASPIPTRPFNALHPRS >KGN58470 pep chromosome:ASM407v2:3:25499320:25500810:-1 gene:Csa_3G646570 transcript:KGN58470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSSSSSSILLQNLSDENYAEELQLQEVITSSLLSSPINPSPTPHSSTSQLLCSICTDAKSHSQMFTNRVCTHTFCTACISNHIAAKLEVAMAVKCPEPNCGTVLEPEMCGSFVPKRVLERWADALFEAMILKWKRLNCPFKDCGAAIIDEGGEEGVTAVECGSCWRLFCAECRVGWHGEMECGEFQRLRKEAGVSGDKDDAMTVKLAENKKWRRCPHCKIYVEKTVGCVHIVCRCGSDFCYSCGAKWGGGHACKASAYERTVYRR >KGN55952 pep chromosome:ASM407v2:3:2782659:2787981:1 gene:Csa_3G038740 transcript:KGN55952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSRSPNGSSDDILDTSPLMGTPNRSLDDTHSGRRFVQRQSLRQAARFLRQASNRRTMREPSMLVRETAAEQLEERQSDWAYSKPVVILDIVWNFAFVVVAATILVLSRNESPSMPLRLWIVGYAFQCILHMVCVCVEYRRRRQLRYSAFSSMEEGNSARSISGLGSRANSSHYVSLAQLDENDSSVAKHLESANTMFSFIWWIIGFYWVSAGGQSLAQASPLLYWLCIIFLGFDVFFVVFCVALACIIGIAVCCCLPCIIALLYAVADQEGATKEDVEQLSKFKFRKVENTEKFSTDVQEPLGGVMSECCTDSPIERPLLQEDAECCICLSAYEDGVELRELPCGHHFHCACVDKWLYINATCPLCKYNILKNSNLAQEEV >KGN58075 pep chromosome:ASM407v2:3:21099519:21100058:1 gene:Csa_3G484330 transcript:KGN58075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELEELEKRMEELKAMSEKQEATANYYDTKLHTIIAAYFIWERAFCFAISNKTNSPNYFSSLICHANWRLILALSSLYSLVYILLYLDAALMLYRSELKQNLILNKHAQLYHQISKIKQEFNSIDSSSMEAEEDLILLINSSSTFRRSEERIFYMSTIFCALVCVASLELYACKSILCS >KGN56511 pep chromosome:ASM407v2:3:7210974:7212309:1 gene:Csa_3G122350 transcript:KGN56511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTREVPVGARFSQYTYWPFGIHSDAAIICSIPFVRIRRQLLLSPNLRSTVAVLIGPSTLPFALVAGFAGSQSNPLVSGLNFILFSGPTVTTGVQ >KGN57519 pep chromosome:ASM407v2:3:13903622:13905991:1 gene:Csa_3G203260 transcript:KGN57519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVKPKRRNAAENGETTEDLVFATFSGNWEDLAPIVRYAFQTGRPETLLHQLKSIVKKKEVEIEELCKTHYEEFICAVDELRGVLIDAEELKGKLSTDNFKLQEVGSALLVRLEELLGSYSIKKNVTEAIKMSETCVQMLELCAKCNDHISEGQFYPALKTIDLIEKNYLQKISVKALRIVIETRIPMIKSHIEKKVSNEFNEWLVHIRSCAKVIGQTAIGHAATGRQRDEEMLERQRKAEEQSISGLGDFAYTLDVEDIDEDSVLKFDLAPLYRAFHIHTSLGIQEQFREYYYRNRMLQLKADLQISSTQPFVESYQTLFSQIAGYFIVEDRVMRTAKGLLSAERVDAMLETAVSKLTSLLEEQFSLMDSATHLLLVKDYVTLLASALRLYGYEIAPVLEAISKNQNKYHELLLEECRQQIVDVLANDLHEPMVVKKDSDYENNVISFNLQPSDIMPAFPYIATFSSAVPDVCRIVRSFIKGSVDYLSYSAHSNPFDIVKKYLDKLLTNVLNEAILNTVHSSSVDVSQAMQIAANITVLERACDFLLGYAAQLSGTPGFSVERPQANLASSIVLKTSRDAAYLALLNLVNTKIDEFMALTENIGWTSEEVSANANDYINEVLIYLDTIMSTVQQILPIEALYKVGNGVFEHISDSIFAAFLSDSVKRFNANAVMAIDNDLKVLETFADERFHSIGLSEVYEGGSFRNSLVEARQLINLLLSNQPENFTNPEIREKNYNMLDYKKVASICEKFKDTPDGIFGSLSSRNAKQSSRKKSMDMLKKRLKDFT >KGN57241 pep chromosome:ASM407v2:3:11588884:11592801:1 gene:Csa_3G172960 transcript:KGN57241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTKIAPGVGANLLGQHAPERNQDATAYVGNLDPQVSEELLWELFVQAGPVVNVYVPKDRVTNLHQGYGFIEFRSEEDADYAIKVLNMIKLYGKPIRVNKASQDKKSLDVGANLFIGNLDPDVDEKLLYDTFSAFGVIVTNPKIMRDPDTGNSRGFGFISYDSFEASDAAIEAMNGQYLCNRQITVSYAYKKDTKGERHGTPAERVLAASNPQKSRPHTLFASGPPTLPKVAQPNGAIGAPVPPRPFANGAITPNPIPAIRPSPPQGVAFPPMPMAGQPPAWQGQPQQPGQMMPGSMIPPPVQQFRPPPPNMPLPPPQAQAHSMPIPPPMGMGGAQPQLWRPPPPPMQQQQPGRPPMQMSSMPPPPPPNHIPPPLPSSG >KGN57822 pep chromosome:ASM407v2:3:17794086:17794415:-1 gene:Csa_3G331320 transcript:KGN57822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQLPTHNLNLVFWNTRLKGRGRAAAGRQRVTWRLDFTNGDQRRRSRTKVWTNGKVRADATPVTPTDDDDDVQLQWKTETIGGRLQI >KGN60462 pep chromosome:ASM407v2:3:39593461:39595587:-1 gene:Csa_3G912940 transcript:KGN60462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAFSSFFDSQSGSRTRWSHESLKNFRQISPAVQSHLQRVYLTLGCALVASAAGAYLHILWNIGGFLTTLATIGCITWLMATPPYEEKKRASILLGAALLEGASIGPLISLAIDFDPSVLVSAFVGTAVAFCCFSGAALLARRREFLYLGGLLSSGVSMLLWLHFASSLFGGSTALFKFELYFGLLVFVGYMVVDTQEIIEMAHMGDMDYVKHALTLFTDFIAVFVRILIIMLKNSAEKNERERKKKRRD >KGN60481 pep chromosome:ASM407v2:3:39712260:39714226:-1 gene:Csa_3G915100 transcript:KGN60481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEESKKIESPPPSDPPQQLPKDVTEEKSVIPPPPEHKTDDSKALVLVEKVPEVADPKTTEGSVNRDAVLAKVATEKRLSLVKAWEESEKSKAENKAHKKLSSVAAWENSQKASVEADLKKIEESLEKKKAKYIEQMKNKIALLHKSAEEKRAIIEAKRGEDLLKAEETAAKYRATGTAPKKLLGCFSS >KGN59219 pep chromosome:ASM407v2:3:30314871:30315035:-1 gene:Csa_3G782665 transcript:KGN59219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEANLPAGSTSQLVLIASTPVKFTGGSGNGKLEGTAALELRRKQFWGGVGMDY >KGN59162 pep chromosome:ASM407v2:3:29960555:29965774:1 gene:Csa_3G778190 transcript:KGN59162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAKIVLRKIYDYVRYDLKEIAFPSSLPDPPHIKKRGKLSWNERFLVLKEASRLYAASWVRDIGPELRPYDYKVKESENKPNSPKRAPKEKEPSTLEDLAVAARGGMETLKPALQRVYMTRASAYRDALKNFIDGYQEGIQQVMEKKNASDSQKGSDKI >KGN57720 pep chromosome:ASM407v2:3:16120430:16123720:-1 gene:Csa_3G259700 transcript:KGN57720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQPPFISQRRDEPEFSLREWAAKAKITRDPATSRRFSGSYIRSFREDARSFRSNITTITSTASSPGYPFGDEIDPATYSFTNAIKALQARSLNSWECFSLDGFTLNSKWNEAEKYICNPLSGEVPMECLSAKSLSGRSFRNFTNRIAISAPLVYSNHSQQTQTKPCSIAQVVQKLPIPEKQLDANALTRDVGTQSTPTNVGSKSPSPASTPPIVDRALKRCELEEDSPNSNSKITPVTEVIKREMKEERAKEEKVHKEIIAEEKYKQGGCLSWMKKKQKEEQRSRRKRFLSHLKLKGC >KGN56869 pep chromosome:ASM407v2:3:9231884:9234244:1 gene:Csa_3G135660 transcript:KGN56869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPLEDSSCWDFLDCSFFPDADNLINPSLHPFWPPNHSRRDSLADTDVSAGHLESEENDCSRKRARDGSCAGASSKACRERLRREKLNDRFLDLSIALEPSRHTKTNKPAILDDAIRVLNQLKNEAEELKQTNEKLREEVESLKAEKNDLRKEKIILKEDKEKMEQQLKSIAIPSPGLIPGHPAAYHAASGKMAVFPGYGLIPMWQYLPPSIRDTSQDHELRPPAA >KGN56111 pep chromosome:ASM407v2:3:3980906:3981173:-1 gene:Csa_3G074990 transcript:KGN56111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVEKDLGPSHERIFVCSVKIATCYGTFYIVGDEKSRVKDAENSAASLMIRALQERKHL >KGN55963 pep chromosome:ASM407v2:3:2894657:2907891:-1 gene:Csa_3G039340 transcript:KGN55963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVEDFRPGQKVMIHMEDEQDGELPIPSGLLTGINFSVSNQQDIENIAVITVDAANEVSDPKLGLPNPSYQCTTCGASSLKFCEGHFGVIKFPYTIIHPYFLSEVAQVLNKVCPGCKSVRQELWGKVEDPTSDYNRPKGCRYCFGSLKDWYPPMRFKLSTTDMFKKSMIMVEVKENMSKKYQKRVAKGGLPSDYWDFIPKDEQQEESYCRPNRKILTHAQVHYLLKDIDPKFLKKFVPAIDSLFLNSFPVTPNSHRVTEMAHSFSNGQRLIFDERTRAYKKVVDFRGTANELGSRVLDCLKISKLSPEKLQNKDLVYQQKKIKDTATSSSGLRWIKDVVLGKRSDHCFRMVVVGDPNIELSEIGIPCHVAERLQISEHLSSWNMKKLSTSCYLHLVEKGEIYVRREGRLVRVRNVLELNMGDTIYRPLADGDIVLVNRPPSIHQHSLIALSVKLLPVSAVLSLNPLCCSPFRGDFDGDCLHGYVPQSLEARVEVRELVSLDKQLTNGQSGRNLLSLSHDSLTAAHLILEDGVSLNLFQMQQLQMLTLHQLLPPAIVKSPLLRNCAWTGKQLFSILLPPDFDYSSPSHNVFIEKGELISSEGSYWLRDSGRNLFQALIEHCEGKTLDYLRDAQGVLCEWLSTRGLSVSLSDLYLSVDSYSHENMMDDIFCGLQEAEETCNLKQLMVDSHKEILIGNDEDNQHLLSIAVERLIYEKQKSAALNQASVDAFKKVFRDIQNLVYKYSGKDNSLLTMFKAGSKGNLMKLVQHSMCLGLQHSLVTLSFSLPHKLSCAAWNSQKMPRYIQKDGLPDRTQSFIPYAVVENSFLSGLNPFECFAHSVTNRDSSFSDNAEVPGTLTRKLTFLMRDIYTAYDGTVRNAYGNQLVQFCYDIDRPTSESESENNNRDRGIGGHPVGSLAACAISEAAYSALDQPISLLEASPLLNLKRVLECGSKRNSTKQTFSLFLSEKLSKRSYGFEYGALGVKNHLERVMFKDIVSSVMIIFSPLPSRKKHFSPWVCHFHVCKEILKKRRLKMNSVIHSLNMRCDSMRQEGRMNLPSLQIITQDCPLADSLTEDGDTVCLTVTIAENTKNSFLQLDFIQDLLIHFLLGTVIRGFTEIDRVDITWNDRPKVPKPRCSHGELYLRVTMSGEGNSRFWATLMNNCLPIMDLIDWTRSHPDNTHSLCLAYGIDSGWKYFLNSLESATLDVGKTIRLEHLLLVSNSLSATGEFVGLNVKGLTHQREHALVKTPFMQACFSSPGACMIKAAKAGIKDNLSGSLDALAWGRMPSLGTGGQFDILYSGKGHELNKPVDVYNLLGGQSTCEKQNTKIESLDKNTISEKYSAQLMLKNGGSTIKGLKRLDSVSKSILRKFLTLNDIQKLSFALRTILHKYSLNERLNEVDKSTLMMALYFHPHRDEKIGVGAQDIKVGSHSKYQNTRCFVLIRSDGTTEDFSYHKCVLGALEIIAPHRVKGYQSKWMQEKFE >KGN58608 pep chromosome:ASM407v2:3:26544538:26549533:-1 gene:Csa_3G698490 transcript:KGN58608 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MPDAALHYTLYLLLFFLSSLLLFFFLHKPSKSASANLTLPPSPPPLPVIGHLHLLLPATHKSFFNISSKYGPLLHIRLGALQYVLVSSASLAAEIFKTHDLTFSSRPDFAFSEEYPYGKVGFLGAPYGDYWRYMKKLTMMEVLAAPQLARSRFVRNEEILRMLQKLLLCSKQKQSVDLGAELIKLTNNSICRMMMSTRCSEENNEAEKIRILVNDTIEIATKMAFGDLFTRGPLKRLPFWLFGNKALQINVRFDLLLENILQQHEQRAKIHGLEREDRDLMDILLKAYLDEKAEFKMTRNHIKAFLLDLFIAGTGTSAEVMQWAMAELMNHPDVFQKVRREIESVAGTRLVEETDVTNLPYTQAVVKECLRLYPAVPVARRACRETCKVNGYDIPKDIMVAVDLFAIMRDPNLWENPDEFRPERFYNENSSKEEGTKHIQYEIKGQSFSFVPFGGGRRGCPGSLLAFNTINRTVAALVQCFDWKVGKDGDEEKVNMEIGTGISLPMAHPLICVPVSHSTPFVAQ >KGN60259 pep chromosome:ASM407v2:3:38054463:38063362:1 gene:Csa_3G891640 transcript:KGN60259 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromosome-associated kinesin KIF4A MENLDGKSSDSSQCVRVAVNIRPLITPELMVGCTDCITVVPGEPQVQIGSHIFTYDNVYGSAGSPSYGLYDDCVAPLVEALFQGYNATVLAYGQTGSGKTYTMGTNYSGEGTNDGVIPKVMEKIFKKVEAMKESTEFLIRVSFIEIFKEEVFDLLDASTCVNTKGEGTKPFAPPRVPIQIRETVNGGITLVGVTEAEVRTTEEMTSYLSRGSLARATGSTNMNSQSSRSHAIFTITMEQKKKLGQGTSHDDTCDDILCAKLHLVDLAGSERAKRTGADGVRFKEGVHINKGLLALGNVISALGDEKKRREGCHVPYRDSKLTRLLQDSLGGNSRTVMIACVSPADSNAEETLNTLKYANRARNIQNKAVINRDPVGAQIQKMRSQIEQLQAELLFYRGDAGLPYEELQILKHKISLLEASNGELLRELQERRVTCDHLSQRAIDAQVEKDKFAMIIESVRNGKSLDEIESNYDKDCKLIKSYVSKIQELEGEVLRLQSFKSSKHSQYADLAESDDDRPQSGNVLFPCSNEYSSEYEAKAVDISDGIEDHEKELEHSTMQDRLDRELKELDKKLEQKEAEMKRFAGTDTSVIKQHYEKKVHELEQEKRALQKEIEELRCNLSNISSTSDDGAQKLKQDYLQKLNFLETQVSELKKKQDAQAQLLRQKQKSDEAAKRLQDEIHRIKTQKVQLQHKIKQESEQFRSWKASREKEVLQLKKEGRRNEYEMHKLLALNQRQKMVLQRKTEEAAAATKRLKELLESRKAARETSSGGSNGPGIQALMQNIEHELEVTVRVHEVRSEYERQMEERSKMAKELAKLKEEELNRGSNLSDCTRTMSPGARNSRIFALENMLATSSSSLVSMASHLSEAEERERILGGRGRWHQVRSLADAKNIMNFLMNLASSSRCLLWDKEFSSREKDSEIRELKQKIVNLSGMLKKSEAQKAELIHENSALKRYSQGHNYDLRKQEHRNSVVIPADMDTSESDYANYSSDGDDDNYDWERSMKRRLNRKKTSKTKGRVSMVVPDGTDSTEFNLESSGDGILRENESTATTVVCCTCSKFSSCKTSKCQCRANGGACGSSCGCIPSKCSNRGSKSDRDASMQLDLFKDVRNGTENDETDEENQDLVSHGARLLQNALAERPSDAPPTAEDGGAKRKPLSDIGNTLVKSKANKANQRKKWRKSTIQLIPTPQQQASSEPEKHEATEKTENEPNEVVNIPLKLPRAMRSAALNGGGNNLLRERNADHPEDSIGGNKGHELIVPKRVDEKENCNR >KGN56271 pep chromosome:ASM407v2:3:5533704:5541731:1 gene:Csa_3G110680 transcript:KGN56271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSSLYMIVLLLTFRSLLELPWLTSQGRRMRMKELEEEEEKEKWVPLDNGEIKFGSAGLGLAAAIWLLRINKSKSTIDHHAMPTYSCDPRNWVASQNQTILLKLHWRDFSAGRNFLLLNEECEDLHQRMRNGLLRKPTVVELYEKAKSLHEDITKHVV >KGN58729 pep chromosome:ASM407v2:3:27412741:27416163:1 gene:Csa_3G730930 transcript:KGN58729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGSPSWLNTGDNSWQITASTLVGLQSMPGLVILYASIVKKKWAVNSAFMALYAFAAVLICWVVVGYRMAFGDQLIPLWGKGIPALSQSYLIGQGNIPESGVNDGRGTPRIQPNVPMASLVYFQFTFAAITVILLGGSVLARMNIKAWMAFVPLWVIFSYTVGAYSVWGGGFLFQWGVIDYSGGYVIHLSSGIAGLTAAYWVGPRIKSDRERFPPNNVLLMLAGAGLLWMGWSGFNGGAPHSANVVASIAVLNTNVSAATSLLVWTILDVFYFGKPSVIGAIQGMMTGLACVTPGAGVVQTWAAIIMGILAGSIPWLSMMVLHKKLSFLQKADDTLGVFHTHAVAGLMGGLLTGLLAEPTLCDLYLPIIGTRGAFYGGSGGVQFLKQLAGSTFIIGWNIVSTTVILLFIRLFMPLRMPDEELMIGDDAVHGEEAYALWGDGEKFDPRRHGNAAANMEEGAGSPYINGARGVIIEL >KGN57234 pep chromosome:ASM407v2:3:11530178:11531962:1 gene:Csa_3G172390 transcript:KGN57234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHGNFLLVSQSPTSHLNPTLHFASTLLSLGSKVTLLLTNHALKNISEDQLPSGLSLSTFSDGFDNGFTYSDLQLWFVEFERLGRAALVNLLSSSSKQGLLPITCIVNTLLIPWVAQVAREFHVSTAILWTQSVAVFDVYYYYFNGYSGVIRNGYKEDDSNSLSFNISLPGLPLMNVLDLPSFMVSDDHHGLIIKSFEEKIQILKEEDNVPILVNSFDALEHDALSAIGTFNLIPIGPSVLLPLGCEKQRNISYFQDGQQAQEDYIKWLNSKPDSSVVYIAFGSFSKLSKEQTKEMVGALLECSYPWCSQVEVLSHRAVGCFVTHCGWNSTIEFL >KGN58240 pep chromosome:ASM407v2:3:23001411:23001719:-1 gene:Csa_3G598370 transcript:KGN58240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISETQSSSSLIPNFLYSSSSILHSTLPSQSPSQSFSAPMNPFPIPSPTDPTKKLEMYSPAFYAACAFGGSLSCGLTHTALTPLDLVKCNMQVGIWLSYKH >KGN59258 pep chromosome:ASM407v2:3:30601153:30606856:-1 gene:Csa_3G791490 transcript:KGN59258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVVAPSSLPSASHDIGGGAPKTGKSILMRRKTPSELRAEQLKRSNDLNLLDESPSTAFASNNALGNGFKKPGLPRNPRYIDTRMDEVFQVKKSRLRILSGKDNPKENSPMEQTSSFMNISLLSNLNTSQCKGNSVGPADVALDKTAKPSQTVESSSQSVFRSVTELSSGGDKLIGLTSIDMGKALKGLFARETTSVPSLPSDSSKRFNNASSTYPSDLCGENCILGQKAPLDFTLKTSMRVVSSSSLSWIHKIIASASMPQFSIQDGSQDQVRNCSGDLPPASQASGSLVLHSWVYPQSTLPSSLVSVLNSFGTVEAEFLSRRQQAWEDSFQSLYYMLRNNICRVFYVCTSQFVVMFTSGDASGGNKHMCNAYISQSTRGLRSILSENGVCFSMPLCRSKVEQVNTEVLVELSEIEKYNLGQTRRDRSFSDVDKSCQSLLFFSENKDVHRLYDILLNYRSFLTSLAVMDVPVLLSPVLFQNAALSSPQVKFKEMRSANCIAAVPKGCTSKDGDSASPSSVGVSYSLEISDAYIPPWAVSSVCAVIAMGSKGKSFEASFTTDAISTGLNVALGSVDADESNSPAKAIEGLKPINDVFGIPEVIASLSLRAGFLKSLKYSDGSFTASLSPA >KGN56565 pep chromosome:ASM407v2:3:7489568:7492329:-1 gene:Csa_3G124840 transcript:KGN56565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPVESHRPELRKDSVTNRWVIFSPARAKRPSDFKSKSPAPSSTDSPQTCPFCIGQEHQCAPEIFRFPPQNPDWKVRVIQNLYPALSRDKDLDSSTSLSSDSLLWGCLLDGYGFHDVIIESPVHSVHLSDLTPEDVAQVLLAYKKRILQLASDDNIKYVQVFKNHGASAGASMTHSHSQIVGLPVIPPSVTTRLDSMKQYFNQTGKCSLCHVPTKDLLVDESVHFISVVPYAASFPFELWIVPRDHVSHFHELDHEKAVDLGGLLKVTLVKMSLQLNKPPFNFMIHTSPLQASDSDLAYSHWFLQIVPQLSGVGGFELGTGCYINPVFPEDAAKVMREVNSSI >KGN59613 pep chromosome:ASM407v2:3:33074486:33080568:-1 gene:Csa_3G829030 transcript:KGN59613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLTVKTLKGSHFQIEVQPTDTVLGVKKNIENVQGKDSYPCSQQLLIHNGKVLKDETTLTENKITEDGFLVVMLSKSKAPGSTGSSSTQTTTIVPTTTPTPNSTSIPEAPAQPAASRNVAISDVPTANAQIDTYGQAASNLVSGNNLEQTIQEIMDMGGGSWDRETVTRALRAAYNNPERAVDYLYSGIPETAEVAAPVARPPTGQPIDAGGATAPPVSGGPNSSPLNMFPQESLAAAAGGGGGSLGSLEFLRNNPQFQALRSMVQANPQILQAMLQELGKQNPQLLRLIQDHQAEFLQLINEPLEGFEGDLFDQPDQDMPHAINVTPAEQQAIERLEAMGFDRDQVIEAFLACDRNEELAANYLLEHGGEFED >KGN59969 pep chromosome:ASM407v2:3:35889915:35890515:1 gene:Csa_3G857590 transcript:KGN59969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGIKEAKKEEGCAKTLSFKPKGSSGGNDWDDGVYCDIKMLEIQFGGRCIDAIRFQYEDKYGNSITPQKHGGNEGKRIIQIGLNCPDEYLISVHGYHGNIYDQFGNPTHVIRSLTFETNKQSLGPYGIEEGIKFSFPTTGLIKIVGFHGRSGWFLDAIGFHFLPISISK >KGN56930 pep chromosome:ASM407v2:3:9585588:9586481:1 gene:Csa_3G144200 transcript:KGN56930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRMSYTSLFSFTLIRKTLPSYYPCSSNFAINPFLFSHSQFLPFNEYPASLSLSLLSGDSPEFPEIRPVPPPSFPLEIPQFSPPPEIDPSTPSELPSITPEPEFPPRPPGPEFPKPPLPSPPGIDVPLPPRKPPEIEPPWPGSPVPEPEILPPSPPEEVPPRPPSPPRPFGPEILTSASPPGFVALTYI >KGN58515 pep chromosome:ASM407v2:3:25815177:25821609:1 gene:Csa_3G653960 transcript:KGN58515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLLFRTSRRFVSCLSLHRKYLNLFSSLESPISYTRASSVSNLRCYRSDFVFESSIRDFRFGLIHGFSTSSDVKESSKSDESPYGSVKNDGKSEAKAEMSWISLYLPKKFQPYAHLARLDKPIGTWLLAWPCMWSITLAAPPGQLPDFKMLTLFGCGALLLRGAGCTINDLLDRDIDTKVERTKLRPVASGQLTQPQGISFLGLQLFLGLGILLQLNNYSRILGASSLLLVFSYPLMKRFTYWPQAYLGLTFNWGALLGWAAIRGTLDPAIVLPLYFSGVFWTLVYDTIYAHQDKGDDLKVGVKSTALRFGDSTKEWITMFGIANIGCLALSGYNADIGWPFYALLAAASGQLAWQIFTVDLSSRADCNRKFISNKWYGALVFSGILLGRLSS >KGN58491 pep chromosome:ASM407v2:3:25662461:25665842:1 gene:Csa_3G651760 transcript:KGN58491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLHSRHFFLLVCFSFHLYVVFALTSDGLALLSLQSRWTSHTPFIPLWNASDSTPCSWAGIECDQNLRVVTFNLSFYGVSGHLGPEISSLTQLRTIDLTTNDFSGEIPYGIGNCSHLEYLDLSFNQFSGQIPQSLTLLTNLTFLNFHDNVLTGAIPNSLFQNLNLLNQLSGNIPPEFGACKSLKELNLYVNQFEGRIPSELGLLSKLEVLQLFSNHLIGQIPISIWKIASLQHILLYNNNLSGELPLIITELKHLKNISLFNNQFSGVIPQSLGLNRSLVQVELTNNKFSGQIPPNLCFGKTLRVLNLGLNQFQGSIPSDIGTCLTLQRLILRRNNLTGVLPEFMRNHGLQFMDASENNLNEKIPLSLGNCINLTSVDLSRNKLTGLVPNELGNLVNIQSLSLSHNFLEGPLPPSLSNWTKLNNFDVGFNLLNGSISHSLAGWKVISTLILTENQFTGGIPNVLSELESLSVLDLGGNLFGGEIPSSIGGWKNMFYFLNFSDNGLTGQIPSELKNLIMVENLDISHNNLTGSIRVLGELSSLLVELNISYNFFTGTVPPTLMKFLNSHPASFLGNSGLCISCDETDGLICNRSSSIKTCASHSSSRLNNTQIAMIAFGSSLFIVFLLLGLVYKFVYIRRNKDTFDTFAEVGTTSLLVHKVIEATDNLDERFIIGRGAHGVVYKALLDSKTTFAVKKLTFGGCKGGSQSMIREIETVGRIKHRNLIALEDCWFGKDHGLLIYRYQANGSLDDVLHQMNPAPFLPWEVRYNIAIGIAHGLIYLHYDCDPPIIHRDIKPQNVLLDSEMEPRIADFGLAKLLDQTSAPAVSSLFAGTIGYIAPENAFSAAKNKASDVYSYGVVLLELITRKKPSDASFTEVGSITAWVRSGWNETGEIDSIVDPMLVEELLDSDRREQIKKVILLALRCTEKDPNKRPIMIDVLNHLIDLKINQSRVFLD >KGN55712 pep chromosome:ASM407v2:3:848589:855285:-1 gene:Csa_3G006730 transcript:KGN55712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVESERREEENWRELVKKMLPPGASLPESASDLDYSIAMEYEGPPVVYDVPRVEPLDVHPHSIPVAEPLSESQRSIANNGPPTIEPIPLPVSRIVGVTSPPTQSPRVSGSSESVVSVLQNHDFSSASPSASPASVHNPTNNQPKQVVIDARRAPVVTFNTDNSNRKELSVEKQVYPEYVGVSKEKKKKKSRVCYRCGKGKWETKESCLVCDAKYCSNCVLRAMGSMPEGRKCVTCIGDPIDESKRSKLGKHSRVLSRLLSPLEVKQIMKAEKECPANQLRPEQLIVNGLPLRSEEMAELLGCPLPPQKLKPGRYWYDKESGLWGKEGEKPDRIISSNLSFTGKLSPHASNGNTEVYINGREITRLELRVLKLANVQCPRDTHFWVYDDGRYEEEGQNNIRGNIWEKASTRFVCALFSLPVLHGQPPHGVREEASNYTTVPNFFEQQKRIQKLLLIGIEGSGTSTIFKQGKFLYGNRFNEEELQDIKLMIQSNMYKYLSILLDGRERFEEEIINRKKASISQGDQALETDGEKEASESIYSINPKLKHFSDWLLDIIATGDLDAFFPAATREYAPLVEELWKDPAIQETYKRKSELHFLPDVAEYFLSRAVEVSSNEYEPSDRDILYAEGVTQGNGLAFMEFSLDDRSPMSETYTDNLEAPPPPLTRYQLIRVSAKGMNEGCKWVEMFEDVRVVVFCVALSDFDQMSLAPEGSGSGNLLQNKMMQSKELFETMVRHPCFKDTPFVLILNKYDLFEEKVNRGSLNVCEWFNDFSPVRPLHSNQSLSHQAYYYVAMKFKDLYQSITGRKLFVWQARARDRVTIDEAFKYIREVVKWDEEKEENYYGGPEDSFYSTDVSSSPFVRQQ >KGN56559 pep chromosome:ASM407v2:3:7468448:7470213:1 gene:Csa_3G124790 transcript:KGN56559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPTLSFPPFPLNREAPTRMLKDFLHETNPNGLASPKPKPTSFKALAFHAVVAAVKRISLPSVKSPRIFPRSLSRRLLKKTERDERETGGDFVVKIKDIIRWKSFRDLIDETTAAAPPLDFAESPDRYTYTAAATTTTTTTTTTTTSSSKSSSWCESDFTAEDLASPSWRDWSDDGTMGKMYFPCVGEDSNETTAAYAQNDEEVNALLIREDNEEQEVLDESTRRLLEQVKGAISLSKSCRLVERCGLDWLIRELFRRELADVQDVDERVRNDDRRIRVKNGKDDEYVCDWFLSHKGKESYVREMEREGKWEIFGVDEKIELGLEIEGEILGCLVDEILLDIFSL >KGN57977 pep chromosome:ASM407v2:3:19866261:19869903:-1 gene:Csa_3G417690 transcript:KGN57977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGANLMLFIFLFLSIISSFRADYVRPQPRQTLHLPWNPKSSSQPHQVHVSLAGDEHMRVTWITKGHSAPSYVEYGTSPGEYTSVSQGESTSYSYIFYKSGKIHHTVIGPLKAATVYYYKCGGEGSEFQLKTPPSQFPITFSVAGDLGQTGWTKSTLEHIDLCKYDVHLLPGDLSYADYLQYRWDTFGELVEPLASTRPWMVTQGNHEKEDLLIFKAPFDSYNARWKMPFEESGSSSNLYYSFEVAGTHVIMLGSYTDYDESSDQYAWLKADLAKVDRERTPWLVVLFHVPWYNSNKAHQGEGASMMAAMEPLLHAAGADLVISGHVHAYERSKRVYAGKSDPCGAVHITIGDGGNREGLAHKYNLQPEWSVFREASFGHGELKMVNLTHAFWSWHRNDDDEPVKSDQAWITSLVSSGCVTEKNHQLKKFR >KGN59559 pep chromosome:ASM407v2:3:32674248:32676315:-1 gene:Csa_3G825040 transcript:KGN59559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNEKRYFDVISEDANDDKRSSRCEKTGKAQCKAALQKELGLPIREDIPLIGFIGRLDQQKGVDIIAEAIPWMVGQNVQLVMLGTGRQDLEQMLRDFENKHRDKIRGWVGFSIKMAHRITAGIDILLMPSRFEPCGLNQLYAMNYGTIPVVHRVGGLRDTVIPFDPFNESGYGWTFDSAEANKLIHALGNCLLTYKQYKKSWEGLQRRGMTQDLSWDHAAEKYEEVLVAAKYQW >KGN57800 pep chromosome:ASM407v2:3:17371596:17371913:1 gene:Csa_3G307685 transcript:KGN57800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGSFIYNTIIMHPVHCASNSTIPTQSFNVQQQEGATRRAQKLAIAKSCIISCPHCISHLLPLLLSVQHGVNQRRHSNPEQVWGSNGYRTRQRPVRTKFWSQWNQ >KGN56694 pep chromosome:ASM407v2:3:8273940:8274167:1 gene:Csa_3G129510 transcript:KGN56694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSIMGDPNENRQNVILIFLHLFRHLLVRLPNQHGSFFLPHFWNQRSKLKRESVQDPTANSKEREEEFEGGVKQL >KGN58040 pep chromosome:ASM407v2:3:20730812:20731149:-1 gene:Csa_3G455630 transcript:KGN58040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDLSLDLEYIDIGIENMKDLERQRCGDLRRRRKAAKCEILIGVVGELERNRLLEIWNRGSHRTSKTDDGTLFKKY >KGN59956 pep chromosome:ASM407v2:3:35807704:35809456:1 gene:Csa_3G855990 transcript:KGN59956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLEKCWFCSSPIYPGHGIQFVRNDATIFRFCRSKCHKNFKMKRNPRKVKWTKAYRRLHGKDMTKDSTFEFERWRHRPHKYDRNVTEDTLKAIKKIDKIRMDRESRHIARRHQGKKAKEFREAKKELDQSISLVKAPSVLKEDPSLTLPKLKVKVVPSQSEQDRMEE >KGN56061 pep chromosome:ASM407v2:3:3614625:3616421:-1 gene:Csa_3G063610 transcript:KGN56061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFGAKPDAITDNSKALSSAWSEACTNNGGGIVLIPAKGRFLVLPLLLQGPCHGFIRIQLDGELLAPLDEHFATGDYWLSIDQVNNLFIDGLGSLDGRGSTAWPSTSHNRPVSMRLNGINNARITNIKSYNSKLFHFAMHGCRDVTFDHVTVIAPANSPNTDGIHISSSSGINIMHSTIGTGDDCISLGPGSKFINITNIQCGPGHGISIGSLGKYPNEEDVFEVTVRDSTFIGTSNGVRIKTWSSSYSSMVSKVTFLNLQMNNVKNPIIIDQNYCPGSCGSQKMMSKSMVQIKDVRYEGIRGSSNTQVAVDFECSEVVPCQGIVLQDINLPFNGGGRTTSNCHNVRGSAFGHQLPPSCL >KGN59316 pep chromosome:ASM407v2:3:30992402:30995597:-1 gene:Csa_3G809920 transcript:KGN59316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESDFRQTNEPDEEEEDYMGDLSKLLPPDDTTSSKSIFRKVAANKTPVVQSSNKKPKTFNWQEQRRRDRERKQHEEDEQTLARIEAPIPQSNIGFKLLKQMGYTPGAALGKEGSGLAEPVGLEIRRSRAGIGRQDPIKEKVKQEEIRAEKKRSHQEALMEEFGSRQKLQWRSRRIVVNYNKAKAALDQLENKEVVEPEKDNEEEGEQEEEEEEIITEEDLEDILMKLRDEHRYCLFCGYQYDSMDSLLSNCPGISEDDH >KGN60080 pep chromosome:ASM407v2:3:36708398:36712482:1 gene:Csa_3G876030 transcript:KGN60080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFFSGIFLGVVVGVLLVIAFARAGNARAKHRSDLATTIAAFARMTAQDSRKILPKEFYPSWVVFTQRQKLTWLNLQLDKIWPYVDAAASELIRSNVEPVLEEFRPVILSSLKFSKLTLGTVAPSFTGISVLEDEPDTGGITLELEMQWDGNPNIVLDIKTKLGVSLPVQVKDIAFTGLFRLIFKPLVDEFPCFGAVCYSLRKKKNLDFKLKIIGGDISSIPGVSDAIEETIRDAIEGTITWPVRKIVPILAGDYSDLEVKPVGTLEVKLVQAKELTNKDIIGKSDPYAVLFVRPLKERMKTSKTINNQLNPIWNEHFNFIVEDASTQHLTIRVFDDEGVQASELIGCAQVALKDLEPGKVKDVWLKLVKDLEIQRDNKYRGQVHLELLYYPYGTDQSLYINPFNPDYALTSVEKALKMAPSGSEDADSGKPSSPKKRDTIVRGVLSVTVIAAEDLPAVDFMGKADPYVVLIMKKSETKVKTRVVHDTVNPVWNQTFDFLVEDALHDMLIVEVWDHDTFGKDKLGRVIMTLTRAILEGEIQDNFPLEGAKSGRVFLHLKWAAQPMFRDT >KGN55637 pep chromosome:ASM407v2:3:364833:367641:-1 gene:Csa_3G002570 transcript:KGN55637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSLMNFLRACWQPCADGLLRRGGSGSDSVGCQDGLLWYKDHGHHINGEFSMAVVQANNLLEDQSQLESGPLSLLESGPYGTFVGIYDGHGGPETSRFICDNLFQHLKVFAAEEKEMSVNVIKKAFQATEEGFLSLVTKQWPVNPQIAAVGSCCLVAVICNSKLYIANLGDSRAVLGRLVRSTGEVLPIQLSSEHNVSIQSVRQEMQSLHPDDSQIVVLKHNVWRVKGLIQISRSIGDVYLKKPEFNREPLYTKFRLREPFSRPILSSEPAVSVHELDPNDQFLIFASDGLWEHLSNQDAVDIVHKHPHSGSARRLVKAAMQEAAKKREMRYSDLKKIDRGVRRHFHDDTTVIVVFLGSSRGSNATGGGSPVLSVRGGGVNLSAKSLAP >KGN55766 pep chromosome:ASM407v2:3:1184498:1184713:1 gene:Csa_3G011680 transcript:KGN55766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGEGETASKEEKMNHVKNWSHKKKVGKKGDLKRGKTQINGSESGLHMMKKRQMWMREAQRRRQFFKFEEE >KGN59357 pep chromosome:ASM407v2:3:31304326:31306532:1 gene:Csa_3G812780 transcript:KGN59357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVLRQLHAHILTRPLPLSSFAFALSKIVAFCALSPFGNINYARSVFAQIPHPNIFSWNSLIKGYSQIHTLSKEPIFLFKKLTETGYPVPNSFTLAFVLKACAIVTAFGEGLQVHSHVLKDGFGSSLFVQTSLVNFYGKCEEIGFARKVFEEMPVRNLVAWTAMISGHARVGAVDEAMELFREMQKAGIQPDAMTLVSVVSACAVAGALDIGCWLHAYIEKYFVLTDLELSTALVDMYAKCGCIERAKQVFVHMPVKDTTAWSSMIMGFAYHGLAQDAIDAFQQMLETEVTPDHVTFLAVLSACAHGGLVSRGRRFWSLMLEFGIEPSVEHYGCKVDLLCRSGLVEEAYRITTTMKIPPNAATWRSLLMGCKKKKLLNLGEIVARYLLELEPLNAENFIMISNLYSSLSQWEKMSELRKVMKEKCIKPVPGCSSIEVDGVVHEFVMGDQSHPEVKMLREFMEEMSMRVRDSGYRPSISDVLHKVVDEEKECALSEHSERFAIAYGLLKTRAPIVIRVVKNLRVCVDCHEVIKIISKLYEREIIVRDRVRFHKFIKGTCSCKDFW >KGN56023 pep chromosome:ASM407v2:3:3344864:3345770:1 gene:Csa_3G047840 transcript:KGN56023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLLFLFLLLLFFPSLFIAQTTTNSPAPAPTTLALDSTQLNLTHILSTHGCSAFALSLLSSTSAEKAFNDVVEGGFTVFCPNDDVWSEFSPKFKNLTPPAKTSLLEFHGVPIYMPLPVLKSNNGDTNTLATDGAARFDFTVQNEGEDVTVKTSITTAKVDGAGVFDEDPLVVYLIDKVLEPEELFTADVMAPPKGGSDVGAAPSSDSPAESPEESVADQNADGNSGVRVEGGDQFHFMAVALCAWMGFSLL >KGN56826 pep chromosome:ASM407v2:3:8991451:8994380:-1 gene:Csa_3G134750 transcript:KGN56826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVTTTTHQESVIDNYTQDGTVDLKGRPLLRSKTGSWKACFFIIVYELLERIVFQGVSANLFIYLTTKLHQGIVTASNNVTNWNGAIWIMPIFGAYIADAHLGRYRTFLISSFIWFTAMSLLTLAVSVPSLKPPPCLQPITKQNCKQASKLQLAVFFGSLYLLVIASGGTKPNISTMGADQFDDFYPKEKAQKLSFFNWWFFTAFSGILFASTILVYIQDNVGWSLGYGIPTIGIGVAIIIFVVGTPFYRHRPPSGSPFTTIANVIVGAAWNWRLPIPNDPNQLYELEIQHYSKPGNFKIDSTPSLRFLNKAAIRRDSGGPWRLCSVTEVEETKQMLRMIPILICTFIPHTITAQTHTLFIKQGTTLDRSIGSHFKVPPASLYAFVTISLLLSILIYDRIFVKIVRRVTKNPRGITMLQRMGIGMICHVLVMVVASRVEKHRLDVAARENGSSPQEQKVLPLTIFTLLPQFILTGVADSFLQVAVQEFFYDQAPENMKSFGTSYAMTSLGIGNFLSSLIVSKVSEITKRQGKEWILNNLNASHLDYFYLLLAVMSAVNFFLFLIISKLYLYKAEVSDSINLLTEELKKKKSMATNS >KGN59278 pep chromosome:ASM407v2:3:30761125:30761578:1 gene:Csa_3G799620 transcript:KGN59278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYYFSECRPIVQSLLLPIRYGLAQLIFALLEKRDNKSAVPKKGPGDLSVGPSIWKEGVRSPESAGFIRRTIKERSKVRSPQLSE >KGN57710 pep chromosome:ASM407v2:3:15989690:15995169:-1 gene:Csa_3G258120 transcript:KGN57710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENTSRTSSCLAISEKKTHKSGGCVGIFFQLFDWNRRLAKKKLFSRKLLPPGRTQQVTKKFKGGEKMPASKNHLIADENRGGFPNVKKNGNQCTDVGHRNEMRVPGLVARLMGLEAMPVITRDKSKKTGFSNPCDNMEKKIVEDMNFEKASVKIEARPLKLQKTGTEEGKMMRRIGAEVLQYKSVMSRSRKPPSPPKLPSTKSPRLPSGRNVSRTSRLIDVASKILEPSLQISNRAKSAITLPKSMNYSPNDVLSREIRVIPGEGYDLSKSMGQASCKNCNNLLKVEVPNHDVEEYVSAISPVNSTYGNSSLKGSGWSKTITPESSIQQEREEILQTNCDLPKTVASRKNESKGSIISPVNSIAERMPLNKQNESRGCLISHVDSIAERMHLNNESVCPTSRPSSQQFNLRTSQSSIVKHCSQSEDHMTSVRDRMPSKSKASITSSRRTTSPENAVGRTKNFVALNRSLNGCSRGKLPAKVENSKFGLERKSFNGFEDFSSQSGTSPRKRRTAHESGKNDRKTSFDSPALKQRSHPRDKLSRTSSRVECKPLPTKQPWAGNRLAGCRDATDRVCKRDKDIVSFIFNSPVRQETTVAVKMNEESLSNERNVSSQNPSLFGGDALDILEQKLKELTSQGDDRSSSGSPLKKPASVIIQELIAAVAAARKVASEGSTVNMDVTHYDDLKEERITNILKGQDQLSPGSVLEASFSSSSMDESSGCRMPAESVDCSFDQPQLSEPDTDLLDSATSLSEGNVGNERLTEVFTAISSILQSNNLTGTKLTGSKLARAKDVMLNTEILFGRDENNLLIFPLFIDELETFTCEMWTNSSSIRSLEDVKEVNHLRGFLFDCLIECLDLKHSQLYYGGSNAWIRTSPTQNARAFIRDVEKEIKKWVYFVGMMTDEIVEWEMSHSLGKWSDFSIEELESGAEIDGYILQMLVEEIVTELWDFRKG >KGN59012 pep chromosome:ASM407v2:3:28857244:28860497:1 gene:Csa_3G743970 transcript:KGN59012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTPHFLLFPFLAQGHIIPTLDLAKLLARRGAIVTILTTPHNATRNHSVLARAIDSGLQIHVVQIPFPCNKAGLPEGCENMDLLPSFRSVPTFFRSTFLLYDSSDELLQQLCPPPTAIISDICLPWTLTLAQKYNIPRLVFYNLSCLYFLCLKDLEMKGPLIQSISDSDTVTLVDGFKFRKAQLPKSVNEDMIAFIEEINKADRMSHGVIFNSFEELEPKNLAEYKKIGELPDRVWCVGPVWLCNDDKLDRAYRGDRASIDENECSKWLDEQGPCSVVYVALGSLCNLVTGQLIELGLGLEASNKPFIWVIRKGNLTEELLKWVEEYDFEGKIKGRGVLIRGWAPQVLILSHSSIGCFLTHCDWNSSIEEEKGVVVKREKVKEAIEMVMEGEDRGEMKQRCKELAEMAKRGVEEGGSSHRNLTLLIQKHHQL >KGN59422 pep chromosome:ASM407v2:3:31813660:31816735:1 gene:Csa_3G819790 transcript:KGN59422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPPTPFPRKIIYQSHLLNFNNSSKLKPPQNQKLISKGKKMLLSLLPLSFLLLLLLYKIYNRLRFNLPPGPRPLPVVGNLYDVKPVRFRCYTDWAKQYGPIISVWFGSTLNVVVSNTELAREVLKEHDQSLADRHRSRSAAKFSRDGKDLIWADYGPHYVKVRKVCTIELFSPKRLESLRPIREDEVSAMVEDVFNNCTNPEKYGKSLRLREFLGAVSFNNITRLAFGKRFVNSDGVLDEQGLEFKAIVANGLKLGASLAMAEHIPWLRWMFPLEEEAFAKHGARRDRLTRAIMDEHTTARTLSGNVQNHFVDALLTLKDKYDLSEDTIIGLLWDMITAGMDTTAISVEWAMAEIVRSPRVQKKVQEELDKVIGVKRIMTENDFSNLPYLQCVVKEAMRLHPPTPLMLPHRSNANVKIGGYDIPKGSNVHVNVWAVARDPAVWKNPEEFRPERFLEEDIDMKGHDLRLLPFGAGRRVCPGAQLGINLVTSMLGHLLHHFEWTVGPEKKKEEIDMSESPGLVSYMKTPLEAVATPRLNSKLLYKRVAVDM >KGN56380 pep chromosome:ASM407v2:3:6541973:6544652:-1 gene:Csa_3G118150 transcript:KGN56380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSKLCAFSLLGRTYFSWSSVKYAHHVNHRALTSATMASGFSLWPTRIHTVDTATVSNSNVGDLFSLGFCSHSYVSPSSNHDILPATSEQSCHHAAESDHESDDDHDNLEECEEEDMDINDKGVIKDVDAIMDIFRGFRDANRIQVRNKLEHCFIKVSGELVVAVLSRIRNDWEAAFTFFVWAGKQPGYAHSVREYHSMISILGKMRKFDTAWALIDEMRGGTPGSSLVTPQTLLIMIRRYCAVHDVAKAINTFYAHKRFGFNIGLEEFQSLLSALCRYKNVKDAEYLLFCNKDVFPFNTKSFNIILNGWCVIGSLRDTERVWKEMTRRGISHDAVSYASCISCYSKVRNLHKVLRLFEDMKRMKIDPDRKVYNAVIHSLAKGRCLKEAADLIKTMEEKGIIANVVTYNSVIKPLCKARRFDEARAVFEELLQRGLCPTIQTYHAFLRFLRTEEEIFELLKKMRTMGCNPTTDTYIMLIRKFCRWRQLDNVSRIWHEMSENGISPDRSSYIVLIHGLFLNGKLEDAHKYYLEMKEKDLLPEPKIDEVLQTWLAGKSVFQENPSDCSGEGKNSSLFPNKNDFHRQPEIRKVSRHRGFSFWKQ >KGN56711 pep chromosome:ASM407v2:3:8354155:8357306:1 gene:Csa_3G129680 transcript:KGN56711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFRGSSNSSHSSTELSACSSSFPPWSFSASEFDQPFTEQQLQAIEAIEAAYQSTSAKKRRPNSTPDGNEVSCVSPDTGRRLPRSIFSHQSPRFSPLSPCRVNSKMRFPALNYGGRIIYSRTVSEVDRASRELAKKINSTRKAMDQITIGFDIEWRPSFKRGVPPGKAAVMQLCLENSECHVMHIIHSGIPQSLQALLEDDTLSKAGVGIASDASKVFKEYNVSVKPLNEISDLANQKLAGVPKKWGLRALTETLISKELQKPDRIRLGNWEVAVLSKDQLQYAATDAFASWYLHEILKGFPHVEKVADSEPIA >KGN57574 pep chromosome:ASM407v2:3:14422357:14423235:1 gene:Csa_3G215620 transcript:KGN57574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPIESVQCFGRKKTAVAVTYCKRGRGLIKINGCPIELVEPEILRFKAYEPILLLGRHRFSGVDMRIRVKGGGHTSQIYAIRQSIAKALVAFYQKYVDEQSKKEIKDILVRYDRTLLVADPRRCEPKKFGGRGARARFQKSYR >KGN56573 pep chromosome:ASM407v2:3:7535441:7537200:-1 gene:Csa_3G124920 transcript:KGN56573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVKIQSDELHQVLVLSPPSVFTSLESQFQNRFQFLKPWDSNLPLLQFLISNAQSVRACLVTPGDGLAVSSAILDCLPSLKFVVTASAGVDHLNVAELRRRGVAIAYAGNLFSQDVADMAVGLLIDVLRNVSAGDRFVRQGLWATQMDFSLGLKLTGKRIGIVGLGKIGSEVAKRLEGFGCRISYNSRTKKPLVPYSHYSNVHELATNCDVLIICSSLTEETRHLINREVMVALGKDGVIINVGRGAIIDEKAMIEYLIQGEIKGAGLDVFEDEPEIPKQLFNLDNVVLSPHVAVTTTESIAGLIELALENLEAFFSNKPLVSPFLD >KGN58944 pep chromosome:ASM407v2:3:28474997:28477601:1 gene:Csa_3G736910 transcript:KGN58944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFIRTFSSIYFLTLASDAIVFFNPFSPTSSIKNFKPQLHLALSHIRLNTQLAFSPCPLTVHYPHDDKIISLCKKNLHREALKAFDIFQKCSSSPLKSVTYTHLINACSSLRSLEHGRKIHRHMLTCNYQPDMILQNHILSMYGKCGSLKEARNMFDSMPLKNVVSWTSMISGYSRYGEEDNAITLYVQMLRSGHIPDHFTFGSIVKSCSGLDDFKLARQLHAHVLKSEFGADLIAQNALISMYTKFSQMADAINVFSRIIIKDLISWGSMIAGFSQLGYELEALCHFREMLSQSVYQPNEFVFGSAFSACSKLLEPDCGRQIHGLCIKFGLGSDLFAGCSLCDMYAKCGFLESARTVFYHIEKPDLVAWNAIIAGFASVSNAKESSSFFSQMRHTGLVPNDVTVLSLLCACSEPVMLNHGIQVHSYIVKMGFNLDIPVCNSLLSMYSKCSNLNDALQVFEDIGNKADIVSWNTLLTACLQQNQAGEVLRLTKLMFASRIKPDHVTLTNVLVSSGQIASYEVGSQIHCFIMKSGLNLDISVSNALINMYTKCGSLECARKMFDSIGNPDIISWSSLIVGYAQAGCGKEAFELFRTMRGLGVKPNEITFVGILTACSHIGMVEEGLKLYRTMQEDYRISPTKEHCSCMVDLLARAGCLDVAEDFIKQMPFVPDVVVWKTLLAACKVHGNLEVGKRAAENVLKIDPSNSAAVVMLCNIHASSGHWKDFARLRSSMRRMDVGKVPGQSWIEIKDKVHVFLAEDNLHPERGKIYTMLEELMLQILDDGCDPLQMVS >KGN59847 pep chromosome:ASM407v2:3:34921749:34924108:-1 gene:Csa_3G850520 transcript:KGN59847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLISELPDPILQHILSFLSIKQIIQTTILSKRWIHLWLTFPSFEFDKNFFHIESKLQNKRFHLINFVEQTLKQLKCLRKFKLHTDFPEPNSMVVVDRWIDYVLESGVQELEIVVTVENGKRYNLPQRVFANQSLTVLTVGDCKLCPSLDGYKLLSMKSVSLLGVFAEDETVKRLVSNCPFIQHIKLDSCLGLRSLWLCETNELITMEVQNNSGLYEFGTKAMNLQAFEFRGQFQPCCINISSCKNLKTLKLSMVAITDDWFNRCFSEFPLLEILALSYCHMLESLRISSSHLKKFILCGCESVTRVDIDAPCLSGLEFSGDVISFSLNAPALSQADIELSPRIFDNPWVVKQIEFLAHFNHLKSLTLQSQTGKSVVIPQELRETFGSPLYGVKHLKLRIIKPLFSPSLKDLVKALLWIAPQPQTIAVESGFGKKILKFVYEKARDDGGAVDEYHCSCNSLPITCWKHCLKELKFENIREDDEINNLMNFFHEINADIMLHNFKMEYA >KGN56302 pep chromosome:ASM407v2:3:5897614:5902755:-1 gene:Csa_3G113930 transcript:KGN56302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKSTEELGENGSRRHETSENNEYVRLVIANETIPLELEILQPQEKSENTFSLKWWIKVSLWSIISIVFLLAFFKWGVPFLFEKVIIPIMKWEATAFGRPMLALMLVASLALFPVFFIPSGPSMWLAGMIFGYGLGFVIIMVGTTIGMVLPYLIGLLFRDRIHQWLMRWPKKAEMLRLAGEGSWFRQFQVVALFRVSPFPYTIFNYAIVVTSMRFWPYLCGSIAGMIPEAFIYIYSGRLMRTLADVQYGKQHLTTVEIVYNVISFIIAIITIVIFTVYAKRMLNSLQMAEDDRKYSVSHPGCFEVENLSHERSPINVMKEDRAVEEVVAEITHKIRVAGILDKVERAPKPSDQFRG >KGN57386 pep chromosome:ASM407v2:3:12674974:12683935:1 gene:Csa_3G182220 transcript:KGN57386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSVQVTPLCGVYNENPLSYLVSVDDFNFLIDCGWNDHFDPALLQPLSRVASTIDAVLISHPDTLHLGALPYAMKQLGLSAPVFSTEPVYRLGLLTMYDQFIARKQVSEFDLFTLDDIDSAFQVVTRLTYSQNHHLSGKGEGIVIAPHVAGHLLGGTLWKITKDGEDVIYAVDFNHRKERHLNGTILESFVRPAVLITDAYNALNNQPYRRQKDKEFGDTIQKTLRANGNVLLPVDTAGRVLELIQILEWYWEEESLNYPIFFLTYVASSTIDYIKSFLEWMSDTIAKSFEHTRNNAFLLKHVTLLINKSELDNAPDGPKVVLASMASLEAGYSHDIFVDWAMDAKNLVLFSERGQFGTLARMLQADPPPKAVKVTVSKRVPLTGDELIAYEEEQNRKKEEALKASLLKEEQSKASHGADNDTGDPMIIDASSNVAPDVGSSHGGAYRDILIDGFVPPSTGVAPMFPFYENTSAWDDFGEVINPDDYVIKDEDMDQAAMHAGGDVDGKLDETAANLILDMKPSKVVSNELTVQVKCSLHYMDFEGRSDGRSIKSILSHVAPLKLVLVHGTAEATEHLKQHCLKNVCPHVYAPQIEETIDVTSDLCAYKVQLSEKLMSNVLFKKLGDYEITWLDAEVGKTENGTLSLLPLSKAPAPHKSVLVGDLKMADFKQFLASKGIQVEFAGGALRCGEYVTLRKVTDASQKGGGSGTQQVVIEGPLCEDYYKIRELLYSQFYLL >KGN57422 pep chromosome:ASM407v2:3:12929329:12937393:-1 gene:Csa_3G184040 transcript:KGN57422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIETALAVRFPAGANFCYSSAVSYHRPAWTSEDVTSIGNASSFCRLLHSCTSDVHWKRCQRLNSRSLLGRSYLKKIGIQASAEPLGSASDPIKQNRGLQYHPSEELVKSITEIADDVRPTSAETTRTIIEVNSKATLMFAGLINDEVQENIIWPELPYVTDAHGSMSYILEFTILKLKAILGQPSLLFY >KGN56520 pep chromosome:ASM407v2:3:7275254:7278426:1 gene:Csa_3G122440 transcript:KGN56520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKVLGSNGLEELTPPPGRSLIHSLPDDIALSILSRVPRKYHHNLKCVSNRWKGLVNSQEWYARREKNNLAETWIYALCRDKSEQVSCYVLDLNSSKRCWKQMKNWPTCSFKRKGMGFEAMGRKLYVLGGCSWSEDASDEVYCYDTSINSWTPVAQLSSARCYFACEVLNEKLYTIGGICPSSGDLHSWDVYDPSTNTWEPYLDITNIQNEIEDSIVMDGKIYIRLRSADSQVYALVYDPSSGMWQHSNSEMVSGWRGPAVIVDKTLYVLDQSSGTRLMMWNNEDKGWIPVGRFSSLLTRPPCKLVGVGTKIVVVGKGLSSVIFDVSNVKTMMGLMVSSSIPRLDSDIDVLACKCTTI >KGN59923 pep chromosome:ASM407v2:3:35567824:35569212:-1 gene:Csa_3G854190 transcript:KGN59923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGEGNSIPRAKYGGNMAHSDPNISSTLTEEDFAMRNSSASVGGQAFYDQSRMSGEGSPMTMSPWNQTSMFAKSPWSQVEENSGPQNGLIGSLVREEGHIYSLAASGELLYTGSDSKNIRVWKNLKEYAAFKSSSGLVKAIIISGEKIFTGHQDGKIRVWKVSQKNPSDHKRAGTLPTLKDIFKSSINPNNYVEGRGRRRALWIKHSDAVSCLSLTEDKLLLYSASWDRTLKVWRIADSKCLESLNVHDDAVNSVVASVEGLVFTGSADGTVKVWKREAKGKATKHTLLESLLKQECAVTALAVTAAGTVVYCGSSDGMVNFWERKGKLTHGGVLKGHKLTVLCLVAVGSMVFSGSADKTICVWRREGAVHTCLSVLTGHTGPVKCLAAEEDNESSKNGDRQWIVYSGSLDKSIKVWRVSEMAADRNAVAMMQQQFMNDSDSMPSDRSFSSSNRAISISKQP >KGN57119 pep chromosome:ASM407v2:3:10751375:10752372:1 gene:Csa_3G154400 transcript:KGN57119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTRKRATPTGDPVTRWMESGSPEEKMAETKEPRSEERNWMVRRRRMGRRRRPKGLRRSEMASVRASPWSPSRKGTTMTMATTTASRMAWGAPPWRSSIISFASSLRVTCVFEFNSLFGLKKK >KGN56478 pep chromosome:ASM407v2:3:7033359:7037298:1 gene:Csa_3G121050 transcript:KGN56478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAARTAAPPLLLHFHSLPCRVLPLIPLRNFLSAAHCRRSVRLSAAMAGILSPRAASPPVHVSGTWYSVPELRLRDHHFSVPLNYSLNQASCTRISVFAREVVSVGKEDQPMPYLLFLQGGPGFECARPTEASGWIQKACEEFRVILMDQRGTGLSTPLTPSSMSQFQSSDDLANYLKHFRADNIVNDAEFIRTRLVPDAAPWTILGQSYGGFCAVTYLSFAPQGLKQVLITGGIPPIGNGCTADSVYRACFEKVIIQNEKYYKRYPQDIEIVREVVKYLAENGGGVLLPSGGILTPKGLQTLGLSALGTSTGFERLHYLFERVWDPILVRGSPKRISFFFLNAIDNWLSLDSNPLYVLLHETIYCQGASSRWSAQRIKNEVENKFDANKAVKEGCAVYFTGEMIFPWMFDEIHALRPFKDAAHILADKEDWPPLYDIAALKNNKVPVAAAVYYEDMFVNFKLAMDTASQIAGIRLWVTNEFMHSGLRDAGPQVLDHLMGLLNGKKPLF >KGN57152 pep chromosome:ASM407v2:3:10953114:10953949:-1 gene:Csa_3G165690 transcript:KGN57152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRAPCCEKVGLKRGRWTAEEDEILTNYIQANGEGSWRSLPKNAGLLRCGKSCRLRWINYLRTDLKRGNITTEEEQMIVKLHNVFGNRYEHN >KGN59181 pep chromosome:ASM407v2:3:30074776:30075486:-1 gene:Csa_3G778370 transcript:KGN59181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVKKKLLINTISVDIGCGSCRNPKSIISQIFRPKPKSPSSYSDRRLFRSLSSSSEKKLSDSDMAYAPEVVGGGGFWKIGGVSVAVEKDSNDPYVDFRQSMLQMILENEIYTQEGLRELLSCFLHLNSPCNHGIIIRAFAEIWDSVFCARSAAPARQRRHVRSRAF >KGN57926 pep chromosome:ASM407v2:3:19198473:19198724:-1 gene:Csa_3G393150 transcript:KGN57926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIQFSFLLAILVLLANTHHLQSCRTIKDDQQNWSIDLQLLQQSLQRVPVPPSAKNGDTNIPVPVGQRAFAGKTSAALARNYS >KGN57082 pep chromosome:ASM407v2:3:10505373:10505888:1 gene:Csa_3G152090 transcript:KGN57082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRVTRLISERPVVIFSKSTCCMSHTVMRLLSGFGVNPAVHELDQISRGREVEQALSRLGFNPTVPAVFIGGELVGGANEVMSLHLNRSLIPMLRKAGALWV >KGN57256 pep chromosome:ASM407v2:3:11788056:11791016:-1 gene:Csa_3G175080 transcript:KGN57256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPTHIQRPHYSVSFTYPVFLTFLLLFSNEPINAIPTEVEALLKWKESLPKQSLLDSWVISSNSTSSVSNPCQWRGISCNNQSSVIQIKLDNTGLIGTLDHLNFSSLPNLLRLDLKINNLTGVIPPSIGVLSKLQFLDLSTNSLNSTLPLSLANLTEVFELDVSRNSIHGSLDPRLFPDGSGNSRTGLKSLRNFLLQDTMLEGRVPEEIGNVKSLNLIAFDRSQFSGPIPQSIGNLSNLNILRLNDNHFTGEIPRSIANLKNLTDLRLFINELSGEVPQNLGNVSSLTVLHLAENNFIGTLPPNICKGGKLVNFSAAFNSFSGPIPISLKNCSSLYRVLIQSNNLTGLLDQDFGVYPNLNYIDLSSNQFGGSLSPQWGECKNLTLLRLTGNKVSGEIPNEITQLENLVELELSSNNLSGSIPKSIGNLSKLSVLSLRNNRLSGSIPVELGSIENLAELDLSMNMLSGSIPSEIGNNVKLQSLSLSMNQLNGSIPFRIGSLVTLQDLLDLSHNSLSGEIPSLLGNLQSLENLNLSNNDLSGSIPNSLGKMVSLVSINLSNNNLEGPLPNEGIFKTAKLEAFSNNRGLCGNMNGLPHCSSVVNTQDDKESSKNKLVKVLVPALVGAFLVSVVIFGVVFCMFRKKTSQDPEGNTTMVREKVFSNIWYFNGRIVYSDIIEATNEFDDEFCIGEGGSGKVYRVEMPGGEVFAVKKLHSWDDEIGSKNKKSFENEVAALTEVRHRNIVRLYGFCSRGIHTFLVYDYIERGSLAQVLRFEKEAKAFEWSKRVNVVKGIAQALSYLHHDRKPMIVHRDVTANNVLLDSEFEAHLADFGTARFLKPNMRWTAIAGTHGYVAPELAYTMVATEKCDVYSFGVVAFEVLMGKHPGDLILSLHTISDYKIELNDILDSRLDFPKDEKIVGDLTLVMDLAMSCSHKDPQSRPTMRNACQLFEMQNENS >KGN55772 pep chromosome:ASM407v2:3:1224962:1225222:-1 gene:Csa_3G011740 transcript:KGN55772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGNRLEKKKVGAKRKRLEQFPMKVGFGLWPTAIGCPLLLLSLRCSISLLISPCT >KGN57442 pep chromosome:ASM407v2:3:13103013:13105202:-1 gene:Csa_3G186690 transcript:KGN57442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIYLTIFFCLLIPIFLFLRRSSKKRQLPPGSLGFPLIGQSLSLLRAMRTNTAEQWAQQRIRNYGAISKLTLFGKPTVFIGGQSGNKLIFSGDCAVVSNQQNESLRAILGERNLLELIGEDHKRVRNALVSFLKPECLKEYVGKMDEEIRSHIRMHWHCKQEVTVLPLMKTLTFNIVCSLLFGLEQGTRRERMIECFRVMISGVWSIPINLPFTRYNQSRRASRKIQEMLKELLDEKRVELEEKGGSSHQDLITCLLSIRNEENELVLSEKEIVHNIMLVLVAGFDTSSVLITFMMRNLANNPTVYAAVLQEQEEIARSKKCGELLNWEDLAKMKYTWRVALETLRLVAPIFGGFRKAMNDIQFGGYLIPKGWQIFWTSPVTHLDDTIFREPSKFDPDRFKNPASIPPYCFLGFGSGPRICPGNEFARVETLVTIHYLITQFTWKLLLDDHFIRDPMPTPTKGMPIKIWPRSQSFMAV >KGN60454 pep chromosome:ASM407v2:3:39529148:39536414:-1 gene:Csa_3G912370 transcript:KGN60454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIPWFNELRIRFPKGNSYLTEEGKPVQSSHIPLKARSLLFFPPIKIPTLFFSQFDRNHCNSLPGLPGFIPLMDFPEQDVDIFGEEYGNDDDDNNNHNDNDNDHDRDAPASSSQSSSSSDSSSSSDSSSSNASDGGDSSSSGSASSAEEDDQENGGEVQQNVHRGSHGYEDRDLFGSDNEDYCKTLAVSPFSVPVLPAIRNNNHHARGTFGRGRWGNQNDRGSGLLPRPGPYPQRHGFGGYGSKFSNGRHHDERFVSDMKLTKSEETLARKCITFQEPCELACYSRVEGGDVYFDDRSLRLFKRFITEDIGADLNEGFDTFIEKKDLGSQGFGDLLGCIRDKNIPLQNIHFVTFRNNLNKILATAYIRHEPWEMGVHKRNGVVYLDVHKLPERPQSEMERRRCYWGYCFENLATEDPRRGNGEGIHHVDANAEYCAVIKTKLGAHRILMGAEMDCCDSTDEGRRFYVELKTSRELDQRTEERYEREKLLKFWIQSFLAGVPYIVIGFRNDAGQLVRTERLRTKDITQRVKMKNYWQGGVCLAFADEVLCWLYGTVKENEDYILQFAHPFTRLELLQASSCPDAITHHIELL >KGN56784 pep chromosome:ASM407v2:3:8793620:8794745:1 gene:Csa_3G133350 transcript:KGN56784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVKLHGMWASPFVYRVKWGLELKGIPYEYVEEDIANKTSLLLHYNPIHKKVPVLVHGGKPVCESIIILEYIDEIWPQYPLFPVHPFDRAATRFWIKYADNKLFGSRLLFGSSSSEEEEKAKAEGLEFLRTIEEQCLGDKKFFAGEEIGALDLAFGGIAHWLPVLEQITGTKLLNADQFPRLYAWTQNFKESAVIRDNLPDAQKLAALYNALRHKQLRSAAE >KGN59121 pep chromosome:ASM407v2:3:29741377:29742504:1 gene:Csa_3G776330 transcript:KGN59121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTVKKEFAVPPVVFPSGGNPNLQQRRGVATAPFQPPRQTGSSIPFMSFDIGSAAAASTSSGSIYGGPIGGGSIPGGANFEDEEPLLDELGIHPDQIWKKTKSILNPFRVKPDVHKDSDLSGPILLYMFFGLFQLLAGKIQFGVILGWIVVSSIFLYVVFNMLAGRNGNLNLHTCTSVVGYCMLPVVVLSAVSLFLPQAGLVRFAVAGVFVLWATRICTSLMVSLADGGDEHRGLIAYACFLIFTLFSLLVIF >KGN60059 pep chromosome:ASM407v2:3:36601434:36601664:1 gene:Csa_3G874370 transcript:KGN60059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTRTSPISHINTKAIFLCFLYHNSPLHPHSVSLHFPSFLHVVASSSPTLQDFGTYFTGGVEDGDLVSATCSKVDA >KGN58474 pep chromosome:ASM407v2:3:25532955:25538809:-1 gene:Csa_3G646610 transcript:KGN58474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKYAPVTASLITDLLRHRSRQSSRLLSSTHILDHPSSSSPSPSPSSSLMSSSSNHPLSIATINDKVLKCEYAVRGEIVTLAQKLQEELSVKPGSHPFDEILYCNIGNPQSLGQQPITFFREVLALCDHPAILDKSETQGLFSTDAIARAWQILDQIPGRATGAYSHSQGIKGLRDTIAAGIEARDGYPADPNDFFLTDGASPAVHMMMQLLIRSEGDGILCPIPQYPLYSASIALHGGTLVPYYLDEATGWGLETSELKKQLEAAKSKGINVRALVVINPGNPTGQVLAEDNQRQIVEFCKQEGLVLLADEVYQENIYAPDKKFHSFKKISRSMGYGNEDIALVSFQSVSKGYYGECGKRGGYMEVTGFSPEIREQIYKVASVNLCSNISGQILASLVMNPPKVGDESFESYSAEKDGILSSLERRAKALEDALNSLEGVECNKAEGAMYLFPRIYLPAKAIKAAEAVNTSPDTFYCRRLLNATGIVVVPGSGFGQVPGTWHFRCTILPQEDKIPAIVSRLTEFHKQFMEEFRD >KGN57411 pep chromosome:ASM407v2:3:12858270:12861248:-1 gene:Csa_3G183930 transcript:KGN57411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFNSSSIPSWLSTRTSFGVKLWVLILTSLLIFLLFVLILTFFFHVYSRRRNRNRNRSRPSNDREAAISAEVRPEMEMKVKKGSDHQFSCQGSLTTQQSIVTDWEYSAGQYSPKAFKDRQRRTFSLEEIDFATDGFNEENLISIEDFGVDYFGNMTDDTKVIVKIFNANYSSGDDEFIKEAERIRHISHKNLVKLLGYCTQGIPNNRMFVYQNVDNGNLHQWLHGYPQKPFSPLTWSIRMNIIQGIAKGLAYLHEDVEPQILHGRLRSNCILLDQYWNPKIANFGLVDLLPLDYWPGPLVRETYESLDQNESISPFTKKNDVYSFGILLMEMITGKPPSDCNQSQMEIDGSSLMKNAQPDLIEWVKSMIGNQQAFETVDSKLEEKPSSKQLKRMLLIALRWLSNRNE >KGN58902 pep chromosome:ASM407v2:3:28238223:28241556:-1 gene:Csa_3G735020 transcript:KGN58902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSIKQLHKQSSINHRRDEEVPPVPTSPYSPKALKHPRSLPRSINYLFREQRLLFVFVGILIGSTFFILQPSLSRIGPSEAGSAIRRSFATGLTSRDQVSGSGIYGFGKTGGRVPVGIGRRRLRIVVTGGAGFVGSHLVDKLIERGDDVIVIDNFFTGRKDNLVHHLGNPRFELIRHDVVEPILLEVDQIYHLACPASPVHYKYNPVKTIKTNVMGTLNMLGLAKRIGARFLLTSTSEVYGDPLEHPQKETYWGNVNPIGERSCYDEGKRTAETLTMDYHRGAEVEVRIARIFNTYGPRMCLDDGRVVSNFVAQAIRKQPLTVYGDGKQTRSFQYVSDLVNGLVALMEGEHVGPFNLGNPGEFTMMELAQVVKETIDPSATIEFRPNTADDPHKRKPDISKAKSLLNWEPKISLREGLPLMVSDFQKRILNEDEGKGY >KGN57929 pep chromosome:ASM407v2:3:19225114:19234180:-1 gene:Csa_3G393920 transcript:KGN57929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLSGTGGADNVGIFRWKSHGESSLTSALLKDVPPEIELSTFGRVPNPGSESPTGLLDGERLNVEPIADLDLFFERLYSYYCDKGLWCIITKWIVELLSLGFTICFSAFFLLFVDWNGLRNAKCGMDAVESGTKPCDLAKEALHEHPLHHMTVSKAIIIGYLGIFSVYWIFCFFRFFAQLKDILGIRHFYYNSLHISDNEIKTMPWATILEKVVELQSTCQLCVTKDLSAHDVVMRLMRKENYLIGMLNKGVLAFPIPKWVPGAGPAVKFDSSGNHYRLTLTKSLEWTLNWCILQSMFDRNYCVRREFISNPRTLKKRLRVVGVVMLLLSPFLVIFMLVYLFLRHAEQFYNHPSTASSRRWSNLSKWIFREYNEVMHLFKHRINSSVLHASEYLKQFPSPIISIIAKFISFVFGGFAAILIIIAFLDESLLEGHIFGRNLLWYAAVFGTITAISRAAVTDEILVLDPEGAMSMVVQHTHYMPKRWRGKENSELVRLEFETLFQYTGMMLLEEMVSIFLTPFLLVYVVPERVDDILQFIADFTVHIEGVGHVCSFSAFNFQKHGNSSYGSPHNAPGAERSSQGKMEKSFLSFCSNYPGWEPNAQGKQFMSNLRNFRERTLQQRGCIYQPYEMSQASRNLVGHRDRNGIFPGELPRQNSAVRNWMDFLWLDEHQRNFPYLLDHYYTSGPRDIANYSRDIPEESSELMELNSSVYWIPPYIAQRKERYEDFWKEDHLVDRSQTHLGASTSATHLGASTASPIIGESIFHHQESTSANSGGTKTRWWDRNIVSRELPQTSFMDPPNFNRYTTTMKSQYDNVSERSSEEQQHMEWSDFGKLSRPTYLEDIETGELDLHFGDVYSRTPETPKPEPTSFE >KGN56609 pep chromosome:ASM407v2:3:7775566:7779419:-1 gene:Csa_3G126240 transcript:KGN56609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSSLTLSQALLSREISRHGSNSSSDRVPLSIPTFSGLKSTKPPRLTGSAHRPRATHYRRQIVRAAIAETLGTTAETALVEKSINTIRFLAIDAVEKANSGHPGLPMGCAPMGHILYDEVMKYNPKNPYWFNRDRFILSAGHGCMLQYALLHLAGYDSVREEDLKSFRQWESRTPGHPENFETPGVEVTTGPLGQGIANAVGLALAEKHLAARFNKPDSEIVDHYTYVILGDGCQMEGIANEACSLAGHWGLGKLIALYDDNHISIDGDTEIAFTESVDTRFEGLGWHVIWVKNGNTGYDEIRAAIQEAKAVKDKPTMIKVTTTIGFGSPNKANSYSVHGSALGAKEVEATRSNLGWPYEPFHVPEDVQKHWSRHTPLGADFEAEWNAKFAEYEKKYPEEAAELKSIITGELPAGWEDALPKYTPESPGDATRNLSQQCLNALAKVLPGFLGGSADLASSNMTLLKMYGDFQKNTPEERNLRFGVREHGMGAICNGIALHSPGFIPYCATFFVFTDYMRAAMRISALSEAGVIYVMTHDSIGLGEDGPTHQPIEHLASFRAMPNILMFRPADGNETAGAYKVAVVNRKRPSIMALSRQKLPHLPGTSIEGVEKGGYIVSDNSSGNKPDVILIGTGSELEIAFQAGEELRKEGKAVRVVSFVSWELFDDQSDAYKESVLPEAVTARVSIEAGSTFGWGKIVGSKGKAIGIDRFGASAPAGKIYKEFGLTVEAVVAAARELS >KGN56846 pep chromosome:ASM407v2:3:9094605:9096401:-1 gene:Csa_3G134940 transcript:KGN56846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLDQFKKQASSFLHERFKVARLVFTDVTPAELLAEEATNKDPCSPDAKTMTTIAEASFEVDDYWRIVDVLHNRLHNIEWKQWKQSYKSLVLLEFLLTHGPEEIADEFKCDSYIIEELGTFKHIDERGFNWGEIMHQKSQKILQLLKGGQILQESRLRALKITREIQGFGSSSSPSSSSSTFSPNFSPSFSYGSSRTSSFDSYSTITSPAWSDLHGENKFENFSYPEEAIERHIWKGTGNDKNSPASKFNTNGKHLWDCPPIEEDGCLIEPEDEEEKPASFLGGVCSKLAALSPNHPARAGFRRASNKCDE >KGN55928 pep chromosome:ASM407v2:3:2622552:2625023:-1 gene:Csa_3G036540 transcript:KGN55928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMEMEWPSRLDEYEKLVIRMNTPRVVIDNGACSTATLVKVDSARRFGNLLEAVQVLTDLNLSIKKAYVSSDGRWFMDVFHVTDQNGEKLTDESVISYLEQSLGTTHYRRNEEFNGTTTALELTGTDRVGLLSEVFAVLADLQCDVVEAKVWTHNGRIASLIYVKDCNSGSPIEDRQKIDTIVARLRSVLKGDNDIRSAKTSVSMAVTHTERRLHQMMFADRDYERKPILKLNADNSPAVTVQNCAERGYSVVCVQCKDRTKLLFDVIFTLTDMQYVVFHANINTAQERAYLEFYIRHSDGTPISSEAERQRVIQCLQAAIQRRASEGVRLELCTEDRPGLLADVMRTFRENGLNVTRAEISTTRAEISTTRHMALNVFYVTDVVGNVADQKTIESVRQRIGLSNLKVKELPSTYHQTTEREEQTFGVGGAVLFTLGSMVRRNLYNLGLIRSCS >KGN60069 pep chromosome:ASM407v2:3:36654432:36654805:1 gene:Csa_3G875440 transcript:KGN60069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMDDTESCSSRPVDDFSVQTWRQKQKVGVYDEVLRRLRLSHEAETSLPGFEDELWAHFHRLPTRYDTFNLVLLLFRFFAYLESESVHRVFSVFLYRCTLSL >KGN58683 pep chromosome:ASM407v2:3:27185896:27191166:1 gene:Csa_3G728010 transcript:KGN58683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRPCILLFVCFILSVSCLGRFVVEKNSLKITSPDSIKGVYECAIGNFGVPEYGGTMTGIVHYPKANQKACKSFDDAGISFKSKPGSLPTFVLADRGDCYFTMKAWNAQNGGAAAILVADDRLEPLITMDSPEEEKADSNYLKGIAIPSALISKSLGDDIKKALSSGEMVNINLDWTEALPHPDDRVEYEFWTNSNDECGPKCDSQIEFVKNFKGAAQTLEQKGYTQFTPHYITWYCPDAFTLSKQCKSQCINHGRYCAPDPDQDFSKGYDGKDVVVQNLRQICFFKVANETGKPWLWWDYVTDFSIRCPMKEKKYDEECANEVIKSLGIDLNKIKDCIGDPGADMENSILKAEQDAQIGRGSRGDVTILPTLVINNRQYRGKLDRGAVLKGICSGFQETTEPAICLTEDMETNECLTNNGGCWHNKDANVSACRDTFRGRVCECPTVRGVKFTGDGYTHCERITAFAFLNFWAAYPSQPGVCWDLRRAAPYDVHDQLDPDVPVGTRGDRYDRYCIRNMRP >KGN56901 pep chromosome:ASM407v2:3:9393293:9393707:1 gene:Csa_3G142940 transcript:KGN56901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILLLLLQLQPNLAGRTLHEKPPMVRRMELNLKLQSLQRVSVPPSGPSGCTFIPGTNGPGCPLKERHYVANHSTQRPSTHRRYAAPARVVQNLV >KGN55815 pep chromosome:ASM407v2:3:1654672:1658277:1 gene:Csa_3G017090 transcript:KGN55815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDRYEPLKDLGSGNFGVARLVKDKKTNELVAVKYIERGKKIDEKVQREIINHRSLRHPNIIRFKEVFLTPTHLAIVMEYAAGGELFGRICSAGRFSEDEARFFFQQLISGVSYCHSMQICHRDLKLENTLLDGSPTPQLKICDFGYSKSALLHSQPKSTVGTPAYIAPEVLSRKEYDGKIADVWSCGVTLYVMLVGAYPFEDPEDPRNFRKTIARILSVQYSIPDYVRVSVECRNLLSRIFVANPAKRITIQEIKQLPWFFKNLPKELIEIEKTNFKQQEHNQLSQSVEEIMQIVQEAMTPGEASKVGDQALAGGSGLDDLEGDIDSEVDVSGDYVTAV >KGN60395 pep chromosome:ASM407v2:3:39027122:39030937:1 gene:Csa_3G902370 transcript:KGN60395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLDTPPPPLSAPSNMAVGGQPAYSPANNNASSTIALNQPSAQMIPPSSRFPFNHPVIPPSSVPLDSLNVSPYDGSHSANFNVDSGKKRRGRPRKYAPDANNIALGLAPTPTVASSLPHGDLTATPDSEQPARKTRGRPPGSGKKQSNSIGSGGTGFTPHVLLAKPGEDVAAKILSFSQQGPRTVFILSANGTLSNATLRHSASSGGSVSYEGHYDIISLSGSFLLSENNGTRSRTGGLSVLLAGSNGQVLGGGVAGMLMASSQVQVIVGSFLEDDKKSNTSMLNSGSSSAPSQMINFGGGGGGGLAAAAASPPSLGGSSGESSGENGDSPLNNRHPGMFNNSSQPIHNLQMYQLWAGQTQQ >KGN56798 pep chromosome:ASM407v2:3:8867219:8867512:1 gene:Csa_3G133980 transcript:KGN56798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPNLGENVTLFTNIEDIGNCDAWWRVESGPSKILEQRERQKWSPQDLPGATLTDMASSLNLWRSTLLLLPSSLPSNIKLNTMHFFISPLPFSTLF >KGN56427 pep chromosome:ASM407v2:3:6784650:6788136:1 gene:Csa_3G119600 transcript:KGN56427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVFRFSSHSFYFSSTSLPPSFASSYSSLHPSLPSIQNPEKRSSPMPCYSTSHVMPLSFRPRKRLVSFKVQAAVAEKDQPKWWEKNAPNMIDIHSTQEFLTALSEAGDRLVIVEFYGTWCASCRALFPRLCRTADEHPEILFLKVNFDENKPMCKSLNVKVLPYFHFYRGADGQLESFSCSLAKFQKIKDAIEMHNTARCSIGPPKGVGDLILEPSLAPKDKQ >KGN57566 pep chromosome:ASM407v2:3:14329790:14330564:-1 gene:Csa_3G214060 transcript:KGN57566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIPQPQNPRSRVVVNGGFTRTTNMHYYWCRICRRIIRISLGNPLEISITCPFCSRNLRHELDVARARNFPRLPAISPPIVQRWGFEETDASWITLQFPRPAVNSGIEEIPRVRITGKHLEKDSNCAICKEEFEMGEEVRELPCKHFYHSDCVIPWLRMHNTCPVCRYTLENIGDEGSGSGFNGGRGEEFREEEEEEGERRGNGWWNLVCCWWPFRLVGDWARRLRFADSGDSFFAVERKRWMELGNKI >KGN56063 pep chromosome:ASM407v2:3:3621162:3621422:1 gene:Csa_3G063630 transcript:KGN56063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQKDGTYDGTVKRVGRPSRSTTAGQATQTVDEQVVDIFDTKPMVADGKLLIRGSQQFAIKLYTNDAMAWTLKQQWQNQELSLCWY >KGN57203 pep chromosome:ASM407v2:3:11378122:11378505:-1 gene:Csa_3G171110 transcript:KGN57203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKALQTIVALNKCDHDTHLIAAVAKLFWHYRKVDIARTWLNRAVILASGVGVFTTNSNFSRVLTRIRRNVLKRYVDAEPKQLRNGKQFQRLRRTSIKRLKQS >KGN58689 pep chromosome:ASM407v2:3:27210734:27222500:1 gene:Csa_3G728060 transcript:KGN58689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRTCMNVSCRASSSTEWRKGWALRSGDFATLCDKCGSAYDQSIFCDIFHLKDSGWRECTSCGKHLHCGCIASKFLMELHDSGGVNCISCAKSLGLHTTSTSEKLNGLGTSQVQNSGDLQSFLVEDGNNDRITLIQSGNKAEGNELRQSHLSPSNNKNVSLVQMKHEENCPSVRDVGYVCSSESTQVTNGLNEFAKQEICKGDSGTKLAYDSLALGCGNSNPLPGVSIDEKEASKPSSPLLLGSRSRHLIPKPARSVFNVGLESNTSMVSQVRVARPPAEGRGRNQLLPRYWPKITDQELQQISGASNSTVVPLFEKMLSASDAGRIGRLVVPKACAEAYFPPISQPEGLPIRIQDVKGKEWVFQFRFWPNNNSRMYVLEGVTPCIQSMQLQAGDTVTFSRMDPEGKLIMGFRKASSSSIMQDSHPSALSTSGHSSEFFSSVFENLPLLSGHSSLLQSLKGNMDPQLNLLPGYFNQPGNDTNWQKAEKQDDQSRECLLLSSMMVPERKRTRNIGSKSKRLHIDCQEALELRLTWEEVQDLLCPPPTVKPSKFMVEDHEFEEYEEPPVFGKMSIFVVHSTRGQEQWAQCDDCSKWRRLPIDVLLPSKWTCLENIWDQSRSSCSTLEELTTRELENILRLNKEFKRQRTLAFSGPIQDHESSGLDALANAATLGDNGSDPGTASVATTTKHPRHRPGCSCIVCIQPPSGKGKHKPTCMCNVCMTVKRRFKTLMMRKKKRQSEREAEIAQKNQLKWSSREESELDNTSRHASLNLDPSANEAQLMTNEPRSQSNLVETCKDQLDLNCQPDQENEVEGVPNRVSMMSLVQIASLPLETYLKQNGLTSLLPELQASSASHAPAQGTNEMEGAVNDDGCFASAAQDQESEGEQICGKDQS >KGN56518 pep chromosome:ASM407v2:3:7262868:7264741:-1 gene:Csa_3G122420 transcript:KGN56518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCFSRCARNLFVVSSKRKDYTIRSMVDATSTKKRVYFEDPVEFYAQREDVISWTSKITNLVRTGQPESAFGFFKMMFSNGHRPNYVTMLSVIRAIDALSWDSMIEVMHGVVIKMGFESEVAVSTALLGFYSIRDIETVWKLFNQIPSKDVVLWSAIISVCVKNGQYNEAFDLLREMQDQGVQPNQVTIVSILPACADFGVLSLGKELHAFSMRRDFYSMVDLQNSLMDMYSKCRKFEASIRVLKLMRKKDAVSWKIITHACIQNNCPSKVFKIFSRMRSFGFELSETMMLDMISAVLLLDELLLGLAVHCYALKGGFLCFILVGTELLQMYAKFGDLRLAKLVFDGLVDKDIIAWSAMISAYSHGEDPLNAIQTFKMMQSTNEKPNERTFVSLMDACSSLGAKELGETIQAHTIKCGYTSNTHLMSALVGFYCKLGRIKLGEHVFDEISRKDVICWNALIKGYGLNGCGNKALNTFSDMLSYGLKPNGVVFASLLSACAQCGLEKEVRMWFRSMNDEYGITPTMAHYACIVDLLVRQGKIREAVEFVKKC >KGN56192 pep chromosome:ASM407v2:3:4853637:4857006:1 gene:Csa_3G098540 transcript:KGN56192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMAALQSSMTALSLSSNSFLGQRLPPPTIYAAPITSKEKPCLIVMKLKRWERKECKPNSLPVVHKMHVKIGDTVKVIAGRDKGKIGEITKIFKHNSKVVVNEINLKTKHVKSREEEEQGQIIKIEAPIHSSNVMLYSKEQDVVSRVGHKVLEDGKRVRYLIKTGEIIDSTENWKKLKEANSKAEVATTA >KGN60189 pep chromosome:ASM407v2:3:37511626:37525137:-1 gene:Csa_3G883000 transcript:KGN60189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIQPETSSENRLIFAYYVTGHGFGHATRVIEVVRHLILAGHEVHVVSAAPEFVFTSAIQSPRLIIRKVLLDCGAVQADALTVDRLASLEKYHETAVVHRHTILETEAEWLKSIKADLVVSDVVPVACRAAANAGIRSVCVTNFSWDFIYAEYIMDAGHHHRSIVWQIAEDYSHCQFLIRLPGYCPMPAFRDVVDAPLVVRRLHKTRQEVRNELGIGDDVKLVILNFGGQPADWKLKDEYLPSGWLCLVTAHILQETAAGKNYTSDKFSGARRLQDAIILGYQLQRARGRDLLIPKWYANAENEFALSIGSPTCQVDERSSPIDYSIEDFEVLRGDVQGFPDSVNFLKSLVELDSLNDNGNTEVRREQKAAAGLFNWEEDIFVTRAPGRLDVMGGIADYSGSLVLQMPIREACHVALQKNHPSKHRLWKHVQARQDSSVQGQTPVLQIVSYGSELSNRAPTFDMNLSDFMDGGKPISYENARKYFSKDTAQKWAAYVAGTILVLMRELGVQFEDSISMLVSSAVPEGKGVSSSASVEVASLSAIAAAHGLSISSRDIALLCQKVENCIVGAPCGVMDQMTSACGEANKLLAMVCQPAEVLGLVEIPSHIRFWGLDSGIRHSVGGADYGSVRIGAFMGREIIKSTASSMLPVSSSVVNGVNNYDSEDNSVELLQTESSLDYLCNLPPHRYEAIYAKLLPESITGEDFVEKFVNHNDPVTVIDEKRNYAVRASARHPIYENFRVKAFKALLTSATSDEQLTSLGELLYQCHYSYGECGLGADGTDRLVELVQQAQHSKSEDGTLYGAKITGGGCGGTVCAIGKNSLRTTQQIIEIQQRYKDATGYSPFIFEGSSPGAGTFGHLRIRRRSSPKLNKSIS >KGN58953 pep chromosome:ASM407v2:3:28529905:28533594:-1 gene:Csa_3G738990 transcript:KGN58953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGKQNLAEDDGGDPLKNRFGGPNLKAGGDSTEDKCPNLHQKFDNHPMEAPPLADMNSEEYSEISKFPQIPTRSSKRLAFSPLSSPTFSIAAVSPGTSPSESKSNAEGTNMNSQHANLRPDVEMSPTIPCEVSHVVASQRPRISRSSSLTKIFNPKLKRAADPGSSYEGAISEPPIPIRELAQRSMHRSHSVPLIRKDGSVLLRGNIVRLIPISPQIGKEIHLTPFKSPTYHNDENIDTGEHISEEAVCRICLIEFGNSPETFKMECNCKGELALAHQECATKWFSTKGNRICDVCRQEVQNLSIELLPVHAVQIYNFQGSEANPVAITRYRVWQDVPFLVIVNMLAYFGFLEQLLAGKMGSSALAISLPFSCIFGLLASMTAATMVLKEYIWIYAAVQLSLVIAFSHVFYSKLHMQAIVAVLLATFSGFGVTMALSSILEKILRRTRPWLDQSTHQTTDGSLTTDHASNASRSSTWSSTATQGPTAV >KGN59108 pep chromosome:ASM407v2:3:29641818:29642134:-1 gene:Csa_3G774720 transcript:KGN59108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSILPSSSSHSADAKMESSDRNSETTYLFHFPFKLPSSQFSDHRSFTFTGNFNGECLVTNCILWFLLR >KGN59536 pep chromosome:ASM407v2:3:32557667:32562184:-1 gene:Csa_3G824810 transcript:KGN59536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIKFSKPNQVKVDNLSLQHHLINYLSKSFYIRNLVSKQRRRMLVAGYDLDMSYITDHVLAMSFPAERMRAVYRNPLWQVKSVLDMRHQGHYKIYNLCIEESYDPSHFHGRVESFPFDDNHVPHLQMIKIFCDNVSSWLSSHPKNIAVIHCMAGKGRTGLMVCAYLVYCGMSVEDALQLYAQRRTTNNEGVSIPSQRRYVGYWSRCLSFPRGVYNGPPEVKLPKPCRRELQRIRLYDAVNTESIFFVVSESQEVPSQLYRPSAELTRKCCRQFKSGYERSNSPRYFLSFVEGENEGNKSEVEPHLVVQMDTECSALYNKTCLDYNFEKPLPLTGDVRIIFYAKMFGGRLFYACFNTAFIKNSLLQLRLQDLDKVGKKGRSICGPSFCLELVFGPANAKHLFSTSSDDNDDPQSDLS >KGN59114 pep chromosome:ASM407v2:3:29689140:29691855:-1 gene:Csa_3G775270 transcript:KGN59114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKMGNNDAEEKKVVSVFRSKTRKLHTTRSWDFLGLSEAVSRRNAAAESNVIVGLLDSGIWMEGPSFKDDGYGEIPSKWKGKCVTGRNFTSCNRKVIGARFFDIGQIDNSIDKSPADEIGHGSHTASTIAGASVDGASFYGVAGGTARGGVPGARIAMYKVCWVDGCSDVDLLAGFDHAIADGVDIISVSIGGESTEFFNDPIAIGSFHAMEKGILTSCSAGNSGPELKTVENTAPWIMTVAASTIDRDFSTVVKLGNNKKLSGVSVNTFTPKKQMYPLISGSNAALPNQSDPYLDPSWCDSGTLDEKKVKGKIVYCLGSMDQEYTISELGGKGVISNLMNVSETAITTPIPSTHLSSTNSDYVEAYINSTKNPKAVIYKTTTRKVDAPYLASFSSKGPQTIALNILKPDIAAPGVNILAAYSNLASITNNRHSLFNLLSGTSMAFPHSAAAAAYLKAFHPTWSPAALKSALMTTATPLKIGDKLDVIGAGTGQINPIKAVHPGLIYDLTRTSYLSFLCTNKRYSDSGSALAILTGDTSLNCSDVPRASGFDAINYPSMYVPVDRNATSVSAVFHRTVTHVGFGPSTYIAKVKSPAGLSVKVSPDTLKFDRAYKKLSFKVVVKGAAPAVGQAPLTASLEWDDSKHYVRSPILVFKV >KGN58399 pep chromosome:ASM407v2:3:24858676:24864776:1 gene:Csa_3G636970 transcript:KGN58399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFGLGRNQRTFRPKKSAPSGSKGAQLRKHIDATLGSGNLREAVRLPTGEDLNEWLAVNTVDFFNQVNLLYGTLTEFCTPENCPTMTAGPKYEYRWADGVQIKKPIEVSAPKYVEYLMDWIESQLDDESIFPQKLGTPFPTNFKDVVKTIFKRLFRVYAHIYHSHFQKIVSLKEEAHLNTCFKHFILFTHEFGLIDKKELAPLQELIESIIVPY >KGN55906 pep chromosome:ASM407v2:3:2495587:2498170:1 gene:Csa_3G035840 transcript:KGN55906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGEISVPVSLVAVVVLVVAVAFLEAQEVPDCVSKLTSCVDFLKSNNPPATCCDPIKEAVATQLPCLCNLYTTPGLLNSFGVNVTDAIHLTNACGVPVELSKCKTGAPAPQQGGPSPPGVPGNHAEKFGLTGITSLLVFLASLAFY >KGN59169 pep chromosome:ASM407v2:3:30003901:30004191:-1 gene:Csa_3G778255 transcript:KGN59169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVVVDDSRLDGASYAGVVHHDVELAEGFDCSFNRILDLGFVCDIAVDIEGVGPAKHGGIRCMTEVVLDGGDGEQRVLQSIRRSRFATGYYVYFFL >KGN58715 pep chromosome:ASM407v2:3:27350492:27351507:1 gene:Csa_3G730790 transcript:KGN58715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNPEDSAPTQQNVSPPSTPPAAESKSQSPPASSFGVSEIVSRWRREDVLKRRALALRGFAFIFSLLAFVIMASNKHGDWKDFDKYEEFRYVLAIEILSTLYTGAQVLRQFHELSTGKSVILPQKSVFIDFIGDQSLAYLQMSAASSAVPMTNRMREGSDSSFTDSLAASVTMSFFAFLSLALSSTISGYKLSTHSYI >KGN55812 pep chromosome:ASM407v2:3:1635730:1639481:-1 gene:Csa_3G017060 transcript:KGN55812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METAETAGAKGLSCEAMDCLSERLSLQEDLYFPRALQSTASNPSQRKAILLDLLSRDVAVFLERYGSQLTSNELHEFDALKDDYEINWHLKHLRSIKNPTSDELHTRSVIVKNRRRAYLNKLIYDGQYFSEDAMREREPFLHHEYVGKFQDPSGRGMARPGERWSETLMRRSEEAMLVAKIRGEQRRLGVAERDLVGNNVNQGEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEAEEEKEEVGEDTKGNNKLANGADSMEITRAMDDQHEMPATENSEAAEGGSNHVATLSEADMQDQMDQFTYIMHQKFLLGEDNEHLDYSKIDNDETLDDHWMREANDDAEEKYFDED >KGN57011 pep chromosome:ASM407v2:3:10062510:10063257:-1 gene:Csa_3G149910 transcript:KGN57011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVALELEDDLFFADLSRQLSLLLMDDNEDPLPHPHVSLQALSHGVHYPTRPLATHEHAAAAAVAAAYNGVSKGTGVFIPRSLQPARKQRRGRHNNIKPNRQFHISIDVNSQVPSNNHSMRPKKG >KGN58663 pep chromosome:ASM407v2:3:27050047:27051109:-1 gene:Csa_3G715370 transcript:KGN58663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIDTSAISGQTVCVTGAGGFIASWLVKLLLEKGYTVRGTVRNPDDQKNAHLTNLQGAKDRLSLFTSGRYICVESMLHRGELVDILAHFFPQYPLPTKCSDEVNPRKKPYKYTVEKLMSLGMEFTPIQQCIYETVKSLQEKGHLPLPSQIQH >KGN58518 pep chromosome:ASM407v2:3:25832871:25837278:1 gene:Csa_3G654480 transcript:KGN58518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAATTSVVPPNRRRTISAPEDKLEFETTEGVEPILTFDQMGIKDDLLRGIYAYGFEKPSAIQQRAVRPIIEGRDVIAQAQSGTGKTSMIALTVCQMVDTTSREVQALILSPTRELATQTEKVILAIGDYINIQAHACIGGKSVGEDIRKLEFGVQVVSGTPGRVCDMIKRRTLRTRAIKLLVLDESDEMLSRGFKDQIYDVYRYLPPELQVVLISATLPHEILEMTNKFMTDPVRILVKRDELTLEGIKQFFVAVEREEWKFDTLCDLYDTLTITQAVIFCNTKRKVEWLTEKMRSNNFTVSHMHGDMPQKERDAIMGEFRSGTTRVLITTDVWARGLDVQQVSLVINYDLPNNRELYIHRIGRSGRFGRKGVAINFVKSDDIKILRDIEQYYSTQIDEMPMNVADLI >KGN56524 pep chromosome:ASM407v2:3:7287939:7289942:1 gene:Csa_3G122480 transcript:KGN56524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSSSGMAVHDECKLKFLDLKAKRKYRFIVFKIEEKMQQVTVDKVGGPDETYDDFTASIPANECRYAVYDYNFTTNENCQKSKIYFIAWSPDSSRIRSKMLYASSKDRFKRELDGIQVELQATDPSEMSFDIIKSRAL >KGN56580 pep chromosome:ASM407v2:3:7588931:7591568:1 gene:Csa_3G124980 transcript:KGN56580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYLAKNNNESPKRQWSLKDFDVGKPLGKGKFGRVYLAREVRSKYIVALKVIFREQMKKYGIHRQLMREMEIQTSLRHPNILRLYGWFHDAERIFMILEYAHRGELYRELRKNGHLSEKQAATYMLSLTQALAYCHEKDVIHRDIKPENLLLDHEGRLKIGDFGWAVQSRSKRYTMCGTLDYLAPEMVENKGHDFAIDNWTMGILCYEFLYGVPPFEAESQSDTFQRIRKVELNFPSTPRISTEARDLIGRLLVKDSSKRLSLQEIEEHPWIIKNADPSGICNTR >KGN55743 pep chromosome:ASM407v2:3:1062911:1065470:-1 gene:Csa_3G009490 transcript:KGN55743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTIESPLPIISPYISSSSSSSASKLCFNKLPSPIKLRISFSSPLLSLNPTTPISPTSFNSSRNRGGGARLCSALQEVTLEEASEENQDVNQKRKLYIFNLPWSLSVVDIKELFGQCGTVSDVEIIKQKNGRSRGFAFVTMASPDEAQAAIQKFDSQEISGRVIKVEFAKRLKKPPPPKPPGPPPGETVNKLYVSNLAWKVRSNNLRDFFSENFNPIAARVVFDSPAGRSAGYGFVSFATREEAQTALSSLEGKELMGRPLRLKFSERNVNEAETPKEDIVESQPEES >KGN56233 pep chromosome:ASM407v2:3:5233764:5237114:-1 gene:Csa_3G104860 transcript:KGN56233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILITWHGKSPQVINRKSTSKDMAIFAPTQKLIKSPPFIFTSLNNGVFGVFVSQKRPSPATASPPPHAAVRRRPSYLKQSHRLHRISLPLTLSPLPEIANKLSRDNWCEEAYSSFLSIEESFEIVPNSGKDMGGRGVIGDKWSTRVLWVCALGSVIGMYMVAQERQLQNRQRMLTESLKDVESGGNVENV >KGN58016 pep chromosome:ASM407v2:3:20448196:20450721:1 gene:Csa_3G434970 transcript:KGN58016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSIISKVLVLFFAFLLGSGNAQDSTTLVPAIMTFGDSAVDVGNNNYLYTVFKANHLPYGKDFVNHQPTGRFCNGKLATDFTAQTLGFKTFPLPYLSPEASGKNLLIGVNFASAASGYDENAALLNHALSLPQQVGFFKEYQVKLAKVAGNEKAASIIKDALYLLSAGSGDFLQNYYINPYINKVYTPDQYGTMLIGAFTTFIKDIYGLGARRIGVTSLPPLGCFPAALTLFGNHQSGCVSRINTDAQAFNKKLNAAAESLKKQLPGFRIVIFDIYKPLYDVISSPSENGFVEVRKGCCGTGTVETTSLLCNPKSLGGTCSNSSQYVFWDSVHPSEAANQVLADALILQGFALL >KGN58326 pep chromosome:ASM407v2:3:24028072:24035289:1 gene:Csa_3G622450 transcript:KGN58326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYCVPDFETDEDSLLPSSSSVPSRSKTSSMLDGEVMELLCQNGQVVMQSPNQKSRMKSPQSTTAEQITNRDTRPMSQQEEPQLFMQEDEMISWLHYPLVDDSTLENSFRDELLYPSNPQSIEQNAVVSAQVRTTDGMEFRPLTAMTTTATSTMVARPPIPPMRRTEPETKVNSFGRFSGHARRIESAPSNSKNMVRESTVVGSTSSNTMVLTPETRSSEVQRTAITDIPSCGLACSGGVAAPSTGNGGELMKMIVSETEPVQRTTSLEDRKRKGKETDDSDYLCYSTDVEFESTDAKKQVRGSTSTKRSRAAEVHNLSERRRRDRINEKMKALQELIPRCNKADKASMLDEAIEYLKTLQLQVQMMSMGCGMVPMMFPGAQQFMPPMGMGMGLGMGIGIGMEAGVNRPMMPYPNMLAGQMFPWQAGATQLGPSLSFPPFHMAHVSNTDPSRIQETNQSDQMHSSSEMQNINLPRASSSLDSYHQFPGSQQMQKSASSSQPSLQNQPPALLPGTHNQHTRRRLENIDNHETGSPTMYPC >KGN59718 pep chromosome:ASM407v2:3:33778998:33782933:1 gene:Csa_3G840440 transcript:KGN59718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSTAEETAAATVEGVDLLGQPTFTELDNGRFRCVETGHELVGKDKDSYSRTKRCRLGLIDLALSRRKAPLNMFEQDPLSRSKLKCKLTGDTINKTEEHIWKHINGKRFLNKLEQKESEKELMAKSGEQQSKKKAAKALKPSSENSKKKKKKEQEETISEAQECNGESNAEDAFWMPPVGQRWDNDNGGDRWASGSDSEHESDKIIAMDDKDDKDEHGGNKSDEDKHHEKESDELSKQTKRMSIEIGPSSFASRKKKSKKSST >KGN56203 pep chromosome:ASM407v2:3:4925752:4927703:-1 gene:Csa_3G099640 transcript:KGN56203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METDEDALKKTQLVEARARNISHNVRCIECGSQSIEDSQADIAILLRKLIRDEIRSGKSDKDIYKKLENDYGETILYTPKFDLQTAGLWLSPVIVAGAAVGVWAYSKHKQRSNVHIMALNLVRGVPLTPKEKQTMLDLLSPPPSQRTPSSWWRNWRRQ >KGN56941 pep chromosome:ASM407v2:3:9669024:9670288:1 gene:Csa_3G145780 transcript:KGN56941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASAVMQSILSTTATRGVYRTTTNRLLPAALRPCSRSTAAFRVRCMAEEDQREQAIPSSIPPSPPKPEPSPSPPSKVTSTKLTDILAFSGPGPERINGRLAMIGFVAALAVEVSKGQDVFEQIANGGIPWFVGTSVVLTLASLIPLFKGVSAESNSKGIMSSNAELWNGRFAMLGLVALAFTEYVKGGSLV >KGN56964 pep chromosome:ASM407v2:3:9829224:9831398:-1 gene:Csa_3G146500 transcript:KGN56964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSLNLDWAENEGPIAIILRCRLSIETLLKIEIYKTSLEVEQEEQPFFFLISHSDQKNKKLLHCKMDPLSALRDFTIRGELDKIVRVNDEFRFASDYSFPCSVETAYRSKQGNLYTLETLVYYIKNHHVKHTEYLQNARTQGITSVTFPDRKPLLDYLTGKVSSSDAIEFLVPQNPKFPDLPSVDEYRPEDPVIVGAAMDAVDEDDGFKDSTNVDYMTMIRAIERPLKDRESLLECKNRNFYNVLVMSTKREEERQRLESQQRKDGLVAKSRLMGSDDRGLVGYGDDLGYDANPKPKMHLKGGKIGEGVPIILVPSAFQTLITIYNVKEFLEDGVFIPTDVKVKQMKGARPDCVTVQKKFSRDRDRVVTAYEVRDKPSALKSEDWDRVVAVFVLGKEWQFKDWPFKDHVEIFNKIIGFYMRFEDDSLESAKNVKQWNVKIISISKNKRHQDRAAALEVWDRLEEFVRSRSHS >KGN60478 pep chromosome:ASM407v2:3:39694732:39695404:1 gene:Csa_3G914580 transcript:KGN60478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFPFNLKSWPALKFLDAQTVANAIKTENPNFEIVTVPEGTPVTKDIIPGRVRLVVDANGKVVNVPQEG >KGN57755 pep chromosome:ASM407v2:3:16638392:16638682:1 gene:Csa_3G280940 transcript:KGN57755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELTQKMKTDQKNEQSTQKTVEPTHKVEPRRTGATRAKSDEVALSCAARCQLRNSSHFRPSCGPF >KGN60103 pep chromosome:ASM407v2:3:36865812:36866093:1 gene:Csa_3G878720 transcript:KGN60103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFWKILCNLIESTLPEWRDEYISYKELKKQLKKMYPKENDGTNPNKRLKLDGEAEANSMEIFLNLLQEELDKFNQFFETKEEFYVIKWRVIH >KGN58531 pep chromosome:ASM407v2:3:25953081:25964518:1 gene:Csa_3G664550 transcript:KGN58531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGYGGEACQLYGMGTMDLRAAVGKRNLEWDLNDWKWDGDLFIARPLNTVESGHLSRQLFPIVSGIPLTNGGSSNSSSSCSDEANMGIEKGKREVEKRRRVTVIEDENLNDEARTLSLKVGGNGSQIVERDAGSWEGTSGKKTKLAGGNSNRAVCQVEDCGADLSNAKDYHRRHKVCETHSKASNALVANVMQRFCQQCSRFHVLQEFDEGKRSCRRRLAGHNKRRRKINPDNVVNGNSPPDEQTSSYLLLTLLRILANLHSNGSNQTTDQDLLSHLIRSLACQSSEHGGKNLSGILHEPQNLLNNGALIGKSDLVSTFLSNGPQVPLRSSKQHDTPIPETPAQAIGRGGDTPAISSIKPSTSNSPPAYSEIRDSTVGQCKMMNFDLNDAYVDSDDGMEDIERQTLPVHMGTSSLECPSWVQQDSHQSSPPQTSGNSDSASAQSPSSSTGEAQSRTDRIILKLFGKAPNDFPHVLRAQVLDWLSHSPTEIESYIRPGCVVLTVYVRQTEAAWDNLCHDLSTSFNRLLDVSDDAFWKTGWVYVRVQHQIAFVYQGQVVVDTSLPLRNNNYCRITSVNPVAVSTSKKAIFSVKGINLSQPTTRLLCAIEGKYLSQEASDESTESDDNLKAQDDSQCVTFSCSIPVVYGRGFIEVEDDGFSSSSFPFIVAEEDVCSEICSLQSALELTETCSNSGETAELEGRSNAMEFIHEIGWLFHRNQLKSRLGHLDPNENLFSLPRFKWLMEFSMDHDWCAVVKKLLDILRDGTVDAGGHPSLNLALMEMGLLHRAVRKNSRSLVELLLRYPSKVKDASSSEDSASVDGETDSFLFKPNVVGPAGLTPLHIAAGKDDSEDVLDALTNDPGMVGIEAWKSARDSTGSTPEDYARLRGHYSYIRLVQRKINKRSAAGHVVLDIPSSLSDGSWNQKQNTDFTSSRFEIGRTELKPSQQHCKLCVRKPLGCGTSSSASLVYRPAMLSMVAIAAVCVCVALLFKSSPEVLYVFRPFRWELLDYGTS >KGN58591 pep chromosome:ASM407v2:3:26442116:26446583:-1 gene:Csa_3G696860 transcript:KGN58591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENEVGLVTKKNKWKMPMNVFFKDSRLVFKWDELGKEILGIAFPAALAVAADPIASLIDTIFVGHIGAVELAAVGVSVAIFNQASRITIFPLVSITTSFVAEEDAVGKTAIKPVKCDLEKHLTENGQKRELTSIKKENMLENNSSASLTSNEPRNSTPQNGTIQDLEKNASEAKCKELEKKSSNQDGTKESILENPTLENVEKDLSTCTGQPPAVSTPTVKPKKKEKKHIGSASTALIFGTVLGLLQAVFLAFGAKYLLNVMGVKESSPMLAPAMKYLVLRSLGAPAVLLSLAMQGIFRGFKDTRTPLYVIVLGYTTNIILDPILIFVCHLGVRGAAIAHVLSQYLIVLVLAWRLMQKVDLLPPSLRDLQFGRFLKNGSLLLARVIAVTFCVTLAASMAARLGPTPMAAFQTCLQVWMTSSLLADGLAVAGQAILACAFAEKDYEKTTATATRGLQGKNLDSWGLEMQMSLVMGVGLAVIVAAIMLFGAGIFSRDLNVQALIHLGVPFVAATQPMNSLAFVFDGVNFGASDFAYSAYSLTLVSIATIISLFLLSKSYGFIGIWTALAIYMALRTLVGFLRMGSGTGPWRYLRGPLLP >KGN60404 pep chromosome:ASM407v2:3:39091144:39094008:1 gene:Csa_3G902950 transcript:KGN60404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVLLPSTSFRTVNLKLESFRTFFSTSRNRRFSDTLKCRRKTTSTELQATVDVAARADSGSPSIPSHKVTVHDRERGVVHEFVVPEDQYILHTAEAQSISLPFACRHGCCTSCAVRIKSGEIRQPEALGISAELKSKGYALLCVGFPSSDVEVETQDEDEVYWLQFGRYFARGPIERDDYALELAMADE >KGN56738 pep chromosome:ASM407v2:3:8511547:8512275:-1 gene:Csa_3G131910 transcript:KGN56738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVALRSYFIRNRGLEERILRHVIQEEQDFIRKLEVYYRHFFCSDFLVNIIIGIDEYISTLEQDFKEAQGLKPDVVESTLEQDFKEDFVKTDKPWVRTVGMTLPDFFFYRFSSAVDPELDFPHLACTYPQPVQRQAILFFVLIPGSFYILIYTFSVCKGLLEDAERSKDWKKFASWMSEHKKKYESDEEKLYRFGIFRGELKHIKKLNKEDNGCTFGLNQYSDLTNSEFNRLVLNPDLNKFW >KGN57017 pep chromosome:ASM407v2:3:10090078:10090401:1 gene:Csa_3G149970 transcript:KGN57017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCSHSYGFRQLQFINTFALGMGMGMGMGMGMGMGMGMGMGMGMGMGMGMGMGMGMGMGMGMGMGMGMGMGMGMGMGMGMAKHIRDGVSPKPIWAWVSLGPSAGVLN >KGN58126 pep chromosome:ASM407v2:3:21644233:21648061:-1 gene:Csa_3G535100 transcript:KGN58126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNFEPQHMKSPLSHFSRNFHNGCTTTQLQVLPPPLQSPPSISPPSRATGKPSRSSSLRLDSVSRVEEEARSFFAMPTAEKLATNPQNARSINSTTRIGYGIRNIGCSGDMGEIEYLLLSARPSSVSQLALSLSKSPSNFSCVLNGYIEEIKNVACEVLDLVAEGLGISDASIFSRMILDDQNDSLFRINRYRPFAEDIINHPPPNTIGFSEHTDPQILTILRSNDVGGFHISLDDGSWVPVSPDPTAYFIIIGDLFQVLTNGRFVNPKHRVATNIGPKARMSMAYFAAPSLNALISPLLKEMATSNQPCLYRPFTWGEYRSHLYSLPLASSKLDSFKIKPN >KGN60300 pep chromosome:ASM407v2:3:38416580:38420013:1 gene:Csa_3G894480 transcript:KGN60300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLVEIQDTLRSSPAENKAMKKASFVGITTTSLFYILCGCVGYAAFGNDAPGNFLTGFGFYEPFWLIDFANVCIVVHLIGAYQVFCQPFYGFVEKWCNKKWPESTFITTEHTINLPFNGEYQLNYFRLIWRTIYVILTAVVAMIFPFFNDFLGLIGAASFWPLTVYFPVEMYIARTKLPRFSSTWIWLKTLSWACLVISLIAAVGSLQGLAQDVKTYRPFKS >KGN56272 pep chromosome:ASM407v2:3:5541762:5546584:1 gene:Csa_3G110690 transcript:KGN56272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKRTLLQKPSEQARLIHELPKVIPDIPEPTFEDLLEEDEEVNHVLVDRSDQRKVATVADVEESLIGEPNISEKQQHFEVSTCEDFAKESCISAAEFQAHGEQHQSILPKKNVCSKTLPSSNNIPIESIKIQESKAKNKICSEVQLIELSDVDDEDDNLKVAKNSENPNFSMWYCASPQGETRGPLPMSLLKQWKDSSAFELKCKVWKSDQSSQEAILLSDAIRLLFPE >KGN56735 pep chromosome:ASM407v2:3:8495076:8498847:-1 gene:Csa_3G130900 transcript:KGN56735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSGFSQEPAGMYSTITALPMDGGGGKFQGSLDGTNLPGDACLVLTSDPKPRLRWTAELHERFVDAVTQLGGPDKATPKTIMRTMGVKGLTLYHLKSHLQKYRLGKQSFKESTENSKDASCIAESQETSSSSSPSSRIMAQDLNDGFQVTEALRVQMEVQRRLHEQLEVQRHLQLRIEAQGKYLQSILERACQALSDQAAASAGLEAAREELSELAIKVSNDSKEMAPLETQKVLPFSELAAALENRKAPTVMPRIGDCSMDSCLTSAGSPVSPIGVGSTATAMKRPRPVFSHGDSMALEGNARHDV >KGN59482 pep chromosome:ASM407v2:3:32218544:32223381:-1 gene:Csa_3G822330 transcript:KGN59482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSNGDVAVDSYNRYKEDVAIMKQMGFNAYRFSISWPRILPNGKVSGGVNKKGIEYYNNLINELVANDIQPFVTLFQFDLPQSLQDEYQGFLSDQIINDFRDYAELCFKEFGDRVKYWITLNEPYIFNLMSYVETGKFAPGRSSAEHAFDILRGGSEGTEPYIATHNQILAHAATVKVYRTKYQEQQKGEIGMVLVGDWYVPYSDSEEDQSATSRALDFTFGWFLHPLVYGDYPSIMRSVVKERLPKFTEEETILIRESFDFIGFNYFTAYYAKDNSSEAIPNTQTPTYLTDLGPITITHERDGVLIGPKVEESSWLATYPQGLKDALIYLKNNYKNPKIYITEIGSIDIDGPQIDELINDEDRIKYHQHHLYYLNQAIKDGARVKGYFVWSLLDNFEWSMGFLHRFGLHYIDFNDTDLERIPKASAKWFQNFLKDMEDVQQD >KGN59289 pep chromosome:ASM407v2:3:30812189:30814406:-1 gene:Csa_3G800720 transcript:KGN59289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVELGLSLGDHPSSNSNSNNKKKPFSNFLSKPISSSSNSSSSLMALGFCVGLIGRHSASASASASVQDDEEDEEQDDEDDDDDRKTVVLAGSTSGLDPPVQLDLLPLAPVPRSSSSSSPLLPFPWLSHHLMGEVGGSSEGGERVETVDVKRVVVEEREDRVAAVAVAALSSPNSTVSCFEMEFGGGGGGGGGGIRRKRSWDHMEMETERGGGGGGCSRIMSDDEDNNASASAAARKKLRLSKLQSAFLEESFKEHTTLNPKQKLALAKQLNLRPRQVEVWFQNRRARTKLKQTEVDCEYLRRCCETLTEENRRLQKELQELRALKTSQPFYMQLPATTLTMCPSCERVATTTTTTPAPTTPAPSSALCLANKPKILPFPHLHQAAS >KGN57258 pep chromosome:ASM407v2:3:11808303:11808948:-1 gene:Csa_3G175590 transcript:KGN57258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPWRIPLLLKLLFFSLLLIFSTVSTTNNVVQPLFHKPRIRKIGVDKKTNDGKAGVVPRVIKPTSEDFPWGGGYIHPHLSNP >KGN58036 pep chromosome:ASM407v2:3:20700051:20700480:-1 gene:Csa_3G449120 transcript:KGN58036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLAEDGLEMKFSTICSLREHVVDDMMFETYDLIRDCMDNAIDQLKTIADDLIKRSTGSMCGAGGHYAYEVHFGPYYGGHQVLLCDNP >KGN58697 pep chromosome:ASM407v2:3:27244563:27246621:-1 gene:Csa_3G728140 transcript:KGN58697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIPKLHRLSCIKELEQAQAFIVKAGFYNHIPIITKLIAFSSLSPLGSLPHACALFQETSMDDSFICNTMIRAYSNTVFPLKALLIYNRMQRMDVDSDHFTYNFVLRACARAIKCTEMDDQCFGHHIISRKGSEIHARILKLGFDQDHHVQNSLLLVYSGSGLVGFARLIFNEMTVKTAVSWNIMMSAYNRVHDYKSADVLLESMPQTNAVSWNTLLARYIRLNNLVAARKVFEEMPERDVVSWNSIISGYVNVKDYKGALDLFHSMKQWNIRATEVTFISILGACAELGALEIGKKIHDSLKEKHYRIEGYLGNAIVDMYAKCGELGLALEVFNEMEMKPVSCWNAMIMGLAVHGHCERALEMFDSMKAEDGDHKPNRITFIALLIACSHKGLLAEGRHFFSLMVTKYKIMPDLKHYGCMIDLLSRWGFLEEAYVMIKTCPFSSCSVLWRTLLGGCRLHRHVELGEESFRKLAELEPGKDGDYVLLSNIYAEEERWDDVERLRKEMINYGVCKKAGSSHNSRRHGEEEKGLRWTLKSWALFSKFLIYNFQSVRGAQPTAFVTNCFFMGFSCFPLEPNTNIAFVCQNHMEMNPICPKSVKLSLA >KGN58209 pep chromosome:ASM407v2:3:22704969:22706640:1 gene:Csa_3G592140 transcript:KGN58209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVVERGMFARNGGKYTKLGKRVRYCTDTIAFAQLCGTVLMELDEVKDSKVDPRVSQGSSRHVLSCILQNFGKVEVKFADGSVFNLSAEFLRVYSPAADAKIRSIGGEKVISGRRHVGIMSAEPVGNYGVRILFDDLHRTGIYSWDYFFHLGSNKFTLLRNYVKTLKKHGLSRDPPKRK >KGN60008 pep chromosome:ASM407v2:3:36189367:36189642:1 gene:Csa_3G865430 transcript:KGN60008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRFTIAWWAFSFPISALAIASIQYHHQVQALPAKILMLLLLTISVFVVVSLVAATVLNSGLLLPDDDPLFNPSTQRNSTLDGSHRQEPLT >KGN56187 pep chromosome:ASM407v2:3:4758261:4763750:-1 gene:Csa_3G095040 transcript:KGN56187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNVSAIPNSFEVIRRSAQFQASVWGDYFLSYHSLPPEKGNKVMEKQTEELKEEIKMELVSTTKDEPEKLRLIDLIQRLGVCYHFENEINNILQQLHHITITSEKNGDDNPYNMTLCFRLLRQQGYNVSSEPFDRFRGKWESSYDNNVEELLSLYEASQLRMQGEEALDEAFCFATAQLEAIVQDPTTDPMVAAEIRQALKWPMYKNLPRLKARHHIGLYSEKPWRNESLLNFAKMDFNKLQNLHQTEIAYISKWWDDYGFAEKLSFARNRIVEGYFFALGIFFEPQLLTARLIMTKVIAIGSMLDDIYDVYGTFEELKLLTLALERWDKSETKQLPNYMKMYYEALLDVFEEIEQEMSQKETETTPYCIHHMKEATKELGRVFLVEATWCKEGYTPKVEEYLDIALISFGHKLLMVTALLGMGSHMATQQIVQWITSMPNILKASAVICRLMNDIVSHKFEQERGHVASAIECYMEQNHLSEYEALIALRKQIDDLWKDMVENYCAVITEDEVPRGVLMRVLNLTRLFNVIYKDGDGYTQSHGSTKAHIKSLLVDSVPL >KGN56555 pep chromosome:ASM407v2:3:7442163:7445872:-1 gene:Csa_3G124750 transcript:KGN56555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEDSMKARLEDRQSTEDWLSYTQELVPLVLLKAREVKVFPGRWKMIITKMEQIPSRLSDLSSHPFFSKNALCKEQLQAVSKTLEEVIELAEICVQEKYEGKLRMQNNLDSLSGKLDLNLRDCGHLIKTGVLSEATLPLSVTGTSTEPESNDHKNVRELLARLQIGHLEAKHRALDSLVEVMKEEENTVLAVLGRNNISALVQLLAATSPFIREKAAIAICSIVESRNCEKWLISEGVLPPLIRLVESGSALCKEKAAISLQMLSISAETAREIVGHGGAQPLLDICQTSNSVVQAAAACTLKNMSTIPEVRQSLAEEGIIPVMINLLGCGVLLESKAYAAECLQNLTAGSENLRNSVISQGGIQSLLAYIDGTLAQESAIGALRNLLSLVPIEVITSLGVLPCLLRVLRGGSVGAQQAAASAICVISSSPEMKKIIGEAGFIPPLVKMLEAKSNSVREVAAQAIASLMTLSQNINEVKKDENSVPNLVMLLDSSPHNTAKKYAVACLVNLALSKKCKKLMISHGAIGYLKKLVEMEVPSAKKLLERLERGNLSIFSRK >KGN57742 pep chromosome:ASM407v2:3:16441357:16442997:1 gene:Csa_3G271350 transcript:KGN57742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKKFRDFIGERVQRKRVSRCYWFTDFLHFVFIANDLMESKGGKKKSSSSSSSKSLFYEAPLGYSIEDVRPHGGIKKFRSAAYSNCVRKPS >KGN55882 pep chromosome:ASM407v2:3:2236518:2236894:1 gene:Csa_3G026670 transcript:KGN55882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDDEKKFGNQKYTKESAAALKSSHTSFHILSSEHRGVEICSQNLPHSALLQRHLRRCGAPWAYKEESKWLLLLHLSPHIAKRFVLIHIV >KGN59944 pep chromosome:ASM407v2:3:35717126:35730425:1 gene:Csa_3G855380 transcript:KGN59944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESAFASASAISDQRQKIEQYKHILYNVLSSNDRVQAKKFIDHTLSDEVPLVVSRQLLQTLAQELGKLEGEIQREIAHYILAQIQPRVVSFEEQVLIIREKLAELYESEQQWSKAAQMLSGIDLDSGMRVIDESYRLSKCVQIARLYLEDDDAVNAEAFINKASFLVSNSQHEVLNLQYKVCYARILDLKRKFLEAALRYYDISQIEKRQIGDEEIDEEALEQALSAAVTCTILAAAGPQRSRVLATLYKDERCSKLKIYPILQKVYLERILRKPEIDAFAEELKPHQQALLPDNFTVLDRAMIEHNLLSASKLYTNISFEELGTLLGIPPHKAEKIASRMIYEDRMRGSIDQVEAVIHFEDDIEELQQWDQQIVGLCQALNDILDSMANKGVSLPPTPIAQLFVAPWSPKLLPLSLFSCRNVSLMEPLTSGRLLLSDFRFDS >KGN59698 pep chromosome:ASM407v2:3:33620700:33637537:-1 gene:Csa_3G838770 transcript:KGN59698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSYAPFLEKLRLPQPSLQRFAVASIFSKLRSAPKHLDSDSASGREAISQCLLHSSPAVVDQSVRELCRLVKESHIDVSRALIELQAALEGSESRFVDVFVKGLGFLVQFGFRKHNGSWQFGSAETHPFVKVLSCRVDVQAQLLHQIPLFMVQNKSLGMEAVCKFLSPFVNYSILKTQFSDSSSSLFARNLMSSIMSVCCSYPHEAMPILALLIQSLKYVPRRTLEDFWNFIHLVESLVDACTVMLRFVVNNGSHLKMEAQQSSIELLDTVLSLYACLDRHVCGCERILELSRYLLSVQKDLGMQYVPKLSSAFPPLFTILTKSELEHEQLLILKLLVSLLRWKAECEYANRATTRVPSEELLFVFPAISLMSSPSKSIKGAATELLSMLEKLLVRLIVTTKDEVEERGFQFPSIRTPGSIVVQLLEKLWFQGRSSLSSGFFLDFALYGQSNSKDDNDLPRKCWTSKLREYSLWIVERRKSLLPLTQFEELFVKAYAVAEMSFLVGAITSIMVVHHSLGTDAVELLAAIGTLDPKIGFQLLLLVLFYCNIFSRKDVQRQDMVLKLLGLLPSLASHSAMVPFIVETISPMLRKDSKPVLYATATRLLCQTWEINDRAFGSLQGVLLPKGFSDFNREGEICLSLSASIRDVCRKDADRGVDLILSVSACIESPDPINQALGFQDFYTAWDVIAENPLDYSANPVLANRWGAIDAEVYPEASKNIIGILLAVGTSTSPKREESLLLSLFVIAYPPITSLSLKPRKIRQQPILEFGTQMWLFESELNSWVLAWVFDLLSLEIFFFFLTFSLLDHRYRELSQVSLLERNFQDFKEKSTSVLFTEKNVDVLSAIKDFLVKIIFHEHSNRRRLVKEKRVAGSKIEKLLDVFPRLVFSSGVRSNVRQLPAAALLCHSFSSRKGNDPTRRTRDEHTSYENAMREIGDSLQLSRNIAMALLALESWKAFMERWLKSEVLSSDVRDTVVISEKTSKAANEILKRIIHVAEEALPRCAENMALAIGALCMVLPQAAHAVKSTASKFLLNWLFQHEHELHQWSSAISLGIISRCLHVTDHKLKFQIVSGLLEVLSVTKSTLVKGACGVGLGYSSHDLFSGVGIVDKSNLGGDKQTTKIKEVELLGTIVRSLSLMICQLTGSSKDMFEDLFALVPVHSSGISVDSQLLHKNGDPEDDVWGVAGLVLGLANTIGALYKIGAYDAVLKIKSLISSWFPHGNSVRSGSFDEVSIRVLSVGSCLALPTMTLFCHRLELVDGDELDHLISAYKEIISDLLPVKRSCTSHQNLLMASCIGAGNLLAGILNEGVHSIEVARVQDLLELFKRCYSNPYSPLIHFGGMLGVVTAMGVGVGSLFDVHPTISSVQTEHDLKETSHLLGPLLSSRVCEPLLTSIIQELYLVAQNSDDKKLQQYAAWALSFLRHNIWSKEFPNLRNLETDVSDSRSSPQNFPTDGVGMRLCNWLMQLNLSETGTATHTETLVTTLRCLSQAPRLPSLDWGAIIRRCMRYEDQVAELVPPSSALRKGIVREECLKFSLAHANQFDQLLIFLDELSDISRFRTLELNLQSCLLTHLAGLMKVFSNARVEKLFNDMKIYMSSFYSDQLLYNYEKHLLCISCWKGLYQCLDEANLNSLECIAHIEDFMVVLFTMLPTLSSSTNKEVDEIHSTKEWSEAIRCLSKARQTWLLNFLQISSDDLVPKDQKLFEVLKKMKAKAKLTRNGSLPMSELGKMKTLMLNLKSQDVWDVLVEVVAALQIAEGNVKRQWVVDVVEISCVSVHPSTAIQFVALLSSSFSKYMPLLTLDPQNVLNNLPVTLNSLLYTSAWSSIAESVASCLFASTERIYLATQSPNVDGTHGSQPIDESEIDAATSLLDVTHNTCVSLKDFLPFGEQLRLANMNIIT >KGN57394 pep chromosome:ASM407v2:3:12734118:12735706:-1 gene:Csa_3G182790 transcript:KGN57394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKSRFLRRRSKKHRWLLRRRLVEPAPDCDRLDTMKLIGQMLDEIAMKLFTDAKILSYVERARFDLFFFGSRCKQFFLLAWMSS >KGN59040 pep chromosome:ASM407v2:3:29033622:29035470:1 gene:Csa_3G748200 transcript:KGN59040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSKEMKKERAEVAARIAASDLRDINREEEKQAAAAPSKIEKNATVEVVEADRPTVQDQQQRPGVIGSVLRAVHDTYEHAKEAVVGKSHEAEERSREAKDWSAEKARETTEEARRKMDEYGSAVGGKARETKEAVGETAAARKAEEYKKYTAEKTGEAKGKAGEYKDTAAEKAREAKDAAARKAEEHKNYTAEKTGEAKGKAGEYKDTAAEKAREAKDAAAEKAREAKDAAARKAEEHKNYTAEKTAEVKGKAGEYKDTAAEKARETKDAAARKAGEYKDYTAEKTVEATEAAKGKAGEYKDAAAEKAREAKDAAARKAGEYRDYTAEKARGATEAVKEKAGEYKDYAAEKANQAKEKAAEYKDYTVDKAKETKDAAARKTVEYKDYAEDKARDTTDYTAEKAKEGKDTTVSKLGELKDSAADAAKRAMEYFTGKKEEIKEGAYETKDVAKEWQEKMGGSEEDTRRKMEDLRLQGEGRRGSTEAAKVTLERNRGRGGEGAVVVDLEETRPGALASTLKKADDMAAQTFNDVGRIDDEEGAVRSKDRQGKM >KGN56595 pep chromosome:ASM407v2:3:7674956:7677915:-1 gene:Csa_3G126100 transcript:KGN56595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPSKIEEDLFHHHRLHDDLDPLTTQIIESQPSIPNSHHAEPPFSLPEIVLFRSPSPSSPSHSSSDDSPTHSTRLSQLVQNPTSTSQNLHEPPLYISPEPHISAQFYTFNPESHSLMIRCILENRLATPSEIRAATSRSVLKSWRTVWKDRNEDTAYLTAWKRIQDKLTATVDENGNEFLCFKNNTQQFVSHISQWQDIVTSFHGDTDLKHLGLKETIERIKQVWTVGAKFYGIPESYIRVCVAACPVCNSASSASGSRSKRRRFEYTDTLEVPAKEVPHKLQQLAAKHKVVLCIRQKYIRYKPFMAEVKDYACHRAGEPATKKSKILKREPYASKRCGCGFRIRAIVPITNYNEKDKTFVYQDEGVAVFKLYAVHSGHEPGPLDGNARIMHRVIGHKGGLLMDHETVYGVNDEMENEEFRLMGKDEGDLQLSILQQMHEVRNELDLLEGKLAKVPHELLGSVSRDLFDVLSRLRSTREEKLDPIELLADKPHSDDVLDGDNDLAHWSNHHHERLYGDAKDSELIEDDVDSFGHSLRDVVPWEDHMRADCRNQKELTREPCKPERWFKCTDFNDKSLLGCEDTKLIKPMRHDESMVADVGLVGIHVDGFYPENPKWYDSPCDLDSNADCGDTGFKHGEIV >KGN56916 pep chromosome:ASM407v2:3:9474347:9476622:-1 gene:Csa_3G143570 transcript:KGN56916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSRKMVNMLETDLCLGLPGGGAEPETPKANGKRGFSETVDLKLNIQSKPGVTVDLTPQNNDTSTDEESLIASKDPAKPPAKAQVVGWPPVRSYRKNAMSQKSSEAGEKGGSSGGSAMFVKVCMDGAPYLRKVDLKMYKSYQELSNALAKMFSSFTMAGDYGAQGMIDFMNESKLMDLLNSSEYVPTYEDKDGDWMLVGDVPWEMFVDSCKRLRIMKGSEAIGLAPRAMEKCKSRS >KGN60309 pep chromosome:ASM407v2:3:38465771:38468212:1 gene:Csa_3G895060 transcript:KGN60309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAAENQPQEKITTTEASAVEKRRWTLNDFDIGKPLGRGKFGHVYLAREKKSNHIVALKVLFKSQLQQSQVEHQLRREVEIQSHLRHSNILRLYGYFYDQKRIYLVLEYAPRGELYKELQKCKYFSERRAATYVASLARALIYCHGKHVIHRDIKPENLLIGAQGELKIADFGWSVHTFNRRRTMCGTLDYLPPEMVESVEHDASVDIWSLGVLCYEFLYGVPPFEAKEHSDTYRRCLSRIVLNGCRYTKFSNTLGLFKMQSPLVYIRVDLR >KGN56745 pep chromosome:ASM407v2:3:8572433:8573814:1 gene:Csa_3G131980 transcript:KGN56745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFSLRAVVFCFCVLIALVAADNNVEDNKGLFHRPLGFYKGRKRFRGGGLGGGIGGGGGFGGGAGGGGGLGGGGGLGGGGGFGGGAGGGGGLGGGGGLGGGGGLGGGGGLGGGGGLGGGGGLGHGIYKRGYKRGGLGGGGGLGGGGGLGGGGGLGHGIYKRGFKRGGLGGGGGLGGGGGLGGGGGLGGGGGLGGGGGLGGGGGLGGGGGLGGGGGGGFGGGGGLGGGSGGGLGGGVGGGYGGGAGGGFGGGGGAGGGGGFGGGGGAGGGGGIGGGAGGGYGGGGGFGGGGGFGGGHH >KGN60398 pep chromosome:ASM407v2:3:39052912:39053721:1 gene:Csa_3G902400 transcript:KGN60398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAELVLGFQYSSSLPHLSETTRDGQNPNGVNPMQTPDVVVDDDDSWEVRAFAEDTANVMGTTWPPRSYTCTYCRREFRSAQALGGHMNVHRRDRARLHHQAPSSSSNPMKPSSTSSSNSNSFIIPTPDFNGGGSLCLLYQFPNPNSINGGINTSSSTLNAYIHSPSSLFSMPHHSFNTYPSSTSVSQAFPMNDDNHRRLETSTYSASMENNNGSQDEVLDLELRLGHGPPSSTQNLMEKGEKKISDSEAACLKERSSD >KGN57737 pep chromosome:ASM407v2:3:16378918:16380509:-1 gene:Csa_3G270810 transcript:KGN57737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSHSSSEDERQMIPEVRTRLYVPRGPTIVSELAPTRNVGQQLSVQFNEHGKPIGATSKKMQSYIGVYIQQQISVTYKSWKQVPNELKDKIYDCISDALVATHKYEHILTEALGSKEHGGHVRGVGGFVSQSQYFKIVKGKEKMISSEVEICRKEDDSRSKSHKKSHSRSRSSIESVNIDLIVDEDLGNTPSNEGVKGTPCKLAVGSINNIVAIATIFDDNSDGPNVKVLVDLLTKGDFSIPVPMKGKIETFNQTLGNIIE >KGN57428 pep chromosome:ASM407v2:3:12969242:12970551:-1 gene:Csa_3G184590 transcript:KGN57428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALDFVKLHNLYSHFSSIVETAYPFIRSHFYGDDQDEDSFFDLDFISLPPSSETGKSPDEKNLTHSSQNELGFSQRNLLLSHSDLISKRKILPIELSSKPESPVSVSILKSAPRLSISLFKKPKLMAKQKIDETDSPSHKLQSTESKRFTFKLNRVNSSRNNNRIDATERQSKREGMQKYLKLIKPLYVKVSRKQNHRSSPVSSPSTTTTAPSIDEKQRNSAIGIRVVCKRLGKSKSSSSSTGMAVSPTNRRDDSLLQQDDGIESAILHCKRSFSATRDDDVDGTCDDNVFVTEDLQKQKTGKDSFSEAKQDEQH >KGN57154 pep chromosome:ASM407v2:3:10969979:10972777:-1 gene:Csa_3G166200 transcript:KGN57154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEFNGGYGDSFCSPPHQQEMPTGKKYGGLVPRKKPLISKDNERAFFDSADWALCKQGAPGGYQKTMAMVETLRPKLQRTQRQQLPPRRPACTSRQDNLVPVNKYYFIY >KGN59007 pep chromosome:ASM407v2:3:28828816:28831790:1 gene:Csa_3G743440 transcript:KGN59007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDREWGSKPGSGGAATAQNEAIDRRERLRRLALETIDLAKDPYFMRNHLGSYECKLCLTLHNNEGNYLAHTQGKRHQTNLAKRAAREAKEAPAQPQPHKRKVSVRKTVKIGRPGYRVTKQFDSETKQRSLLFQIEYPEIEDLAKPRHRFMSSYEQRVQPFDKRYQYLLFAAEPYEIIAFKVPSTEIDKSTPKFFSHWDPDSKMFTLQLYFKSKPQEANKPPPVPAANGTVPSGAPPRPLPPPPQAPPPPPPPPQQGAPPRVPPPPMPGSLPPPPSVMANGPPRPMPPGGAPPIPPPPPIGNNTMANFTPGTQMNRPPMPPPPQGFPGQGIRQPPPPPPNMG >KGN59333 pep chromosome:ASM407v2:3:31097476:31098230:-1 gene:Csa_3G810570 transcript:KGN59333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRKSFCVYSHVRHLFLSPVSRYTFSRQISFSPSPNLKTLCSSGQLKEALLQMAILGREVKFEGYDTILNECVSQRAIREGQRVHTHMIKTCYLPSVYLRTRLIVLYNKCDCLGDAREMFDEMPQKNVVSWTAMISAYSQRGFAFEALNLFVEMLRSGTLCFAFILFVINILYSLFYGLHGYYLLI >KGN56968 pep chromosome:ASM407v2:3:9836043:9839886:1 gene:Csa_3G146530 transcript:KGN56968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYILMPVSIINSISISRFENPILSFPPSSKIRRKLPPPGSGAFKRSPQSSPLTPSPCRDPPKDDMSVAVPMDDESLPNSRSSNDMEGMVSSNSDKTTVHKKKYYTSTIKIFGVDLSPDNVAVAMVYFVQGVLGLARLAVSFYLKDDLHLDPAETAVVSGFSAFPWLVKPLYGFISDSVPLFGYRRRSYLILSGLLGALSWTLMATLVDSKYGAAFCILLGSLSVAFSDVDQTFTSFYVSMILRRALVKSLTETNTTSAIYEDQHHLCNPSNAWRRINVILILWNDSTMKVIRVNEGTPTLTLDLALLDDFVFWLGGYIGSYKLPTEQNYGQIPMISPFMFGSLNFGR >KGN59120 pep chromosome:ASM407v2:3:29735097:29740346:-1 gene:Csa_3G776320 transcript:KGN59120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEDCLISKAVAVTACTAMALFYVAILYAPALILRLPPPPSLQVYMIRRFLCAFISTAVSVFVCALILPIKKMELSLLLAAYGVRANHIWQAVIFPLSLTSFMYVGSMVLKFLLLVDSWREHMNHGGDSLLNCARLLWTRVLDWGLSTVSNILAWRNYVVAPLTEELVFRACMIPILLSGGFKPTTVIFLCPILFSLAHLNHFMEYYSKQNNNLAKAVMVVGLQLGYTVVFGSYASFLFVRTGHLLAPLVAHVFCNFMGLPAIFSRGRGVVSLAFLVGMVGFLWLLFPMTSPHLFNERTNNCRCWQGYCSWN >KGN56234 pep chromosome:ASM407v2:3:5238609:5238809:1 gene:Csa_3G104870 transcript:KGN56234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDFMQNYLYYSKGKSKSKPFLYCHGNWDGDATKLQRLAELWRASLCLEIQTQHAATSGVLDSGTM >KGN59419 pep chromosome:ASM407v2:3:31790083:31791237:1 gene:Csa_3G818280 transcript:KGN59419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSMAIICNIEKLDLKRVGCRAKLLGTIVTLCGAMLMTFYKGSIVNFLGTKHGHQPNIPSTAVHNHHNKGEYIKGSILLIISVFAWAAFFVLQAITLRKYTAHLSLTALVCFLGTLQAIVATLAMERRLSVWTIGWDWNLLASAYAGIVTTGVAYYVQGMVMKRRGPVFVTAFGPMVVVIVAFMGHFILAEEIYVGGIIGTVVIVIGLYFVLWGMYKESKEKKEEVNGEIIVEAIIDEGDHHDHQLPMINEGIELAIDQKKEGLTITTIPSMASPNYMEKEH >KGN55998 pep chromosome:ASM407v2:3:3149079:3156709:1 gene:Csa_3G045120 transcript:KGN55998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPTNTHAISKMSCYSYIVYLGSHSHGFNPSSTDAQIATESHFNLLGSFLGSNEEAKEAIFYSYNRHINGFAAVVDQKVAEDLAKHPDVVSVLENKGRKLHTTNSWKFLGLENNGAIPSNSLWNLASFGESTIIGNLDTGVWPESKSFSDKEYGPIPSRWKGSCEGGSKFYCNRKLIGARYYNKGYAAIVGPLNSSYESARDHEGHGTHTLSTAGGHFVPNANLFGYGNGTAKGGSPKALVAAYKVCWPQVLFFGECFDADILAGFEAAIGDGVDVLSVSLGGSPSDFAKDSISIGSFHAVQNGIVVVCSAGNSGPTPGSVSNVAPWIITVGASTTDRLYTSYVAIGDKRHFKGASVSDKKLPVQKFYPLISSLDAKAKNVTDNDALLCEEGSLDPKKVNGKIIICLRGDNARVAKGYVAAKAGAVGMILANAEENGDEILADAHLLPASHITYSDGQLVYQYINSTKIPMAYMTHVRTEEGIKPAPVMASFSSRGPNTVDPSILKPDITAPGENILAAYSRDASPTGTDFDKRRVPFNVESGTSMSCPHVSGIVGLLKTLYPKWSPAAIRSAIMTTAGTKANDLTPILSTNQEKANAFAYGAGHVSPNRAADPGLVYDLSTKDYLNYLCAQGYNTAQIKQFSNDTSFVCSKSFKLTDLNYPSISIPILEYDVAVKIKRKLKNVGSPGTYVVQVKEPLGVSVSVEPTSLKFTGIDEEKSFRVVLKSYEPNGSGPKYLFGKLEWSDGKHRVRSPIVVRLGG >KGN56181 pep chromosome:ASM407v2:3:4712761:4714746:1 gene:Csa_3G094000 transcript:KGN56181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTVATRFTSMATAGVGVVINRLRKSPPVQSLEQLLSNSTRPVSALSLNPLFTDETELVMEAGEPVPRLVFDRFINLEESKESTADLKEALDAMYLESEFPFSSEISLPLNSEIVHNRPGVKHVHQAFHLLCNFPEVQNVVASAAADLKVQEAVFENPDVKKFIQSFQTSSDTDEDEDEENVSQVEESKASEIKMTRNPKDFVVKMVKNVLSHFPHLFGSSVVEGSSGSDDKENSTMKGGKFGSGFVQKLRNLKSSVVEMATNIPNYLPNFYGSSSSASESVSGSDHKENSQSSVPGMGTGTSITGLAIMVIMIAVFKRL >KGN58618 pep chromosome:ASM407v2:3:26615870:26619286:-1 gene:Csa_3G702070 transcript:KGN58618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKNSNSKKQAYISVPSQIINSLSSSSLQSLLDSPKKSSKNYNKFLSLSTYRSSNKLWLLAIFLFGLFGMLKLTFNPNPLIPYVSYPCFTSQWQSQGLVSNGVLKSGLIDRDRENALKDEVTSDSFQSLRPISTGVLKSDEGNEQGEFWKQPDGLGYKPCLDFSEEYKKSTTGIVSERTKYLMVVVSGGMNQQRNQIVDAVVIARILGAALVVPILQVNVIWGDESEFSDIFDLEHFKNVLADDVHIVSSLPSTHLMTRPVEEKSPPHHVSPSWIRSRYLRKLRREGVLLLRGLDSRLSKDLPSDLQKLRCKVAFHALRFAPPIVELGNKLTERMRSKGPYLALHLRMEKDVWVRTGCLPGLSPEYDEMINNERIRRPELLTARSNMSYHDRKLAGLCPLNAYEVMRLLKALGAPRDTRIYWAGGQPLGGKEALQPLTGEFPNFYNKEDLALPSELEPFAKKASIMAAIDYIVCESSDVFMPSHGGNMGHAIQGHRAYAGHKKYITPNKRHMLPLFLDSSLPEAEFNKIIRELHQDSLGQPELRTSKVGRDVTKYPIPECMCNNSSDAHTI >KGN56127 pep chromosome:ASM407v2:3:4124048:4125354:-1 gene:Csa_3G077620 transcript:KGN56127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHSLEPKFHQTPFSLLRRIPKSPHPISIRCGGTTRELRSRLDSIKNTQKLTEAMKLVAAAKVRRAQEAVINSRPFSQSLAQFLHFLTQQIQREDIDFPFLNPRPVGKVAVVVFTGDRGLCGGFNASVIKKAEARLAELKNLNMGHTVISIGKKGNSYFRRRSDIEVDRFITGGGGVSLPTAKEAQAIADIVFSLFISEEVDKVEVIYTKFVSLLKSEPVVETLLPLLGEGEAMGDGGFRLTTAEGKLTVEREGKGGELGEISPLLEFEQDPVQILDGMMALYLNSQILRALQESMASEVAARMNAMSNASENAMELKKSVTIAYNRERQAKITGEILEIVAGAEALKEF >KGN57227 pep chromosome:ASM407v2:3:11504033:11504535:-1 gene:Csa_3G171840 transcript:KGN57227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQNNNTRKRISSKPMSLQTCFLLLLFLFLFLFQDLCLVRASSTHSCNGSIAACANEEEMLMESEITRRFLEQQKKYISIGALKKDHPACDGASGGQPYTRSGSCAPPPANPYNRGCSKIYRCRSDD >KGN56359 pep chromosome:ASM407v2:3:6437361:6437828:1 gene:Csa_3G117940 transcript:KGN56359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLNPPLCCLCGDVGFPAKLFRCTNCSNRFQHSYCSNYYCGESGDATIRVCDWCRSEQRTCRPAFASTTSQKSNKITERRSSAVGLPSPRPAPRRYKLLKDVMC >KGN58829 pep chromosome:ASM407v2:3:27883158:27886801:1 gene:Csa_3G733340 transcript:KGN58829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGIESYDHVPQQSRRDKLREHPDHHHRSNFLPFYDPSSSDSSNRFQFHHHPFFDPQIQSPIFPEIHSFPTEPLSLSLSSHPNFLASPITPPLPLGPFTGYASILKGSRFLKPAHHLLQDLCDSVHYSSSSSSFIHDPSSDSFPHSPILDHHYPLPSSSDSSTPPHKSTLLSMLDEVYRKYKQYYQQIQEVMTSFEYISGLGNAAPYANQAIKAMYKHFKCLKNAILDQLQFNKKTHGDYNQRSVQNPGFLDHQPVWRPQRGLPERAVTVLRAWLFEHFLHPYPSDTDKLMLAKQTGLSRSQVSNWFINARVRLWKPMVEEIYMLETKQQQTQKNLHKEDRTTTRVNDHHPSNPLTMENPSTSTQQIQDTPPKRTRNEPPDMPMGNHDEPLNVSYNLSSHPHVGANVNMAGNNGGVSLTLGLHQNNGIGGFSEPFPVGFPVAATRRFGLGIQGNSDGYVMGGHFSRDVLGGQLLHDFVG >KGN56342 pep chromosome:ASM407v2:3:6311501:6312565:-1 gene:Csa_3G116790 transcript:KGN56342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMKAAAVFVVLIAVRAVYGADIIVGGNSGWSQGVDYDTWAAGQKFNVGDALVFNYGGSHSVDEVKEADYTACSSSSVIKSHTGGTTSIPLSAVGPRYFICSTIGHCASGMKLQVNVLAANSTQNPTPTPTANPPPTGTQPPPSPSAAAPSAFFTLNHFIFGASVATLFAL >KGN58744 pep chromosome:ASM407v2:3:27485104:27489644:-1 gene:Csa_3G731060 transcript:KGN58744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIDAKMNRQDDKDKNARSSYFGLPALDVSVAFPQATSASSFPPSVSDFYQFNDLLTPEEQALRKKVRQCVEKEVAPIMTKYWEKAEFPFELVPKLANLGVAGGTIKGYGCPGLTITGSAIAVAEVARVDASCSTFILVHSSLAMLTIALCGSEEQKQKYLPSLAKFDTVACWGLTEPENGSDASGLRTTATKVEGGWVIEGRKRWIGNSTFADILVIFARNTITNEINGFIIKKNAPGLTVTKIENKIGLRMVQNGDIVMNKVFVPDEDRLVGVNSFKDTNKVLAVSRVMVAWQPIGIAMGVYDMCHRYLKEREQFGAPLAAFQLNQQKLVLMLGNVQAMFLIGWRLCKLYEKGTMTPGQASLGKSWITLRARETVALGRELLGGNGILSDFLVAKAFCDLEPIYTYEGTYDINTLVTGREITGVASFKPAALAKRSRL >KGN56680 pep chromosome:ASM407v2:3:8211649:8213080:-1 gene:Csa_3G128900 transcript:KGN56680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPPTPPLPDDLAIGYFSSSPPPPPSPVSSHTSLKNLSPSILIILTILAFTAFSSGILCLVLRYLNRRCLLRLSALSGSSSSSTVSSRRIIPAEQSAVRCTNSFSPIESLPLFSFSSVTRRSSTAAADCAVCLSKFEAEDQLRLLPLCCHAFHAQCVDTWLQSNQSCPLCRSAIFASESDVMKASMASYAAEGRGGDSFRLEIGSISRRQAPSDSAEGRRSYSIGSFEYFVEEDSEVNFTNAHRRSVSDKEDIEAPISAVSTERSLAAEVGSGRNWLKDYVDRLSNSVSSRALSFRGSGRFFTGSSRRSEVTVAGEWEQENNRVGEEISELFRWFSGV >KGN55767 pep chromosome:ASM407v2:3:1189805:1190286:1 gene:Csa_3G011690 transcript:KGN55767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKVVSHSLEKGTEKYYGTKSYMSVYNVSLSFDQSSSTNIWIVGGPVDSLGVLMTGWLVNPEVNGDFVTRSFVYWTKTI >KGN58266 pep chromosome:ASM407v2:3:23342041:23350646:-1 gene:Csa_3G603040 transcript:KGN58266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTLLEVTRSSHEEVERLERLIVKELQNEPASGKDRLLQSHRVRSMIDTIMSTTEKLVEIYEDKDSARKDEIAALGGQTTSGTNVFSAFYDRLKEIREYHRRHPAARVVDVSEDDGLLKEEPQIEFSGEEAFGRYLDLHELYNQYINSKFGEAIEYSSYLDVFSQPQKISQKLKFSRQYREYLENLLAYLIYFFQRTEPLQDLDRIFSKVESEFEERWVIGTIEGWENTSQDNGHDSAQHSLIDLDYYSTVEELVELGPERLKEGLMALGLKTGGTVQQRAERLFLTKHTPLQLLDKKHFAKLSRQPIQNGSAVASQNNENLKQVALMEAKIEKLCDLLDETIARTKDNIVKKQALTYEEIEAEREEEETQAESESDDEEQQIYNPLKLPMGWDGKPIPYWLYKLHGLGQEFKCEICGNYSYWGRRAFERHFKEWRHQHGMRCLGIPNTKNFNEITSIEEAKDLWKRIQERQGVNKWRPDLEEEYEDKEGNIYNKKTYTDLQRQGLI >KGN58669 pep chromosome:ASM407v2:3:27081757:27087623:1 gene:Csa_3G722880 transcript:KGN58669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPETPDSHSRNPPSTPDSPTTSAGFETDQLPHLSQTSDNYLDEDEAAVDPHILPDEPDPDEEEEGEDLYHDNFLDDYRRMDEHDQYESLGLDDSLEDERDLVQIMKDRQAAEIELENRDAQFTRRKLPELLHDHDSEDDNYRPSKRSRADFRPPAGGRGYDDIDGMQSSPGRSQRENSRDDVPMTDQSVDDQYEDEDDDENENEMYRVQGPLREHVTMDAVRRFIGKKFKKFLETYVNPKSGNGELEYMRLINEMVLANRCSLEIDYKQFIFVHPNIAIWLADAPQPVLEVMEDVAKKVVFDIHPNYKNIHQKIYVRINNLPVYDQIRNIRQIHLNTMIRIGGVVTRRSGVFPQLQQVKYDCNKCGTILGPFFQNSYSEVKVGSCPECQSKGPFTVNVEQTVYRNYQKLTLQESPGIVPAGRLPRYKEVILLNDLIDCARPGEEIEVTGIYTNNFDLSLNTKNGFPVFSTVVEANYITKKQDLFSAYKITQEDKEEIEKLAKDPRIGERIIKSIAPSIYGHEDIKTAIALAMFGGQEKNVEGKHRLRGDINVLLLGKGASAVGLTAAVHKDPVTREWTLEGGALVLADRGICLIDEFDKMNDQDRVSIHEAMEQQSISISKAGIVTSLQARCSVISAANPIGGRYDSSKTFSQNVELTDPIISRFDILCVVKDVVDSVADEMLATFVVDSHFKSQPKGANLDDKSINESQEDSQDSARPLDPEVLPQDLLRKYITYSKLNVFPRLHDADLDKLTHVYAELRRESSHGQGVPIAVRHIESMIRMSEAHARMHLRQHVTQEDVDMAIRVLLDSFISTQKFGVQKALQKSFRKYMTFKKDYNELLLYLLRELVKNAIHFEEIVRGSTSELTQINVKLEDLQSKAQEHEIYDLKPFFNSSHFSNANFVLDEEHGLIRHNLAR >KGN58634 pep chromosome:ASM407v2:3:26757509:26759068:-1 gene:Csa_3G707170 transcript:KGN58634 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding protein MEILSQLWSFLGLLTVLQNILPSQFLSLLHSLYESLQDFFTPFSYFDIPEFNGYCSVDLNELYRHVTLYLNSLHNSAACRRLSLSRSKSSNRISFTVAPNQSVHVTFNGQRISWTHQVETVQDSLDEKRSFSLKIPKRHRQALLPLYLDHITATAAEFERTSRERRLFTNNGNASSYDSGWVSVPFRHPSTFETLALETELKKQIMNDLMAFAAGREFYSRVGRAWKRGYLLYGPPGSGKSSLIAAMANFLCYDVYDLELTKVSDNSELRSLLIQTTNRSVIVIEDIDCSVDLTADRVTKVAAREDHEEEMGRVTLSGLLNFTDGLWSCCGEERIVVFTTNYREKIDPALVRCGRMDVHVSLGTCGPAAFRTLVKNYLEIESHALFDVVDSCIRSGGGLTPAQIGEILLRNRRDADVAMREVVAALQARVLGSGGGRGAAEYEEIVMRSPESVLVVGSPENWDSSPGKYVGKRRKEGPASEKKVNFLVRLRSLTKSDSGRRGV >KGN57314 pep chromosome:ASM407v2:3:12141574:12148027:1 gene:Csa_3G178550 transcript:KGN57314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEVHCSMPSGDDEDTPAKCRERRRRRIEMRRLATVSSANPSPSTAHHQKENQTGTSGFEKKRGQKTDGDGNPEISSSSSSGEDVKTVRASPSVPQPVFGMMSVSGRSREMEDAVCVSTCVLGSENFRRQVVHFFAVYDGHGGPHVAALCREKMHVFVQEEFSRVISTRGENESGGGGSSAGEEVKFEEEATWRRVMRRSFERMDEVALSTCACGSVGGQCGCHPMEVALGGSTAVVAVLTPDHIIVANCGDSRAVLCRGGTAIPLSIDHKPDRNDELARIEAAGGRVIFVNGARVEGILAMSRAIGDKYLKSVVISEPEVTFTKRESEDECLILASDGLWDVLPSELACEVARECLQGVAATTTTTTTIDLNAVPQIEEEAAGTSYPSRSALAAALLTRLALGRKSTDNISVIVIDLKRS >KGN57863 pep chromosome:ASM407v2:3:18287015:18288200:1 gene:Csa_3G355060 transcript:KGN57863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLRASCFGIYAALLIAVFYVVALPLSSAQLSSSSPAPAPAPTSDGTAIDQGIAYVLMLVALVLTYIIH >KGN58849 pep chromosome:ASM407v2:3:27978179:27980785:1 gene:Csa_3G734030 transcript:KGN58849 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lectin-like receptor kinase 7;3 MARLCLLCFFFFLFLAAPAASQQLYFSGFQDDAAVAANLTLTDIAKIEQNGILKLTNDTSRLQGHAFYSSPVRFKNSSDGKVFSFSTAFVIAVVPEYPTLGGHGLAFTIAPSKNLRGLPSQYLGLLNAKDVGNFTNHLFAVEFDTVQDFEFADINDNHVGINLNHMISNVSTTASYFVDDGPTKQNLTLKSGRPIQAWVDYDSSVNSLTVALSPSSTKPKKPILSFNVDLSPILDEFMYVGFSASTGLLASSHYVLGWSFSMNGQARSLDLSSLPSVPGPKKKHTAFTIGISVAAVLIVIIAICVAVLIIWKIKNADIIEAWEHEIGPHRYSYKELKKATKRFRDKELLGRGGFGKVYKGTLPNSKIQVAVKRISHESKQGLREFVSEIASIGRLRHRNLVQLLGWCRRRGDLLLVYDFMANGSLDNYIFDDPDVNLSWQQRFGIIKGVASGLLYLHEGYEQVVIHRDVKASNVLLDSEMNGKLGDFGLARLYEHGANPSTTRVVGTLGYLAPELPRTGKATTSSDVYAFGALLLEVACGRRPIDPKSSSEELVLVDWVWENYREGKLLDVMDPKLKGDFNVVEAMMILKLGLFCSNDSAAARPSMRQVVRFLEGEMGVPEEISAPKVMEGGRNGEGFDDFVNSFASSSFNKFSSYSSTGNKDMDMSFASFSTSPLSLLNGRD >KGN55676 pep chromosome:ASM407v2:3:593383:598296:-1 gene:Csa_3G002950 transcript:KGN55676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRPIPEMWMGPGDPNIQGLTPMFPGSGPAGAMAGGRGAPRMMGMMGMPRGMGLPPLHRPLMGPNVPMAGPNSMPQKPRTEEDDMKDLEALLSKKSFRELQKSKTGEELLDLIHRPTARETAVAAKFKTKGGSQLKEYCSSLTKEDCRRQSGSFIACEKVHFRRIIAPHTDINLGDCSFLDTCRHMKTCKYVHYELDPIQDVPPMLMGAGSIPPPKSLKPQRAEYCSEVELGEPQWINCDIRNFRMDILGQFGVIMADPPWDIHMELPYGTMADDEMRNLNVPALQTDGLIFLWVTGRAMELGRECLELWGYKRVEELIWVKTNQLQRIIRTGRTGHWLNHSKEHCLVGIKGNPEVNRNIDTDVVVAEVRETSRKPDEMYPLLERISPRTRKLELFARMHNTHAGWISLGNQLSGVRLVDEGLRARFKAAYPNVEVQPSSPPRASMEIDSGAAQMRSPFAVSESKPPYGGDPTIPEVPYSAAAAGTPDKAIAVGVDTIN >KGN56201 pep chromosome:ASM407v2:3:4912050:4919378:-1 gene:Csa_3G099620 transcript:KGN56201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYSTFNNPTSLFTRISHLSLPSSRLIAIRSSSACFCIGKSIPKFCFSRFRLFSVLSSYTFMEVVKSAAKHGATCRENVAIRANQKSHSYIQLISSALKISDLLTSSDLRTGHGHLGGAKIGIVAKPSAEFLAGVLGTWFSGGVAVPLALSYPEAELLHVLNDSEVSMILSTEDHVEFMQSVAAKTVATVSLIPPVPHIYSERNSHDQSTNIEVDAADADRVFQGTVENLSDDPALIIYTSGTTGKPKGVVHTHKSITSQVQMLSNAWEYTSADQFLHCLPLHHVHGLFNGLLAPIYAGSTVEFMPKFSVSGIWKRWRESYPSKSSKSDDAITVFTGVPTMYARLLQGYEAMDSELQLASASAARQLRLMMCGSSALPPPIMKQWKEVTGHELLERYGMTEFVMAISNPLNGQRKIGTVGKPFPGVEAKIVADDGSTNDSSLEGELCIRSPSMFKEYWKLPEVTKESFIDGGFFKTGDAARLDEDGGYYIILGRLSADIMKVGGYKLSALEIESVILQHPSVIECCVLGLPDKDYGERVCAIVVLQANMKTTIPDGSNPSMSLDELRTWAKDKLAPYKLPTMLLLKDSLPRNAMGKVNKKELKKKLIVEE >KGN58282 pep chromosome:ASM407v2:3:23519553:23523368:1 gene:Csa_3G607630 transcript:KGN58282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGKRLEEEAGPSSAGKVDEFRHEKESEESEVDDKDGFTPGPLLSLKEQLEKDKDDESLRRWKEKLLGCLESDLSEQREPEVKFHSIGIISDEFGEVNTPLPVNENESGRVLFTLQEGSRYQLRLTFTVTHNIVSGLSYSNKVWRGGLIVDQTQGMLGTFAPQREPYVETLEEETTPSGILARGIYSAKLKFEDDDKRCYMELPYSFEIKKSS >KGN58017 pep chromosome:ASM407v2:3:20452843:20461012:1 gene:Csa_3G434980 transcript:KGN58017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLLDMNSLRTILYSRKHFLISSTFSSYTTSPISCRFYLAPNSSLFHYAHLSTHAPNADPLAGSGPEHEKGRNVWSIYGSVSSKLATQRVGSSIDGKDPEPSIGVQNGDGSEDLLNKKTSESVRKVGLEDRLTCKPNSGKVVGLKKKNKVSWVCSNCGHSEGQWWGTCQSCHMVGTMKQFSVGNDSGGERRTWLPKEVTNVNPLRLTDVNRGINTQDWRLPLPGPFGNEVARVLGGGLVPGSLVLIGGDPGVGKSTLLLQIAAILAEGCGEGGSKSVVYVSGEESVEQIGNRADRLKIQTENLFLYSSTDVEDIFEKIQPLSPRALIIDSIQTVYLQEVAGSAGGISQVKECTSAFLRFAKITGIPIFLIGHVNKSGEVAGPRLLEHIVDVVLYVEGEKCSLHRLLRPVKNRFGSTDELGVFEMLPSGLEVVSNPSEMFRRDHNGNFNSEHLTGLAVAVVMDGTQTFLLEIQALCSSSRSFDTHVNGIQNRRADMIISVLMKQVGLKLQTSTIFINVVSGVTLTETAGDLAIAMAICSSFLESHIPNDIAFIGEIGLSGELRMVGRMEKRINTVAKLGFKRCVVPKSAVNCLGVVGLGEMKLIGCTNLKDVINNVFMVRDEVTTPSMGSF >KGN59965 pep chromosome:ASM407v2:3:35860769:35861126:-1 gene:Csa_3G857060 transcript:KGN59965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQNTQPKIGGEGNGGESERGQNSMSARTEMEEKEIPPPLFFVSLFLSVAPFSSGFRFPLHTHFAASRSFVSGSMYSSPLVGP >KGN57344 pep chromosome:ASM407v2:3:12414287:12416329:-1 gene:Csa_3G180320 transcript:KGN57344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLMKLKTKTTEMSEMKGSSTVGDNRPMDWELRPGGMLVQKRTPDSDKESTPAPMIRVKVKYDSTYHEISISSQATFGELKKILVGPTGLHHQDQKLLFKKKERDSKAFLDSCGVKNKSKIVVMEDPISKERRYVEMKKNAKMERASKSISEISLEVDRLAGQVSALESVVCKGGKVAENDVLNLIDLLMNELLKLDAIMGDGDVKLQRKMQVKRVQKYVETLDLLKMKNSMATIQTQTQAQPQTQLKHNNSNHQRQTSTRPSFPNTKLSTIQEEHPRDLAVIENLLLIQQSPQHLTKSKTSSGTVVTTKWEIFDSSPSIIPTEHPVPPRFNWEFFE >KGN56720 pep chromosome:ASM407v2:3:8402725:8406772:-1 gene:Csa_3G130260 transcript:KGN56720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIQSSNDLHDWSSRVIERRTVLDFDLNCPPPDECIDPTGLPDEAAQYYNHYQGQATDAIDEDIAIISPRKFAEARKNFRRNHFESGCGAVIRRNGNTEVYGALSDVTTWPPFTIWSPLTISNNVSLQEQQTIHNLDLRLSCESSSRATKAKTDTDIPSTLALSSSIPPTDRTLRCAICIEPLVEETTTKCGHVFCRNCIETAIATQHRCPICRRKLRRRDIIRIYLPFTS >KGN55801 pep chromosome:ASM407v2:3:1511766:1512431:-1 gene:Csa_3G016950 transcript:KGN55801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVKEFQISDRNIVIHELDDLYDSTTGRVLTGSWVWNSAFVLAKWMATQCNLFDFDFRQKNVIELGAGTGLPGLTAALLGANRVLLTDVEPLLPGLLENVDANGVGDRVEVRELVWGSNDLPSQANELGEFDLILMSDLFYNSEEMPHLAQVLKIISGTDTKIWAASEIRPWTIECITELINQGFKITESPVQHDESHEEDNHKILDSFSIFHLRQEERNL >KGN56041 pep chromosome:ASM407v2:3:3477867:3478072:1 gene:Csa_3G055960 transcript:KGN56041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAYTQVHAVDEIYIIHISYMNVKQNKAAELCSLLLPLTPSTSTAAYKRSGFS >KGN57962 pep chromosome:ASM407v2:3:19701543:19701746:-1 gene:Csa_3G414080 transcript:KGN57962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYLNNVFYSLAIVDKYHCHTPGTNFDNYLHAPNFDDQSCVSDGNFGDQLLWSISGSKNHSNDNSSI >KGN57899 pep chromosome:ASM407v2:3:18695632:18696048:1 gene:Csa_3G379760 transcript:KGN57899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEETENGYCLVHIWPEMEGKWRRERQLHSVGGLYPLPNASEDALIRL >KGN55693 pep chromosome:ASM407v2:3:707338:710587:-1 gene:Csa_3G005570 transcript:KGN55693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFILRGMNDEASGCYSNEMDIQRCPFLRNINKPTSFSFSTLTFNFPVRGAKGPIFEDGPNFDTAFKLFHGKDGVVPLSERSGSDKISLEPEMASPFNPLAAKAATISLSAFGPGGPFSFGSFSEKWKKQKSEASNKKNNSSQKKGNSSKHEALGNEWLETGNCPIAKSFRAVSGVLPLVASAFQLPPGMKLKCPPAIVAARAALARTAFVKNLRPQPLPSKMLVIAALGMAANVPLGIWREHTQKFSFSWFVAIHAAVPFIAMLRKSVLMPKTAMAMTIAASVLGQVIGSRAERMRLKAIAEKGKVTTVIPTLESTPSYELTQVDAIVGSRCGVERMVFDPLRKDGTQTSTPANVCT >KGN58893 pep chromosome:ASM407v2:3:28196181:28198994:-1 gene:Csa_3G734940 transcript:KGN58893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKRNINAKTKKMQTRGSSKTLQIPFLENLLEARNQSMDSFNNNANMDNLFLQTLMARLQIRPPVNYNNINNPLLSQSLEELIFEAANLSDDDNDDNRTPLAKEESKLEKEIIRVILAGNTDSLKPNSGQAVTVGEHHVCVGFHEEKDSDFRVWEWHGHIMLFDEENGYSPEYIYGNYFERLQRQVVNRELNKWKEEEEEDEKEEEQKEEKPGDLGLRELIDGGDSSVGRILHRNVNPSSQRGRF >KGN59500 pep chromosome:ASM407v2:3:32362194:32373496:1 gene:Csa_3G822500 transcript:KGN59500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQYLLKDFEVEPKRPSEATLRRWRSAVTIVRNRRRRFRNTADLEKRSEAEKKKLKIQEKIRVALYVHKAALQFIDVVNRDEYHLSDEARNVGFSIHPDELASIVRSHDYKALKFYGGVEGLSRKVSVSLDAGVSEKDTSKRQEIYGYNRYTEKPSRPFWMFVWEALHDVTLIILIFCALISLGVGIATEGWPKGTYDGLGILLSILLVVLVTSISDYKQSLQFKDLDKEKKKIYVDVTRDGLRKKVLIYDLVVGDIVHLSIGDQVPADGVFISGYSLLIDESSLSGESEPVKKDEEKPFLLSGTKVQDGSGKMMVTTVGMKTEWGKLMETLSEGGEDETPLQVKLNGVATLIGKIGLTFAVLTFLVMTGRFLGEKAAHRQFTKWTSSDALKLLDFFAVAVTIIVVAVPEGLPLAVTLSLAFAMKKLMDERALVRHLSACETMGSTTCICTDKTGTLTTNHMIVSRAWVCENFMENKDHGSVDKLKSEISEDVLGILLQSIFQNTSCEVTKDKDGKNSIVGGTPTESALLEFGIHLGGDFRAQRTEYKILQVEPFNSVRKKMSVLVALPNGGVRAFVKGASEIILSMCDTYIDSNGESIDLKEEKVNNATNVINSFANEALRTLCLAFKDIGDSSGKTIPDDGYTLVAIVGIKDPVRPGVKEAVKSCLAAGITVRMVTGDNINTAKAIAKECGILTDDGLAIEGPNFRNLSPEQMKQILPEVQVMARSLPLDKYTLVNNLRSMGEVVAVTGDGTNDAPALHESDIGLAMGIAGTEVAKENADVIIMDDNFSTIVNVARWGRAVYINIQKFVQFQLTVNIVALVINFVSACLSGSAPLTAVQLLWVNLIMDTLGALALATEPPNDGLMQRPPIPKGVNFITKAMWRNIFGQSIYQLAVLAILNFGGKQLLGLDGSDSTIVLNTLIFNSFVFCQVFNEINSREIEKINIFRGMFSSWIFLGVMVSTVGFQIIIIEFLGAFASTVPLSRELWGLSVLIGFVSMPVAVVLKLIPVSKEEAFTAHHDGYEPIPSGLEQA >KGN59318 pep chromosome:ASM407v2:3:31004477:31007090:1 gene:Csa_3G809940 transcript:KGN59318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDLSNSPPWDFSVETTPLTQNNSNPPLTEPIIIEKCPYKEKIGKGRERLGPGGIAMVAGGGGFALIFAALFIAICKTQICAKQRSMKHIAMCLPVSKAEDGYSTAPDGSPHILSLSSPVVVGGLNRACPTCCARTERGYSRSFSERSSFPGKTKTYTVAELESATNMYSEENLLGEGSLGSVYKAEFPDGQILAVKRVDMVALSFTKEQYFLDVVCTVSRLRHPNIVSLLGYSVENGEHLLAYEYVRNLSLDDALHSVAHNPLSWSVRVQIAHGVAKALDYLHNAFFPPFAHCNLKAANIMLDEELMPKICDCGLSVLKPLVSNRVKIKAAQITIADTAYFAPEYGQYGIDYTKSDVYAFGVLFLELITGKKPNDLRPGMEQSLSRWASFQLHDCGNLDEIIDPDIKGTLSSKVLSRCADIITLCIQPVMERRPPMFAIVGYLASIQRKVEMEKCAAVEGKVVDIFEKSFRTTNTGFISSPSYSCSSI >KGN56202 pep chromosome:ASM407v2:3:4921820:4923978:-1 gene:Csa_3G099630 transcript:KGN56202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRSSANGYGGTTQSAQHGFSGPRMYEANTGNPATNYGGGGDTLETEFSGPTMYQANTVRGNVWSTGLFDCHEDETNAVMTAFLPCVTFGQIAEVMDQGELTCPLGSLIYALMMPALCSQWLMGSKYRTRLRERYNLVEAPYTDIISHVFCPCCSLCQEFRELRKRGLDPALGWNGILAQRQATQNETMEVPPPTQTMF >KGN57988 pep chromosome:ASM407v2:3:19954885:19955623:-1 gene:Csa_3G418790 transcript:KGN57988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESGWCCKCCFKFIITAGFSALFLWLSLRTRKPKCSIEFFYIPALNQSLNSSSNSSLIFDLRLKNQNKEKSVYYDTIYLNLTLLDETRRLVGNLTVPGFHQGRDKKALRKESFEARGVDWKAVSRNGSTVIRLDLATAVRYKILLWKTKRENLRLGTEVKVNEQGVKDNPHGITLNSGAAVPVGSLSNFWAAFLVGSVLHRPLTADVRWYIN >KGN58080 pep chromosome:ASM407v2:3:21146439:21149809:-1 gene:Csa_3G485860 transcript:KGN58080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGGNPSNWWNMFPPNSPQCVLGSSSSLPLSSMADHHHNQDPNSQSWSQLLLGGLHEEDVADEDKLALNNNFQQQKKLENLEGRILIPFSRFGVGDHHHHQDHNNNNLDQMKQEITCSQNSKSLGFLWNNNEKELSSPSSSSSSSSAKLSQMTRPLTISSSPNSSINNNNALLDFSFNKVDSKNQILDHKFSSDQCASPTTNGGVWKKARVQPSFGQPPAKVRKEKVGDRITALHQLVSPFGKTDTASVLSEAIGYVRFLQSQIEALISPYLGNSSKSTKKKEQLRTLNDGRNYLREVEDDNNGRSCVFAEDLGQQLLNDNSLKRKLPPSQEDEEEKAKDLRGRGLCLVPVSCTQHVQSDINGADYWAQAYNDTF >KGN56548 pep chromosome:ASM407v2:3:7405111:7409669:-1 gene:Csa_3G123210 transcript:KGN56548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGEDEPSGSATMVEDEIHANGTTSDDHNRNRPIITGEQLDIEAYASLYSGRTKITRLIFIADHCGAETNHTMQLEALRMAYDEIKKGENALLFREVVPKIDGRLGPNYGMDAAWCEMVERRAEQRKDKLENELNAYRTNLIKESIRMGYNDFGDFYYAHGALGDAFKSYVRTRDYCTTSKHIIHMCMSAILVSIEMGQFTHVTSYVSKAEQTPEALDAITSAKLRCAAGLAHLEAKKYKLAARKFLETGPELGNHYNEVIAPQDVATYGGLCALATFDRSELKSKVIDNVNFRNFLELVPVVRELINDFYSSHYASCLDYLGNLKPNLLLDIHLHDHVETLYDQIRHKALIQYTLPFVSVDLHMMANAFKTSVAGLEKELETLITNNQIQARIDSHNKILYARHADQRNATFQRVLQSGSEFDREVRSMLLRANLIKHDYNQRGGSRKI >KGN57325 pep chromosome:ASM407v2:3:12256416:12259285:-1 gene:Csa_3G179150 transcript:KGN57325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKQRYWSGHGTTKTTPSSSIKRVFKNDLDNEASSSSSSSSSSTSAGCMCAVFQLFDFHPLNHLSSQSSASLNQQSDHRVSKGTEAPRNSLESEEELHVSDPLPSKTPKKKENQDALHFPKGIVQIKTKSNGMNNNLSTGNDSPSTKTPTLVARLMGLDILPESNSSPYSSTTPKSSKMTTKLKSGSRSLPETPRSSCERKSNVDNYHHRFSLQIPNNYDHKENNAIPNPNPNTSSTTPTHAAKEIVKQMKESVSRRKEAALIDITNLNYNNTRRDQDMIINYQTKPKNQTRQRVVLEPKVEAIKEAVDLETTMKVKKPKGVKTTTTKVAVSKKGNKKEETFVVSSRITKAAIDAPLKKTPLSNQLLNFGSVPTTILINKNPPFSSSIKPTQIQAACKRNQGTDETSDKESKRYFQSPNHQHSLIQLPPKKDGNDPKHHIITTVAAAANCNDSHEITAEVDYVRQILLRRSTTSSSIYSSVFLENVNYKNGHNYKISIPHRKLLCHLVEELLKPHLELGPYYREAGSGWAEVGEKLCEKVRKMPRAKCEVLEDIDGIIEKDMGIVGIGYEEEGEGIVKEIEEWMVEELLKETVRFVETEMAGESRGRCHMEVLGPT >KGN60314 pep chromosome:ASM407v2:3:38497145:38501606:1 gene:Csa_3G895110 transcript:KGN60314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLRPRGRQTFSGFTKGEIEKMEKLLEESGEQSLNRDFCQKVTKRFNRSSGRAGKPVIKWTEVYDWLQSRLQDLPKIEKRISEIPKACPSNKTQESSQGPEDEKSPDLSELEFEARSSKDGAWYDVAMFLTHRFLSSGEAVSLQTMTLFSVLYYDLSGLPFFHRVHQFVEPSGSLFTRNEHFPEKYT >KGN55763 pep chromosome:ASM407v2:3:1178788:1180118:-1 gene:Csa_3G011650 transcript:KGN55763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKADGGELDGEASAASTEQQLQPNSNSEETYLTTHHLSALPSGLTQEEFDELKDLVAEFHIYKLTRGRCSSLLAQRVQAPSEAVWSIVRRFDQPQSYKHFIKSCTVSEGFTMKLGCTREVNVISGLPADTSTERLDIHDDERHVIGFSIIGGEHRLRNYRSVTSVHQLERDGQIWSVVLESYAVDVPPGNTEEDARLFADTVVRLNLQKLASVVEGMNRAGNR >KGN57187 pep chromosome:ASM407v2:3:11247521:11250789:-1 gene:Csa_3G168980 transcript:KGN57187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSKGVVKHILLAKFKNGISEEQIDQLIKGYANLVNLIEPMKAFQCGKDVSIENLHQGFTHVFESTFESTQGIAEYISHPAHVEFANKFLSSLDKVIVIDYKPTLICN >KGN58291 pep chromosome:ASM407v2:3:23589401:23590272:-1 gene:Csa_3G608700 transcript:KGN58291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTKPNHHGRHLTRSAGHIFISPPPSPKSYIFPFLTAESFCGFSDSIPQLFFFKGFLLFSSSFLFLLLLSTPFLFGIWILGLAIS >KGN55975 pep chromosome:ASM407v2:3:3023356:3025773:1 gene:Csa_3G043910 transcript:KGN55975 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRAS family transcription factor MLAGCSSSTLLSPRNRLRSEAQPPFPACHLQLPTSMSTQRLDLPCSFSRSKDASATARSPSIRPVALSVEKQNIRLPPLSATSQQIKQEFWKGKGKNLKRIAEQVGFDDDDDSSISSAKRKRECRDDTAADGLILSQFGGGGGSFWFHQPDVDEEGFCFLPGSEVILSPSPFLSEIADLGEENDGEESSHVKAQEASGSGSGSSSSSESERFALRRRVTTENVSAATTTVQEIGNGSSRNPSYHHHQASDLENEREEEEGFELIRLLMACVEAIGSKNIGLITHLIDKLGTQASPRGSSPITRLIAYYTEALALRVSRVWPQVFHITTPREYDRMEDDTGTALRLLNEVSPIPKFIHFTANEMLLRAFEGKDKVHIIDFDIKQGLQWPSLFQSLASRANPPSHVRITGIGESKQELNETGDRLAGFAEALRLPFEFHAVVDRLEDVRLWMLHVKEQESVGVNCILQLHKTLYDGNGGALRDFLGLIRSTNPSIVVMAEQEAEHNEPRLETRVAATLKYYAAVFDSLDTSLPPESSARLKVEEMFGREIRNTIACEGRERYERHVGFKKWKKDMEQQGGMQCIRIHDDRELLQTQFLLKMYSSAAHGFNVTKIEEEEEEEEGTAQAICLTWEDQPLYTVSAWSPAEVSGSSSSFNHPTS >KGN57827 pep chromosome:ASM407v2:3:17847774:17848116:-1 gene:Csa_3G333850 transcript:KGN57827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPAASLSITLKLNVSSPSSSSARSWPFRSIIFHEASASSVASSVSVQRTPTISFCLCLAQPSRISSPSQAAYLVSFE >KGN58892 pep chromosome:ASM407v2:3:28194305:28195378:-1 gene:Csa_3G734930 transcript:KGN58892 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2B MAPTKAEKKPAEKKPAEKTPSSAEKKPKAEKKIVKDGGDKKKKVKKSNETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQEASKLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >KGN56224 pep chromosome:ASM407v2:3:5133911:5138845:-1 gene:Csa_3G102320 transcript:KGN56224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADIEASTNKTTELDCLGAVVFLRKPNRPVTLRKPQWEEKTILKGLSGLVRPAEMLVILGPSGSGKSTLLTALSGRLSGRLDGAITYNGKPFSSEMKHRIGFVTQDDILHPHLTIAETLVFTALLRLPNTLTKQEKLAEVEATISQLGLTRCKNTIVGSPILRGVSGGERKRVCIGQEMLINPSLLFLDEPTSGLDSTTAQTIVTMMGEFAKGGRAVIMTVHQPSSRLFYLFHKLLLLLEGNAVYYGKGSEVMDYFLSIGYSPSLPMNPSDFLLDLANGLSMNDPNEDPTIVKQKLVSSYKNNIADNLKREVQESDEEHYYWCGDGSHEDNFEIWPTTWWQQVAVLWRREIKERRYESFSSIKFVQVLVIAFLAGFLWWQSDDSHLQDKLGLFYSIQSFWTFLPTLKAISTFSNEQKILEKERSSAMYKLSSYFISKTVNDLPMELALPTLFILIVYWMTGLKPTLPNFFATLFTLLLNVLVCQGFGFALGAVLMDQTVASISGTVLALAFLLTSGFFVQHVPKFIAWIKYLSSGHFSYKLMLISQFKGDDTYPCSNIGGVCKVGEFPVIKQIGLEGKAMTVLALVVMFVGYRFIAYVALMRIGVIKKK >KGN57109 pep chromosome:ASM407v2:3:10722956:10724432:1 gene:Csa_3G154320 transcript:KGN57109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLPRLRCLVKWPFKVIYLEGESQFTGVPHHELLLQRLDWSTPRGILQEAYTPFLDWLRKVHLQAVCYKNFSETCAWPYGLQLLGLFQPMLNSCMTYFIWTLPCMLVFSIIELLAQSEDVFVLIGNKKKRPGNIPKEGKRTFLLVATDLVPTFGSKMGCEACCQEEIVGFRT >KGN59233 pep chromosome:ASM407v2:3:30422428:30425931:1 gene:Csa_3G782800 transcript:KGN59233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKMKLRSSLFYVLRTNTPLSSAIYFPNVSNSALSSQISSISLLPSIAECSRPSPPTACEFLDNLSFSSRVFCSRSAEASVLKPGCWNCGAVAPSSAVFLVCDSCRSIQPVDQLVDYFQIFGLEKEYEIGDVNLESKYKDWQKKLHPDLVHSKSDREREYAAEQSARVIDAYRTLSKPLSRAIYILKLEGVDVDEEDTISEPELLNEIMEIREAVEDASGSQELNQIQSQMQEKLNHWSNTFAKALRDRNFNDAVSSIQRMTYYERVKEEITKKL >KGN58050 pep chromosome:ASM407v2:3:20860632:20863096:1 gene:Csa_3G464690 transcript:KGN58050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFHLQQTDLWTSMEEQTKSIDLPQNTAVLPDPIHFPNSPSRPSVPAPWAMSPAPPPSTNHQHFIYHCIASLHRPDGNILSIAMTKEFIFVGSESGRIESWKLPECTGVGFIKARSGEVGAMFGSGRMVFSCHGDYRVRIWEVKMGNKRLKAKKISTLPSKRSFLVVRKSSRRLQYHTDCISCLAYNDADKLLYTGSWDSTVKAWKISENRCVDSFIAHEGHVNAILINQEDGCVFTCSSDGSVKIWRRVFGESSHILTMILKFQLSPVNALALSLSSFSSSSSLKPYNFLYSGSSDGLINFWEKESSSSRYNHGGFLQGHHFGVLCLVAVKDLILSGSEDTTIRVWRREEIGNNEFVHSCISVIEGHHGPVRCLAAATEMDNMGNMLVCSGSLDQTFKVWRLKLFAPQSLKKEIAEICGNHNPVLSPSWVEKRKLQGDEYYYCI >KGN57647 pep chromosome:ASM407v2:3:15282999:15285470:-1 gene:Csa_3G238130 transcript:KGN57647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLPTEICFKIFCFLDSQNLATALQVCRKWNSLASDNILWSNLFKERWGEDHAAFYHPIGSKSWKDSYEVQDRCDRFGLGLRIIREGNDYYLIHQGEIQRHLGSRRQKNGQTSFLPLSSKREFLSEGLLEEDKSCRGILDRILFFIGDLEVASTDAKRSRSL >KGN59490 pep chromosome:ASM407v2:3:32289083:32292728:1 gene:Csa_3G822410 transcript:KGN59490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRNPEVLWAQRSDKVYLTVSLPDAKDISVKCEPHGLFSFSAKGLQGSSFDFTLELFGSIVPEGCKTKVSLRNIICSIQKEQKGWWKRLLKTEEKPAPYLKVDWNKWCDEDESDSALTSDDELEYMGQDDGSGEDGGMLYLPDLEKARGN >KGN55992 pep chromosome:ASM407v2:3:3126901:3131562:1 gene:Csa_3G045060 transcript:KGN55992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYDVSYIVYLGAPSVGSNPTNYDIEVATESQYDLLGSVVGSKLAAKDAIKYSYNKYINGFAATLDEKQAKDLAKNPKVVSVFENKERKLHTTRSWHFLGVESDEGIPSNSIWNAGRFGEDTIIGNLDTGVWPESKSFNDAGYGPVPSRWRGACEGGANFRCNRKLIGARYFNKGFAMASGPLNISFNTARDKQGHGSHTLSTAGGNFVPGANVFGYGNGTAKGGSPKARVAAYKVCWPATSGGGCYDADILAGFEAAISDGVDVLSVSLGSKPEEFAYDSMSIGAFHAVQQGIVVVCSAGNDGPGPGTVSNISPWMFTVAASSIDRDFTSYASLGNKKHYKGSSISSSALAGGKFYPLINAVDAKAANASEILAQLCHKGSLDPTKAKGKIIVCLRGENARVEKGFVVLQAGGVGMILVNGKNGGSGTTADAHILPATHLSYTDGLAVAQYINSTKTPVAHITPVQTQLGIKPSPVMADFSSRGPNPITEAMLKPDITGPGMSILASVTTDVTATTFPFDTRRVPFNVESGTSMSCPHISGVVGLLKTLYPTWSPAAIKSAIMTTAKTRDNTMRTISDNVKPKATPFDYGAGHVHPNSAMDPGLVYDTTIDDYLNFLCARGYNSLTFKNFYNKPFVCAKSFTLTDLNYPSISIPKLQFGAPVTVNRRVKNVGTPGTYVARVNASSKILVTVEPSTLQFNSVGEEKAFKVVFEYKGNEQDKGYVFGTLIWSDGKHNVRSPIVVNLG >KGN58534 pep chromosome:ASM407v2:3:25995623:25999935:-1 gene:Csa_3G664580 transcript:KGN58534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFESPVEEGWRYWVRWQVPVCGLIIAIPCAFALKFIRKSMAKPLLLSDLWSTHWRHLSPLWLLLYRAFAFVCCVQLLYEIVALHGPFVFFFYTQWTMALVAIYFALGTVVSAYGYWCPSRKTHSKNEEDVKLMEKDLKKNVDIVKEDTIKVQNECAQQEFQEKAGCLGTLMQMAYLAAAGASVLTDVVFWCLLVPFLLGENFQVSLLIGSIHALNAVFLLGDTAINSLSFPFSGFAYFVAFGGLYVVFQWTVHACCVNWWPYPFFELSTPWAPLWYLGLAVIHIPCYGIYALIVKAKYSLLPRLFPHAFVKTF >KGN60123 pep chromosome:ASM407v2:3:36983176:36986456:-1 gene:Csa_3G878910 transcript:KGN60123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIHKPLILIYRILHSIQHNHPSSFRFSALQFSSLSPHSWLSTPGKPLVKWPSLPDQPANPLPSNSAVISNPNSAIDVKFEASYSPNDLSTISSILSDRSVRPGAALEDALDRTGIVPSSSLLEAVFDHFDSSPKFLHSLFLWAAKKSGFRPSAALFNRLINVLAKSREFDSAWSLITSRLRGGEESFLVSVEVFVILIRRYARAGMVQPAIRTYEFACNLETISGTGSEGLFEILLDSLCKEGHVRVASEYFNRKREMGSSFEPSIRAYNILINGWFRSRKLKHAQRLWFEMKKNKISPTVVTYGTLIEGYCRMRSVEIAIELVDEMRREGIEPNAIVYNPIVDALGEAGRFKEALGMMERFMVLEQGPTISTYNSLVKGYCKAGDLSGASKILKMMIGRGFTPTPTTYNYFFRFFSKYGKIEESMSLYNKMIESGYAPDKLTYHLLLKMLCEEERLNLAVQVCNEMKARGFDMDLATSTMLMHLLCKMHKFEEAFAEFEHMIHRGIVPQYLTFCRLHDEFMKRGLTKMASKLQEMMSSVPHSEKLPDTYNQTPDSIRARRTSIMRKAEAMSEMLKVCKDPRELVKRRSPSEDAVFSANKLIDDIKKKANPGTV >KGN55814 pep chromosome:ASM407v2:3:1645837:1646086:1 gene:Csa_3G017080 transcript:KGN55814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEEEEEVNGMGCDRIEVYRDYERVLGMEETAENWRRNRGNEKKDDGSAIWIRVSGRESAKAKTRL >KGN56363 pep chromosome:ASM407v2:3:6451865:6455712:-1 gene:Csa_3G117980 transcript:KGN56363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQNPLRVLVTGAAGQIGYAIVPMIARGVMLGPDQPVILHMLDIEPAAEALNGVKMELIDAAFPLLKGVIATTDVVEACKEVNIAVMVGGFPRKEGMERKDVMTKNVSIYKKQASALEQHAAPDCKVLVVANPANTNALILKEFAPSIPEKNITCLTRLDHNRALGQISERLKVHVSDVNNVIIWGNHSSTQYPDVNHAKVTTSSGEKPVRELVADDQWLNTEFIATVQQRGAAIIKARKLSSALSAASAACDHIRNWVLGTPKGTWVSMGVYSDGSYGIERGLIYSFPVTCEKGEWSIVQGLKIDEFSRAKMDATAKELIEEKALAYSCLG >KGN57624 pep chromosome:ASM407v2:3:15103746:15107363:-1 gene:Csa_3G232960 transcript:KGN57624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLNLERKWLFPLVISSLICVFLLVTFFNMGLVSSLYTINSLFAIFPGRMTMDNTSAVFAESKIAQPSTPAGPTIPRFAYLISGSKGDLEKLWRILKALYHPLNHYVVHLDLESPAEERLELASRVGNESLFAEVKNVFMISKANMVTYRGPTMVANTLHACAILLKRSKDWDWFINLSASDYPLVTQDDLLYTFTNLDRNLNFIEHTSQLGWKEDKRAMPLIVDPGLYLLTKSDIFNVNPSRALPTAFKLFTGSAWMVLSREFVEYFIWGWDNLPRTLLMYYSNFVSSPEGYFHTVICNVPEFATTAVNHDLHYISWDYPPKQHPHTLSLNDTERMIASNAAFARKFKQDDSVLDLIDRDLLHRKKGDFTPGGWCAGHPKCSTVGNPMKIKPGEGAQRLHRLITRLILAARSGENQCK >KGN59902 pep chromosome:ASM407v2:3:35419545:35422857:1 gene:Csa_3G852510 transcript:KGN59902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSETESRREMVAARRPSFYTFYSSTLSSERLKLPLKFVKHLEEIIGRSVVLIGPSGQTWHVNLIQENDNLFFCDGWPTFARDHALECGDFLVFRYDSELNFNVQVFDQSACEKEGAFLSQFRQDNTGHKRDREEDHSSQETREQDVTKKTRSISDVNLDCIRENLPSIRTVEDHKSVAGQNGISKVDDITTRDKIPALPLSFQDEKKVSQTFSSNFPYFVRIMKSFNVRGSYTLNIPYKFSMAHLPSCKLKLVLHNLKGESWTVNSVPTTRVHTSHTLCGGWMAFVRGNDINMGDICVFELVRDCELCVHIFRVGKEMSEDQSGNGSFNRLGTGHAVIPRKALKGLPKKMNGNSHKVHSKRSKRIEIPDKKCLKSWQESFCNDTKKHSSAKKVSTKVMVCSPSKKPSKRLVNQRTSVKGDLILPARPGLRAMLARDEERAAKSFVSCFPSFVRIMKKFNTSGSYTLKIPHQFSSAHFPNIRTEIVLRGPNGGCWTVNSVPDSMGRMMHTFCGGWMSFVRDNGIQMGDICIFELVGKCELYVHVTGVGKKGFELSSEATTCSELASVPTTSNHPSQ >KGN57529 pep chromosome:ASM407v2:3:14019925:14020161:-1 gene:Csa_3G206310 transcript:KGN57529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSLSSDVPVGYFSWAEYDIMAPVQAKSEKALAAAFISNCGARNFRLEALEALEKLNVTIDSYGSCHRNRDGSTGAF >KGN59507 pep chromosome:ASM407v2:3:32413702:32414724:-1 gene:Csa_3G823060 transcript:KGN59507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLKSLNPNRLFSSKSHRSKLSRSQPPSFGSASSSSSSSAAGTPTSVLPSLDWSDFSDPTLSRKELKALLGLIGAEPPSEEEIKIMMGEMDRVGPTCHSELRDTFEIFDADHDGRITAEELFSVFAAMGDDGCTLEDCQRMIAGVDKNGDGFVCFDDFVRMMDCQR >KGN57108 pep chromosome:ASM407v2:3:10719708:10721934:-1 gene:Csa_3G154310 transcript:KGN57108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEANMPLYASFAAGSSAFKCKEATKPFIQTPSYTVLSQRISSTLRRSYISSFRFRGSSSAKVFTFLTVTRYSTYLNDEFPPSSKRRSRGPIMAAKKASKETNEGDGKYKHTVDLPKTAFGMRANSLVREPEIQKIWDDHQVFNRVVERNTGENFILHDGPPYANGDLHMGHALNKILKDIINRYKLLQNYKVRFVPGWDCHGLPIELKVLQSLDKEARKDLTPIKLRDKAAKFAKATVKAQMASFKRYGVWAD >KGN57749 pep chromosome:ASM407v2:3:16479622:16480595:1 gene:Csa_3G271420 transcript:KGN57749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQQIRVPSNQGFLYGLLCSSFSFYLFSFQVHEKSILLPLLPASMLALDEPSLFIHFLHYALLSIFPLVVRDKLVQAYLAIYALTFLIINALNKGKQKGGGFHSGGVLFGCFLFCSLVLHVVYLVVRPPERYPFLFEAVIMLLCFSQFIFLVIFSNVKQWTLSKAVPQMHKQKLN >KGN56368 pep chromosome:ASM407v2:3:6481762:6482486:1 gene:Csa_3G118030 transcript:KGN56368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTVEVFNGADLNPNAKEWNPIYDCAPEEDRCLFLTFSNGFPLTENQIVTFFTRVYVHYPEGGKQLPLFGKVVFVASSIPAIVLGGKEEAKFSIDTKTMWCKKFESRKARSRASAPASRRR >KGN56088 pep chromosome:ASM407v2:3:3840795:3848061:-1 gene:Csa_3G073800 transcript:KGN56088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGLVKVETKETNTVAFLCRQKNSFRSGTATATATNHKPQPSFASSPPKLNAFTFYYSSNNLNYPPKPLSFLPLNFQFFKFPSSPFSFSSPTTSFSSSGSSPMEETALVDVQPALPTSDKRPIEIHDDNQLAEPQSRKKPRNACDLGPNLRRVAEIVLVMSTMTALRGGKKPSDAEVGLMAEARAKLVRICEGLPPKDIVGREGISALIEDLGLHARDQKLGFRGPRLTIAEKLAQSKKKMEDSKKYGPPPGYGSHTTQKGSSSSVESRGPLPTVRMFPSEKPGPVPASVGGTAGTLPSGHGSVAGPTSIQVQAQTPSNEVRSHIISSGYSIGRQGMDSSSLLHGTERPLNGAYGSQMQVNSLANHPLASAPTWSAQTQSALTTKGGPEHKFPNHSAVNAQGTTDSRALRSSSQAARDQSFRPPISQTGTGNLTGLQPPLQNMNFVQGPSLSNNHNEIVKIIQKLLQPQLPDHPTWNPPSRDYMNKAVTCQTCQVTINEIDTVLICDACEKGYHLKCVQSPNQRAIPRGEWHCPRCLTISNGKPLPPKYGRVMRSNPPPKLSVNTSGTQLLEKRSGAIEQKASAGQLKLVSNGGSDLPTPQPADYGSNANESSGIKISNVEEIHGNNFLPIRKDIDEKPIPTSPTSLNTPAKSLGLVCEPSSGELSSETSAQPIKSSQASIGDDKSSTKEEPPEESQTTADSSSLPKPPDIPRIVDQKMVSAGPEIPSSTASAHDTSIVKKDDHEVLQENNVENFEASIINREQPGASSNDLHNVEWIGEQYQILDMRAYYKSCRVDGVTYKVEEFALFQSSNGKLMPYRLHSFSHEYESGLKWAILKKCYFYEDLPKEVAHLHPCSPEEHEVYTSDGYICLDLGLIRGPCEVLSVAKYKEELERRKQLSPGEDNGIKPIFLCKWFYTEASKEFVPFTGAICENFSVTQSQPLQEEIQSQPSQEQIQSQPSQEQIQSQPLQEQVDG >KGN60413 pep chromosome:ASM407v2:3:39170122:39173836:-1 gene:Csa_3G903530 transcript:KGN60413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELMNVCVIIGVVLGFYVFVRRFNEIWYLFKLGRKLNKSLPPGDLGWPFVGSTFSFYKAFKVEGDPYTFIHTLLLRYGRVGMYKSHLYGMPTIIVTNPEICRRIYLDDERFEPNYPKSVKILETNGDFSKIDHKSGYKIMASPMNGSEVLSKHVEFIEQTVEKGLEEWSSMRREPIELVDEIGGLLFKIILHIFLGNEIDGQAMAELHTLYKELGLVIMSFLPYDLPGFTYRRALKARKKIEKILHCVIEKKRKRFEKDDGTNEVVHCQVDKLIVATNENGSKPYNNSTIIDLILGIFFAGHNTPAIAAMWALLHISQNPHIFQMAKEEQESIIRQRPSTQKGLTFQEIKQMKYLTKFINEVLRRNTVAPTNFRKARTYVNINESTNVGDICKIGRIIHQNQENSSLLDLEAGWSLLTKIARSLTCLAPSQETIAFAKLLGSHSEG >KGN57572 pep chromosome:ASM407v2:3:14400328:14406444:1 gene:Csa_3G215600 transcript:KGN57572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPFNQTTARLCPSILKYSNKGRIFGLLQFITEFGVNLARRFHGARSEPHNERSGHVQDSKFSQFSQPANNSPTSITWNTEVGEQVGDLFLSLSNHSNPEVSCFSHKGFSQITEEIIGRTVHAICLKSLVRLKVFQTNTLINMYSKFGRINYAQLVFDRMSERNEASWNHMMSGYVRVGSYVEAVLFFRDICGIGIKPSGFMIASLVTACNKSSIMAKEGFQFHGFAIKCGLIYDVFVGTSFVHFYASYGIVSNAQKMFNEMPDRNVVSWTSLMVSYSDNGSKKEVINTYKRMRHEGICCNENNIALVISSCGFLMDIILGHQLLGHALKFGLETKVSAANSLIFMFGGCGDINEACSIFNEMNERDTISWNSIISANAQNTLHEESFRYFHWMRLVHEEINYTTLSILLSICGSVDYLKWGKGVHGLAVKYGLESNICLCNTLLSVYSDAGRSKDAELIFRRMPERDLISWNSMLACYVQDGRCLCALKVFAEMLWMKKEINYVTFTSALAACLDPEFFTNGKILHGFVVVLGLQDELIIGNTLITFYGKCHKMAEAKKVFQRMPKLDKVTWNALIGGFANNAELNEAVAAFKLMREGSTSGVDYITIVNILGSCLTHEDLIKYGIPIHAHTVVTGFDLDQHVQSSLITMYAKCGDLHSSSYIFDQLVFKTSSVWNAIIAANARYGFGEEALKLVVRMRSAGIEFDQFNFSTALSVAADLAMLEEGQQLHGSTIKLGFELDHFIINAAMDMYGKCGELDDALRILPQPTDRSRLSWNTLISISARHGQFHKAKETFHDMLKLGVKPNHVSFVCLLSACSHGGLVDEGLAYYASMTSVYGIQPGIEHCVCMIDLLGRSGRLVEAEAFITEMPIPPNDLVWRSLLASCRIYRNLDLGRKAAKHLLELDPSDDSAYVLYSNVFATIGRWEDVEDVRGQMGAHKIQKKPAHSWVKWKGNISIFGMGDQTHPQMEQINGKLLGLMKIVGEAGYVPDTSYSLQDTDEEQKEHNMWSHSERIALAFGLINIPEGSTVRIFKNLRVCGDCHSFFKFVSGVLGRKIVLRDPYRFHHFTNGNCSCSDYWQLEY >KGN58244 pep chromosome:ASM407v2:3:23063785:23067221:1 gene:Csa_3G598900 transcript:KGN58244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPKSTGILWLDGDHEDATSWITTTNHDNHHSLPVPPAPSISSFKSILQSDCYINSSTPLNPSHQDFHSLSSHPHIPDLPFSSNPSDNFLLHPLDSSSSCSPSHAFPLHPSHSNSILPSHKSSCFSSLLNVVCGSSFDNAFDLGGENGLLGSYQGNQALMGFTGISSLPQIATQELTLNNSDFHVPDNPPAFGAGFNSAAAFENFDACGNALFQNRSKVLRPLEVFPEVGAQPTLFQKRAAHRVGSGGADKLENLEVSGFKLEEGPMWTPNLERLRKMNGDEDIEDGSVDVSRFNYDSDEPIEYNKQEDNVNAKNCGSNSNANSTITGGDQKGKKKGLPAKNLMAERRRRKKLNDRLYMLRSVVPKISKMDRASILGDAIDYLKELLQRINDLHKELESTPPGSVLQPSASFHPLTPTLPTLPCRVKEELCPSNLSSPNTQPARVEVRVREGRAVNIHMFCSRKPGLLLSTMRALDNLGLDIQQAVISCFNGFALDVFRAEQSKEGQEMLPEQIKAVLLDSAGLHGVV >KGN60205 pep chromosome:ASM407v2:3:37656045:37661376:1 gene:Csa_3G889130 transcript:KGN60205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGFGGICAGFRSMALTMFNFAVVLALVSGERKMKLEGSSEDLNLFQGALNFLWQSDKTGYHHVWPEMAFGWQIVVGSVIGFMGAAFGSVGGVGGGGIYVPMLSLIIGFDPKSSTAISKCMIMGAAASTVYYNLKLRHPTLELPIIDYDLVLLIMPMLMLGISVGVVFNVIFADWMVTVLLIVLFLATSTKSFLKGVETWKKETIMKTEAARRAESNDIQADTAYAPLPSGPSHRPETNNTDREVPILENVYWKEMGLLLLVWFAFLAIQITKKHSPNCSWEYWLLNFLQVPIAFGVSGYEAVGLYKGKRKIASLGNQKTDFRIYQLVLYCFMGIIAGVVGGLLGLGGGFIMGPLFLELGIPPQVSSASATFGMTFSSSMSVIQYYLLNRFPVPYALYFTIVAAVAAFVGQHVIRKLILLIGRASLIIFILSFTIFVSALSLGGVGISKMIGQIQRHEYMGFENLCKYDA >KGN60449 pep chromosome:ASM407v2:3:39490495:39490743:-1 gene:Csa_3G912330 transcript:KGN60449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLPFSSRNLFISSNTPFFHFNLLNLCFSISGISLFYWIVYFDSLSPSDFSVSTFLRIQVSCWVFPVDRFWIDFLAFGIGN >KGN60262 pep chromosome:ASM407v2:3:38075339:38079203:-1 gene:Csa_3G891670 transcript:KGN60262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGKKENRRTGTISMEDCSPLLERYSVRTILTLLREVAQVSGVRIDWDKLVENTSTGISDAREYQLLWRHLAYRQTLLEDMHSVTDSLDYDSDLDFEVEPFPSVSSESSNEASACVKVLIANSIPNESDVPNSSAVEAPLTIGISNCQPSTDNLDHHQSTYLQRMSVTIPLSIQRQPIPMPSATEVIDVNGATSRKRRKPWSKAEDLELIAAVEKCGEGNWANILKGDFKGDRTASQLSQRWSVIRKRRCNLNIGASTSSTAHKAQIDAAHRALSFALDLPVNNSKTANSNINSSIVSSASGSESSIQMQNQSPQISMPSRRINTPKNSLMIKSTHDSDSIVRATAVAAGARIVSPSDAASLLKATQTKNAIHIKSKCKLKLCKW >KGN56766 pep chromosome:ASM407v2:3:8693264:8699182:-1 gene:Csa_3G133170 transcript:KGN56766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWMCSVTFLLIIGLIVADDRPIPTTLDGPFLPVTRWFDPSLRRGSDDLPMDHPRLRKKVSSNFPEQISLAISTPTSMWVSWVTGDAQIGKHVTALDPSSVASEVWYGKVSGKYTNMRRGVSTVYSQLYPFEGLLNYTSGIVHHVRIDGLEPETKYYYQCGDSSIPALSKEHMFETLPLPSKSSYPRKIAIVGDLGLTSNSTTTIDHLVENDPSLILMIGDLVYANQYLTTGGKGASCFSCAFPDAPIRETYQPRWDAWGRFMEPVISRVPMMVIEGNHEIEPQISGITFKSYLTRFAVPSAESGSKSSFYYSFNAGGIHFLMLGAYIDYNATGAQFAWLKEDLDKIDRTVTPWLVAAWHPPWYNSYSSHYQEFECMRQEMEHLLYEHGVDIVFSGHVHAYERMNRVYNYTLDPCGPVYITVGDGGNIEKVDVDHADDPGKCPSARDNIPEFGGVCRLNYSSGPAEGKFCWNTQPEWSAFRESSFGHGTLEVKNSTHALWTWHRNQDVYKKENHGDRIYIVRQPDLCLPTTKLNPSLLPRWVLPHSTARSY >KGN58998 pep chromosome:ASM407v2:3:28787853:28788941:1 gene:Csa_3G742860 transcript:KGN58998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQSALQTERLRRGPWLEEEDRRLTIFVTRMGERKWDLIAKASGLERSGKSCRFRWLNYLRPNLKRDRINSEEEEIILKLHKKWGNKVEQRKEDKVSLKKCGLLVSPIRHDHNERKDIPSCNISYNHFDFGFSNLAITSSPYEIRVSNWISHQLTNEKPEVNDHHEDCNSLDFCFCYPNMNFAERDDMTDHFWDSLASLWDME >KGN55987 pep chromosome:ASM407v2:3:3100244:3102430:-1 gene:Csa_3G044520 transcript:KGN55987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPATADVCDANAAHVTNGDLRVLQPKFEIYGQRRAFSGQIVTVKVFEDNVLVRQLLETKGEGRVLVIDGNGSRRCALLGGNLGQLAQNNGWAGIVVNGCIRDVDEINNCDVGVRALGANPLKSNKKGMGEKHVVLQIGGTLIHEGEWLYADSDGILVSKFELSV >KGN58375 pep chromosome:ASM407v2:3:24583960:24585370:-1 gene:Csa_3G633290 transcript:KGN58375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHQQNLDLRLSIGGPFTSLPEKLNGSDQESGVTDVNNRVDIRRRHGKRKREEDPNNNPPFKNRKGNLTNNVDLNKPDPPLPISYPYSSFQYLPFFNAYGYAYPCLVPYWPPGESPVRCRSVSDDGVSNKKSGSSGSSPVCSSSVVSDNHHHSSSSLEGGCSNSSNSSISMKHVKPKPCNKKFVEDQSSQVKKSFSSSMENGKPPKPQIQTQNNMASFEQMPCVSTTGIGPNGTKIITTGFLYRYSNLEVSILCVCHGQSFSPAEFVKHGGGGEVSHPLKHITVLPPTGIFPSSIR >KGN58162 pep chromosome:ASM407v2:3:22183444:22186720:1 gene:Csa_3G565330 transcript:KGN58162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLGWMHNKFWQSGIESVKDFTIANPCMCLTLQKPVDDEDIYLKPGFGFTTVQSDSRNYEQSSLGVEANNVLEKCGDLSNSSDIFHGFLTIGTLGSEPATPTFSLAFENMIELPDEVTEDDLKLINYELEKFLEAETKEDRCDQSPGRTSHASIITLAGKHMEETKDENDGKTTCPLQGYLFGSTIELPDKMIDMRKETPSSPELFQDTIAENESFKLNIEKKKALVKHSNKSALTFVKKMLKKLCTSSHGSSTYDSGDSHSTKKKLQKVLRKLNRKIHPETSTAMDECQKSQKYIFENVSFDNFNNDSVMNRVEDGITYCQEFISKEEMCYWKTNLGLPLYGIDTNVSSANRGHWIKTDAEYLVLEL >KGN56636 pep chromosome:ASM407v2:3:7930649:7934914:1 gene:Csa_3G126990 transcript:KGN56636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRNNNVAKRIFHRQIATPPPGTTSHCVRRFYENIVPNHTVYDVECPDHSFRKFTVDGQYLITFSRNYRDLIVYRPKWLSFSYKGDEIDTFQELPSTAQKFESFFNLIYSVSLASCNELICKDFFLYMESNRFGLFATSTAQIQDAPAVGGAVPGVPSIEKITFHLLRLEDGEILDVKVFVNDFVNLVHNPGVFLYDDLLSIVSLRYQIVHILQIRDSGHLVDVRAIGAFCRDDDELFLHSSSQCVGVPERSRLVSGNVENGYHHNPPNTDNFFLSGLKQRLLSFIFRRIWNEETDHRLRVQCLTKSFYFHFQDYVDLIIWKVQFLDRQHLLLKLGSVDGGVSRMADHHRAFFAVYDMETTEIVAFYQNSADDLYLLFERFCNHFHAASRNSLCMNFISSHSNNIHAQEQLRSLKNKAVSYSQFVKKMLVSLPFNCQSQSPSPYFDLSLFRYDEKLISATDRHRQSTDHPIKFILRRPPHSLRFKIKSGKHSLSLTPFHAFALRFPLLIFGGSI >KGN57660 pep chromosome:ASM407v2:3:15365092:15366638:1 gene:Csa_3G239240 transcript:KGN57660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNPQTFHNIPQFDFEWLHEDLFPFHNELDELPPLDKDEFLDVNSSGSLLSFHLVDNNVLEFESEFLSREMDEIERKGLPSSTWKEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEGEKRLRLMRNNNGNKRRKRSFVLGLEEIKKYYHIPISEAAKEMNVGLTVLKRRCRQLNIMRWPHRKLKSYNSLIQNVKEMGLTNEVKGLEEQKRLLVESPNMDLTHTTKRLRQACFKDKYRKNRRLRSNNIASLHH >KGN59960 pep chromosome:ASM407v2:3:35829289:35829590:-1 gene:Csa_3G856520 transcript:KGN59960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKRYNISLGSVKLPKRFCVGFSQLMLTSFVLRDGLILLKLPRSWSYQHLACVKMELWKLFALLLSLRADDTLQ >KGN57470 pep chromosome:ASM407v2:3:13436530:13436905:1 gene:Csa_3G193880 transcript:KGN57470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQQIHHTVKLSQFLHNTMYSLCNDPTFRRQRRLGRGTATQIWVSRRVTSAQHRRATSVSASLPRSASPCPVLIVSSLLASSADDSFVPPS >KGN59180 pep chromosome:ASM407v2:3:30063619:30064457:1 gene:Csa_3G778360 transcript:KGN59180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTKKKKMMMMMRLPSLFKYLAIDDKSTFPWPSCRQPRTLSFRTTSAAVATATDSSDSFFTLSSESSGSLSTVSESSGGDPIERMIRDLRSTKRLHFEPTGKSSSIVEDDTVSHPLKEGTTVMSMDSDDPYSDFRKSMEEMVEAHGMKDWESLEELLNWYLRVNGKKNHGFILGAFVDLLVSLAMASSSSSSSCSSSLCCYSSSSSSSSLPCVSSSMEIEEISSLDEHHHHVYS >KGN56795 pep chromosome:ASM407v2:3:8850094:8853185:-1 gene:Csa_3G133950 transcript:KGN56795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSITHQIGALAGTPVTSEASNISAGEASLAVNTAMLRKSSASPLRCRVQRTDGVDALSPPLSPCRSPVLGGIRPDLSVACQAFATEVEAPTEVREYKEEGEKGKEKGVPVYVMMPLDSVTMENTVNRRKAMNASLQALKSAGVEGIMMDVWWGLVERDSPGSYNWGGYTELLEMAKKHGLKVQAVMSFHQCGGNVGDSVTIPLPKWAVEEMDKDPDLAYTDQWGRRNYEYISLGCDTLPVLKGRTPVQCYADFMRAFKDNFKHLLGDTIVEIQVGMGPAGELRYPSYPEQNGTWKFPGIGAFQCFDKYMLSSLKAAAEASGKPEWGSTGPTDAGGYHSWPEDNPFFRKEGGGWNSTYGEFFLTWYSQMLLDHGDRILTAATSIFEKTGVKISVKIAGIHWHYGHRSHAPELTAGYYNTRYRDGYLPIARMLARHGAIFNFTCIEMRDHEQPQDALCAPEKLVRQVAQATHKAQVPLAGENALPRYDEFAHEQILQASSFVGDEDSKESEMCAFTYLRMNPHLFEAENWRRFVAFVKKMKEGKNPDKCWEQVEREAEHFVHVTQPLVQEAAVALMH >KGN57043 pep chromosome:ASM407v2:3:10238756:10240277:1 gene:Csa_3G150230 transcript:KGN57043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPSAPASIQKSEEEWRAILSPEQFRIIRQKGTEPRGTGKYDKFYEEGIYNCAGCGTPLYKSSTKFNSGCGWPAFFEGFPGAINRFPDPDGRRTEITCAACGGHLGHVFKGEGFGTPTDERHCVNSISVKFAPANASQ >KGN59187 pep chromosome:ASM407v2:3:30100902:30104806:-1 gene:Csa_3G778430 transcript:KGN59187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETKSRFKRICVFCGSSSGKKPSYQSAAIELGQELVERRIDLVYGGGSVGLMGLVSQAVHDGGRHVLGIIPRTLMPVEITGETVGEVKPVSDMHQRKAEMTRQADAFIALPGGYGTLEELLEVITWAQLGIHRKPVGLLNVDGYYNSLLCFIDKAVDEGFVSPTARRIIVSAPTAKALVRQLEEYVPEYDEITSQLVWEDVERRSYVAESEIAT >KGN59362 pep chromosome:ASM407v2:3:31375893:31377981:-1 gene:Csa_3G813800 transcript:KGN59362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTTVERFRGARGPIVRLMGLESTTAEEEKQRQVLEALEKCERDLKALKEFIDAFESTESFRSSSPAGEGKRIELMVLKQKEEGTPVAEELSLPWHFFNRQGFNNSMIFHRPSTNPGKTIQSQQIQQMQRKKQEDDQKDMMILNNVSKFDGTKNKTHEIVIGKWKLSEKGNDELCHSLCRSSNNNKVEMRESVEEVFEDIFWGQKKELGRIGLTLQNQICGDLIEELVKDLNFSYTFTYYNTSLPFQACKRSLRF >KGN60242 pep chromosome:ASM407v2:3:37919238:37922478:-1 gene:Csa_3G889980 transcript:KGN60242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSFRGGSSFYNGDAAHFRSREGLSTRPAASSDEIQLQIDPMQGDLDDEIVGLHSQVKRLRNIAQDIGTEAKSQQDFLDQLQMTLIKAQAGVKNNVRRLNKKIIQNGSNHVVQVVVFALICFFIVYMWSKMSRK >KGN56551 pep chromosome:ASM407v2:3:7419168:7419902:-1 gene:Csa_3G123730 transcript:KGN56551 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding protein MAVNNFSFKPGRLNLYTITLVAILCAVFYLVGVWQQSIGKSLIFAGGNYACTASTVAATIENSTATTVIDLDFAAHHTAADLPVVQKARRPNFPPCDSKLYEYTPCEDRERSLKFDRDRLIYRERHCPEAGEILKCRVPAPAGYKVPFRWPESRDFAWFSNVPHKELTVEKKNQNWVRFENDRFRFPGGGTMFPRGADAYIDDIGKLINLADGSIRTAVDTGWGGLGYVTVFGFKPSGLNRPLD >KGN58453 pep chromosome:ASM407v2:3:25339222:25339660:-1 gene:Csa_3G645910 transcript:KGN58453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSEALQVAKVYRQLLKAVKKHVGKEESKKHFVDYVAQKFRDKSILSKPHSIQQEIKLARDYTFLLNSVHHQKDLLFSYNIAVDRSDEMKRVLGKSAASVGLSLPEVYQP >KGN58215 pep chromosome:ASM407v2:3:22749152:22751522:1 gene:Csa_3G592690 transcript:KGN58215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEDEKQHGEVHVVVVACPTQGHLNPLLQFAKYLAHQGIHVTIPLTLANPISSSFSRNNNNFPFINLQRVSLLPYNGTEPESSMGLWGRRLASIRLHLVEFLSSCDHSVSCIVYDSMMSWILDIAKEFRVSAASFFTQSFAVNAIYYSLYKGCLDIPLGERFVCLDHGFPSFRSSDISTFLSDPIKHVTIIELMTKQFAALDDADWVFINTFDSLEPQESVWIKKQLPFISIGPMIPSIYLNGWLPKDKDYGLSLFEPNNEDSTMKWIDSQEKGSIIYVSFGSLTEAKEELMEEVAWGLKLTNRPFLWVVRESEFHKLPHNFIEDIAEKGLVVKWCSQLQVLTHKSVGCFVTHCGWNSTLEALSLGVPLVAMPQWSDQPTNAKYVEDVWKIGKRVRMEEDGLCRREEIEICINQVMEGEDCKEIRENLNKWRELAKATMEEGGTSNTNINHFVQQLFRKTALTAASNIVQEHHKYSNNI >KGN59418 pep chromosome:ASM407v2:3:31784232:31786630:1 gene:Csa_3G818270 transcript:KGN59418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLERIGHFFQSANPYIAVISLQFGYAGMNIISVVSLNRGMSHYVLVVYRHAFATAVMAPFALILERKVRPKITFKIFIQMFALALLGPLIDQNFYYVGLKMTSPTFSCAISNMLPSMTFVMAVICRMEKLDLKRVRYQAKLFGTIVTVVGAMLMTFYKGSVINFFSTGHGHQPSTADAAAVNHHNDGEFVKGSILLIIATLAWAAFFILQVITLRKYTAHLSLTTLVCFLGTLQAIVVTLALEHRPGAWAIGWDMNLLAAAYAGIVTSGVAYYVQGLVMKTKGPVFVTAFSPLMMVIVAFMGSFILAEKIYVGGIIGAVLIVIGLYSVLWGKYKESKEKESNGDIVEAMKGGDELPITNEGIEEAIDHQKKEGLAITIPPIEALNMEKRQLQDTR >KGN56094 pep chromosome:ASM407v2:3:3891557:3896117:1 gene:Csa_3G073855 transcript:KGN56094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIWQSPAPAADTVAGTSGVRRSGMQWLLMRGCVGERQIGFMKNSFLISIPPTTCMPPPLVDGVHKSALALSLSPSLTICLSGPPSPCGTPSFSCRKYW >KGN56218 pep chromosome:ASM407v2:3:5040055:5045778:1 gene:Csa_3G100780 transcript:KGN56218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPLSSPVVSRRFSLSSPLHITKHTFLHSNSIFRFTHSSRTSVLPKLSSNSHNHSSLGLEDQHNFLQQLCISPFELGKREIRKLIAVLLICIQISSPLPLLNWDFQSIHPAMAVLYSPDTKVPRTGELALRRAIPANTSMKAIQDSLEEISYLLRIPQRKPYGTMEGNVKKALKLAVDEKDLILGSIPSESKEKGLAIYTTLIEGKGGLQTLLQSIKDNDPDKVSVGLASSLDTVAELELLQAPGLSFLLPAQYSKYPRLTGRGIVEFTIQKGDGSSFSPQAGGESSSTATIQVVVDGYSAPLTAGNFAKLVIDGAYDGSKLSSTSQAILSENSQDKNKGYNIPLEIKPSGQFEPLYRTTLSVQDGELPVLPLSVYGAVAMAHNEDSEEYSSPHQFFFYLYDKRNSGLGGLSFDEGQFSVFGYTTVGRDILPQIKTGDIIRSAKLVEGQDRLVLPNEN >KGN56336 pep chromosome:ASM407v2:3:6256753:6257226:-1 gene:Csa_3G116730 transcript:KGN56336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSSSSSLEGSSSDLFHLEQIRRHLLEDDLGKGSTHNNVEDGIIRYDISRSGAGWEMSNNNNNMVDQMSSIIIRDNPEEKSQPRPRGLQFRGVRRRPWGKYAAEIRDPSKKGARIWLGTYHTAQDAALAYDRAAFQMRGAKAKLNFPHIISSNNYS >KGN58007 pep chromosome:ASM407v2:3:20278097:20278441:-1 gene:Csa_3G427420 transcript:KGN58007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSERDGHVSEQAQVNPLPYNEGATLSCSSEIFGIEGFNSFNFNGREIPITTDDIEVMLGLFLEENQRRERLMEEAEKRQKEDDKKARKEQLDKEREKKEQLEKNEEDKNGK >KGN55661 pep chromosome:ASM407v2:3:497977:500119:-1 gene:Csa_3G002800 transcript:KGN55661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFSLPHHPTILAPEFVRHHDDRPKVPYNQFSDEIPIISLSGIDDNGERRAMIRHSIVEACEEWGIFQVVDHGVDSEIVSDMTRLAGEFFGLPTEEKLRFDMSGGKRGGFNVSSHLKGEIVKDWRELVTFFTYPIEKRDYSFWPDKPEGWAAKTAEYSEKLMGLACKLLEVLSEAMGLEEEALKKACVDFDQKIVVNFYPKCPEPDLTLGLKRHTDPGTITLLLQDQVGGLQVTKDGGRTWITVQPVQGAFVVNLGDHGHYVSNGRFKSGDHQAVVNSKSSRISIATFQYPSQESIVYPLKIRDGEKPILEKPITFSEMYHMKMKNDIEFARLKKQTQKQAQDFVA >KGN59922 pep chromosome:ASM407v2:3:35563127:35567237:1 gene:Csa_3G854180 transcript:KGN59922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSKAFTFSKNIQDLASEMFPGQVEAALKEPPGNKTAPDRVIVAVKAERVISKSALAWALTHVVRPGDCITLLAVFSVEKTGRRFWNFHRWSGDCASAVQENLPDRVHEISESCSQMVLHFHNQVEVQVRIKVVTGTQGGSVASEAKLKGVNWVILDRKLKNEVKSCLEELSCNIVTMKGSQPKVLRLNLECWSEPQTPFFSANSSPVRKVQQNRMKQTTPLASRPEEEPGASFRKSSKEVSKLGTEAVSSIFLVYEQNPLYEGNLKGNHLPINETDDLSMSLSSSTQMEEKVLSLPPTYVASNQKCVYWISQNHNISEGKTLSKSKRRFLRFASTPKVPFSNPTSLEKSTTFEDMRLNQSERKDYIVDSNIRDAVSLGRASSAPPPLCSICQHKAPAFGKPPRQFSLKELEEATDRFSDMNFLAEGGFGIVHRGILRDGQVVAVKQLKCGGLQADADFSREVRVLSCAQHRNVVLLIGFCIEDTMRLLVYEYICNGSLDFHLHGNGSQLDWHSRQKIAIGAARGLRYLHEDCRVGCIVHRDMRPHNILLTHDFEPMVADFGLARWHSKWSTSVEEQVIGTSGYLAPEYINGGMVSHKVDVYAFGMVLLELISGKRSCELHRLEGKQFISDWFHPISALQIQHLLASSNHLIDPCMASEQSPDFYYQLHSMVRAASLCLCPDPESRPSMSKILRVLEGGDPVVPLGLDFDPVGCRSAHLDGLTSHNQIEARRSHTRTLSQ >KGN55979 pep chromosome:ASM407v2:3:3041856:3042593:1 gene:Csa_3G043950 transcript:KGN55979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIKFRKRNVDSMDKNDDNKQLCSIGDHMRFDCETTMEKGLSIQPPTATTTPSSSLSSCPSPAASNNIVVDCLKSLPTSWSLTGRRGRKGTSSSTTSSTTTTDDVIASDQRLHTKSSTSTSTSTATTVDDQHKMKRVKIETHSDHERLLKKKVHSNEKKNGALEKEYKCDVCSKVFTTSRALGGHKSSHYKPKKTNDAPIKKETTTSEPKIITMSLDSSTDQTNQKRVMDFDLNMQLPPDDEETS >KGN58918 pep chromosome:ASM407v2:3:28324756:28328642:1 gene:Csa_3G736660 transcript:KGN58918 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione-s-transferase omega MAALVEEILPPSLDATAEQPPLFDGTTRLYTAYICPYAQRVWITRNYKGLQDKIKLVPLNLFNRPDWYKEKVYSPNKVPSLEHNGKVIGESLDLMKYVDSHFEGPSLLPNDPAKREYAEELLSYSDTFNGAMISSFKGDTAKEAGAQFDYLENALQKFDGPFLLGEISLVDIAYIPFVERFSVFLLEVFKIDITKGRPKLAAWIEEFNKIDAYKQTKADPKLVVEVYTKRFLG >KGN59274 pep chromosome:ASM407v2:3:30728855:30733977:1 gene:Csa_3G798610 transcript:KGN59274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTREVYEQKLRRGNLYHEPTMNPGLGSPRCPRCFSLLKPDPDKSEWAINPVLHGVTAVAGSGLGGLLSAVHAYNTGIPHLQSHVKGPKWLPFVIGVPALLLCSSAGATFGGFALPRFTQLTVTSYYATSSASHYGVSLLTRRIEDNHTSRT >KGN56122 pep chromosome:ASM407v2:3:4077473:4079784:-1 gene:Csa_3G076580 transcript:KGN56122 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid-lipid associated protein MAMSAAHTLQIPASTAITRRRSITPSASTAVSLWRRPSPAIPSISSRQMSFKCHAISKCTTEIIGIATDNAPEALGPYSQGIIANNLVYVSGSLGLIPETGQLISDDVGEQTEQALKNVGAILRAGGADYDRVIKTTIMLANVADFTLVNEIYGKYFPNCPAPARSTFAAGALPKNAKIEIDAIAVL >KGN58434 pep chromosome:ASM407v2:3:25212733:25219664:1 gene:Csa_3G642750 transcript:KGN58434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPSSKHASLKLVLICLALLGFALIADYIWASSSRFSYSLSIASNWAPPYHPDTSIPVTTKPDSANPTKGEAAKGGKDVNPGRALSATFADLPAPELTWKKMTTAPVPRLDGAAIQIKNLLFVFAGYGTIDSVHSHVDVYNFTDNTWGGRFDMPKEMAHSHLGMATDGRYIYVVTGQYGPQCRGPTAHTFVLDTETRQWQDMPPLPVPRYAPATQLWRGRLHVMGGSMENRHTPALEHWSLAVKDGKALEKEWRSEIPIPRGGPHRACIVVDDRLYVIGGQEGDFMAKPGSPIFKCSRRNEVVYSDVYMLDDDMKWKVLPSMPKPDSHIEFAWVVVNNSIVIVGGTTDKHPQTKKMVLNGEVFQFSLRLQQWSVIGKLPFRVKTTLVGYWDGWLYFTSGQRDKGPDDPSPKKVIGEMWRTKLKLIL >KGN57871 pep chromosome:ASM407v2:3:18331610:18333905:1 gene:Csa_3G357100 transcript:KGN57871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWDMEIEEIEAVLEKIWDLHDKLSEAIHSISRDHFLASTKHLRKSDNNNNNNKDSNNTPIPLPHTALFPFIHEFRVDLDDSAIQEARSLNAIRTALENLEDQLEFFHTVQVQQQVERDAAIARLEQSRILLAMRLAEHHGKNYKVISEALAFVGDVRYAANYVSQENKDGPKFSPNGQKPLPNSSKRSNTLIKMLFSTLDFARKSLKMDHVGGILGNAAMVAISMVAFLHLHQVAYKAAPLERDDIPFNRNLRRTSRLKESSSNEDFSNFDVLSARG >KGN57084 pep chromosome:ASM407v2:3:10517060:10522683:-1 gene:Csa_3G152110 transcript:KGN57084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSGSSDGEGHDPPQRKIPPASSMLWVRNLRRFIGTGTGLGSEALMELETKKILLDIFKEKQRRTAEAGTIPSFYKKKPEEGSISHRVQRLAKYRFLRKQSDLLLNADDLDAMWVCLRENCVIDDATGAEKMNYEDFCHIASVCAEQIGPKCRRFFSPSNFMKFEKDESGRIAILPFYLYVMRTVSLTQARIDMSELDEDSDGFLQPHEMEGYIRGLIPNLAQLRDIPASFVQTYCRIAARKFFFFCDPARRGKACIKKVLLSNCLQELMELHQESEEEVTDTEQAENWFSLTSAQRICDMFLALDKDMNGTLSKQELREYADGTLTEIFIERVFDEHVRRSKGGGNTREMDFDSFLDFVLALENKDTPEGLTYLFRCLDLHGRGYLTTVDIHTLFRDVHQKWIEGGNYELCIEDVRDEIWDMVKPVDPLKITSTDLLNCKQGGTVASMLIDVRGFWAHDNRENLLQEEEEPEEE >KGN60042 pep chromosome:ASM407v2:3:36465406:36469316:1 gene:Csa_3G872730 transcript:KGN60042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHMVRALRQWPSMVQKHCCGCAVHHFLFSSPPWVAKRIYSRRLSLATVHSARREVQYESKGLRLSKAPALAKSQEHESINDDDLDVRKSRNQLKREARRAVQWGMDLATFSTSQIKRILSVTSLEKDVFDAIMLVKRLGNDVREGKRRQFNYIGKLLRDAQPDTELMDVLIQSTKAGDHKILQRLCASVDDEVSKYVYEEEEEEEEGPHVDIATRWLDGLISKNNIITKEIYSLQTVEFDRQELRRLVRKVHMVEERKAAIEENGDEVNTAVTNARKPLARFLCRMAKQLPSDEL >KGN58507 pep chromosome:ASM407v2:3:25756841:25757666:1 gene:Csa_3G653390 transcript:KGN58507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELFEDLKANESIGTGDIYEQIDVHSSQITVEESLFFSAWLCLSPEIDSKTKAQSVNEVLETTELNSIMDSLVGIPGVSGLST >KGN60375 pep chromosome:ASM407v2:3:38927605:38928917:1 gene:Csa_3G901690 transcript:KGN60375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNKTVMGAINFIAMVVSIPIIGAGIWLATQQDNACVQILQWPLIIFGVIVLLVAVAGFIGAFCRINWLLIAYLVAMLILIVLLGCLVGFIYMVTIRGSGHLEPNRSYLEYHLEDFSGFLRHRVQSSFKWDLIRSCLSSSSMCAELNQSFRLAQDFFTAPISPLQSGCCKPPTLCGYTFVNPTYWIMPINNAADMDCLKWNNDQTQLCYGCDSCKAGLLESLKNQWRKADIILLLSLIALISVYMIAACVFRNAKTQKLFDKYKQGQPPQPYI >KGN56082 pep chromosome:ASM407v2:3:3795633:3795836:1 gene:Csa_3G067765 transcript:KGN56082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGMLKYPDGKETCQTINVLAWDYTKQKGLEKLLAYSKEHFEWNEFEGVNALTESQGKLKSLNLNES >KGN58142 pep chromosome:ASM407v2:3:21915274:21916896:1 gene:Csa_3G555690 transcript:KGN58142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIDCLAKLEVPIIKLSNVDKKDDDSDPESRKLWLERSKQVRDALEEYGCFLGEYDDDESSCSCNSSSSPKLNSNNYEEIFKGLKELFDLPLETKIKNVSDKPYHGFLDCRTPFVLPLHQSLGIENASSYEAVQFFVNLLWPSGNHHFCEKLFAYSKKISEMEQLVKRMVFESYGVGKYYDSHIASTTYLLRTMKYRIPKMGEENIGAEAHTDKSFFTILHQFDGVNGLQIKPKNYDQWLGVQFSPNSFLVMAGDACLVIK >KGN59925 pep chromosome:ASM407v2:3:35573039:35574697:1 gene:Csa_3G854210 transcript:KGN59925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSQSFSPALTSPLLGLQDARSRFHPMQLGNPVSPRTPRVHLQFSSKWAVLKRKRWAFMCVADSNKSPQLELSGEENHAMYASRLNGVEPFHGKCGSVSFHGLTHQLVEESKLMSAPFREEKGSILWVLAPVAFISSLILPQVFLGGLIEAFFKNRILVVLFYVGVATFLLVTERVQRPYLQFSSKRWSLITGLRGYLSTTFFIAGFKVIAPLLAVFVTWPMIGLAALVAVFPFLVGCIVQLAFETLLDRCGSASWPLVPIIFEVYRLYQLTKASHFMESLMFELKGLPMTPDLLEKSGALFAMMTTFQILGVVCLWSLLTFLLRLFPSRPVAENY >KGN58002 pep chromosome:ASM407v2:3:20191260:20193614:1 gene:Csa_3G426390 transcript:KGN58002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEALQLWKKLAGKTDGAAESQNASQDGESHEPAELSQKSDMTTANSPQGGRSLDKDKSEEPIPVLNSALKTKCGSISDKAAVILKKKVPALTDKELNPEFFQKLETRGSGDLPVEVVLPRRHANSSNTNDEKSEPDNSTAGGRSTRVENTNTDDFQRAFNKFRDSERAQMAKMRDYDDLERDKWHEGKINGRDSRTRAYNVNDQNDVSQRESSGARSDFSKMDAQSESSFINSKGSWSAIQRQLLQLERQQAHLMNMLQDFMGGSHDSMITLENRVRGLERVVEDMARDLSVSSGRRGNFPLGFEGSSNRHLGKYSGFSDYPVAKFGRNNDGRVPFGERFVQSEGIGANMRGRSAAWRPDMNETWDYPAYMSRNGQMGSKRSLDASIDNRSSKSEQESDQGGNRRAWDKGAGPLRLGEGPSARSVWQASKDEATLEAIRVAGEDNGISRTPKVAIPELTAEALVEDNAGQERDPVWTSWTNAMDALQAGDMDMAYAEVLSTGDDILLIKLMERTGPAVDQISNEIVIEIFRAVGQFLLEQNLFDICLFWIQQLVEIVLDNGPDCVGIPMEVKKELLLNFHEASSTMDPPEDWEGALPDQLLSQLASAWRIDIGQLQ >KGN55695 pep chromosome:ASM407v2:3:722157:726553:1 gene:Csa_3G005580 transcript:KGN55695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISTRGVMKLLYKIGVGSLVQLFKALLMQHRDDFLGETSLGINANYPFEVSLLLLNKGGCLCAPTRKMERYMLIKEVGDGTFGSVWRAINKQTGEVVAIKKMKKKYYTWEECVNLREVKSLRKMNHPNIVKLKEVIRENNILYFVFEYMECNLYQLMKDKEKLFSEAEVRNWCFQVFQGLAYMHQRGYFHRDLKPENLLVAKDLIKLADFGLARETSAMPPYTEYVSTRWYRAPEVLLQSYLYGPKVDMWAMGAIMAELFTLRPLFPGASETDQIYKICNILGTPTMDTWSGGLCLARNINYQFPQFNGVHLSVVIPSASDDAVNLIASLCSWDPSKRPTAMEALQHPFFQSCYYVPPSLRARPPITRTPPSAGTKDVLEQQTAKKYPVALSDSRIGGNFSSPKLPALLSTGVQRKLDLMNQDLNKNDKTMKSTVRQQKYRPPVRNSPTNSIYKGNNVRGVSDTGEKLANMTIAPRKPTIGQLPRPMKAGVRWSSSSSDLLIRPAQEFQTGNNYPRKVAG >KGN55794 pep chromosome:ASM407v2:3:1447837:1456504:1 gene:Csa_3G016390 transcript:KGN55794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEAILGYLEKNTEISDSGRFADEFGLDHNEVVNVIKSLNGFRYVDAEDIKMEKWVLTKEGETYTATGSPEMQLFLAIPPEGIPREELQKKLGPSIFKIGCAQAAKNKWVEMGKQMISRKVHHVEDKVKNSLLQIKDGKEIYDEDVKALKARKLIVSQTWKGYSVRRGPNYAPRRKKVATDLTRENLQRGDWRELEFKEYNFNAKGQPLFCGHLHPLLKVRQQIKNIFRQMGFEEMPTNNYVESSFWNFDTLFQPQQHPARDAHDTFFLKAPSTTRELPEDYVERVKQVHETGGYGSRGYGYNWKREEANKNLLRTHTTAVTSRMLYMLAQKPFAPKKYFSIDRVFRNEAVDRTHLAEFHQIEGLICGRGLTLGDLIGVLYDFFSRLGMSKLRFKPAYNPYTEPSMEIFSYHEGFKKWVEVGNSGMFRPEMLLPMGFPEDARIIAWGLSLERPTMIMYGIDNIRDLFGHKVDLSLVKKNPICRLGIEARSE >KGN56539 pep chromosome:ASM407v2:3:7366946:7370015:-1 gene:Csa_3G122630 transcript:KGN56539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRKQHIAIFTTACLPWMTGTAVNPLFRAAYLSMDGDRKVTLVVPWLSLRDQELVYPSNTTFILPSQQEKYIRQWLEERTGSKFNFTLLFYPGKFAVDKRSILPVGDISEIIPDHESDIAVLEEPEHLTWYHHGKRWKNKFTLVVGIIHTNYLEYVKREKNGAVEAFLLEYINRWVVHIYCHKVIRLSAATQDYPKSIICNVHGVNPKFLEIGKKKMEQQQNGGQVFGKGAYYIGKMIWSKGYRELVKLLSDYQKDFAELEVDLFGNGEDSDEVQKATQDLEVSVKVHPGRDHTDPIFHDYKVFLNPSTTDVVCTTTAEALAMGKFVVCANHPSNEFFKQFPNCLLYDNNDGFVKAVFKALLEEPEQLTDAQRHELSWEAATERFLKAAELDQSLARKPQKTRSKKFLSLSLQLGRKFDDATAYIHHMSLGFEGSRRVFGAVPGSLHPDEQQCKELGLASPSSKRGSKK >KGN55935 pep chromosome:ASM407v2:3:2678543:2682377:-1 gene:Csa_3G038090 transcript:KGN55935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKKQTPFSISHANPNFVDIHEVVLEEDEEEKEEEEQQQHNARPTMTSADGVEKRLKKHNNSNRLRPPPPPPPRSTGSFGRQMSLETGLNRVSKGKGIERMALPRSGRSFGGFDSTIIEGKKGDFSMFRTKSTLSKQNSLLPLKKDHQMDQSSEGRDESENKSVPVGRYFAALRGPELDQVKDYEDILLPKDEKWPFLLRFPIGCYGICLGLSSQAVLWRALSTSPATEFLHISPFINLAIWLLATAALCSVTFAYVLKCIFYFEAVRREYFHPVRVNFFFAPWVVCMFLAISVPPRFVSGPLHPAVWCAFMGPYFLLELKIYGQWLSGGKRRLCKVVNPSSHLSVVGNFVGAILAAKCGWLEAAKFLWSVGFAHYLVVFVTLYQRLPTSEALPKELHPVYSMFIAAPSAASIAWQTIYDDFDGLSRTCFFIALFLYISLVVRINFFTGFRFSVAWWSYTFPMTTASVATIKYAEHVPTVVSKGLALTLSFMSSTMVSLLFVSTLLHAFFWKTLFPNDLAIAITKKRLIKDRRPFKKAYDLKRWTKQALTKHNNNKDDFDAQQTS >KGN60134 pep chromosome:ASM407v2:3:37088344:37090826:1 gene:Csa_3G879520 transcript:KGN60134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLGHGNIEGKEVMVEERAGKEIVELTNNPAVVDCPNPDMLPACPQLEKLADSDSLFCISSSPINLELIPRDYKEKLPSNTTVCRDYFILVHVATIKHPRNLLATSTETEFHSFCMGGSLKFCRSTEDRERGTHCTVGATISEYMAVKGLWLLMHPRVNVATSLGQSLLYSN >KGN57316 pep chromosome:ASM407v2:3:12165359:12166584:1 gene:Csa_3G178570 transcript:KGN57316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPLRKSEEKCFQISFNPHQHIIPEDLIFEHHVSAAVGAAHNDNHNNSNNKNNGVKNLSTPRRRKPLVETLEDDDQDHKIKLMHRERERQRRQEMGALYMSLRTLLPLEFIKGKRAISDQMNGAVNYIKHQEKKIKEIEAKRDELKKMNNSSNFERSKLEEIPNCSFKISCFDGGVVEILITTIGFHGFPLSRILKVVVEQGLEVIRCGSSIINHKSIHTIQIEVNDPTSLDLAELGNKLREAVPLLRQVTD >KGN57878 pep chromosome:ASM407v2:3:18420473:18428873:-1 gene:Csa_3G360140 transcript:KGN57878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENMQYAEELVREFLVFRGFTSALQSYESELSTDIGKGFQVDRILDLIFSVYIPKFEAEKLVGLLGFFKQCLASSSDNTLLSTVSKLEVSILRYYMVHAIQSGRTDKVLEFLKIHGNDLLQRTKDWTPWFALPYLKNPKFDPNFRVYFTREWYEALHVSVRNFFSEVFNGTRIPALLKLSSEKNTVNHLKRDIKQLNLKLAQLQALLEEKEAHLCNLRSLVNSSPNVMHEENATSSNDALETCPPPTTQVGETQLARDGVLAGSDKYIASNEDSSSLLTSRSGDGEVGDSSHSCEDGCQMVNSGEVHVQEDFPEVKVEFQETFLGHTSPISRCRFSASGNNVASASLDGTVRIWTYDSSTPSSRNATIYCGAEIMSLDWECKSDRLLLIGTADAGIKAWNVDAKRVVCDLNTTDMFPSVLDIKCSPVESIFVSAAASRGHGTSYVDSLGFASLTVWNMRTWKSMTVLPLGKDPPAITSMCFNHNGKILAAAATDGMIHMFDMSACLQITGWPAHDSAINSILFGPDETSIFTLGSDGKIFEWSLHNQGQVLWSRNNSRFCDSESSLSLRHEMTLDASGKRLLATSNSVRAPIYQVGGRVGGLKTLPHTAAITTVDWHPTLPVFLTGSADHSIRVTSIS >KGN56804 pep chromosome:ASM407v2:3:8890434:8892806:1 gene:Csa_3G134530 transcript:KGN56804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGRTSTAPSRKVMVVVDPTRESAAALQYALSHALMDNDQVILLHIDNPNSWRNAISTFLKRPNGGGSTNSNNNNNVHAAATATAASDGGQGGGATAEVDFLEEMKKACKKAHPKLEVGTLRVELEGKDKASMIMAQTKSLGVDLLVIGQRRSLSTAILGYRRTGGAMKGAKMLDTAEYLIENSKCTCVAVQKKGQNAGYLLNTKTHRNFWLLA >KGN59743 pep chromosome:ASM407v2:3:33975231:33975792:-1 gene:Csa_3G842650 transcript:KGN59743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHRINERTNEHVFTLQTITDLKTTISLFLSSISGNPIFPFLPQLLDSPLIFFFILSLFITQSFLPLLPFSLSCFYNTCFFFHFLLGSRFDCLCSNPFSLISRDYALNARICIDSYFLMLAANGSSI >KGN57966 pep chromosome:ASM407v2:3:19748986:19753767:-1 gene:Csa_3G415110 transcript:KGN57966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKVDVGELVILVFWVLAIFLTLILGMRNDGTLKQQGTVARSGRAHEFLSTSFRAFSSYMKIVSAGASTVARSAASVASSLVDKDDEANASQVNWAGFDKLEWDDNVIRQVLLLGIRSGFQVWDVEEANNVQELVCRYDGSVSYMQVLPRLIPSMRSGDKFAESRPLIVLSAYGSIAANFNIQDRLASSGNATIPKSQEVVDGNFMPTFVRFYSLKSQTYVHELKFRSAVYSVKCSPLVVAISLATQIHCINATTLEKEHIILTNPVVSGFPGSGGGMGYGPLALGPRWLAYSGSPILLSNTGRVVPQHLKPSASFSHSSSNGSLVAHYAKESSKHLAAGIVTLGDKGIKKLSRYYSELLPESNNSLQSGAQGLKGIGTLNGHMADADSIGMAIVKDIISKAVITQFKAHKSPISALCFDPSGTILVTASVQGHSINVFKIMPSSCSKSSISSTAASYSHLYRLQRGFTNAVIQDISFSYDSNWIMISSSRGTSHLFAINPSGGQVNFPSADIIARNGGPVVPARQTVRRVDSGLHMPSKQNQCTTGSPLTLSAVTRIHHGSNGWRGTVSSAAAAATGKMGIVSGAIASAFHECKGNTVHVDNGSSEVRYHILVFSPSGSMIQYALRVGLDSTVVLPRSSTALELVSELDSRLVVEAIQKWNISQKQNRRSQDNSIDIYGDNGGFNCNKNYCEEMNGNPVLEAGGNIFKTKACREEQYHLYISEAELQMHAARTPLWTKPEIYFQVMARDGVKIDEIDHPGELDIERIPTRMIEARSKDLVPVFDYLQSSKISQPRYLNSNSDQLLLQQKSGQFENGRQSWRSAANPHDSVVGNGREVTGRHGYKRVTETKGIVNEPECPRSQTQFSNVNSCTESISMESQPKSVNNHHKSGLRVENHFEDLGDEFE >KGN56079 pep chromosome:ASM407v2:3:3767132:3767710:1 gene:Csa_3G067250 transcript:KGN56079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVKKIMLVIAVTMALSVTLTMKKFENSSTTTVTEIKTDQTDTTTVVEGSSTTITNTRLEPSRSLSRFLAEGMKNPRAASHCHKNKHMCEKIHGKGWKCCNNKCVDLTIDKHNCGGCKKKCKYTDECCRGQCVDFAYDKRHCGRCNNRCMRGKFCVYGMCEYA >KGN56289 pep chromosome:ASM407v2:3:5741266:5749192:-1 gene:Csa_3G113310 transcript:KGN56289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVLDSVDSFLALCQQSGDAAYAALRSLLDRLEDPATRVRARVFLADVQRRFPTKDDCDRCFSSYHFRIEDIFLDQYEGYRGRKKLTSMVIPSIFVPEDWSFTFFEGLNRHPASIFKDRTVAELGCGNGWISIAIADKWLPLKVYGLDINPRAVKVSWINLYLNALDEKGQPIFDGEKKTLLDRVEFHESDLLAYCRDNDIQLERIVGCIPQILNPNPDAMSRMITENASEEFLYSLSNYCALQGFVEDQFGLGLIARAVEEGISVIKPMGIMIFNMGGRPGQGVCKRLFERRGFRITKLWQTKILQAADTDISALVEIEKNSPHRFEFFMGLSGDQPICARTAWAYGKAGGRISHALSVYSCQLLQPNQVKTIFDFLKSGFQEISSSLDLSFQDDSVADEKIPFLAYLASILKDSAYFPYEPPAGSLRFRNLIAGFMKTYHHVPVSAGNVVIFPSRAVAIENALRLFSPRLAIVDEHLTRHLPRQWLTSLNIDTGVNGAGDDVLTVIEAPSQSDLMMELIKKLKPQVVVTGMAHFEAVTSSAFVHLLDVTREIGSRLFLDISDNFELSSLPSSNGVLKYLAGNSLPSHAAIVCGLVKNQVYTDLEVAFVISEEEAIFKALSKTVELLEGKTAPISQYYYGCLFHELLAFQLADRHLPAQRECDKSASSREIIGFSSSAISVLNNAELSIDQTDNSSLIHMDVDEIFLPTPISVKAAIFESFSRQNMSESEIDVTTSVKQFVKSNYGFPIENNSDFIYADSALTLFNKMVLCCIQEGGTICFPVGTNGNYVHSAKFLKAKVVNIPTRSEDGFKLTENALNQVLNNVKNAWVYISGPTINPTGLIYDQKEIENLLTACSKFGARVIIDTSFSGLEFDYESWSGWNLEGVLSRLCQSNNPSFSVCLLGGLSPMMLTDALKFGFLVLNQPPLIELFHSFSGLSRPHSTVKYAIKKLLGLRVRKSGDMWDAVTRQIKDLRSRSKRLKETLESCGWDVIECHAGVSVVAKPTLYMSKTVRVKNAIDYEVKLNDSNIREAILKATGLCINSSLWTGIPGYCRFTIALEESEFQKALDCIADFKRIACSS >KGN60031 pep chromosome:ASM407v2:3:36409894:36412613:-1 gene:Csa_3G872130 transcript:KGN60031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGSVRFKRAEIDTRKPFRSVKEAVTLFGDKVLAGELYSNRIKQMQGRDEENKERNGVRQLKLGNVAAELEETKQSLSKAKEESMVMAHCLSSLQEELEKTKKELQLLKERELSSLLLNHQSEDVKLLVDQSDSMEFQKKRYVTFANPPAAAVTHLTVPPPAAVEKLDRLSSIRREKNKIKKTLIPLISGMFSKKKGN >KGN57953 pep chromosome:ASM407v2:3:19586089:19586250:-1 gene:Csa_3G405530 transcript:KGN57953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKKQLGELEKEATKELPSGERIPNHKGESKPLLEIFLQAEEGERESGLHKEE >KGN58052 pep chromosome:ASM407v2:3:20875287:20878864:-1 gene:Csa_3G464700 transcript:KGN58052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLNSPDSSPPNISFSINQTRKSTTTSSSATGHRLYRSISTKEPSFSQFPFTPPHLNNNCPSLPQSLHNSPTSSPLHPTAAAAAAKLSDHLISHRCVSSVLKKDGQILSIAMFNDILYTGSDSNLVRIWKLPDFTECGQLKTKASMAVALQVSHDKVYAAYSDCKIRVWRRSWDRGLKHSRLATIPATGSYVRSYISGKDKMMKHMGPITSLAINISDDILYSSSLDKSVKVWRISDFKCIETIQAHSEPINAIIASADGLLYSASDDATIKVWRRNFSRSDHPPHSLVITLPANFSPVKTLTLDTNSTLLYGGCSDGYLHFWLKGWFSGQLQYGGALQGHTHAVMCLASVGKYVVSGSADSTCRVWARDEVDCQLHTCLAVLVGHRGPVRCVAAFFGSGSEEAVEEGEGGCTICSGSLDGVLKVWRVTCTSNGTPNQDLQNGTDYFDL >KGN60400 pep chromosome:ASM407v2:3:39069319:39072814:1 gene:Csa_3G902910 transcript:KGN60400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTPSIKGTIGELQKESYIPLLAKLIGEAESVQNNPPDGLIPIEDNVGRHVLDALNPYSTNNGGPLIIKRVSYDDKDERGHLIITYPGTDSKKVISFVGSHMDVVPANAHDDSWKFDPFSLSIEGDKLRGRGTTDCLGHVALLTELMKKIAQTKLNLKSSIVVIFIVSEENNSIQDIGVEQLYADGYFDNLKGGPLYWIDTADSQPCIGTGGSIPWTITTTGKLFHSGLPNNAINALELAMDALKPLQLKFYEDFPVHPREVEYGFETPSTMKPTQWSYPEGSVNQIPGECIIAGDIRLTPFYEVSDVISKIEEYIAYTNAHVEELESRGPVSKYTLPDGTRGILKVEFGNPISGIACDVHSIGFKILAQATMDVLGEVKPFSITGSLPLVKKLQEHGYDVQTVGYGLTDTYHADNEYCNFSDMANGYKVFARIISQFEDV >KGN58292 pep chromosome:ASM407v2:3:23603979:23604491:1 gene:Csa_3G608710 transcript:KGN58292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGFGLACYVSLGLNLPHRNVVAHDFLCQILKKVSLHKTQLPTNIAEANGEDGWSIFQNLSILETCRSRRPSLLIICLDWLTWMVLDAFVGGAMNRKGKRESLGRGSSQDGSDYGQLRGNGFPQWYHKVQ >KGN56013 pep chromosome:ASM407v2:3:3295064:3298913:1 gene:Csa_3G047740 transcript:KGN56013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKRVEHVEGDMFEHVPKGDAIFMKWILHDWNDDKCVKLLKNCYDAIPNDGKVIVVDAVHTMVPETTCAARVVAQGDVFMMTQNRGGKERSRDEFKALATKAGFEHINFHSCVYNLWVIELFKISQLEG >KGN58690 pep chromosome:ASM407v2:3:27222820:27223467:1 gene:Csa_3G728070 transcript:KGN58690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVIKKFGDEHNLLDQYERVSFKVRLNQATLEQSFLEPRMVRSQLRALFTGSGSVELPYLVTITTTNQLQQHSQLTQTHFGQRVGQGTPTFQRPHVLEMENSQVLEQMLGRGHNPNDATITALVNAFCKRGKTQKASEMVELVGRRIA >KGN60427 pep chromosome:ASM407v2:3:39275478:39280936:-1 gene:Csa_3G910640 transcript:KGN60427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTPKKRTKVKRNPNSDVGSGSGSGVDSSVSSSSLLLKSIKEPPRDFFPSKDDLAALITVLIIACFVFVSCNFFVSRLSSRHPIPFCDTDADSSDFISDVCEPCPRHGECRDGKLECLHGYRKHGRLCIEDGVINEAVNKLSEWLESHLCEANAKFLCDGIGIVWVKENDIWDDLDGKELVESIGSDNTTLMYAKSKALETIGGLLQTRQNSLGIKELKCPDLLAESYKPFTCRIRHWVLQHAFVVLPVFLLLVGCTWLLWKLYRRQYLTNRAEDLYNQVCEILEENALTSTRNSGQCESWVVASRLRDHLLLPRERRNPLLWKKVEELVQEDSRIDRYPRLVKGDGKEVWEWQVEGSLSSSMKKKLASKSNSASKSNFWKAIGVNPDPMYHKIENNPKAVVL >KGN56681 pep chromosome:ASM407v2:3:8214782:8214973:1 gene:Csa_3G128905 transcript:KGN56681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVRRVNAAVAVGVPPQYRMGPTHHLRLRSDQSSNALLRSPRRRLQPRTIQMPAPNSVLILLF >KGN57623 pep chromosome:ASM407v2:3:15095736:15102309:1 gene:Csa_3G232950 transcript:KGN57623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVCCKPSAIEDSKESPRDRVSSKTSSDLRVARLTSSSREEAYRAKDQYDGNDARVTLIDKQVNGSGRLPGENCERKREKMEHMTAQHPSMGRIPKAAEGDHIAAGWPPWLAAVAGEAIRGWLPRRADSFEKLDKIGQGTYSNVYRARDLDQKKIVALKKVRFDNLEPESVRFMAREIHILRRLDHPNVIKLEGLVTSRMSCSLYLVFEYMEHDLAGLASHPGVKFTEAQVKCYMQQLLRGLDHCHSHGVLHRDIKGSNLLIDNHGILKIADFGLASFFDIHQNQPLTSRVVTLWYRPPELLLGATYYGTAVDLWSTGCILAELYAGKPIMPGRTEVEQLHKIFKLCGSPSEDYWRKSRLPHATIFKPQQPYRRCVADTFKDFPAPALALIETLLSIDPADRGSAALALKSEFFSAKPLPCDPSSLPKYPPSKEFDAKIRDEEARRQGAVGSKGHRLDLQRKDRDSRAVPAPDANAELASSMQKRQGLSSSKSRSEKFNPHPEEASGFPIDPPRPSQGAERMDSQVRHPKKASHSGPLAQRAAWAKASRNPDDPPKISTGTETFATSGLVAARRSMLAEDCREKSDSSQGEVQKLIGRFPGSFKETSESSMLPDQKFSNHSIAGSHDKERSSTKDPIVVGYGSKGHKIHYSGPLLVPSGNMDQMLKDHDRHIQEAVRRARLDKAKVRKIQADGKQISTNSLFVSGR >KGN56547 pep chromosome:ASM407v2:3:7398180:7404285:-1 gene:Csa_3G123200 transcript:KGN56547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHVNLPASKRNGRQWRLLDIVSAAFFGLVLLFFLLVFTRLGDSLAASGRQTLLLSNADPGQRQRIMELVEAGQKQAIEACPAEAVDHMPCEDPRRNSQLSREMNYYRERHCPLPYETPLCLIPPPDGYKIPVQWPESLHKIWHSNMPHNKIADRKGHQGWMKQEGPHFIFPGGGTMFPDGAVQYIEKLGQYIPTKGGILRTALDMGCGVASFGGYMLAEDILTVSFAPRDSHKAQIQFALERGVPAFVAMLGTRKLPFPAFSFDLVHCSRCLIPFTAYNATYFIEVDRLLRPGGFLVISGPPVQWPKQDKEWADLQSVARALCYELIAVDGNTVIWKKPVGDSCLPNQNEFGLELCNESDDPNRAWYVKLNRCVSRTSSAKDEFAVGTIPKWPDRLAKAPPRAGVVKNGLDVFNADSRRWERRVAYYKKSLKLKLGTPAVRNVMDMNAFFGGFAAAIKSDPVWVMNVVPSHKPSTLAAIYDRGLIGVYHDWCEPFSTYPRSYDFIHVSGIESLVNYPGSDKSRCNLVDLMVEMDRFLRPEGTVVIRDNPEAIERVSRIARAIRWTATVHEKEPGSQGREKILVATKNFWKLP >KGN60397 pep chromosome:ASM407v2:3:39036306:39037453:-1 gene:Csa_3G902390 transcript:KGN60397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLDLGNRYIHQLQTPNFNLPIGHHHHHHHPDSQSRHDDDDSPHHPLEFVPTTTTDMVASRRPRGRPPGSKNKPKPPVIITRESANTLRAHILEVGSGCDVFDCIATYARRRQRGICILSGNGMVTNVNLRQPTATGSVLTLQGRFEILSLSGSFLPPPAPPGATSLTIYLAGGQGQVVGGNVVGELVAAGPVTIIAASFTNVAYERLPLEDQEQEQQEQEQDQMQQPTSQGGGNNVGGGGSNNPFPDPSSGLPFFNLPINMQNQIQLPF >KGN60337 pep chromosome:ASM407v2:3:38652358:38653136:-1 gene:Csa_3G895830 transcript:KGN60337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKWEDPQGDNFNSPTDNIELHLETPLPLEWQRCLDIQSGEIHFFNTKTQKRTSMDPRRNKLEGPTTTPNRSFHASDHQALSLDLELNLNCQSKKKIMSNNNDDTGGGLLKLQANNYGISCPWLRFEREQQEMVARVCMQCHLLVMLLKSSPTCPNCKFIHPITDHHQYLQNNPPTTTTTTTTATTTTFFLPNSLPTENNNNHHHQTESLKSFFPNPIQNKV >KGN58148 pep chromosome:ASM407v2:3:21999988:22000896:1 gene:Csa_3G560230 transcript:KGN58148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSELENKRRRENFDPTEENEGARLAKWLGLGIGKLSKAAPSGSHISKRKIGTNRHQRPRDPLREGGYFKGNWIDKNLPSTKFESNLKFLSKQPPMTKRKYFYRVENTVTSKADNPILCETGDKEDS >KGN56549 pep chromosome:ASM407v2:3:7411352:7415582:1 gene:Csa_3G123710 transcript:KGN56549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRPDQEAIETFMRITGASESLALRKLEEYRGNVNAAVNSHFSEGYNFNQYVAPTYPENNFTDASGGSQGGQYGFWPLLRAARSFRPSNLLDPNYRRNLFDQMRGYPSPGLPSSNSHSQPGGMERIPSEFNSFPAQPSHQAWRTNFEDENPTSSSRNQTRESDTEDEMLRAAIEASKQDSDLQLLQRQLQQEEEDLAHAVSLSLRMAEQEKAGRELMVENKDEATSIGRREPSNSGPKDCEGSTSTHHQHEQGASYPKQWDGITSNELSESVLLEAAFFRGVSDNFSEKNSLPASHLQNDKIQGKSKGSDMQPVPCCSIPSSSTQPPRQQQKETVRSLAAQEAQFPPEPEINDKNSVTLLLRLPDGHRHERRFLKSDKLQLLFNFIDDKLAMKPGTYKVARPYPRCTFGVEDGSMMLRDLGLTGKQEALFVELI >KGN57270 pep chromosome:ASM407v2:3:11882493:11885939:-1 gene:Csa_3G175710 transcript:KGN57270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTFIHVPPGFRFHPTDEELVDYYLRKKICSRRIELDVIKDVDLYKIEPWDLQEICSLGTEDQNEWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKAIYSKHDLIGMRKTLVFYKGRAPNGQKSDWIMHEYRLETDENATPQEEGWVVCRVFKKRIATVRRMNEHGSPCWYEEPMSFMPDLESPNQSSHSNFPHHVSNFPCKKEVEYLPYQQAQVPQDNHNFLHLPLLESQKLANTFALDVNLQTSNFQPSAPKQLNIHQQQQQQLSDQNLLFLFDNNNNGDEQTMDELTDWRVFDKYVASQLSQENVNNNNNASKQEAMVPQNAPTSTTSTSPIELWK >KGN57832 pep chromosome:ASM407v2:3:17939909:17940891:-1 gene:Csa_3G342350 transcript:KGN57832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSDSIVAKVELKSNIEKFYGFFRNHVEDLMNLFPDLYQGIDLVEGQYLSAGSVILFKYHLGADQVVSEKWLIRAVDDAKKCIIYEAIEGDLQKYYKVLRAKLEVVHGRSSKIGRGSFAKWTIEFEKANENVPSPDSHMEIFVKISKGVDAYCLSKQGN >KGN59118 pep chromosome:ASM407v2:3:29711775:29717458:-1 gene:Csa_3G775310 transcript:KGN59118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKKLLSLSLLCFSLLPELYGVVWVSAGGDAHREQRRSQSLGGGGELSDSNLRKMFVFGDSYVDTGNNRKPAAKSWQYPYGITFPGKPTGRFSDGRVLTDYLAKYLKVKSPIPYKWRKVGFGLGQLKYGMNFAYGGTGVFDTFVMSPNMSTQIDFLQQLVGESTFTGRDLLSSVALVSLAGNDYSVYQANNGSPQGWQPFITKVVNQLEVNLRRIHGLGVPKIVVTALEPLGCLPSTTFASSFQQCNSTQNQLVNFHNLLLQQSVAKLNSEVKDPSASTFILLDLYSSFMAALNNKADQLGNVKFENPMKPCCVGISSEYACGSVGANGEKKYTICEDPGAAFFWDEVHPTQYGWYAVYSALQASLKQL >KGN60237 pep chromosome:ASM407v2:3:37888683:37890285:-1 gene:Csa_3G889930 transcript:KGN60237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFHGGLLCLLVLGICMVQPNLATVYTVGDTAGWALGVDYVTWASGKTFGVGDKLAFNYAGGHTVDEVDPNDYKACAAGNSITSDSSGSTTITLKTPGTHYFICSSMGHCDGGMKLSVTVAAGGPSTTPSPGGGSSTTPTSPATDTPSATGTTTPTTKLPSGSSNSGSSSPFPNFYMAVLAILVGSSALAVGYY >KGN58784 pep chromosome:ASM407v2:3:27701655:27706307:1 gene:Csa_3G732420 transcript:KGN58784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKASRDKRDIYYRKAKEEGWRARSAFKLLQIDEEFNIFEGVKRVVDLCAAPGSWSQVLSRKLYLPAKLSPDLKDGELPLIVAIDLQPMAPIEGVIEVQGDITNARTAEVVIRHFDGCKADLVVCDGAPDVTGLHDMDEFVQFQLILAGLTIVTHILREGGKFIAKIFRGKDTSLLYSQLKLFFPVVTFAKPKSSRNSSIEAFAVCENYSPPEGFNSKDLHRLLEKVGSPSGGDDLDCSSGWLEGPNKVYIPFLACGDLSGYDSDRSYPLPRGAEGTYRSLDPVQPPIAPPYKRALEMKKASSQGIRELEKLSLDS >KGN56321 pep chromosome:ASM407v2:3:6137164:6140245:1 gene:Csa_3G115100 transcript:KGN56321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFFNLHPSSPFLLLFFFFFFHLPAFAILSDRDYDILIRVKTSYLHDPNGSINNWVPNQAHNACNWTGITCDSTNSSILSIDLSNSGFVGGFPFVFCRIPTLKSLSISNTNLNGTLLSPSFSLCSHLQLLNLSNNLLVGNLPDFSSGFKQLQTLDLSANNFTGEIPHSIGGLSALKVLRLTQNLLDGSLPSVLGNLSELTEMAIAYNPFKPGPLPPEIGNLTKLVNMFLPSSKLIGPLPDSIGNLALLTNLDLSANSISGPIPYSIGGLRSIKSIRLYNNQISGELPESIGNLTTLFSLDLSQNSLTGKLSEKIAALPLQSLHLNDNFLEGEVPETLASNKNLLSLKLFNNSFSGKLPWNLGLTSYLNLFDVSSNNFMGEIPKFLCHGNQLQRIVLFNNHFSGSFPEAYGGCDSLLYVRIENNQLSGQIPDSFWNLSRLTYIRISENRFEGSIPLAISGIRYLQDLVISGNFFSGQLPKEICKLRDLVRLDVSRNKFSGGVPSCITELKQLQKLDLQENMFTREIPKLVNTWKELTELNLSHNQFTGEIPPQLGDLPVLKYLDLSSNLLSGEIPEELTKLKLGQFNFSDNKLTGEVPSGFDNELFVNSLMGNPGLCSPDLKPLNRCSKSKSISFYIVIVLSLIAFVLIGSLIWVVKFKMNLFKKSKSSWMVTKFQRVGFDEEDVIPHLTKANIIGSGGSSTVFKVDLKMGQTVAVKSLWSGHNKLDLESIFQSEVETLGRIRHANIVKLLFSCSNGEGSKILVYEYMENGSLGDALHEHKSQTLSDWSKRLDIAIGAAQGLAYLHHDCVPPIIHRDVKSNNILLDEEFHPRVADFGLAKTMQRQGEAEDGNVMSRIAGSYGYIAPEYGYTMKVTEKSDVYSFGVVLMELVTGKRPNDACFGENKDIVKWMTEISLSECDEENGLSLEEIVDEKLDPKTCVVEEIVKILDVAILCTSALPLNRPSMRRVVELLKDTKLPHSKS >KGN59712 pep chromosome:ASM407v2:3:33748548:33750157:1 gene:Csa_3G840380 transcript:KGN59712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDHHYAHTQLSLKSTKSRLVEQISTNVEWLVHYSTCQVQFDQQSLNNCVKESGVFPSFECLPLQLCQDFAIASFPKQFQAATRFSCVSCITIELHLRIG >KGN58167 pep chromosome:ASM407v2:3:22300908:22303927:1 gene:Csa_3G575330 transcript:KGN58167 gene_biotype:protein_coding transcript_biotype:protein_coding description:Electron carrier MEDTEVPSHPLLRTRRTDLNHDFSSSQMEAMAAFCEALIPPVPLFKESPLDQSLLSFYQSSAFQAPIPDEVADLLVERANPKAVFLVKLVLRLLSFRIGTLLLCGNVCFDWRWPFFLKFSEISLEKRERILKNWSMAHHKYTVLLRMTFMIIKIFCCFKFFSRIDEGTKNVAWKGMGYKVDTRKQLNHTQKERPLERGMIETGNEDDSTLLRSLSQKGLEVIEDKKHGNAYKIKCDVVIVGSGCGGGVAAAVLAKSGLKVVVLEKGNYFVAEDYSSLEGPSMNELYESGGLKSTLDGKVMLLAGTTVGGGSAINWSASIKTPKSILDEWSTDYKIPLYGSIDYQLAMDAVCDRIGVTEECPVESFQNQVLRKGCENLGLKVDSVSRNSSKDHYCGSCCYGCRVGDKKGTDTTWLVDAVEYGAVILTGCQADKFILDNTNNTSKRKRCIGVIATPRSKNITKKLHIEAKATISACGSLLTPPLLIASGLRNKNIGTNLHLHPVSLVWGYFPEELSDFKGKIYEGGLITSLHKVVSKYSNSHAIIETPAVGPASFAALFPWTSGREMKDAMVKYSRTAHLFALIRDQGAGEIKVKANVKYRLNQTDKENLRIGLRQALRILIAAGATEVGTYRSDGQRIRCQGTNKEELEEFLDSVEAVGGPLSRQKYWTMYCSAHQMSSCRMGSTKEEGAVDENGESWEAEGLFVCDGSVLPTAVGVNPMITIQSTAYCISKKIAESLN >KGN58775 pep chromosome:ASM407v2:3:27640833:27641994:-1 gene:Csa_3G731850 transcript:KGN58775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRVVALRLEARKLFGLIPPSLGNLTYLKTISLGENHFHGSIPQEFGQLQQLRYLNLSFNYFSGEIPSNMSHCTELVFLDISYNDELTLDFSRNRFGGMLPSSIANLSTQLIYLHFGENMLSGRIPVGIENLINLQTPSYFGNLRNLVEVSFHGNQLTGPVPSSIGNLSSLNKLAISYNLLSGSIPPSLGQCKSLQLLILYHNNLSGNIPKEVLDLDLSNSKLSGDIPIKLGKCTSMVCLHLGGNQFKGTIPQSLEALKGLKELNLSGNQPFWKYTTISRQVSNTQVY >KGN60209 pep chromosome:ASM407v2:3:37685414:37686324:-1 gene:Csa_3G889170 transcript:KGN60209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANDAALNSSLVILALAVLLVGISTYSFKKMAVTYFVGVFAIAGVLLPDWCFFGRDFSRWTSPVTEEERESYRNATGSHLQRFRIYPMRLIVYGVVYSTALYKWWQYVTN >KGN60220 pep chromosome:ASM407v2:3:37761161:37763391:-1 gene:Csa_3G889760 transcript:KGN60220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSISTSQQQPHAVCIPYPAQGHINPMLKLAKLLHSFGFHITFVNTDFNHRRLLKSRGPTALDGISSFQFESIPDGLPPTDVDATQDIPSLCQSTRRLCLQPFKELVSKLNCDPNVPQVSCIVSDGVMSFTVDAAEELGVPVVLFWTTSACGFLAYLHYQQLVERGYTPFKDESYLSNEQYLDTKIDWIPGMKDVRLRDIPTFIRTTDPEDGMIDFIISETKRAKRANAIVLNTVASLEQEALNAMSSLLPPVFSIGPLQLLLQQVASHDSDHLKFLGSNLWKEDTSCLQWLDQKSPNSVVYVNFGSITVMTKDQLKEFAWGLANSGQTFLWIIRPDLVAGDTAVLPPEFIDVTKERGMLTNWCPQEEVLQHPAIGGFLTHNGWNSTFESIVAGVPMICWPFFAEQQTNCRYCCTEWGIGMEVDSDVKREEIEKQVKELMEGEKGKEMRNRAEEWKKLVGDAATPHSGSSSRNLNDLVHKVLLQSP >KGN56393 pep chromosome:ASM407v2:3:6625979:6627561:1 gene:Csa_3G119280 transcript:KGN56393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRNFGRLYRFSSANRPLAPVTNTSGQDSAQYDGRRYPSSARDTSLEPRSSPPRVALRRDDQPLPASPTYSIKKATSPPSSPSYRAPAARGISSPTKTVDEYPKYKPNTQPRSPEAKQKPGIFHKSTVEKVTKSDRYHESSKTLSSHKGQLQPNAINIKGENVGAVMEIVESSKREGGHMIKKIKETARGILNNNDMANDQNNEASKAPNSSMPTNTFLNSNFQSVNNSLLYNANLTHRDPGLHLAFSRNPTGERSGVDDHKKQHHTRY >KGN59409 pep chromosome:ASM407v2:3:31696876:31697690:-1 gene:Csa_3G816710 transcript:KGN59409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSDNFPSFLNTWSFRSTFQDPWLSDPFSRETQSLTRALQSSLLPSSDTPHSSFLDLISPDSNDPTPTVSGISAGAAPELDTPPPPPSSKRHRSTIPPTGGKVSKRKSRASKNHTTFITADPANFRQMVQQVTGVRFGNSQIQIPPILKPEPQRVSARFSVCGGDGLPTLDTSAFLLNNTHHQQQQQQQSSGSGSEITQPGPVSFGSELELELPNHGPIGSDFDTFSSFPTLESWKAVI >KGN55735 pep chromosome:ASM407v2:3:1022367:1026660:-1 gene:Csa_3G008920 transcript:KGN55735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGTANGCDSGLASGSGNSHPTVPAPITNSSAPPPFLSKTYDMVDDPATDAVVSWSPTNNSFVVWNPPEFARDLLPKYFKHNNFSSFVRQLNTYGFRKVDPDRWEFANEGFLRGQKHLLKSITRRKPVHGQSQQQPQQSHGQSSSVGACVEVGKFGLEEEVERLKRDKNVLMQELVRLRQQQQTTDNQLQTMVQRLQGMEQRQQQMMSFLAKAVQSPGFLAQFVQQQNESTRRISEANKKRRLKQDGIAESDHSPVPDGQIVKYQPLMNEAAKTMLRQIMKVDTSHLEPSNHNTDNFLIRDGLQSQCAAMDNGNSSSSVSGVTLQEVPPTSSFNSVASGVPHGPSTTKSEIQSSPQATNSDNISASPFALNAVRGPGAREASSLSVSETDVIMPELSHLSEMVSENILDVPEVDYRVPEAGNGAFISPNFLDANGTIPIDIDNMSPDADIDALLDNSNFWDDLLVQSPCQDDEVDFLVGGGLPKTNDMQLAENAWDKSKHVDKLTEQMGLLTSEIKRV >KGN59510 pep chromosome:ASM407v2:3:32430805:32431832:1 gene:Csa_3G823090 transcript:KGN59510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESRDIEVDILTAVSTAKKRGHIFYNFSILFSLLIQIRILSHGGFSLLVLSISLHPHTSFLHFCVF >KGN58908 pep chromosome:ASM407v2:3:28283076:28285436:1 gene:Csa_3G736560 transcript:KGN58908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIGGDNFPYFTNNELELREIGRRPTLGELLKRVEDAQSPDHSVVDVSYGCRYLAEAPLLYPFKLSFRNLSYSVKVRGRGGGSSLPENLAAEESGGRVKLLLNDISGDAREGEIMAVLGASGSGKSTLIDALADRIAKGKLKGKVTLNNEVLESGLLKVISAYVMQDDLLFPMLTVEETLMFSAEFRLPRSLSKSKKKARVQALINQLGLTTAANTVIGDEGHRGVSGGERRRVSIGIDIIHDPILLFLDEPTSGLDSTSAFMVVKVLQRIAQSGSIVIMSIHQPSYRILSLLDRLIFLSHGQTVYSGSPTDLPNFLAEFGHPIPGNENRTEFALDLVRDLEETVGGTKSMVEHNKSWQRKNNVHLEDYEIHRDFSRRFHLCLKDAISASISRGKLVSGAPIDSSRSSSFSKFSNPLWVEILVIAKRSIMNSRRMPELFGIRLGAVLITGIILATMFWHLDNSPKGIQERLGFFAFAMSTTFYTCAEAIPVFLQERYIFMRETAYNAYRRSSYVLAHSLLSIPSLIILSITFAGTTYFAVNLAGGFSGFVFFFFAILSAFWAGSSFVTFLSGVVSHVMLGYTVVVAILAYFLLFSGFFLSRDRMPPYWIWFHYMSLVKYPYEAVLQNEFEAKSGCFIRGVQMFDNTPLAVVPSAMKVELLKSMGKTLGLNITGSTCVTTGSDVLRQQGITDLSKWNCIWISVAWGFLFRILFYFALLFGSKNKRK >KGN57118 pep chromosome:ASM407v2:3:10751630:10752186:-1 gene:Csa_3G154405 transcript:KGN57118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEERQGGAPHAILLAVVVAIVIVVPFLLGDQGEALTDAISDLLSPFGLLLLPILLLLTIQFLSSDRGSFVSAIFSSGEPDSIHRVTGSPVGVALFLVLILFLLYNRISIFGGDDDSGD >KGN60257 pep chromosome:ASM407v2:3:38044850:38045387:-1 gene:Csa_3G891620 transcript:KGN60257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHRPSCFRYRQEHAGRNSDCDFKILFDLFMFGFDIQMFWLLDDEVKENMAFAFVATEQLGDKKGIWTSMKHWI >KGN57157 pep chromosome:ASM407v2:3:10987939:10988202:-1 gene:Csa_3G166225 transcript:KGN57157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSGERELKEKEDMDGGEGWIWKTLESAVDERRRSSVKLRNSLFSISLPRLFSLSLALSPSSLSSFMVSQKFGNLQFCGSAGASSTN >KGN56073 pep chromosome:ASM407v2:3:3699611:3705612:1 gene:Csa_3G064220 transcript:KGN56073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPSFVSKARTAFHSAAAKAERVFFDFKSDPSDFDKQVHKDLVKPPIDQTSKNQDEIRSHSEPKHSRWRPSNIGTKQDWQDKFKNIRLGKKAAEDTEKVENPTMAVPFYDENLYLLNMKNDIEAKNAEIIPSVESLWTTDKDSIPPLSVIKQLATAVEAGKKSKSMKSLLASSGDSSPAREKSGLSLSSVRALMLREREEKSSTEFRHDERIQSLICSLFDAEGVFLRRYFDTASEGTFVTSLPKDIHGAPPDSLLVKISEVIGSFRTLRKMALFWCRIVDEMRRFWSEEQYLPGIPIDEIPDLNSCLLYQRLQVINCCVSRKRRHEIATDSIDAALREASSNAESKTSEVTIPGNTLLYARLNNGELALRLGADCPFGNHKMLETGEAVYSPVTQEGPLLTEDVIKETEEFVLRTGSVGAGCSQLLSDMQAFKAANPGCILEDFVRWHSPPDWTEPEPSNDSIDSPVGSDSRGQLSSRMQKEGNLWLELWETSKPVPAVKQTPLFDEDLVVEGILNDLEDLPPSELFQPLFISLLGLGFIVAEAKLANNNNLSKLFYDCKGYVVATCQNSSWSNKVDDLCQVYETVETMMVNPEEILKAIKQPEESNMTASELKRRFKKLSLNFVGKDGQSRKSSPRNANSDESPSSTQPFSSFFDSKSSLFAKKPPKPETPSATPVENGWTFV >KGN60217 pep chromosome:ASM407v2:3:37731865:37733205:1 gene:Csa_3G889730 transcript:KGN60217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHRKLEQPFHLILVHHKLSLELTNSQRRVPIHQFQHPLPIIFNHKSEVIRILAHSISKILWNSKHRPATIDFRQWNSFIRRHHQQLPDSSNPIHLHRVHNLPLFLHQLLHELRKSKPHFPIGVTIGFEKKRMAFNRSSDFATSWKAKFAIELAGIIETKNMLRLLGEKILGFKKESEDGISLEDALEVRNAIRFGLDELGSRAIVFLAFLPEFLGEFGDEEGGGVWRRMAKEVC >KGN60391 pep chromosome:ASM407v2:3:39014608:39015743:-1 gene:Csa_3G902330 transcript:KGN60391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDVAKDLASGTLGGAAQLICGHPFDTIKVKLQSQPVPLPGLRPKYAGAMDALRQTLASDGPRGLYRGMGAPLATVAAQNAVLFTVRGQLESLFRPYPGASLEVSQQVICGAGAGFAVSFVACPTELIKCRLQAQSALATSGPVGVAVKYGGPVDVAKHVVQSNGMKGLFKGLIPTMAREAPGNAVVFGVYELLKQYFAGGRDTSNLGKVPVMVAAGVAGAGFWLAVYPIDVVKSVIQVDDFRNPKYSGSIDAFKKIMALEGTKGLFKGFGPAMARSVPSNAACFLVYEITRSALG >KGN58319 pep chromosome:ASM407v2:3:23836950:23837286:-1 gene:Csa_3G613410 transcript:KGN58319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRERDRGNQIFPTTALYRILHETVLKKRRIMEKKEKEILVYCSLWKMKIWKRITPLD >KGN59131 pep chromosome:ASM407v2:3:29786782:29791607:-1 gene:Csa_3G776910 transcript:KGN59131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLDGRFELKEIAKLEGHTDRVWSLAWNPATGVGGIPLVFASCSGDKTVRIWEQSPSSGSWNCKAVLEETHTRTVRSCAWSPNGKLLATASFDATTAIWENTGGDYECVSTLEGHENEIKSVAWNASGSLLATCSRDRTVWIWEVLPGNEYECVSVLQGHTQDVKMVQWHPTMDLLFSCSYDNTVKVWAGDDDNDDWHCVQTLDESNNGHSSTVWALSFNATGDKMVSCSDDLTLKIWETDETKLHSEAGYSPWRHTCTLSGYHDRTIFSVHWSRNGIIASGAADDAIRLFVENQEKGIDRSSFQLLFKKEKAHSMDVNSVQWSPGEKVLLASASDDGTIRIWELVPIS >KGN56596 pep chromosome:ASM407v2:3:7692428:7697647:1 gene:Csa_3G126110 transcript:KGN56596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLREPITRRTDTPPPPASGGGSPTVAASPVSVGLPGSGTTGKKKRGRPRKYGPDGTVTMALSPLPLSSSAPAAGGFSITKRGKGRLGGSEFKHHKKMGMEYIGEWNACAVGTNFMPHIITVNAGEDVTMKIISFSQQGPRAICILSANGVISNVTLRQPDSSGGTLTYEGRFEILSLSGSFMPTENQGTRSRTGGMSVSLASPDGRVVGGGVAGLLIAAGPVQVVVGSFLPTSQQEQQKVKKQKPESIPTAAPGSVPSMAPPTTMPTTNADTEDNLNGNGVQNPGPLKPAGFAPSPFQRDTWGTNAAVHSLQEPRNSPTDINISLPG >KGN60376 pep chromosome:ASM407v2:3:38936786:38938232:-1 gene:Csa_3G902190 transcript:KGN60376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLCPTHLFPFASASDLFASNPSSSSSSSSSSSSCSFNLAIQHHHSSLPQINPTLMGLAGSPVDEDNRWPPWLKPLLRESFFVQCKFHADSHKSECNMYCLDCMNGALCSLCLAFHKDHRAIQIRRSSYHDVIRVSEIQKVLDITGVQTYIINSARVVFLNERPQPRPGKGVTNTCEVCERSLLDSFRFCSLGCKIVGTSKNYEKKRRVMGSDSEDSSYSSNSSQGRIMKNSNKNRVVQSFTPSTPPPTLRYDDKCSFQTRAPMALGGAFIIVLLKFNVNIGLENRNGGGGGGGGGMGVNVEIVERWWTWEKI >KGN58053 pep chromosome:ASM407v2:3:20900031:20900565:-1 gene:Csa_3G466700 transcript:KGN58053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAHPSTSRQLLLLLHQFRFIAPPKSCVVRVAQADHRPSSLQALRDSPSINHPEPRRLPEPRSPRVGRPLQAVQPPSFRLTEPSNFSHHSVTFCTLLPNSVRRPLPFYSTTCSDFSVVINCRGLWICDVSLGMQC >KGN56165 pep chromosome:ASM407v2:3:4484313:4484892:-1 gene:Csa_3G081900 transcript:KGN56165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPKNQIPQSESGFQDWLPVMAGNLGGEGLIGELCNGFNLLMDREKGVINFESLKRNAAALGLGDLSDDELRGMLREGDFDGDGALNQMEFCVLMFRLSPELMEASRYCFHESLRREFRDSFS >KGN56566 pep chromosome:ASM407v2:3:7493265:7496199:1 gene:Csa_3G124850 transcript:KGN56566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVIRTLFRQKSISHPYTSFNPCFFYSKLRELYAFEAPPSVSPSPEPKPRSNKPPKPRYKPPSSLDLGGKKPRRSNLPFDFQYSYTETSPSVRPIGLREPKYSPFGPGRLDREWTGVCAPAANPKATSVEGMEDPRLEGKRRVMREAIQGEPLPGAERKALVEKCQKNKTKRQINLGRDGLTHNMLNDIHNHWRHGEAVRIKCLGVPTVDMKNVCTQLEDKTFGKIIHRHGGFLVLYRGRNYNPKKRPFIPLMLWRPHEPIYPRLIKTTIDGLSIDETKEMRKKGLAVPALTKLAKNGYYGSLVPMVRDAFLSCELVRIDCKGLERSDYKKIGCKLRDLVPCILVTFDKEQIVVWRGKDYQPLDTGYLTVRETFDDVDGNTGCVDDEVVMET >KGN59502 pep chromosome:ASM407v2:3:32381114:32383706:1 gene:Csa_3G822520 transcript:KGN59502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITQKYFFNGKLITLLSISFTYIIITCPIVPVTGSILRFRSGSPSFNIALFADLHFGEDAWTDWGPLQDANSSRLISTVLSHENPDLVVYLGDVITANNLPTSNASLFWDQAISPTKAKGIPWATVFGNHDDAPFSWPIDWFSSTGIPPRRCREDVTSCSGSKEDEECEFRGTQRLELMKKERENSKLSQSRNGPKNLWPSVSNYYIQITPSSQQDLEPPPVIMNLYFLDSGGGSYPQVISSAQVEWLRQTTQQLNPHFRVPEIVFWHIPSGAYEDVAPLSNHSIQKPCVGSINMEKVAAQQADFGIMSLLEQRPSVKAVFVGHNHGLDWCCPHKTKNKLWLCFARHSGYGGYGNWPRGARIIQITHQPFSLKSWIRMEDGQLHSEIILTQ >KGN56592 pep chromosome:ASM407v2:3:7667810:7670314:1 gene:Csa_3G126080 transcript:KGN56592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQISTSNILYQLHLPLVNGTSNTSYSRYWRDSIVLSSRRRCSQMATATAIVDEIHKLESEREKPRFRWVEVGYDITETQKQAISQLPPKMTKRCKAVMKQIICFSPQKGELSDMLAAWVRIMKPERADWLLVLKHLRILNHPLYIQVAEAALEEITFEANTRDYTKIIHHYGKQNQLEDAEKVLLSMRERGFVCDQITLTTMIHIYSKADKLNLAKQTFEELKLLEQPLDKRSFGAMIMAYVRAGFPEEGEKILKEMDAKDIYAGSEVYKALLRAYSMVGNAEGAQRVFDAIQLAAITPDEKLCGLLINAYLMAGQSREAQIAFDNMRRAGIEPSDKCIALALSAYEKENRLNSALELLIDLEKDNVMVGKEASKILAAWLKRLGVVEEVEIVLREYTEKEVNR >KGN58639 pep chromosome:ASM407v2:3:26792815:26794552:-1 gene:Csa_3G710180 transcript:KGN58639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKKVLKVAGEQTGLAWGFQEHLSNLQKWLLKAEAFLRDINTRKLHHDSVRIWVDDLQRLVYQADDLLYEIVYEDLRQKVHTRKMKKRLIKEIM >KGN55711 pep chromosome:ASM407v2:3:844556:849288:1 gene:Csa_3G006720 transcript:KGN55711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALQYLDTLRNSHPELAEWYNTLADLYQKKLWHQLTLKLEQFVALTVFQAGDALIQLYHNFITDFETKINLLKLAHFAVIVSRQYAEKEAAISYLEGIIEKLRSTKELRIEEPILYIKMQIAIFKLEQGDQKECKKLLEDGKSTLDSMTDIDPSVYASYYWVSSQFYKSRKEFAEFYKSALLYLAYTSVESLSDSFKLDLAFDLSLSALLGDNIYNFGELLGHPIIKSLSGTKVEWLYYILQAFNSGDLVRYQELCQVHNAALRAQPALVDNEKKLLEKINILCLMEIIFSRPSEDRTIPLKVIEERTKLSTEDVEHLLMKSLSVHLIEGIIDQVEGTVHVSWVQPRVLGIQQIKSLRDRLDNWVEKVHTALLSVEAETPDLVAS >KGN57813 pep chromosome:ASM407v2:3:17561786:17564622:-1 gene:Csa_3G316260 transcript:KGN57813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLATNSLTLKQLVFRKDLSLPLALRPKFGPLRMFQIRRLVRIDHSYGVTEVTLNSTSADEDEARTLTVYFMSLLGLFDRIRIELNRTKAEKPLPPDLFHLRSPASRKPLPLEFQKFQSEPTVRPPIRLSPLTPANMEICAPRTCLDNQVLLSSKNATKLKREKLNNPSCNIATWSFRTGSCKLIHSHEPSVITHPQTYQILKRYSLPVTSEESSTQSEDLSTDEEEIVSAEELLAQPLSSEQVNVDIKFII >KGN58558 pep chromosome:ASM407v2:3:26186299:26187461:-1 gene:Csa_3G683670 transcript:KGN58558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEIHSLLQTLFLVSSSLSLCFSIRNSIPNGFFKFLLILPFFFLFLYIPLQFHTIHFQGPIGFFIGWLGSFKLLLFAFGKGPLCSAATSSSLPRFLAVGSLPIEIPDHKSPPNHSLIPPSAKLIFLILTILAINFKNHLHPNAIPLFYCLLIYFFLEFLIGTTATLAKTVLGVELLPYFNEPYFSDSLQDFWGRRWNLMTSRILRLTIYDPCRNLTIGVIGRRPASMVAVIATFVVSGLMHELIYFYMGRMAPTWEVTCFFVVHGVCVVAEMAVRLGAGGRFRAPRVARICLTIMFVMGTGSWLFFPQCIRAKMDVRMLEEYAAIGAFFKTITLHFIPPFNPTSFLFH >KGN57778 pep chromosome:ASM407v2:3:17002516:17002875:1 gene:Csa_3G298065 transcript:KGN57778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLDHRIFVPFLKGQSTEEQLQKWLSLTYKMQIIGCYAQTKLGHNVQGLGTTAMFYPKIDEFAIHSPTTNLKQSKYSGS >KGN56888 pep chromosome:ASM407v2:3:9297376:9298962:1 gene:Csa_3G141840 transcript:KGN56888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPIIYSFLFGCYGLIDSINLANPWWTTQVGLSGVDHHHHHHPAAINSPIFKQSDENSGGSREDDDDDNNRDEPKEGAVEAGTRRPRGRPPGSKNKPKPPIFVTRDSPNALRSYVLEVAAGSDVADSIAQFARKRQRGVCVLSATGLVANVTLRQPAAPGSVMPLQGRFEILSLTGAFLPGPAPPGSTGLTVYLSGGQGQVVGGSVVGSLVAAGPIMVIAATFANATYERLPLEDPDEHEVGSGSASHGGPRSPPPEIRATGGGQMPAGIPEPTLPLYNLLPDMIPNGVQLGHDGYAYVRPPY >KGN60181 pep chromosome:ASM407v2:3:37427315:37428066:-1 gene:Csa_3G882920 transcript:KGN60181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPVVGYSWNAEKNEDWRWSPILFHEPFGYSFPPSNISPFEVLKRVSKLFKGEGGACIPPPPNVQVDLETGAYAGGDVERKGSERLGLKPTTNSNENSDFDLSSKLNSEFSSAGMLYNS >KGN60466 pep chromosome:ASM407v2:3:39607217:39607641:-1 gene:Csa_3G912980 transcript:KGN60466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDMVHEIANVGPHFKEPGGRCLQGKKSSYKEGGDSGNREDDINDLINEMN >KGN56807 pep chromosome:ASM407v2:3:8913610:8913872:1 gene:Csa_3G134560 transcript:KGN56807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLKGRKWNEYEYEYEEDEEEMSGSAATSDSSYPPWFSIVVDKNLPFELNLNTIHVSFTDLSLSLSFSFSLSPLCSL >KGN57242 pep chromosome:ASM407v2:3:11593337:11608682:-1 gene:Csa_3G172970 transcript:KGN57242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAPTVLMVAEKPSIALSIASALSHGQMSTRKGSTEVHEFDGRFLGHHAYYKVTSVIGHVFSIDFQAGFQDWKSTDPLDLFQASVIKTESNPKAHICQHLSREARGCDCLVLWLDCDREGENICFEVIECTGFGGNGTRKKVYRARFSSVTEKDILKALDNLVEPNRNEALAVDARQEIDLKVGVAFTRFQTTFFQGKYGNLDSRLISYGPCQTPTLGFCVQRHLQITTFKPEKFWSVCPYILQTGYELNLDWERGKLFDCDVTAMFQKRVIEDGIAEVTEISEKQENKVRPSGLNTVNLLKVASSALGFGPQMAMQLAERLYTQGFISYPRTESTAYPSSFDFKGTLHTLVKNPEWGDYVRMLLSDGYSKPRSGTDVGDHPPITPMCLATEDMLGRDAWRLYQYVCQHFIGSLSSDCKYIRTKIQFSIAGEIFNCTGSRVTVKGFTSIMPWLAVNEKKLPQFLKGEKIEVARVDLYQGNTTPPDYLSESELISLMEKHGIGTDASIPVHINNIGERNYVQVQAGRRLVPTNLGITLIRGYQCIDPDLCLPDIRSFIEHQITLVAKGQADHSSVVQSVLQQFRQKYSYFVKKIDHMDALFEAQFSPLADSGRALSKCGKCLRYMKFISSQPQRLYCGICEEVYYLPQKGTIKLYKELTCPLDNFELLIYSLPGPEGKSFPLCPYCYNSPPFEGINTLYGASKANPSSGTSSKGMGMPCFMCPHPTCRHSLITQGVCACPECSGTLVLDPVSAPNWKLNCNKCNCLVFLPKGAHRISTTRDKCADCDSTIIEVDFNKKTTPLEDGATLFVGCILCDEFLHSLLEMKHGKSFIRNRGSRGRGRGRGRGRGGRGRSSGKPMDPKMSFRDF >KGN59269 pep chromosome:ASM407v2:3:30701364:30705601:1 gene:Csa_3G797580 transcript:KGN59269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYTVDREMASCSLVRFGRWISRFKFPYTQAKPFSSPSPFSSSAGLRDADSAAPGGPPPIRVSLTDSAGRGVFATRKIGAGELIHTAKPLVAHPSLSSIHHVCNFCLQKLQRYANVDSDARRASFCSEECEQHSKVFHDVEMEADWSDYDNYCRERGFKYPLLVKRLACMVISGAMSSDHLDILQPSRLSTDMVLELEEGYSLLRKALINANITDERMLFLTQEWYTGVLARIRINAFRIELAGGYEDLHSLAAACVEAEAAVGNAVYMLPSFYNHDCDPNTHIIWINNANAKLKALRDVDPDEELRICYIDASMDYDARQTLLHRGFGFICKCARCSYGD >KGN57065 pep chromosome:ASM407v2:3:10401733:10408056:1 gene:Csa_3G151420 transcript:KGN57065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFSVGNGRMAVMTRLLAAGSFSRTIAGKSREEVGHQKFASEFICRELRDADEANLIDEEDMHVFGLKPMVDPLNLVCCNICKKPVKASQYIIHSELCRSLGFRQGTIMDLDGGMGHRKHSRKEKKKLLSTDANISAVVEKEGAESTCVDFSAAPALPINNQFEMIKLTKRNLTCNVAPILDDDTGACSGVDAASLIHPSTKRSKLITGEGLLLASDLEPSSAKTKIRNVPFPLASKIYYSQRNNRLRSSLGYLYWEAVASSKEICNIMDQHMTTENIKLFHSTSEEESQEQTSDVIGKKMDNQSLTSAWKSDHNLAVFSSGKCLPAGGASNKFVIGSSVAWPQIAPVELAQKKTIYLEG >KGN57349 pep chromosome:ASM407v2:3:12443778:12446904:-1 gene:Csa_3G180370 transcript:KGN57349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDDYNDLDMGYEDEPPEPEIEEGAEEELENNNNDDIPGEPVEAEEKEDEEPIVRARKTSKFMTKYERARILGTRALQISMNAPVMVELEGETDPLEIAMKELRERKIPFTIRRYLPDGSYEDWGVDELIVEDSWKRQVGGA >KGN55799 pep chromosome:ASM407v2:3:1494829:1498098:1 gene:Csa_3G016930 transcript:KGN55799 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-deoxyglucose-6-phosphate phosphatase MTASKNEISVDSKSSLAALAPVEAVLFDVDGTLCDSDPLHYYAFREMLQEIGFNGGVPIDEEFFIKNVAGKHNDDIARALFPDDFERGLKFCDEKEAMFRRLVTEQLKPVDGLYKVKKWIEDRGLKRAAVTNAPRPNAELMISMLGLKDFFEAIIIGGECKHAKPHPEPYLKALEVLKVSKEHTFIFEDSASGIKAGVAAEMPVVGIATRNPEQLLMQAKPTLVVKDYDDLKLWAALDELDKRGGTVKNA >KGN56946 pep chromosome:ASM407v2:3:9699655:9703563:-1 gene:Csa_3G146320 transcript:KGN56946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPTTHTPLDSSLEFLSRSWRVSPSTTHLSKDIVLEDSGGDAEGFLVFMNPFSFTSSETSQMVMDRILSHSQDVSPRTSGRLSYSSGPLNAGHSGGGSLSDSPPFSPSEIAELDAKLYRSNYSFSSHLRATVNGSSGSAAALSGGKTVGRWLKERKERRKEENRIQNAQLHAAVSVAGVAAAIAAIASASASSTGVNDGEDVPKTDIAMASAATLVAAQCVEAAEAMGAEHDHLASVISSAVNVRSAGDIMTLTAAAATALRGAATLKSRAMKDMWNAAPVIPIEKGVGASSNIGYNNNDSSNHGNVNSIMMNQKFSGELGPVAAACDQSNLQIQRGDSFFNVCYRGLLANGCELLKRTRNGDLHWKLVSIYINRTNQVVVKMKSRHVAGTITKKKKNLVVDVVKDIPAWPGRHLLEGGEDRRYFGLKTLLRGVVEFECRNQREYEMWTQGVSKLLLMVAERLCRF >KGN58914 pep chromosome:ASM407v2:3:28305174:28306176:1 gene:Csa_3G736620 transcript:KGN58914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPNTKMDIKWSGNKMVEGGEVSKTMECLRRRLLAERHASLLAKDEAELMDKRSSELEKQITKQIQMKARAEKKLQLLKKKLESLNLSSTMVNSEASVSSEICNENEPKTPIEVQPLPSHSKGISEIFHSEEENRNARGSTSSNISASKIHSDKPSKTKIGNCGKEIDSVDDSLAIVAVDSPAKSETFEQLKPVISERIIEVLNDLKRARERIQSSMKLCDHMIEVSPI >KGN57323 pep chromosome:ASM407v2:3:12241004:12245048:1 gene:Csa_3G179130 transcript:KGN57323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKILERYHQQCYTSGSTTNLDESDVQAEIMLQKLADLRKMEQDLGDQNTQLKSKLEKDQEQEGGEEDPKNYEVVRADDPNMINTTRYYEAQEEEEECRGVIDGGSNLIPDWLL >KGN58891 pep chromosome:ASM407v2:3:28190826:28193586:-1 gene:Csa_3G734920 transcript:KGN58891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIPSIHQKLAVGVDGLVDDLQSSLKEIDAALFSDLDGFFKKSLSIEDTQSSEFKRDVNCSDNSNVKNKQKELEIPSNLSKKCLRKSKSFPLPVVTSPPNDTSENDKERQTAMGDVSCNEFNHQAFSRSISLPVPGKLLSAMKGSRAQHYGESPKMSVTWAPDVYDPPQTSLCHCVKNNKKQQKSKNRKNGKKGQKGSNSSRGSGGRDKRQARKSVGSSDRYQRSFNSHESLVNTLNEFESFDDGSSDSHCGSIFLKTSVTKVHYSVTEAL >KGN56690 pep chromosome:ASM407v2:3:8252728:8258422:-1 gene:Csa_3G129470 transcript:KGN56690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYYSHPPPMLVNCSGCRTPLQLPPGAPSIRCAICKAVTQVMDPRAVPPPSPSQAASPAPAPAPSPYNHAPPGAPAHPHGRKRAVICGVSYRYSRHELKGCLNDAKCMRYLLINKFRFPEDSILMLTEEETDPYRIPYKNNIRMALFWLVQGCQPGDSLVFHYSGHGSHQRNYNGDEVDGYDETLCPLDFETQGMIVDDEINAAIVRPLPQGVKLHAFIDACHSGTVLDLPFLCRMGRSGQYMWEDHRPRSGVWKGTSGGEAISFSGCDDNETSADTSALSKITSTGAMTFCFIQAIERGHGTTYGSILNSMRNAIRNAGGSGDIGGGAMTSLVTMLLSGGSALGGLRQEPQLTACQPFDVYTKPFSLSGFEIIGTILLPKFLIWWLIGIGIRRVLFASNADVSELLRLPDSSPAPAGSSDDSEPTCRPSAVAFSSGPASGSIALLHLAPPGAPAHPHGRKRAVICGVSYRYSIHQLRGCLNDAKSMRYLLVNKFHFPKDSILMLTEEETDPYRIPNKHNIRMALFWLVQGCQPGDSLVFHFSGHGSRQRNYDGDEVDGYDETLCPLDFETWNDR >KGN55641 pep chromosome:ASM407v2:3:388895:389936:1 gene:Csa_3G002610 transcript:KGN55641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVWKDISLSSLHSRSDHDFSSAAPTPISLHLHHHHSAANLRHIILQDFLSTSTSKLDSSSSSSSSALALPPVPAPPPTLLSLNSTRELHFPDNNSIATATAAAHFRHHDPSSLSAAFHSPFDQLLGPPPFAKKRLSDSDNSGDRRQKRMIKNRESAARSRARKQAYANELELEVSNLKEENAKLRRQQEELQAVAMAQVPRKHRLQRTSTAPF >KGN60429 pep chromosome:ASM407v2:3:39284415:39286092:-1 gene:Csa_3G910660 transcript:KGN60429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFLDEDAKPRFLFHSRANTSPAMELQSDSHSTKLFLSITLLISSIFLILSIVFVQFEPFRSLLIWLSLSLLLGPFAPISLTGGDIRVGRGPILEIPDDEPEVEDDSKKKPVQKRSKPRRSTDEIAVASIEVAEKSSAKIDNRNGVGHRSSKNGVDFAIEEAEWDDAELGFLKKQLAKHPVGKPRRWEIIAEAFGGRHKVENVIKMAKEMGEKKLGDEDSYAQFLKNRKPMDKRIENVNEEATTAAVAGGWSSGEDIALLNALKAFPKDSAMRWEKIAAAVPGKTKAACMKRVGELKKDFRNSKAGNEI >KGN55784 pep chromosome:ASM407v2:3:1302549:1309054:-1 gene:Csa_3G011850 transcript:KGN55784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKMMMNWLVLIFGGLVLVFGVLKRLNDWYYEVKLGKLWPKLPPGHMGWPFVGSTLSFIKDYTSGQPQNFVKALQIRYGKADMYKTHLLGRPSIIVYTPEICRQVLTNEEIFTPSLPKNIVILSGRKSLMQVTKAEHRRLRRLTTAPISSHTALEIYINDIEQTVMNGLEEWASMKSPIELLTEMKKLTFKVIWNIFMGSTSSMGENESSLFYEVAAGFLSLPINFPGFGFRKSFKARQKLMERLQCIINEKRSMKERKGENWEAKDTMDFLIDVKDEDGEELDDETIRDLIFGKLFAGHETTAYTAMWAVLFLTDHPHTFQKAKEEQEELIRRRPSTQKGINFSEFKQMKYLSQVIDETLRVGSITSLLYRETTIDVEINGNRLCPGSDLAKLEISIFLHHFLLNYKVERLNPKSKLTYAPFPHPKDKCLARVFKVE >KGN58868 pep chromosome:ASM407v2:3:28059694:28061335:1 gene:Csa_3G734210 transcript:KGN58868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSNGGFLQTDSSTSPPSLPPWLSNSNGGSSLRPPSPSVTLTLSSPTVPAYTPIPWLQQPDRMPENMPLVLGNLAPQMSMPSCGGSLFASELVECCRELDEGHRAWAAHKKETAWRLRRQELQLESEKASRIRLKMEEVEGKVKALREEEKIALERIEAEYKEQLAGFRKDAEAKEQKLAEQWAAKHMSLTKFLEHMGCRTRIAESSGR >KGN56921 pep chromosome:ASM407v2:3:9527633:9531488:-1 gene:Csa_3G144110 transcript:KGN56921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSPIRHSSSILFLFSFFFFFFFFHYSAAEAPDADGYTCSANQTANPCQAYVFYRATPPNFLNLAAIADLFWVSRLQISRPSNISDSNATFPLLSGQPLFIPITCSCHSVNASVSISYANLSYKINAGDNFWLVSTSKFQNLTTFQSVEIANPTLIATNLSIGVDVVFPIFCKCPNPTQLRNRVNFMISYVIQPADTLSSIASRFGVQTSEIRDANWPNPQPFETIFIPVSRLPNLTQPIVLPPSPEQAPAPVREDKNRVVTGLAIGLGIVGFLLILAVGLLVFGVGKRRKNEREMEERFEKQRVQDDGIWKAKRKEMEVDLMADVSDCLDKYRVFKIEELNEATNGFSESSLIQGSVYKGTIGGVEFAIKKMKWNAYEQLKILQKVNHGNLVKLEGFCVDPEDATCYLIYEYVENGSLYSWLHETQKQKLNWRMRLRIAIDVANGLLYIHEHTRPQVVHKDIKSSNILLDANMRAKIANFGLAKSGCNAITMHIVGTQGYIAPEYIADGVVSTKMDIFSFGVVLLELISGKEAIDDQGNALWMRASNEFLDGKEKDKLESLRSWIDEALFEQSCPMESLMDAMNVAVSCLQKDPTKRPSMVEVVYALSKTDDAVFDFSDDNLSAPPLTAR >KGN57960 pep chromosome:ASM407v2:3:19682811:19684256:1 gene:Csa_3G414060 transcript:KGN57960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLANLNGKFSAIPNLPKLQNIHKRLKITGFLKKQTDVSSHQISLTRRLALTSLVSVALFGNASPEIASANEYWLDGPLPVPSVDNNIVNEQTGTRSFLKTGIYIANIGTKGRKYRLKKYAFDLLAMADLIGKDTLNYVRKYLRLKSTFMYYDFDKVISAAADDEKQPLVDLANRLFDNFEKLEDAAKQKNLSETESYYQQTTPILQEVMDRMA >KGN56811 pep chromosome:ASM407v2:3:8928224:8930251:1 gene:Csa_3G134600 transcript:KGN56811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLFARPSFALRPTWIFSLYLRNSCSLTTSTCRLQASHAPPTFPNLKLLNSEISNCMRNGLVEQAQKLFDGMPQRNIVTWNAMIRGYFLNGRCSDGISLFRRMPERDVFSYNTVIGGLMQCGDVDGAKDIFDLMPFRDVVSWNSMIAGCIRNGLLEEAIQLFDGMPLKNVISWNLIIGGLVNCGKLDSAGEYFGKMSRRDLVSWTIMISGLCRAGRLDEARGLFNNMPTKDARVWNAMMVGYIENGKIEMAEELFGIMPERNFGSWNKLVNGFVGSQRVDDARKLFMEMPDKCQKTWNNIVLAYIRNGLVLQTHALLEKIPYGNIASWTNLIVGYFGIGEVGMAVEIFESMQYKDTTVWNATIFGLGENDKGEEGLKLFTRMIRLGPCLDKATFTSILTICSDLETLQLGRQTHALILKEGFNGFVAVSNAMINMYARCGNMDCAFMEFSSMSDRDVISWNSMICGFAHHGNGEDALEMFEKMRLANIEPNHITFIGVLSACSHKGLIDKGRYYFNFMKNECSLRPLIEHYTCLVDLFGRFGLIDEALSFLAEMKAEEIEVPPSVWGALLGACRIHKNYDVGVIAGEKVLEKEPHNAGVYLILAEMYLRNGKRENAEKIFARMKNNGVKKQPGCSWIEVNNCGYVFLSGDCSNPHFDRICSVVKLVNLEINGILN >KGN59736 pep chromosome:ASM407v2:3:33917898:33921701:1 gene:Csa_3G842090 transcript:KGN59736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMARSVLITFVGLLVLCFSETLAKAEYLKYKDPKQPLNVRIKDLLGRMTLEEKIGQMVQIERANASADVMKQYFIGSVLSGGGSAPSKQASAKDWVHMVNKIQEAALSTRLGIPMIYGIDAVHGHNNVYNATIFPHNIGLGATRDPQLLKRIGAATALEVRATGIPYAFAPCIAVCRDPRWGRCYESYGEDHTIVQAMTEIIPGLQGDVPANIRKGVPYVAGKNNVAACAKHFVGDGGTTKGINENNTVVDGHGLFSIHMPAYYNSIIKGVATVMVSYSSINGEKMHANKKLVTDFLKNTLHFKGFVISDWQGIDKITTPPHANYTYSILASVNAGVDMIMVPYNYTEFIDGLTYLVKNNAIPISRIDDAVKRILRVKFVMGLFENPLADLSLINELGKQEHRELAREAVRKSLVLLKNGKLPNQPLLPLPKKAPKILVAGTHANDLGNQCGGWTMEWQGLTGNNLTSGTTILTAIKDTVDPETEVVFHDNPNAEFLQTHQFSYAIVVVGEHPYAETNGDSLNLTIPEPGPETIKNVCGAVKCVVVVISGRPVVLQPYIDSIDAVVAAWLPGTEGKGISDVLFGDYGFTGKLSQTWFKSVDQLPMNFGDAHYDPLFPFGFGLTTQPVKA >KGN55613 pep chromosome:ASM407v2:3:253451:259034:-1 gene:Csa_3G002340 transcript:KGN55613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGDAAVSPPSDSTSSDGLQVNVNIRCSNGSKFSVLVNLDSTVGSFKSILSHQSEVPPDQQRLIYKGRILKDDQTLRSYGLEADHTVHLVRGSAPAASPTNPATATAPNTGGPNTTSSNARSVGSNEGGAPGGGLGLESSIFPGLGFEGLGATGGLFGAGLPDFEQVQQQLTRNPNIMREIMNMPAIQNIMNNPDIMRNLIMNNPQMREIMDRNPELAHILNDPSTLRQTLETARNPELMREMMRNTDRAMSNIESSPEGFNMLRRMYETVQEPFLNATTMSGNAGNDGSNPFAALLGTGGNVTNNATTNTSTTTSDTTNGSPSPNTNPLPNPWSPASTGGTQTNSTRSNPTPNASAQVPTGLAGLGLPNLEGMLGATPDAAGLNQLMQNPAISQMMQSVMSNPQYVNQILGLNPQLRGLLDSNPQLREMMQDPEFLRQLTSPDTMQQMFTMQQQLLSQLGRQPTLNQGQTGAGTGAANNAGLEMLMNMFGGLGAGSLAVPNRSDVPPEELYSTQLTQLQEMGFIDRQENIRALIATAGNIHAAVERLLGNSGQ >KGN59066 pep chromosome:ASM407v2:3:29179685:29182536:1 gene:Csa_3G750920 transcript:KGN59066 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fructose-bisphosphate aldolase MSSFKSKYQDELIANATYIGTPGKGILAADESTGTIGKRLASINVENVESNRRALRELLFLTPGALQYLSGVILFEETLYQKTAAGKPFVDVLKEGGVLPGIKVDKGTVELAGTNGETTTQGLDGLAQRCQKYYEAGARFAKWRAVLKIGPTEPSQLSINENAYGLARYAAICQENGLVPIVEPEILVDGPHSIEKCAEVTERVLAACYKALNDHHVLLEGTLLKPNMVTPGSEAAKVAPEVIAEYTIRALQRTVPAAVPAIVFLSGGQSEEEATLNLNAMNKLKGKKPWSLSFSFGRALQQSTLKAWAGKEENVEKARAAFLTRCKANSEATLGTYKGDAELSEGAAESLHVKDYKY >KGN59671 pep chromosome:ASM407v2:3:33436848:33437924:-1 gene:Csa_3G837040 transcript:KGN59671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLQVHIQQEDFGMCPSFNCYSTGTTSDAAIRAAAFSFFDFNPSHSPNKPNHQEQHDHDFEFVSLPPPPGLTPPAFPIFNSDLLFDEPPVETPEIHGRDSPIVNPIRIPLEKLLIRDRDINPRSSSSSSSSLSSSSSLSSVDELEEVPSETFCVWRPKSIGTTPNPACKKSRSTGSSSTKRWGFRDLLRRSQSDGKQSYISFTPSTSSKKAKEIKSETKSSKKSNKPGGDLLSAHESFYVRNRALKEEGKRKSYLPYKLRAGIGWLLE >KGN56910 pep chromosome:ASM407v2:3:9448994:9451809:1 gene:Csa_3G143510 transcript:KGN56910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLQIQTPSNNSLIFFLFLRSLCSSNLFIMKHEDGGFGKLIPTSHYLNQSFVGGVAATGNRHFRRTSKPVINNQKLIPHLHRTESGRVDFLERFSHYVARQLGISDVDECPQLCKLANNYLRKTKGCEEEIYAYFASEAGGESLYVKLVTEFDQCILSYFAFHWSQASLMITQVLGVDSEHKKLKDLVVAATRKQRFDRVSKDLKMTRVFSTLVEEMKKIGCAASKGESKCSLTKPRSSQRQRSPVLLMMGGGMGAGKSTVLKDILKEPFWLEAETNTVTVEADAFKETDVIYKAISSMGYHDDMLQTAELVHQPSIDAASSLLVTALNEGRDVILDSTLSWAPYVMQTIEMARNIHKRRYRMGVGYKVENGEVTENYWEPVSEEEEDGEMQDRMPYRIELVGVVCDAHLAVVRGIRRAIMMGRAVRVNSQLQSHKRFANAFPKYSEVVDSVRLYSTNFIGNPPKLIHRKDGTDPFQTIDAEASACLTTLSNLNPDAESVYELYPNPSPFSEPEAIWKEIALTPSRLQSQKELRSAIKKLESSRTVSSKIEQ >KGN58108 pep chromosome:ASM407v2:3:21419727:21419911:-1 gene:Csa_3G514980 transcript:KGN58108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLPGLEIETSEVGSISPVSRLVCGADHTIFKERSNFFLFCKLDFGGLG >KGN56755 pep chromosome:ASM407v2:3:8634161:8637720:1 gene:Csa_3G132570 transcript:KGN56755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQQTLIYSFVARGTVILAEYTEFTGNFTTIASQCLQKLPASNNKFTYNCDGHTFNYLVENGFTYCVVAIEAAGRQIPIAFLERVKEDFNKRYGGGKAATAVAHSLNKEFGSKLKEHMQYCLDHPEEISKLAKVKAQVSEVKGVMMENIEKVLDRGEKIELLVDKTENLRSQAQDFRTQGTKMRRKMWFQNMKIKLIVLGIIVALILIIILSVCHGFQC >KGN57934 pep chromosome:ASM407v2:3:19356643:19358148:-1 gene:Csa_3G398920 transcript:KGN57934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSTSTNTSMSGGGGGSGSGGGGGSPCGACKFLRRKCVADCIFAPYFCSEQGAARFAAIHKVFGASNVSKLLLHVPVHDRCEAVVTIAYEAQARIHDPVYGCVAHIFALQQQVAYLQTQLMHAKAQLAETLMDSGSNMEIQWTGDFNNNNLSNGSIPSNNYQTQITNNPSPQSSLESAANNDEALLFINQNQLFHESQLSRSSQEPQFLQPFPRKKLPSSSHADLGELHALALRMMRN >KGN60453 pep chromosome:ASM407v2:3:39522214:39527468:-1 gene:Csa_3G912360 transcript:KGN60453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRDRPALGGVGTGSSKLDVTPIDRKRINDALDKQLERSSPSTSRTVINGKDNKPSVPQSLLMPKPLSDQRDSRSASLSKTNCSEESETDSEESDVSGSDGDDTSWISWFCNLRGNEFFCEVDDDYIQDDFNLCGLSSQVPYYDYALDLILDVESSNGDMFTEEQNELIESAAEMLYGLIHARYILTSKGMAAMLDKFKNYDFGRCPRVYCCGQPCLPVGQSDIPRAGTVKIYCPRCEDVYYPRSKFQDIDGAYFGTTFPHLFLMTYGHHKPQKSVQSYVPRVFGFKIHKP >KGN55865 pep chromosome:ASM407v2:3:2075910:2076194:-1 gene:Csa_3G020045 transcript:KGN55865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFNGVFRISALLFVLVFATAVVDESVAEYTKMKTKTSKHRNAYASMMYMGTPRDYEFYVATRVLIRSLVKLNVDADLVVIASRDVPVRWVRAL >KGN56787 pep chromosome:ASM407v2:3:8801737:8802793:1 gene:Csa_3G133380 transcript:KGN56787 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase MTEVKLYGAWPSPFSYRVIWALALKGIPYEYVEEDLSNKSSLLLQYNPVHKKIPVFVHAGKPICESMIILQYIDETWPENPLLPSDPIQKAAARFWIKFVEDKSLVMWGLFIAEGEEDKEKARKATLEVLKTVEEQALGDKKFFGGHEINMVDLAYGFLARWLPVMEELVDVKVLEPNTNPRLYVWAENFRQAAVIRDNLPDHDEMVPRLRSRREQLLEMAKKS >KGN56834 pep chromosome:ASM407v2:3:9024386:9028482:-1 gene:Csa_3G134820 transcript:KGN56834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAKDWIDERIFLLKSKFRKMINCIRSGDQLRVDEMAHSSDSLATRDYSASGFSSRTGGEVEQKVDNGNIEEAESSLRESGYLNYEEARALLGRLEYQKGNIEAALHVFEGIDIAAVISRIKASFSTRYEQNRRQSQSNVVPTMSMHAISLLLEAIFLKAKSLHGLGRYVEAAKSCKLILDTVESSFPEGLPENFANDCKLQETLTKAVDLLPELWKSAGSPQESILSYRRALLYQWNLEMEARARIEKEFAIFLLYSGCDASPPNLRSQMDSSFVPRNNMEEAILLLMDLMRKYTLGLIVWDPSIIEHLSFALSVSGEFGALASEVEQLPPGIIGRKEKYCILALCYYGEGKSLVALNLLKNFLSNIENVDCMLELLLASKLCGENLVCLDEGVAYTMRVLSQLHGKCIQLASVANCLLGVLLSAMSKLVASDSQKTLKQSEALKALQTAEQLMRQRDPFIVYHLCIEYAEQRKLDFALYYAKQLVKLEAGSSLKSYVLLARILSAQKWFVDAETVLNAALEQTGKWEQGELLRTKAKLQIAQGQLKNGIETYSHLLAIIQVQNKSSGKMLPKDVRKYDRSLEVDTWHDLANIYTGLSQWRDAEICLSKLQAIDPYSASKWHSTGLLYESKGLPRDALQAYNKALDIDPGHVPSLISTARLLQQLGGSQSFPVVRSLLTDALRLDRANPSAWYSLGMLYKADAGASALEVAECFEAATLLEESAPVEPFRR >KGN55619 pep chromosome:ASM407v2:3:295569:297029:-1 gene:Csa_3G002400 transcript:KGN55619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPNIPELYELHFAVPMAGAIISALNTKLDSPTLSLLLQQLNPKVIFIDSQFLPILLKSLENSSIKFPALVLIPSDPDTPLPSEFLDYNKVLAMRFGDDDFTPRPNAELDPISINYTSGSTGLHKGVIYSHRAAYLNSLATIFRSKICSSTSSPVFLWTVDMFRCNGWCFIWVMAALGGCNICLRTVTADAIFTNVELHRVTLLCGPPTLLKMIYESSSNNCMPRRLSRRVDLIVAGALPIKEILTKVNELGFNISYGYGMTEAMGPAIIRPWKPTFEEDNVQFEDLITSLEIDVKDPISMESVLGDGETLGEVMLRGNTLMSGYYKNMKATHEAFIGENWYRTGDVGVRHKSGRIEMKDRAKDIVVRTDGEGAVSTVEVEGVLMSHPNVAEAAVVGERTLYGFVKLKNRSKENGDEIVEFCRMHLPEFMIPKRIVFGDLPMNSTGKVQKFALREKVKALLNNANNNTIT >KGN55993 pep chromosome:ASM407v2:3:3132350:3132735:1 gene:Csa_3G045070 transcript:KGN55993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHYFYVFSSRGPNPITDSMMKLDITAPGGSILASFTEDVTATKSPFDTRRVPFNVQSGTSMALPTHLRCCWPS >KGN56663 pep chromosome:ASM407v2:3:8101300:8103959:-1 gene:Csa_3G127250 transcript:KGN56663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKNPHGKGADAVLNLQPNSSISIAYHSLFGPHDDLVLLEVDEKLLEEVLHQRVSIRGQPEEDAVFCTKSKTYGIKYVGTSNSVLLIPPSGRSEYYTNELDSHQKDNSKEVAPVIKVAPGIMELHEIAPRIDKLKLLLSEEHYSFADEWENEAVDKYEKRMYNWDDLINKVQASDNELKAGLQALSAVEIDGYWRIVDEKYMDSMLQMLLHNRILNDWSLDALDEGVIMNVMKMDGFPEKLVQHCLHVYGDKLDEHEGKSCLWRLNEKRVCVHFAREVLRKGKMKLEHLMDEWRQKIPLGMCANFDMLEGEVLTERLGVETWVRGFRVCQLPSNPAERFTILFKERPKWEWKDLQPYIRDLTVPGLSSEGLLLKYTRRTQPNPEAEAVFSAR >KGN59139 pep chromosome:ASM407v2:3:29828237:29828611:1 gene:Csa_3G776985 transcript:KGN59139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRAILSDAFIASESPESGAVGLTTGVFFQHLKRFRKVHKKPGSVSFRSGFSFGGAGSHERRRFFHRRLRSRFDLTLPIHETFGDEGSTSAESDFSEDPDCLGNSLVFPDFAFRRLFESTKQVL >KGN57698 pep chromosome:ASM407v2:3:15847963:15848304:1 gene:Csa_3G254040 transcript:KGN57698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPIPKISTKPSKSHDEFEKVRFEITMSEQAKVNTMIHDSEGTSSNQGVETEKNKGKEARRPLMINGIEIQLSENNIYALLLRVYEEKKIREKEKKEKEEKEKAHKKEGKRTK >KGN58373 pep chromosome:ASM407v2:3:24569369:24573343:1 gene:Csa_3G632280 transcript:KGN58373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQAFLRTRTPEEIHGFPPIEELEAYKIVFETYTFGGSEQIPYGGDDNPELEVDFQESMENFPQETQILPDETEAKTEESKEAQIGNRENEMMKDLRKLTEESSISSRTESSPWSSPGSFSSREYNNNYTLGSYGSMRKEKEWRRTLACKLFEERHNSEGTEGMDSLWETYENSESKNLQKKEKMNGKSTKGKKIQKKTDDDDEEEEDGEQGQLCCLQALKFSAGKMNLGMGKPNLLKMTKALKGFGWLNRNGSRKKLIHS >KGN56444 pep chromosome:ASM407v2:3:6864978:6869163:-1 gene:Csa_3G119740 transcript:KGN56444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASAKLRLVRCPKCENLLPELADYSVYQCGGCGTVLRAKVRNKEEDSLSYKSDEDGVVGSSSTKSMTTEKGTVDLSDASDVDFKSSPDSLPGDPNGSEKDKVEDAEKCEEYFNGKTDKWGAQKDLNLSMEKGGLSNSMGVKQVDLNVQMNSITLGSGREVDWQKGETYAMEGVEKKSSRDNMESVRFSTSNHDDRTNYRLDFVSGVQELLKNRSNASGADKVKHLEQDRLELLRKLDELKDQLGQSCNLVHNPSQMAPVNSGAKPTKPFYHSGAWPMDGSSGSNPSQQLLGPEKCVAGPSFSNYCAEPFPLTNVVEMPTQGYYPSIHNPNNTSHFEDHFGSQMLRRNSCQFSCAHQQHPHQYHSGHYVGAGVDPFNHYPPNPPFHQPSCSCFQCQNRYSQVPASGPNSYYNRRFPDVPNNNPSLYSHENSAAYGACVNNIRTTNPPLNFRDRQAHSRWPTDFSSEIGGVVGSRPRRTVLVSGGRNCYPVAGGAPFLTCNNCFEMLQLPKKLMMVKNQQSVRCGACSTVINFTVINKRLVFSNHSQADPFALEVDDSDGQPVRGYNSKFNGYLNRTNFSSDDYDNTVYDFESPDREPVLHPVGAGLSKHQEMQSSHPSSSSTSEDEDSPDVLTASRDATKNLHNLIKTTRSPPLPGSPLQSYFDYSSNNQVANRFGKGNRSSRSDQENVKPHKVTSRQNSLKEASLATEMDVSMNDYCNTVTFQESQDASKEDNQPKANKGGESFFANIIKKSFRSNQADERSKSNVSVNGHLIPYRVVKKAEKLAGPILPGKYWYDARAGFWGVMGGPCLGIIPPFIEEFDYPIPENCAGGNSGVFVNGRELHQKDLDLLASRGLPTSRDRSYIIEISGRVLDEDTGEELEGLGKLAPTVEKVKHGFGMKVPRTAC >KGN60313 pep chromosome:ASM407v2:3:38487305:38495152:-1 gene:Csa_3G895100 transcript:KGN60313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRALLSHFLVLSQSSTRFTPSPSLSAFGTKYTKPHSLFPLNRSSTGNSSRCCCGISLTEPAAAPERPPSSIKRRIVSGVQPTGSIHLGNYLGAIKNWISLQDTYDTLFFIVDLHAITLPYDTQQLHKATRDTAAIYLACGVDTSKASVFVQSHVRAHVELMWLLSSATPIGWLNRMIQFKEKSRKAGDENVGVALLTYPVLMASDILLYQSDYVPVGEDQKQHLELTRELAERVNYLYGGRKWKKLGGRGGVIFKVPEPLIPPAGARVMSLTDGLSKMSKSAPSDQSRINLLDPKDVIANKIKRCKTDSFPGLEFDNPERPECNNLLTIYQLVSGKGKEDVKQECENMNWGSFKILLTDALVDHLHPIQVRYNEIISDSAFLDEVLADGARKASSIADVTVNNLYQAMGFLRR >KGN57964 pep chromosome:ASM407v2:3:19728682:19739436:1 gene:Csa_3G415090 transcript:KGN57964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRNDFEKQSHEWNGWSVRPILYYLIKIAGNGADDISYLIYNYAVSIPNLAIEDVVSKFKSMHFELVINPSSASYEKLICYCCGLFKVHMALDIANEMCDADFTPSTGVLHSILHALDESCEYNLVHQVYSLICRHNLKPDSEILRGMINLHVKMKDFKGAYDMLKEWEKMNVIPTTNLYNAIMAGYFREKNTSDGFMVLKQMELADVKPDSITFSYLISNCECEEDIIKYYKELNHSGVQATKHVFMALINAYAAHGQFEKAKQVISDEGIPVKNLNEVRCVLVSALASNGQTADALKIYDEMKQAGCDLDCKAVSSLIEHYPFDGPLNRMLQLLGDLHHDLNGWIHCCSRIILFSVKHNDLSSTVDLLKQLSYRCTNDETIMGVTFDEVFCLIANSEPTYLEIGLQLLKFIKNDLGLSPPRRCLDFLLGTCANAKDAESSRLIWKEYENAGLLYNTISYLKMYQALLASGDSKSAKLLLGKIPKDDADVCYIIKECERVYSSTAKKKKVLKMSRNK >KGN60420 pep chromosome:ASM407v2:3:39227223:39227844:1 gene:Csa_3G904090 transcript:KGN60420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSVRFFGFFLCVLATSSSFHSAFAVRKIAEAVYSSDCWDAINAVKGCQNEIDTAMKSNEIEVSYDCCKVILHGMPEKCAAVVFSSGGEFSPDVSGAVNEYCDGMGITPPVLETEDTKVEEN >KGN55793 pep chromosome:ASM407v2:3:1427877:1433921:-1 gene:Csa_3G015890 transcript:KGN55793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTRKGKRSEAFWPSIVMKKWLNIKPKVYDFSEDEVDTETESEDDVCSLKNERAHNQEDRACRTQRNLSTCSTRISDVPSSFQPRHRRGKSETLRVQYINTKDLRVTVATWNVAGRIPNEDLEINDWLCTDDPGDIYIIGFQEVVPLNAGNVLGAEDNKPIPKWEALIRRTLNKSSKSEDKHKSYSAPPSPVLRTSSVADVLADEVNGEQLKLIDDGFSVNVGFELDQHPLNKLNLVNSNLRLSRIYGIDCDQRLDWPEHSLDATPQAVSSNSKLRRVVSSSARIGFQCLENPLIFPPQSFSINENGLKRTFCSSGNLISAWKSPQDTLEVLDSLSDVSDISVEEVDTFPELMEQVDEDPTESMKSYPKYVRIVSKQMVGIYVSVWVRKRLRRHVNNLKVSPVGVGLMGYMGNKGSVSVSMSLYQSRLCFVCSHLTSGQKDGAELKRNADVNEIIRRTCFSSMFDSGQPQTIPSHDQIFWFGDLNYRMNASDSDVRRLVAQKKWEELSNYDQLIRELRMGHVFDGWKEGTLDFPPTYKYEFNSDRYIGEIPREGEKRRSPAWCDRILWMGKGIKQVCYKNADIRLSDHRPVSSVFQVEVEVLDHRKLQRALNFTNTAAIHPEFFADENGEYDDLEF >KGN59578 pep chromosome:ASM407v2:3:32881356:32889033:-1 gene:Csa_3G827210 transcript:KGN59578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAQKKRNFQIEAFKHRVVVDPKYAEKTWKILEHAIHEIYNHNASGLSFEELYRNAYNMVLHKFGEKLYSGLVNTMSFHLKEISKGIEAAQGELFLVELNRKWADHNKALQMIRDILMYMDRTFIPSTHKTPVHELGLNLWRDVVIHSSKTQTRLRDTLLELVHMERSGEVINRGLMRNIIKMLMDLGSSVYQEDFEKHFLDVSADFYRLESQQFIESCDCGDYLKKAERRLNEEIERVSHYLDARSEPKITSVVEKEMIESHMQRLVHMENSGLVNMFVDDKYEDLGRMYNLFRRVPNGLSIVRDVMTSYIRDTGKQLVTDPDRIKDPVDYVQRLLDLKDKYDKVISLAFNNDKTFQNALNSSFEYFINLNARSPEFISLFVDDKLRRGLRGVSEEDIEIVLDKVMMLFRYLQEKDVFEKYYKQHLAKRLLSGKTVSDDAERSLIVKLKTECGYQFTSKLEGMFTDMKTSQDTMQGFYARFGAELGEGPTLVVQVLTTGSWPTQASTTCNLPPEILGICEKFKSYYLGTHTGRRLSWQTNMGSADLKATFGKGQKHELNVSTYQMCVLMLFNNADRLSYRDIEQATEIPAVDLKRCLQSLACVKGRNVLRKEPMSKDIAEDDAFFFNDKFTSKLYKVKIGTVVAQRETEPENQETRQRVEEDRKPQIEAAIVRIMKARRVLDHNNIVTEVTKQLQSRFLPNPVVIKKRIESLIEREFLERDKEDRKLYRYLA >KGN55785 pep chromosome:ASM407v2:3:1310518:1313235:-1 gene:Csa_3G011860 transcript:KGN55785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKTHLLGRASIIVCTSEVCRQVLCDEEKFKPSLPRSITLLSGRKSLMQVFKAEHRRLRRLTTAPISGHSALEMYINHIEQTVISGLEEWASMKRPIELLTEIKKLTFKIIWNIFMGSSSIDSSIGEIEALFAKITLGFISLPINLPGFSFYKSLKARKELLTILQSIINQKRMAKKREGEGWEAKDMMDLLIELKDEDGEELDDETIRDLIFGKLFAGHETTAYTAMWAVLFLTNHPHILQKAKEEQEDIIKRRPTTQKGLILSEVKQMKFLYQVIDETLRAACITFMVFREAVVDVEINVLFNFVATPGVFTPFGLGSRYCPGSDLAKLEISIFLHYFLLNYKVEQLDPKCQKTCLPFPHPKDKGLARVHKLE >KGN58015 pep chromosome:ASM407v2:3:20442693:20446930:1 gene:Csa_3G434960 transcript:KGN58015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTITLLVENPEFGVAAEEKGFNQESELVLDGGFLVPYANSFGHGFRDYDAESERQEGVERFYKLNHINQTYDFVKKMRKEYGQLNRVEMSIWECCELLNDVVDESDPDLDEPQIEHLLQTAEAIRKDYPNEDWLHLTALIHDLGKVLLLPSFGGLPQWAVVGDTYPLGCAFDKSIVHHKYFMENPDYYNPAYNTKYGVYSPNCGLDNVMMSWGHDDYMYLVAKENNTILPSAALFVIRYHSFYSLHRSDAYKHLMNEEDVENLKWLRIFNKYDLYSKSKVRVNVEKVKPYYLSLIEKYFPAKLQW >KGN57858 pep chromosome:ASM407v2:3:18255267:18257645:-1 gene:Csa_3G354520 transcript:KGN57858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDTFADLFQQNDEFVDHTLNPHFEGDDLFSIFESLENVAEFTMIEDDIEPVKDGEETTSLVFSETELETSPKTKRLKTIAATSPTAAMTSTGLSSSEDPNPDGPQRMSHITVERNRRKQMNEHLTVLRSLMPCFYVKKGDQASIIGGVVEYIKELQQVLQSLEAKKQRKVVYSEVLSPRVVSSPRPNLAMSPRKPPLSPRLNLPISPRTPQPTSPYSKPPPRLQQPPSAATGGGAYNIPSSSSSTTNMANNNSLEPSPCNSCSTTNSSIDNNNNNNNSNSNSNNNNDLVANSKSAIAEVEVKFTGPNVVLKTVSPPIPGQAFKIISALEQLSLEILHVKITTLDETMFNSFTIKIGIECQLSAEELAQQIQQTFC >KGN60114 pep chromosome:ASM407v2:3:36937064:36938026:-1 gene:Csa_3G878830 transcript:KGN60114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVASFQSSSSMRSMSVATAHKTITECMAGARSDAHEVQEKALQNLVTITQVSPLHRNLLVQVDGSISTLIGLSKSSSSTIQSLSLSILFNLSLNHDMKKLLASMETIYHLNTLISLGSPDTVKLSSSLICSLAMLDKNKAKFGVAGTIQLLVRALKVPNIPAAHHLLCSLAELGQFHGNCTVAVRSGAIQVLISVVESTSGEDLAGTALVVLGLLARFEEGLRALIKTDRIVISMVNVLKGRCMLSKEGATEILLRLFDESEGCLSDASKLPEFFGVIADLSVRGSAKARERASLLMNKIMNSDFDSYSNSDSVYSQWL >KGN56001 pep chromosome:ASM407v2:3:3178632:3180037:1 gene:Csa_3G045150 transcript:KGN56001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSNEASTSMDVETISTQTKDVVSTLPPKPQFDPLKPHEMNDGRVQFRKVSVPPHRYSPLKKAWMDIYTPIYDQMKIDIRMNLKARKVELKTRADTPDISNLQKSADFVHAFMLGFDVIDAIALLRVDELYVESFEIKDVKTLRGEHLSRAIGRLSGKAGKTKFAIENATKTRIVIADTKIHILGSFANIKIARDSLCSLILGSPAGKVYSKLRAVTARLAERF >KGN59277 pep chromosome:ASM407v2:3:30742924:30743340:1 gene:Csa_3G799370 transcript:KGN59277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFKSPATFSTVVVVVVVFFRIFACNNSFARFGFLNGRSRDGSSVSTSKFYPEKPNALFFRCRTDEGKLRERLDVHEIMSLSFTGTLVHESGIWWCWGRGVFEKPMPPTEERINNNRLITAEEEEEEIRKGREYSDKE >KGN60202 pep chromosome:ASM407v2:3:37632670:37633317:-1 gene:Csa_3G889100 transcript:KGN60202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTTNLSLDCCVRSIPTTADKQLVEIRLRRFSKLVSPFSNQNIQQTFPTSISNALFHFPLPELELENPSLFHDFLLQFLSPADSAAIFHNISSFALQLAAGNLNLNFHLIATVDLLYTISINLNLNPPQYSPPVRNGVPASVMERLMNEKYDDGNGGEGMGGQCCVCYEDLNCEREEEKEEATRIPCGHVYHKSCILKWLNVNNSCPLCRSEFR >KGN58188 pep chromosome:ASM407v2:3:22529628:22534079:-1 gene:Csa_3G588470 transcript:KGN58188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFRGFSVVMTVVLTVVLGACCGGGHGVVIGSTDELGICASAVTGYGYKCQEIQVTTKDGYILSVQRISEGRRGNGGKSKKQPIIIQHGVLVDGVTWLLNSPEQNLPMILADNGYDVWIANTRGTRFSRRHTTLNPTDQAFWNWSWDELVLYDLPAVFDHVSQQTSQKIHYVGHSLGTLIVLASLSEGKLVSQLQSVAFLSPIAYLSHMTTPIGVLAARSLLPEVTALLGIAEFNPKGKEVGDLLKSLCAHPGVNCYDLLSAFTGVNCCLNSSTVELFLKNEPQSTSTKNMVHLAQTVRSGVLAKYNYGNINYNLMHYGEINPPLYNLSSIPHDLAIFISYGGQDALSDVKDVDLLLDHFKLHDVDKLTVHFIQNYAHADYIMGVDANNIVYNPLISFFKKHGSV >KGN56584 pep chromosome:ASM407v2:3:7608534:7615851:1 gene:Csa_3G125020 transcript:KGN56584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQDSQPKSSTDPPKSIELPKDATDSPSNSSLPPPPPPPPPPPPPPAPPPALNSADETLPKKPLTTREFVLAIAANLASVPLQIIDSKVWGVLTGISPNACKRQQGRHILLTDDEHCLGRLISDSRYQIDSNSVSAKHCVIYRKSTDDGSCPSVFLKDTSTNGTYINWQRLKKNSQEAKLCHGDIISLAAVPQHEVAFTFVYREVAAVTSSSGGGSAKRKADEDTMKVGFVAENKKLRGLGIGAPDGPISLDDFRSLQRSNKELRKQLEDHVTLIDSLRNENRASVEHHECEVKKLKESISKSYEDQTIKLQQLIDEKQKELGEVQRLSSEQKHLIEDLQERLSATTQSCNEANEIINSQKASLSELKVQIDEVCDQRREEREKAAADLKAAVQKAHAEAQDELKRHADATSRREREQQEVINKLREDEKDRCLLVEALRFKLEGTRQKLVMSDNKVRQLESQLGEEQLSCTNERKKVEELERGIKELQKEFESEKQGAREEAWSKVSSLELEINAAIRDLDFERRRLKGARERIMLRETQLRAFYSTTEEISALFAKQQEQLKAMQRTLEDEDHYENTSFDFDLNVSPEPANGNLLGENARMNYCNKSAKTSSAMSAQRFEPVQGETSTDEASTERHDCDFRSQECQNTQEAEFTSADASVKGGGFGSDIDGIGTAPVLEEDIVGTERVLETESPGVDVDRTMDLNKGMTLAGETMCSDGEGCAGKMDEQDKMVDREAYCHSQTNQTCDAVDAIEDTEAGGTVRTDDLLASEVAGSWASSTDPSIHGENETQRSSKGDEEEGGGALHDSNSPVTGSQSTLFKPVATRWNSEHQTLSEMIRIVSPESKQFFPSTKDRPEGEENIASGSETENCSDNDDDAHDNNETNAEEARVSDSETQGVDVIEPKLDDPMDEDDEETQEDSVG >KGN57272 pep chromosome:ASM407v2:3:11899032:11901398:-1 gene:Csa_3G175720 transcript:KGN57272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTIQVLDFDYSNCCYFQIANLSPLLPLYYIIKHKRSILASSNIEMAGLSMGTSFGVFIFLHFFLLSSSSAFSKPASNGIDWWCKKTTYPETCKYFFNHGTKSPPKDMTDFKKMVIQFAMERALSAESHTKGVGSKCRNGKEKAAWADCLKLYQNTILQLNQTLDSSTKSTEFDIQTWLSTALTNLETCRTGFAELNVSDYILPLIMSDNVTELISNSLAINNASAGVGNGKETYKKGFPSWLSGGDRRLLQSSSTKVDLVVAQDGSGNYTTVGAALEEAAKRKTSGRFVIQVKRGVYRENLEIGSKMKNIMLIGDGMRFTFITGNRSVGGGSTTFNSATVAVTGEGFIARGITFRNTAGPENHQAVALRSGADLSVFYRCAFEGYQDTLYVHSQRQFYKECYIYGTVDFIFGNAAVVLQNCMIYARKPMNGQKNAVTAQGRTDPNQNTGISIHNSRVMATDDLKPVESTVKTYLGRPWKEYSRTVFMKTYIDSLVDPAGWLEWDGDFALNTLYYGEYNNIGPGSPISQRVKWKGYHVITNLTEASEFTVQNFIAGQSWLPDTEVPFTPGL >KGN59980 pep chromosome:ASM407v2:3:35947740:35948900:1 gene:Csa_3G859670 transcript:KGN59980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLMAGWDSPTTDPQEVSHRRNKSLTKEEIEAFWKTKKQVHEEHLRAILSPFETLEEKEKGNIGNNLQRSASMPPFNTRKGLRENMKSETNLEKPEKNPWWRRSNWAFLNEPPETEGSGNSYVSQFHVANMAASRLGRGGVSA >KGN56438 pep chromosome:ASM407v2:3:6843753:6845707:-1 gene:Csa_3G119702 transcript:KGN56438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGCYRVCVTGGSGYVAASLVKTLLQNGHIDHATLRNLDDESKVGILKSLPNATTNLVLFEADIYKPHQFEAAITGTHFVFHLATPMHHIQGSQFRNTTEASVTTTKMITKFCVESGTVRRLIYTASIVSMSPMKDDGSGFKEFFDESCWTPLNLSYPFSDSLILEYVESKTVTEKELLKFRESEESERLEVVSLACGLVVGESPHPSSALSTMSITPSPALSTYITFSQFIDESELFKYFRSLEELNGKVPLVHINDVCDAHIFCMEQSSIDGRFLCASSFLSSSDTANYYHLHHPQLKQKHGVSEEVPHRNINMNSNKLIERGFIYKYDGDMILEDAFRCCKNQIS >KGN59850 pep chromosome:ASM407v2:3:34946544:34950000:1 gene:Csa_3G850550 transcript:KGN59850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDRIENLATDSHIMKTGVLKDLDEANLFDVVVEEDPHVFMDEEEFSDDVSGDSADSVVDFNVSDLVWSRVPSHPWWPGQICDPATSSKKAMKYFKTGRYLIAFFGDHTFAWKEAAMVKPFEEYFSELQKQNKLESFHQAIDCALEEFSRRVQFSLACSCLSEELYLKLQTQTITNAGIRKKFSRSVGGDSFLTAASFDPMKLINIVKEVAMSPAGEVDKLELVRARAQLLAFNRWKGYYELPKFHKHNVVFNDIDHILDVKNDYQSELMEDIAIDIKHDEATPTGGGNLKFQDNSSGKRKDNSEDIKVTSIKGKNLSNSISEKPRRGRKKKPRSEDYAGNELSWHASITKYEVGCNDTTINIPITHVESGKTGQTFRVGDRIQKVACKLNESNSMLKHDDGISQNVVPKGRQGRKRKDTSELVSGGKTGNKATEANKRRNISPVEASDSEFIKDTYWTDRLIQGIAEDQLSFENQNETVDAVLTASERVVQNQIETGRVHNQNETVDAHVQTASERVVQSQNETVDAHVQTGTEMVVPTGLNSTDKDGPLESVEPESENGVEDPYPTALILTFTDLDSVPSEANLNDIFRKYGPLYESKTEVMKKSRRAKVVFKRTSDAETAFSNTGKCSIFGTALVSYRLKFLPPTKVSLRQTKRCRKDANLENKLLLDA >KGN58381 pep chromosome:ASM407v2:3:24639015:24639329:-1 gene:Csa_3G634330 transcript:KGN58381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISKLKRGVSLSFFVLVFFLLMFSMMNLLLKSSAGFFFMSIMNMQVVIAVDELGKINASSNPMDPSQKYIQLIAGDSHEFWFMGFISYNKAVKTLTNTLQQSRA >KGN59338 pep chromosome:ASM407v2:3:31161225:31167475:1 gene:Csa_3G812100 transcript:KGN59338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPAALVILPLGVLFFFSGLVVNLIQAICYVLVRPITKNGYRRMNRFVAELLWLELVWLIDWWAGVQIKVFTDRETLKLMGKEHALVVSNHRSDIDWLVGWILAQRSGCLGSTLAVMKKSSKFLPVLGWSMWFSEYLFLERSWAKDEITLKSGLLRLKDYPLPFWLALFVEGTRFTEAKLLAAKEYAIANGLPVPRNVLIPRTKGFVSAVGHMRSFVPAIYDVTVAIPKTSPTPTMLRLFKGQASVVHVHIKRHSMKELPQSEDAIAQWCRDMFVAKDALMDKHVAEDTFSDAELQELGRPIKSLLVAISWACLLILGSLKLLQGSTFLSSWKGLTFSATGLAIVTVLMQILIRFSQSERSTPAKVVPTKANTSGRPLDPRQQPKQK >KGN59526 pep chromosome:ASM407v2:3:32508105:32512940:-1 gene:Csa_3G824230 transcript:KGN59526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCYRLLAMKKRVDMSETLTLESIRFSLIRQEDSIIFGLLERAQYCYNGNTYDRDTFAMDGFHGSLVEYMVKETEKLHAKAGRYKSPDEHPFFPNELPQPLLPPLQYPRVLHPIADTININPKVWDMYFRDLIPRLVEDGDDGNCGSSAVCDTICLQALSKRIHYGKFVAEAKFQASPDAYKAAIRAQDKQKLMDMLTYPTVEETVKRRVEMKATVYGQEVTTDEEGELQAAYKIKPSVVADLYGDWIMPLTKEVQVQYLLRRLD >KGN58118 pep chromosome:ASM407v2:3:21499758:21512738:-1 gene:Csa_3G516570 transcript:KGN58118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLRLAIKRKLAQRSERVKSVDLHPTEPWILASLYSGTVCIWNYQSQTMVKSFEVTELPVRSAKFIPRKQWVVAGADDMFIRVYNYNTMDKIKVFEAHTDYIRCVAVHPNLPYVLSSSDDMLIKLWDWDKGWVCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWNLGSPDPNFTLDAHQKGVNCVDYFTGGDKPYLITGSDDHTAKVWDYQTKSCVQTLEGHTHNVSAVCFHPDLPIIITGSEDGTVRIWHSTTYRLENTLNYGLERVWAIGYMKSSRRVVIGYDEGTIMVKLGREVPIASMDNSGKIIWAKHNEIQTVNIKSVGADFEVTDGERLPLAVKEMGTCDLYPQNLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFVWSADGEYAVRESTSKIKIFSKNFQEKRSIRPTFSAEHIYGGTLLAMCSNDFICFYDWAECRLIRRIDVTVKNLYWADSGDLLAIASDTSFYILKYNRDAVSSYLDSGRPVDEQGVEDAFELLHEVNERARTGLWVGDCFIYNNSSWRLNYCVGGEVTTMFHLDRPMYLLGYLASQSRVYLIDKEFNVVGYTLLLSLIEYKTLVMRGDYERANEILPSIPKEHHNSVARFLEARGMTEEALEVATDLDYRFDLAIQLGRLEIAKEIAVEVQSESKWKQLGELAMSIGKLDMAEECLKYAVDYSGLLLLYSSLGDAQGISQLATLAKEQGKNNVAFLCLFMLGKLEDCLQLLVESNRIPEAALMARSYLPSKVSEIVAIWRKDLSKVNPKAAESLADPEEYPNLFDDWQVALSVESRAAQDRGVFNPAEEYSNLADKPYTTLVEAFRSMQTEGHLENGDIDHEDAEQNGEEEQEKHIEEPNGNESQEEGEGIVVDADSTDGAVLVNGSEADEEWGKNTPSA >KGN59401 pep chromosome:ASM407v2:3:31631649:31635168:1 gene:Csa_3G816140 transcript:KGN59401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGAVRLLVLDFALSFMWLWSGVLVKIFVFGILGFGNDLVSEVVKASFSILNMFFFAFLVKISNGSAYNPLTILSAAFSGDFSKFLFTVGARIPAQVIGAITAVRLIIHTFPEAGRGPRLAVGIHHGALTEGLLTFAIVSISLGLSRKIVGNFFMKTWISSLSKLTLHILGSDLTGGCMNPASVMGWAYARGEHITTEHILVYWIAPIQGTIAAVLTFKLIFRQPKQEKVNMKKKSE >KGN55901 pep chromosome:ASM407v2:3:2464482:2466849:-1 gene:Csa_3G034810 transcript:KGN55901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTIPHVPPGFRFHPTDEELIDYYLRKKISSKKIDLDVIKDVDLYKIEPWDLQELCRMGNEDQEDWYFFSHKDKKYPTSTRTNRATKEGFWKATGRDKAIYSRHGHGLIGMRKTLVFYRGRAPNGQKSDWIMHEYRLETTENGPSQEEGWVVCRVFKKKMTTIQKMGDYESPYWQDHDQISFMPDIDSPNQISHLCKHEVDDHHHLHFAMFDNASLQLPRRPELISDVSSSSYDLRANAPSSSQTFFHAFDSQSMDWRAMDRFVASQLSADRDDDHRREEEAIYSEDTKYTSE >KGN59938 pep chromosome:ASM407v2:3:35667168:35667421:1 gene:Csa_3G855320 transcript:KGN59938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTKWGLRDLVIGMRGSADLWYYCLRFPLVIGCLLLCKWRLTLDLDLYKSYEQAGSH >KGN57182 pep chromosome:ASM407v2:3:11194654:11197784:-1 gene:Csa_3G168930 transcript:KGN57182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTCSSLGISTMKPCRILIGFKSSSMFGTIASPKLKYKRIGRFSKLEPNGCKITGSVQVVDNLSRRCICFSNGYRLYKGSNDRNRCLIANVASDFRNQSTSSESYVKQKSFDTIYINGGFKVKPLEIESIETGHDIVKEDKKVSEVEGLGSLKGSNYSRVEREVSKIEKEAWDLLRNSVVFYCGHPVGTVAANDPADSQPLNYDQVFVRDFIPSALAFLLNGEEEIVKNFLLHTLQLQSWEKTVDCYSPGQGLMPASFKVRSQPLDGSDGAFEEVLDPDFGESAIGRVAPVDSGLWWIILVRAYGKITGDYTLQERVDVQTGIRLILNLCLTNGFDMFPTLLVSDGSCMIDRRMGIHGHPLEIQALFYSALRCSREMLIVNDSTKNLVVELNNRLSALSFHIREYYWVDKNKINEIYRYKTEEYSSDAVNKFNIYPEQIPSWLVDWIPEEGGYFMGNLQPAHMDFRFFTLGNLWSIVSSLGTPKQNEGILNLIEAKWDDLVANMPLKICFPAMEYEEWRIITGSDPKNTPWSYHNGGSWPTLLWQFTLACIKMGRPEVARNAIAVAEKKLSIDRWPEYYDMRSARLIGKQSRLFQTWTIAGFLTSKLLLENPEKASLLFWEEDYDILQNCICALSKGNGNKCSRHRQHPKP >KGN57587 pep chromosome:ASM407v2:3:14601460:14601675:1 gene:Csa_3G219220 transcript:KGN57587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLFLRSARQPPSFASVFPCSSDRVAHLSHLVSSSSREASVLIPYELTHHFPSRFSRAPSLLVEEPTSFLL >KGN59540 pep chromosome:ASM407v2:3:32580286:32581685:1 gene:Csa_3G824850 transcript:KGN59540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSPYCDSSGLKKGPWTPEEDHKLLAYIHQHGHGSWRALPSKAGLERCGKSCRLRWTNYLRPDIKRGKFSLQEEQAIEQLHALLGNRWSAIATHLPKRTDNEIKNYWNTHLKKKLIKMGIDPITHKPTKNNNEEMKVKNNINNSTLSHMAQWETARLEAEERLARQHKIQPIPSSSGGVESPTTSSTATGNCQHLAGERGVADDLPSSGSGSAGESKNYGWPDTILGEFLRF >KGN58187 pep chromosome:ASM407v2:3:22524008:22528976:-1 gene:Csa_3G588460 transcript:KGN58187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHCHFTPRPITPFVTCQLSTSSLRIPSPSQPTPLPPPLLSLAAKSIPDSVSSPACSLQCTHFQSCSGCTHEFDLHRPVIVEEATQFFNDLGVLDFTFDSCKLWGWRCRAKLAVRGSSISPMIGLYQEGTHNVVDIPDCKAHHPNINAAVELLKRGITEFDIEPYDEDQGTGDLRYVQLAVTTYSTSLPSSERYKNGKIQIALVWNSRNETSQNSDKLNALANFLWKNGGVRSNTHLVHSVWANFQTSTNNVIFGNRWRHLLGERDFWEHVGGIDICLTPSSFGQANTQAFDSLLRKLQKYVPYGASVADLYAGAGVIGLSLAATRKCRSVKCVEVNKESKLSFEKTVERLPDRVNSSISWHHADTSKEPLSWIMGSDVVVVDPPRKGLDASLANMLRDISSFKRKVKLLSKSPPLMDDKKDEKRPWVLRAREETVQIGSTTDSEPNQSLPQTLIYISCGWESFKEDCKMLLSNKAWHLEKAHGFNFFPGTQSIEVLAIFKRGQGVPQKKKKVVKKKKKRL >KGN56517 pep chromosome:ASM407v2:3:7262260:7262816:-1 gene:Csa_3G122410 transcript:KGN56517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRNFATLGPLSSGHLLPGPSSTGQIRPVGHCNLFFHVNAVIVSDWKTDGCKEICQVQG >KGN58659 pep chromosome:ASM407v2:3:26990500:26993793:1 gene:Csa_3G710860 transcript:KGN58659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIFAVIGVLLPFPYYYVLWNRPQLWVNLCGKSREPCKLMAQVSVFLKLLQFLSIIHVSSFSWPPPLYFWPLMAFGQFLNFRVYQLLGESGVYYGVRFGKNIPWVTEFPFGYIKDPQYVGSILSLFACLSWNNHEHRHPINGSICDQWQLVQASIILVMSMIKALEILNVIPV >KGN59199 pep chromosome:ASM407v2:3:30179398:30180039:1 gene:Csa_3G780520 transcript:KGN59199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQNLRVELVPPSDEASDLPSNLAVNFDQEHQCSGVPVLIQLRTDEGRDRHGGAGDERGPYVDVLMALVETRDDGPESDLLVSVDGVDVEFVVVDSDSAVWVAGGNSEEEIGGEEAGDGGVEGVDGDVLKEESGFGGTEDGPDDEDGEEDEEDEDADDCAD >KGN56460 pep chromosome:ASM407v2:3:6956459:6957727:1 gene:Csa_3G120390 transcript:KGN56460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSHYPLMGRVFSGGIKFRETRTVTHKPAAETKLVRISYTDADATDSSSGEDEEPILVRHVKRHVTEIRLLTTDCSKKAPPKSRANPPRNSESHRKFRGVRRRPWGKWAAEIRDPLRRTRVWLGTYDTAEEAAVVYDQAAVRLRGPSALTNFVAETPHSETTLVTYLTPPPPENQSAAAAVSETKESRSICSPTSVLRLEDENWRAIDHYLSEESGLEDEFNWLYDRNSNSFLFNLINPQPIFSDQLEITIPKWEDFGDISVDFDSCKWDVENYFQDPNFSIA >KGN58083 pep chromosome:ASM407v2:3:21184425:21184721:1 gene:Csa_3G496870 transcript:KGN58083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIDVEDDNYFMVALFLLSFYFLLMGFQNWLWLFKELKFSINKNSSISTQLGPMLCYSSLIKIPLSLGESLVWTSLTHYVIGFTPQPIRLVFMNSLVL >KGN58383 pep chromosome:ASM407v2:3:24651770:24653503:-1 gene:Csa_3G634350 transcript:KGN58383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLHRLIGSNDQRQQPPSSSDSGSEHLAEECLSEMVVDFLEDYDGCRRSWREDDFTDGEAMDVMAGAEADYTEAVGEIRRMVSVNGGNVEGYREVLVDNVLEAAELAAGSSSDGVVSRRRLMLILRELHYNAAICKTKWGSSSGVAAGDYEFVDIIVNGNIRYLIDTNFRGQFEIARATVQYKELLKSLPLIFIGTIEELKKMVRIMCDAAKVSLNHRNLLVPPWRKRIYMKNKWLGPYRRTINSVCDKSPTTILDNSTSWPVKCRWIGFDMTNVETNVNASVCVRSIKAG >KGN57315 pep chromosome:ASM407v2:3:12155244:12157708:1 gene:Csa_3G178560 transcript:KGN57315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSRIISSPSPSPSSLQTHHHPLSSHILPPIVAASTAAFSLFLFLIILFRKLTRKRTAPADSKPPHRFSYSLLRRATDSFSPSRRLGQGGFGSVYYGTLPQTHKEIAVKLMDSGSLQGEREFQNELFFASKIDSSFVVSVLGFCSDQKRRRMLLVYELLHNGNLQDALLHRKCPELMEWKKRFSVAVDIAKGLEHLHGLDPPVIHGDIKPSNVLLDHCFSAKIGDFGLSRLKLENSPFEVEVKVKGGVEEEKKERKEEHESNRGCVVEDCGSVAEEAESVTTGFEEFNVGVDQSPESFLRIPVSETSPETVDVTSPETALGVAAMASPSEGAFDRASFENGKEPNSVEKKSIKNSISGKDWWWKQENGVGTSGNVKEYVMEWIGSEIKRERPKSEWIAASSSGRSVKKSEKKKNKKRLEWWMAMDDEKSAKNLKKEKRRPVREWWKEEYCEELAKKRKKKKPQKGAGSCDGKEPDFWPVDDEMYRDKKKRNRSRSHGSRGSIDWWLDGLSGELWKTRGTSHDSTGGDFPKSGGISSTPSMRGTMCYIAPEYGGGGDLSEKSDVYSYGVLLLVLIAGRRPLQVTNSPLSEFQRANLLSWARHLARAGKLIDLVDQSIQSLDRDQALLCIKVALLCLQKLPARRPSMKEVVGMLTGGLEPPQLPTELSPSPPSRFPVKSHRKHR >KGN59882 pep chromosome:ASM407v2:3:35209832:35212244:1 gene:Csa_3G851820 transcript:KGN59882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSESKSNSNGNGNGNWGMFEEEEVEKMGGFVKGSDYIEVHCGCTSKKYGDFCGKLRVSSSGHFLIFCFCSDSCNAGKMTPEEFEKHSQREGIKKWKSNIWVSLDGLKVPLWRTCLLKYYKHSQNEANWTSGALRRRNFHRDEFIRCSHCNKERRFRLRTRDQCQIYHNAITNQRWKCADRPYDIITCEDDEERESRKSSRGCPRSSKCRGCTSCVCFGCLRCRFLDCHCRACTDYVQNTAP >KGN59898 pep chromosome:ASM407v2:3:35369160:35370708:-1 gene:Csa_3G852470 transcript:KGN59898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVAEKDPLSELSLPPGFRFYPTDEELLVQYLCRKVAGHNFSLQIIGDIDLYKFDPWVLPSKAMFGEKEWYFFSPRDRKYPNGSRPNRVAGSGYWKATGTDKIISTQGKKVGIKKALVFYVGKAPKGTKTNWIMHEYRLIDTSRKTGSTKLDDWVLCRIYKKNSSAQKLPRMTSSISSMECSNGGSSSTCSSSHLDDVLESLPEIKDGFFRLPRVNSLLTLQQDHENLKFQNHLMGSTNFEWASTAAATSFEGYNSVAELAPLAQSQAPSGLISSDMYIPAGQPPRSTVEQEVQSGFQRFHNFGWLQQNLSNSGDGFGF >KGN57463 pep chromosome:ASM407v2:3:13280182:13281543:-1 gene:Csa_3G188350 transcript:KGN57463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLILFSLSLFTLSFSQSNSLSLPFPLSLSEKPSNTIPSYSSQLYAKRPSSYGSFKLPFKYSSTALVVSLPIGTPPQPTDLVLDTGSQLSWIQCHDKKVKKRLPPLPKPKTASFDPSLSSSFSLLPCNHPICKPRIPDFTLPTSCDQNRLCHYSYFYADGTLAEGNLVREKFTFSKSLSTPPVILGCAQASTENRAAFKPDAGGSGQTMIDSGSDLTYLVDEAYEKVKEEVVRLVGAMMKKGYVYADVADMCFDAGVTAEVGRRIGGISFEFDNGVEIFVGRGEGVLTEVEKGVKCVGIGRSERLGIGSNIIGTVHQQNMWVEYDLANKRVGFGGAECSRLK >KGN58802 pep chromosome:ASM407v2:3:27772959:27775902:-1 gene:Csa_3G732590 transcript:KGN58802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQIRLSKVPLAESGGGAVKPLPAETVTVACPDHLVLADLPVAKGIGAATAASIVKSVGRRSRRQLGERVHFCVRCDFPIAIYGRLSPCEHAFCLDCARSDSLCYLCDDRIQKIQTIKLMEGIFICAAPHCLKSFLKRSEFESHIHENHADLLKPNADKEDGNEIEANSAKQSTASESTVRGPLRPLISPGSNSQPQERDEKFHRQQSRDQPRSGMQQKQTPSFGQNQNNTSESQQDSGHSQGFDRHGPHGRFPPQNFDAQGAPHQDSSQFPEKQQGILSDTPYSQYPPLQPIPPPNYVVPANSNPMLTPPLPFGYPPFPIEGAQQYYNTPYEVSRQDTAAETGSEQGSLLGFPPGAAGGMNFSATYPQSWNTAQAGIPYEHAGGGQGDYRRSPGRMPVNSSAGNAMDIRDGKGILAPQPLIQLPPPPPPPPYMSHNKRGKFYSGDMDHDGQSLGWQNDSHSRDSFGSGQD >KGN57971 pep chromosome:ASM407v2:3:19796316:19806103:1 gene:Csa_3G416640 transcript:KGN57971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMAFSSSSQFFSSFIPRTILLVSVVALLLSSQPHALKSPFSPLDLLPLLPRQVSWPVLNYLNNAADLLPTFVGAVTSPDNSIQWQGACFYKNTAWIEFHNKSGSEFGGGTLHIKASDAHSWTCMDLYIFATPYRITWDYYFLSREHTLEIEEWEGKEEFEYVKRAGVSIFLLQAGVLKTLQALIDVLPLFATSDWGEQSNIKFLENHMGATFEERHHPWTTTVDVDDIHSGDFLALSKIRGPWGAFETLQKWVTGSYAGHSAVFLRDSEGELWVAESGRGTGVEDDIIDILPWDKWWDYTLNNDSSNPHVAFLPLHPDLRAKFNETAAWEYVRSMVGKPYGYHNLIFSWIDTTHGNYPPPLDAHLVASVMTIWTRVRPTLAANLWNEALNIRLGTKGLDLPEILVEVEKQGSSFGELLAIPEQDDWVYADGKSASCVAFILEMYKEAGLFGPLASSIQVTEFTIKDAYSLNFYENNSSRLPKWCHGRDDVKLPYCQILGKYRMDLQGYNSIDLYKHMNEKCPSLPTEYFRPKNC >KGN57767 pep chromosome:ASM407v2:3:16771287:16777099:-1 gene:Csa_3G284030 transcript:KGN57767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTAIKVSSSPVVTKKVKVSSHRQRNSYGKPWSFTLSRRPAVLCFSTGTDHRETEECFRPYDSSAGLRCAQTGDDEDDQPTFCKRTIKSNPGIVEACRFAYNDAKFVNERARNDIVLLSRGIMRLNARARQDVAILGSEFLKLDARAREDTETIDRNVKRKAERLRRIATTLREKAQSSLKNAADEHWSDGALEADLRLADFRAKQRAMEDALMALEFVKNIHDMMVRKMYNFPQSNQSGFPIANDMMDRIKLKKNGKSLDFLTGELSTDRISAIQEIYWSMASALSEADGIDYTDPEELELLIRTLMDLDAMDGKSSVSLLAECSSSPDENTRQALANALAAAPSMWTLGNAGMGALQRLAEDNNPAIAAAASNAIKELKKQWEIGEGDSWSFTVNQKATMEGSEADDDEGNADPS >KGN58740 pep chromosome:ASM407v2:3:27462694:27465421:-1 gene:Csa_3G731020 transcript:KGN58740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGNFVHRVFSYLVNEVLVNSLANSRSFQRFAVRTSKQIEDISNKAAQKKQELAEQVKDFSKNFDSFKNH >KGN55773 pep chromosome:ASM407v2:3:1241295:1242372:1 gene:Csa_3G011750 transcript:KGN55773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNPNQAHQDEDDDSWEIRAFAEDTGNIMGTTWPPRFYNCTFCGREFRSAQALGGHMNVHRRDRVRFHHQIQPNSIQPISPSFTIPTPKLIYNEIDEVCFLYQLPNDNINFLNSITSSDSCLQSSFTAQHPSSTRTASSLQSLKSPGELRGGTSSSSSHCSHISSKGDDSLISINDGNEKVDLELRLGHRASPT >KGN58879 pep chromosome:ASM407v2:3:28127595:28130371:-1 gene:Csa_3G734320 transcript:KGN58879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKNHIPYYPDRKWLMPLCVFCLLFLIFLLIVTSEYPKSSSDADFSHSASRFVLEPNANEILGLGLPPLPRFAYLISGTKGDGGSMRRLLQAAYHPRNYYLLHLDLEASDSERLELAKYVKSESVFREFRNVMVVGKANLITDKGPTMIASTLQAIAILLKRAKDWDWFINLSASDYPLLPQDDLLHVFSFLPRDLNFVDHSSNLGWKEDMGARTIIIDPALYHTKKSGVFWAKERRSIPSSFKLFTGSSWVVLTKPFLEFCIWGWDNLPRTLLMYYTNFLSSPEGYFHTIICNHKDYQNTTVNQDLHYMKWDNPPNQHPMNLTSEHFIDMVQSGLPFARSFAENSSVLNRIDEELLKRSKGQFTPGGWCLKSSVSEKGPCMAYGSPHAVKPTSNSKRLEKLLMKLLDHENFRPRQCR >KGN59168 pep chromosome:ASM407v2:3:30003151:30004533:1 gene:Csa_3G778250 transcript:KGN59168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYEVTKKEEGIMSGKKKILKEKVDVITGGKAGSANALQNSLLTIAAIQDDLGHASYATVLGWTNSFYVHCDVTDESQVQDAVEAAVKTFGKLDIMMNNAGIAGPIKPGIIDNDVHDFERVLSVKVTSVFFGIKHAVQAMIPAKTSLIISTGNVASNMGGAASHAYTCSKHAVVGLMKNAAGELGQFGIRVNCLSSYGLMTGMVRKLDEMQVEMIIPTSK >KGN56415 pep chromosome:ASM407v2:3:6719700:6721005:-1 gene:Csa_3G119480 transcript:KGN56415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKLYGTKPIATPNSSQYITERERERERREKMGSEAKLRRDYEVPKLPLLAITAMESPDRSGMLTPPMYSSVSVPFRWEEEPGKPRFCFNSLNIPTQTQTQTYSLELPPRLLLMDPKISKLSPPIPSQKGFFQFHKHCCSSFRFDKTQLGAMVLRKRGVLIEKEWFCWLGKLSFRSKGEVGSDYGAVFPSSLDKEKSSSRMKVAVNQKGGSFSSCFVQAKTEFWELQGNIGEGFKQINIPWKSKRA >KGN59992 pep chromosome:ASM407v2:3:36050066:36050309:1 gene:Csa_3G860280 transcript:KGN59992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALHESKLKLDYLEFSWQENDPFPTVEVVEKCIVGKDTSKVQNLDGLDKT >KGN55857 pep chromosome:ASM407v2:3:2005837:2008340:1 gene:Csa_3G019970 transcript:KGN55857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDFAIMSGLVGVQFVYAGNSVFMSFLMSLGIDPLTLVIFSTFSTFLIVSPVAVYFERYNWPKKLSLKLILQLVLISFGGVTLFQSLLLKGIQLTSPTLATAMPNLAPGLIFAIAWIFRLEKVQLSCIYSKIKILGTLLCVIGAFTMSIMHSAPSDVAGKNSIPQQSPLSEQLFDEQKILGCAYLFVAIIILSCIVVLQAATLGDFPAPMSLCAITSLIGVLLTIGVQIIQYHRIDIAWPLLIGVKELAAYVILGGTISGACVSFNGWAMKKRGPVLVSMFSPIGTVCSLVLSVITLGESINIGSLAGMFMMFTGLYFVLWAKGKERYVNRNHLEKS >KGN57059 pep chromosome:ASM407v2:3:10327133:10333272:1 gene:Csa_3G151360 transcript:KGN57059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVIGLLHLSFRVRHANNPLWPGTGAGVEVTALAGVVEPHHAVGRGMTTTLETGTAKAGLIGTVALLLLLVYSCEISRLMLGRKILGSLLSDLVLLRTCICRRTTTLVVEATEDVLQQGLRDGEIVLTRAHLHLPGTTQGAVGQGMSIYLLHDQDQFHILVPLVIGGITADLLLRRIMVVALVKWTMHGVDQGVQRVIVVVLLDLVHDPIVLADRVLELCGDSAAVSRELLSLPSVVAR >KGN58164 pep chromosome:ASM407v2:3:22244286:22247007:1 gene:Csa_3G567330 transcript:KGN58164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENYQMFFPCSDGGGGLSAYHHADMSSGGASDMFGNFQGGDMEAVSGFLGMKREVDGGAVEAEGGGKKKGEKKVRKPRYAFQTRSQVDILDDGYRWRKYGQKAVKNNKFPRSYYRCTHQGCNVKKQVQRLTRDEGVVVTTYEGMHTHSIDKPTDNFEQILSRMQIYSTPF >KGN58039 pep chromosome:ASM407v2:3:20722387:20722816:1 gene:Csa_3G455130 transcript:KGN58039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRDIQEAAAKAAAMVDFDSETVSFSNGEEGSDELAEIVELPNIEEDIRAESWNEFEFIDSVDWWGNPPFTAAEMDFCAVFSDQSTAPEILVNSLLHFM >KGN58977 pep chromosome:ASM407v2:3:28657724:28658201:-1 gene:Csa_3G740200 transcript:KGN58977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGNNMKLKEQMSNSRKYRGSEFGQKASTASLGRVFINENSMVKTIGKIRFFQLLFRFKQDDAKEIKTTVTKEPDLQRVKCPSEAHFHSSLTHLIILIWH >KGN58648 pep chromosome:ASM407v2:3:26868443:26872418:1 gene:Csa_3G710760 transcript:KGN58648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQEEECKAVKDDVMREEGKLTGHFHSSIILQLDMVFFRAVFLLLFQYLVLNSGTPVIIGHHYPPHKSLLTDKAALLAFRKCIIHDPTSTLANWIEAVDVCNFTGVACDRHRHRVSKLSLVDVGLVGKIPPFLSNLTGLRILDIVNNNFFGEIPPELFSLRNLHRLRLDSNSLEGPIPTSLASLSKLTVISLMENKLNGTVPPSLFSNCTSLLNVDLSNNFLIGRIPEEIGNCPKLWNLNLYNNQFSGELPLSLTNTSLYNLDVEYNHLSGELPAVLVENLPALSFLHLSNNDMVSHDGNTNLEPFITSLRNCSSLEELELAGMGLGGWLPDSIGHLGVNFSVLSLQENQIFGSIPPSLAKLSKLAGLNLTSNLLNGTIPAEISRLSKLEQLFLSHNLFTSNIPEALGELPHIGLLDLSHNQLSGEIPESIGCLTQMIYLFLNNNLLTGTIPLALVKCTGLQKLDLSFNMLSGSIPREILGLQEIRIFINLSHNNFQGNLPIELSKLKNVQEMDLSSNNLTGTIFPQISSCIALRLINFSNNSLQGHLPDSLGELENLESFDISENQLSGPIPVSLGKLQSLTYLNLSSNNFQGMIPREGFFKSSTPLSFLNNPLLCGTIPGIQACPGKRNRFQSPVFLTIFILIICLSSFLTTICCGIACRRLKAIISARNSESSRRSKMPDFMHNFPRITSRQLSEATGGFDVQRLIGSGSYGQVYKGILPDGTTVAIKVLHTQSGNSTKSFNRECEVLKRIRHRNLIRIITACSLPDFKAIVLPYMANGSLDNHLYPHSPTSSTSGSSDLNLIERVNICSDIAEGMAYLHHHSPVRVIHCDLKPSNVLLKDDMTALVSDFGISRLMTPGIGSSATVENMGKSTANMLSGSIGYIAPGTILCF >KGN59778 pep chromosome:ASM407v2:3:34210486:34215794:1 gene:Csa_3G845450 transcript:KGN59778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWRGKLSKNLKELRILLCQSSPSSAPARAFVEKNYKDLKTLNPKFPILIRECSGIEPQLWARYDMGIERVARLEGLSEAQISKALEDLVKVGAS >KGN57620 pep chromosome:ASM407v2:3:15066407:15067597:1 gene:Csa_3G232430 transcript:KGN57620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLWYFGSIHLILLLSMPKTVAHLEFKVSVRDIKMRCIEKERVALLSFKQKVVDNYDILSSWDTDVNSDCCNWRGVKCSNINTTTHQHIIGLDLHGSYNYEWYLMGEVSSSLTQLSHLNYLDLSLNWFGRVVLEDIASLIDLDYLNLSYNAFATLIPPDLGNLSKLYVLDLRSNYWLNHNFEWLISSSFSSLKYLDLRLVNFYGAYDWAISISKLPLLQRGLLFDFLKAFPPCMLHSFESLYLGANNMSGFLPNFIMLPSLKELDLSHNILSETIPQNELLM >KGN58174 pep chromosome:ASM407v2:3:22430961:22434415:1 gene:Csa_3G585860 transcript:KGN58174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTIGGQFGDGVSLKTQKILPLYSSVSPVARLHIKPPSFSLTNSGSLNLGLLTLRDLHSFIDITIKCCNMDGSYSFWQLGDELRGQTKVSEDHKWLWAASKLAEQTRSKGERMNNLDFSKSTLDARPREKFGFQEDNKFESFNFNMLSLDSKMTDPVNKSSLRNGIYNMNAVYVKNNTNVAGNLPGAKFSGNDYINKDLTNYSSTNNNVGENANSINAIDKRFKTLPATETLPRNEVLGGYIFVCNNDTMQEDLKRQLFGLPPRYRDSVRAITPGLPLFLYNYTTHQLHGIFEAASFGGSNIDPTAWEDKKCKGESRFPAQVRIRIRKLCRALEEDSFRPVLHHYDGPKFRLELSIPETLDLLDLCEQAGSAS >KGN56981 pep chromosome:ASM407v2:3:9882084:9884080:1 gene:Csa_3G146660 transcript:KGN56981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQEQPRRDEQLQQSRRNDDEQLETIKYGDIFNVSGDLAANPIAPEDARMMASAETRVLGQMHETGPADVMRAAAARNVRVGLLSSRDISDVAKSQGINISETDVPGARVVTECVAGQVVGQYLDTTMTSGVEMPEQDVITIGQALEAACQMIGNKPVEQSDAAAIQAAEVCATGNNAINPGGLGATAQAAAIFNARMDRDEDKIKLNYVLTEATEKLATDKAVSRQDVEGVVSAELRNNPSMTTHPGGVAASITAAARLNEGNAEGVVSADLRNNPSLTTHPDGLAASIIATADLNEDGSGI >KGN56919 pep chromosome:ASM407v2:3:9509704:9513418:-1 gene:Csa_3G143600 transcript:KGN56919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHGGYGKRRVNLAARRSKGLTVEKKPKSVSLKNQIRSAERMLRKNLPAEVRDAQEKKLEGLRKQQEIHSRLAVERKIFLRDRKIKFFERRKIERRIRRLEKLQRAPSGQFQDSDIADQLSKLKEDLEYVRFFPKTEKYVALFTGSDDSDMVDRRKKLREQIKANLIAAAASGKDLEETGSEDDGLLDMSEDDFFLCGSSSDEADADDEWTDKSTREQASSTSGKAASGMSSDEKNHQRQISARALMPPPRPTKSFSSSAQAWTKAGSSVRKSSTNHRAEFSTSSNTSGGRSGSFKARGSSNSSHTGQSSNISSNSDAHKPRRKRRPKKKKQQV >KGN58611 pep chromosome:ASM407v2:3:26559241:26560917:-1 gene:Csa_3G698520 transcript:KGN58611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVHNVFVIVQEHGVSKHEMLMPCRPSVAPFRPIPPTVACCNAIKTLGQPCLCVLVNGPPITGVDRELAMLLPQKCTANFDPCEMS >KGN57627 pep chromosome:ASM407v2:3:15123840:15130085:-1 gene:Csa_3G233480 transcript:KGN57627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMASAMALNPLKLASTSQACLEKKAANDTKALLSDLSRQFYTLRCVSGTGSSIAIKVHDHSIPRRQQLIATSLSGVKKRRMVREDTYMLSYGGSILYSPPPKPFSHKLPNCFDSRPLFMKMRNAGGVIHRHSKESYLVTLRNHHQGRIGSIKGISSNISVKAGAQGLDNKNEWLPRCIVLDIEGTTTPISFVTDVLFPYARDNVEKHLILTYETGETQDDIKLLRSQVEEDLEKGVAGAVPIPPDNAGKEEVIAAVVGNVEGMIKADRKITALKQLQGHIWRTGFSVNELEGVVFDDVPEALERWHASGIKVYIYSSGSRLAQRLIFGKTNYGDLRKYLSGFFDTAVGNKRETSSYVEISESVGVDSPSEILFITDVCQEAKAAKAAGLQVAISIRPGNGPLSDNHGFQTITSFSEI >KGN57197 pep chromosome:ASM407v2:3:11346749:11347723:1 gene:Csa_3G171050 transcript:KGN57197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGLTIGDTIPNIQADSTHGRISLYDYFGDSWGIIFSHPGDFTPVCTTELGMMAAKAEEFSKRGVKLVGLSCDDVQSHNEWIKDIEAFNKGKKVTYPILADPKREIFKELNMVDPDEKDPSGGAVPSRALHIIGPDKKVKLSFLYPASTGRNIEEVLRVLDSLQRATKHKVATPVNWKPGDKCVITPSVSDEQAKQMFPNGYETLELPSKKNYLRFTNV >KGN55666 pep chromosome:ASM407v2:3:517124:520374:-1 gene:Csa_3G002850 transcript:KGN55666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLKLQSIQFFTAPPKEIRNRKIKSRFIRCGIAEASGEPAPLGQKTKYNDGPFEKVFMTLFARKMEKFANAKEQRKKKEGLWWDFLYDYERFVDVSKRVMQGKTRMQQQIVVREVLLSMLPPGAPAQFRKLFPPTKWACEFNALITVPFFQWLVGPSEVVEVEVNGIKQRSGVHIKKCRYLENSGCVGMCVNMCKIPTQDFFTNEFGLPLTMNPNFEDMSCEMIYGQVPPPFEEDPVSKQPCYKDICSMAITSAPLCPKLLA >KGN58125 pep chromosome:ASM407v2:3:21639052:21639933:1 gene:Csa_3G535090 transcript:KGN58125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDDDVKKGPWTAEEDSALYTYVTVNGEGHWNSVARSTGLKRTGKSCRLRWLNYLRPNLRRGNITLQEQLLILQLHSRWGNRWSKIAEFLPGRTDNEIKNYWRTRVQKQAKQLNCDVNSQRFRDAMRLVWIPRLVERIEAATSAQSPPLQPPPPPSLLLPPCIATDTSTSTWEQKSIIPENVSGSCSDTSDLRGGWWEGDGVDSSFESLWTEENICFLQHIFS >KGN57021 pep chromosome:ASM407v2:3:10097995:10098375:1 gene:Csa_3G150005 transcript:KGN57021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGKKWYGPLPEKMTPGELVEPLKHTANFLLKLKAAANWDGREIPFLPNPAIPVTPGARPSRRKVEPQTKRKLGIDTALVGLKPLVEETETTSEATSPLVERRIMVLPGKRLQVLLLQPGRGGEKH >KGN59699 pep chromosome:ASM407v2:3:33639646:33644441:1 gene:Csa_3G838780 transcript:KGN59699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTELDDATVRSMSIGAVFSDFVGKINSLDFHRKEDLLVTASEDDSVRLYDIANARLLKTTFHKKHGADRICFTHHPSSVICSSRHNLDTNGESLRYLSMYDNRCLRYFKGHKDRVVSLCMSPINDSFMSGSLDHSVRIWDLRVNACQGILRLRGRPTVAYDQQGLVFAVAMEGGAIKLFDSRSYDKGPFDTFLVGGDMAEVFDIKFSNDGKSMLLTTTNNNIYVLDAYGGEKQCGFSLEPSPNTTIEATFTPDGQYVVSGSGDGTLHAWNINKRAEVASWNSHIGVASCLKWAPRRVMFVAASSVLTFWIPNATKSTGESGMEFDSHTQAEHISQ >KGN60333 pep chromosome:ASM407v2:3:38631113:38634133:-1 gene:Csa_3G895790 transcript:KGN60333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLQSILRLISQAENSPAVFPYRSHSSIRSTRFHSHYVHNLRNTRRLYFRGFRIMASVADTVGHTSDAPVLTSSEVIERLRARRENQENQQQYLAMYSSVFGGITTDPAAMVIPIDDHMVHRGHGVFDTAIIVDGYLYELDQHLDRILKSASMAKINLPIPYDREMIRRILIRTVSASKCRNGSLRYWLSAGPGDFQLSSSGCHLSALYAVVIQGKPTSRPKGIKVITSSVPMKPPQFAIMKSVNYLPNVLSKMEAEEKGAYASIWLDSDGFIAEGPNMNVAFITSDKELIMPHFDKILSGCTAKRIINLAERLVKEGKLRSISCENITIEEGKKADEMMLIGSGVLVSPVLQWDEQIIGDGKEGPLVQALFDLLIEDMNSGPPTVRVPVPY >KGN59715 pep chromosome:ASM407v2:3:33760488:33765456:-1 gene:Csa_3G840410 transcript:KGN59715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNLGLKNKYIQNKFNASSQSSSNSLSSISLSRNFEKRAKIIFSWKGKKRAFNEKPISPFFSFRHSAMSSIDIEGILGSTKELDRLRKEQEVVVLEINKMHKKLLATPKVVEKPGDNSLSKLKHLYTQAKQLSEEEVSISTALLGQLESLLPAGPTGPPRRRIEKQKRMKADSDNARSSPAMRNLEACANMKDEQVAARVTPDGAEKDEWFIVKVIHFDKETKLFEVLDEEPGDEDEGGGQRKYKLPMSAIISFPKRNDPSTLPEFLPGRRVLAVYPGTTALYRATVVNSHRKRKTDDYLLEFDDDEEDGSSTLPQRIVPFHKVVALPEGLRQ >KGN57527 pep chromosome:ASM407v2:3:13999748:14004575:1 gene:Csa_3G205300 transcript:KGN57527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATALSRALFLMGFLLFLQLQLPETMADCPLDLSGSNFTLVASICSNPNERGKCCRHINAFVAVSVAHLANATGELGVSSDLSDICLQFILQTMGLYGVPRNAMVFCGVGTKIPVNYACRGRETVTQMLESPKFTNVSENCKLPISEESTCRKCINSGILYLRNLIGREDNITLNTCRDATFVALASQLDPASVIDLATCFFGVQGFHKPPAPPPSLTTPKISPSPSAAESPGSLTLDVAGDKSHQHHSYHLTLVAGIGIAVTVGSVMMLVVLIVLIRRKSRELKDSDKMDANSSKSFPSRPIKKYQEGPSMFKKFSYKEIKKATDSFSTTIGQGGYGTVYKAQFTDDVVVAVKRMNKVSEQGEDEFGREIELLARLHHRHLVALRGFCVEKHERFLLYEFMANGSLKDHLHAPGRTPLSWRTRIQIAIDVANALEYLHYYCDPPLCHRDIKSSNILLDENFVAKVADFGLAHASKGGSVFFEPVNTDIRGTPGYMDPEYVITQELTEKSDIYSYGVLLLEIVTGRRAIQDGKNLVEWSLGYMISDSRISELVDPSIKGCFNLDQLHTIVSIVRWCTEGEGRARPSIKQVLRLLYESSDPMHQGLMEAVDDEEYGGTEGRQSMSKRKMHKSDVIFHSGDGRYLASSSSTSRSYCSRSFLLETGSPQSPPNIYSASDQLF >KGN60219 pep chromosome:ASM407v2:3:37754604:37757559:1 gene:Csa_3G889750 transcript:KGN60219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANYPHYRSQFGDTTFTKVFVGGLAWETPTDQMQTYFEQFGDILEAVIITDKNTSKSKGYGFVTFRDPESARRACANPNPIIDGRRANCNIAALGRPRPSPPRGRGQSSVNPYQGSTMQAQATPSYGGVPSPLNQPPIPPPPPPAPPVVYSPYGYPAYSPDYGYHHHHQAVYNPQVQQPQMYQQTPYYYGYSSRGTTFPNPSQSHQHPRLLLPPSYVYYPPPPPPPQFEPSSFNYPPQPQPQIIRHRFSSTDSQTSQQTPTEAEAGGVTSDIQSPTS >KGN56329 pep chromosome:ASM407v2:3:6221963:6227162:1 gene:Csa_3G116660 transcript:KGN56329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDRTQMASRTSERLGYESTNLIKNPRTKIWVNFFDCVAGLLPRNSSMGRETNGNRCVQCGFRTSQLFVLYSPGNMHLMKCDNCKSVADEYIECEAMIVLVDLILHKRQAYRHLLYNQFDRNRLSRKDLMWKLGLSFLLLDAYRYMLLILSEKPLSMSLSSTIGICQKALMYALLGNAMFICVLHILSRVFLTSSSGDHRHRYREFFFAIIISSYFKIFLVSMMIWEFPSTVIFIVDLFVLSSNVVAIKVITESTVSRCIGTCLCAHGAKFLATKAF >KGN60143 pep chromosome:ASM407v2:3:37142995:37171678:-1 gene:Csa_3G881560 transcript:KGN60143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKETEILSRLAANHLYLAQFEPLRAIIVALRVRNPELALSILQTIVSQSGRFDNVTWSPSCSSPSLLTYLTTLELIQFDNASSIWGFDHETLRLRAEFLLLVQNLIDRIVGSTRKKLELETVNKEGEEEEVSTAIDTVEERSDLLRAEEGEPEDVPLEIGDCVQVLDKVLELGVKRLKVEGAIAEVDGKQSEARPATVGLVDEEELTCLSRVIGDHADAFDALCSNIQRQVGSSECYGPSLAITVRSNNDGISASNEEEDVKCLASIQRCVQKTHLNQLKECLKNGDVNGAVSLIRFLHVESGVDEAEYREVFQDLLKIILAQKNASESDYEVMRGRLLSVYEEALSSNSRHIVEMIQIIQDEVLAEEIEIHRGLDNNQIPLPIQRFLSYIMELKPEISLDEKTAFLTKAVFFCTSDLYHYARVSGSHVLECIMDAALSAVKRTELQEANNVLLLFPRLRPLVAAMGWDLLSGQLKERRELMQLLWISKPPEVLHDASSEVKCQLEDISCVEHLCDTLCYHLDLAAFVACVNSGRSWSSKFSLLQSGKGHLVCSRADTDSDLFVENFVLERLSVQSPLRVLFDVVPGIRFEDALELIKMQPMSSSIGIERRIQDIELMHMRYALESAVLALGSMEKGVTAERDYHQVAFCHLNDLSKHLESIDSIARKILMVSVVISLLHMNDLSLNMKHCNSPGKPSSSPCSNSSEQPDLTAFEGSNGMVISFITVLFDILRCTLSSAVIQDDEISNYGAGMGGRKALEWRVSIATRFIEEWEWRLSILQHLLPLSERQWRWKEALTILRAAPSKLLNLCMQKAKYDLGEEAVHRFSLSAEDKATLELAEWVDNAIRRVSMEDVMSRAADGISAVQEIDFSSLCSQLGPLPVILLCIDIATTSVRSKKISLQLLDQAQIMLSEIYPGAPPKSGSNYWDQILEVGVISVSRRILKRLHEFVEQENSPCLQSIMSGENIISSAEDSQRQGQRERALGMLHQMIEDAHQGKRQFLSGKLHNLARAVTDELEHHFLKSGENQSANRKVTNLNKDGVLGLGLRAVNQTHLSSIAGDSSMHAVGYDVKEAGKMLFGPLSTKPSTYLSQFILHIAAVGDIVDGTDTTHDFNYFSLVYEWPKDLITRLVFDRGSTDAAGKVAEIMNADFVHEVISACVPPVYPPRSGCGWACIPIVPSCSKGSSENRLLSPSTKEAKLSCARSSLAMTGIPLYPLQLDIVKHLVKISPVRAILACVFGSSILYSGSNPVSSSSNDGLLQAPDADRLFLEFALDQSERFPTLNRWIQLQTNLHRVSEFAITAKQDSDDFGLKSDTRASMKRLLEHDSDTESEFDEIVSSSKTSVPLPGINVQDATFQDGWGHFAKSDIHELDTTTFLSFDWENEEPYQKAVERLIDDGQLMDALAISDRFLRNGASDSLLKLLIEREEERDSIFRQSQPHGNPGVWSTSWQYCLRLKDKQLAARLALKYMHRWELDAALNVLTMCSCHLPQSDPLRNQVMQIRQALQKYGHILSADDHFSSWQEVEVECKEDPEGLALRLAGKGAVFAALEVAESAGLSIDLRRELQGRQLVKLLTADPLNGGGPAEASRFLSSLRDSDDALPVAMGAMQLLPNLRSKQLLVHFFLKRREGNLSEVEVSRLNSWALGLRVLAALPLPWQQRCSSLHEHPHLILEVLLMRKQLQSASLIIKEFPSLRDNNVIITYATKAILVNINSPPREHRVSISGTRPKPKPRSGVSARSSFTTSLSNFQKEARRAFSWAPRNNTGEKSAPKELYRKRKSSGLAPSERVAWEAMTGIQEDGVSSFPMDGQERLPSVSIAEEWMLTGDAEKDEAVRGSHRYESAPDFTLFKALLSLCSDELTSAKSAMDLCINQMKNVLSSQRLPENASMEIIGRAYHATETIVQGLLYAKSLLRKLVGGTELSSNSEKSRDLDDTSSDAGSSSLGSQSTDELSDAHSQADTWLVRAQLLQSLLGSGIAASLDDIADMESSARLRDRLILDERYSMAVYTCKKCKIDVFPVWNAWGHALIRMEHYVQARVKFKQAFQLYKGDSMTFVQEIINTIEGGPPVEVATVRSMYEHLAKSAPTILDDSLSADSYLNVLHLPSTFPRSERSRWFMESASNGSPYGSEFDDGPRSNLDSIRFTECLSYMQEYARQMLLGFMFRHGHFRDACMLFFPLDSVPAPPQPSSVGAVTSSSSPQRSDPLATDYGTIDDLCDLCIGYGAMPILEEVISAKLSSTKLQDGSANQYMTTALARICNFCETHKHFNYLYVFQVLKQDHVAAGLCCIQLFMNSYSPEEAVKHLEHAKMHFDEALSARHKKGGDSTKPMVKGVRVKTASEKLSEEGLVRFSARISIQVEVVKSFNDSDGPQWKHSLFGNPNDPETFRRRCKIAETLVEKNFDLAFQIIYQFGLPAVDIYAGVAASLAERKKGGQLTEFFKNIKGTIEDGDWDQVLGAAINVYANKHKERPDRLIDMLTSSHRKVLACVVCGRLKSAFQIASRSGSVADVEYVAHQALHANALPVLDMCKQWLAQYM >KGN59618 pep chromosome:ASM407v2:3:33099373:33099663:1 gene:Csa_3G829080 transcript:KGN59618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGWVVKLQRSKSPVQNKSSPADLPVELRFPRGVFWLLISGWVCIQISEDILKPARKIDPPGP >KGN57531 pep chromosome:ASM407v2:3:14028721:14029723:-1 gene:Csa_3G206330 transcript:KGN57531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAILYIVTAHIIFKLGSFALQELGSLWRVNCELHKLKDSLSAIQVVLHDAEEQQSKNNQVKDWVLKLEDVLYEIDDLIDKFSYQTLRRQVMAKHQRYRKRVRILFSKFKSNWEIGFKIKEIRPGLLAINEDKNQFSFTKHVIERRDDDEGLRKSWETHSFEVIEDIAIASIIFLAIYATKS >KGN58926 pep chromosome:ASM407v2:3:28374986:28379103:1 gene:Csa_3G736740 transcript:KGN58926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSNASNPNPSMTSSTAADSSGKKVRKPYTITKSRESWTEEEHDKFLEALQLFDRDWKKIEDFVGSKTVIQIRSHAQKYFLKVQKNGTIAHVPPPRPKRKASHPYPQKASKNVLLPLQASMGYPSSVNTLAPGYSPWDDASIMINPSLSKIMQPQDEFTNFHRSENDIASEGTPMICSSSLNGIGSPDMGKQGKQAPMLHGIPDFAEVYGFIGSIFDPDSKEHVNKLKEMDPINFETVLLLMRNLSFNLSSPDFEPLRTVLSTYDVNTKTVAVTAGMPTKKHADDISC >KGN56892 pep chromosome:ASM407v2:3:9341678:9343485:-1 gene:Csa_3G141880 transcript:KGN56892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSRLNSLRRVQSGDLIMKLPDDHDDSRRPQFPLDSTSYKIIDEIGVGVSAVVYKAICIPMNSAVVAIKAIDLDRSRADFDDIRREAKILSLLSHPNILNSHCSFTVDRRLWVVMPFMSAGSLQSIITSSFPDGLPEPCIAIVLREILHALSYLHDQGHLHRDIKAGNILIDSNGSIKLADFGVSTSIFESNSKQGVSISPLSTSIMLKEVAGTPYWMAPEVIHSHNGYSLKADIWSFGITALELAHGRPPLSHLPPSKSLLLKITRRFRFSDYENHHNSKNKNKFSKGFKELVASCLDPEPSRRPCAEKLLKHPFFKNSKGPDLLVKTILQGLPSVEERFKLGKLQRLPSMKTEEEEEEELEKEIIKQRRISGWNFNEDGLELDPVFPGEECSIMEEKGSGNSSECCSGLSDLSSPVGNERGGGSGGGGGEEEEVVDRESIVGGLMALKRSLDEQRRAVGSLIELMEGEKVGEMSREEQMGRLMEKVTRELENERRRNFELEMELEFLRLQISAFHNNNDGGASTAINN >KGN59296 pep chromosome:ASM407v2:3:30857892:30863724:-1 gene:Csa_3G806280 transcript:KGN59296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKSVHLFGCSSGFVSNLLKPNSIVGFGLTFVSSKRLSASSSLSCSSSRLSCSSTASLFLAPRTGSFKAFCGSTCSEANQEGSSSSPLSMSESPPPASSTVTHSSEKIRNIRFCQWCGGQTKHGIPEGEEKLRAICTVCGKITYQNPKMVVGCLIHHDNKVLLCRRKIEPSYGLWTLPAGYLEIGESAADGAIRETLEEANAEVEVTSPFAQLDIPLIGQTYIIFMGKLKKPYFSPGPESLECRLFPLDDIPFDSLAFSSMLVTLRLYVEDVKAGRATFHYGTINKRPGTGASDIHAYTLDYHLRL >KGN59777 pep chromosome:ASM407v2:3:34198919:34208508:1 gene:Csa_3G845440 transcript:KGN59777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLLKPTHTHRHPHSHPVLHHQSLRYHKFKLPIALTGRQSRHQFIRRTRIKCNYQDSGNEQPPPSTSTALQLYSDIERLLTETVRQSQEAWGGLKDWTEVEGAWVLKPRNTTPKYVVHFVGGIFVGAAPQLTYRLFLERLSEKGIFIIATPYASGFDYFLIADEVQFKFDRCHRAFLDSVQDLPIFGVGHSLGSVIHLLIGSRYAVERSGNVLMAFNNKEASSAVPLFSPVLVPMAQSMGPLLSQIASSPTFRLGAEMTMKQLENLSPPIVKQVLPLVEQLPPLYMDLVRGREDFTPKPEETRRIVKSYYGISRNLLIKFKDDTIDETLILAQLLSSESAISSMLDMSTRALPGNHGLPLQQGLPDIPPAMADAVNRGSELFSNLTAGTPWETVAREVGNTLGVDSKILQAEASKDLNLLVEVVTSWMSSNIGPKLLRP >KGN59347 pep chromosome:ASM407v2:3:31208980:31210901:1 gene:Csa_3G812190 transcript:KGN59347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSTSTAIKPSQNPLNQNSFEQNYRQICNLLLSFTRSRSLRQGLQLHAHILKFGLQTIPLVSHNLINLYSKTQLPLFSLQVFDETPKKSSTTWSSVISAFAQNEAPLLALQFFRRMLNDGVRPDDHIYPSATKACGFLRRSDVGKSVHCLAVKTGYYCDVFVGSSLVDMYAKCGEIGDARHLFDEMPERNVVSWSGMIYGYAQLDDGVEALTLFKQALIEDVDVNDFTFSSVIRVCSSSTFLELGKLIHGLCLKMSFDSSSFVGSALISLYSKCGVIEGAYQVFDEIPTRNLGLWNSMLIACAQHAHTQRVFGLFEEMGNVGMKPNFISFLSVLYACSHAGLVEKGREYFSLMRDYGIEPETEHYASLVDLLGRAGKLQEAVSVIKQMPMRPTESVWGALLTGCRIHKDTEMAAFVADRILEMDSSSSGLHVLLSNAYAAAGRYEEAARMRKMLRDRGVKKETGLSWVEEGNKVHTFTAGDRSHAKWVEIYEKLEELEEEMEKAGYVADTSFVLRAVDGEEKNETIRYHSERLAIAFGLITFPPGRPIRVMKNLRVCGDCHAAIKFMSKCCGRVLIVRDNNRFHRFEDGKCSCGDYW >KGN56057 pep chromosome:ASM407v2:3:3592016:3594729:1 gene:Csa_3G062590 transcript:KGN56057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHQYYTRTATTIIDSTLVPNLMGSFTIPSKHELPIGFRFRPTEEELVTHYLNNKILGRQHLVQCIPQIDLFNYDPWKLPERSLLDSDNYEWFFFRSLTSKTKRTTVSGCWRSTGDDKRIVARGTNKVIATRKILVFYQGQGKAAVKTKWVLHEYHLFQQDTTDISSSQMPFVVCRLKESAEEFRSDDQRKKSKKRQVHWNGENDQPALEDFPNTHQPTQPSNYFENEVMNSGFDTDDASSKMFFKTTPWPSESEPREPGLLNISQQVSDSNSEGNEQLSQPQSSMASEPNSNDQLGWFLNYMVDNDETDQINVILKRHSMEEFQSMARELEAGDTTSVSSEGMRTTIQKSCRKPLIARHCQYKEENDESQDDSCGQTLKVQRQPKSNNIVAHRDEPKRDESREVFILQGGNVEKHTSVIYSNETPTNCFWYILTTKRIHHKSNDQPIDYVAKVLLGFIILIMFVLTSF >KGN57408 pep chromosome:ASM407v2:3:12829712:12832505:-1 gene:Csa_3G183910 transcript:KGN57408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFVASMGNKGQPMVKKAKKKQVKDELDRQKQAEKKKRRLEKALATSAAIISELEKKKQMKKEEQQRLDEEGAAIAEAVALHVLIGEDSDDSYKILLKNDDLNPWECPGQFDHVMNVTRPGLPVQEFGRCSIEGTGWTSNIDRFESMWHGFRNDYWSVSAGPYTEEHHTLCFEQVDGAVSEISAGIIAAQAVSSLQITVDGDEEMNVLNRMRRGI >KGN58430 pep chromosome:ASM407v2:3:25173420:25174253:-1 gene:Csa_3G642710 transcript:KGN58430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEKKKVKLVLRMLLLLLLVLVGIVKANEEAANNIYSETSTPNPPNSYWEEVRKVVNKAYTYLFPPKIDFRPGKAVEDDSGVSVKNGNVNVKEAVTKSFEKTKEMVEDSAKAAAQTLQKPPPHSEL >KGN57554 pep chromosome:ASM407v2:3:14244853:14247843:1 gene:Csa_3G211980 transcript:KGN57554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIRAFSPLFLLPFPFSRRLGSLLARCIVFPALQIRHSLIPIFLLCTAPHRTSSHFLGLLRPSPELGFLDIPRGLGTPIWSIDACFYPFMEATAAACAVADNHSARDAADEAIQEGADVVIVVRGDGTFHEIIPWGTGLDFARTFGRNNNPFEAVDRIAKALRTRIDVGVVNEDNGENHYFANVDYAEWELYPQVTALCIGNAKYFGGGMKIVPNADPSNRSLEVVILQDFKWYDFILNLHKIYNGTYLTVKNVTSRSVRSIEVEEVSCSGSIYVQSDGEHLGFLPRKFHILPAAIEMIC >KGN59091 pep chromosome:ASM407v2:3:29489476:29494266:-1 gene:Csa_3G765090 transcript:KGN59091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKLSQNASFFFPIKQNHFHNREPSPLSPSMASDQNHDNLESALYALGPDSSGPQCLSFHLSQSSSCCYLETENSMKVDLSKDAISYFSCFLTALSCHSSLRSLEFHLVDWELEQMRELCTLLQDNSGIRQVVFRRNRFSNERLVELCYVLRTNKGIKELMFSECGIGAVGVGLIASGLKTNNSLEEFQIWEDSIGSKGMEELSKMAEENTTLKLLSIFDSNSVTVTPLISAVLAMNRDMEVHIWNGDNSRKSSKVVEFVPGNSTLRIYRLDINGACRIANVMGLNSTVKTLDMTGIRLKSRWAKEFRWALEQNRCLREVKLSKSHLKDEAIVHIAAGLFKNKHLHNLFLDGNLFSGIGIEHLLCPLSRFSTLQLQANITLKYVTFGGRRNKIGRDGLAAILRMLTTNETLTHLGIYDDHSLRPNEIVRIFRSLEKNASLTHLSLRSCKGVDGDMVLQTIMEMLEVNPWIEDIDLSGTPLQNSGKADRIYQRLGQNGSTDLEPQVDSLDMTLTEPKSCRIFFCGQEYAGKTTLCNSILQNFGSSKLPFTEQVRSLVAPVEQAVRAVGMKIKTFKDEDIKISIWNLAGQHEFHSLHDLMFPGSGSASVFVIISSLFRKPSNKEPKHLNEIEDDLQYWLRFIVSNSKRAAQQCVLPNVTLVLTHHDKVVPSQNLQQTLISINELREKFQGFLDIYPTVFTVDARSSAMVNELLHHLRRMSRTVLQRVPQVYQLCNELIQILTEWRSENYNKPAMRWKEFQDLCQLHIPQLRIRSRRSNRDKIETRRKAVATCLHDIGEVIYFEELGFIILDCDWFCGEVLGQLIRLEVRQNSSNNSGFISRKELEKVLKGKLHSQIPGMSSKVYENLQASDLVGMMLKLEICYEQDQSDSNSPLLIPSVLEEGRGKPQRWPLSMPDCIYTGRHLKCDDSSHMFLTPGFFPRLQVHLHNRIMGLKNQYVATYSLEKYLITININGIYVRVELGGQLGYYIDVLACSTKSLTETLRFIQQLIIPAIHDLCQGIILTESIIRPECVQNLVPPRHRKTQHVSIQQLKLALLSVPADGMYDYQHTWCPVSDGGREIVAVGFNFARDLLSDDDFREVLHKRYHDLYNLAVELQVPHENNPEAVDQSLSNDATDKVEATFGGIAKGVEAVLQRLKIIEQEIKDLKQEIKGLRYYEHRLLLELNRKVNYLVNYNVEIEERRVPNMFYFVRTENYSRRLITNLISGMNALRLHMLCEFRREMHVVEDQIGCEVMRIDNMAVRSLAPYMTKFMKLVTFSLRIGAQVAMGMGHLIPDLSREVAHLADSSLFHGAAGAAAAGAVGAAAIGRVGLNRGKSRGGDIQQDLRTAQQWVVDYLREQRCSTGKDIAEKFGLWRVRYRDDGHIAWICRRHMNLRAHEITEVPI >KGN55904 pep chromosome:ASM407v2:3:2483517:2486704:1 gene:Csa_3G035330 transcript:KGN55904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEAKAKGNAAFSAGDFSTAIRHFSDAIQLAPSNHVLYSNRSAAYASLHQYSDALVDAQKTVELKPDWPKGYSRLGAAHIGLGEHEAAVSAYKKGLEIDPSNEALKSGLADAQSAASRSRSVPPPNPFGNVFSGPEMWAKLTADPTTRAFLQQPDFLNIMQDIQRNPNSINMYLKDQRVMAALGVLLNLKLHNPAEGEADVPESSSPSAERKRAAEAEPVKEPEPEPEPEPMEVAEEEKEAKERKLQAQKEKEAGNAAYKKKDFEKAISHYTKALELDDEDISFLTNRAAVYLEMGKYEDCIKDCDKAVERGRELRSDFKMIARALTRKGTAYVKLAKSSQDYDIAIETFQKALTEHRNPDTLKKLNDAEKAKKDLEQQEYFDPKLADEEREKGNEYFKQQQYPEAVKHYSESLRRNPNDVKAYSNRAACYTKLGALPEGLKDAEKCIELDPTFVKGYTRKGAIQFFMKEYEKAMETYQEGLKHDPKNQELLDGIRRCVEQVNKASRGDLTPEELKERQAKAMQDPEIQNILTDPVMRQVLIDFQENPKAAQEHTKNPMVMNKIQKLISAGIVQMR >KGN58948 pep chromosome:ASM407v2:3:28492342:28493858:-1 gene:Csa_3G736950 transcript:KGN58948 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding protein S1FA MASANGKGNVINDIEAKGFNPALIVLLLVGGLLLIFLVGNYALYLYAQKNLPPKKKKPVSKKKMKRERLKQGVSAPGE >KGN56665 pep chromosome:ASM407v2:3:8121985:8128647:1 gene:Csa_3G127760 transcript:KGN56665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTPGILTDWPWTPLGTFKYVVLAPGFIYSIYQYIVKDEAERDTSSLVIIPLLLWRMIHNQIWISISRHRTAKGNARIVDKGLEFDQVDRERNWDDQILLNGVLFYLVSNLTAKGRNLPLWRTDGVVITFLLHAGPVEFLYYWLHRALHHHYLYSRYHSHHHSSIVTEPITSVIHPFAEHLTYFLLFAIPMLTVLFTGISSLAVYIFYLTYIDFMNNMGHCNFEIIPNRLFTLFPPLKYFLYTPSFHSLHHTQFRTNYSLFMPLYDYIYGTLDNSSDSLYEKSLKREEEVADVVHLTHLTTPESIYHLRLGFADLASRPHTSTWYTWLLSPITMGSMLLTWIYGRTFVVERNQFEKLKMQTWAIPKFNVQYFLQWQKQAINSMIEDAIVEADQKGCKVFSLGLLNQGEELNIYGGLYVQRNPKLRVRVVDGSSLAVAVVLNSIPKSATQVLLRGKLTKVAYALSYSLCQRGIQVAVLHEEEYRKLNKSFNTKFESNPVLSKGYSQNIWLVGDGLTNEEQMKAPKGTTFIPFSQLPPKIVRKDCFYHCTPAMKAPRSIENVHSCENWLPRRVMSAWRIAGVVHAMEGWTEHECGYTMSNIDQVWKATLRHGFQPVTTPTPCGSM >KGN56071 pep chromosome:ASM407v2:3:3674666:3678942:-1 gene:Csa_3G064200 transcript:KGN56071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFHLTNISSSSSSSLHPQFHHKTPFFPSNFPSPSIAKRKFPSRRHHLAVVGCSFSPMESAKIKVVGVGGGGNNAVNRMIGSGLKGVDFYAINTDSQALLQSAAENPLQIGELLTRGLGTGGNPLLGEQAAEESKEAIAGALKGSDLVFITAGMGGGTGSGAAPVVAQISKEAGYLTVGVVTFPFSFEGRKRSLQALEAIEKLQKNVDTLIVIPNDRLLDIADEQTPLQDAFLLADDVLRQGVQGISDIITIPGLVNVDFADVKAVMKDSGTAMLGVGVSSSKNRAEEAAEQATLAPLIGSSIQSATGVVYNITGGKDITLQEVNRVSQVVTSLADPSANIIFGAVVDDRYSGEIHVTIIATGFSQSFQKTLLADPRASKLIDKVSGGGQEKSSKASTLPLNLSSAASPTVPNRGRRLFF >KGN55820 pep chromosome:ASM407v2:3:1678047:1703543:1 gene:Csa_3G017140 transcript:KGN55820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHNKIFSMASVFSSLSESFRRVPPMAVPAILDCLFASTGLSSSELFDSLLETFPKTIDDATTKEGKLDADQCNYITSLVCALCHILKKDGADPTALKSFIWKSFVPLINKTATLNREMLNQVSESFIDVVTETNSWPIVEATLIPFCISSALYSTSVLQHVELDTFEVDRRSFILGSNVPVHEPRMDNQTMKDYGFLQLPLACHVLAIMLDAVLCNRQVPQTSDKVVSNGYQKAEEFTVKLIWDICNLSEQMLLQSSDHRSCAICHLLPVIFEALISHHSLEISIQGHACNLSRSCFLMKIWKCCKKLFSFGTLERRDAYRILSLYFCFFPHNEELGGAGMCDDGEEFDIKADKIFWDEIKRGLVDKESSVRKQSLHILKKALSKNGRGSPTTVSKTISSGKDSNVQGITKRERWANKEAKSLGVGQICSQNKIATNSRQQKWEAFILLYEMLEEYGSHLVEAAWSHQISLLLQHPTSTEFDSFSSGVHQNQIEMSGEIYSWLSILWVRGFHHDNPLVRCLIMQFFLTIEWRDKVPCLKSLPETFIIGPFIEALNDPVQHKDFGLKGIYSSKTVEGAARFVCQYTNILDARTRVVFLHQLTSLARKKSFGRVGLISLSECIASAASIVGFDYNIEGECFNGSSLSSQEDLIPYSLECKLELLDDLRFVVESSKQHFNPSYRLQVCAKALEAAASVLCTSNLALEVVLHFVSALPREATDYGGCLRRKMQNWLLGCGKKCCSTETKFMKSLIEFPKRFVTHNHSSDASVTYDDEELEAWECEAKRWARVVFLAVKKEHHLKSILTFIHNYGLNICKQKGDLEGIRVKFLILIMTLVQELQLVQQQIGHCDYKSEFDDLTMSQPSDNLSYAEPTIFSQKIVNLLPSLQVELVSFATMSCSIFWSNVKSDETTLPGSVKGKLGGPSQRRLPSSVATLVLLAVTSTKAVASIMSCCRQFQILCSSNSGVEFLLTFLLKTVSSPVYHSESGAEICLATYEALASVLQVLVSEFSSEALRFVQDESTIHHPRVEGRPLLDSLILTFHQHVNGILDAGVLVRTRRAVLLKWKWHCLESLLSIPYCALQNGISLEDNNAFLSEATLLQIFNDLVESLENAGESSVLPMLRLVRLILCLFYKGNSGLLVTSCNGVNSEMMWRLVHSSWILHVSCNKRRVAHIAVLLSSVLHSSAFSEINMHLSDGGPGPLKWFIEKILEEGTKSPRTFRLAALHLTGMWLSHPWTIKYYLKELKLLSLYGSIAFDEDFEAELTDHDTQTEVSLLAESPDPELTEVFINTELYARVSVATLFHKLADLAMVELSNEYGSCYDAVESGRLFLLELLDSVVNSNDLAKELYKKHSAIHRRKIRAWQMMCILSRFVCEDIIQQVTNSLHVCLSKNNLPSVRQYLETFAISIYLKFPTLVKEQLVPILQDYNMKPQVLSSYVFIATNVILHANEDVQSSHLDELLPSLVPQLTSHHHSLRGFTQLLVYHVLCKFFPAMKFRPTGYMPLEKRCFEDLKSYLEKNPDCVRLRASMEGYLHAYNPVSSVTPSGIFSSRVKDLFECVPTSLMEQVLNFLNDVREDLRCSMANDLTAIKNESFKTNEGHNLIGISSDINEENSTSKLPVATSLDFQKKVTLSKHEKKDTETSSYLGSKEAYKFLHELEGEDQLLNQLLHSRSLSMENLRTNRQDIILVASLLDRIPNLAGLARTCEVFKASGLAIADLNVLNDKQFQLISVTAEKWVPIVEVPVNSMKLFLEKKKREGFSILGLEQTANSVPLDQYAFPKKTVLVLGREKEGIPVDIIHILDACVEIPQLGVVRSLNVHVSGAIALWEYTRQQRH >KGN56830 pep chromosome:ASM407v2:3:9009936:9013473:-1 gene:Csa_3G134780 transcript:KGN56830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVTQRSSSLFAEEISCEVEDDYSKDGSVDLKGNPVRRSHRGRWSACFFIIVYEVFERTAYYGISSNLISYLTDKLHQGTVQASNNVTNWTATVWITPILGAYIADAHLGRYRTFIVASLVCLIIPLCDDKHMHEEFANVKNEEVSDVEGMSLLTLAVSMPSLKPPPCLDTNKENCKQASRLHLAIFFTALYILALGTGGTKPNISTIGADQFDDFHPGEKAQKLSFFNWWMFSIFFGTLFATTTLVYIQENVGWSLGYGIPTVGLAIAILIFAAGTPFYRHKLPTGSPFIRMAKVIVATAWNWRQDIPDDSRQLYELQLEEYSKQGTFRIDSTPSLRFLNKAAIRTGSTHSWKLCTVTQVEETKQMLRMIPILICTFIPSTMLPQAQTLFIKQGRTLNRSVGSQFQIPPASLTAFVTISMLISVIIYDRFFVKIMQKLTNNPRGITLLQRMGIGMILHILIMTIASLVERHRLHVARKNGLEKNEEQLSLTIFTLLPQFMLVGVADAFSEVAKIEFFYDQAPENMKSLGTSYSMTSLGIGNFLSSFLLSTVSHITKKHGNGNGNGWIENNLNVSHLDYYYAFLAVLCAINFFFFLVMSKMYEYKAEVSDSIKVLSDKLKASNINEKPAMMRGRADEGRGHLPPFNLL >KGN58019 pep chromosome:ASM407v2:3:20464344:20467072:1 gene:Csa_3G435000 transcript:KGN58019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTMRRMDIPWSGFFSLQQIKVEEKRLCDFGANLTVKLPDEGDDYLSGCEEDLEFQNQRKKAINYEIVILSQLLSCTSLSNPNSSLFHNATPSNFPSIIHYFPPFSEPLWILLISKLLLSFITCSLLILVLCKTTFLFHFNMLISSVPKKPG >KGN57341 pep chromosome:ASM407v2:3:12368118:12370309:1 gene:Csa_3G180290 transcript:KGN57341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALDTLRARKGYFDLVVTDLHMPQMNGLQLQKKVMQEFKLPVIMMSADEKPSVILKSLEEGVAFYMVKPISLDDVKHVWQYAITPKNVIPNQTTTTVIQRQLSIDKSSSSSTPCSEKEIIKSKSRSSKFKNNKAKQNSINIPIRKSKVIWTNSLHNRFLQAIKLIGLHKAVPKKILEFMNVPGLTRENVASHLQKYRIFLKRVAEKGTHSINIYSDYTLSTSNFANSSHSQFQSHLFTPKFQPQNSHSFLQNKLHLMTSLNFQCPNPNLEGHSLPYLGNQNHSSHLLILNKPNFPSNMNSISSSTQNDQHIAPMENENPKCSSDGTGMTVTGKDQMIFFGQDNEAFVENFQGKQKNLPVVSNKNMESGSFLVSSKEEGKAAADHTIAFEDIGGENYQNCFDVLSEIFMGHNHNQAPLRKEEMDVSDIWNGIEMNASTKQVHARPSSLPTQAMHTIFSFLILGWEDDDILEMLFGDG >KGN58078 pep chromosome:ASM407v2:3:21135997:21136705:1 gene:Csa_3G485840 transcript:KGN58078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINGSSDKEAQVLAYETSSHKLPEDHHMNHSPFLPPHPFASSFTTQSKPLLKLHCITCHPSPFPIFQCRNHSLLFHSIPHPPSSIPFCFIRLPFSHSLCLLALFFCDFQRSSKFMSFFSPGLTSYPFPNRQLFYIWF >KGN56944 pep chromosome:ASM407v2:3:9687595:9690976:-1 gene:Csa_3G146300 transcript:KGN56944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLDKDPREEVIQAWFMDDSDEDQRLPHHLEPKQYVSLQKLDELGVLSWRLDADIYETDEELKKIRHDRNYSYMDFCEVCPEKLPNYNEKIKNFYEEHLHTDEEIRYCVAGSGYFDVRDLNDKWIRIWVKKGAMIVLPAGIYHRFTLDSDNYIKAMRLFVGDPVWTPHNRPNDHLPARKEYVKSFVEKEASIQAVNAAA >KGN57318 pep chromosome:ASM407v2:3:12187588:12188311:1 gene:Csa_3G179080 transcript:KGN57318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWGCFSCIGGHHNKSQKSMKENVDYPWEIYTLKELLQATNSFNKDNKIGEGGFGSVYWGRTSKGVDIAVKRLKAMTAKAEMEFAVEVEILARVRHENLLGLRGFYAGGEERLIVYDYMPNHSLLSHLHGQLADQCLLDWKRRVNIAIGAAKGLS >KGN55582 pep chromosome:ASM407v2:3:52797:55372:1 gene:Csa_3G000060 transcript:KGN55582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFHTNPSPQNVNVAFKSTRYLPNPLPLKFLSLTFQLDSSFTSPIFVLILLHHPIHTGQAKGSSIIPILMAKLTTQTLQFCCLLILLFPMLLPASSPPDLVQTECLSVPSSQFSNSLLSTIDVVRQVMAIFSPFSKLLGDFRLSTAISDCLDLLDSSADQLSWSLSATQNPKAKNHSTGDLSSDLKTWLSAAVVNPETCMDGFEGTNSIIKGLVSGGVNQLTSQLYDLLSMVKSIPNQPSEFPSWLKSEDQNLLQINDLAADATVAADGTGDFTNVMDAVLAAPDNSIRRYVIYIKKGVYLENVEIKKKKWNLMMIGDGIDATIISGNRSFIDGWTTFRSATFAVSGRGFIARDITFENTAGAEKHQAVALRSDSDLSVFFRCRIRGYQDTLYTHTMRQFYRECQISGTVDFLFGDATVVFQNCSILAKKGLPNQKNTITAQGRKDPNQPTGFSIQFCNISADSDLKPSVNTTATYLGRPWKEYSRTIIMQSYISDAIRPEGWLEWNANFALNTLFYAEFMNYGPGAGLAKRVNWPGYHRLNQTSEATNFTVAQFIEGNLWLPSTGVKYTAGLGAN >KGN56188 pep chromosome:ASM407v2:3:4772565:4774509:-1 gene:Csa_3G096040 transcript:KGN56188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNNGKFKESLVEDERGILSLYEASHMRGHGEALLEEALEFTTTHLKAYIHLYSNINPNFASEVSNALKFPIRKCVPRVKAREYFEIYQQQPSHNETLLTFSKQTGLQHLTKTSPEGDSRNLQMRRVHMVNLLLPEYMKVYYRTDLLDLFEEMDKEIVNDGISYRSPFAKEAMKRQAESYFKEAGWLNKNYKPKYEEYMEVALTTSGYELLSTISFIGMGDIATKEVFDWLSDCPKILKASSIICRALTSLRKKDNILCLLLNVTWIIMDVHKKKHVLSFLSRVMALLYSDEGGYTNSKGRTKLLIQPLLINP >KGN56373 pep chromosome:ASM407v2:3:6503783:6506238:1 gene:Csa_3G118080 transcript:KGN56373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGRDDDVGLTLSLGFGVTTQSTHMQRPSSMHNHHLRKTHWNELFQFSDRNADSRSFLRGIDVNRLPTGVDGEEENGVSSPNSTISSISGKRSEREAAGDEAEAEAEAEAEAEAEAEAERASCSRGSDDEDGGGGDGDASRKKLRLSKEQSMVLEETFKEHNTLNPKQKLALAKQLNLTPRQVEVWFQNRRARTKLKQTEVDCEYLKRCCENLTEENRRLQKEVQELRALKLSPQLYMHMNPPTTLTMCPQCERVAVSSSSSTSAATTTRHQAAAGVQRPSMAINPWAVLPIQR >KGN60078 pep chromosome:ASM407v2:3:36700460:36705248:-1 gene:Csa_3G876020 transcript:KGN60078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWRYKAGLFLIVTVVIIWVTSAEVTQDIFTAYKQPFAITYLGASLMVVYLPIAFLKDWFCNLVKRHSSKSGKNAESFSETCALKQSGGETSLDVELQGNFTRKDSDADFSTHAEESPLVSRNKDDPYILKQEKELTNREIATYGFYIAPIWFVTEYLSNAALARTSVASTTVLSSTSGLFTLFIGAALGQDSLNMVKVVAVFVSMAGVVMTTLGKTWASDESQLTASDNEHSLIGDLFGLLSAVSYGLFTVLLKKFAGEEGERVDVQKLFGYIGLFTLITLWWLVWPLTALGIEPKFSIPHSLRTEEVVLANGFIGSVLSDYFWALCVVWTTPLVATLGMSLTIPLAMLADMFLHGRHYSAVYMLGSTQVFAGFVIANLSDWFSKKLGL >KGN59095 pep chromosome:ASM407v2:3:29571634:29577170:1 gene:Csa_3G769610 transcript:KGN59095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFDYSMLSLTNGFFHGSVSSAAHFLLEPSLAHGLSGLAHLVLLLAFCFLWVCFKFKAGCGERQTETGSLYVKGTFMCCLVISVYNLVFLSLDCFYWYRNGWSEGFLVTLLDFGLKALAWGTVSFCLHSQVSKIGKLKFAIHLRVWWVSYFAVSCYCLTVDSVHYSQTHSLPIRYLVSDVISVVFGLLIVYVGFFVKSVSEQDPLEEHLLNGETRYTTLSNGSVELKNCKGEETVTPYETAGIFSILSFSWMGPLIATGKKKALDLEDIPQLASRDAVSGTFQILRNKLESECGTINRVTTLSLAKCLLYTAWKEILLTAVFAFIYTLATYVGPYLIDTFVQYLNGHRDFENEGYVLACVFFLAKLVECLAMRHWFFRVQQVGIRVRAALVAMIYNKGLTLSCQSRQQHTSGEIINFMTVDAERVGDFSWYMHDVWLVVFQVGLALLVLYKNLGLASISAFVATIAIMLINIPLGKLQEKFQDKIMESKDTRMKATSEILRNMRILKLQGWEMKFLSKISELRNIEAGWLKKFLYTLSVTTFVFWGAPTFVSVITFGTCMLVGIPLESGKVLSALATFRILQEPIYNLPDTISMVVQTKVSLDRIVAFLRLDDLQADIIERVPRGSSTTAVEIVNGNFSWDSSSSNLTLRDINFKVEHGMRVAVCGTVGSGKSSLLSCILGEVPKTSGNLRVCGSKAYVAQSPWIQSGKIEDNILFSKEMDRERYKRVLEACCLEKDLEILSFGDQTVIGERGINLSGGQKQRIQIARALYQDVDIYLFDDPFSAVDAHTGSHLFKECLLGVLSSKTVIYVTHQVEFLPAADLILVMKDGRITQAGKYEEILRSGTDFMALVGAHEEALSAINSSVEGDSSKNSTSKEDESVISTNGITHEDDKSDIQDGRAVDASKSKGQLVQEEEREKGKVGFPVYWKYIKSAYGGALVPIILFGQVLFQILQIGSNYWMAWATPVSEDMEPPVSTSRLIIVYVALSVGSSLCVLLRSALLVTAGFKAATELFVKMHTSIFRAPMSFFDATPSGRILNRASTDQSTLDMDIPFRVASFCFNVIQLVGIIAVMSQVAWQVFIIFIPVMAVCIWYEQHYIPSARELSRLIGVCKAPVIQLFSETISGSTTIRSFDQESRFQDTNMKLTDAYSRPKFHTAAAMEWLCFRLDLLSSITFASSLIFLISIPVGVIDPGIAGLSVTYGLNLNMLQAWLIWNLCNMENKIISVERIFQYTSIPSEPPLVIEENRPDRSWPAFGEIELHNLQVRYAPQLPLVLRGVTCTFPGGKKTGIVGRTGSGKSTLIQTLFRIVDPVAGHIVIDNINITTIGLHDLRSKLSIIPQDPTMFEGTVRSNLDPLEEYADEDIWEALDKCQLGDEVRKKEGKLDSTVSENGENWSMGQRQLVCLGRVLLKKSKVLVLDEATASVDTATDNLIQQTLRQHFSDCTVITIAHRITSVLSSDMVLLLSHGLIEEYDTPTRLLEDKASSFSQLVAEYTQRSGSR >KGN59837 pep chromosome:ASM407v2:3:34865967:34870250:-1 gene:Csa_3G849930 transcript:KGN59837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFNGPNTTKAIDASVGGLVWVRRRNGMWWPGRIMGLEELSESCLVSPKSGTPMKLLGREDASIDWYNLERSERVKAFRCGEYDEFIEKAKASVAIASKRAVKYARREDAILQALELESARVGQDQLAFSSKMDTFGSEHDISTTHSKLKPNSGEVELTNNMSDFEDRPDSMPELSQSGISLDENFSSSMARSGQSRRRTPNDSEDDGTEGVKRMRGLEDLSRGVVSKRKVHTGCVVELVQEDSDVNCNLNTPNCLPNEHPPDDGKVRASLFKRKRSQVSNVNEISKRKNRQRPLTKVLESTAMLSAPVVCNELPNSCASPLGGLSDGKLSELESNESKKSSSVTLNNSSDCTVISCLSPTFSLGCAIGVSERQSSQGSQAEPICVSNELNNESGSTSSTVADPKRNICKTIEKDSSKWQLKGKRNSRHTKKTRTNDTRIFLLIDDKRKTSEASTEDLDGFNLGYDQKVSSSIEEPPLSNNNSKSAPEKLIVDGSNELDSIKCTSQDQLNTISEKTTKMKQLPDYTWATPRLLPFRQSRLMDPSKYQRSEFSFTKFGCNSSLYDVELLVKASYKPQHVPLVSLMSKLNCKAVVGHPLTVEALDDGHCDDLLSRTELDPQKIVESSHSVQSNSWKGKMLGKPRGRAVQLRPSQGKASKAKKSGQLSKKTRKLSSLTVQKQFVDDSRPVVEKSKGSFIACIPLKVVFSRINKAVNGLARPTHRHLMCVSQ >KGN56664 pep chromosome:ASM407v2:3:8106460:8112060:1 gene:Csa_3G127750 transcript:KGN56664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTPGILTDWPWKPLGSFKYVILAPWVVHSIYQYVVKDETERDVSCLVIFPFLLWRIIHNQIWISLSRYRTAKGNARILDKGIEFDQVDREGNWDDQILLTGLLLYVVSYVMEEASKLPLWRTDGMIIIFLLHIGPVEFLYYWLHRALHHHYLYSRYHSHHHSSIVTQPITSVIHPFVEELAYFVLFAIPIMTAVFSGTMSVAAYVVYITYIDFMNNMGHCNFEFIPNRFFTLFPPLKFLIYTPSFHSLHHTQFRTNYSLFMPFYDYVYATFDKSSDTLYKESLKKQEDVVDVVHLTHLTSPQSIYHLRLGFASLASRPHTSTWYLWLLYPITLVSMLLTWIYGRTFIVERNQTDELNMQTWTIPKYQFQFLIQWQPINKLIEEAIINADQKGCKVLTLGLLNQANELNKHGALYVQRNPKLKVRVVDGNSLAVGVVLNNIPKFATQVLLIGKVTKLAFAIYHSLSKRGIQIVVLNEQNYKRLNRKPSNYNYNTNINNNNNNFEEPTLLLSTASSQNIWLVGEGVRDEEQLKAPKGTTFIPFSQFPPKVLRKDCFYHYTPALKAPPSLQNLHSCENWLPRRVMSAWRVAGIVHAMEGWTEHECGDAMFDVEQVWLASLQHGFQPLEIMPSTTA >KGN58954 pep chromosome:ASM407v2:3:28535614:28537812:1 gene:Csa_3G739000 transcript:KGN58954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLKAVKWQIIHGALARRIVVRIFLLALAVSAVPLLHIFMGADFGVIPSVIFRDCAVKYGDVEAKVSRGSYMFQGHFLNSIWVPFVAMHCEEYKNLTTNVVAELMEKKLLNHTAKSLCVGEGSGSAVLALRDIGFSDVIGVGQHRFFSLRRKQFVYELDFKSGYFDFVFSRDLDRYSVPALLVLEIERVLRPGGIGAVIVSTSESMPNNLIRAATPVSSLLKTSTVMHVGHVNNLTLVVFKKKFEEYRHLEEPRLSSECRSLTRNKPLIPKLEPLVKERPVGFDKKLSYLPKFVDVSSGKRLIYVNIGTGKRLNHTNTDWFPPSYPVARRDFNVYFVDHDMSSLATHIHNPGVTFVYHPALAGTDQTTDSDDAADDEDEEPYIDDEFDFLSWFKETVQHSDFVVLKMDAGKEELKFLSDLFESGVICWVDEVFLSCRDGVDEEDGDLKKRECTDLYKDLRNSGVYVHQWFLDAVPSSMKI >KGN56603 pep chromosome:ASM407v2:3:7741417:7743535:1 gene:Csa_3G126180 transcript:KGN56603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVPANSCHLWPSTPPTLLLLRHCETQNDVNQVHARIIKTGYLKNSSLTTKIILNSISSPHKPLVEFARYVFFTRYAVQRIRRNHLDDDPFLWNAVIKSYSHGNEPVRALVLFCMMLENGFCVDKFSFSLILKACARVCLVEEGKQIHGLLMKLEIGSNLFLLNCLIAMYLRCGDIEFARQVFDRMPIQDSVSYNSMIDGYVKSGTIDLARELFDSMPLEDKNLISWNSMLGGFAQTKDGIGLALELFEKMPERDLVSWNTIIGGFAKCGRIEFAHSLFNRMPKRDVISWSNMIDGYAKLGDIKVARTLFDEMPDKDVVAFNTIMAGYAQNGYYTEALEIFHEMQRQSNLSPDETTLVVALSAISQLGHVEKAASMHNYFLENGISVTGKVAVALIDMYSKCGSIENAILIFDGVDQKGIDHWNAMISGMARNGLGKLAFGMLLEMHRLSVKPDGITFIGVLNACAHAGLVKEGLICFELMRKVHKLEPKLQHYGCMVDILGKAGLVEGALKFIEEMPIEPNDIIWRTLLSACQNHENFTIGELIAKHLMTMDSCNSSSYVLLSNIYARLGLWSAASKVRMMMKKQNLTKVPGCSWIELEGVVHEFLVRDKSHPYVSEIYSVLDGFGASNLQVIDCKC >KGN58469 pep chromosome:ASM407v2:3:25489793:25492354:-1 gene:Csa_3G646560 transcript:KGN58469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGGAIISDFIPPSRSNRVTADHLWPNLKKPKSGKHSSPRSLRSRIFDVDDFEADFRDFKDESDVEDEDGFSDIKPFLFSTPNSACSSTRGSSATKSVEFNEQAAKSANTKRKNQYRGIRQRPWGKWAAEIRDPRKGARVWLGTFNTAEEAARAYDAEARRIRGNKARVNFPDEPLPNTQKRKNSQKSKQHIKENVKANQHPNQNYSGTTGFLEVKPPTDQVGYMDSFPASMDSSPSDDMVMYFNSDEGSNSISCSGFGLGDHGVKTPEISSVFSATDSEFTEDMHTRKKQRCSSGDAITAEDVGASAKTLSEELSAFESQMKLFQMPYLEGNWDNSMDAFLGGGATQDGGNSLDLWSFDDLPAMGGGVF >KGN57591 pep chromosome:ASM407v2:3:14681081:14682378:1 gene:Csa_3G221740 transcript:KGN57591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSSCTATSLHGFYHFLSHELDDLDHAFVSSDFMSLHFLQKVLSLLRTLHSQLIQLGQRLHLPVGGKWLDEYMDESSRLWEASQVLKSGISRMEVFHVEASAIASSLQDPHFLRFNPRASRRVLRAITDFERNVFGLEEENRSLMNTRIPPLSLLCFNGSSSVSSGMGSTSKLNAFNGFRGVLHAVKNISSLLLMILLCGLVYCWPESIFHGSNGIGNEEDQHQRTMFSSSFIASMERLKQRVANEIERVDVQPVGILLFEFREAKAAMEGLKVELEKGLEEDDEEEVEIEEKIERLNSWFGSLRIGVDAIIGQLDDFFDEIVEGRKKLLDMCTHNR >KGN58797 pep chromosome:ASM407v2:3:27760596:27760994:1 gene:Csa_3G732540 transcript:KGN58797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQVIPLSDVLHLLLELFCFLLAFLLENQLTPPASLSSTCTACLIIQDRSHNSRPFESLPAVLLLMFYASFAALDGLKALFFLPTLEFSADHLPPSGWAA >KGN55790 pep chromosome:ASM407v2:3:1410103:1415463:1 gene:Csa_3G015860 transcript:KGN55790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLQSFEDQSKLKEEIATAEGFTLDGTVDFHGRPAIRSKSGTWVAGIIILLNQGLATLAFFGVGVNLVLFLTRVLQQNNADAANNVSKWTGTVYIFSLVGAFLSDSYWGRYKTCAIFQIIFVIGLVSLSLSSHLFLIRPKGCGDEQTPCGSHSKTEISLFYLSIYLTALGNGGYQPNIATFGADQFDEEYQKEGHSKVAFFSYFYLALNLGSLFSNTILGFFEDEGMWALGFWVSTGSAAAALLLFLIGTPRYRYFKPTGNPLMRVSQVVVSAAKKWRIKVPSGGEGLFDDDGKESSNNGCRKILHTHGFKFLDKAAYISSRDLSDKEQGVNNPWRLCPITQVEEVKCILRLLPIWLCTIIYSVVFTQMASLFVEQGAAMKTTVSNFHIPPASMSSFDILSVALFIFLYRRVLDPFVGKLKKSSSTGLTELQRMGVGLIIAVMAMVSAGIVECYRLKYAQADCTHCEGSSSLSIFWQVPQYALIGASEVFMYVGQLEFFNAQAPDGLKSFGSALCMTSISLGNYVSSLLVTMVMKISTVDRMPGWIPGNLNKGHLDRFYFLLAALTVVDFVIYIVCAKWYKSIKLEEKYEQTEEQENFKV >KGN56316 pep chromosome:ASM407v2:3:6076320:6085072:-1 gene:Csa_3G115050 transcript:KGN56316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKSSVNLTVCFIAMVVVVVSFFFCLGHNGYRIRTSPVRRYLSNIDINNSSRLFNVTLQRKGGYVIMDNGIVQVTLSTPDGDVVGLSYNGIPNILETNNEEQNRGYWDAVWNNPDEPIATDRLKGESYEVIIGNEEQLEISFNKTWSIGKGNETAPVNVDKRYVLLRGSSGFYCYAIFERPIGWPQIEMDQVRIVFKLQSQMFDYMAVSDDRQRVMPTMRDRENGEPLAFPEAVLLTNPSNEELRGEVDDKYQYSTEDKDNQVHGWISSDPPVGFWMITPSDEFRVAGPVKQDLTSHAGPITLSMFVSTHYAGKDIGMRFAAGEPWKKVFGPVFVYLNSVSPEEDSLSLWEDAKQQLAIEINEWPYTFPQSEDFPSSAQRGSVAGQLFVRDRYISSRLMRASNAFVGLALPGPVGSWQAETKGYQFWTQADNHGNFLINNIRVGVYNLYAFVPGFIGDYKYEANITIEFGSEINLDEMVFDPPRQGPTIWEIGFPDRTAAEFYVPDPYPTLMNKLYNNHADKFRQYGLWERYAAIYPNNDLVFTVGVDDYKVDWFYAHVNRNMGNQTYEATTWEIRFLLQPVNQTTNYTLQIALASAAECELQVRLNNRESEQPGFSTGRIGKDNAIARHGIHGLYWLYSIPFPGDQFLQGNNSIYLTQARSESPFEGLMYDYIRLEAPSLT >KGN56557 pep chromosome:ASM407v2:3:7457923:7462928:1 gene:Csa_3G124770 transcript:KGN56557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASPLPNPFLPPFSPTSSTQSPNFYFPPSTSVVGSRWATPFVSCSAASVRVGEGVNPDDYHSTIRALNSKGRVPRKSLGQHYMLNSSINEQLAAAANVKEGDVVLEIGPGTGSLTNVLINSGATVLAVEKDSYMAGLVDERFANTNRLKVLNEDFVKCNVSSHMMSLLKSIELSEARSQPAKVVSNIPFNISTDIIKQLLPMGDIFSEVVLLLQEEAALRLVETSLRTSEYRPINVFVNFYSDPELKFKVPRTNFFPQPNVDAAVVSFKLKRAADYPAVSSTKSFFSMVNSAFNGKRKMLRKSLQHICTSLEIEKALEDSSLLPTSRPEELSLDDFVKLHNLIVKH >KGN57730 pep chromosome:ASM407v2:3:16293889:16294155:1 gene:Csa_3G265260 transcript:KGN57730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTSSPDADFIGLATRTPTRSTLGIQAGEDVAEEFEKQEIINTLLEEKVSTHKKEEILAHSRMLLQAQAEEM >KGN57986 pep chromosome:ASM407v2:3:19931759:19932267:1 gene:Csa_3G418770 transcript:KGN57986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKSMFRYADSIDKFLMFFGTLGSIGDGLQVPLMMYILKDVINAYGDKNSGLTNDMVDTVRLAPLCKISFLMGNSIIMSMVKNIYTNNDRQMERTEGKVRKN >KGN56621 pep chromosome:ASM407v2:3:7852563:7854962:1 gene:Csa_3G126850 transcript:KGN56621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLCLHLQASSHLRCIAAAKPAAPSMVATANKILSKRPFLRNFSLPSGSSADLCERQPLRSSELVALEYADLNLSHKMVEDLGHVRIRQHVNPLSSSFSVPTPAPNWDEVFRDPTLPLMVDIGSGSGRFLLWLAKRHPESRNFLGLEIRQKLVKRAGIWVKELGFSNVHFMFANATISFKQIISSYPGPLILVSILCPDPHFKKRHHKRRVLQKPLVESIVDSLMPGGQVFIQSDVLEVAADMRLWFDSEAHILEHINKIDKSVLCDKDGWLLNNPMGIRTEREIHAESEGANIYRRMYSKVHLTKEE >KGN58307 pep chromosome:ASM407v2:3:23721404:23723296:1 gene:Csa_3G611320 transcript:KGN58307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNVYRLHCYIIKSSKQNDPLSLRTLLLSCVAAAPESLSYARYVFSRIPSPDTIAYNTIIRSHSRFFPSHSLFYFFSMRSNGIPLDNFTFPFVLKACSRLQINLHLHSLIVKYGLDSDIFVQNALICVYGYCGSLEMAVKVFDEMSERDSVSWSTVIASFLNNGYASEALDLFEKMQLEDKVVPDEVTMLSVISAISHLGDLELGRWVRAFIGRLGLGVSVALGTALIDMFSRCGSIDESIVVFEKMAVRNVLTWTALINGLGVHGRSTEALAMFHSMRKSGVQPDYVTFSGVLVACSHGGLVKEGWDIFESIRKVYRMDPLLDHYGCMVDILGRAGLLNEAYDFVERMPMKPNSIIWRTLLGACVNHNNLGLAEKVKAKISKISSSQNGDLVLLSNVYGAAGRWVEKASIRSKMREKRIGKEPGCSSINVDQTIHEFVSGDNSHPQSEDITKFLSSIIGDLRNRGYMMQTKNVLHDIEEEEREHSLSYHSEKLAVAFAILSMKDKRTIRIMKNLRICYDCHSFMKHISVRFERKIIIRDRNRFHHFEKGLCSCHDYW >KGN58513 pep chromosome:ASM407v2:3:25803104:25803701:-1 gene:Csa_3G653450 transcript:KGN58513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAICNTVVPTKSKSGNILYKAQSQDEDALVNAAAYLHMVFVNKSATILEIQFNGMLNRYELLDTLEFTSERKRMSVVVKDCQNGKIVLMSKGADEAILPYAYAGNRKI >KGN60023 pep chromosome:ASM407v2:3:36349706:36352650:-1 gene:Csa_3G872050 transcript:KGN60023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFTKLHIAISPQFTINNLQTTKKTTSIPIYNSKIQSKHIYVSHPLVLFKSTMQSHSPRSLRSSIKCSLIDPDGGVVVDLVVPESERASRVLEAEPLPKVKLTQIDLEWVHVISEGWASPLRGFMREDEYLQSLHFNCIKIKDGSFVNMSLPIVLAIGDDVKDQIGSSSNVGLLGPNGDLVGILRSIEIFKHNKEERIARTWGTTAPGLPYVDEVITNAGNWLLGGDLEVINPIKYNDGLDHYRLSPKQLRQEFDRRQADAVFAFQLRNPVHNGHALLMNDTRKRLLDMGYKNPILLLHPLGGFTKADDVPLDVRMEQHSKVLEDGILDPETTIVSIFPSPMHYAGPTEVQWHAKARINAGANFYIVGRDPAGMGHPTEKRDLYDPDHGKKVLSMAPGLEKLNILPFKVAAYDTVAKKMAFFDPTRAKDFLFISGTKMRTYARTGENPPDGFMCPGGWKVLVNYYESLQAEEASPQQAALSV >KGN58102 pep chromosome:ASM407v2:3:21368672:21370284:1 gene:Csa_3G510960 transcript:KGN58102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTRFFCVLNFFSFRLIIVPRSLSLSLSLCFSLFLKTFHISFLLFTSFAFSRFPSTIIISLSPEMGFDDFSKTGLVLGLGLSELADDQRTTLKKKPAPCSSSSLDFEPCVLTLGFSGGGGDTHRKVIDHVGPHHLYRQASPHSSAVCSSFSGKVKRERDLSSEEVELERACWRVSDEDDDVCNNTRKKLRLSKQQSALLEESFKQNSTLNPKQKQGLARQLNLLPRQVEVWFQNRRARTKVKQTEVDCELLKKCCETLTDENRRLQKEVQELKAIKLAKPVYMQMSGATLTICPSCERVGTGGHGGVADGNSNPKPKFSMPPNPFFYNPFSNPSAAC >KGN57797 pep chromosome:ASM407v2:3:17335815:17337161:1 gene:Csa_3G307170 transcript:KGN57797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCESLAKPLENQFVFYWVLTLKLGCSLVVLVAVSPSTPKEYYGSVLAMDRAHRLGQRKVVNVHRLIMRGTLEEKVMSLQKFKVSIANAVINSENASMKTMNTDQLLDLFTTAETSKKGAASASSKQSDGDFDGEVKAMGGKKGLKAILGGLEELWDQSQYTEEYNLNQFLAKLNG >KGN58234 pep chromosome:ASM407v2:3:22921453:22924011:1 gene:Csa_3G597330 transcript:KGN58234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPMIHLLFAFLFLSSTIATSDVPFIVAHKKASLTRLKSGAERVSVSIDIYNQGSSTAYDVSLNDASWPGDMFDIVSGETSNSWERLDAGGHVSHSFELEAKSRGMFHGSPAVITFRVPTKSALQEALSTPILPLDVLADRPPEKKFEWVKRLLAKYGSLISVVSIIVLFIYLVASPSKSAAKGSKKKR >KGN57307 pep chromosome:ASM407v2:3:12109853:12111634:1 gene:Csa_3G178490 transcript:KGN57307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESAPEVISHRNTVLSSTQLFSHLHLIFLQLQNLFFLILILFLETYIFLKGWTPILHIFLLLSSYAIFIFIIKPSPSVYLVDFSCLKPPTSCTVLFSTFLQNAMLLDTFNSDSLCFMEKTLRSSGLSEQTCLPPALHFIPHKTHQQESINEVHMVLFPVMDDLLTKTHLSSSDIDILIVNCSGFCPSPSLSSIVINKYSMRSDIKSYNLSGMGCSASAVAIQLAENLLQVHQNSYAVVLSTEILSTGWYAGNERSKLFINCLFRMGSAAILLSNKTRAKESSKYKLIKTLRTQRAFDDKSHLSSIREEDKDGNLGVTLTKGVVQIAGETLRSNITILGASILPLSEKLRHGTSRLRKRFLDKSQEIYIPNFKTAIQHFCLPVSGGAVIREIGKVLKLNDKDVEAALATLHRFGNQSSSSLWYELAYLEAKERVEKGDKVWQIGLGTGPKCVSLILECIRPIYGEYNNDPWAQVIDQYPILGPST >KGN57436 pep chromosome:ASM407v2:3:13051666:13057887:-1 gene:Csa_3G185160 transcript:KGN57436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSLMFRRFSCFHSPSSPSSVFSKKKPLVFLGSPQVSTVVLDALLNASSLADAAFEIAAIVTQPPSRRDRGRKLMPSPVAQYALDKGFSSDLILTPEKAGEDMFLSTLKVLEPELCITAAYGNILPTKFLNIPALGTVNIHPSLLPLYRGAAPVQRALQDGVKETGVSLAFTVRALDAGPIIASEKFEVNEHIKAPDLLALLFLEGSKLLIRELPSILDGSAEKKAQPQDESKATKAPKIAPDEAWLSFDQEAYVLHNKDGLEHELRLLLLMRKVVMTIS >KGN56360 pep chromosome:ASM407v2:3:6438147:6439005:-1 gene:Csa_3G117950 transcript:KGN56360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLPLLLLLFFFLCTPSCLPYPTTLPQNPTSAVIFRSDSSSLHNPHATERYVFFPGKPRWTRRMPMVLTYAFFKDNWMSNLSLEDVRAVFRKAFSKWAHVIPVSFEETDDYGYADIKIGFYRGDHSDGQAFDGVLGVLAHSFSPEIGRLHLDAAETWAVDFEKEKSAVAVDLESVATHEIGHLLGLGHSSVRESVMYPSLKPREKKANLEVDDVEGVQALYGSNPNFKFESLELESDTSASQPPVSTCTLACPFSLPFFFLFFPHHFF >KGN56186 pep chromosome:ASM407v2:3:4753461:4755523:-1 gene:Csa_3G095030 transcript:KGN56186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVVYALNKQGRTLYDFGDLRFSYKKNGRRKAQASDYTDIKICSGPEDYVAEYYQQQININEKAAVDFDLATTANIDEGPPFTNSGIKEDFTLTVCLAYYFWGNTLDSHKLIYLEGQQDLGKQQVLVEELCVEYFTQGKYIGDR >KGN57217 pep chromosome:ASM407v2:3:11454459:11455168:1 gene:Csa_3G171740 transcript:KGN57217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSIILMKLLGMERLVSSSRTHELDPCSSDQIDVEGCLKINVDAACSPFKDGMGMWTIIRNHNGDGVAAATEFRHGCYVGEGGEIFAFLYSLWFAFGEGDGPRGVSYHNPFHFLPKSRLGLVKFFPHRKFTNNNTNI >KGN59751 pep chromosome:ASM407v2:3:34033975:34034365:-1 gene:Csa_3G842730 transcript:KGN59751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFVGENRDFDSANDNNKPNSAQPLLDSSFQPSLADDTNAKEAEELSERIARDLKDGLHPLKTQMRAYSLSEF >KGN56374 pep chromosome:ASM407v2:3:6507429:6512613:1 gene:Csa_3G118090 transcript:KGN56374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLITCSTPSLTSSAHSLSYRAFSGCATSRAFITQTKQVQRYCSCQSFNHSSEENSYISLSNENSRRKILLFFLSTTALFPTRHSSAKTKNKNPYDERRLLEQNKRRQKENNAPVDFPSFVREGFEVKVIAPESYVKRDSGLIYWDIEVGNGDCPKDGQQVIFHYVGYNESGRRIDSTYLQGSPARIRVGTNALVPGFEEGIRGMRPGGKRRMIIPPELGPPVGPSTFFSSKQFEVFDVELLSVQDCQRRTIGFYSDIVCN >KGN59607 pep chromosome:ASM407v2:3:33054848:33058167:1 gene:Csa_3G828960 transcript:KGN59607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPFFLPFSIFFLFLFLLPFSSLSANRFPKLILHNNDIDESVIRMKTGGSAMTIDPTRVIQLSSKPRAFLYKGFLSAEECQHLINSAKGKLHQSLVAAGTGQSVTSKERTSTGMFLHKAQDEIVARIESRIAAWTFLPLDNGEPIQILRYENGQKYEPHFDFFQDPGNIAIGGHRIATILMYLSNVEKGGETVFPNSPVKLSEEEKADLSECGKVGYGVRPKLGDALLFFSMNPNVTPDTTSYHGSCPVIEGEKWSATKWIHMLPIDEFWRNPACVDENDHCTAWAKAGECEKNPVYMMGSKNELGFCRFSCKVCSPS >KGN56019 pep chromosome:ASM407v2:3:3332696:3333441:1 gene:Csa_3G047800 transcript:KGN56019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSDNDSGGGYQKSPSPREHDRLLPIANVGRIMKKALPGNAKISKDAKETVQECVSEFISFVTGEASDKCHNEKRKTINGDDLLWAMATLGFEDYVDPLKLYLQRFREIEGERTTLASRDSASSAANSAAAGNSGFFDGGGEFGGAGGSGMGMGMTTTMPPNVYGSNGPRWDGPGFSTTGRPR >KGN56806 pep chromosome:ASM407v2:3:8911381:8913840:-1 gene:Csa_3G134550 transcript:KGN56806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPLGLMPTTGIASAGGSSGASNDENSVSKAKELNTHQTDLSSEEFSSPPVEAELELGLGLSLGNGVSAGKGKQGLWGERGRILTAKDFPSAISPGGSSSSSSARFSGRPVAISGVKRAAEPVSHDGGSSPPAVSQVVGWPPIRAYRINSLINQAKNQRAGDEKELLSLKNRSNGVSEKIQDGKNTSATDTVKGPVGFVKVYMDGVLIGRKVDLNAHSCYETLALMLEDMFFKSTGSVPSTGLNGGQDEQAPKLSKLLTGSSEFVLTYEDKEGDWLLVGDVPWRMFLGSVKKLRIMRTSEAKGLAPRCQGRSERNGIKPI >KGN59024 pep chromosome:ASM407v2:3:28935793:28938713:1 gene:Csa_3G746560 transcript:KGN59024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDDAAPGSTTNDSYCDATITHSPTTAPFVVSPLPKRGWGDEEHHDVVADTGDLSSVPSEVMESLKIEDETTLEEPIDSNITAVTTGDTPYSSASTFEDLNLSKELLKGLYVEMRFHKPSKIQAISLPMILTPPYKHLIAQAHNGSGKTTCFVLGMLSRVDVNLKAPQAFCICPTRELAMQNIEVLKKMGKYTGITSECAVPADSANYMPVSKRPPTTAQVVIGTPGTIKKWMSGRKLGVSCVKILVFDEADHMLGEDGFQDYSLRIMRDIERSSSHFQVLLFSATFDENVKNFVSRVVKDYNQLFVKKEELSLESVKQFKLICPNEQTKIRVIKDRIFELADKLGQTIIFVGTRKSAGVLHKALVDLGYDVTTIKGAMTNDIRDKIIKEFKDGLTKVLISTDLLARGFDQQQVNLVINYDLPLKHDPSSQAMKYRSSSSSEPNFEVYLHRIGRAGRFGRKGAVFNLLCGDQEIKRMDKIQKHFGSEVIEVRDTDEDIEAALKSAGLI >KGN58645 pep chromosome:ASM407v2:3:26841791:26843185:-1 gene:Csa_3G710730 transcript:KGN58645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLDSILASPHRRSPSFRKQFPANELGSWSTLFKRHRFLLTALALLTFLCTIYLYFAITLGATASSCSGLTGTQRELCRLEHAKASLAKGKLKFL >KGN59402 pep chromosome:ASM407v2:3:31637454:31644121:1 gene:Csa_3G816150 transcript:KGN59402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPKKPKRLYQVWRGSNRFFCGGRLIFGPDVSSLFLSICLIAVPAVAFCIKIILKIHDEKPPGNDRWFPVLFGGLSLTILDLMFLLLTSSRDPGILPRNSKPLESDESDDVATPSMEWINGRTPHLKIPRTKDVIVNGHTVKVKYCDTCLFYRPPRASHCSICNNCVQRFDHHCPWVGQCIGIRNYRFFFMFITTSTILCVYVLSFSLSILIHQQEPFFKAVSKDILSDILVVYCFIAFWFVGGLSIFHSYLVCTNQTTYENFRYRYDKKENPYNRGMVKNLREVFFSKILPSSNKFRAVVEDDHMMVAVTPNLEEGVLSSKEKIDFERGTRFMEDEAFPIPEILRRLEFDDDLSDDLKTVEGERPHVDPLFPLDQEVEEFAKRASDRILDPQLVLSMEDSDAVAVENFTLNGVNADETKDAHDSSQVKASKF >KGN59501 pep chromosome:ASM407v2:3:32374946:32379350:-1 gene:Csa_3G822510 transcript:KGN59501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNKQTFFILSLVIFWYSSNIGVLLLNKFLLSNYGFRFPIFLTMCHMSACAILSYFSIVVFKIVPIQMLKSRSQFFKIATLGLVFCASVVGGNVSLRYLAVSFNQAVGATTPFFTALFAYLMTLKREAWVTYAALIPVVAGVVIASGGEPGFHLFGFIMCISATAARAFKSVLQGILLSSEGEKLNSMNLLLYMSPIAVLALLPVALVMEPNVWDVTLALGRDHKFMWLLLLLNSVMAYSANLLNFLVTKHTSALTLQVLGNAKGAVAVVISILLFRNPVTVIGIGGYTITVLGVVAYGEAKRRYR >KGN59726 pep chromosome:ASM407v2:3:33853617:33854721:1 gene:Csa_3G841990 transcript:KGN59726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLNCVLLVHNLAISEAPTPQPQTSSNYSNPMHGVTEGSLQPQECGARCTGRCSKTAFKKPCMFFCQKCCAKCLCVPYGTYGNKQTCPCYNQWKTKRGGPKCP >KGN56253 pep chromosome:ASM407v2:3:5391835:5392221:1 gene:Csa_3G110015 transcript:KGN56253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNTINIWKSLLIHRRPGNVICTNTSSKGAKENLQCVEFAIPTQNVNYITNIDDLVRIVTQYTSESSNIVSPLLEFFHSLGLNWIIVQKKGIRLLSDSDQSSFSDPAADSRYSRRSLNFPSHLTSNG >KGN58568 pep chromosome:ASM407v2:3:26263811:26266637:-1 gene:Csa_3G686710 transcript:KGN58568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGATQQVEEREMERRTEEEREENWRKLPEEKRMEDLEKGEKVGVLPEQVMERDIKTTNNEGDLHVSMLHRLNPTNPLRIILNGGARVATPSPQPSSGGPSGHHHHQHRQPPAPLSVSTPQQPAVINLNSKAYTDKVSLFLFVLHLVLAVGLVCFLVFKGIQGLLYASDSIKRKEKRLLQYFLPQVEVASLLSISLAFAWQKAVRLWPKFMVHFILWCSFAMSLSAGILLVCFQMPATEGVGVCFLVFAIGNGLYACWVSQRIGFCSKVFIKSLEPVCKIRDLNQPTYWMLGAGFLWMSFWILSVIGALNFYFPPLTIIVLVLSLLWTAEVMRNVANITVSRAITLYYLRGMESNTRYCLQRALTRNLGSASLGSLFVPTIEALRILARGLNLLEGEDEFMFSCAHCCLHVMNSIFRRGNSWAFVQIGSYGKDFVRASQDTWKLFEMTDMVQIVDSDITSSICFLTGVCSGCICVIVIASWTFTVHRGFTATISLLSFIVGYLMTRIAMALPHACVSCYYVCYAENPSNRLFDDTIKDRQALLKSNRDVVMTPRMPRRSRT >KGN60414 pep chromosome:ASM407v2:3:39178237:39181843:-1 gene:Csa_3G903540 transcript:KGN60414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELIMNVCVIIGVVLGFFYVFARRFNEIWYSFKLGRKIYKSLPPGDLGWPFVGSTLAFNKAFRVVGDPYTFIHTHLLRYGRVGMYKSHLFGMPTVIVTNPEICRRIYLDDECFEPNYPKSVKILETNGNFLKIEHKIGYKIIASPMNGSEVLSKHVEFIEQLVEMGLEEWSSMRREPIEVMDKIGGLFFKVVLHIFLGNEIDDQAMAELHTLYKELGLVIMSFLPYDLPGFTYRRALKARNKIEKILRCVIEKKRKRFEKDDGTTEVYSLLDKLIVAKNENGSKSYSDDTIIDLLLGTFFAGHNTPATAAMWALLHISQNPHIFQMAKEEQESIIRQRPSTQKGLTFQEIKQMKFLTKFINEVLRRNTVAPTNFRKAKTNVNINGYTIPKGWTNYTPKPGEFIPFGLGSKFCPGSELAKLEMTILLHHFVLNYKVEPVDQNCNDTRLPSAKPMGLCRIVRVSQ >KGN56554 pep chromosome:ASM407v2:3:7437749:7441455:-1 gene:Csa_3G124740 transcript:KGN56554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLDVTRTELALVVLYLNKAEARDKICRAIQYGSKFLSNGEPGTAQNVDRSTALARKVFRLCKFVNDLHGLISPTPQGTPLPLVLLGKCKNALLSTFLFLDQIVWLSRTGIYKNKERAELIGRISLFCWMGSSICSTLVELGELGRLSSARKKLEKDLKNKNKYEDEKYCAKVKQSNERSLALIKAAMDVVVAIGLLQLAPKKVTPRVTGAFGFVTSLISCYQLLPSAPKSKAS >KGN59919 pep chromosome:ASM407v2:3:35544369:35546970:1 gene:Csa_3G853170 transcript:KGN59919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESYSKTAVAIVIPLLLFLFSLFWVLSRRLLFRSQQRNKKLQPPVASGAWPVIGHLHLLGGSEPAYKTLGKMADAFGPIFTLKMGSHRAVVVSNWEIAKECFTTNDRVFASRPKLVAAKHMGYNNTMFAFTQYGPLWRHIRKIANHEILSNQRLDLFQHIYKSEVQVSIKKLYELWVTNGSEKVLVEMKDWFGELTLNTIFRMVLGKRFSTTVEGSSNEGSDEGEQFRQALRDFLQLFMAFVPSDSFPFVSWLDLGGYEKAMKKTAKILDKTLHKWLIEHQQKRNCNNCDDVVHKEEDFMDVMLSTVQNVEELIGYDVGTITKATCLTLILGGAESTQVTLTWALCLLLNNNEDTLKKAQLELDEQVGRERLVLESDVKNLLYLQAIVKETMRLYPAAPLAALHEAMEDCNLVGYHIPAKTRLIVNLKKLQKDPLVWEDPDEFRPERFLTTHKDFDVRGQHPQFIPFGSGRRMCPGVSFASQVMHLTLANLLHGFEIRRPSEELINMEEKVGLTSMKTTPLQIVLTPRLSAQVYV >KGN57101 pep chromosome:ASM407v2:3:10678937:10679398:1 gene:Csa_3G153750 transcript:KGN57101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVWIFDNGVIRLVERAGAAETTTSGGSGKRKVLIYTPTNEAMTSYEVLENKLSMLGWEVYYEDGEVMQFHKPSSVDLISLPKDFNKLKARHLYDIVVRNRNLFQVKDMQSH >KGN58113 pep chromosome:ASM407v2:3:21473187:21473441:-1 gene:Csa_3G516520 transcript:KGN58113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLRLTRLGRVAHKKKIDSACVLDRQVRRWLATLCEWRPRSVTVSTEEGATGDDDFDEGGDDRFQVILKTRRDAQLSNQREDFD >KGN57880 pep chromosome:ASM407v2:3:18433883:18438745:-1 gene:Csa_3G361150 transcript:KGN57880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGANSFFFILGFAWFIQACMAGQIGIRSRLFASDHNQVWLSDNRTFAFGFSPLSSSGDNVNDRFLLAIWFAELPGDRTVIWSANRNSPVSKNAIVELDVTGNLVLTDGAAASVVWSSNTSGDGAEYAVMSESGNFILFNAERSPVWQSFSHPSDTLLPNQPLSVSLELTTSKSPSHGGYYTLKMLQQRTTLKLALTFNLPESYEGLPESYANYSYWSAPEISNVTGEVIAVLDEGGSFGVVYGDSSNGAVYVYKNDNDNGGLSASTNQSIRNVRTQVVRRLTLESNGNLRLYRWDDDVNGSRQWVPEWAAVSNPCDIAGICGNGICYLDKSKTNASCSCLPGTFKDNGGSQCFENSSSVGKCGGQNHQSSSTQFRISPVQQTNYYYSEFSVIANYSDINTVAKCGDACLTDCECVASVYGLDDEKPYCWVLRSLDFGGFEDAGSTLFVKVKSNGSIPEANGPGGGGDSSGSAKEKATGDLEVYIKEALEMGLL >KGN57586 pep chromosome:ASM407v2:3:14583375:14600336:1 gene:Csa_3G219210 transcript:KGN57586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPEQTFIRVQERFSQMLTPKVRATLEYMNLCIAITLFCILVVMHANYVQQPGCSSELSGVETTEAQLIQIKITTAGLWSQNESELNIQDVPGGETVRESLEVANDEDELTFLAAKFWLNWFGSGARRGKFAPKLWKSDTEVLEHQAENTGGDQCSKTAVDDTVIKLEKEELHISFLISVKETFKAAIVHFGKRWNRRILFICRHTKQILTSLWKLSNVAGINLSLDVSKWSHILHLDRLQSAAVQWLVRRSKSFEPTYLYTREKGYFLLPEGAKSRHNIQTVNITIPAQHSCFGNRWQQLLINRFVGYDTILMNSLLTFPGQGYLYNYQTKEFYNLSYAFEPPEGPARFGDYFVTKCGVLMMSLFVFFTTTMSVSFTLRETQTRMLKFTVQLQHHARHQLPTFQLIFVHVIESLVFVPIMIGILFFLFEFYDDQLLAFMVLILVWLSELFTLISVRTPISMKFFPRFFLLYFLVFHIYFFSYAYGFSYLALSTTAAFMQHLILYFWNRFEVPALQRFMQNRRSQIHQHPDFHITSSTILASTLHITRLNTRNPNGQNTDPNFGQDLRPASETTHTEPGGMTGEVPHPLEQTENNSLDGAGDRIQIPGQHELGQPRNANSFSSLLFWILGGATSEGINSLRSIFRESRSNGQVYTGSPTDENNGNQNVQ >KGN55894 pep chromosome:ASM407v2:3:2414171:2418081:1 gene:Csa_3G033760 transcript:KGN55894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQSRRVAVIGAGAGGLVSARELSREGHHVVVFERNTQIGGTWVYSPEIESDPLGIDPNRTRMHSSLYKSLRTNLPRELMGVRDYPFVPREGEDRDPRRFPSHPEVLKYLEDFANEFGICKLVRFRTEVVFAGLEEVGKWRVEFRCENGDVDYDIFDAVVVCVGNYSEPHVAEIPGIDGWPGEQVHSHNYRDSEPFRGKVVVLIGYSFSGMDISQELNGVAKEIHIACRSAKTELLDTQSIISNASFHPLIKSLREDGTMVFQDGCVISADVILHCTGYKYHFPFLETNGIVTVDDNRVGPLYQHVFPPALAPGLSFVGLPFKAVPLPIFELQSNWIAGILSNRIALPSKEEMLADVKAFYEDLEAFGKPKHRTHELGDDMPVYCNWLATTCGCPAFEEWRKKMYIAIGIYKKANLETYRDDWQDNELIRQAYEEFSKYKYK >KGN58792 pep chromosome:ASM407v2:3:27741067:27743717:1 gene:Csa_3G732500 transcript:KGN58792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDDIYTKDGTVDVHKKPAIKKKTGNWKACRFILGNECCERLAYYGMSTNLVNYLQIRLNMDNVTASNSVTSWSGTCYLTPLIGAFLADAYLGRFWTIASFSIIYAFGMTLLTMAASIPGLKPSCDSSGCHPSGGQTAATFVALYLIALGTGGIKPCVSSFGADQFDENDEVERKKKSSFFNWFYFSINVGAMIASSVLVWIQMNVGWGWGFGVPAVAMAIAVVFFFSGSSLYRLQKPAGSPLTRILQVIVAACRKHQVHVPEDKSLLHETADDIESKIEGSRKLEHTNNFKFLDKASVETENDRIKGLPNEWRLCTVTQVEELKSIVRLLPVWASGIVFAAVYSQMSTMFVLQGNTLDQHIGPSFKIPSASLSIFDTISVLFWAPVYDRLIVPIARKFTNNERGFTQLQRMGIGLAISVFSMVTAGALEVARLNYVRVNNLYDVETIPMSIFWQVPQYFFIGCAEVFTFIGQLEFFYDQAPDAMRSMMAALSLTTVGLGNYLSTLLVTIVTKVTTRHGKLGWIPSNLNMGHLDYFFWLLAILSVVNFFVYLLVAKCYTYKRVTGHLH >KGN59392 pep chromosome:ASM407v2:3:31563005:31567618:-1 gene:Csa_3G816050 transcript:KGN59392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLQALDSQVLIGLAAALVAIVVGAVFLFSSRKPASRACLDPEKFKEFKLVKRTKLSHNVAKFTFILPKTDSILGLPIGQHLSCRGKDSQGEEVIKSYTPTTLDSDVGYFELVIKMYPQGRMSHHFREMRLGESLAVKGPKGRFKYQPGQVSAFGMLAGGSGITPMYQVSRAILENPDDKTKVHLIYANVTLEDILLKEELDLLAKRYPDRFKIYYVLNQPPEVWDGGVGFVSKEMIKAHCPAPAADIKILRCGPPPMNKAMGEHLDALGYSPEMQFQF >KGN55734 pep chromosome:ASM407v2:3:1015701:1020491:1 gene:Csa_3G008910 transcript:KGN55734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFQDIEAGRPFASSRRDLINGKQDPTQAVASGIFQINTAVATFQRLVNTLGTPKDTPELREKLHKTRLHIGQLVKDTSAKLKQASDIDHHAEVNASKKIADAKLAKDFQAVLKEFQKAQRLAAERETAYSPFVPPTVLPSSYTAWEADASSEKNLEQRALLVESRRQEVLLLDNEIAFNEAIIEEREQGIHEIQQQIGEVNEIFKDLAVLVHEQGAMIDDIGSNIEGAHAATSQGTTQLVKASKTQRSNSSLACLLLVIFGIILLIVIIIVVA >KGN56937 pep chromosome:ASM407v2:3:9645000:9652233:-1 gene:Csa_3G145740 transcript:KGN56937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLINSEETVADSSETGLVESRNLKSSELVSFEDDNGNDADDSVLDVSGRNLDSNFLEGSSSSVKGLYVFRNAFNLIPKSVGDFRELRMLKFFGNEINLFPSELKNFVGLECLQVKLSSPGFGGLSLHKLKGLKELELSKIPPKPSSFPILSEIAGLKCLTKLSVCHFSIRFLPPEIGCLNSLEYLDLSFNKLKSLPSEIGYLNSLISLRVANNKLVELPPALSSLQKLENLDLSSNRLTSLGSLELVSMHSLRNLNLQYNKLLKSCQIPSWICCNFEGNLEYDTANEEWISSTVEMDVYEATDQDNENSFPLKGMRNISSNLLMGPSTNSRSFASKRSGKRWRRRHYLQQKARQERLNSSRKWKGVDHHTEVKIHENQEPERLDSASISETTVGDSSAIDELFDSKETCDVGAERENHIESHENDNFDPKKEFPVEDCSSICDAAAETMTRDENECCETSKTLPLTGNGAHDQEGSSSQVSKDNAKLKRCSEKELDNPKPCKSRKPVEYSSSLSCKYNSTSFCNVEDYLPDGFYDAGRDRPFMPLRNYEQNFHLDSREVIIVNREHDEVLDSITIAAKSLVLRLKQINQLTQERDQVIDDVYIAQLLALFVSDHFGGSDRSAMVEKTRRVVSGSKYQKPFVCTCSTGDRDNLTSSTKLTVDNYEDILFTDICEKSLRSIKASRNSIIVPLGALQFGVCRHRALLLKYLCDRMEPPVPCELVRGYLDFLPHAWNVILVQRGNTLVRMVVDACRPNDIREEADPEYFCRYIPLSRAKLPISFGVTSSPGISFPSLSNCDEIEKAPSSSVIKCKLASVEAAAKLRKREVCESSFEEIRNFEFSCLGEVRILGALKHSCIVQMYGHQISSEWIPSENGKPKRRLLRSAIFLEHVKGGSLKSYMDKLYKAGKEHVPMDLALHVARDVASALVELHSKHIIHRDIKSENILMDFDEKSDGVPIVKLCDFDRAVPLRSLLHTCCIAHTGIPPPDVCVGTPRWMAPEVLRAMHTPHVYGLEVDIWSFGCLLLELLTLQIPFLGLTELQIFDHLQMGKRPELAGDLEEELGTIKQSTMSQSSVQESEGQEKDQETKALLIDLFRKCTQENPNDRPTAEELHRILLEHTVKVKSLQELAT >KGN58074 pep chromosome:ASM407v2:3:21092952:21094659:-1 gene:Csa_3G483830 transcript:KGN58074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLATLAAVQPVTVKGLGGSSLAGAKLPLRPSRQTFRPKNFKAGAVVAKYGDKSVYFDLEDLGNTTGQWDLYGSDAPSPYNSLQSKFFETFAAPFTKRGLLLKFLLLGGGATLAYFSATAPDDVLPIKKGPQLPPKLGPRGKI >KGN58644 pep chromosome:ASM407v2:3:26832204:26835241:-1 gene:Csa_3G710230 transcript:KGN58644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQLMNSGTEKIPTAKRLKKEVEDSLEDLLDQFHKRSKSDFSSERWTSEANAFPLSSSPYNPLDEPSPLGLSLKKSPSLLDLIQAKLSQETAKLDSLSKKDQKGGNAFTTADKLKASNFPALILKIGTWEYKSRYEGDLVAKCYFAKHKLVWELLDGNLKNKIEIQWSDIVALKATYPEDGLGTLDVVLARQPLFFREINPQPKKHTLWQATADFTGGEASRYRRHFLQCSQGLLNKHFEKLVRCDPRLNFLSQQPDIVLECPYFKTNGSNESKEGVDLKEGPTFFSLGMVSPSGTQSPSSVKEHECLAGASEEYSEQSPSPNSGLEAQATEELRNDGCESSRLLNKWDQVMVPGIRPSMSVSDFVNHIEHCLSQQMTPNGSMFSEENQQSREALEGITQYLFGDSQNPSDSDEQTIMSRVNSLCCLLQKDSCMAKTLQTKAANNSLDVNTYPTTASEYETMSREGLPAHDGFQSSKHIAMSRNDSVGELLLNLPRIASLPQFLFNLFDDSDDRSR >KGN56583 pep chromosome:ASM407v2:3:7604494:7607432:1 gene:Csa_3G125010 transcript:KGN56583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSILNHFLCIFPIAFSLRSREREPQLCKPALKMSVTLHTNLGDIKCEIFCDEVPKSAENFLALCASGYYDGTIFHRNIKGFMIQGGDPTGTGKGGTSIWGKKFNDEIKESLKHNARGILSMANSGANTNGSQFFITYAKQPHLNGLYTIFGRVIHGFEVLDIMEKTQTGPGDRPLAEIRLNRVTIHANPLAG >KGN59238 pep chromosome:ASM407v2:3:30452327:30456276:1 gene:Csa_3G783840 transcript:KGN59238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESENGVNVEDESCAVETPQLNNSVVDLTKEGENGDSVQQAPTRKEISEPVAESENHSSSKNKIQASITVPKSKNVKASKEPGTAVGSSKNNKLAKEKPTLTGFAQSPRPNRRVLSQSLSFPARGASTDVMDKSIDKYPVKTVAKRTGENGTKSRSQISEDSQLKNSSKNVLNRVISKGVNKNTGSNAHRSSLASISGNHSSIPVKNTSVNATSDSPPSESALSVEQKAKPEKTTFAPKEDDDAHSTSSGATPRGRRNSCPGFAFRLDERAEKRKEFFLKLEEKIQAKEVEKTNLQVKSKESQQAEIKQLRKSMTFKATPMPNFYKEPLPKPELKKIPTTRPVSPKLGRNKNSTAEAGTSVHSPRQNGEPSNSPKAFRPKSDKEATILKKPAKKPQTKLHPNEATASKTEGAAIKPKPKTNKPERHHPKPDAVETTKQQEDQPVDRPESNDSGDVNTGLDPPNNEGPTTTTSPEIMAPEIAVGG >KGN59495 pep chromosome:ASM407v2:3:32334373:32336484:1 gene:Csa_3G822460 transcript:KGN59495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATGENVTTVALTESRLRIRLQRYFFGKKILLVLDDFGNLDPERVSELKKIVKMGVGGSKIMITTRSDETLNVATTHKIDKLDETISMQIFEDTYGSEGLSEGLRDDLYLKNLVAECGGAPLAIKCLAGLLSSKPSDGAKSPNVKDLSEKWKQEEANNGGGVLCALRLSYDLMPSYLKPCFLCFSVLPKDNVFFSFELIQLWMAQGILPSGTKDNPEEVGEKYFKEFRDRRLLVDVEEHTLGYWFKIHSLVHDLAVQKATEQKNLGNFHMLSFVDCDSIPSSTNYDNTRFISIPVVGGAGPNINSDLFKCITQFRQLRFLYLCNSSLEEIPTSIDTLKHLRCLDLRGSQRLKRLPESICKLQSLQTLVLAFCSELEELPRNIKNLISLRFLWIQTKQARLEKDEIGSLTSLRFLAIGRSENLTHLFEDINKLNSLKTLIIYECKSLLTLPKGLENMKSICNMGIWECDRLRFTFSLASLHLKKLILRELTAVSTLPNWLSNLDGTLEVLEIGEFPTLRKLPIWLLNFWELRILGISNCPKLKHDSFPPELNYFCDKIEELRITFCGSLSKSLLKKSMKEIEPESRVIFYIHTIYVDSKRMTPPVESTDEPKEAETKQDDAYNNASPPGTEQPSKTKHDDANNNMSHPGIGLLSESKQEHTNNNINEIETVKVCLGDNDHAEAHQAMVTTYEGF >KGN59947 pep chromosome:ASM407v2:3:35750131:35752015:1 gene:Csa_3G855410 transcript:KGN59947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTNMGTNAALRWQNLRALMKAQSTADSLNKSSSHLSAASNNEFMALLKLVGSPLIPFQVHLDLTFNCSLRDYSIEASTAKYIVQQYVAATGGQAALNSVNSMYAVGQVKMVGSAMQQGEDSVQARGKCEVGGFVLWQKNPDLWYLELVVSGYKVSAGSNGKVAWNQSSSQPCHANKGPPRPLRRFFQGLDPRYTANLFEDAVCIGEKTISKEDCFILKLETAAELLKSQSTPHVEIIHHTIWGYFSQRTGLLVQFEDTKLVRMKAGKRNDSVFWETSIASTIEDYRYVDNINIAHGGRTTARLHRYGETLNHRRKIEESWRIEEVDFNICGLSMDCFLPPADMKRDPSDVGEQEAGES >KGN60353 pep chromosome:ASM407v2:3:38758778:38762234:1 gene:Csa_3G900980 transcript:KGN60353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIFFSPSLSSASPLSTPSFQPRSHLHLQPRSCRFGVRCSYVDAGVRDDYAPNTIDVVADVKSEKVVVLGGSGFVGSAICKAAISKGIEVVSVSRSGRPSNTSSWVDQVTWVPGDVFYLNWDDVLVGATAVVSTIGGFGSEEQMKRINGDANIAAVNAAYDFGIPKFVLISVHDYNLPSFLLSSSYFTGKRQAESEVLSKFPRSGVVLRPAFIYGKRRVDGFEIPLDLVGEPVEKFLSVFGNFIKPLSSVPASDIFLAPPVSVDDLALATINAITDDDVFGVFTIEQIKEAAAKVRA >KGN59841 pep chromosome:ASM407v2:3:34895669:34896924:1 gene:Csa_3G849970 transcript:KGN59841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTEKLLNQIMELKFTAKSLQRQARKCEKEEKSEKLKIKKAMEKGNMDGARIYAENAIRKRTEQMNYLRLSSRLDAVVARLDTQAKMSTINKSMGSIVKSLESTLATGNLQKMSETMDQFEKQFVNMEVQAEFMENAMAGSTSLSTPEGEVNSLMQQVADDYGLEVSVGLPQPAAHAVPARETEKIDEDDLSRRLAELKARG >KGN56056 pep chromosome:ASM407v2:3:3586152:3588581:-1 gene:Csa_3G062580 transcript:KGN56056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPVEKLGVLEEEKKECVVVGNEKERNEVVGVLEVFVHQARDIHNICIYHKQDVYARLSFTTDPTNSVSTKTINGGGRNPVFNDMVRLDVRSIDTTLKCEIWMLSRVKNYLEDQLLGFALIPLTEVVAVDNKLEKEFSLSSTDLFHSPAGFVQLSLSYNGASPQVMVIPAIETTVGVEDSDLTKSSHPSDLDMIEFPDPKIANEDQIMVSEYFGIPCSNLDSESSESLPVSEGENHHVISSVESFSISKDKSSEDTKKDSPRSEVQKGAVSSPQSPSEAPVDSKCKTQEVSARNGTSEKEEKNGEANDCCSDTIRKPLVSVSIEAEEKVVQQDIVEMYMKSMQQFTESLAKMKLPLDIDTNGGSPSPATSSSDPNLQSPKNSGPRVFYGSRAFF >KGN57834 pep chromosome:ASM407v2:3:17966294:17967860:-1 gene:Csa_3G342860 transcript:KGN57834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQTESIWAKVPLKSPPEKFYGFFRNHMGDLVHMFPDNFQSFQFLEGESFTTGSVMHWQYHLGSPAAAKIKMRVVDDVKKSIVYEFMDGDVLKHYKVFRAKLEAVNGGLNKVGGNFAKWTIEYQKANENVPSPETYMELAVKVSKGLDAYIFKN >KGN55853 pep chromosome:ASM407v2:3:1970099:1987116:1 gene:Csa_3G019930 transcript:KGN55853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYDDNDFQSQNLHLAGEGSAKFPPVLRQYALPKFDFDDTLQGPVRFDGLVEPEVFLGIENNEDTQWIEDYSRVSSGIGFTSCAAESCSILRRKNVWSEATSSESVEMLLKSVGQEDINLAPTVTGESNAREKLDYLTNPMDPTLKDDGSSFCEMGDLQPTLLSNISLEELHVVNEEIRGEQQQPQRDNPTEFQEICTVDRSLGEVDPGVAHELVDMPASEGSSGIDENSKKTFASTINTPVSLLAEDKGQDDFSASGKHIDDLVTCAHEGSGKLGSQKIEQQIKDLSKNPVNTYVGNIEQVVNSHELSKENQNPLLSPSVPSERLVVESSISPLQSHASMTLKGDCVFHSGSGKVMPEVPSETDKLDDKVLCSNMEFGNPSKESVCEVLPAVVEGDARTETCVEGKNINAEVCAVQGPRIDSVGQMACGQEMISEHLPLGIEIQTSKSELSAFAMEESRASGESSSGHIRDIPDKFTEDVRGCTRHSIENLYFEGHLPPTTVAESTQLCEENKLCQSGNVHVEHASCKEEVRLSSDSTCVNGKFADSPVTDKRIAPLSFQESGIESGTIDTKLEYSANAGDESVSVSTFEGTNVRTCDTLQGDSLPLVDALTDRKDANDKEDQLQPAVVELSQSDSKEESGVIIPAEGSSPRLNTYQPVGKLHLLSEAENSTPVLTGHGSCESIDQSIPKNFNSSDCNRESQSKPEADIPNNVIQDCGQEMDIDPAISKSTAIACDSGGKQSDATSLTQRPGETLDNYQKDQESRKVFSETVGNNCQQVIALNIDSSAGKKEGSLCSATFSQSHEQTSVTGNGNSTAAKSSPNLSDVVKATVGAHDPDVKDCNKVPPSKNVEAAEVKDRLVGDAPSGSQLPKENVVSESETALTFQSSSLVDLPKNDSGIAVATAASASLVVEAPQSSSGPSKLDIKSARDISHSSPHVSEVKVARSRSKGTPERKPRRASAKGLGKESSTKGSQTKKSEKVEKSNSTAISNPGIFQLAQSNEMQQHGHVESSGAKPAVFIGASTSSLPDLNNSASPSPMFQQPFTDLQQVQLRAQIFVYGALIQGTAPDEAYMLSAFGGPDGGTNLWENAWRMCVDRFNGKKSQTINPETPSQSQSGGRSTEQASKQSTLQSKIISPPVSRVSSKSTSTVLNPMIPLSSPLWSISTPSNALQSSIVPRSPVIDYQQALTPLHPYQTPPVRNFIGHNLSWFSQAPFHSTWVATQTSTPDSSARFSGLPITEPVHLTPVKESSVPQSSAMKPSGSLVHSGNPGNVFTGASPLHELKQVSVTTGQNPTESKMRRRKKNSVSEDPGLITMQVQPHLKPVPAVVTTTISTLVTSPSVHLKATSENVILSPPPLCPTAHPKAAGQDLRGKPMFSEETLGKVREAKQLAEDAALFASEAVKHSAEVWSQLGRQKNSELVSDVEAKLASAAVAIAAAAAVAKAAAAAANVASNAACQAKLMADEAFSSSSPELSCQSNEFSVHGSAVGVGKATPASILRGEDGGNGSSSIIIAAREAARKRVEAASAASKHAENVDAIVRAAELAAAAVSQAGKLVAMGDPLPLGKLVEAGPEGYWRTPQVSSELVMKPDDVNGGSSNLAIKRPRDGSSSKNEIQASVSAKPSIPGEISMGSVENHPKLVDGITSCVAPREKDLRGQKDQNASDLTKTIGVVPESEVGERSSQDECEKAKDLRQSSIKEGSHVEVFKDGNGLKASWFTASVLSLKEGKAYVSYTELQPEEGSGQLKEWVALDGQGGMAPRIRVSRPMTTSRTEGTRKRRRAAAGDYIWSVGDKVDAWMQNSWHEGVVVEKNAKDETAYIVRFPARGETSTIKAWNLRPSLIWKDGEWFELSGSHANDYSHEIIMPQEKRMKLGSPAAEVKRKDKMPTIVEDVESTKPSNPSLLSISANEKVFNIGRNTQTEKKTNPLKTSRTGLQKGTSRVIIGVPRPGKKRKFMEVSKHYDVDTRTTEANDSSKLAKYLMPQGSTSKGLKRTSKYETKEKSTNDAKPLAVKSGKQPSVSDHAVIIKDSESQNVRTEGKDDQMEVPSFCSTEAAPEGSLLFPPAHAPKKAPSFHTKPERANKGKLAPAVGKLAKIEEEKVFNGNTTKPNSNVIEPRRSNRRIQPTSRLLEGLQSSLAISKIPSISHDKGQRSQNRNASRGNKT >KGN57843 pep chromosome:ASM407v2:3:18124401:18125205:-1 gene:Csa_3G346900 transcript:KGN57843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMAPYAAILLSFSFLSHLLLLANSQTSSPILVDPQNPDAEIKCGSCPCSNPCIQQLSPPPPPPPPPPPPSPCTPTVSSRPPPPRFIYTTSSPAPPPPRFTYTTGVPGNLYEIDANNSWYYFSGTRRTRPGMAAVAVAIGCGALHLMGFSKW >KGN56452 pep chromosome:ASM407v2:3:6907857:6912237:1 gene:Csa_3G119820 transcript:KGN56452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDLNSDIFDPTAGMDSDYSRASCASSSDADFGFAFNDSNFSDRLLRIEIMGEPPESRPDSVGCTSILDWARRKRRREDCKKDNVVDLNGCPEEQVLNGSQPDMDDAIPCDNLDDEPAQMIEELHSGDEAANGDESSWGMDCSTVIKVKTLHISSPILAAKSPFFYKLFSNGMRESEQRNVTLRITASEEAALMELLNFMYSNTLSCNTASALLDVLMAADKFEVASCMRYCSRLLRNLPMTPESALLYLELPSSVLMAEAVQPLTDAAKQYLAVRYKDITKFQDEVMALPLAGVEAILSSDDLQVASEDAVYDFILKWARTQYPKLEERREVLGARLARYIRFPFMTCRKLKKVLTCNDFDHDVACKLVLEALFFKAEAPHRQRILAADEASTSNRRFFIERAYKYRPVKVVEFDRPRQQCVVYLDLKREECANLFPSGRVYSQAFHLGGQGFFLSAHCNMDQQSSFHCFGLFLGMQEKGSVSFAVDYEFAARSKPTEEFVSKYKGNYTFTGGKAVGYRNLFAIPWTSFMAEDSHYFINGVLHLRAELTIRN >KGN57548 pep chromosome:ASM407v2:3:14185925:14191916:1 gene:Csa_3G209450 transcript:KGN57548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTNAADVEKTEDDLRKEIDELQRQQREITERLRDPRGLRRGGFPGPGPRNFAANGPRRGFVRPGERNDAEDQPPAKRRLSSAVVKMAEDGEINEEAEGKDAVKDTSREETSGSDAVFQNDARQNHLRQSGSFRLDGNKRARMDIDIPAAENVPRILPKNEDPSLVSRNKRMLGQLLGTLEKFRKEDKQLSGTEAFMRRSDSLQRAEQRAREESERLRQQEREQIAEKRKRDLMLRARVAAKAEEKKLELLFLRWSEHHKKLCNFIRTKTEPSIYYLPNKPLDEDATLAEQQRDEAFMEWKASRREELSEYQKQIGEQYIANVEKDLERWQNARRARKGSNDVSNLQETMDKELDTHRLEHGPKKRNIPGGSNNEDEDDVEDINVGEDDMIDDVLDVEENGRRGEETAKPEADVASPKADDTVQ >KGN57932 pep chromosome:ASM407v2:3:19297365:19300147:1 gene:Csa_3G395920 transcript:KGN57932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSFVTTNALKQLTRSIGNFVSPPSISMPLQPPSCPSFKQTLLNRIKNCSTINELHGLCASMIKTNAIQDCFLVHQFISASFALNSVHYPVFAFTQMENPNVFVYNAMIKGFVYCGYPFRALQCYVHMLEESNVLPTSYTFSSLVKACTFMCAVELGQMVHCHIWKKGFESHLFVQTALVDFYSKLEILSEARKVFDEMCERDAFAWTAMVSALARVGDMDSARKLFEEMPERNTATWNTMIDGYARLGNVESAELLFNQMPTKDIISWTTMITCYSQNKQYQDALAIYSEMRLNGIIPDEVTMSTVASACAHIGALELGKEIHHYVMSQGLNLDVYIGSALVDMYAKCGSLDLSLLIFFKLTDKNLYCWNAVIEGLAVHGYAEKALRMFAIMEREKIMPNGVTFISILSACTHAGLVDEGRSRFLSMTRDYDIRPDIRHYGCMVDMLSKSGYLNEALELIKSMEFEPNSIIWGALLNGCKLHGNCEIAEDAVEQLMILEPMNSGHYNLLVSMYAEEKDWMEVAHIRSMMKEKGVEKKYPGSSWIELEGTIHQFSASADSHPDSDKIYFVLTELDGQLKLAGYILEPSVCSTALLFSEEI >KGN58374 pep chromosome:ASM407v2:3:24578067:24583163:1 gene:Csa_3G633280 transcript:KGN58374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAPPSAVLANLKVEVSTSSSHLSFASNFSHQPRAASPTSAPSATVRVFSGVILRKISMEEANEILKNKRIEGISWLCSLSESELDLLISIKMLVLQRAKAIGHENLAEKFDLRTLRAIGFVLMEHLKGKLRTSDVSDLSQSTFNACNLLDSNLEKILSIDEIMASICSDRRKKPGKRSREKVDLSYKKNGKS >KGN57348 pep chromosome:ASM407v2:3:12436968:12443631:1 gene:Csa_3G180360 transcript:KGN57348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSRLTGGLAQSPSSSGIFYQGEGQSPAIVNSHLSQSFANSSNSIPGTGCSDFGPVSGDMNNAVLDSVANSGPSVGASSLVTDANSALSGGPHLQRSASINTESYMRLPASPMSFNSNNISVSGSSVIDGSCVVQQNSQQDQNIQHMQQQAQQGASHATSLPTPQIGQASLPMGTKHQGSFIPDPNSYSQVQKKPRLDLKPEDVLQQQVLQQLFQRQDSMQSRNSQLQALFQQQRMRQQQQILQSLPQYRAQFQQQQQIQLRQQMQQQAMQPVSPIKRPPYDAGGVCARRLMQYLYHQRQRPPENSIAYWRKFVAEYYSPRAKKRWCLSLYENVGHHALGVFPQAAMDAWQCDICGSKSGRGFEATFEVLPRLSEIKFGSGVIDELLFLDLPQERRFASGIMMLEYGKAIQESVYEQLRVIREGQLRIVFTQDLKILCWEFCARRHEELLPRRLVAPQVNQLVQVAQKCQSTIAESGPDGVSQKDLQTNSNMVLTAGRQLAKSLELQLLNDLGFSKRYVRCLQISEVVNSMKDLIGFCRDQKVGPIEGLKNYPRHATAAKLQMQKMQEMEQLVSIQGLPTDRNTINKLMTLHPELDNHGMNNHQMIGRGGFSGSAQAALAMTTYQNILMRQNSMNSNPSPHQQEASSSFNTSNYNPSPTLQGSTSLIPGSVQTSSVGGYPGSQQPLQKQSQPPLQQHPPNTGTLVQQNHPQMMQGSQALQQQMIQQLLQMSNNSKSGSLQQQPLTGPNANRSIPRRGMAYVGNTSVPAGVSGNLSGSNVPGPSRSNSFKAASNSESSAGNSGFDQKASDLPQLHFPESLVEDIGQDFPESGFINNELDEHLGYVWKA >KGN57165 pep chromosome:ASM407v2:3:11050093:11058302:-1 gene:Csa_3G166300 transcript:KGN57165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSHSKHFNSHDAARNSAMELDDPQKLQEEGDDDPFLKFVDYARSVLAFEDDEDFDPNINGTETHTPGWTWIASRVLRTCMAYSSSVTPAILLSELSQAWYEQHRVGAPKKIPECINQLKKKNRRKKLPKTVTIDSIYEKNFLALSSVLEAVILDEFILPGTNIHMLTLGDFWSSNTIDLYLHRRFYDLVNGILKKGRQIFVTGCYLRAASGGSGYPRLLPTEYLIILLDEEEDDDVMLLGAQFCSDTFSSVSLDSVNEGTTYSLYARIESIGPLEIHEMMNGLRMIQIILVDNDGFKLKFLLWGEQVLLANLLSVGSVLALDRPYVATVNENGVGTSDELCLEYGSATQLYLVPCIQHEEQVCVLTQNINQASRTVSMSYPTQSPQVSQVSLPCDSHGAIDFGNYPFRSFVIDLQDKMTGISLYGNVLDIANERNTTEAGFSMRIEDNTGEVLAKLRFVRSWSLGRVSVGHTVFISGLTCTKNKNRLEALWIENHVGASFVNLSCLPALLTSSCLHKLSRLSDLTSNTHGTKVCQVRLDQVSHCHVSTKFLHAICGHFVEETPARIECSFCRCECKSELMRTFDLKITLADDSAKIFAWCTGQTAAELLQISPDEFCELPEEEQVMYPSSLENENFVVAIVNCRRRSSTYGNNLNFANDPLSWEITRALKCE >KGN59545 pep chromosome:ASM407v2:3:32601652:32604068:-1 gene:Csa_3G824900 transcript:KGN59545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHSMVAVSLQLPQLVINPNYKLSSKCYVHHKKKHYYYYYSNFICFALKKNNSNCNTIQNPPIFSLKFSSFSPLSESPQASFDDYIEDEARLLRATFSGKSEKINQDDWRVEMPSFQVLFLKVSPVADVRLSCKSSTKDSPIHIPQNVSKFIDLQLMGWELKGLSKDFKASKIKINVKGAMYAERTKSKSVLTNNLLLNLYNLAPQKPIDFFAQDFLQPLVEKGLKGMMEEIMKEFTENLLLDYNKYKKETQKNEVPSNYI >KGN59069 pep chromosome:ASM407v2:3:29211463:29212077:-1 gene:Csa_3G751440 transcript:KGN59069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSSSSTNDHLPPPTTVAKRKAGRKKFQETRHPIYKGVRQRNGKWVCELRRPNKKSSFWVGTFCSPKRAAIAYDVVALAIKGESVPLNFPNFAHSFPRVMSSSSSISDIRAMAIKTAETFTSGDILTPLSLSSPSSLSLCSLMSEEKVVGPNYFWDEEEVFNMPAIIAGMAEGLIITPPGMKKEFDWEDSENTIELSLWSHE >KGN56751 pep chromosome:ASM407v2:3:8608966:8611040:1 gene:Csa_3G132530 transcript:KGN56751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDINQLPAECLSCILAFTSPKDACRSAVVSPAFRSAADSDALWTTFLPSDYRQIISQASSSSTSSFLNSLSKKALYLRLSDHLLFIGSGNSGFVLEKGSGKKCYMIGARDLEIVWGSSPAYWTWQSIPTSRFSEVAELQYVWWLEIKGKIEGRNLSPKTKYAAYFVFKLVEDNFCRHVFETTPVSLEVHFEGAEVEEDRRKRVILDPLEGSSVVCVERSDGWMEVEMGEFFNECGDDGSIIFHLMQIDRLRKGGLIVEGVEIRPKYE >KGN59171 pep chromosome:ASM407v2:3:30008185:30009719:1 gene:Csa_3G778270 transcript:KGN59171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSEVISTTTGQRRLEGKVAIITGGASGIGECTAKLFVHHGAKVVVADIQDDLGRALCANVLGSTNSLYVHCDVTDESQVQAAVAAAVETFGKLDIMMNNAGIADPSKPRIIDNDKHDFDRVLSINVTGVFLGIKHAAQAMIPVKTGSILSTASVASYIGGSASHAYTCSKHAVVGLTKNAAVELGQFGIRVNCLSPYVLATPLATEFVDLDGAEFEKYASSRANLKGVTLKAEDVANAALFLASDESRYVSGHNLFVDGGFSIVNPNIQIFQYPQ >KGN59403 pep chromosome:ASM407v2:3:31645942:31656077:1 gene:Csa_3G816160 transcript:KGN59403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVMGSRKVSYYLLLLCFGIVVVSVSTFFAIGDDTVQPVRLNVNASDATRQIPETLFGIFFEEINHAGAGGIWAELVSNRGFEAGGPNTPSNISPWSIIGDESTISVSTDRSSCFDRNKVALRMDVLCDNGGTNSCPTGGVGIYNPGFWGMNIEQGKAYKVTLFVRSLGKIDLSVSLTDSSGLKKLATTSIISGDVSNWTKMEVLLNATETNHNSRLQLTTLKKGVIWFDQVSAMPVETYKGHGFRNDLVQMMADLEPRFIRFPGGCFVEGEWLRNAFRWKETIGPWEQRPGHFGDVWMYWTDDGLGYFEFLQLAEDLGAAPVWVFNNGISHQDQVDTSDISPFVQEALDSIEFARGDSSSTWGSIRASMGHPEPFDLKYIALGNEDCGKKNYRGNYIKIYNAIKRVYPDIEIISNCDGSSVKLDHPADYYDFHIYTSANQMFSMAHKFDKTSRSGPKAFVSEYAVTGSDAGTGSLLAAIAEAAFLIGLEKNSDIVSMASYAPLFVNTNDRRWNPDAIVFNSSHFYGTPSYWVQRFFTVSSGATLLDTALDANSASSLIASAIIWKNAEDDNYYLRIKIVNFGSKQVSLKISVNGLNAYSIRKTGSSQTVLTSVNLMDENSLNNPKKVFPVEVPLNSAGKNMDAVAPPHSVTSIDLLMESSSIRMPGSHHSSASAI >KGN55946 pep chromosome:ASM407v2:3:2732153:2737872:1 gene:Csa_3G038190 transcript:KGN55946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRIFETLSFGGSQHGQGNQSLPFSSGNTSLKILLLHGYLDIWVKEAKNLPNLDMFHKTLGDMFSKVSFKGSKNSNGEKPQKVTSDPYVTISVSNAVIGRTFVIDNSENPVWMQHFDIPVAHYGAEVHFVVKDHDVVGSQIMGVVAIPVEQLYSGAIVEGTYPILNSSRKPCKPGAVLSLSIQYTPADRAAIFRGGMYASPDHQGVLCTYFPLRKGGKVTLYQDAHVEEGCLPTDYRLHGGVQYEHRSCWDDITESISQARRLIYITGWSVYHSVKLVRDGTRKECILGDLLKAKSQEGVRVLLLIWDDPTSTSMLGYKTVGMMNTNDEETRRFFKNSSVQVVLCPRSGGKGHSWLKKQEAGTIYTHHQKTVIVDADAGNYRRKIVAFVGGLDLCLGRYDTSRHPLFRTLQTTHVDDFHNPNFTGPTTGCPREPWHDLHSKIDGPAAYDVLANFEERWMRASEPHGLKKLKKLHEDVLLKIERIPEILGIADVSQICNNDPEGWNVQIFRSIDSNSVKGFPDKPKDAISRNLVCGKNVMIDSSIHSAYVSAIRAAQRFIYIENQYFLGSSYNWSAHKDLGANNLIPMEIALKIVEKIKAKERFSAYVVIPMWPEGVPTSTPIQRILFWQSRTMQMMYEMIYQALEEVGLHKTYEPQDYLNFFCLGNREMLDVRDGVEAGNGEKNAQSLVRKSRRFMIYVHSKGMIVDDEYVLLGSANINQRSLEGTRDTEIAMGAYQSRHTWSSTKRSSPRGQVFGYRMSLWAEHTGTMEECFERPESIECVRRMRSLGERNWKQYAAEEVSEMRSHLLKYPLKVDPTGKVTHLPGSESFPDLGGNILGTFTVIQENLTI >KGN56472 pep chromosome:ASM407v2:3:7009926:7010665:1 gene:Csa_3G120510 transcript:KGN56472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCKGLMDDPRPLAVESFSTSWLLNVKQSYDATSKVMDYKIVKPSRLLDETQSFKFNTSIHPSVLLSHADELFFDGSVRPVNINHITGASNTSDFVPVELNSSLSSLTSSPAIENRCHSGRWRKTLCMLQKSLGCLSPICHKVDPAEVNLDDDIKQKSSDVRSQRQSPRVSPQSNIGPSSGDWCHLENSIYEAILHCKKSIGNDISDQN >KGN57753 pep chromosome:ASM407v2:3:16609851:16610135:-1 gene:Csa_3G279930 transcript:KGN57753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERNEEKAFQVKDVVPKYNNSDRVMTRGRGRGGYRGQGRGTEKGCKQNEEKGQFRVQSSNKANIQCYHGKKFGHVKADCWYKNQRANFSAENEA >KGN57074 pep chromosome:ASM407v2:3:10456319:10457599:-1 gene:Csa_3G151520 transcript:KGN57074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHMVSNEVGFTARLIHHDSPLSPFYNHTMTDTARIEATVHRSRSRLNYLYYINKLSENALDNDVSLSPTLVNEGGEYLMSFNIGNPSSQVMGFLDTSNGLIWVQCSNCNSQCEPEKRGLTTKFLSSKSFTYEMEPCGSNFCNSLTGFQTCNSSDKWCKYRLVYGDNKATSGILSSDSFGFDTSDGMLVDVGFLNFGCSEAPLTGDEQSYTGNVGLNQTPLSLISQLGIKKFSYCLVPFNNLGSTSKMYFGSLPVTSGGQTPLLYPNSDAYYVKVLGISIGNDEPHFDGVFDVYEVRDGWIIDTGITYSSLETDAFDSLLAKFLTLKDFPQRKDDPKERFELCFELQNANDLESFPDVTVHFDGADLILNVESTFVKIEDDGIFCLALLRSGSPVSILGNFQLQNYHVGYDLEAQVISFAPVDCADS >KGN56598 pep chromosome:ASM407v2:3:7704072:7709547:1 gene:Csa_3G126130 transcript:KGN56598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARNREILVLVLDVGPSMHSILPEVEKVCSMLVEKKLLYNKYDEVGIVLFGTEDTKNELTEEVGGYQHVVVLQKMKVVDGDLVDVLKHIPRGTVSGDFLDAIIVGMDMLIKKFGETERGKKRLCLVTDALFPIKEPYEGTKEDQVTTIAQQMTKHGIRMDSVVVRGRFGQDAIEKTMNENDLLLNIFSRKTNAKMVYVESPTSLLGAIRTRSIAPVTIFRGDLEISSVIKIKVWVYKKTSEEKFPTLKRYSDKAPLTDKFATHEVKVDYEYKSAEEPSKVVPPELRIKGYRYGPQVVPISTAEWDAVKFKTEKSVKLLGFTNAFHIMRHYYMKDVNVFIAEPGNKRSIVAVSALARAMKEMDKVAIVRCVWRQGQGSVVVGVLTPNISEKDGIADSLFFNVLPFAEDVREFQFPSFSNLPALMQPSEEQQEAADDFVKMLDLAPDGREEILQPDFTPNPVLERFYRHLEVKSKDPDAAVPPLDGTLQKITEPDPDLFSQNQSVINTFHKRFELKENPKLKKSRRHYLREKASGSSDKEDNEEISAQIVESVANMPTVKVEKIGDTTPLEDFEAMMSRRDSPEWISKAISGMMSKIFDLIKNSSDGHSYTKAIEYLRALRKGCIIEQEPKQFNDFLRHLRNFYPEKNLHTFCEFLASQQISLISKEEAADSEVGDDEARRFWVKMEPKSEQV >KGN59028 pep chromosome:ASM407v2:3:28956304:28956585:-1 gene:Csa_3G746600 transcript:KGN59028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGVDGIWWLRSNYARQIELTEMAKFGAYAAFGSSLRLYVGTRSAFPLRLILFLNILINGSHSSTCPVFTALILTSNHERPYSRLLSPHEVLA >KGN57739 pep chromosome:ASM407v2:3:16412534:16422178:1 gene:Csa_3G271320 transcript:KGN57739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEDTLPIDYVMEAASGPHFSGLRLDGRLASPPSSSTSSPAHRSSFLSSFAQADTNSPRQPFVIGVSGGTASGKTTVCDMIIQQLHDHRVVLVNQDSFYRGLTAEELERVHEYNFDHPDSFDTEQLVDCIEKLKSGQPYHVPIYDFKTHQRCVDSFRQVNASNVIILEGILVFHDQRVRNLMNMKIFVDTDPDVRLARRIRRDTVERGRDINSVLEQYAKFVKPAFDDFILPSKKYADVIIPRGGDNHVAIDLIVQHIHTKLGQHDLCKIYPNVTVIQSTFQIRGMHTLIRDRDISKHDFVFYSDRLIRLVVEHGLGHLPFTEKQVITPTASVYTGVDFCKKLCGVSIVRSGESMENALRACCKGIKIGKILIHREGDNGKQLIYEKLPSDISERHVLLLDPVLATGNSANQAIDLLIQKGVPEAHIIFLNLISAPEGIHCVSKRFPSLKIVTSEIDVGLDENYRVIPGLGEFGDRYFGTDD >KGN58947 pep chromosome:ASM407v2:3:28489217:28490349:1 gene:Csa_3G736940 transcript:KGN58947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPSAKPISSPGRTEKFPPPLMRFLRSNVGSKSRGRSRASPMMFMRRKNNATAIETQEPSSPKVTCIGQVRVRRSSTRRRKRSGTRTRRRCRWIRAARCCPCFRKKFKPNSSPIFQRLVSFFQCGFRRKPKVRTNSPPREPPFRGGVEISNKEIQVVAAVDDDDAEEEEETAEALISSNSSPPKNALLLTRCRSAPYRSTSLASRFWGSPLKNEENQEETEEEEKEKEQSTKPNNGGKTVEIEKPTSQRASVSDQDPSGGLEFEENEEFAKNIDEHSVPERIVKSANIKQEKTGEEEEEVLGSSSRPLILTRCKSEPSRTAEKMNPEVGLFWKKRRLGIPDSCLPNNS >KGN58429 pep chromosome:ASM407v2:3:25160379:25167238:1 gene:Csa_3G642700 transcript:KGN58429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTRKRVLINAFTVRALRDTFSPARGAGIMALCATSGYYDSAEIATRILPNVVVLTIDPDSDVRLKSFQAVDQFLQILKQNNEKEISGDTAAGGLNIPSLPGNASLLGWAMSSLTLKGKPSEHSSSAPVSSNAPLGTTSSDSISVENAQTTAPVRVSSSFDLTEQHATESPTSTDGWGEVENGIHDEDETEKDGWDELEPLDEPKPSPALANIQAAQKRPVSQPVSQTKPPSSRSARPAKEDDDLWGSIAAPAPRTVSKPLNVKSSAPVDDDDPWAAIAAPAPSTRAKPLSAGRGRGSKAAAPKLGAQRINRTSSSGRHPPPFIFSIASCLSLHSRTGEAEPHSHLVSSLFTAIARRPSQRSRREVPSPSVSCRTASPHASPVATTHQIRASLSAHSRAVCRSPTTMRHVSPPFDPPSPSQAARLHTSSRTRAINSSCPSREAEPFFTSRAARVQAEPSLTRDYSSRARPSRAPLTRDYSSRARPSRAPLTRDYSSRARPSRAPLTRDYSSRARPSRAPLTRDYSSRARPSRAPLTRDYSSRARPSRAPLTRDYSSRARPSRAPLTRDYSSRARPSRAPLTRDYSSRARPSRAPLTRDYSSRARPSRAPLTRDYSSRARPSRAPLTRDYSSRARPSRAPLTRDFIRAG >KGN58566 pep chromosome:ASM407v2:3:26251719:26256176:1 gene:Csa_3G686200 transcript:KGN58566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHTLARYNKCVESSDATVDVHKVEREHEEVDILREEITTLQMKQLQLLGKDLTGLGFKELQNLEQQLNEGLLLVKEKKEQLLMEQLEQSRVQEQRAMLENETLRRQVNELRCLFPPVDCPLPAYLEYCSLEQKNIGIRSPDMACNSEIERGDSDTTLHLGLPSHVYCKRKESERDTHSNDSGAQMGIL >KGN58684 pep chromosome:ASM407v2:3:27190858:27191205:-1 gene:Csa_3G728015 transcript:KGN58684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWGVGVVHLLGTRVSLRERGRKAELIEKRCNKICVRILPRRKSRPHISDTVTIIAISSGTYWYVRIQLVMNIVRCCSSQIPAYPWLGWVGSPKVQEGKCSNTWRMSLGKLRTGR >KGN58236 pep chromosome:ASM407v2:3:22931984:22934939:-1 gene:Csa_3G597350 transcript:KGN58236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYFPDEVLEHVFDYVTSHRDRNAVSLVCKLWYRVDRFSRQKVFVGNCYSITPERVIGRFPCVKSLTLKGKPHFADFNLVPHDWGGYVYPWIQAFAKRRISLEELRLKRMVVTDDSLELLSRSFPNFKSLLLFSCEGFTTNGLAAIAANCRFLRELDLQENEIDDHSNYWLSCFPESCTSLVSLNFACLRGEVNLGALERLVARSPNLKSLRLNRAVPIETLQNILAHAPQLVDLGTGSYDHDRDSEIYENIKNTLLKCKSIRSLSGFLDVSPCCLASIYPICSNLTSLNLSYAPGLHGNELIKVIQYCERLQRLWILDGIGDKGLEVVASTCNELQELRVFPSDLSGAGNVAVTEEGLVAISKGCPKLHSILYFCHQMTNAALVTVAKNNPNFIRFRLCILDPTKPDPVMGNALDEGFGAIVKACKGLRRLSLSGLLSDQVFYYIGEYAKHLEMLSLAFAGISDKGMIHVLNGCKKLRKLEIMDSPFGDMALLQDVGKYETMRSLWMSSCEITLGGCKTLAKKMPRLNVEIINENDQLGFCRNVEMINENGQSEVCRDDKQKVGKMYLYRTLVGPRKDAPKFVWTL >KGN57177 pep chromosome:ASM407v2:3:11141296:11141934:1 gene:Csa_3G168390 transcript:KGN57177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLILNPVTSSERSRDSSRKKKKKKATREDDRQDQDQIKWKSQAQHQVYSSKLLRALSQVRISSPEPTPNETPRRGRAVREASDTVLAMTAKGRSRWSRAILTNRLKLKFRKHNKQKARVIGNSRTKKPSVSVLRLRGKGLPTVQRKVRLLGRLVPGCRKQPLPVILDEVTDYIPALEMQIRVMSAIVNLVSSSSSSSTGIDSSIPPSST >KGN59273 pep chromosome:ASM407v2:3:30724051:30724780:-1 gene:Csa_3G798110 transcript:KGN59273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPILIPMPSTAVAAATFFSLFLTSLLAASDTVLSPEKLGIRRREKLSHLHFYFHDIVSGRNPTALIVVPPPSSNASRTLFGAVVMTDDPLTERPEIGSKLLGKAQGFYAGASKTEFGLLMVMNFAFVEGKYNGSYLSILGRNTIMSAVREMPVVGGGGLFRFARGYALAKTHALNFSSGDAVVEYNVYVFHY >KGN56294 pep chromosome:ASM407v2:3:5803016:5811648:1 gene:Csa_3G113360 transcript:KGN56294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVGSVKLFLASPANTVSGLVYSPSSYSSLFSPKKKKKKKKLLVVSKSKKQPQTSSVIMLPTTFFLLLTHSSSLVARSSALPDLTDAGSDPPPRITSNLKQNLQFLRLWKDFQKRKSGVPKPATSYRRKKVEKEDLPEDTELYRDPTLALYHTNQGIDNVFPVLLVDGYNVCGYWVKLKKHFMNGRLDVARQKLIDELITFSMLREVKVVVVFDAMLSGLPTHKENFAGIDVVYSGESCADTWIETEVVALKEDGCPKVWVVTSDVCHQHAAHGAGAFIWSCKALVSEINASKKEVEMMLQEHRYDYSRCKFYSMY >KGN56535 pep chromosome:ASM407v2:3:7340955:7353895:1 gene:Csa_3G122590 transcript:KGN56535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSCSTWKQNVLRGSERTYCKTLEQFGPSRVLRNRIFDAKLTGGSRVSYKVPKKRNRIVASSDSNHLSLVCATKFDRALQLFTHKRFLNWNNKISGRGMGMVHLECQNNDSLAFIDGNGRNIEYVNSGDEGSSSGPTDGVGSAGSREVGGEAETVETNIPTVDELRELLQKAMKELEVARLNSTMFEERAQKISEAAIALQDEATIAWNDVNSTLDSVQLIVNEEYAAKEAVQKATMALSLAEARLQVAIESLELARRGSDFPETSMDIDGNEDQESLLVAQEDITECRANLEICNAELKRLQSKKEELQKEVDKLNELAEKAQLNALKAEEDVANIMLLAEQAVAFELEAAQRVNDAERALQKMEKSLSSSFVDTPDTTQGSNVIEEVENEDNKAVLEFSGDISVEMDRELPLNGDSLSIKSLPGSLSDSEGSDQPYYLSDSEIGKLSSDSAKEVESGAEKSIVSQTKKQETQKDLTREGSPLNSPKALLKKSSRFFSASFFSFTVDGTEFTPALVFQGLLDSTKKQLPKLIVGAVLLGAGIAIFANRPDRSSQMILQPDVVTISTDDVSLDTKPLFQQLRKLPKRVKKLISQIPHQEVNEEEASLLDMLWLLLASVIFVPTFQKLPGGSPVLGYLAAGILIGPYGLSIIRHVHGTKAIAEFGVVFLLFNIGLELSVERLSSMKKYVFGLGSAQVLVTAVVVGLVAHMVCGQAGPAAIVIGNGLALSSTAVVLQVLQERGESTSRHGRATFSVLLFQDLAVVVLLILIPLISPNSSKGGIGFQAIAEALGLAAVKAIVAISAIIAGGRLLLRPIYKQIAENQNAEIFSANTLLVILGTSLLTARAGLSMALGAFLAGLLLAETEFSLQVESDIAPYRGLLLGLFFMTVGMSIDPKLLFSNFPVIMGSLGLLIGGKTILVALVGRLFGISIISAIRVGLLLAPGGEFAFVAFGEAVNQGIMSSQLSSLLFLVVGISMALTPWLAAGGQLIASRFEQHDVRSLLPVESETDDLQDHIIICGFGRVGQIIAQLLSERLIPFVALDVRSDRVAVGRALDLPVYFGDAGSREVLHKVGAERACAAAITLDTPGANYRTVWALSKYFPNVKTFVRAHDVDHGLNLEKAGATAVVPETLEPSLQLAAAVLAQAKLPMSEIAATINEFRSRHLSELTELCEASGSSLGYGFSRIMSKPKIQTSDSSDENQVTEGTLAI >KGN57727 pep chromosome:ASM407v2:3:16233879:16234442:-1 gene:Csa_3G263250 transcript:KGN57727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISRHSSSKDTNRKVSYLHAQFEHRGCIWLVLIKKKIMPTRHVSTISMERVMLVYCIMKKILVNIGKIISNHIIALVKHPRGARPFSYLIEQLCLRACLMLEKLPQVEVKDGIWLPSTLHRIIAIHKNKAKIKCLKTKEGCKVVKEIDDDDVEEEDKKDNIPQKRKRQDKEDDLGSKKAKSSKIEDS >KGN55827 pep chromosome:ASM407v2:3:1754111:1758093:-1 gene:Csa_3G017210 transcript:KGN55827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAGGGNRLISVQPDELKFQIELDKQSFCDLKVANNTEHHVAFKVKTTSPKKYFVRPNTGVVQPWDSCIIRVTLQAMREYPADMQCKDKFLLQSTIVPPNTDVDELPSDAFNKDSGKTIEECKLKVIYISPTSALGNSEDEKNSSQSSSAVHRLREERDMAIRQTHQLQQELDMMKRRKFRKGDPGFSFTFAIFVGFVGIMAGFLLNLTLSSPSTE >KGN56568 pep chromosome:ASM407v2:3:7513141:7515634:1 gene:Csa_3G124870 transcript:KGN56568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQATVGRYLRHTRSDQSHHLDQPLPHQHDLQAVQNEAASLLKEIESVEVSKRKLLGESLGTSSYEELQQLEQQLERSLSHIRARKHEVYREQIEQLKEKEKHLTAENAKLAKKYDVEEERQQSPTQIQLAEVSPNYGESSSISDVETDLFIGPPKSRSK >KGN60025 pep chromosome:ASM407v2:3:36365356:36369843:-1 gene:Csa_3G872070 transcript:KGN60025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKSRSRGCCGWFLVLVVLALIAGAIVFAVKKKSSHSDDDPAPVPGPPGAIEKKYSDALKMAMKFFDVQKSGKLVDNQISWRGDSGLKDGSDANLDLSKGMYDAGDHMKFGFPMAFTATVLSWSILEYGDQMDAVGQLDSAKDSLKWITDYLINAHPSENVLYIQVGDPEVDHACWDRPETMVEKRPLIQINASVPGTEVAAETAAAMASASLVYKKSDPSYSSKLLKHAEELFSFADENRGIYSINIPEVQTYYNSSGYGDELLWAASWLYHATQDESYLDYVAGSNGKLYAKWGSPTWFSWDDKHAATQVLLSRLNFFGSESASKSVQVYKKTAEAVMCGLIPESPTATSSRTDNGLIWVSEWNSLQHPVSSAFLASLYSDYMLTSRTAKFSCNGDTYTPADLRKFAKSQADYVLGNNPLKMSYLVGYGDKFPQYVHHRGASIPADAKTKCKDGFKWLDSTEPNPNIAYGALVGGPFLNDTYIDSRNNSMQGEPSTYNSALVVGLLSSLVTTSSAVQSFT >KGN58774 pep chromosome:ASM407v2:3:27636165:27639479:-1 gene:Csa_3G731840 transcript:KGN58774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTFENESDRLALLDLKARVHIDPLKIMSSWNDSTHFCDWIGVACNYTNGRVVGLSLEARKLTGSIPPSLGNLTYLTVIRLDDNNFHGIIPQEFGRLLQLRHLNLSQNNFSGEIPANISHCTKLVSLVLGGNGLVGQIPQQFFTLTNLKLIGFAANSLTGSFPSWIGNFSSLLSMSLMRNNFQGSIPSEIGRLSELRFFQVAGNNLTGASWPSICNISSLTYLSLGYNQFKGTLPPDIGLSLPNLQVFGCSGNNFHGPIPNSLANIVSLQIIDFFDNNLVGTLPDDMGNLRNLERLNLGENSLGSGEAGDLNFINSLVNCTRLRALGLDTNHFGGVLPSSIANLSNQLTALSLGYNMLSGSIPSGTTNLINLQGFGVEGNIMNGSIPPNIGNLKNLVLLYLYENEFTGPIPYSIGNLSSLTKLHMSHNQLDGSIPTSLGQCKSLTSLKLSSNNLNGTIPKEIFALPSLSITLALDHNSFTGSLPNEVDGLLGLLELDVSENKLFGDIPNNLDKCTNMERLYLGGNKFGGTIPQSLEALKSLKKLNLSSNNLSGPIPQFLSKLLFLVSVDLSYNNFEGKVPIEGVFSNSTMFSIIGNNNLCGGLHELHLPLCTSNQTRLSNKQFLKSRVLIPMAIVITFVGILVVFILVCFVLRKSRKDASTTNSLSAKEFIPQISYLELSKSTSGFSTENLIGSGSFGSVYKGVLSNDGSVVAVKVLNLQQQGASKSFVDECNALSNIRHRNLLKIITSCSSIDGQGNEFKALVFNFMSNGNLDCWLHPKNQGTNLRRLSLIQRLNIAIDIACGLDYLHTHCETPIIHCDIKPSNVLLDDDMVAHVGDFGLARFMLEESNDQISFSQTMSLALKGSIGYIPPEYGSGSRISTEGDVFSYGILLLEMIIGKRPIDDTFDNGVDIHLFTATMLPHEALGIIDPSIVFEETHQEEETNDEMQKIAIVSEQDCKEIVPRWMEECLVSIMRIGLSCSLREPRERMAMDVVVNELQAIKSSYLKFKKARRRFHRHLVSSSLRGK >KGN58542 pep chromosome:ASM407v2:3:26089776:26090060:-1 gene:Csa_3G669620 transcript:KGN58542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLSSARITFLTNSRAPRRFSHQGLLWMASCSNNVEHCNARSLNKLLLLVQPQHCGLPQGHRDNLNLLSQMTYQTIVLVSFSFIVSLILGFTC >KGN57297 pep chromosome:ASM407v2:3:12060993:12061418:-1 gene:Csa_3G177900 transcript:KGN57297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFESSKNDNDASLCVNNCGFYGNPNNRNLCSVCYTAFLKEAGAKYYEIETRQSSSSGASESLETCDHNDPAPPKTQNRCEICRKKVGMIGFSCRCGGCFCGKHRYPEEHSCGFDHKEVGRNILAKQIVECKADKLKFRI >KGN59466 pep chromosome:ASM407v2:3:32093921:32094220:-1 gene:Csa_3G822180 transcript:KGN59466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLYQISVREIYTKHTITTLLSSFGRYALVLCNLHNSISSSQFSTYRVVSKIPSPVRDFSTISNLARSNWLIKKLGKEGKIGEARKVFEEMPDRDVVS >KGN59287 pep chromosome:ASM407v2:3:30798802:30799527:-1 gene:Csa_3G800700 transcript:KGN59287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMSISFQRLAKPCSEFGLNLSLSATADVYVGKDVPVFISLSSRLEDQLKNGVIFHPLNLSFLLEEGYVGLSASKFDLNTQLNWIKSWQFNGRDVDNNDDNESDNHRRQKRKKDSEEASDDVIEYELQDFSIAPRVPKMGFKELKKVTDSFNPKNSLGKGKFGTVFHRGNLKNMKATAKRI >KGN56328 pep chromosome:ASM407v2:3:6203252:6220010:-1 gene:Csa_3G116650 transcript:KGN56328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDETRVPGSFSSEPACSLGVSSFWLDACEDIPCDINDFVDFQASITPGSSVDHTSDQQNLSNDFFGGIDHFLDSIKNGGSLSPVTCNGDRDCTVREGFFIENDASGVRDMPVDSSTVQSNGAQIEILQCNGLSKDNLDNGSHICERYESVNGFQSPNGCEGLRVVETPKRNGVKKHERTNDTSLRGWGCDNEERSNKRPRISNGNNERYYSNRGQCLSRDREKFHTRKRLRDRDEIDRRERSYFRRREHYGTGGKDARDRDLREREQKGYWERDKSGSNDMVFHSGMWEADRNRDAMTDNDKNLEFQGTADKSSKEIKEKIPEEQARQYQLDVLEQAKKKNTIAFLETGAGKTLIAVLLIKSIYNDLQTQNKKMLAVFLVPKVPLVYQQAEVIRERTGYQVGHYCGEMGQDFWDARRWQREFETKQVLVMTAQILLNILRHSIIKMEAINLLILDECHHAVKKHPYSLVMSEFYHTTPKERRPSVFGMTASPVNLKGVSNQIDCAIKIRNLESKLDSTVCTIKDRKELEKHVPMPSEVVVEYDKAATLWSLHELIKQIEVEVEEAAKLSSRRSKWQLMGARDAGAREELRQVYGVSERTESDGAANLIQKLRAINYALGELGQWCAYKVAQSFLTALQNDERANYQLDVKFQESYLNKVVALLQCQLSEGAVSDKDGIASVSEEDVANTRSNLDEIEEGELLDSHVVSGGEHVDEIIGAAVADGKVTPKVQSLVKILLKYQYTEDFRAIIFVERVVSALVLPKVFAELPSLSFIKSASLIGHNNSQDMRTCQMQDTISKFRDGRVTLLVATSVAEEGLDIRQCNVVMRFDLAKTVLAYIQSRGRARKPGSDYILMVERGNLSHAAFLRNARNSEETLRKEAVERTDLSHLEDTSRLISMDTTPDTVYQVESTGAVVSLNSAVGLVHFYCSQLPSDRYSILRPEFVMVRHEKPGGPTEYSCKLQLPCNAPFEDLEGPICSSMRLAQQAVCLAACKKLHEMGAFTDMLLPDKGSGEEKEKVEQNDDGDPLPGTARHREFYPEGVANILQGEWILTGRDTFSDSKFLHLYMYTVQCVNVGSSKDLFLTQVSNFAVLFGSELDAEVLSMSMDLFIARTITTKASLVFRGLCDITESQLASLKSFHVRLMSIVLDVDVEPTTTPWDPAKAYLFVPVVGDKSEDPVKEIDWVMVRRIIQTDAWNNPLQRARPDVYLGTNERALGGDRREYGFGKLRHGMAFGQKSHPTYGIRGAVAQFDVVKASGLVPDRGDVELQRHPDQPKGKLLMADTSMAVEDLVGRIVTAAHSGKRFYVDSIRYDTTAENSFPRKEGYLGPLEYSSYADYYKQKYGVELVYKHQPLIRGRGVSYCKNLLSPRFEHAESHEDESEETLDKTYYVYLPPELCLVHPLPGSLVRGAQRLPSIMRRVESMLLAIQLKHMINYPVPASKILEALTAASCQETFCYERAELLGDAYLKWVVSRFLFLKYPRKHEGQLTRMRQQMVSNMVLYQYALSKKLQSYIQADRFAPSRWAAPGVLPVYDEDMKDGESSFFDQDKSNSDGVSEMDLHLDVFEDGEVEDREVESDSSSYRVLSSKTLADVVEALIGVYYVEGGKTAANHLMKWIGIKVEFDAGEVECGTRQSNLPESILRSVDFDALEGALNIKFQDRGLLVEAITHASRPSCGVSCYQRLEFVGDAVLDHLITRHLFFTYTDLPPGRLTDLRAAAVNNENFARVAVKHNLHIHLRHGSSALEKQIRDFVKEVQDELLKPGFNSFGLGDCKAPKVLGDIVESIAGAIFLDSGRDTAVVWRVFQPLLHPMVTPETLPMHPVRELQERCQQQAEGLEYKATRIGNLATVEVFIDGVQIGIAQNPQKKMAQKLAARNALAVLKEKEMDDAKEKIEDNGKKKKNGNQTFTRQTLNDICLRRNWPMPFYRCVNEGGPAHAKRFTFAVRVNTTDKGWTDECVGEPMPSVKKAKDSAAVLLLELLNKLYS >KGN57223 pep chromosome:ASM407v2:3:11477718:11482276:1 gene:Csa_3G171800 transcript:KGN57223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSTGANSSSNSSPAPPPPKILLAKPGLVPGGPINSKIGRGAGADDEPASIRSRLPSLGSLNLLSDSWDLHIDRFLPFLTENTEFKVVGIIGPPGVGKSTIMNEIYGYDGSSPGMLPPFPILSEDVRAMARHCTLGIEPRISSERIILLDTQPVFSPSVLAEIMRPDGSSTVSVINGESPSAELAHELMSIQLGILLASICNIVLVISEGVHDLNMWHLMLTVDLLKHGLPDPSSPISSHAQNSNVASEKEYKEKTSTSEEYMATPIFVHAKVQDRDLVPQNILQLKRAFAYYFKTSSFMGDKFEKVHSEQLLSSVVPDTRNLDVDGEDRRLLLIPNRNKDDSTRGQYESFNLALWKLRDQVLSMNGASFPRTVSERDWLKNSVKIWESVKSSPIVMEYARTLQSSGMFRR >KGN56877 pep chromosome:ASM407v2:3:9256614:9258142:-1 gene:Csa_3G135740 transcript:KGN56877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAPSRVDGLNLTPLSKDDQNYHRPTDLKAFDDTKAGVKGLVDAGITEIPRIFYRPPENYDSHNISAETQVQIPVIDLDQINKSSLKRKYTIDRVREASEKLGFFQLINHGIPENVLEEMKDAVRRFHEQETESKKQYYTRDLTKPLIYNSNFDLYSAAITNWRDSFGYISAPSPHSPQDLPEICRDVLVDYSKRVMEIGNLLFELLSEALDLNPNYLKDIDCNEGLALVCHYYPPCPQPNLAIGTSEHTDNDFITVLLQDHIGGLQIRYENNWVDVPPVAGALVVNIGDLMQLITNDKFKSVKHRVLANKEDARVSVAGVFSTLHFQTSKLYRPIKELISEEKPAIYRETTIRDFNIQFRSVGLGTSTLQHFKLRQADA >KGN56992 pep chromosome:ASM407v2:3:9931086:9931328:-1 gene:Csa_3G147750 transcript:KGN56992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFINYSSLSPSLSSPAYLLSATEFSVSLLASATCLLGSTILIAIVPGYFSFSFFNWLITELGMSCS >KGN57841 pep chromosome:ASM407v2:3:18083802:18086293:1 gene:Csa_3G346390 transcript:KGN57841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQELLYKQREKGQLQLHPHNCPITPKLLALLDSFYSLISLVSSYWFCAYTAASGTKGGSSGSPVIDWQGRAVALNAGSKSSSASAFFLPLERVVRALKFLQMGRDCYDHKWEAVSIPRGTLQATFLHKGFDEIRRLGLRSETEQMVRVASPPGETGMLVVDSVVIIFTLSCTFKLQLDFILL >KGN58403 pep chromosome:ASM407v2:3:24903490:24903847:1 gene:Csa_3G638000 transcript:KGN58403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVQGIINGGEEGKQMERRTATNMKGALNPNRKIKRMRILRERRQAQRLGNVDDSLYVPRGCQRGLFGRLTRMKEYSWSHVARGYVA >KGN57078 pep chromosome:ASM407v2:3:10474392:10478855:-1 gene:Csa_3G152050 transcript:KGN57078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERHRWLTVAIFACLIVFSVGRELRLNHKHSDDAISFNHTLAEILVEYASAAYISDLTELFTWTCTRCDDLTQGFEVIELIVDVQHCLQGYVGVAKSLNALIIAFRGTQENSIQNWIEDLYWKQLDLMYPGMPDSMVHHGFYYAYHNTTIRPAILTAVDRAREFYGNLDIIVTGHSMGGAMAAFCGLDLAVNYNSQNVQVMTFGQPRIGNAVFASYYSKIVPNTFRVTNGNDVVPHLPPFYSYFPKKTYHHFPREVWLYNVGFGSFVYQVEKVCDDSGEDPSCSRSVSGKSISDHLVYYDVELSSPGWRSCRFVMDPPLAASYGTSDPNGNQVFSRDPGISLIRLKEQLVLDGKGSGAI >KGN57477 pep chromosome:ASM407v2:3:13509230:13509787:1 gene:Csa_3G197920 transcript:KGN57477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALKYIWEGAIPLQIHLHESEVTTVPPPPPAMVLAPRIGYLPLLASQIKPYFGSTLPPGVDTIWFEYQGLPLKWYALLCCFKFHLC >KGN58673 pep chromosome:ASM407v2:3:27117210:27126055:-1 gene:Csa_3G727910 transcript:KGN58673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPVETLLLFAFFYAGIDTAGSFTDPRDSAALESLRNEWQNTPPSWGASIDPCGTPWEGVACINSRVTALRLSTMGLKGKLGGDIGGLTELKSLDLSFNKDLTGSISPALGDLQNLSILILAGCGFSGSIPEQLGNLSNLSFLALNSNNFTGTIPPSLGKLSNLYWLDLADNQLTGSLPVSTSETPGLDLLLKAKHFHFNKNQLSGSISPKLFRSEMVLIHILFDGNKFSGNIPPTLGLVKTLEVLRLDRNSLAGTVPSNLNNLTNINELNLANNKLTGPLPNLTQMSSLNYVDLSNNSFDSSEAPEWFSNLQSLTTLIIEFGSMRGSVPQGVFSLPQIQQVKLKKNAFSDTFDMGDKVSEQLQLVDLQNNNISHFTLGSRYTKTLMLIGNPVCSTDVTLSNTNYCQVQDQPVKPYSTSLASCLSKSCSPDEKLSPQSCECTYPFEGTLYFRAPSFRDLSNVTLFHSLEFSLWKKLDLTPGSVSIQNPFFNVDDYLQMQLALFPSDGKYFNRSEIQRIGFYLSNQTYKPPHEFGPFYFIASPYGFADTTKGTSISPGVIIGVAIGCAFLVLGLIGVGIYAIWQKKRAEKAIGLSRPFASWAPSGNDSGGAPQLKGARWFSYDELKKCTNNFSMSNEVGSGGYGKVYRGMLVDGQAVAIKRAQQGSMQGGLEFKTEIELLSRVHHKNLLGLVGFCFEQGEQMLVYEFMPNGTLRDSLSGKSGINLDWKRRLRIALGSARGLAYLHELANPPIIHRDVKSTNILLDEHLNAKVADFGLSKLVSDNEKGHVSTQVKGTLGYLDPEYYMTQQLTEKSDVYSFGVVMLELLTGKLPIEKGKYVVREVRMLMNKSEEEYYGLKQIMDVTILNNTTTIIGLGRFLELAMRCVEESAGDRPTMSEMVKAIESILQNDGINTNTTSASSSATDFGASRNAPRHPYNDPIPKKDAHDSNSFDYSGGYTLSTKVEPK >KGN55877 pep chromosome:ASM407v2:3:2185671:2189482:1 gene:Csa_3G021140 transcript:KGN55877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKLTNLGSKILGGNGVVGRSIASSLRLRSGMGLPVGKHIVPDKPLPENDELVWDNGTPFPEPCIDRIADTVGKYEALAWLCGGLGFFASLGFLAVWNDKASKIPFAPKVYPYDNLRVELGGDPEA >KGN60321 pep chromosome:ASM407v2:3:38557627:38560868:-1 gene:Csa_3G895670 transcript:KGN60321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGASKSSRKKQQVKPEKEWLSVSFKPENFIPGLVIGFILGLFLDLSKPSKGNAKKGYFLPSKYQQLSPASNNGDQELKLVLVVRQDLKMGSGKIASQCAHAATGLYAELMQSHRNLLRQWELCGQPKIVVTCKNQHEMNKLKDSAENIGLPTFVVADAGRTQVSAGSKTVLAIGPGPKEAIDAVSGKLRLL >KGN57931 pep chromosome:ASM407v2:3:19274812:19275198:1 gene:Csa_3G395420 transcript:KGN57931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHIVEFRTPQHPSFGKNNWGGASPLLARNIPHETLELRYLKLNTTRTRDEIFPLQEDEVDDNYYLPISYTTQLQQHFKKTKYVERNSFLSKLSLPWKGKRETLLGSNSRKKWFKKWDYQNRWPQGWC >KGN60235 pep chromosome:ASM407v2:3:37856660:37880231:1 gene:Csa_3G889910 transcript:KGN60235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRSLPLHHYSTTLHFPLLPSSCTGATHSLHFRLKRQVKLVPGSSSSDSREIFSETKQGGVKLWTVSALSSRDQDRVLEQEAPENLDTGPMLKIFPGQAFPLGVSEVDNGINFAIFSQHATSVTLCLSLDGRIDDGMLEFKLDPDDNRTGDIWHICIQDLRRKNVLYGYRIDGPQGWHHGHRYDAGTVLLDPYAKFVEGRRYFGGENKSTGFLGTYDFDSLPFDWGNDYKLPNIPEKDLVIYEMNVRGFTADESSGLPSSTRGSYLGVIEKIPHLLELGVNAVELLPVFEFDELEFQRHPNPRDHMINTWGYSTINFFAPMSRYASAGGGPLNASLEFKQMVKALHAAGIEVILDVVYNHTNEADDVIPYITSFRGIDNKVYYMLDLKNNGQYFNFSGCGNTLNCNHPVVMELILESLRHWVVEYHVDGFRFDLASVLCRGTDGAPLSAPPLIRAISKDAILSRCKIIAEPWDCGGLYLVGRFPNWDRWAEWNGIYRDDIRKFIKGDCGMKGSFATRVAGSSDLYKVNKRKPCHGINFVIAHDGFTLRDLVSYNVKHNDANGEGGNDGCNDNFSWNCGFEGETEDTSIKALRTRQMKNFHLALMTSQGTPMMLMGDEYGHTRYGNNNSYGHDNALNHFLWEQLEARKRDHFRFFSEVIKFRRKHPHLFSRENFLNKNDITWHESNWDNPESKFLAYTLHDDNGEDVYLAFNAHEYFVNVSLPSPPTKRKWFRVVDTNLESPHDFVLDGIPGVGSSYNVAPYSSILLKANLENDLL >KGN57702 pep chromosome:ASM407v2:3:15928092:15933732:1 gene:Csa_3G257070 transcript:KGN57702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPLFNLAPNLTVSKLCFGTMTFGEQNSLFQSFHLLDQAFDAGINFFDSAEMYPVPQRSETQGRSEEYLGHWIRSRKIARDRIVLATKVAGPSGQMTWIRDGPKCLDTKNITEAIDASLKRLQTDFIDIYQIHWPDRYVPMFGETEYNPSWQYCSVTIEEQLFALSKAADAGKIRYIGLSNETPYGVMKFAHINERYPHIPKVVSLQNSYNLLCRTFDSGLAECCHHERISLLAYSPLAMGILSGKYFSPEGGPADARLHLFRGKYLEGESRYNLTNTVVRTATMEYIKVAKKYGLHPVSMAIAFVLRHPLVASVVFGVTKSWQLLDILNAVKVELTPEMISEIDEIHSRFPNPCP >KGN56576 pep chromosome:ASM407v2:3:7543062:7546270:1 gene:Csa_3G124940 transcript:KGN56576 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MEFLSNPILVAAVLLLISIVVMQILTRKLRKKKYHPIAGTVFHQLLNFHRLHDYMTDLARKHKTYKLLGPFRDEVYTSDPPNVEYMLRTNFENFGKGSHNYRILRDLLGDGIFTVDGEKWREQRKISSYEFSTKVLRDYSTVIFRKTAVKLANIVSEAAISNRVIDIQDLFMKSTLDSIFHVSFGIDLESLHGSNEEISKFCSAFEASSTNTLWRYVDVSWPIKKALNIGSEAVLKENMKIVDEYIYKLIHSALEQRQKLGDNALMRRENIVSRFLQAETTDPKYLRDIILNFVIAGKDTTAVTLAWFICMLCKHPSVQERVAREIEEVTNVGDVTDFSEFAARLTEEALEKMQFLIATINETLRLYPAVPVDAKICFSDDTLPDGFSVRKGDMVSYQPYAMGRMKFIWGDDAEEFLPERWLDGDGKFQPQSPFKFTAFQAGPRICLGKEFAYRQIKIFSALLLMFFKFKMSDEKKIVEYQPMINLLIKGGLQVCAIPRRPLT >KGN55843 pep chromosome:ASM407v2:3:1879606:1880933:1 gene:Csa_3G019340 transcript:KGN55843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLENFVTISMYLPIAHVLVLFCLKVKNYISLGGPHAGIASVPLCGSAIFCRLADELIKSEIYSDYVQDHLAPSGYIKLPNAIPKYLEKCKFLPKLNNELPQAKNSTYKERFSSLQNLILIMFEHDTVLVPKETSLFGYYSDRALNSTIPAQQTKLYTEDWIGLKTLDEAGRVKYISVAGDHVKISNSDMRKYVIPYLQG >KGN60363 pep chromosome:ASM407v2:3:38875577:38875891:1 gene:Csa_3G901090 transcript:KGN60363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSPCCDENGVKKGPWTPEEDEKLIEFVAKNGHGSWRNLPKLAGLNRCGKSCRLRWTNYLRPDIKRGKFSEEEETSIIKLHSLLGNRYCSIFLSLIYERLDHL >KGN58628 pep chromosome:ASM407v2:3:26711515:26711804:-1 gene:Csa_3G702660 transcript:KGN58628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWFPFTNRKRGQSPLSSSLRHRFLSDSGHRFYLLYFIHFFLLRSSGTTVSRIDLLISVLFQSPDG >KGN55605 pep chromosome:ASM407v2:3:200240:208440:-1 gene:Csa_3G001770 transcript:KGN55605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLSQHPLIDPSTFDLIVVGTGLPQSILAAAASASGKTVLHLDPNPYYGGHFATLPLHDLTSFLNSASAPLLPSPPLASYDNQDYVSVDLQTRSLYSDVEISSYAPEVLQEHARKFNIDLAGPRVLFCADKCIDAVLKVGVNQYLEFKSIDASYLWDQNGKLVNVPGSRAAIFKDKSLSLTEKNQLMRFFKLVQKHLDPAEDGESSKISQEDLDTPFSDFLNKLRLPQKMKSIILYAISMADSDQNDNDIGQNRLLTKEGIARLALYHTSIGRFQNAQGALLYPIYGQGELSQAFCRRAAVKGCLYVLRMPVVALLKDKSNEQYKGVRLASGQDIFSQQLVLDPCFIVPQLFKLSLESLQDVSSRDVNRKVARGICITKHSIVSDVKNCLLVYPPKTLFPEQVSSVRVLQIGGNLAVCPEDMFVIYISTLCDSANQGKEMVRAAMNSITLLFVSDCPDSSSVDQDTNAEGKDLDLLWSALYVQESSVGQFGTVSFTPMPDGSLNYDDLLNATFELFQKMYPNEELFPETTTAGDHQDDGEES >KGN59415 pep chromosome:ASM407v2:3:31749774:31751987:1 gene:Csa_3G817750 transcript:KGN59415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLGSTVEIPERRHRSDRENGTHRYSPDSDASRGDYRRRRSPSYENYDRYNHRRRSVSPGYEDVRRSPRVDGDQNGLPKRFGRPGGRAYLDRNGRASDESDSDEELKGLNYEEYRRLKRQKLRKSLKHCIWRVTPSPPRNGNEEYEEKYEEILEKYGGDGDGDGGVKSGLNEKKQLEEKYVSDKTKNSDSDSDSELSDRKLERRESKSSGSRRRSRKSSPSDSESCSDTESESESESEEESRRRRKKSTSRRSRKHKNIKSSKKKKNRYSDTEDSEESETGDSDVSDHVKSRKRSRSKRSKNSRKRKYSDSEESEDSEGEKLRKRKSSSTLSKSRSKRKRQSETESKSCSSAEEDSGSEDIDDKSKLMVDGETMAEINAAEALKIKEILEAQKKPAFDNEMPVGPMPLPRAEGHISYGGALRPGEGDAIAQYVQQGKRIPRRGEVGLSAEEIQTFETLGYVMSGSRHQRMNAIRIRKENQVYSAEDKRALAMYNYEEKAKRERKVMDDLQRLVQRHIGHDVGPSHDPFAG >KGN58437 pep chromosome:ASM407v2:3:25232676:25233708:1 gene:Csa_3G643770 transcript:KGN58437 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavonoid 3-hydroxylase MLDELFLLSGVLNIGDSIPWIDFLDLQGYVKRMKALSKKFDRFLERVLDEHNERRKGVENYVAKDMVDVLLQLADDPDLEVKLERHGIKAFTQDLIAGGTESSAVTVEWAISELLKKPEIFNKAREELDRVIGRERWVEEKDIVNLPYIDAIAKETMRLHPVAPMLVPRMAREDSQIAGYDIAKGTRVLVNVWTIGRDPTVWEDPLEFKPERFMGKNIDVKGQDFELLPFGSGRRMCPGYNLGLKVIQSSLANLLHGFTWKLSGDMKIEDLNMDEVFGLSTPKKFPLDVVAEPRLSSSLYSI >KGN58622 pep chromosome:ASM407v2:3:26650765:26652394:-1 gene:Csa_3G702600 transcript:KGN58622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKASLIFREDQNLSFRAKIPLNFFGLPFRSSVHLPDSDNLSFTFATFFRSGPSFNLSYRPNQALNPFSLAIKAGIGLFGSPIDSPMTFAAEFNLPANQPPRFFLHFRPQLGDFTLRRSVQSNITNFKLPYRNLISQVDDDVSAFTRGKSVVGPETPDLGLGNPVLSSQRIDFSEALNRVDDVLSTMEINARSTFKVGDSTAVKFRWSMTFPTCMKKDEFTAKAPLSKMPYLALGKIKIERAAASESERESNKAAGAGEFSGLKKHLEDLWKESRWLKKNIEQLQSEIGEQKAAPSTPPVETRKKKGG >KGN56827 pep chromosome:ASM407v2:3:8995841:8996422:-1 gene:Csa_3G134755 transcript:KGN56827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRVTKNPRGITMLQRMGIGMICHVLVMTVASQVEKHRLHIAAKYGSSAEVQKELPLTIFILLPQFILTGVADAFLQIASNEFFYDQAPENMKSLGSSYFMTSLGIGNFLSSFILSKVSEITKRQGNGWILNNLNASHLDYFYALLAVMSSVNLFIFLLISKFYIYKAEVSDSIQVLTDELKKKKSKGLQQTG >KGN55601 pep chromosome:ASM407v2:3:186125:188240:-1 gene:Csa_3G001730 transcript:KGN55601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAIKKLNAESVQGFQDWQAEVNFLGRLNHPNVVKLLGFCWEDEELLLVYEFMSKGSLESHLFRSSFTQPLSWERRLKIAIGAARGLAFLHSTEKEVIYRDFKTSNILLDLNYNSKISNFGLARLGPAGEVSHVTTRIIGTYGYVAPEYVTAGHLYVKSEVYGFGVVLLEIMTGWRAHDVNRPHEQRNLVDWAKPFLVKKKKIKNLIDAKLEGQYSLKAATLVGDLTLKCLETHPRKRPSMQEVLEALEHIEELKEKPKESKD >KGN56140 pep chromosome:ASM407v2:3:4215323:4215598:1 gene:Csa_3G077740 transcript:KGN56140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETTMSSISYAEEVESDQSVYFEPKCAAITKSDEFEVEDEKLSRFIVELEARIARLERSRWFNQQYQVQQEEDEEDESEEQFPEESSASC >KGN57943 pep chromosome:ASM407v2:3:19470845:19480794:-1 gene:Csa_3G402470 transcript:KGN57943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLILRTNHPPSTSITLSLSFSLPDSFLPSALSVLLHTRQRRRGLHRTSLLSQFDGSFSLSLPGILSLYRFSFPFLTLFSIRDWEGDWYGVQHVIRFFRPETLLEDLRRIGIKNGLAMKRGYSESPSASLGPPQSRPKYNPEGDAEFEDESTKIFARKVAEHYSARTNQTLEEREASVIIHLKKLNNWIKSVLVQLYARRGDAVLDLACGKGGDLIKWDKARIGYYVGIDIAEGSIEDCRTRYNGDADHHQRRKKFSFPARLICGDCYEARLDHVLADDAPFDICSCQFALHYSWSTEARARRALANISALLRPGGVLIGTMPDANVIVKKLREAQGLMFGNSVYWIRFDEEYAEKKFNASSPFGIKYLFHLEDAVDCPEWIVPFHVFKSLAEEYDLELVFVKNSHEFVHEYLKKPEFVDLMRRLGALGDGNQDQSKLFALWNGVFCIIEIFILALF >KGN59038 pep chromosome:ASM407v2:3:29017766:29019584:-1 gene:Csa_3G748180 transcript:KGN59038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANTSSKTGNFEPMFFKVGIPLAISAAGGICGIMMAKGSFPSSLNFFKPKSKLMENENFQPSSITSEEEKTSQPNAEEVILSLKTRVEDLESKELDIERQFIWYQNLKEREALLVELRNTLVLDMAHINFLEREILLMGEENRRFENLVTEYLGVSEQLEGQKTENKLLEREVRKLKKRLKEQSKMIREKNLKIEDSKSQLWRNNEEMETKKKMIERLGNEVKELKIQMDHQLEEENNQNLCTIKNGTEKAVTMEDLNKLSNEFNQLKDEFEVFRKQEQEQEQEGQKENPKKEERDNKALNQNESSSDETNYTRPKLLRRMGCVRGNEKMKRKTRPE >KGN58139 pep chromosome:ASM407v2:3:21870872:21874572:-1 gene:Csa_3G543180 transcript:KGN58139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNCLFSEVEMAIDEAVGHPQAYAKLCRDRQAGLYTHGPPFTFTPYSLKKRETATARELDKLFPIINPKAKPTTKPKLFVSLLWKQLNHLGNAGFDPAVIRVDHYGNVLYYHADSASPLAWDIDHWFPCSRGGLTVPSNLRILQWQACKRKHHKLEFLVPWWDFQLGISVNQFLSIFASSNSDFRRRAFSFLFFEGENEELNTSQTVESHSFPQHFVEAKERLGFAPAAIVLSRRECYDSSSPLRSLDYNRQPRPSIPIVAARKVKPELLKENENPDFIANPYQAIVMARDSLRQRDERAKLKAEIQRVDGEVNDMKLNNEEEKLTIQDLELKLIKHKRRAEKCRRLAEAQSSHKTMLEKMIRDTMHQSVIYKEQLRLNQAASNALMARLEAQKAICNAAEKDLYQKYRQRDELEKQLRPEHDQARKRLRMDKMLLEETDSKTPTFFLPGIKPKTPTHKELRLFLEEEQRASECGLSQNGEQNQKESDVAMEKPGEHENSKAIVPLAEESLITQRFQNLEIGEAKRHDMLLFPFMQESDVEEEEEDEESRKQRGKGNIEKWLQMLLDENQEDARLQNEDESSRSTKMNANSPQKEVDVSTTTEEKNNKETGDKERTVEEKDRIDSKSEKDVNIEESEKKEQSGKEIKFTRSDSARIFRRIPSSPSLILGMKRGVDCMGKKPMVSGDDNVDVEDHASRNSFIKSSIKTLKKAVIRT >KGN56626 pep chromosome:ASM407v2:3:7879308:7881027:-1 gene:Csa_3G126900 transcript:KGN56626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSHLIPSKNPLSTNPTSSFSPFYPNLLSTVRSKLPHRPHISTFASIYGVSSKPTSQTINPILKPRQFCKIPVNPVGFLPSKLNSHVPAAAAADGGDTTADSAPNSKKFQLAIVFGLWYFQNIVFNIYNKKVLNIFSFPWLLASFQLFAGSVWMLVLWSFKLQPCPKISKPFLIALLGPALFHTIGHISACVSFSKVAVSFTHVIKSAEPVFSVLFSSFLGDSYPIQVWLSILPIVFGCSLAAITEATFNLEGLSGAMISNVGFVLRNIYSKRSLQNFKEVNGLNLYGCISIISLLYLFPVAIFVEGSKWVQGYHQAIASIGNASTLYIWVLISGIFYHLYNQSSYQALDEISPLTFSVGNTMKRVVVIVASVLVFRNPVRPLNAVGSAIAIFGTFLYSQATSKKSSKKIEEGEEKKD >KGN56973 pep chromosome:ASM407v2:3:9858214:9859111:-1 gene:Csa_3G146580 transcript:KGN56973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPRLRSALITMYASCGAMDLAWDLYEKISPKYMVVLTAMVSGLAKGGKIGDARNVFDQMSPCFRSTTRNVISDCAHLGALDQAKWIQNYVDKNGFGKALSVNNALIDMYVKCGSPEGGREVFGKTPKKNAISWTIMINALECMEIHGGLAEEGRRIFHSLTNDEALEMIEAMPFAPNAIIWGSLMAACHVHGETELGEFAAK >KGN58955 pep chromosome:ASM407v2:3:28539141:28542501:-1 gene:Csa_3G739010 transcript:KGN58955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNIGSSGSNARRRHGTRRNHPTPPPPPPPQPEITSNRYVFAAATPYPTQYPNHPNHPPPYYQYPGYFPPPPGPPPSMPMPLPAPYDHHHRGGHPQMDPAHWVGGRYPYGPPMPPQTPYVEHQKAVTIRNDVNLKKETLKVEPDEENPGQFLVSFTFDATVAGSITIFFFAKEGEDCNLTPVKEDIFQPITVHFEQGLGQKFRQPSGTGIDFSKFEESEFLKVNDTDVYPLVVKAEASTDTQTGPDGTPVPDPMNSQITQAVFEKDKGEYQVRVLKQILWVNGMRYELQEIYGIGNSVEGDVDGNDPGKECVICLSEPRDTTVLPCRHMCMCSGCAKVLRFQTNRCPICRQPVDRLLEIRVSNGPEES >KGN58617 pep chromosome:ASM407v2:3:26608445:26610299:-1 gene:Csa_3G701570 transcript:KGN58617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKVSNFNADKKSFGSVLRSRESMIHSMLFRASTCLVFLSLFFASAYSTPDPEELRLLVSEPTIIQLFRYLPVENSSSSRLGTVTLYERVHIQGLQRFLNLKKVAHTVTVKVSMKSSSSRTSNFYVCFHKNTSLGIGMCPQSQWEKAFEGSWAQFMSPFDHRILDIRTSGLSLETFEVSIEEEFSRYRIIFLILGVVLMSSASILSKLLVFYLGGGWLIRFLLLLLMILSQRMKLLSRRGKNSLQIFLYAYASVGCLGSFFLHYVLDLLNQIVLEMGITISQDMFDPLALATFLIAIILPIGTWLGFWVAHKFVDRENGLIEKNISHFVVSTSIQILATFLILKCSLDPILATGGLICGTMASIMTSNIFKFQLNLLQSPNETSNHLVEYRLRTDLLQHRSSFTHDDDVYPSMFHSTHERRKISKDEWERLTKDSTKKALEELVSSSGFTRWLLDNAGRINIPPLQGVELESVESDSIGSDQLSLSTTDKVSFT >KGN57302 pep chromosome:ASM407v2:3:12085848:12087263:-1 gene:Csa_3G177950 transcript:KGN57302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFKNNLNAYSPKFFFTRYYYPSYWILILTLIFLQIYLIFNTTSPSAPLSLAAASDGRQSSITVARDPCNSGRVFVYDLPPFFNKDLLQNCESLDPWTSRCDDVSNGGFGRQATELNGVVPDGLTPAWFWSEQYMLEPIMHNRILNYKCRTLDPESATAFYIPFYAGLSIGRYLWLNYTTSDRDRDSEKLIEWVQNEPYWNRSNGGDHFITLGRLTWDFKRWGNNQWGSSFAFMLGMKNVARLVVEREPSDPLDIGVPFPTGFHPRSDADVLNWQSFVRERNRTNLFCFAGGTRHEIENDFRAFLLSYCANDSGGSCRAVECNGNRCASGDSVVMETFLDSDFCLQPKGDSYSRKSVFDCMLAGSIPVIFWERTAYGQYEWFLPGEPGSYSVFIDNKEVRNGSASIKGVLEKFSGERVKMMREKVIETIPKIVYASALEGLESIEDAFDIAIHGIFERFNRRHNSASKNGS >KGN56515 pep chromosome:ASM407v2:3:7247199:7259907:1 gene:Csa_3G122390 transcript:KGN56515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRYHPAGCTSAVNNSAIGGPSARDTVRADSSSLPGNFPLNSRRPAPLTAYKLKCEKESLNNRLGPPDFHPQTSSCPEENLTREYVQSGYRETVEGLEESREILLTQVQAFSKHLVIKCKDATRKRLRAINDSRAQKRKAGQVYGVPLSVSLLTKPGIFPEQRPCGEDFRKKWIEGLSQQHKRLRSLADNVPHGYRKRALFEVLIRNNVPLLRATWFIKINYLNQVRPNSASISCGAFDKAQLSRTEFWTKDVVDYLECLVEEFFSKSNSHLTPPNKDRSPQMFSVGFTHTKGDPPAVFDGEEPSLHFKWWYVVRLLLWHQAEGLLLPSLIVDWVLGQLEENDVLEILELLLPIIYGVLDTIVLSQTYVRTLVRISIRFIRDSFPGGSDLVDNSRRVYTTSALVEMLRYLVLAVPDTFVALDCFPFPRCVVSHTVNDGNFGSKVPEDVTKLRYTSAEVASPFRSKSIDFQYQSSAFDNVVSSIRKCADNLAKAVNPKFPVCSVAKAVHALDKSLLHGDIGVAYKYLFEDCCNGSINEGWFEEVSPCLRMSLKWIQTVNVAFACSVFFLCEWATCEYRDFWSAAPRELKFTGGKDFSQVYIATRLLKMKARDLQSVSGIKFETSSGLNSTKGSSHQNSLFGRKPVGNLFEPKSRLKKLGGNGSLDLFESPGPLHDILVCWIDQHEVQKGEGFKRIQLLIVELVRAGIFYPHSYVRQLIVSGIMDTNGPAVDSDKRRRHQQILMHLPGSFVRATLDDGKIAQGAQLVEVINVYSKERRLVLHGLVYEQLSDISSANISSNRKRKIPTSDKVSSSVTSVNQLKSIPPFSNTGSTKRLKSEVDIEALKEAISLLLRFPNSSSLPTDTGLDDPSGTGKKSFVSVYAKVDTAEATHGCEDCKRAKKQKVSDERNLYLHGSSPIPSDDEDMWWVKKGPKSSEALKVDPPVKTTKPVSKGRRKTQSLAHLAASRIEGSQGASTSHVCDNRVACPHHRSGIEGDATRAIDSSKISGDIASIGKSLRRLRLTEKRAISSWLITAVKQFIEETEKTIAKAGQFGRSLTTVDDRITVRWKLAEDQLSSILYLSDVCNDFVSGVKFLLWLLPKVLISSNSTMNSRRSILLLPKNVENQVCEVGEAYLLSSLRRYENILVAADLISEALSSVTHRAMAIMASNGRISGSAVVVYARYLLKKYSSMPSVVEWEKSFKATCDKRLIAELDPGSTLDGELGLPLGVPAGVEDLDDFFRQKIGGGRLSRVGMNMRELVGRQVDDAFHYLLGKDRKVFSGNAPKVLATDKSDEGYQIAQKIITGLMECIRHTGGAAQEGDPSLVSSAVSAIVGNLSTTVSRIADSIVGGSSNIPSASGSLDFAKRILSIHVTCLCLLKEALGERQSRVFEIALATEAFSALAGVYPSGKTSRSQFQSLADPHDSNTHVFGDNSKVIGKATKVAAAISALVIGAIIQGVCSLERLVALFRLKEGLDFIQFVRTTRSNANGNTRTIGMHKIESSIEDYVHWFRLLVGNCRTVFDGLIVELLGEPSIVALFRMQRLLPLSLVLPPAYSIFSFVVWRPFILNSAVTVREDVNQLCQSLTIAISDIVRHLPFRDICLRDSQGFYNHLMMDTSDVEFAAILELNGSDIPTKSMAFVPLRARLFLNAIIDCKLPSSMYNQDDGSRISGVGDGKGQYPERKMKLLDRLVHVLDTLQPAKFHWQWVELRLLLNEQAIIEKLETRDMSLADAVRLASPSPEKVAASDNEKNFIEIILTRLLVRPDAASLFSDVIHLFGRSLEDSMLLQAKWFLGGQDVLFGRKSIRQRLTNIAESKGLSTKTMFWKPWGWCTSGSDTSYLEEGEVVEEGTDSRKYNQKSVPMLDNEVLHSGQQYVTERALIELVLPCIDQSSEESRNTFANDLIKQLNNIEQQINAVTSGTSKQTGSVPSGIEGPTSKGSSRKMKGGSPGMARRSTGSTDSPLPSPAALRASMSLRLQLILRLLPVILEDREPSGRNMRHMLASVILRLLGNRMVHEDANLTFCPTHSLMVKKEVESPSEASFAAFADLPGECLFGRMLLILHGLLSSCQPSWLGLKNAAKSTNETSKDSSSLVRELAESLQNELHCMQLPDMIRWRIQAAMPIPLPPGRCFLSYQPPTIPHSALSSFQSSISTPGHGSGNSSMPQGSKISSPRVVPSAPGKSKPLPPQQDHDTEIDPWLLLEDGAGSSQSSSNSAVIGSGEHANFRASYCLKGAVRVRRTDLTYIGAMDDDS >KGN56356 pep chromosome:ASM407v2:3:6401014:6402700:-1 gene:Csa_3G117420 transcript:KGN56356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHFLFFAALIISLSLAPPSFCFHPKSFNVSKYQSDDSDWSPAVATWYGPPDGAGSDGGSCGYGKAVEQPPFSSFIAAGGPSLYKYGQACGACYQVKCSGEGACSGNPVTVVITDSCPGGSCASDSVHFDLSGTAFGAMAATGRAEELRSLGVLHIQHKRVECNYPGTSINFIVDSGSNSNYFAALIEYEDGDGELGSVELKQGAVDSNSWIPMKQSWGAVWKLDSGSALQAPFSLRLTALDSGKTVVANNVIPAGWQAGKSYRSVVNFDPLK >KGN59635 pep chromosome:ASM407v2:3:33211277:33215419:-1 gene:Csa_3G829250 transcript:KGN59635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSVLLKPIKAILLLLVRLFVHRDFHELARRMTVLDIYRFLIIHSIDKMGIWPKLPVLLGLLYLAARRHLHQRYSLYKVGKNTPVGVRSHPEDCPYRTPDGRYTDPFDDDAGSQGTFFGRNVHPVDQSERLLKPDPMVVATKLLARKKLIDTGKQFNVIAASWIQFMVHDWMDHLEDTKQVELVAPSDVAKSCPLKSFKFFKTKEESTDLFDIRTGSINIRTPWWDGSVLYGSNEQMLNKVRTYEDGKLKIADDGLLPHDKDGIAISGDVRNSWAGVSTLQALFIKEHNAVCDVLKKEYGNMEDEELYRRARLVTSAVIAKVHTIDWTVELLKTDTLLAGMRGNWYGLLGKKFKDTFGHVGGSILGGLVGLKKPNNHGVTYSLTEEFTSVYRMHQLLPDSFYLRDVTVDPDQHNKSPPLIEYVPMANMIGHKGEETSKKMGFTALLVSMGHQASGALELFNYPLWLRDLIAHDMDNKDRPDHIDLAALEVYRDRERRVARYNDFRRGLFLIPISKWEDLTDDKDTIKVLREVYDDDVEQLDILVGLMAEKKIKGFAISETAFVIFLIMASRRLEADSFFTCYYNEETYTKKGLEWVKTTESLKDVIERHHPEISKKWINSSSAFSVWDSPPNKPNHVPLYLRIPN >KGN58263 pep chromosome:ASM407v2:3:23295982:23296257:1 gene:Csa_3G601020 transcript:KGN58263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAVAMPLAPRKSLSSFPLCFPFTQFLPFLTSSSSSSSFFFFFLLLLSSSSLSSSRTHIESFTFNSNPHSMLSLFHKPPFACISLCSFIFQ >KGN58107 pep chromosome:ASM407v2:3:21398209:21402130:-1 gene:Csa_3G513980 transcript:KGN58107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCTSSVYAVGRKKKLSIPEMVVFVPSMRIPMQSDLQRPLRGLILKDVADRLSSLRNQIVLVAEDTGGSAITELRGALEEYLSVLIGLTKKETIDDGLIEFKWRNLENGRQETCIANLWFELLSVVHLMAMLTLSEADSLMIPKDHSGSGSRVVSSDSKRDAIDLLLKASGYLDCCIRDILVYIPFDIKRRLPNDFKPGVLEAISIQTLGQGTEIQLGLAVETQNATLSVKRRLACEQLIYFSQAYQCLSECDMDRGYGKKHMSFIKWKFLESKAAAYYYHGLILDKGSEPSCHVSAVCCFLAAEELLGESKKACLSFCLASPVTRPPPLWGAMKHLHQKIPEVASRKSQMYGYLLEQEKALQALPELPEFQLSLRPEEYQLPEIDSAWSSQNCEAYTHTLKLKEHLNDSDYETLSE >KGN55890 pep chromosome:ASM407v2:3:2332702:2340582:-1 gene:Csa_3G027730 transcript:KGN55890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVKEMHPLCCITLENFSGGIGDQSPEEATSLSRSRSLPVSIPAFSNNNNPLQISGSITRVAGVLHKWTNYGKGWRSRWFVLRDGVLSYSKISLISPDDDDVRLIGEISTNRLSRRKRHKTGGIVHLKVSRFRESKSDDRRFYIFTATKTLHLRTESKKDRVTWIQALASSRSLFPLQIVNDNLPFVPNDLSLSTQKLKKRLLEEGISDAVVKDCEQIILSEFSEMQGQLKVLLEERSCLIDTLRELEAANIEIEANGVHNGEYQLMKHDFSTECSGKYSECTTTESSDDVEKQDLEDGSDADESFFYDTKETFSELSLSCGSVEGASDSLDKVKDLESQRDDVEKMHHKQRIENSVHFSVQRRKKLPDPVEKEKRVSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCCEDMEYSHLLDQAYEYGRQGNNFLRVLKVAAFAVSGYASSEGRHCKPFNPLLGETYEADFPEKGIRFFSEKVSHHPTVIACHCEGRGWRFWGDSNLRSKFWGRSIQLDPVGVLNLEFDDGEVFQWSKVTTNIYNLILGKVYCDHHGTMHIRGNREYSCTLKFKEPSILDRNPHQVHGFIEDASGRKVATIFGKWDEGMYYVEGEKNWNPKRNRPPSDELMLWKSEKPPPDLTRYNLTSFAITLNELTPDLKERLPPTDSRLRPDQRHLENGEYEKANEEKQRLERRQRISRKLQDNGWKPRWFCREGEDGPYRYMGGYWEARKEGNWDSCPDVFGELNESMVGE >KGN58203 pep chromosome:ASM407v2:3:22645242:22652875:1 gene:Csa_3G590590 transcript:KGN58203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMDREKEREIELESAMYTNCLLLGLDPAVIGVGASNGTPRVGLFRHSNPKLGEQLLYFILSSLRGPAQSAKDFDKVWPIFDSAQSRDFRKVVQGIISELESQGALPRSNSRVSSLATCCGPRFVELLWQLSLHALREVHRRTFAADVASNPLPAPLTDVAFSHAATLLPVTKARIALERRRFLKNAETAVQRQAMWSNLAHEMTAEFRGLCAEEAYLQQELEKLHDLRNKVKLEGELWDDLVSSSSQNSHLVSKATRLWESILARKSQHEVLASGPIEDLIAHREHRYRISGSSLRAAMDQSSQVPYTDVLASQSSDLDSVFVDDKDQSDKSYASSQVSDDSVSWMDDRSGRVHPTVDVAEIIRRWTHALQRIHKQSLHLAKANDGEGPEILRGAHDGGTSGHAESLSATLAEHQQHLASLQVLINQLKEVAPGIQKSITECTEKVNNISLSLPPVTKHPVRSMSSPMQAQTSGRTSVSSTDEVSEVTSKMSSVQLDKVSASPTLKLPQLFSLTPNSSGKMGNTQRRHTMASQTSQVENSSENKSHDQPSSNDHINSLSQDTETSYVQNLKRSVREAALSMKYSNPEPPQEGPSDGSAEHFFVPLSGTGFSRLGPDSKGASTRSRRLSVPQIDVCVPESPAFDFNNGINFNEFTDALNDLDSLNDFDELNGFLSSSRSNTATSDGRKLVFDLDEAQDQVFSPPLLMDSSLLADSYEDLLAPLSETETAMMEH >KGN56326 pep chromosome:ASM407v2:3:6195034:6195917:1 gene:Csa_3G116630 transcript:KGN56326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERNEEQGDVHDQKDLKEEKNRARFSSRYYSKRTRRSVCACISIFLLVIGVVALTLWLVYRPIDPQFTVVGAAIYDLNMSSLPLLSTTMQFTIVTRNPNRRVSIYYDRLTVFVSYRNQQITSQVILPPLAHEKRSTVAMSPVLGGGAVAVSLEVANGLVTDQTIGVLGLRVVLLGRLRWKAGPLKTGRYSVYVKCDVLVGVKRGLVGQLPMLASPPCKVDI >KGN60172 pep chromosome:ASM407v2:3:37346170:37352399:-1 gene:Csa_3G881850 transcript:KGN60172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPIASFSSRQLALNTSNSTNLFFCSLPSRTATSRLRRVSPRSPNLKRLTSRVVSLTRRKQLHQVFEEIEIAKRRYGKLNTIVMNAVLEACVHCGDIDLALRTFNEMSKPDNCGLDNVSYGTLLKGLGEARKIDEAFQLLESVEEGTAIGGPILSAPLIYGLLNALIEAGDMRRANGLIARYGFLLREGGNLSISVYNLLMKGYISSGVPQAALAMYNEMLNLELKPDRLTYNTLISACVKINKLDAAMYFFKEMKERADKYDQEDIFPDVVTYTTLLKSFGILKDVRLVHKIVLEMKSCRGLSIDRTAYTAMIDALVNCGSINGALSLFGELLKLSGWNLELRPKPHLYLTLMRVFSSRGDYRMVKCLHRRMWLDSSGTISLGYQEEADHLLMEAAFNDNQIDVAIEKLSTIIKKWKGISWASRGGSVALRIEALLGLTKSFFSPCIFPRVNLGAPIESVMMPFKAVQPLNGSLLLKEVVMRFFDKSVVPIIDDWGICIGLLHREDCTELDEPLWKMMRSPPPGVTTTASIGHVANLILQKRYKMVVVVRHSKYSTYYGSSLRALGVFTIEQLYGFISPIPIQHRPNIPCKT >KGN59808 pep chromosome:ASM407v2:3:34569911:34574785:-1 gene:Csa_3G848180 transcript:KGN59808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSTSVKKNFLPPGLVSNLEDVLRSRKGPGERGEESKDVNNASPHPSSSTSDANLEVSATQKPVILVTNSEGIDSPGLTYLVEGLVREGSYNVHVCAPQSDKSVSSHSVTLRETVAVSSAEINGATAYEVSGTPVDCVSLALSGALFSWSKPLLVISGINRGSSCGHQMFYSGVVAGAREALICGVPSISISLNWKKDQSQESDFKDAVSVCLPLINAAISDIEKGNFPKSCSLNIEIPTSPMTNKGFKSTKQSLWRSTLNWQAVSANRYPAGHFMSNQQSLGLQLAQLGRDASAAGAARRLTTQRQNMVEIESTGAVGKSDSERVKKFFRMEFLDKEQDHKDDDLDFPALENGFVAITPFSLTPNIDLDIQTAASDWISTALHQAQ >KGN55886 pep chromosome:ASM407v2:3:2283043:2283587:-1 gene:Csa_3G027200 transcript:KGN55886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSNKTTPSLALFFCLNILFFPLVTAHQPVNPTFIIGDPFVGSSIFDAPSPSGSNFCPKNVFQIAYCAAQLNPFNLFPRFLPPFSCCLLIRRLSDPEAVACLCNAIKSNVVNISIRNRPMTPNRILNACSRNDATNGSQCP >KGN60039 pep chromosome:ASM407v2:3:36441265:36444088:1 gene:Csa_3G872700 transcript:KGN60039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQDQKPLKKAKPELDDSDDGMSLGALLQEKRKKLLNVGSKLLSKPKKEELQGVDGLGKSPKIDSGSAPKGFKVKKEERFNTVDDGFDDKPAKKSSVAKRDTELKKKKKVKEEEKSKSSKELESLIKDRKQKKVYDLPGQKRDPPEERDPLRIFYESLHKQLPHSEMAQFWMMEYGLLSKEEAKKVFEKKQKKAPLQKLSSPMKPVSAVKSVTKTAIVKKTVQSSPLSSNRTTKVDSKVVTKLSKKRKSKDDSSEDESDDYFISQSIKKKQRAA >KGN55964 pep chromosome:ASM407v2:3:2907919:2909969:-1 gene:Csa_3G039350 transcript:KGN55964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAEGFFKCQNRNKKEAARVKVLTNSKDLFYRPWFRTHSIPRTRRLASGWWGKKEELGKNPATILSSFFPLVLPSSTFFPFLGPTPFPHFFLFFPFPCSVPCFFLNGFTDFPLWQSLKLQEISFLGLRALLSVKCYIHLVPVFPFPLEC >KGN57499 pep chromosome:ASM407v2:3:13736490:13739842:1 gene:Csa_3G199610 transcript:KGN57499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSNSSRRISVHQALGGGHVADVLLWKKWCGGVVMLTSATALWYLFERAGYNFLSFVANVLLLLVIILFFWAKSASLLNRPLPPLPDLEISEESVSMVANELRFCINFALSIARDIAIGRNLKLFLQVALALWIVSFIGSFFNFLTLVYIGVLLSLSAPIVYDKYQDHIDEKLCVTHRYAQMQYRKLDENVLRKIPLPLNKEKKMQ >KGN59236 pep chromosome:ASM407v2:3:30435621:30439117:1 gene:Csa_3G782830 transcript:KGN59236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWVWRDDTDDSDSFAADADKFNNSTSPSSSDWCSTRNVVRSHCKTEEVEPGKFVRKCERTEEILRDCIGRPTEVIKSNKEITEEDVTDQMVNRSFSLGSSPSEHRPLDFPGLRSDIDAIEQSLFGSMKGFFEAAEEIKNGFFGSLRDPPLFNRDSSSSASMRRGIPIEEDHDPVFFYNPKEPDSGHVDLSGLARDV >KGN60491 pep chromosome:ASM407v2:3:39775541:39777485:1 gene:Csa_3G915190 transcript:KGN60491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSNGENRPAVGDEQPFRIFVGYDVREDLAYQVCRHSILKRSSIPVEIIPIKQADLRKNGVYWRERGQTESTEFSFSRFLTPYLANFKGWAMFVDCDFLYLADIKELRDLIDNKFAVMCVHHDYTPKETTKMDGAVQTVYPRKNWSSMVLYNCGHPKNKVLTPEIVNTQTGAFLHRFQWLEDNEIGSVPFVWNFLEGHNKSVEGDLTTLPKAIHYTRGGPWFEAWKNCEFADLWLKEMEEYNKEAEKKSEE >KGN57842 pep chromosome:ASM407v2:3:18107292:18121402:1 gene:Csa_3G346890 transcript:KGN57842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFRAGVPRHAIIKKFAGEEISRVEDLVSVLSKLSRGTRVPLEYISYTDRHRRKSVLVTVDHHEWYAPPQIYVRNDTTGLWIAKPAIQPHLRMESSPMTNVGEGYMNPTDVLSDDSSHLRHMHPVNNLEIIDGVVSMETNFEHGSEEARSQDRSDAGTKKRRVEDDRLTDGNVADSSFHETQETILEDATAMQTANIRDYQGGTVAVVAANASFPERIIEPTLVMFEPALRRGDSVYLVGLSRSLQATSRKSIVTNPCAALNIGSADSPRYRATNMEVIELDTDFGSTFSGVLTDEHGRVQAIWGSFSTQLKFGCSSSEDHQFVRGIPIYTISQVLDKILSGANGPPLLINGVKRPMPLVRILEVELYPTLLSKARSFGLSDEWVQDLVKKDPIRRQVLRVKGCLAGSKAENLLEQGDMVLAINKQPITCFYDIENACQELDKNNSTDGKLNMTIFRQGHEIDLLVGTDVRDGNGTTRIINWCGCIVQDPHPAVRALGFLPEEGHGVYVARWCHGSPVHRYGLYALQWIVEVNGKLTPDLDTFVNVTKELEHEEFVRVRTVHLNGKPRVLTLKQNLHYWPTWELRFDPNTAMWRRVTIKALNSNNNIELK >KGN58512 pep chromosome:ASM407v2:3:25796532:25799985:-1 gene:Csa_3G653440 transcript:KGN58512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERGRMNGNGNCDYSCESNGYHSLDLADVYGENTPDGRSSGKTSQVSSSSNCIVHPVSKFDTLAGVAIKYGVEVADIKKMNGLVTDFQMFALKSLQIPLPGRHPPSPCLLEGLNTAGQSSAERSPNRLSSEFFESFQSLKLKSSDQRVSPAMSSLQGYYGLKPSDQRSRTDGLEMAVYMKGASHYLEDVPVYGTSQHLDFLLTHHHRKSKSLVNGCLGEKGEVSDDVQSIEAGDGDNKLSDKLIRRRQKSVADFSNSPEMLLKDENSSSGSNGFSSSAGKGLLAQRPKSSSRANLANDNEVGLIPIPIGLGDSFVSADGFAAFRKSSSTSSLPDQENGITSSIWSQAISTASRPIFDGLPKPLTGRRNKAALD >KGN56546 pep chromosome:ASM407v2:3:7392520:7395408:-1 gene:Csa_3G123190 transcript:KGN56546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDSIPHPSTPFRAVDNSPLLGHSVTNGLFRSRGFIRRSPQPLRGAVRLLRRASGRQMMLREPSVRVRETAAEQLEERQSDWAYSKPIILLDLLWNLAFVIISFTVLGLSTSEKPSVPLRFWIIGYALQCIIHMSCVAVEYKRRRSTREPTGLDRNSDWTSGEDSNSVSGSDGDDYATEQTVNEDESSNLVKHIESANTMFSFIWWLLGFYWVTAGGQELIEGSPQLYWLSITFLAFDVVFVLICVAIACLVGVAICCCLPCIIAILYAVTDQEGATKEEIERLPKYTFNRTGDVEKVNGDIQESSGGIMSNCDTDAPTERFLRPEDSECCICLSAYENGAELRELPCNHHFHCNCIDKWLHMNATCPLCKFNILKPNSSSSEEV >KGN58197 pep chromosome:ASM407v2:3:22599756:22608045:1 gene:Csa_3G589550 transcript:KGN58197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSPLLHTFLPSPSPSYCSSSSHRPLLLLSKISVSAPRISHFSNSFSPIRRWNVCSASSSETLVAGSRKENGKTGEAVTKKEDDEFGDLKAWMHDNGLPPCKVILEEKPSHDKNHRPIHYVAASEDLEVGDVAFSVPNSLVVTLERVLGNETVAELLTTNKLSELACLALYLMYEKKQGKKSFWYPYIRELDRQRGRGQLAVESPLLWSEDELDYLSGSPTKKEVLERAEGIKKEYNELDTVWFMAGSLFQQYPYDIPTEAFSFEIFKQAFVAVQSCVVHLQKVSLARRFALVPLGPPLLAYRSNCKAMLTAVDGAVELVVDRPYKAGESIAVWCGPQPNSKLLLNYGFVDEDNRYDRLVVEAALNTEDPQYQDKRMVAQRNGRLSIQAFYVYAGKEKEAVLDMLPYLRLGYVTHPSEMQSVISSQGPVCPVSPCMERAMLEQVADYFKRRLAGYPTTLSEDEFLLADGNLNPKKRVATQLVRLEKKLLHSCLEVTIDFINQLPDHTVSPCPAPYAPLLR >KGN59129 pep chromosome:ASM407v2:3:29776510:29781466:1 gene:Csa_3G776890 transcript:KGN59129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCTSVHFALSDGRNPVGVLNVLGGRLSMSNDNSLGRFGCVKMQEEGSGFVGVGQKLSLRKFKCASASHSHSVNSYPNRDPFLELHPEVSMLRGDGNIMSGSPRQDSSIESNTESLGDKTSPSNYGEAKIKVIGVGGGGSNAINRMIESSMKGVEFWVVNTDVQALKMSPVQSENCLQIGRELTRGLGAGGNPEIGMNAANESKEAIEGALYGADMVFVTAGMGGGTGTGGVPVIASIAKSMGILTVGIVTTPFSFEGRRRTVQAQEGIAALRDNVDTLIVIPNDKLLTAVTQSTAVTEAFNLADDILRQGVRGISDIIMIPGLVNVDFADVRAIMANAGSSLMGIGTATGKTRARDAALNAIQSPLLDIGIERATGIVWNITGGTDLTLFEVNAAAEVIYDLVDPSANLIFGAVIDPSISGQVSITLIATGFKRQEESEGRPFQVSQQARGETTYGINRSPSFADGGLVEIPEFLKKKGRSRYPRA >KGN58897 pep chromosome:ASM407v2:3:28218293:28221837:1 gene:Csa_3G734970 transcript:KGN58897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METQRIIEFPHKNMDKRPRKRQRLAWDMPPPVPPPKVLPPPYCGQEFGNGQVPNYAYPSMYCRGAPRVGSPPWRPDNKDGHYVFSIGECLTPRYTILSKMGEGTFGQVLECLDSEKKEVVAIKIVRSISKYREAAMIEIDVLQRLARHDIGGTRCVQIRNWFDYRNHICIVFEKLGPSLYDFLRKNSYRSFPIDLVREFARQLLESVAFMHELRLIHTDLKPENILLVSSEFIRVPDHKFLSRSVKDGSYFKNLPKSAAIKLIDFGSTTTEHQDHSYIVSTRHYRAPEVILGLGWNYPCDLWSVGCILVELCSGEALFQTHENLEHLAMMEKVLGPLPQHMVLRADRRAEKYFRRGMQLDWPQSATSRESMRAVWKLLRLPNLIMQHVDHSAGDLIDLLQGLLRYDPSERLMAREALRHPFFTRDLRRCGYPL >KGN60185 pep chromosome:ASM407v2:3:37483353:37486219:-1 gene:Csa_3G882960 transcript:KGN60185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKENAGNRGSGVSGSRRTRSQIAVAPGWTAADCLVLVNVIAAVEADCLKALSSYQKWKIVAENCTSLDVVRTSNQCRRKWDCLLIEHDVIKQWELKMPDDDSYWCLASGRRKELGLPENFDEELFKAIDNVASMRANQSDTEPDSDPEAAIGNADEIAEPGPKRQRRRSMSKSNQVLEKSLECERNLGLEISLECKEVEDRGERGGEEVEEKPLLSSPELEPRECYIKSNESKVTDNIEPKEQMMAKFLLENAEKVQAIVSENAEYTTSDEKCAKDQTNLVRHQGSKLIRCLGDILNTINDLRGLLEDCE >KGN59621 pep chromosome:ASM407v2:3:33117599:33118591:1 gene:Csa_3G829110 transcript:KGN59621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKSCVVSQVQISGNLHEFLKTKRRILKIAKKSAPRNNWNDTIKHNYEKFGEDFTIEEFHGSIWTGSLLFRKTESTLVFLAKKNRAPINNSNSNLPEEFLVKSSLMESSSSLRHEKEVLSNLGPFTNLVDCYGDEITVTKSGEEVYNVFFEYCSGSSLRNHILKFGPNGLQDDEVRRYTRDIVRGLYYMHCNGRYIHGDIKSRNILLSHGMAKLASFGLARKLTAEVICEEEISGSGPYASPELAREGYLGWPADIWALGCVVLEMFTGKSAWSFEDAYRYLMDSNNEKIPEIPKNISREGRDFIKKCLIRSPYKRRPIWLLIKHPFVCQ >KGN56701 pep chromosome:ASM407v2:3:8299536:8302417:1 gene:Csa_3G129580 transcript:KGN56701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATPTAATKFIKCVTVGDGAVGKTCLLISYTSNTFPTDYVPTVFDNFSANVLVNGQSVNLGLWDTAGQEDYSRLRPLSYRGADVFLLAFSIISRASFENISKKWIPELRHYAPSVPIILVGTKLDLREDEQFLLDYPGACTISTKQGEELKKLIGAVTYIECSSKTQQNVKAVFDAAIKVVLQPPKTKKPKRKLPICNFL >KGN57418 pep chromosome:ASM407v2:3:12902147:12910851:1 gene:Csa_3G184000 transcript:KGN57418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTVKDDVDRLFECFKCGVSPPQSAVRRKKKRKSKVKPECSVNFETPVSGPVEKAEENASSIQDTVEKIGLTSKDKGSRSKFSPIVFYGSPRGVPPKRPSSLWRLLREIRVDLSEQSRFKLSKQVWATFPRQEEAIKFSREHTDVHIFSYQDHFNGQRRFLVSSYSEFWHRYKSMDAKCRHHYEVIQEGAPCHLYFDLEYSKRINTGKNGDEMVDSLISVVLQALNEKYSIQGSFDWVLELDSSNEEKFSRHLIIRVPKVAFKDNSHAGAFVGEICSRICSAKVEGRYEELFIKKDSSSTERPSHLFVDNAVYSRNRCFRLALSSKAGKTSVLLPTGRHACTKMCEEDMFMASLICNVDADCEKLLVCKMDLDCIKTLQFEMEEKCNFGHNFSFTKEAVLTGSMRDFSATSCMGKSPFPDVDKFVQSVASTGNVAGKIRCWYWFSEFGLIVYSMSSNRYCERIGREHKSNHVMYVVDLRRAAYYQKCHDPDCRGYRSPLRPIPIDAIPSPWISTDSGQISNDMVSTHDCIDYHQSPSNDENCLLLFSDKNITDSSVKDSWWLEVMRVADDVENKRNMVLGKLDFEDDDWWMAVENSVKDLST >KGN60332 pep chromosome:ASM407v2:3:38623420:38629552:-1 gene:Csa_3G895780 transcript:KGN60332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNPIVERATSDMLIGPDWAANMEICDMINRDYGQTKDVVKGIKKRLGSKHPKVQLLALTLLETIFKNCGNISHAHMAEKEIPHDMVKIVKKRPDLRVQEKILLLIDTWQEALGGSTGRYPQYYAAYQELLRAGAVFPHKSEIPAPGFTPLQKQQVGLDNQNLHNPDYQQDAPGSSRDVNFSALSLSEIQLARGVVDVLKEMLNALDPGNKEDIRQDVVVDLVEQCHNYKQRAVHLVNSTSDESLLCQGLSLNDELQRVLSKYEAIASGTSVLLGEPKSELVGAHRDDHFPLGNTGDNNQQPEKKLASNTTGSSTQTVNQSSIHGTASPAKFDSKLDLLSGDDYIHPDANISLALVPLTEQQPNTPLSEQNALVPFDVHYDSNRATDTPSNNPGDQSHGSVSNFHQHQVFQSPQGDMHLNGTVQFPISSHREQSLYTNASGPGSQNNESFPPPPWESHPVGDTGLVASDEYHHPTTVTQAVFTHVQNGLYPQGLQPIANDQVVGVYIQPIVGSQISALNGQFSLNNQLDLAPQTFHRGAYGAMLSQQTGQMATLYPLQMFGNQFYGYGHIQPKGTQYLEQRTYISDDNGIRNSSYQISALSSMPPNKPSKPEDNLFGDLVDLAKFKSMKSTSAAAGGD >KGN57671 pep chromosome:ASM407v2:3:15413366:15416430:1 gene:Csa_3G239840 transcript:KGN57671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDSIECVSSSDGLDEDEIHLHHTLHPYSLSHHHPELSATKPRNGSNNSGIAVPTATAPATSVHELLECPVCTNSMYPPIHQCHNGHTLCSTCKTRVHNRCPTCRQELGDIRCLALEKVAESLELPCKYYSLGCTEIFPYYSKLKHEALCNYRPYSCPYAGSECSAVGDIPFLVAHLRDDHKVDMHTGCTFNHRYVKSNPREVENATWMLTVFHCFGQYFCLHFEAFQLGMAPVYMAFLRFMGDENDARTYSYSLEVGGYGRKLIWEGTPRSIRDSHRKVRDSHDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQNPDAGVCIPNLCS >KGN56327 pep chromosome:ASM407v2:3:6197532:6200142:1 gene:Csa_3G116640 transcript:KGN56327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLQGPINPCFYGEYSETGCSEQEFTNLGFEESEEVCLLTSSLEDKIPFLQMLQSVESQSFKEPNFQSLLKLQHLTKPWEGGVNKIQELVQLFSSPINSETKDQNQPPKSDRVFSECNQNQGISQTQMTKAPPVIKERRKRKRSKPTKNKEEVECQRMTHIAVERNRRRQMNDHLNVIKSLIPTSYVQRGDQASIIGGAIDFVKELEQLLESLEALRKERKGAEGECKGEQSEVRVASNRRIGEGVCAELRSEVAEIEVTMIQTHVNLKIRCPKRQDQLLKVIVALEDLRLTVLHLNITSQTAATMLYSFNLKIEDECKLESEEQIAATVNEIFSFINNGRLVNEAKENFRQYSGSR >KGN60370 pep chromosome:ASM407v2:3:38902135:38903432:1 gene:Csa_3G901155 transcript:KGN60370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYILFGSEDCCLFIASSKYLKSPPKNAIFSKGNPKRIIISFSPNRLLPPLSIADRTTLSQSPLHFSSSFPAITNSTNDSSESTQLGLVISLQNPMTNPKNSVCWVPFYE >KGN57451 pep chromosome:ASM407v2:3:13178112:13181980:-1 gene:Csa_3G187260 transcript:KGN57451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSSNNETWNALKLARESLPLENIKVSPASTTNPGIPPSSLMAFLAKNPQVSGVVLEDFDTGFTNQFYQSYLDDLHNINSSAIEAAALLVARTLYILAINKKELSSSVLTAIKVNTSLVEELIGCLLNCDPGLSCELVKRYISPSSVCPNHYVGVILDEPSSAPYPDYVHDVSRFVWNFLADRTSIPKENTSSVCSQNCDDKSEVCIGAETGKGTCAISTTRYIPAYSTRLKFESGYWSVLPPNSSDHLGTVDPVWTESNWNTIGLRVYTIQAAAYDRFVLLGGITTTILAYFAIVAVRSSIIKALKRD >KGN58662 pep chromosome:ASM407v2:3:27038682:27044418:1 gene:Csa_3G714870 transcript:KGN58662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVRREVNRKKRGERNDGKEKEGRHKRNNKMAAGGSGSGQPQFLVRAGDESFSHAPLIENPETDQIIVPDKKSWKNLFAYMGPGFLVSIAYIDPGNFETDLQSGAQYKYGLLWIILVASFSSLIIHSLAANLGVVTGKHLAEHCKAEYPKAQNFILWVLAEIAIVACDIPEVIGTAFALNMLFSIPVWCGVLLTGLSTLLLLALQQYGIRKLEFLIAFLVLTIAICFFLELGYAKPDVGEIFYGLFVPQLKGSGATGLAISLLGAMVMPHNLFLHSALVLSRKIPRSLSGIKEACRFYMIESGFALMVAFLINVSVISVSGAVCSSPDLNKEDQMSCNDLDLNKASFLLRNVLGKWSSKLFAIALLASGQSSTITGTYAGQYVMQGFLDLKLTPWVRNFLTRSLAIVPSLIVAIIGGSSGAGKLIIIASMILSFELPFALVPLLKFTSSKAKMGPHVNSTAITVLTWIIGFLIMAINIYYLMSRFIHVLLHNDLHLAVVILIGILGFSGVALYLAGIAYLVLRKTKEISHLLALTAEESRRLSNELSKTSGYDLPNEDVSMQLPQRIRTTNDVN >KGN57936 pep chromosome:ASM407v2:3:19385190:19386071:-1 gene:Csa_3G398940 transcript:KGN57936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDVDGEAYFKILKLFHGHDYVIELLNGNDSRCFDCFRRRRVTFVRFCEDLKSKTNLKKASRYLTVQEKVAIFLLIKASRYLTVQEKVAIFLLIKASRYLTVQEKVAIFLLIISHNESNRIVVERFQHSGHTISLAFNRVLRKVCKLGLEIISPPNMPKGGK >KGN56837 pep chromosome:ASM407v2:3:9058399:9063448:-1 gene:Csa_3G134850 transcript:KGN56837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAETARKRGLIEIVLLVRVVLWILATRLGTLLLCGSLCFCEKWPFVCKFSEMPLEKREEALRRWFKNKVFTPVRAALALIKLVFLYVFFSRVDVNGDNPSWEAIKYVPEIDEHPSKSSDARPLEKGIIEPNNETESSFKKSLLQKGIRIAGDDGHTLSVECDVVVVGSGCGGGVAAAILASSGQKVMILEKGNYFIASDYSSLEGPSLEQLYEAGAIFATDDGKVLILAGSTVGGGSAVNWSASIKTPDHVLQEWAESHKIPFFGTSEYQVAMDAVCKRIGVSEDCEEEGFQNQVLRKGCENLGLKVEKVPRNSTTGHYCGSCGYGCRKGEKQGTDSTWLVDAVNHGAVIITNCKAERFILERNKNGSMKKSKCLGVIANVWSDNITKKLHIKAKATISACGALLTPPLMISSGLRNKHIGRNLHLHPVLMTWGYFPESNSGFKGKSYEGGIITSVHKVVSEESKSNPKTIIETPLLGPGSCAVLVPWVSGLDIKQRMLKYSRTAHFITIVRDSGSGAVRSEGRVSYNLSEEDRENLKKGLRQSLRILVAAGATEVGTHRSDGQRLECKGIGKEELEEFLDSVSAEGGLLSLSKDWNVYTSAHQMGSCKIGVSETEGAIDQNGESWEAEGLFVCDASVLPTAVGVNPMITIQSTAYCISNRIAALLRK >KGN58472 pep chromosome:ASM407v2:3:25516743:25517648:-1 gene:Csa_3G646590 transcript:KGN58472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATNHRSPLLPLKSFTSLQPKPLGNIFMLKTPKISVNRPLALQITSSLKNNLVFEDRSNGVICYRDDDGEIICEGYDDEEIHIEEEIEKLPCEKPDGRRDGEVKMDRLLLQTIWEKQLESINGGAGSGRGEIRIGANGYNL >KGN57368 pep chromosome:ASM407v2:3:12566407:12568426:1 gene:Csa_3G182040 transcript:KGN57368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIKIGKTEYKRGRQNEKSLNNKNLVENQGRETEMGYEKLRSFILKNGHGCWTSVPIKAGLLRNSKSCRLRWFNYLRPGLKRGMFSQQEDEKILTLHRLLGNRWSQIAQHLAGRTDNEIKNYWNSHLKKKVVLNFQDFKSGVFSNRDPPLEEMGSSGNERIESQPRVLFAEWLSVSDVNGGSSMEGSFDGEGRRRTSREGYGFEMLNWDLDFEGHISDGFATCDQLCIIYESKMSRANGLKGGSKKQGLEKEGRFMRVSIMDLAAKPSVGQAKSFKEISVAFGGMVGLKVKSLEYSFRV >KGN59560 pep chromosome:ASM407v2:3:32700247:32701352:-1 gene:Csa_3G825050 transcript:KGN59560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTKSVDVVFFVFVLTILFPIVKSQTFDDFWFVQQWPPAVCTLQSGRCVGRGTRSFTIHGLWPQKGGRSVTNCTGNQFDFTKIAHLENDLNVVWPNVVTGNNKFFWGHEWNKHGICSESKFDEAKYFQTAINMRHGIDLLSVLRTGGVGPNGASKAKQRVETAISSHFGKDPILRCKKASNGQVLLTEIVMCFDDDGVTLINCNKARSNCAGSFIF >KGN60239 pep chromosome:ASM407v2:3:37897951:37901165:-1 gene:Csa_3G889950 transcript:KGN60239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLPPEVENYIKETIDHAVGLPVSAETLELKLRVSQDTQRRLGQYCDVLQSKIKEKDQLIERSRAEATMNAQALKKFVDENRKLATECFYLSSQCEKWERECSLYDHDRDALMEFGNEADQRAREAENRVHELEEEVRRLSDELQFFKHEYEIKRVNSSADGRDLEDNLLELVLPTCNSNDRATSAHAFLETSSDQDSSQKLMEIWNCLKPSTQKALSLAAYVKAVEKDCDHLKVNLLRAEDEVKLLYEENTLLDEENKRLLKRCREDKVQHSGDRQSNSGSAAKSNKRKSCPRITSPIEGKIILNEVDSRQPLSPLQHNSPDSRMQKK >KGN55834 pep chromosome:ASM407v2:3:1803363:1815236:1 gene:Csa_3G017280 transcript:KGN55834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCHDNFHVHSLEEATTAEDSLLIYCKPVELYNILHLRSLNNPSFLRRCLHYKLQARRKERVSTGVVIFNYRDYNNIVRKTEVTEDFSCPFCLMLCASFKGLRYHLCSSHDMFNFEYWVTEEYQAVNVSVKVDVFRPENVADGVDPQLQTFFFCTRPRKRKLKNSIQNGKYVQFLEMDSPGPATEGMHKGFVGHNADGVSCEKEGSHSFPIETYLQNAQQDGENIGPEGPSSMECIERVASSSNIPGFSVAINQSSTGPECYKVLSGNDHLQPAKARKLTVERDPRNRMLLQKRQFYHSHRVQPMALDKVLSDKDSEDEVDDDIADFEDRRMLDDFVDVTKDEKRLMHLWNSFVRKQRVLADGHVPWACEAFSKLHGKELISSPPLFWCWRLFMIKLWNHGLLDASTMNNCNLTLEGFKDESSNATKNCGGDDD >KGN58155 pep chromosome:ASM407v2:3:22093315:22096640:1 gene:Csa_3G563280 transcript:KGN58155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDEDLIELKFRLYDGSDIGPFRYSPTSTIAMVKERIVAEWPKDKKVIPKAANDVKLINAGKILENNKTVGQCRVPFGDLPKGVITMHVVVQPTIAKAKSEKKVDETPTKNVCSCSIL >KGN57638 pep chromosome:ASM407v2:3:15225249:15228089:-1 gene:Csa_3G236550 transcript:KGN57638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDPHFRTTSTNSTSSTATPSSELFICFTSRFSSSSSSSMKISSKSILSPGRPREPSQISLSTSLSRRLKSSGSLKGGQASPMFPTGRKKRGCAFDNPEPSSPKVTCIGQVRVKTKKQGKKMRARSQKRRTNSEASFRRSESLVQSSQGNGSDQQFSSHHNHHLLRQNSNSNAGNGFQQECLSHRNQRWVHLPFTICEALRAFGAELNCFLPCHSSCSGNRENNKESKPAERSSESESSCGTVFARWLVAVQDGDGKGREIELVVGDEETRTEKENGSQRRHVFEGLDFKDKNEAVEEEESRISICIPPKNALLLMRCRSDPVKMAELAKRFCEPPAPKVDEEDEEGEDEDNEAKKRQNEVKRDVSVPVSSIVTVNKEEEEVKEEEDERKVEQLIVKLENEEEMNEECVSDADKEKEEANLVLQEEEREEEEDNEEETIEMATENEIDEQKDITVVNQLNQEQALEEKEEDKTDQVNQQETMAIPIPLLIQTHCEPEMAQDVEKLESVEKEEPKLSHESEQDQKTEEDENLREDKEEEEEEEGENGENGETTTSPSLSVETEPVSDETETEVDVNREEEEEEEEEKTTDEGIGPDDENDVLVGPEEEDQSKEGETPPPEPESEPKPERKTQTETSVLPDCLLLMMYEPKLSMEVSKETWVCSADFIRCVPTREKKAIGKDPPPPPPPKKRETKPTDTTQTAVVQPARWSCSFPAAAAAAAMIEQKLVRAKGYEPFVLTRCKSEPMRSSAKLAPDACCWKDRKLEPHRPATFGVGAAEVGF >KGN58984 pep chromosome:ASM407v2:3:28717098:28721570:-1 gene:Csa_3G740270 transcript:KGN58984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDITMNKSFNPQSQVYTSRRPPIHFPTDPTISIVSFLFRNSSSYPNALALVDADSGESLTFRQLQIQVSKLAHVFIQLGIQKGDVVLIFSPNSIHFLVCFFAIVAIGAIATTCNPAYTSAELSKQVANCKPKLVITVPELWDVIGKLNLPSIILGSKISSKFSRSNIWGYSDLIKKAGDVSNLPVSEVGQNDVAALLYSSGTTGISKGVILTHRNFITASLMVTQDQELLGDPRNVFLCFLPMFHVFGLSIVVNSQLQRGNTVVSMAKFELEKALGLVMKYKITHLYVVPPVIIALTKQKVVKNYDLSSLRQILSGAAPLGKDVMDECSKIIPQARIIQGYGMTETCGVISVENVGVESTQSGATGSLVSGVEAQILSIETQKRLPPGETGEICVRGPNMMKGYFNNQKATSQTIDDQGWVHTGDIGYFNEEGELFVVDRIKELIKCYGFQVAPAELEALLLSHPQITDAIVIPHPDDKAGEVPIAFVVRSPNSSISEEDVKIFVAGQVAPFKRLRKVTFTSSVPKSASGKLLRREVIAQVRAKM >KGN57927 pep chromosome:ASM407v2:3:19207028:19220781:1 gene:Csa_3G393900 transcript:KGN57927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVVVATQVTSTPSDRLPPFVFCDLFIPANGVTCVRSPAKYVLEGEIVGGATVQHLPSPQLAFSELPPMRTSTFLHSANFLFPIRGFICSTSKKPILFVAPCKFKPIFFNFQPKSDRLVVFCYRDSDKSVGYEQSMGVEDSNVTLVEENVERNQWNVELATPSVGFQLLPKLSLSNKAFLILTFIALTRQEEVTKKLLIKEKLFPVQNPDVLENNCSKIQAPSQDNRADSQSSHLQHFEYSSFSRPISKTSVAFTSLVIAAVPTLNAMRRAAISLSKLADAAREELPGTMAAIRLSGMEISDLTLELSDLSQEIADGVNKSAQAVQAAEAGIRQIGALAHQQTMSMIQERASLPIISLQPVVAGAAKKTSRAVGKATRTIMKMISGGESMENDDDNSLDRLEV >KGN60368 pep chromosome:ASM407v2:3:38896829:38897564:-1 gene:Csa_3G901140 transcript:KGN60368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIPKKTWRQVRLTATSEGATYCDVGGGCQGATYRDVGGCPLPRRRRRVTLTATSEGAPYRDVGGCPLPRRRRVPLTATSEGATYCDVGGCHLRRRRRVPLTATSASEGATYCDVGGGCQGATYRDVGGGGIVLLIQLATSLEPKSR >KGN58370 pep chromosome:ASM407v2:3:24543275:24543894:-1 gene:Csa_3G630260 transcript:KGN58370 gene_biotype:protein_coding transcript_biotype:protein_coding description:Seed nucellus-specific protein MTISKVLVAILMVSAMAMAFLENVEGGREMALKKPTAKTYSQELDENYEGYKPKEDYEGYKPKEDYEGYKPKEDYECDGYKYSDKDCYEYGNCDKSPYNEDIDHQYNWGPNKKPIAKPKEMAKKYGAHQSYP >KGN60140 pep chromosome:ASM407v2:3:37115423:37118314:-1 gene:Csa_3G880550 transcript:KGN60140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSGSELCPVQSVVLGHKSKSNSFFSAPGLFVGLNFKVASDSDSVRSPTSPLELRVFSNLSNSVGSPKSSQDGHRRSWGCSKVGLGIVDSLDDDNKLSGKALGSFENKNIIFGPQVRTKNQTQNLQIDTVFPQAGPRSLPKNCPNFPPPQLKKPSYSSEVLFEIGEPLEFKTSKKSGACSLDSPRFVSASYGVKGRSFFHSTNPFVKKLTTNADSEPQDKILSADISTPASITVPVPGTIESLSATEIELSEDYTRVISHGENPKTTHIFGDCILECHSDDLNNLNKNEMNEIGSPLSIRSSLDIPFQCQPIDFLSFCYFCNKKLESGKDIYIYRGEKAFCSSDCRYQEIMIEEEPEKPISEIFQHSSTCEDGKEEFQTHGTIFE >KGN57161 pep chromosome:ASM407v2:3:11020718:11032015:-1 gene:Csa_3G166260 transcript:KGN57161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNMALRKFVCGSTPSINLKHSSFPIKLHEQTQFEEPETNRTLEPDVEIDPREVYFLIMHFLSSGPCLRTCGLLWDELFEHQLLPRRYHAWYSRNGVHSGHENDDGLSFPLSYQHLVERYPHVDKNHLIKLLKQLILNKAPPSRGMSGGIAPNAADVPTLLGTGTFSLLSYDKHEGVSKPSGPPAHMRWPHMKADSVRGLSLREIGGGFSRHQRAPSVRAACYAIAKPSTMVQKMQNIKRLRGHRNAVYCAIFDRAGRYVITGSDDRLVKIWSMETAYCLASCRGHEGDITDLAVSSNNAVVASSSNDFVIRVWRLPDGLPISVLRGHTGAVTAIAFSPRAAYQLLSSSDDGTCRIWDARSSSQSAPRIYVPKPLDSVTARNGGSSVSTLPQSHQIFCCAFNACGTIFVTGSSDTLARVWSACKGNNDNPDQPNHEIDVLAGHENDVNYVQFSGCAVASRFTTTDVAKEDNVHKFKNSWFTYDNIVTCSRDGSAIIWVPRSRRSHGKVGRWTRAYHLKVPPPPMPPQPARGGPRQRILPTPRGVNMIIWSLDNRFVLAAIMAFNLSVFNLFMDYLTEETLCDAIQSKDGWMEESMIGIFFDWNTTLIDWHIVTSRVEVNNRIFQQMFRLLDVQSLKWLLAIQWEDLETSLKATHQASLADSPTEGGVDGKA >KGN57350 pep chromosome:ASM407v2:3:12449292:12453327:1 gene:Csa_3G180380 transcript:KGN57350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKQSSKQPNISLRTKFYTISLILFLCVSSYFLGLRQRQPSSAAILPCTTTLQNITITAAKPFPACGLVYSEYTPCEDTQRSLKFSRDRLIYRERHCPEKEEALKCRIPAPPGYRNPFAWPVSRDLAWYVNVPHKDLTVEKAVQNWIRYEGETFRFPGGGTMFPDGADAYIDNIGKLINLKDGSIRTAIDTGCGVGSWGAYLLSRGIITMSFAPRDTHEAQVQFALERGVPALIGILASKRLPYPSNAFDMAHCSRCLIPWSQYDGIFLIEVDRVLRPGGYWILSGPPINWNKHWKGWERTKEDLNSEQLAIEKVAKSLCWTKLVEDGDIAIWQKPINHLNCKVNRKITKNPPFCNAQDPDRAWYTDMQACLTHLPEVSNSKEIAGGKLARWPERLNAIPQRISRGTVEGVTEETFIHDSELWKKRLTYYRTINNQLNKPGRYRNFLDMNAFLGGFAAALVDDPVWVMNVVPVDAKVNTLGVIYDRGLIGTYQDWCEAMSTYPRTYDFIHADSVFSLYENRCEMEDILLEMDRILRPEGSVIFRENIDTLAKIKMITDNLNWSSQIVHHEDGPYHMEKLLFAVKNYWTAPPELSDQQQESKAT >KGN59395 pep chromosome:ASM407v2:3:31587417:31589959:-1 gene:Csa_3G816080 transcript:KGN59395 gene_biotype:protein_coding transcript_biotype:protein_coding description:Remorin MFNDQPPPSTSTAAHAGEDNEDVQIRDIHALTSPQPPPVNANRNRRGEANWETTSQRSTSIVSEASSSSENFTSMSREFNALVIAGAEIGDGYRHDRSINEAPNNLSRIGEEEDTTPEVETNPLAIVPDGHPFDDLTTSSAISRTENRDGGAAATREISLHMVKKEEVETKISAWQNAKIAKINNRYKREDAVISGWEREQVQKASSWMKKIERKLEEKRAKALEKMENEVAKAHRKAEERRASAEAKRGTKVAKVIEISNLMRAVGRPPAKRSFF >KGN55931 pep chromosome:ASM407v2:3:2649883:2650142:1 gene:Csa_3G037060 transcript:KGN55931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWVTLKLSGKQCVVPKKERVISSDRDGKATTDQRQNNPPHKQPIISLHLSSHLALSPPSVTPLAKS >KGN58711 pep chromosome:ASM407v2:3:27339293:27340396:-1 gene:Csa_3G730750 transcript:KGN58711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAQVLPEQSLQSNIHLLVRDNSSSPPPFHKRLEGKVAIVTGGAKGIGEATVRLFAKHGAKVVIADVEDILGQALANTLSPSPVSFVHCDVSSEDDVENLVSTTVCLHGQVDIIFNNAGVLGNQSNSHKSILDFDPDEFERVMRVNVKGVALGIKHAARVMIPRATGCIISTASVAGVLGGLGPHAYTASKHAIVGLTKNTACELGRYGIRVNCISPFGVATSMLVNAWRADVEEEEECMNYGVPSAAEVDKMEEFVRGLANLKGPTLKPKDIAQAALYLASDESKYISGHNLVVDGGVTTSRNCIGL >KGN55956 pep chromosome:ASM407v2:3:2811539:2811876:-1 gene:Csa_3G038780 transcript:KGN55956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKRFVDLKGEEVCDLWLTAQLIGAKLELFHNASSLTLNLQDGPKAGQTVPHVHIHVIPRKACDFKRNDDIYDAVQPITLLKC >KGN59789 pep chromosome:ASM407v2:3:34340282:34341644:-1 gene:Csa_3G846050 transcript:KGN59789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLWDHSHPHLLQQPRRSRRDNPSFSSSLLDAIYRSIDESNSQPEEHLIFYSHKTTLTTKHSILPRTVTQDPESLNFRMIDSWMDKKQLRNIRDFTLSSSSSSESSSTAGRRFSSSETEFLSRPLHRPTKLKPKPIKTNTWAQTEIITPNPKHENGFVKSKSKASKIYHDLKKVKQPISPGARLASFLNSLFNGGSPKTKQKISSSTCSINSTKFDYDMSRKSKSQQGSTSTCSSASSFSRSCLSKTPSSRGNIKRSVRFCPVSVIVDEDCRPCGHKFLHKSEEPIMKKVIGIERYREELPVYETTHFKTNCAIAKGLVL >KGN56454 pep chromosome:ASM407v2:3:6916303:6918913:-1 gene:Csa_3G119840 transcript:KGN56454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATASPTAATAVLRPSLAASQPTRLSVLPLLPPRFGSPSSFSTSLKFSLESRRSFLLQTRASSSEESSAADASELFTDLKEKWDALENKSTVLLYGGGAIVAVWLSSILVGAINSVPLLPKILELVGLGYTGWFVYRYLLFKSSRKELADDIEALKKKIAGAE >KGN58738 pep chromosome:ASM407v2:3:27451502:27455230:1 gene:Csa_3G731000 transcript:KGN58738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQEARGSNKEQSSTKKSIPPYMKAISGSLGGVMEACCLQPIDVIKTRLQLDRSGAYKGIVHCGTTVTQTEGVRALWKGLTPFATHLTLKYALRMGSNAVLQTAFKDSETGKLSNHARLISGFGAGVLEALVIVTPFEVVKIRLQQQKGLTPELLKYKGPVHCARMIIREEGLLGLWAGAAPTVMRNGTNQAAMFTAKNAFDIVLWNRHEGDGQVLQPWQSMISGFLAGTAGPLCTGPFDVVKTRLMAQSRGTGELKYKGMFHAIRTIYAEEGLFALWKGLLPRLMRIPPGQAIVWAVADQIIGLYERRYLQDAPI >KGN57186 pep chromosome:ASM407v2:3:11244743:11247274:1 gene:Csa_3G168970 transcript:KGN57186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMASFARRKAFFFSRNASHSSSVDVLKLTFSRGFASSGSDENDVVVIGGGPGGYVAAIKAAQLGLKTTCIEKRGTLGGTCLNVGCIPSKALLHSSHMYHEAKHAFANHGVKFSSVEVDLPAMMAQKDKAVANLTRGIEGLFKKNKVNYVKGYGKLISPSEVSVDTIDGGNTVVKGKSIIIATGSDVKSLPGITIDEKRIISSTGALALTEIPKKLVVIGAGYIGLEMGSVWGRLGSEVTVVEFASEIVPTMDAEVRKQFQRSLEKQGMKFKLKTKVVGVDTSGNGVKLTLEPAAGGDQTTLETDVVLVSAGRTPFTSGLGLEKLGVETDKIGRILVNDRFATNVPGIYAIGDVIPGPMLAHKAEEDGVACVEFLAGKTGHVDYDKVPGVVYTHPEVASVGKTEEQVKELGVAYRVGKFPFMANSRAKAIDNAEGIVKILAEKETDKILGVHIMAPNAGEMIHEAAIALQYDASSEDIARVCHAHPTMSEALKEAAMATYDKPIHI >KGN60007 pep chromosome:ASM407v2:3:36187830:36188418:1 gene:Csa_3G865420 transcript:KGN60007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYCIHFSFSINAFVVVIVVLDVKIYGQWFTKGRRFLCSVANPTSQLSVIGNLVGAQAAGRMGWKESALCLFSLGIVHYLVLFVTLYQRFSGVDRLPSMLRPVFFLYIAAPSFASLAWESISGAFDAPSKMLFFLSLFLFTALVINLNYFVFLSHYQYGLKFNLYSLENNILICFF >KGN56710 pep chromosome:ASM407v2:3:8339666:8353855:-1 gene:Csa_3G129670 transcript:KGN56710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVDKMLIKGIRSFDPENRNVITFFKPLTLIVGPNGAGKTTIIECLKLSCTGELPPNARSGHSFIHDPKVAGETETKGQIKLRFKTAAGKDVVCIRSFQLTQKASKMEYKAIESVFQTINPHTGEKVCLSYRCADMDREIPALMGVSKAVLENVIFVHQDEANWPLQDPSTLKKKFDDIFSATRYTKALEVIKKLHKDQAHEIKTYKLKLENLQTLKDAAYKLRESISQDQEKTESVKGQMQELEKNIQDVDAKIHHAETLLKDVRKLQDQISTKTAERSTLYKEQQKQYAALSEENEDTDEELKEWKTKFEERIAILESKVSKLEREMNDLETKSSFLKQAINEYIWEISKLQTEAEVHMSLKNERDSTIEELFARHNLGSVPNTPFSDEVASNLTNRIKLRLVDLDKDMQDKRLSNDVELKTAWDCYMDANDRWKNIDAQKHAKADIKRGIMKRIEEKESERDSFELQISHVDLSHIDEREKNMQIEVERKTNQLAEREFESTIRQKQSDLYGIEQKIKAVNREKDIMAGDSEDRVKLALKKAELDNHKKKHRKIIDEYKDKIRGVLKGRFPPEKDLKKEITQALRAVGMEYDDLNSKSREAEKDVNMLQMKIQEVNHNLSRYQKEMESRKRFVESKLQSLDPLSFSVDLYLKALEGAKEKKDVQKSKYNIADGMRQMFDPFERVARAHHVCPCCERPFTAEEEDEFVKKQRVKAASSAEHMKVLAVESSSSDSHFQQLDKLRMVFEEYVKLSNETIPNAEKELHQLNEELDEKSQALDDVVGVLAQVKADRDSVENLVQPIDTADRLYQEIQTLQKQVDDLVYKLDFRGKGVKTLEEIQSELNTLQNTKDGLHNELEKLRDEQRYMENDLANIQIRWHTLREEKVKAANTLRDVRKAEEELDRLTEEKGQVDLDEKHLAEALIPLSKEKDKLLNDYNELKDKLNREYEELGDKKRKFQQEVETLLRTTSKIKEYLDLKKGERLKELQEKKAQAESQLQGCDSRKQEILAELNKSKDLMRNQDQLRRNIEDNLNYRKTKAEVDELARDIESLEEQILKIGGVSTVEAEIGKLSQERERLLSELNRFHGTMSVYQSNISKNKIDLKHVQYKDIDKRYFDQLIQLKTTEMANKDLDRYYNALDKALMRFHTMKMEEINKIIRELWQQTYRGQDIDYISIHSDSEGAGTRSYSYRVLMQTGDAELEMRGRCSAGQKVLASLIIRLALAETFCLNCGILALDEPTTNLDGPNAESLAAALLRIMEDRKGQENFQLIVITHDERFAQLIGQRQHAEKYYRVTKDDHQHSIIESQEIFD >KGN57282 pep chromosome:ASM407v2:3:11949939:11951599:-1 gene:Csa_3G176290 transcript:KGN57282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEGQQKFPPQKQQAQPGKQHAMDPTPQFTSPDYNPANKLQALNFSFLSYLYFFFLGKVALVTGGDSGIGRAVCYCFALEGAIVAFTYVKGQEDKDAKDTIEMIKKATKSSAVKDPLAIPADLGFDENCKRVVDEVVKAYGRIDILINNAAEQYKSSSVEDIDEERLLRVFRTNIFSYFFTTRHALKHMKEGSSIINTTSVNAYKGNAKLLDYTSTKGAIVAFTRGLALQLANKGIRVNGVAPGPIWTPLIPASFDEEETASFGSQVPMKRAGQPIEVAPSYVFLACNADSSYITGQVLHPNGGTVVNA >KGN55813 pep chromosome:ASM407v2:3:1642084:1646078:-1 gene:Csa_3G017070 transcript:KGN55813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCIQSKGSRQYPGYEDPIYLASQTAFSVSEVEALFELYKSISSSVIDDGLINKEEFQLAIFKNRKKENLFANRLFDLFDVQQKGFIDFGDFVRSLNVFHPNAPQEVKIEFSFKLYDLDNTGFIERQEVKQMLIALLCESEMKLADETIEIILDKTFLEADVNQDGKIDKIEWQNFVSKNPSLLKVMTLPYLRDITTTFPSFVFNSEVDEIAT >KGN59083 pep chromosome:ASM407v2:3:29357424:29364842:1 gene:Csa_3G760530 transcript:KGN59083 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerophosphoryl diester phosphodiesterase MSSSSRSLLLFFSLFLHSFLPLVSAQGSNTPPLWQTLSGDAPFVVARGGFSGLFPDSSGVAYNFAAIVSVPDVILWCDVQLTKDGVGICLPDLRLDNATDASVILGTTRTRSYSVNGVSMSGLFTVDYDFKELENVSVVQGIYTRSTKFDGNQFIILTPEDVANQFKPRGFWLNIQHDVFFTQHNVSMRNFVLSVIRTRSTIVNYISSPEVGFLRSIAARVPRTTKLILRFLGPTDREITTNQTYDSLKQNLTFIKTFASGILVPKTYIWPTEDSYLQAQTSLVSDAHKAQLEVFASDFYSDLPLPYNYSYDPVAEYLSYFDNGKFSVDGVLSDFPITPSSAIACFAHLGKNAKSQDKPLVISKFGASGDFPACTDLAYTNAISDGVEVLDCPVQISKDGIPFCMSSINLIDSTTISQSPFINRSTNIPEISPNDGVFAFDLTWEEIKSLTPSILNPYSAKYTLFRNPRFRNSGEFRTLPDFLALAKNASTLSGVLIQIENAAYLAKHGFSVTDAVLSSLSEAGYDNQTAVKVLIQSPDSAVLIKFKQENKNYELVYKVDEPISDVLNTTVEDIKSFADSVTITKSSVFPVNQFFLTGATDVVKKLKALNLSVYVESFSNEFVSQAWDFFSDATVEINSFVLGAEIDGVITDFPKTSARYKKNRCLTMKETPTYMSPVQPGSLLQLITQPYLPPKTPPSPVLDDKDVAEPPLPPVSAKAPPPAGEGGSTAAPPTSKPNGQPKLGAGAGLLLLNLAILFIALLPF >KGN58907 pep chromosome:ASM407v2:3:28281670:28282102:-1 gene:Csa_3G736550 transcript:KGN58907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADETFKYVILGGGVAAGYAAREFVKQGLNPGELAIISKEAVRFHIPIILEQGLL >KGN59377 pep chromosome:ASM407v2:3:31470291:31475745:-1 gene:Csa_3G815410 transcript:KGN59377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFSSFSPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPLTFSTFAPSFLLTPMMLNSVSVSATLSSAAFLATPSISRPWSQQIHARSIKTGMVGFNVYVSNTLMRLYSVCGSIHDVQKVFDECPHRDLVSWTTLIQAFTKAGLYSRAVEAFMEMCDLRLRADGRTLVVVLSACSNLGDLNLGQKVHSYIRHYIDMKADVFVGNALLDMYLKCDDLNSAYKVFDEMPVKNVVTWNAMISGLAYQGRYREALDTFRMMQDKGVKPDEVTLVGVLNSCANLGVLEIGKWVHAYMRRNHILADKFVGNALLDMYAKCGSIDEAFRVFESMKRRDVYSYTAMIFGLALHGEANWAFQVFSEMFRVGIEPNEVTFLGLLMACSHGGLVAEGKKYFFQMSDKYKLRPQAEHYGCMIDLLGRAGLVKEAEEIIHKMEIRPDVFACGALLGACRIHGNVDIGESVMQKLTELDPDEEGTYILMTNLYSSVHRWKDALKIRKTMKNKKMRKTPGCSLIEVDGVVHEFRKGDKSHPRSKVIYLVLEGIATHLKSYGIEEHSTFCI >KGN55752 pep chromosome:ASM407v2:3:1125464:1125688:1 gene:Csa_3G011060 transcript:KGN55752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEILTDMKDVTVDMEVVEELAEAMAMEEFMEGSMIIAKEVEKKGVMMVDMLSKIPSQTRIENSLLSNEPNIILC >KGN55899 pep chromosome:ASM407v2:3:2439980:2442566:1 gene:Csa_3G033800 transcript:KGN55899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLTSKHTSPVLTEPAPMNKSRLGIHPAILPYSQSGGSFPPSKYITIPRKKSGKFDDVWSNGWLDAMKSSSPPRKKLIKDFDVDFPSDDDTDVAYSSWMLKYPSALNSLEQITSYAKNKKIAVFLDYDGTLSPIVDDPDCAVMSNAMRCAVRNVAKYFPTAIISGRKREKVSELVGLTELYYAGSHGMDIVGPVGQTVLNSHPNCIGSTDQQVFGTLVKKTKDIKGAKLENHKFCAAVHYRNVDEKNWPTIAQCVHDVLKDHPRLRLTHGRKVLEIRPVIDWNKGKAVEFLLESLGLNSSEDVLPIFIGDDRTDEDAFKVLRERNQGYGILVSPMPKETNAFYSLRDPSEVMEFLRGLVRSQKKKVVGGGGEEGGKQRIG >KGN56298 pep chromosome:ASM407v2:3:5853200:5859803:1 gene:Csa_3G113400 transcript:KGN56298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNQHSPHHPLLPPTHEQYPDTAKPSAIVSLAKWVLKLAMWVIFLVWMSVIFLYPSSLATQLFENWVQATQQSPFGITGAIFLTFSAPLLAIAIIAAVYLIVSHDEEPYKKKIKEKPRWRLWTMPVLVEGPLEVVTAGELVGILVVLGYVFWALYCYTLRMLAADYFTHSTFKELSVVVLEVIGVRLGSIGLFCLGFLFLPISRGSILLRLIDIPFEHATRYHVWLGHLTMIIFTLHSLAFVIGWSIQGRLLQQLMEWKDVGIANLPGVISILAGLLMWITSLPKLRTKNFELFFYTHQLYIIFVVFLALHVGDFVFSIAAGGIFIFMLDRFLRFVQSRTTVDVISAKALPCGTVELVLSKPKSLRYNALSFIFLQVRELSLLEWHPFSVSSSPLEGENRLAILIKVLGKWTERLRGKILNDKAKQISSDKHSPVMTVSVEGPYGHESPYHLMYENLILVAGGIGISPFLAILSDVLHRIRDGKTCLPKKILVVWAIKTSDELPLLSTLNVDSICPFFADKLNIDISIYVTRQSQPPSEGEIQGSKVSSICPLSKGSNMSVLVGTGDNVWSGLYVIFSTLGLVFLVGFMDLFYINPFHIIKWWYKGLLFLLCMVASVVLFGGLVVALWSLWEQYISSKGTSDHNNDIENVDEESPKHSFAQKDLNSNALATSTTIEYGLRPNFEEILGSVSENWGKVDIGVLICGPSTLQSSVAKAIRSHNMGRRSHHPIFHFHSHSFDL >KGN59762 pep chromosome:ASM407v2:3:34096598:34097615:1 gene:Csa_3G843810 transcript:KGN59762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEASAILPLKDRVAIVTGASRGIGKAIALHLASLGAKLLINYVSNTSQADLVASQINSSFPGAAVTLQGDVSDPATVAALFDKAEHAFNSPVHILVNSAGITDPYRRTLADLPLEDFDRIFSVNVRGSFLCAQEGAKRVKRGGGGRIILISSSLVGFMKAGTGVYTASKSAVETMTKIVAKEVKGSGITVNCVAPGAIATEMFLTGKSEEEVKKVGEDCPMGRLGEAMDVAPLVGFLASDSGGWVNGQVIRVNGGMI >KGN57854 pep chromosome:ASM407v2:3:18230606:18231978:1 gene:Csa_3G354480 transcript:KGN57854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQPNSIWSSAKLHVHKIQNLRIFIFHKPRHRHHSDEKRHIAIRKVLILKDKGLRRLLLLGTFIDTDIKDLENDGAK >KGN55755 pep chromosome:ASM407v2:3:1139699:1140373:-1 gene:Csa_3G011090 transcript:KGN55755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAGNEDMEDDTPTPTPTQTPTPTPTPTPTLSSTSEAASRHVVVVMDGMEEFTTDPLKWALDNVIKPGCIVTLVGAMPWLNIPLSSKTWLDIWPINLEEMSFEGVEREYISEAKYAKLEAVVSLCRKYGVVPQKKVVMGHPLRLLIVEQISSLHATWVVFDK >KGN59212 pep chromosome:ASM407v2:3:30221694:30222567:-1 gene:Csa_3G781620 transcript:KGN59212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEVPCKTISSESGKALKALATAMKKMTDPSPSSQLHLNAAKSAVNDLKNTLKSGTTQISDDISNLLAIIPDATVASILIDIVKSVEDLSEAVAELSLKAKFKRVSPEKPQLLHKGTIKPFVEEDDNVEAQQQPHVVITVKEIENNNDEDLRVNKKPDREQERKEPLEGFFNNN >KGN56625 pep chromosome:ASM407v2:3:7875048:7877871:-1 gene:Csa_3G126890 transcript:KGN56625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSARKPPTELPTMEPQNGETMPLSGKPYHAFILSKSHVAPIYNLVLPAKFHSILPAIVIPAVLLCRGKKWKIDYHGNRRGKALDSKQWRKFVNDNCLKSGDAIVFELLECSSSILKFRVQILSGDIPLELHDKFSGETKETPIHLD >KGN58636 pep chromosome:ASM407v2:3:26768645:26770521:-1 gene:Csa_3G708170 transcript:KGN58636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCLSSSSTPPTLPIDSKFSFPSPHLATHSETNTVNGGFASGTIDLGGGLHVCQISSFNKIWAARQGGPDNLGATFFEPNSLPEGFFVLGYFCQSNKNALFGSVLAGKDNGSDGEDALKKPVDYTLVWSTESSKIKRDGNGYIWSPTPPDGYRAVGHVVTASPEKPSVDKIRCVRSELTEECENEAWIWGPMKSRDENGFNIYSSRPKNRGITGTGVSTGGFLALPAPTTGNSPLPQLFCLKNLNSISAAMPDLSQIDSLYQAYSPIIYFHPKEKYLPSSVDWFFSNGALLYDKSNESNPVPINPDGLNLPQGGSNDGQFWLNLPTDEEEKEKLKKGDLQSCRGYLHVKPMIGGTFTDIATWIFFPFNGPATAKVGIIDIPFTKIGEHIGDWEHITLRISNFTGELRRVYFAQHSKGEWVDPPSLGFEKGNKVVAYSSLNGHASYSKPGLVLQGAAEIGIRNETAKSGLVVDTGTNYLVIGAEYLEGAVVEPAWVNYTREWGPRIEYPIVEEIEKVENLLPGRLKEGFRGFVKKLPDEIRGEEGPTGPKMKNSWNGDEP >KGN59558 pep chromosome:ASM407v2:3:32672093:32673006:1 gene:Csa_3G825030 transcript:KGN59558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSSFLSILLLLSFLITLCFSNGFGRNLRMVVEPLDSHHNSRVLLEESGRKWRMMKMETMDYADPEPNTNVKGGYVSPPPPPNHG >KGN56796 pep chromosome:ASM407v2:3:8856692:8858239:-1 gene:Csa_3G133960 transcript:KGN56796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGFCAAQLSQLLGPNTTNATAAANFICDQFATVSNNFSDTRNALDNTYLLFSAYLVFSMQLGFAMLCAGSVRAKNTMNIMLTNVLDAAAGGLFYYLFGYAFAFGSPSGGFIGRHNFGLTSFPTSTADYSGFLYQWAFAIAAAGITSGSIAERTQFVAYLIYSSVLTGFVYPVVSHWFWSPDGWAGVMKSDGHLLFGSGVIDFAGSGVVHMVGGIAGLWGALIEGPRIGRFDHNGRSVALRGHSASLVVLGTFMLWFGWYGFNPGSFTKILVPYTTGNFYGQWSAVGRTAVTTTLAGCTAALTTLFGKRFLSGHWNVTDVCNGLLGGFAAITAGCSVVEPWAAIICGFVAAIVLITCNRIAEIVKYDDPLEAAQLHGGCGAWGVIFTALFATEEYVTEVYGGSGRPYGLLMGGGGRLLAAHLIQILVIVGWVSATMGPLFYVLHKLKLLRISTEDEMAGMDLTRHGGFAYIYHDEDEAQKMGIQLNRVEPKSSTPTGDY >KGN56761 pep chromosome:ASM407v2:3:8671236:8671533:1 gene:Csa_3G133120 transcript:KGN56761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDTIILRRWFVSCPSLVFLMQHIAAMLENKKSYKDFLLDGIGCHRTMLSLCILSNFPFISSYSLLECIALELGQSEA >KGN60339 pep chromosome:ASM407v2:3:38661584:38663514:1 gene:Csa_3G895850 transcript:KGN60339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLELGLHWTRLVSFLYERGWRQSFSVLLGFPGPEKEFELIKNFITPVLGGSIIDASCGSGMFSRIFAKSGLFSSVVALDYSENMLRQCYEFIKQEENFPNERLVLIRADIARLPFASSSVDAVHAGAALHCWPSPSAAVAEISRILRPGGVFVASTFIMDGPYSFVPFLRIQIEGIQQISGSRIFLSERELEELCTACGLVDFKCLRNRQFVMLSATKCS >KGN58561 pep chromosome:ASM407v2:3:26197718:26198789:-1 gene:Csa_3G684670 transcript:KGN58561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEIRIFLQTLLLVSSSLSFCFSIRNSIPNGFLRFLLILPFFSLFLYIPLQFQTIHLQGSIGFFIGWLASFKLLLFAFGKGPLCSAAASSSLRRFLAIGSLPIEIPQDTNRSHPNPILPPTYFIKLLLLILTLVAIYFKNYLHPTLYLIVFCFVIYFLLEILIGGAAAFVKATLGVELLPYFDEPYLSVSLQDFWGKRWNLLTSRILRLAVYDPCRKLTVGIVGKKASAMVALTATFAVSGLMHELIYFYMGRLAPTWEVTCFFLLHGVSLSAEMAMKSAVRGRLKVPRIISTGFTWWFVMTTSSWLFFPQFFRLKPDVRMMEEHAALGAFVKNVTVQLITPFKFSF >KGN59784 pep chromosome:ASM407v2:3:34285581:34289294:-1 gene:Csa_3G845510 transcript:KGN59784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAAWGRWSKLAAIVAVVAILRQLGKTYGWEFDRDAALKVFTQWSDRLGVWAMPAYVGIHTFTLALCLPYAVFFEATASLLFGFFPAVICVFFAKVLGASLSFWIGRLLFKNSSSAMEWAQRNKYFHLLSRGVEQDGWKFVLLARFSPIPSYVINYALAATKVGFFLDFLLPTVIGCMPMILQNTSIGSLAGAAVASASGSQKSQIWSYIFPVLGIGSSILISWRIKKYSSGLKVAGSSSSDEGNDNVDPSKTKEFKKTR >KGN55717 pep chromosome:ASM407v2:3:876000:880842:1 gene:Csa_3G006770 transcript:KGN55717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSEMEKPPKDRETKTPPPTTTQEQTTTTSAGTVNPDWSGFQAYSPIPPHGFLASSPQAHPYMWGVQHIMPPYGTPPHPYVAMYPPGGIYAHPSMPPGSYPFSPFAMPSPNGVTEASGNTAGSLEGDVKPPEVKEKLPIKRSKGSLGSLNMITGKNNELGKTSGTSANGAYSKSAESGSEGTSEGSDANSQNESQPKLGSRQDSLEVEVSQNGNSVHGTQNGGSNTQAMAVIPLATAGAPGVVPGPTTNLNIGMDYWGASSAIPAMRGKVQSTPVAGGLVTTGSRDSIQSQLWLQDERELKRQRRKQSNRESARRSRLRKQAECDELAHRAEALQEENASLRSEVNRIRSEYEQLLSENASLKERLGEVSGNEELRTSRNGQRTNNETTTKTTESEVVQVGNKN >KGN59974 pep chromosome:ASM407v2:3:35905240:35908911:-1 gene:Csa_3G858870 transcript:KGN59974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVGESYVGNVSPFPMQLALCDVYVVEAFRDVCIANAFRDICATKVARDVLRLYVGNRRIRIVRGKIFAALQKVSLTDVLRRCCRRRVRRRGSFSRCCFGFSTTLVCVGEERVYCSVS >KGN57329 pep chromosome:ASM407v2:3:12296806:12298588:-1 gene:Csa_3G179190 transcript:KGN57329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALNQNHPLNQKEGSSNGGYQNLTNTTVDSSNPAKPQHILSDRHVTPAPKKEKDGIMEHGLDIMPPLTLSQVPSTTLAKQTKRPSKDRHTKVEGRGRRIRMPAACAARIFQLTRELDHKSDGETIRWLLEHAEPAIIEATGTGTVPAIAVSVGGTLKIPTTSPARPNGEISEAPRKRRRKGTNSESNDCNDQASVSSGLTPIAPMAAYGAGLVPFWGSAGGVTEPFFMVPGTSNNHQPQLWAVPARPLSNLVSSMNPGLQFGGVVPVLTRAVSNGSSGLESGSSPAMVSASLIPGTVSAPASTSGSTQMLRDFSLEIYEKKELEFMGRRSPAKSQTPCSKP >KGN56797 pep chromosome:ASM407v2:3:8860788:8864124:1 gene:Csa_3G133970 transcript:KGN56797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIMRLLSTSTSTSWGWIKFRLPIRNHTTLSPRSHLPHPSFPMPNPSSALSASRRPLRGVVFDMDGTLTVPVIDFAAMYRSVLGDEEYVRIKALNPSGIDILHIIQSWAPEKQRRAYEVIADFERQGIDRLQIMPGAAELCTFLDSKSIRRGLITRNVKEAVDIFHERFGWTFHPALSREFGSYKPNPAPLLHICSSWDVLPNEVIMIGDSLRDDVGCGKGAGAFTCLLDQTGRYNSEHFTKLDLEPDFKVSALDEVLHLLDANFDLTP >KGN60100 pep chromosome:ASM407v2:3:36836484:36839973:-1 gene:Csa_3G878200 transcript:KGN60100 gene_biotype:protein_coding transcript_biotype:protein_coding description:Grr1 MSKLFAFSGSEDFCTGGSIYPNPKDSSLFLSLPHHVDVYFPPRKRSRITAPFVFGGEEVESKANVSIEILPDECLFEIFRRLSDGKERSACATVSKRWLMLLSNISSHELKSEDEVASKEVEDIEIESDGYLSRSLEGKKATDLRLAAISVGTASRGGLGKLVIRGNNHVSKVTDLGLKAIARGCQSLRALSLWNLSSIRDEGLCEIAKASHQLEKLDLCRCPAVSDKAVVEIARNCPKLTDITIESCAKIGNESMRAIGQFCPKLKSIVIKDCPLVGDQGIASLLSLNTCALNKVKLQALNVSDVSLAVIGHYGKAVTDLVLTDLKNVSEKGFWVMGNGHGLQKLKSFTISSCNGVTDMGLESVGKGSPNLKHFCLRKCSFLSDNGLVSFAKAARSLECLQLEECHRITQFGFFGVVLNCSASLKALSLISCLGIKDINSELPIPASSVSLRSLTIRNCHGFGNRNLALLGKLCPQLQNVDFSGLVGIEDCGFLAWLQNCQLGLVKINLNGCVNLTDEVVSSLMEHHGSTLKMLNLDSCKKITDASMTSIANNCPLLSDLDVSKCSITDSGIATLAHAKQLNLQIFSISGCSFVSEKSLADLINLGETLVGLNIQHCNAISSSTVDLLVEQLWRCDILS >KGN58602 pep chromosome:ASM407v2:3:26520493:26524455:-1 gene:Csa_3G697950 transcript:KGN58602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRKETVLDLAKFVDKGVQVKLTGGRQVTGTLKGYDQLLNLVLDEAVEFLRDPDDPLKTTDQTRPLGLIVCRGTAVMLVSPVDGTDEIANPFIQPDGA >KGN57175 pep chromosome:ASM407v2:3:11130866:11131418:-1 gene:Csa_3G167880 transcript:KGN57175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGLWTNKTDERVTQRHHFHRLVTMYANLPELERIMCKLTPAMLSIHLIHSISLETEKKRGEREIRKRIPSANIQILFITHQHVMRNKTMQLDGKHLIDISVTEITCYKNNYSVPLSQCRSVQGFSSSPWRLHSTITFSLPSP >KGN55667 pep chromosome:ASM407v2:3:522560:524726:-1 gene:Csa_3G002860 transcript:KGN55667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLMDEINISPSSSPNSNTTLQQRLQFILHNRHEWWAYSIFWLASKDINGNLVFTWGDGHLRDGNGSGGGGGGCQLISFGFDDVSMDRVEGGNFVNLEWYYTGSINQTYGAVDNVVGRVFDSSAYIWLTADNGLYLYDCERVKEARLRGVQTLVFVSTSVGVLELGSSELIKQDWSLVQYAKSLFGSASSCTSSTLFKQKDHHVGIGGGGMIQPQAPSCSGFIKRETGHGGGGSSSDSLSDNSDGNFMSTKINSNVGKKRGKRSAKNIKTELSSLPVNHVEAERQRRQKLNQRFYALRSVVPNVSKMDKASLLADAAEYIKELKSKVQKLESKLKQSQHQTSSSTISTVEQTISSITSFTNNNNNNNNNVEVQLIGSEAMVRVQCRDENYPSARLLNVLKELGLQVHHASLSSVNEMMLQDVVVRVPHAVAWRDQRTLRTAILQRLE >KGN55751 pep chromosome:ASM407v2:3:1120557:1121447:1 gene:Csa_3G010060 transcript:KGN55751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISRVLCIGFLLFVGLGLASATRTLLDYDPRARRYDYDHPTPRVGYDPSHRDQSYDNAYSGSSSKGYGIGDSTLGGSGRYGGGKGHDSGYGGRNDDRGVGYGGRNDDRSTGYGGRNDDRSDGYGGRNDDRGAGYGGRNDDRSDGYGGRNDDRSDGYGGRNDDRSDGYGGRNDDRSDGYGGRNDDRSDGYGGRNDDRSDGYGGRNDDRSDGYGGRNDDRSDGYGGRNDDRSDGYGGRNDDRVVALRPEAYMEESMIIAKEVEKKGVMMVDMLSKILSQRRIENSSFSSNEPNIILC >KGN56117 pep chromosome:ASM407v2:3:4030931:4032465:-1 gene:Csa_3G076530 transcript:KGN56117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTETNSPSLFKFLDFSLRLCSVPFTVAALWLTVTNRQDNPDYGNLEFHNLSGLKYLVCISAIAAVYAFLAAAVSCFRWFIVRAWVFFVSDQIVAYLMVASGAAVGEILYIAYNGDREVSWSEACSSYGKFCNNMKMALIFQALGFACFFPLAIISAFRAFSVFPPPLISSQ >KGN56740 pep chromosome:ASM407v2:3:8520199:8523004:-1 gene:Csa_3G131930 transcript:KGN56740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGSGICAKRVVVDARHHMLGRLSSIIAKELLNGQKVVVVRCEEICISGGLVRQKMKYMRFLRKRMNTKPSHGPIHFRAPAKILWRTIRGMIPHKTKRGAAALARLKAYEGVPPPYDKMKRMVIPDALKVLRLQAGHKYCLLGRLSSEVGWNHYDTIKELERKRKERSQAAYEKKKQLTKLRVKAEKVADEKLGPQLQVIAPIKY >KGN58451 pep chromosome:ASM407v2:3:25312320:25312760:1 gene:Csa_3G645890 transcript:KGN58451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEGG >KGN58133 pep chromosome:ASM407v2:3:21758326:21758752:-1 gene:Csa_3G536650 transcript:KGN58133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEILAKDTVSDRRIAKQVIKKKVEMVSRSSRSSEKRICLKRIRRLKKMVPKSESMELNGLFRETADYIVWLQMKVRMMQALVHVLNGSDE >KGN55855 pep chromosome:ASM407v2:3:1999570:2001517:1 gene:Csa_3G019950 transcript:KGN55855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASACQRIASRISQSSFRTFVRTNSSAKSSSSPFPLPSKSTAPSVRRFSLARSPSELGCVQSLLPFHDAVAGARMISCLSTNSRSCRALSQDFPFLLLYNLFVCVLFVLVFADSCCLCHCCVVPKILQLLHIISIVNMLERNRLEVYGASDYGAVNPFQMQLMVRETYLLFLKS >KGN58555 pep chromosome:ASM407v2:3:26169521:26173331:-1 gene:Csa_3G682170 transcript:KGN58555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVKRCTTEINTKYHAIAIDYIEVNKDSSRFSDFHGVGLIRAVAAEQQVEKTKVALLRIGTRGSPLALAQAHETRDKLMASHPELAEDGAIQIVVIKTTGDKILSQPLADIGGKGLFTKEIDDALINGDIDIAVHSMKDVPTYLPEKTILPCNLPREDVRDAFISLSAGSFAELPAGSIIGTASLRRKSQLLNRYPSLKVLENFRGNVQTRLRKLNEGVVQATLLALAGLRRLNMTENVTSILSIDEMLPAVAQGAIGIACRSDDDIMANYLASLNHEETRLAVVCERAFLETLDGSCRTPIAGYASRDEDGNCIFKGLVASPDGTRVLETSRRGPYAIEDMIAMGKDAGQELLSRAGPGFFDS >KGN59717 pep chromosome:ASM407v2:3:33771257:33773941:-1 gene:Csa_3G840430 transcript:KGN59717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMKMNMKMKMVFSVASIILISMADSRACNFPAIYNFGDSNSDTGGISAAFYPTILPCGQTFFHKTAGRGCDGRLIIDFIAKQLELPYLSAYLNSIGTNFRHGANFATGGSTIRRQNESVFENGISPFSLDIQVVQFRQFKNRTIDRYVEAIDDSIRSTLPVPEEFSKALFTIDIGQNDLSAGFRKMTNDQFRKAIPDIINEFATAVEDLYKEGARAFWVHNTGPIGCIPVAIRSVSNPKEGDLDRNGCVKEQNDAALEFNRQLKERVVKLRANLLDASLVYVDVYAAKIKLIANAKEEGFMEKGAICCGYHEGLNHVWCGNRKTINGSEVYAGSCEDPSKFISWDGVHYTEAANQWIANQIVKGSFSDPQVPIMHACR >KGN57281 pep chromosome:ASM407v2:3:11941976:11947434:-1 gene:Csa_3G176280 transcript:KGN57281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRRAVSVSHFTFAHKSIAHNKDVCKLKFWETFTTETPMKEKGTFQRDKTPFITFVLGGPGSGKGTQCMKIVENFGFTHLSAGDLLRREIASNSADGTMILNTIKEGKIVPSELTVRLIQKEMESSDNYKFLIDGFPRSEENRIAFEQIMGVEPDVVLFFDCPEDEMVKRVLNRNQGRVDDNIVTIKKRLKVFDALNLPVVKYYMEKGKLYKIRAVGSVDEIYKQVYPVFASLNFEQQVRE >KGN55610 pep chromosome:ASM407v2:3:223136:234030:-1 gene:Csa_3G002310 transcript:KGN55610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVKKLVGKATRKPENTFDSLKGSQVEPCLAFHNGIPSGSITSAYDPIQKILALSTRDGRIKLFGKDNSQALLESKEAIPSKFLQFMENQGFLLNVTSKNEIEVWDIDRKLLAHVHVFEQEITSFTILQQTPYIYVGDYLGNVSVLKLDQSVCNIIQMKYIIPVSASRGNPAEATSDISLTHILPQPTTEFKRVLLIFSDGFITLWEIKESKSIFITGGNSMLSPYQEAKKVTSACWACPLGSKVAVGYSNGDVLIWAILHGHNPKAESLAENSNRTGPLFKLNLGYKLDKVPIASLRCNYVDAKASRLYVMGAASNSLQVILLNEQIESRMIKLGLQLSEPSIDMEIISSSSDHNKNKHDYLLLLGKSGCVYTYDDCSIEKYLLQQSQSRSANSLPKEAMLKIPFIDSHITVASFFTNISCSPYASDEDYIQRTKDIPSLFLSESKSKDVTYLDTVQFGGFSKVENLYISGHNDGSINFWDASCPIFIPIYSLQQQSEDDFSLSGIPVTALHFDGSSQILVSGDHSGMVRVFKFRPEPYATDNSFMPFQGSTKKRNSHIIQSVKLVKVDGSILAINISPRSNHLAVGSDRGYVSLFSIQGPDLIYQKRITSEISTGIISLQFESCSLQGFDKNVLMISTKDSSILALDGETGNPLSASMVHPKKPSRALFMQILYGQDSSTRGSVISNDLELGKGSNPAVDSVPKQSLVLLCSEKAAYIFSFVHAIQGVKKVLYKKKFHSTCCWASTFYSNTDVGLLLVFSTGKIEIRSLPELSLLKETSVRGFKYSPPKVNSLPESIICSSKDGELLMVNGDQEIFIVSVLCHKKIFRILDSVSHIYRKDYMLSQEVTTAHKEKKKGIFTSVFQEIAGNKAKQAPDVEIEDTRESIEELSIILSSSNFHGDFKTVDGSEKLVANEDKLALDIDDIDLEDPVEKPKEQSMLGSLNKQKLASTFNSFKGKLKQMKKNSGKEEQPDWNAGDNKVGAVDQIKKKYGFSSASDTTSVAKMTERKLQENVTKLQGINLRATDMKDTAKSFSSMANQLLRTAEHGNKS >KGN55944 pep chromosome:ASM407v2:3:2727405:2727599:1 gene:Csa_3G038175 transcript:KGN55944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMLLLLHVRSRSSMHAVRPISGWKVTLDALDALQYLGLTGVQTLQSKSAASKQKLYNNFPGNL >KGN56897 pep chromosome:ASM407v2:3:9379321:9380334:1 gene:Csa_3G142910 transcript:KGN56897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEESAPRKTEWPELVFVKYSIAAAIIEKENPDVEAVKILSGTPRILNFDINRVWVDINVEEVVVKKPKVG >KGN58286 pep chromosome:ASM407v2:3:23561298:23567000:1 gene:Csa_3G608160 transcript:KGN58286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGFSTTANTTRFLNPWLLHFQKMGLELKCPLCLNFFDDPILLPCNHLFCKSCMPFAAQIGSVCPLCKAGFVDRDMRPAPFMDKMVSIYRSLDATFSTNMSKLVSTVDVGAAVEQSRFGQSVSYVANNCKEFEGCSMPVDSKRQHLGIEGRKGEHGRHEDCVMPPVSQTDQLSSGSPPSFGDGKVSDDSSDEYRGHGSKNTSDWISVITSADDKKLQLSKCTSSASEEEGHLRDLKRQKLNYGQLKFRISSADQTHPPVSEPGNSETSNSGMEHKSQVTNASSMPLADADDTIVRNVKCAFCQSSKVTEDTGAVLHYMNGRLVDGVEAASPNVIHVHKLCVEWAPQAYFQGDDVHNLKAEVARGSKLKCSKCGLKGAALGCYLRSCQKSYHVPCALEIDECRWDMDNFLVLCPSHTSARFPDERSKPRKMPRDQASLFQMNQKDLSNWASASDGVNKWTFCGSALSAEERNILVKFAKLTGATVSKLWKPDVTHVIASTDENGACTRTYKVLMGILNGIWILNMDWVKDCMKEKCPLNEEAYEIALDNYGCTDGPKTGRLRVLNKEPKLFIGLSFYFTGDFPPAYEEDLQDLVITAGGTVLEDEELAATSSNDQAAPKVVVVYNLDSPGGCKVGEEVSILWQRMNEAEGIAAKVGAQVIGHTWLVESIAMGSLQPFVSC >KGN59031 pep chromosome:ASM407v2:3:28974214:28976919:1 gene:Csa_3G747620 transcript:KGN59031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGLAVENGNTLMASEPQMYQKGVKHLCDNGLNKLPSKYILPNSDRPDTSDYSPHLHVSGPLQLPLIDFAQLLGPNRHHVIHSLTKACQEYGFFQVINHGISNDVITNMREVCSKFFELPYEERAKYMSSDMHATVRYGTSFNQNKDNVYCWRDFLKLTCNPNDLHQLLSGWPSSPSGFKDSASTYVEETRGLFLSLVKAIVESLGKEIDENEEQLLLNEFENGSQLMVVNCYPKCPEPELTLGMPPHSDYGFLTLLLQDQVEGLQIHYKQKWVTVQPLPNSFVVNIGDHLEIFSNGKYKSVLHRVVVNAKKTRISVATLHSLPFDSIIKPWPKLVNEENPRLYRDTDFGTFLEYISSCEPKRKNFLESRKIIH >KGN59683 pep chromosome:ASM407v2:3:33505081:33509465:-1 gene:Csa_3G837640 transcript:KGN59683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLTFTKLFGRLFSKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIVSKA >KGN58030 pep chromosome:ASM407v2:3:20610130:20610469:1 gene:Csa_3G444580 transcript:KGN58030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITHHFYPKTDASVYTFFTSLLHPTLTPSLFPAHFLLLPSPIILFPILAYSSFSFVFCSKSSCFLQIFTFFIHSSSGSFPFFIYFFPISLLFSLFVYRL >KGN58158 pep chromosome:ASM407v2:3:22116148:22118790:1 gene:Csa_3G563310 transcript:KGN58158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIVMEPNDIATYMNGIVGLNPNKNLTTICTFANRVHCTSIFNGANPLEFSVPLLFLQLGICSGTILLFSQLLKPLGQPLIVSQILGGLVLGSSGLSNMKIFKETIFPLEGFVCLDVVSALGHIYYYFLIGLQTDMAVIKKIDKKALSIGSCATIMAMILVFVYSIFLTNMMDLRNFTYIFELGKLESFINFPMVASLVYELRLVNSEFGITSLLTSMASTLLSICFTLVGNILTIRGGTKHQVLSEVFAVVVLVLVIIFTIRPATLWMVKMNPSGQPLKECFVITLLLVVLAVAFCCQSFGLRIYFASFFLGFMIPSGPPIGSTLVDRLDFITSWVFMPILFARTGLAINIYTTELINVICMSIIVFISALGKFLGALMIAMYYKLPLRDAVSLGLILNSQGALELSQLRRMTREKVINEDAFAVGCIWIIFIIAIITPIIRYLHHPSRRYIVQKKRTVMHSRPEFDLCVLVCIHDQEDVPSAINLLDALNPTRRSHLVVYVLHLVELLGRAHPKLIQHKLTKVRSSRFCCEPIVNAFKHFGDSNNETVVLNPFTAISPSITMHDDVCSLALDRKSSLILVPFHKRFHSNGMMSSSKYKTKMVNHNILNSAPCSIALVVERGFLRVSKSIETNLYRFQVAVVFIGGEDDREAMFIGARMAGHNNINLTVIRVMEMSEDYNDVVRSNNNELMKEKRLDDEALVEFRKIVEDNYRVRYIEEVVKDGTGTICVLRSMGNNYDVVIVGRRHNPCLALVQGLVLWDEHTELGAIGEVLATSDFLGNATVLVVQQHTIVANQNELEDL >KGN60167 pep chromosome:ASM407v2:3:37318882:37324086:1 gene:Csa_3G881800 transcript:KGN60167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPITLQQIPNDSVDLEHIPIDDVFEKLKCTEEGLTSEEGASRLQVFGPNKLEEKKESKILKFMGFMWNPLSWVMEIAAIMAIVLANGGGRPPDWQDFVGVIALLFINSTISFIEENNAGNAAAALMAGLAPKTKILNLCNSSEAIRKKVHGVIDKFAECGLRSLGVARQEVPESTKESPGEPWQLVGLLALFDPPRHDSAETIRRALNLGVNVKMITGDQLAIAKETGRRLGMGSNMYPSSALLSQDRVSTDSLPVDELIETADGFAGVFPEHKYEIVRRLQEKKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVFGFLLIALIWKFDFAPFMVLIIAILNDGTIMTISKDRVKPSPLPDNWKLKEIFATGIVLGGYLALMTVLFFWIMKDTDFFTSIFCVPSLRNNNQKMMAALYLQVSIVSQALIFVTRSRSWSFVERPGFLLVFAFIMAQLIATVIAVYAKWSFARIHGAGWGWAAVIWLYSLITYIPLDILKFTIRYALSGRAWNNLVQNKTAFTTKKNYGKEEREAQWATSQRTIHGLQPPQTSNIVSDKISYGGELSEIAEQAKRRAEIVRLRELHTLKGRVESVVKLKGLDIDTINQHYTV >KGN59194 pep chromosome:ASM407v2:3:30162658:30163299:-1 gene:Csa_3G778990 transcript:KGN59194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKHTYHRPEHHHNHCRCSCGGGRFITFLKILIAIIIVVGLAVLILWLIFRPNKVKFNVTDAKLTQFNLIGNQLHYNLALNVTVRNPNKRIGVYYDSIESSPIYKDQRLETQWLPPFYQGYKTTAVISCVFSGQQLLLLAGQGLTEFNAETVAGVYEMNVELNLRIRLKFGAVRIGKFKPKVNCEFKVPLSSDGNSVVGSVFESTGCDIDYW >KGN57251 pep chromosome:ASM407v2:3:11692889:11718210:-1 gene:Csa_3G174540 transcript:KGN57251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALVSSAVEEICSLGQNGLALSNLWSKLEPSLSASGLDLSNGVKAAVWTQLLRVPSLQFEVGKGLYDAKDPSIQSFEAAERLNLKVVAKVHLRDSFVGLYNVRSASSNMSAHQRRVLERLAGARKNGVTQNQLAKEFGVEGRNFFYVVKSLESQGLIARQSAVVRTKEALSTGELRNSPIVSTNLMYLHRYAKHLGCQQKLEITVEENNIEQLGDPVESATVEDGLPGKCIKEDVLVKDYLPKMKDICDKLEAANGKVLVVSDIKKDLGYTGSSSGHRAWREVCNRLERACIIQVFEAKVNNKLDCCLRLLKKFSPKCFDTSTTLGRSDISGYKNHMKFGRKCQVTDQLTELAIEHQIYDMIDAGGSEGIAVMTICKRLGIDHKRNYGRLVNMFTRFGMHLQAETHNKCTLYRVWTHGNFKPECNNQYFYKPTEVNKEIVNVNDSACSPQMAIQDHNVCDFNRKTKDEKMNTEVSHKLHGDGEGDLRGNHLPQESVFQPACSTPDVELSAVNTVETISGSTTSSSALLRPSISAPYQKYPCLPLTVGSAWREQKILERLQDEKFILKGELHRWIIDQETDKSTTTDRRTIIRSINKLQSEGHCKCIDINVPVVTNCGRTRITQVILHPSIETLSPQLLGEIHDKMRSFEAQSRGYNSKKVRKRGPVPVLEGIQRIEHYMDSDIASIRSEAMRANGFVLAKMIRAKLLHSFLWDHLNCSDGSDGTSPSDIFVHDLNNPHTCYKPFLLEDAIRSIPIELFLQVVGSTKNFDDMLEKCKRGLSLVDLAPEEYKHLMDANATGRLSLIIDILRRLKLVRFVAASPGNVNDHGHAILKHALELKPYIEEPVSNDATRSLINRGLDFRPRIRHDFILSSRQAVNEYWQTLEYCYATADPRSAMLAFPGSAVRETFLFRSWASTRVMTAEQRAALLDLVARRDLREKLSYRECGKIAKDLNLTLEQVLRMYYDRCQQRLKSFDEGTGNESRQKNKRNSPRRKKNPRERSGKRARHDVVSKLLDGTRVTKFPETSISSIDKDKQLANSGEQNISLQENFEDDNYLETVEEFGSDEEGEASCSVASSMMKPTRQRRFIWTDETDRQLIIQYARYRAARGTRFSRTNWCSISNLPAPPGNCRKRMAWLNGSVRFRKLVMRLCNILGKRYVKYLEKSKNSTVHQDDPKLILTSSKGKGLNIGGSKYNSEDPQEEWDDFDDKDVKMALDEVLHFKKMTILEDSKRVGSVYGDFVDANSAHQEGAQHKFPRGRSKARCFHRRLMKILNGRHASKEVFESLAVSNAVELFKLVFLSTSTTREVPNLLAENLRRYSEHDLFSAFSHLREKKIMIGGTNGDPFVLSQTFLHMISKSPFPANTGERASRFSKFLHEREKDLVENGINLPADLQCGDIFRLFALVSSGELSISSCLPDNGVGEPEDVRGLKRKVDSEHWVDVSAKKLKLAPGDGEIISRREKGFPGIIVSVCRTTILRTDAMELSNSWNCVDDQYIGGSDRFCVPTTDNSISFDHMESRFDTDGVVSLLGNRCESTWQAMAAFADHLMSVDCDQVSVISPEVFRLVYSAIQLAGDQGLSMEEVSQVANLQGEKLPELIVDVLQTYQQVLKVNSFDSVRVVDALYRSKYFLTSIAGSNQNHVTPSVDMLGRSDSQKVSRPENYKVKGKSPENQISDGAISQNMIVGEVHKVTILNLPPEVDDNTKKSKTSSIHQSSPKDKTMLATAGNEDGGLNMPILPWINGDGTTNKIVYKGLRRRMFGIVMQNPGILEVDIIQRMNVLTPQSCKKLLELMVLDSHITVRKMYQSKFGGPPGILGTLVGRSSKESKFVCRDHYFANPMSTSLL >KGN59166 pep chromosome:ASM407v2:3:29995449:29998444:1 gene:Csa_3G778230 transcript:KGN59166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQIIVWGLFFIFPFCISSGMEAEPPLELSRLTRELLESARDPEFFEWLVKARRKLHENPELAFEEFETSEFIRTELESVGINFNWPLAKTGIVASVGSGAHPWFALRADMDALPIQEMVEWEHKSKKDGKMHACGHDVHVTMLLGAAKLLQQRRNELKGTVKLVFQPGEEGRGGAYYMVKEGAIENVKGIFGLHVAQDMTLGAIGSRPGPFTACSGRFLATIQGIGGHAALPHQAKDPLLAMSSAIISLQHIISRETDPFDSRVISVGLVKGGEARNVIPETVTFGGTFRSKTLEGLYNLKHRIQQVIEFQVAVYGCSAIVDFMEEKARFYPPTINDQSLYDHVNNVGQHLLGGPSNVLHLPNTMGAEDFSFYSQHIPAAFFMIGAKNDTMESGIPLHSPYLVLDEHVLPLGAALHAAVAISYLDQQHHFVSSN >KGN59691 pep chromosome:ASM407v2:3:33584275:33587681:-1 gene:Csa_3G838700 transcript:KGN59691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFASKFLRPLPRAFVFASSSSSSSSSSFLNPARFCCAKFEPFKLFPTNFGSFLCNRLPNLRLAFSGAKGIYLPLVGSQLSKRTILGTSVVLGSINSWPNASFAMEDRLIDASQEVIDTSKYVKSVKRIWELALRLWLPFLLCWTVLINLNHPIDVVGKVVLFLVSTKPSPLSVYIFVDKLRSSSSHEPHLSNWKKRLVARKVEVEDYKVLCVAKVEMKHQDFTVVGVLGGWWKWPPLSSDDEFIAFMDKLASLAHRLKSILIIA >KGN56262 pep chromosome:ASM407v2:3:5474264:5476806:1 gene:Csa_3G110590 transcript:KGN56262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRPDDDYDYLFKVVLIGDSGVGKSNLLSRFTRNEFCLESKSTIGVEFATRTLQVEGRTVKAQIWDTAGQERYRAITSAYYRGALGALLVYDVTKPMTFDNVSRWLKELRDHADSNIVIMLIGNKTDLKHLRAVATEDAQSYAEKEGLSFIETSALEATNVEKAFQTILSEIYRIISKKSLNSEEPAAANNIKEGKTIVVGESEANTKKACCSSS >KGN58394 pep chromosome:ASM407v2:3:24776562:24778661:1 gene:Csa_3G636430 transcript:KGN58394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIRRCSFLYHDVALWIEQKLRTSPARQASVSMGEINRAEYVSVGESPSPPVVSNAKKVSVLPLVFLIFYEVSGGPFGVEDSVGAAGPLLALLGFLVFPLIWSIPEALITAEMGTMFPENGGYVVWVSSALGPFWGFQQGWMKWLSGVIDNALYPVLFLDYLKSEIPALGGGLPRVAAVLALTVILTYMNYRGLTIVGWVAVILGVFSILPFAVMGLVSIPKLRPARWVVVNLKDVDWNLYLNTLFWNLNYWDSISTLAGEVENPNKTLPKALFYALILVVLSYFLPLLSGTGAIALNRELWTDGYFSDVAKIIGGAWLGWWIQGAAAMSNMGMFVAEMSSDSFQLLGMAERGMLPEFFSKRSRHGTPLIGILFSASGVVLLSWLSFQEIVAAENFLYCFGMILEFLAFIKLRIKHPAASRPYKIPVGTAGSILMCIPPTILICIVLALSTVKVMIVSLAAVAIGLLLQPGLKYVEKKRWLKFSVSADLPDLHFANRDRPDTLVY >KGN58832 pep chromosome:ASM407v2:3:27894955:27899854:-1 gene:Csa_3G733860 transcript:KGN58832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFISALLISLLLSPSLAWPEGTTTLTQGNSIDVEDENQFLTSTNGIFSSGFYKVGNNSFSFSIWFARSADKTVVWMANRDNPVNGKQSKLRLNFNGNLVLTDADGSFTWSTNTITTQQVELKLLDNGNLVLVNQIGVFLWQSFDFPTDTLLPQQQFLKNSTLVSIKTPGTYSSGFYFFKFNDDNVLNIIYNSPSLSSIYWPDPGKNVFDNGRSRYNSSRVAILNDMGRFESTDNLNFNAIDYGFGPKRRLTMDFDGVLRLYSLVESTGSWEITWLPDGPLDACLVHGLCGEFGICSYTPLPTCICPPGFIRNHPSDWSKGCKPSFNLSCDSKDLDFIQLPRTDYYGYDLVGFARGVSVETCRNSCLNSCQCLGFGYSTDGLGLCFPKGVLRNGNRKPDTMRLMHIKIPKGRPKTELKEEFSNDLKCSASEIVRNTEIFPENKIKFRYMGLLIAFVAIAGFIELIFFGFGWWNVFRKRVNEELVNMGYIVLAMGFKRFTYAEMKRATRNFKQVIGKGGFGTVYRGELDDGRIVAVKRLEGILQGDAEFWAEVSIIGKINHKNLVKLWGFCAEEKHKILVYEFVKNGSLDKLLFSNNSSQPLGLEQRYEIAVGTAKGLAYLHEECLEWVLHCDVKPQNILLDEELEPKVADFGMSKLFKEIDENGFSRVRGTRGYLAPEWMMDQKIDAKADVYSYGIVLLELVSGKSASNFQSSSNSMDFRYSNLVSWMIDNVEKGKMEDAIDPRLEESEKDVRKIEMLRHFLELKIEAEETPESHRISISYYFFIPFPAFLRRLPHPHRLYSLFYSIIWILAASVIEFVTATVSFFGFFGKAEL >KGN60359 pep chromosome:ASM407v2:3:38840379:38844310:-1 gene:Csa_3G901040 transcript:KGN60359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLWEIALATTYFLGLKRAFKLALKTQRRIVSPKYPRIRQFLRGRTRAVFDVTVKVHQTIKRQDIKVNRNLRSWISRWLNRRKPSAETQEHPSGSINTGMKMMKKVSNSYLKHRASTRAVIGQKFDQYLASTSSVHRWPKPFFPTIAMIMRQRKPTGIVIQHRQFSICGSHGLKTNDRRRRFDHGIREDIMQWMVQN >KGN57209 pep chromosome:ASM407v2:3:11406436:11407962:-1 gene:Csa_3G171170 transcript:KGN57209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVYGTVSGQLPGLFCLDFSQSVRVSDLDTRRGPSTLSVSGRSVGTETRALGLVGRRRMGSSRFCDDGYLRYYVGPTCQGGNVKKEKEAVKKKLKLLKGLSAADYESSLLFRFDHGSIEEFQSDRFSIEERREALTRQLQQLKSEEKEQKRKKKLEKAKLKAARTQNIHDSTSSSSESSDNEGHMNTTSYRLKKALSQPSPDQYQANTIHVSTLPLPLQTQLLNSKTENIAVTQSTSVGRIQVCMGNKCKKAGAAALMEEFGRVMGDEAAVCGCKCMGKCRDGPNVRVLGSMEMQNPLCIGVGVEDVGRIVAEYLGQEGGQTQSRLAPAAI >KGN57787 pep chromosome:ASM407v2:3:17133660:17138531:-1 gene:Csa_3G302120 transcript:KGN57787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSCGIECVVVLGCLRWAWKRCTYIGSYDSATWPPATCDDFEPVPRVCRLILAVYESDLDNPQFLPSGGYRPNPEWLIKRVTYEQTMGQAPPYIIYVDHDHREIVLAIRGLNLVKESDYKLLLDNRLGMQMFDGGFVHHGLLKSATWLLNQESETLKRLWLENGSDYNMVFAGHSLGSGVASLLTVIVVNHRDLLGGIPRSKVRCYALAPARCMSLNLAVKYADVINSVILQDDFLPRTATPLEDIFKSIFCLPCLLFLVCLRDTFIPEGRKLRDQRRLYAPGRMYHIVERKFCRCGRFPPEIRTAIPVDGRFEHIVLSCHATSDHGIIWIEKEARKALELMKETADSTTTTIAPTIQKFERKRTLDKEHKDALERAVSLNVPHAVNSADDDASHEDDETREPPPSESGEFSTKTKPVSGRTNWNEVVEKLFHRNESGGLLLRKDVATAASEAPYQSQ >KGN58564 pep chromosome:ASM407v2:3:26221833:26223920:1 gene:Csa_3G685690 transcript:KGN58564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYLSCRAESAISTSNSLTPSSSTNSSHKLEKPLKIQQFDYSDLEAATNGFSDQKLLGKGSHGYVYKAVLGGRLVAVKKSSRAQIVAPPPARPASLSSSMASCEITNEVDNEIEILSKIQSPRLVNLVGFTNDSKDRLLVVEFMSNGTLYDVIHSGSRPLYWGRRIRLALQTAKAIETLHASNPPVIHRDIKSANVLIDRNYNARLGDFGLAIQGYADDYRLRSTPPAGTMGYLDPCYVTPDNLSTKTDVFSFGILLLEIISGRKAIDVGYSPPSIVDWAVPLIKKGKLMAIYDPRIAPPKDPIVRKQLAVIAAKCVRSCRERRPSMKDVVVWLTGLSKLVPLHSWNGFNNPCLMVETVGRPVEMRNSQSNLRSPSVEDGDLDVGNAKMSRRDIKNSRRVYSDLGISNNLMDLMACIEEESGFRDESNRVGSHSRFSNRFFSSRFVSGRNHELEAK >KGN56661 pep chromosome:ASM407v2:3:8070742:8080077:-1 gene:Csa_3G127230 transcript:KGN56661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQLQFFNSFSLPIYKLSQLGANLQWREFDSTSPCLWECITIGVQLVFLGILLFQILQNFVCWIWNSFNGESKSTDQAAENCPITQKLSISYRASVGCSFLILAIHVLMVFVLQNGSVSQCNSRIEVLSSEITRVIAWGGAIFAVFRVLRDKSVKYPWILRGWWFCSFVLLIVHVGLDAYFGNVKHLGVQDYAEFFSILPSIFLSGLSIYGRTNIVFNVHNGLEDPLLTEKCLNQERDEKDSPYGRATPFQLVTFSWLNPLFAVGYTKPLEQVDIPNVCKIDSAKFLSHSFDDTLNFVRKKNNSTKPSIYETIYLFGRKKAAINAVFAVISAATSYVGPYLIDDFVNFLTQKKMRTLSSGYLLALAFVGAKTIETIAQRQWIFGARQLGLRLRAALISHIYQKGLRLSNRSRQSCSSGEILNYMSVDIQRITDFSWFLNTVWMLPIQISLAMYILHTNLGVGSLGALAATLVVMSCNIPMTRIQKSYQTKIMEAKDNRMKTTTEVLRNMKTLKLQAWDTQYLQKLESLRKVEHHWLWKSLRLMGISAFVFWAAPTFISVTTFGVCVLLRIELTAGRVLSALATFRMLQDPIFNLPDLLSALAQGKVSADRVGSYLHEDEIQQDSITYVSRDLTEFDIEIENGKFSWDLETRRASLDQINLKVKRGMKVAVCGTVGSGKSSLLSCILGEIEKLSGTVKISVYQDADIYLLDDPFSAVDAHTGTQLFEDCLMGALKEKTIIYVTHQVEFLPAADLILVMQNGRIAQAGGFEELLKQNIGFEVLVGAHSQALESIVTVENSSGRPQLTNTEKEEDSTMNVKPKNSQHDLVQNKNSAEITDKGGKLVQEEEREREEVLVTSNYWIAWACPTTSDTKAAIGINIVLLVYSLLAIGGSLCVLVRAMLVAIVGLQTAQMLFTNMLRSILRAPMAFFDSTPTGRIINRASTDQSVLDLEMAMRLVWCALAIIQMTGTIVVMSQVAWEVFAIFIPITAACIWFQQYYTPTARELARLSGIQRTPILHHFAESLAGAATIRAFNQEDRFLKTNLGLIDDHSRPWFHNVSAMEWLSFRLNLLSNFVFGFSLVLLVTLPEGTINPSLAGLAVTYGINLNVLQATVIWNICNAENKIISVERILQYSKIKSEAPLVIENCRPPSNWPQDGTICFKNLQIRYADHLPDVLKNISCTFPGRKKVGVVGRTGSGKSTLIQAIFRIVEPREGSIIIDGVDICKIGLHDLRSRLSIIPQDPSMFEGTVRGNLDPLEKYTDQEIWEALDKCQLGALVRAKDERLSSSVVENGENWSVGQRQLFCLGRALLKKSSILVLDEATASIDSATDGIIQNIISQEFKDRTVVTVAHRIHTVIASDFVLVLSDGRIAEFDSPKMLLKRDDSFFSKLIKEYSTRSQNFNSLANQRHD >KGN58295 pep chromosome:ASM407v2:3:23639509:23639926:1 gene:Csa_3G609230 transcript:KGN58295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRLTVEREARLDGGWNTVCSWAWSLMNGEWFDQQCATVEIHRSRTPKLAHSGSGCMIGTKTRQRLRRTETLLVIVFNDDKPVWWYRQGEVKQERR >KGN59279 pep chromosome:ASM407v2:3:30769737:30776034:-1 gene:Csa_3G799630 transcript:KGN59279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRPSRLQPGAGLSKSSALSHVYIQYPPLRCRIPGSRGLFFDDGNKLLICPILDQIFSWKTVPFNPAVAYTSDTITEGPILSVRYSLDLKIIAIQRSSHEIQFLIRETGQTFSQKCRQESESILGFFWTDCPLCNIVFVKTSGLDLFAYSSDSKSLHLVESKKLNVSCYAYTHESRLVLMASGLQCKTFHGFQLSAAGIVRLPKFEMTMAKSDANSKPVLAIEDVFIITVYGRIYCLQVDRLAMLLHTYRFYRDAVVQQGSLPIYSSSIAVSVVDNVLLVHQVDAKVVILYDIFTDSRAPISAPLPLLSRGFPGPNIDVRSSKQDNATLEDDAVPDEAIVYGDGWKFLVPDLICDHVNKLVWKIHIDLEAIASSSSEVPSLLEFLQRRKLEVSKAKQLCLTLTRTTILEHRPVASVAKAIEVLISSYIRTTKVGPNNKESKTDRSQSVVPQDSGSGPVPGSNNRDSAAGVESEALHRTSIFPSSDSEENADIKQLNTVPGNHQSIVEAQASSSQYQHLGPGCIRLNDDVSDEGSMISSPSISPDEMYSFVFAPIEEEIVGDPSYLLAIIIEFLRRVNMEKIKVNPNIYVLTVQILARNERYTEIGLFVHQKILEPSKEVALQLLESGRHNFPTRKLGLDMLRQLSLHHDYVSLLVQDGYYLEALRYTRKFKVDTVRPALFLQAAFATNDPQLLSAVLRFLSDLTPGIKHTSDYIRYHQILTEMNSCASA >KGN60151 pep chromosome:ASM407v2:3:37228246:37229380:1 gene:Csa_3G881640 transcript:KGN60151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADELHQSDPPSSPSPSPPPPPLPPRQLAKEEQEEVNPEIKSKSRCKNNTFFGRHRITAAINRLQNEINIIKEELQQLENIGESSTVCAGFISSVESIPDPLLPETIGPTDVNWDQWFRGAHGSRNHRRWI >KGN59639 pep chromosome:ASM407v2:3:33240830:33241502:-1 gene:Csa_3G835270 transcript:KGN59639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAWKLTNPLIFLFIFNSGLMGQSFVAAIHTWGLNLKGPVYVSSFRPLSIAIAAAMGAILLGDDLHLGSIIGAIIISIGFYGILWGKAKEEELKGLEDVCGLESSSKAPLLQYYKLEEA >KGN57689 pep chromosome:ASM407v2:3:15716059:15720253:1 gene:Csa_3G252480 transcript:KGN57689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAVALSEEYAKLDGAVARRLSNLAPIAHTPRINLYIPNQSDASLEYHRLLQRLSVYGLHEVKVSGDGNCQFRALSDQMYRSPEYHKHVRKDVVKQLKDHRSLYEGYVPMKYSRYYKKMAKSGEWGDHVTLQAAADKFAAKICLLTSFRDTCFIEIVPQSQTPKRELWLSFWSEVHYNSLYEIKDVPVQEKPRRKHWLF >KGN58725 pep chromosome:ASM407v2:3:27394767:27396093:-1 gene:Csa_3G730890 transcript:KGN58725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSKPSVSSVLIDRFNGSDPIHCQKEAHKWSKVPESVAVYHTHAVGPNQTCSAVVQEITAPISTVWSVVRRFDNPQAYKHFVKSCHVVVGDGNVGTLREVHVISGLPAGCSTERLEILDDEHHILSFSMIGGDHRLANYRSITTLHQSSAEGGNKTVVVESYAVDTPPGNTKDETMVFVDTILRCNLQSLAQLAENLHKRNNQSPP >KGN56399 pep chromosome:ASM407v2:3:6643211:6645182:1 gene:Csa_3G119330 transcript:KGN56399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFFRFFSAIFIGICFSWLLRILLFRTGLIFLVKKWWANLEDCFHVYQSFRIPEFNETSQHNHLYRKVSAYLTSLSSLEDSDFTNLITGNKPNDIILRLDSNQTVQDNFLGAKVFWTNEQKGSRNFVLRIRKADKRRILRPYLQHIHTLTADENEQRKGDLKLFMNSKPNNHSDTRWKSIQFKHPSTFDSIAMETDLKEKVKSDLESFLKSKQYYHRLGRVWKRSYLLYGPSGTGKSSFVAAMANFLSYDVYDIDLFKVSDDSDLKFLLLQTTSKSVIVVEDLDRFLIEKSSALSLSALLNFMDGILTSCCAEERVMVFTVNCKEQVEPAILRPGRIDVHIHFPLCDFSAFKNLAINYLGVKDHKLFPQVEEIFQTGASLSPAEISELMIANRNSPSRAIKSVISALQTDGDRRRVSNIGRRLSDCGSRKSVAESIESGGVVCSENTQTGKEFRKLYGFLRMKSNKISQSFDSSPIRKES >KGN55958 pep chromosome:ASM407v2:3:2835738:2847896:1 gene:Csa_3G039290 transcript:KGN55958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMRDLVTGGADCAVPGSSSSNPLGALANALIGSSSKTQERLREIPTSQLTGPGRPFAPETHGQLPGSEFDHPPLQPNQQASNFLNAFHSAADPGLASAWNEVQAGPPPAHLREMQPSLAEFDRIYDQVPGSQHQPILDGPPQRVLSTFLHSFVESSRGGVPFHPTPLPLLGLSEGDKQCIRDRSSIMARHFFADKSEDFINAQLNALLSSLDIDTSKQVGGPQPGRFREMEDYWNESQALQRPGGHVADGWASEYSLNREKFADHDAWAQSFEQQYGANGWASEFEQEKFQLASAQNMAGGNMMNLSAMEQTRKLANTLAENNDPKFQNSKFLQFVSKMSRGELIIDDNQVKPNSLSPTDNWASEYQQQYSGGLPWADEFVSNQTNRWADEFAEEKQNVSNDPWVNEFSKLHMQDWVEEFGQQVGEGVSGEADNWANAYDEFVNEQVAAKGKMDASKGIYVFSDMNPYVGHPNPLKEGQDLFRKGLLSEAVLALEAEVMKNPENSEGWRLLGIAHAENDDDQQAIAAMKRALDVDPTNLEVLLALGVSHTNELEQAAALRYLYGWLQHHPKYGTLAKPELSDSLYYADVAGLFNEAAQMFPDDADVHIVLGVLYNLSREFDKAIASFQTALKLKPQDYSLWNKLGATQANSIQSADAILAYQQALDLKPNYVRAWANMGISYANQGLYEESIKYYVRSLSMNPKADNAWQYLRISLSCASRNDMLEACDSRNLDALQKEFPL >KGN59385 pep chromosome:ASM407v2:3:31514765:31515133:1 gene:Csa_3G815490 transcript:KGN59385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILFIFDIKLALSKWCISHRKKAKQIVETWDKLFNSSQKEQRVSFLYLANDILQNSRRKGSEFVNEFWKVLPGALKYVYDHGDESGKKAVARLVCLLFTCAEKKMAINLHMFFPLEACQLTL >KGN59844 pep chromosome:ASM407v2:3:34911108:34914868:1 gene:Csa_3G850490 transcript:KGN59844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLIMASLRWISWILIAHLFVSTFVHSDSSSLSNNPQEIPKKFLQFAKESELFDWMVGIRRKIHENPELGFEEFETSKLIRTELDKLGISYKYPVASTGVIGFVGSGQPPFVAIRADMDALPMQELVEWEHKSKVPGKMHACGHDAHVAMVLGAAKILQKHSEELKGTVVLVFQPAEEGGGGAMKIIEAGVLDNVNAIFGLHIVHNIPIGKVAGRSGPLLAGSAFFEAVISGKGGHAAIPQHSIDPILAASNVIVSLQHLVSREADPLDSQVVTVAKFQGGGAFNVIPDSVTIGGTFRAFLKDSMVQLKQRIKEVITGQAIVHRCNATVDFLENDKPIFPPTVNDKELHEHFQNVAGDMLGIDKVKDMQPVMGSEDFSFYQEMIPGYFFFLGMENETSGHLDSVHSPYFRINEDALPYGAALHASLATRYLLELQSKVNSPEEKRHDEL >KGN57056 pep chromosome:ASM407v2:3:10302375:10308955:-1 gene:Csa_3G150850 transcript:KGN57056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIEEWREIENGDDDDGNLETQLLMKRKEGLEEDEVCSRRSNDMLYLSTFVAVCGSFQFGSCVGYSAPAEAAIREDLNLSLSQYSMFGSILTIGAMFGAISSGRIADYIGRKGAMRMSACFCILGWVAIYLSKEPILLDIGRLLTGYGIGVFSYVVPIFIAELAPKNLRGGLTTLNQLLIVMGASVAFILGTIVTWRTLALTGLIPCFTLLVGLFFVPESPRWLAKVGEEKEFLSALQRLRGKNVNISAEAVEIQSYIETMRSLPKIKLVDLFQTIYIRPLMIGVGLMMFQQFGGINGIGFFASETFASAGPSAGKIGTIAYACIQVPITVVGVILMDKSGRRPLIMVSAAGTSLGCFLAGASFFLKGRGLLLDFVPMLVVAGVLIYIAFFSIGMGAVPWVIMSEIFPINVKGVGGSIVVLVNWLGAWIVSFTFNFFITWSSYGTFFIYSLISLMTILFVIKLVPETKGRTLEEIQTSINSQ >KGN59032 pep chromosome:ASM407v2:3:28982773:28985293:1 gene:Csa_3G747630 transcript:KGN59032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVGNETPPMEQHNTSTTADSEIQNKARLAIIELANMISVPMSLNAIVRLNVADAIWQNGSNSPLSASEILARVVPSGGDAHNLERILRMLTSYGVFEEHLSPNSSNHRYSLTDVGKTLVTDSDGLSYAPYVLQHHQDALMRAWPRVHEAAIDSTTEPFVRANGEAAYSYYGKKTEMNELMQRAMAGVSVPFMKAVLDGYDGFKGVEKLVDVGGSAGDCLRMILQKYPHIKEGINFDLPEVVARAPTIPGVSHVGGDMFKSIPTGDAIFMKWVLSTWTDDECKIILENCCKSLPVGGKLIACEPTLPEKTDESHRTRALLASDVFIMTIYKAKSKQRTEEQFRQLGLSAGFSALRPFHIDYFYCLLEFQK >KGN59690 pep chromosome:ASM407v2:3:33578016:33581253:1 gene:Csa_3G838690 transcript:KGN59690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTFTHFYGVPFFFFFFFFSVSLSDPRISQSGSICGTLKPPPSSRFIPTFIEEMEAISELLTTRSWTTHFVNSTPPMFALSQCFNDLSHTDCLLCYAASRTSLPRCLPAISARIFLDGCFLRYDNYSFYKESTDSVRDSVNCTSELGEIDQSERLVFGENVRVVVETVTTKAMEKGGFGMGEVSGMFGLAQCWGSVEPEGCRACLEKAKRSIGSCLPSKEGRAMNAGCYLRYSTVKFYNDKDEDRDHDGFSGRRAVVTIALASAASLIIFFSAVFACYTRISKFKKEKKRQSLIPVSLKDSDLNFKYETLEKATNYFNLSNKIGQGGAGSVYKGTLPNGQIVAVKRLVFHTRQWVDEFFNEVNLIRGIQHKNLVALLGCSIEGPESLLVYEFVSNGSLDHFIFVGTAEGLAHLHEGCKIRIIHRDIKSSNVLLDENFNPKIADFGLARHFGADQSHLSTGIAGTLRNSSFTENSTPLLQTVWDLYKTERLTEAIDTSLNKDYPAKEAMDILQIGLLCTQALASLRPSMATIVKLLTSDVERKVGIPEQPPFLNPCGTSKRSCRISSLVSHAVSKLEVSSCTSTDSVATSPGSYRINHNLFAFVKIPRKRLLLLLHSAIKVSVFSLKFELWFD >KGN58565 pep chromosome:ASM407v2:3:26229734:26241314:-1 gene:Csa_3G685700 transcript:KGN58565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACIKGVNRSASVAIAPDAPYMAAGTMAGAVDLSFSSSANLEIFKLDFQSDDKDLPVIGDSPSSERFNRLSWGKNGSGSEQFSLGFIAGGLVDGNIDIWNPLALIRPEAGETPLVGHLTRHKGPVRGLEFNTITPNLLASGADDGEICIWDLANPSQPIHFPPLKGSGSAAQGEISFLSWNSKVQHILASTSYNGATVVWDLKKQKPVISFSDSTRRRCSVLQWNPDLATQLVVASDDDHSPSLRLWDMRNIMTPVKEFVGHTRGVIAMSWCPTDTSYLLTCAKDNRTICWDTISGDIVCELPASTNWNFDVHWYPRIPGVISASSFDGKIGLYNIESCSRYGVGDNDFSTVSLRAPKWYKRPVGASFGFGGKVVSFQPKTPAAGASAGASEVYVHELVMEHSLVTRSSEFEAAIQNGERSSLRVLCEQKSKESDLEDDRETWGFLKVMFEDDGTARTKLLSHLGFSVSTESQDPQEEISQDVNALHLNDTAADNIGYGDGREATLFPSDNGEDFFNNLPSPKADTPLSISGDNHAAEETVAAEEPQVEDGVEDNGDASFADGVQRALVVGDYKGAVGLCVSANKMADALVIAHVGGGSLWENTRDQYLKMSRSPYLKIVSAMVNNDLLSLVNTRPLKFWKETLALLCSFAQKDEWTVLCDTLASKLMVAGYTLPATLCYICAGNIDKTVEIWSKCLSAEREGKSYVDLLQDLMEKTIVLALATGQKRFSVTLCKLVEKYAEILASQGQLTTALEYIKLLGSEELTPELVILRDRISLSTESDKNDKASNIEYSQQPSENMYGSEATKHYYQESASAQFHQNMPTTTYNDNYSQTAYGARGYTAPTPYQPAPQPNLFVPSQAPQAPETNFSAPPGQPAPRPFVPATPSALRNMEKYQQPPTLGSQLYPGIANPTYQPIPAASVGPVPSHMDSVPGHKMPQVVAPAPPSRGFMPVPNPGAVQLPGMGLVQPPSPTQSAPTQPAVMPPAPPPTVQTADTSNVPAHQKPVVATLTRLFNETSEALGGARANPGKKREIEDNSRKMGALFSKLNSGDISKNAADKLGQLCQALDTGDYGRALQIQVLLTTSEWDECSFWLATLKRMIKTRQSMRLS >KGN59577 pep chromosome:ASM407v2:3:32870615:32880386:-1 gene:Csa_3G827200 transcript:KGN59577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAKWRALQHRHRYTYSAIVFPNSYVDSLNSFQSSSKFFTELLQLVSLNSVYAQVNHAKKVASAFSELLANGDEDSVSKAARFYLEVLFFENSQPLHRTLVSTLAKSRKFHDPLGECFRDLCEEHSGVLQGGEKRFCVSRVALSVMGMPKLGYLVDVIKDCALLVARDIVSSLDYVVKETNESARPSPIIMEQCQEALSCLYYLLQRFPSKFQEDFGVLGMIVSSILSILKSLAFSRDCYVAAGVSFCASLQVCLNSEELGVLIFYGILEQTNHIPFLKYDSEFRNTVGKVPHQANVCAEIRTFSVLSRLCLIRGILTAIPRPVLNIPFSMVEGDSNGHPGCLNSGNSVKTILYDGILPELCNYCENPTDSHFNFHSLTVLQICLQQIKTSLVSNLTDTSCSYDPLPEEMGSRILSIMWTNLDDPLSQTVKQVHLIFDLFLEIQSSLCWSEGSEKIKLFLRKIAFDLLRLGSRCKGRYVPLASLTKRLGAKTLLDMSPSLLSETVQAYIDDDVCCAVTSFLKCFLEHLRDECWSSDGIEGGYAIYRGHCLPPVLHGLGSGISKLRSNLNTYALPVLFEVDLDSIFPMLAFISVWPSSRDNGILYPGNNQGSMELRVEQRVAIFISLLKVSRSLALIEGDIDWLEKPSLEQQSIHEIEYFSRYALVFVKGVKVEILVEWLLLALTHVDETLRVDAAEFLFLNPKTSSLPSHLELTLLKKAIPLNMRCTSTAFQMKWSSLFRKFFSRVRTALERQFKLGNWIPLASCCNRESYMPNGNEQIVAGRADDLFQFMKWLSCFLFFSCYPSAPYRRKIMAMDLFLVMLNVWSIVPSKEKCNETLLLPYNEGITLPDSVLLLVVSIIDSWDRLRENSFRILLHFPTPLPGISGEYMVGKIIKWAKVLVCSSRVRESDAGALALRLVFRKYVLDLGWIVRASDAVVCLDSVDKLPNVSLLLGTITRKVPLPAASDSFESDPNDSIMPRQEEVLDVKQLKVIGDHFLEVLLKMKHNGAIDKTRAGFTALCNRLLCSDDQRLCKLTESWMDQLMERTTAKGQTVDDLLRRSAGIPAAFIALFLAEPEGSPKKLLPRALKWLIDVAERLLQNPIETDCKNSNFSKLPSTGLSQDTEPISTHETYPSEKASKIRDEGVIPTVHAFNVLRAAFNDTNLATDTSGFSAQAIIVCIRSFSSPYWEVRNSACLAYTALVRRMIGFLNVHKRESARRALTGLEFFHRYPALHRFLLQELDVATESLDDGCSGDSKSNLAKVVHPSLCPMLILLSRLKPSTIGSEAGDDLDPFLFMPFIRKCSSQSNLRIRILASRALTGLVSNENLPSVILNIASGLPVDDSTTMGRESSILLATTTTQYTSYNRIHGILLQLISLLDINCRNLGDILKKSQILNDLVEVLAHCSWMARSSHCSCPILSTSMLQVLGHMLSIVRKCPRSKSFYVIRNLLLDLSTGCLDVETSHKLPYYDPTLAELRQQAAICYFNCVLQPFDEEDDATLQKSQRSQSDEDVPATLMDYPFSQLQERLIRSLQDPCYEVRLSTMKWLFKFLKSTEYSAGLYDLSCHEIRTVDQWIKTNLQALLTELLSLEKNYRCLYYILKNLFAWNMSQFQKFGNGECTEDVVYIGKMDCGSVLQFWDKLISLYKLTRHAKTRENTIRCMGTCIKRLAVQYSACIVSDATTTESPNGKISNDLDKFHSCITLFTDLIKQHSAASEPVNMRTAAADSIIASGLLEQAEIFGDYVFDNQIPEATVNSHSELREYANMYAHQILNMWSTCIMLLEDEDDDIRKRLAADVQKYFSLERTATSSDVPNQVEQVIGSSFEYLSSIFGHWVLYFDYLANWVLNTADYTVSPADPVRRVFDKEIDNHHEEKLLISQTCCFHMEKLSRSKLIALWDTQWFMNYLVGLRKRFFLQLIRFADEYMSKHSGFDWIGGAGNHKDAFLPLYTNLLGFYAISNCIVNGKSKVVTMQPLITEVVETGKIINPFLRNPLISNLYLLVTRIHEEAIDVNRDHNIPERGHEAIWEGFDPYFLLR >KGN57289 pep chromosome:ASM407v2:3:11992177:11993205:-1 gene:Csa_3G176350 transcript:KGN57289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASWSAENATEAFLNTLKMGQKANEPDVGEFISAMAAGNNAQLMVVAYERSADHKILALAAAAGQTGGRVVCIIQRQEDLHVSQAILGMVSHDHGIEFVVGEAEKLIKTQYTEVDFVLMDCNLGCHMAVLEAVRSRRKNDQGATLVVGFNAMSKRCEGGATGWSEGSTTHLLPIGMGVMVTKVGAEESKAGEDGRRMRRRRQSQWVVKVDKCTGEEHVFRVRLPQGKVIQA >KGN58916 pep chromosome:ASM407v2:3:28313115:28313638:1 gene:Csa_3G736640 transcript:KGN58916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADATVGWSNGVSSSSSSFLCVSVCGVSGGVCMISYPSPRCTTIAFLFPKKSKPETQSKPYVTSSDRLLKIFQDPLLVITEKDMGKSNRVKSSLDTFEANPKCF >KGN59383 pep chromosome:ASM407v2:3:31499032:31501642:-1 gene:Csa_3G815470 transcript:KGN59383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFYWKSAMEQITWYTGLSPTAFFTILAAMIFVFQMVSSMFVSPEEFNKPPTVAISSSNSSNSNLFVNDSGADASLAVQVGRLTEQQLRAYNGSDPNKPLLMAIKGQIYDVSSGRMFYGPGSPYSMFVGKDASRALALLSFKPEDINGNIEGLNEEELVILQDWEYKFMEKYVKVGELVPEGELNEHSENGHQNSETTQEEHDKDQ >KGN58290 pep chromosome:ASM407v2:3:23585941:23589325:-1 gene:Csa_3G608690 transcript:KGN58290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYVPYFDSRMKIEASPALAIPGSNARQHNSAGVNKEISKSLRVLLPTSLEEVYPKLPDSQQVSMERELVSRPLVHSNHIHSSSGVVGHIFSSSPGFSTDLHYSSVSLYENQSDAPFIPESSANDAMLHSHSEILSSTNHPTSENANSWCSDALPGFLEVPENNPVGNSRVENNSCSSLLASDDFSKENDWQEWTDRLMTDDSLTSNWSDLLVDANVADLEPKMEHQASKPSTKMQVQQTQVNQLPSSGEIPMIAISTPSNGAPSKPRMRWTPELHDAFVEAVNKLGGSERATPKGVLKLMQVEGLTIYHVKSHLQKYRTARYQPESSKGSMDKSSTSLEDISSLDLKTSIDITEALRLQMEVQKRLHEQLEIQRNLQLRIEEQGKYLQMMFEKQCKSSNKLSKPSTSTLEDSPFSDSVLETSQVENRTVHTRPSEADSNAGKATDEVNGKCIDPHKDDAPENPESDVSEASFQLSKRQRTE >KGN57219 pep chromosome:ASM407v2:3:11457208:11459344:-1 gene:Csa_3G171760 transcript:KGN57219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTDKSNPPLVLDAPLQSLGFEVHHVSPHKVSGRLLVSPICCQPFKVLHGGVSALIAESLASMGAHKASGYQRVAGIHLSINHLKSASLGELVIAEAVPVTVGRTIQVWDVQLWKDLKERKVVVSTARVTLLSNMPVPKHVEDAADALKKFSKL >KGN59404 pep chromosome:ASM407v2:3:31657620:31661274:-1 gene:Csa_3G816170 transcript:KGN59404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQRALRPTLNHSLLRYPTVSTTFTIPLTRYTTRLISSDRHRPLLADCAEDHSDSDDFSRRSFIRENCSYSMPILVLDVVWNLAFVLVSVVVLFSTFRERPSTPLRLWISGYGLQCLLHVGFVFFEYQRSMAHHGFEDRTAHRSIMKRLESMNTMTSSVWWVFGFYWIVMGGQALLQDSPRLYWLTVVFLAFDLFFILFCIGMACVIFFSLCCCIPIVAFAYAMTTREGASEEDIRTLPKYTFRQAVLGTFNLGKEREPIGSTVELDNSHRIKELALHPEDSECCICLSRYEDGTELYTLPCNHHFHCGCIAKWLRINATCPLCKSNIRQGDTLV >KGN58679 pep chromosome:ASM407v2:3:27161866:27167049:1 gene:Csa_3G727970 transcript:KGN58679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQILPICSRLLNQEILRVSGMMSNQGFSEFERLRHRSPSPMASSNLVSNISGTGFGSWNGLPQEPRLSGNPGMTMDWQGAPASPSSFTVKRILRLEIPVDTYPNFNFVGRLLGPRGNSLKRVEATTGCRVYIRGKGSIKDPEKEEKLRGRLGYEHLNEPLHVLIEADLPANIIDIRLRQAQEIIEELLKPVDEPNDYIKRQQLRELAMLNSNFREESPGPSGSVSPFNSSGMKRAKTGR >KGN59015 pep chromosome:ASM407v2:3:28880597:28882298:-1 gene:Csa_3G744990 transcript:KGN59015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSHTHGTPHFLLFPFMAQGHMIPMIDLAKFLARRGAIVTIVTTPLNSARFHSVLTRAIDSGHQIHVRELQFPSHQETGLPEGCENVDLLPSLASISQFYRAISLLHQPSEKLFEQLTPRPNCIISDMCIPWTFDISQKFHVPRLVFYSLSCFFLLCMRSLTTNYEFLNSNPDSEFLTLPGLPSQVEFRRSQIFTSTDDYLIQYSFRMWEVDRQSYGVIVNVFEEMEPEHVTEYIKGRESPEKVWCVGPLSLSNDNELDKAERGNKAIIDGHECIKWMDEQKPSSVVYVSLGSLCNLCTEQIKELGLGLVASNKPFIWVIRKANLTEALVKWMDEYEFEEKTKGRGLVIRGWAPQVLILSHSAIGCFLTHCGWNSSVEGISAGVPMITWPLFADQLYNHKFIVEILKVGVSVGEGTVGDLGGVQKVVVKREKVKEAIEMVMDGDGSEERRKRCKEYGEKAKKAAEEGGSSHRNLNRLVEDITAHAFACGNGNGSC >KGN59548 pep chromosome:ASM407v2:3:32612943:32613275:1 gene:Csa_3G824930 transcript:KGN59548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVNSITTDCFVCIIWIASLYTFHIFTGAGVCARITKYGTKAILKSYNLNGSELRQNEAKTEGLVIEASTALKGIELQFCINGQAQWRLDIFIKHLLVRNEKILHHRARG >KGN58789 pep chromosome:ASM407v2:3:27720478:27722517:-1 gene:Csa_3G732470 transcript:KGN58789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSSISIVSKCSVFPDQPSPLHSLQLSVSDLPMLSCHYIQKGVLFPSPPYSFLDFVSFLKRSLSTTLSHFSPLAGRLSTDSNGYVHILCNDAGVEFIQAKAKHLTLNALLSPLDVPNYFKEFFDFDRTISYSGHSMPLVAVQVTELADGVFVGCTVNHAVTDGTSFWHFFNTFAEVCKGTKKISKSPAFTRDTVFNSSAVLKFPEGGPVVTFNSDEPLRERIFHFTRESILKLKLRANTNNQIAGRRPVNGFHDAVEILGKQGNDSWKTVNGTNNGGNMTAVLENLIKTNVPNRTAEISSFQSLCAHLWRSVTRARKLDESKTTTFRMAVNCRHRLNPRMEPYYFGNAIQSIPTVATVEDVLSNDLRWCADLLHKNVVAHDDATVRRGISDWESQPRLFPLGNFDGAMITMGSSPRFPMYDNDFGWGRPIAVRSGRANKFDGKISAFPGRDGNGSVDLEVVLSPETMAGLERDTDFMQYVSTTTTV >KGN59061 pep chromosome:ASM407v2:3:29147186:29154265:1 gene:Csa_3G750380 transcript:KGN59061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMESSRRPFDRTREPGLKKPRLADEADRGANINGRPFPQRPVVSGNNIVQQPRFRPSDRDSGSSDSGRGGYQPQPPQHQELVSQYRTALAELTFNSKPIITNLTIIAGENLQAAKAIASTIYANILEVPSEQKLPSLYLLDSIVKNIGRDYIKYFAARLPEVFCKAYRQVDPSVHPSMRHLFGTWKGVFPLQTLQIIEKELGFVPSGSSSSVAITSKPDLQAQRPTPHSIHVNPKYIERQRLQQSGRVKGIATDATGGTTNVSQDVAQAKMSTGRPWADAPIKDIQRPLRDAQNDMAQEKNVTAGYSDYDYGSDLSRTSSVGRRVVDEGRDKPWSSAGSNLSEKLSGQRNGFNMKLGYENYSAPKSTNTGARLLPVQNFSSSSNNRVLSTNWKNSEEEEFMWGDMNSMLTSHGAPGITSSAGKDQWTPEDSDNSGIDNKHVSVRDTGASVDREASSDSQSSEQRELGDSGQQRSSTWQLQESIPLDGLRGGVPRKNSGQSGGYGATLTSLSGTNSSVDQMGGRPQITPSSIGASGHGFLNKGSSGPLGNVGHQRFPSRSVAFPSGQPPLHQRSSSQLLVDHVPHQVHDHKTTSFSNLDPRKRHMQDAALGLHPSVRPDSLQKPQPHDLQALASSIPGSQPRHQFSLSESLKPDITQSELSSQLAAPIPGTDFGPSSSAGTVPDRLPAEILGNPSTSSLLAAVMKSGLFSNHSITSNMQQNISFQDVGNMKPRSSIKPPLPSRSSPAHTFSEPKIQGESSVGPPSLESPSTMVKLSQTKVEEPSLPSDPLPPSSPMDSASTETSNVVNDASSPISNLLSSLVAKGLISASKGESTNSVTSQMPSQPEKLKSGDAVTSSVPVPSIPISSSCHSPTKLESPSKAAAKISTSPPPSATTEINNLIGFEFSSHVIRKFHPSVISGLFEDIPYQCKICGLRLKCEEHLDIHSRWHTLRTEANNSSGAPRRWYPSSDDWISGNARFLLDAVTSLDESDLMEEDNEPMVPADEDQFACVICGELFEDSYSQELGDWMFKGAMYITIPSVGSEVGSTNEQVARGPIVHTACLTESSVYDVGLATDIKMEMDV >KGN60050 pep chromosome:ASM407v2:3:36541920:36542183:1 gene:Csa_3G873790 transcript:KGN60050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFAKDLEKCGEKQFKLQLVNSFRLDAYSSILKRPSSLSRPFVRAEFFSLWISKDGRDQEVAQQFHVIKLMDYHQWEPQDSHPKRER >KGN55870 pep chromosome:ASM407v2:3:2120395:2122000:1 gene:Csa_3G020090 transcript:KGN55870 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial small heat shock protein MASSIALRALTAFSAPKLINPVRSASILPSSVPRSFNTNAQMTNYDHDDRSVEVDRRSDRSLSRSRDPYSGFGGNVFDPFSQTRSLSQVLNLMDQFMEDPFLAASRGVGAGSRRGWDVKEDDNCLYLRMDMPGLGKDDVKVSVEQNTLIIKGEAEKESEDEEDLRRFSSRLDLPANLYELNSIKAEMKNGVLKVAVPKVKEEERKDVRHVTVE >KGN58414 pep chromosome:ASM407v2:3:25041211:25041462:-1 gene:Csa_3G640560 transcript:KGN58414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLTIESSEKEEEEEEEEEESITWGEAKLSVGSWLTNSNVVNATSIWMTRVIKTCRLVDAWLGHPPNLRGMPLLSHLFPFSIL >KGN58864 pep chromosome:ASM407v2:3:28041039:28043584:1 gene:Csa_3G734170 transcript:KGN58864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYENRFRQAQRPKYDCLLFDLDDTLYPLSSGIAASCLQNIKDYMVEKLGIEQSKIPDLCNLLYKNYGTTMAGLRAIGYDFDYDEYHKFVHGRLPYDNLKPDPVLRNLLLNLPYRKVIFTNADKIHAVKVLKKLGLEDCFQGIICFETLNPTNKNFVSVDKDEMNPIPSDSKIFDIIGHFLHPNPGTELPKTPIICKPSEAAIERALKIAGLNPQRTLFFEDSVRNIQAGKRVGLDTVLIGTSQRVKGADYALESIHNLREGIPELWNVEIKSEMGYAGKVGVETSVTA >KGN56619 pep chromosome:ASM407v2:3:7836907:7839288:-1 gene:Csa_3G126820 transcript:KGN56619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKKKAAGSSEPETVALPIPDFTQSTHLNGDSAPSISNNNGSEPKILFPHSPSSLHNASVQIPLPIDDAGVVNGEDNVIPDVSASERTNLDEGFFEVEAIRRKRVRKGQLQYLVKWRGWPETENTWEPLDNLQSCFEFIEEYEERFCQSRSGKQRKRKRKDEDIESESQEEKDLQIIAIDNVTDVVISTLDDRLSAAPFNKKLHRDLPISQEPLDSIHEGELDGKFDGSRKKDEYDLKLIDFNASISGNMVDSEKKTVASNDVSLVYDVSKADCVVGSAQVSHSTGAKRRKSSRVKRFTKDSALPEQGLKQNAATVSIEPIDPSEQLGPQNPSSSGHSRNVSTITRIIRPVGYSVSVLNNIPDVIVTFLAVRSDGKEVTVNNKFLKANNPHLLINYYEQHLRYNPTL >KGN56314 pep chromosome:ASM407v2:3:6052740:6056705:1 gene:Csa_3G115030 transcript:KGN56314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGMIRQLLRKFSSQSSTPPLTSSLISKNQEAGFAGMNSFRRLALLGAGVSGFFSFATLASADEAEHGLECPNYPWPHQGILSSYDHASIRRGHQVYQQVCASCHSMSLISYRDLVGVAYTEEETKAMAAEIEVVDGPNDEGEMFTRPGKLSDRFPQPYANEQAARFANGGAYPPDLSLITKARHNGQNYVFALLTGYRDPPAGVSIREGLHYNPYFPGGAIAMPKMLNDGAVEYEDGTPATEAQMGKDIVSFLSWAAEPEMEERKLMGFKWIFVLSLALLQAAYYRRLKWSVLKSRKLVLDVVN >KGN58588 pep chromosome:ASM407v2:3:26407283:26407648:-1 gene:Csa_3G695840 transcript:KGN58588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKTSTKFPFTLGTIQSLTMLDIRGTFIGQAPNAITCLRSLETLNCERISRNIWWSLMDLVGSNGVLPITDLNQSDCNLVDEDIPDDIKCLSLLEILDLSKNSFVRLKKLIWFLWFLSFF >KGN59407 pep chromosome:ASM407v2:3:31676258:31679431:1 gene:Csa_3G816690 transcript:KGN59407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYAILFESPIFLSKPTFSRIPFGPFNHFCQKRWRKPIVNAQTRLEDRTRDLKLDKLATQLKKFRVILKLYELMNNRKRGPFVSLQIMSRWRNIVGVRIGIGEFLHKYPHLFDLFPHPVRRNLCCRITGKMTALMKQEENVINDMEIETVQRLKKLLMMSVNGTLHVHALRLISRELGLPDGFRESILEKYSDDFRLVDLEIVELVEKHKNGAIAEVEKWREREFREKWLSEFDVKYAFPINFPTGFIIEGGFREKLRNWQRLPYTQPYEKRQGFGHRSSGGVQRHEKRAVAVLHELLSLTVEKLVDVERLVHFRRDFAIEVNIRELLLKHPGIFYISTKGTTQIVFLREAYAKGCLVEPNPIYIVRRKMQDLVLLGRRHTKQLESSMEIKENDNATENGDWLSKSEGSWVLPILQGFD >KGN56726 pep chromosome:ASM407v2:3:8438954:8441757:1 gene:Csa_3G130320 transcript:KGN56726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTISSFWGPVTSPEWCEKNYVYSSYIAEFFNTVSNIPCLILALIGLVNALRQRFEKRFSVLHISNMVLAIGSMLYHATLQRVQQQGDETPMVWEMLLYIYILYSPDWHYRSTMPTFLFLYGAAFAVAHAIIRFGAGFKVHYAILCLLCIPRMYKYYIHTNDVHAKRLAKLYLTTISLGTVCWLFDRLYCRKISSWYFNPQGHALWHVLMGFNSYFANTFLMFCRAQQLEWNPRVVHFLGLFPYVKVQKPKSQ >KGN59573 pep chromosome:ASM407v2:3:32808381:32808679:1 gene:Csa_3G826670 transcript:KGN59573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGDYENQNPNTSFEVSRQIPYAICQNPLQLKLQTVFESYFRKNIRQLGSRCLVGPGKMHL >KGN58525 pep chromosome:ASM407v2:3:25908625:25909095:1 gene:Csa_3G656530 transcript:KGN58525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLTNKLGLTNEMGLTTDVGFPDLKGKGIAVRLFHEVSTTERGREEGLQLIAVEDTSEFPLSNEELYEIESVTELEPAASIQNPFPLSKEVVAALLEYDLCIRAVTRKGKQHRKGGASLNNNKKLTKEVKALLGSWEREAQAEKDNNKPGYVGDPC >KGN58942 pep chromosome:ASM407v2:3:28470821:28473479:-1 gene:Csa_3G736900 transcript:KGN58942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTERPKKRAHLCKKAILHFSLCFIMGFFTGFAPTATKSSISTSTITLSNTTKILSNFTRNLAAEPPPARKRDREELVKKMVAPRRQIIIVTPTRSGDRNREVWLRRLGNTIRLVRQPLLWIVVEAKREGSNVAEIMRKTGIMYRHLVFKENFTDSEAEMNHQRNVALKHIEHHRLSGIVHFAGLSNFYDLRFFHELREIEVFGTWPMALVTANKKKVVIEGPICDSSQVIGWHLKKMANQTQPKPQIHISSFAFNSSILWDPERWGRTSSVQDTSQKSVNFVKQVVLEDEAKLTGIPSGDCSKIMLWSLRTSTKTPPANQHLPPVQDVSQTPSQQGT >KGN55798 pep chromosome:ASM407v2:3:1488691:1492464:1 gene:Csa_3G016920 transcript:KGN55798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVSKDENSLHRKSSLGRIAPVEAVLFDVDGTLCDSDPLHFYAFREMLQKIGFNGGIPIDEEFYIKNIAGKNNDGIIRTLFPNDVEQGAEYMNEKEAMFRRLVVEQLKPVSGLYKVKKWVEDHRLKCAAVTNSSRINAELMISMLGLTDFFETIIIGDECEHPKPHPEPYLKALEALQVSKDHTFIFEDSLTGIKAGVEADMPVVGISMRNSEQLLMQAKPALLVKDYNDLKLWLALDELVVRGDTIANA >KGN56768 pep chromosome:ASM407v2:3:8722116:8726737:-1 gene:Csa_3G133190 transcript:KGN56768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRIGLVLPQPVTFVTGNVKKLEEVRAILGNSIPFRSLKLDLPELQGEPEDISKEKARLAAIKVNGPVLVEDTCLCFNALKGLPGPYIKWFLEKIGHEGLNNLLMAYEDKSAYASCIFSFSLGPESEPITFVGKTPGKIVLPRGPNDFGWDPIFQPDGFDQTYAEMCKEEKNKISHRYRALALVKSHFAEANYTSPIQD >KGN59075 pep chromosome:ASM407v2:3:29274606:29277890:-1 gene:Csa_3G751990 transcript:KGN59075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKNLTLTHSRTAISMDEDDKQGKNSGSATQHKLAGIDSGVTKSSEIVADSDLKERRGMVLPFQPLSLTFNHVNYYVDMPTEMKMNGAEENRLQLLRDVSGTFQPGILSALVGVSGAGKTTLMDVLAGRKTRGYIEGSIHISGYPKKQSTFARVSGYCEQNDIHSPYVTVYESLLYSASLRLSSDVDPKTKKMFVEEVMELVELDSIRDTIVGLPGVDGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARSAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMERGGQIIYSGPLGQQSCKLIEYLEAIPGIPKIEDGQNPATWMLEVTAPPMEAQLDINFAEIFAKSPLYRRNQELIMQLSTPTQGSEDLHFSNEYSQSFLSQCKACFWKHCHSYWRNTQYNAIRFLVTIFISFLFGLVFWNTGQNFAKEQDVLNIMGLIFATALFLGIFNSATVICVVDTERVVFYRERVAGMYTTLSYAFAQCGKVAIETIYISVQALTYCLPLYSMLGFEWKVGKFLLFYYFYLMCFIYFTLYGMMAVALTPNHHIAFIFVFFFFALWNLFTGFFIPQPLIPIWWRWCYWASPVAWTMYGLVASLVGDRDVDIEIPGFGNIGLQMLLKERFGYHHDFIPVVVAAHGFWVLIFFVVFVCGIKFLNFKKK >KGN59201 pep chromosome:ASM407v2:3:30185914:30187548:-1 gene:Csa_3G780530 transcript:KGN59201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSEDQQSQSKATDPPPPHPSSAGNNPPPVYPPPTLGYPPPHGHGYSPAMGYPPPPPPGYPPAPGNYPPYNTYYAQAPPAAYYNNPQNYRAQTVSAGFLRGIVTALILLVAVMTLSSIITWIVLRPQIPVFKVDSFSVSNFNISKLNYSGNWNGSLTVENPNHKLTVNIERIQSFVNYKENTLAMSYADPFFIDVEKSSQMRVKLTSSSPDDPGNWLETEEKVGQEKASGTVSFNLRFFAWTAFRSGSWWTRRIVMKVFCEDLKLAFTGPAATHGVYLADAHSKTCSVLF >KGN59781 pep chromosome:ASM407v2:3:34247975:34250038:-1 gene:Csa_3G845480 transcript:KGN59781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVAEFAVAPRPAATIEAKVTSVVIFYSIMAATGGLMMGYDIGISGQVTASPSFLKRFFPLTYDKIQRQETDHNNYCNFENEGLQIFTSTLYLTTLSSTFLASHTTRLMGRKKTMLFGGLFFILGIILCSTALSFPMLILGRIALGSGMGFSNLSTPLYLSEISPTPTRGALTLLFQFDVTLGILFGNFTAYASSSVESDWGWRTTLALAGVPALFFTLGAILIEDTPNSLIERGQLEKGKLVLRKIRGTDNVESEYSEILRASRVAQAVENPFADLLMGQNGPPLVIAIMVQVFQQFTGINAIMLYTPLLFKTLGFGDKSSLYSSVITGGVNVLSTCIAIYSVDRIGRRMLLLEAGVQMFLSQLMIAIILALKVDDDSNTLSHGMAIAIVLMLCTFVSSYAWSWGPLAWLVPSETFPLETRSAGLSVTVCVNMMFTFLIAQSFPSMLCQMKYGIFLFFSGWVLAMSLFAFYLLPETTGIPIEEMTVRLWKQHWFWSKFMENETKENAGSD >KGN59932 pep chromosome:ASM407v2:3:35630011:35632303:-1 gene:Csa_3G854770 transcript:KGN59932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRRSFSNAFRPSTSTSPSPSPFYSIFRRHYLSPPADSAGKSVFRRFLQRRAIFHSPSASRIPELFSMPVGDELREKLGVGGSNITSGDRIRLDGLIHPASERSVSGCGISIDDARKIIRLSQVEKIKNKLRNVGKSCISYSEYTRICAEDCGNNEEQGAEFAKLLDESGSVIVLGNIVFLRPDQVARSMERMISGSIATPNDPRLKQLQEMEKQKAIIDKKAKAQVQAELICGLGLILGQTLGFMRLTFWELSWDVMEPICFFVTSLHFALGYAFFLKTSTEPTFEGFFRRRFKAKQTKLMASHNFDINNYNELRTICYPYHTQPLPHQDGPFISSPHALS >KGN60292 pep chromosome:ASM407v2:3:38333156:38335245:-1 gene:Csa_3G893420 transcript:KGN60292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGWDPNTKSTLTQIPLLTTKAGPRDGAAWTQRLKEEYKALIAYTQMNKSNDNDWFRISAANPEGTRWIGKCWYIHNLLKYEFDLQFDIPVTYPSTAPELELPELDGKTQKMYRGGKICLTIHFKPLWAKNCPRFGIAHALCLGLAPWLAAEVPILVDSGMIKHKDDTTSTSES >KGN59874 pep chromosome:ASM407v2:3:35132102:35140034:1 gene:Csa_3G851750 transcript:KGN59874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGELSREEMKNTLRVLVATDCHLGYLEKDEIRRHDSFKAFEEICSIAEQKQVDFLLLGGDLFHENKPSRSTLVKAIEILRRHCLNDKPVQFQVVSDQTINFPNTFGHVNYEDPHFNVGLPVFSIHGNHDDPAGVDNLSAVDILSACNLVNYFGKMVLGGSGVGQITLCPILIRKGSTSVALYGLGNIRDERLNRMFQTPHAVQWMRPEAQEGCQVTDWFNILVLHQNRVKANPKNAINEHFLPRFLDFIVWGHEHECLVDPLEVPGMGFHITQPGSSVATSLIDGESKPKHVLLLEIKGNQYRPTKIPLTSVRPFEYTEIVLKDEPDIDSNDQNSIIEHLDKVVDYSGFMTINPQRFGQKYVGKVANPQDILIFSKASRKGRNEVKIDDSERLRPEELNQQNIEALVAENNLKMEILPVNDLDVALHNFVNKDDKMAFYSCVQYNLEETRNKISHDADSLKFEEEDLILKVGECLEEKIYILQDRVKERNTHSKNDTVFTSSIQSSKDFGSRSSMTVGSAVSFSDDEDAAKTSGSKSTRGRKVSSRAAEDTSTKTSTRGRGRGRGRGSSSSLKQTTLDAALGFRKSQRSATAAVQSIVNTDAMNSASSGEARENEVEEINDSSENDESLLSKGRKRTAPRGRGRGSTQSKRGRKSDNSLVQRTFISRDNDDDSEDEDNARKLLNKSQPRVTRNYGALRR >KGN56474 pep chromosome:ASM407v2:3:7022825:7025269:-1 gene:Csa_3G121020 transcript:KGN56474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDHAETAEPKSESLIDKIVEKIHDHDSSSSSGSDAETEGSASSSTLKEKVFRIFGRERPVHHVLGGGKPADLFLWRNKKISAGVLSGATLIWVFFELLECHLLTFISRSLILALGLLFLWSNAHTFIYKSPPRIPVVQLPADPFLEFASALRAEINCGFALLRDIATGRDLKKFLTAIAALWVLSIVGSWCNFLTLFYINFVLLYTVPVVYEKYEDKIDPLAEKAVIEFKKHYAVFEEKVLSKIPKGPLKGKKA >KGN58920 pep chromosome:ASM407v2:3:28339969:28340865:1 gene:Csa_3G736680 transcript:KGN58920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQHIFILCLLSSLLFVNGIPFDFFQLVQQWGPNICSPAGSKCYAQPQPMFTIHGLWPSNFSTVPILCPRTKKLFPQGKVTSLEQHLNKYWPDVISGKNSNFWEHEWRKHADCIDPPFTIFWYFDISLRHRMNKTYDLLTILNDAGLSHQTHKDLISQNVLDPIKNATGMEPGIRCNINGITKKLQLKEIVLCFGNDGTTLIDCPSFVSNTCTSQPKFVWLLPQQSSVGVPDYP >KGN59589 pep chromosome:ASM407v2:3:32953059:32955500:-1 gene:Csa_3G827310 transcript:KGN59589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRHRWTGRFEAHLWDKLSWNMTQKKKGKQGAYDEEESAARAYDLAALKYWGVTTITNFPISEYEKEIEIMQTMTKEEYLASLRRKSSGFSRGVSKYRGVARWISIFPFSSSSSYILGTIIMVDGKQELGEFMGTNISILELIVSTQEEAARAYDMAAIEYRGINAVTNFDWSNYMAWLKPPPPPSTVPNEAHFPSDPHKELCNSSSIPADETSLFKNHHYDIDSFHSLQKQELLESCNTPLNAYARSSSASALDLLLRSSFFKQLVETNSNLSVDEADNGDEAKTRVQLDSVFDEFEDVFCDRLTDVPLVCSSNKELQESELHSYFNGTFHRFKAA >KGN59088 pep chromosome:ASM407v2:3:29466807:29467166:1 gene:Csa_3G765060 transcript:KGN59088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKDSKETFPSASADSPNSQISTKSETPKASYRSKNKSPSLRAKIIFIPSSPKQDSTKNLVIVAYDPVNTTILRQEEIPQTIVANSTNQKKKKKSFNPEVSKPGSFPRLHICPNFCICR >KGN59352 pep chromosome:ASM407v2:3:31244420:31255478:1 gene:Csa_3G812240 transcript:KGN59352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSAKVLDPAFQAVGQRVGTEIWRIENFQPVPLSKSDYGKFYMGDSYIVLQTTQGKGGSFLYDIHFWIGRDTSQDEAGTAAIKTVELDASLGGRAVQYREIQGHESEKFLSYFKPCIIPLEGGVASGFKKPEEEQFETRLYVCRGKRVVRMKQVPFARSSLNHDDVFILDTESKIFQFNGANSNIQERAKALEVVQFLKDKNHEGKCDVAIVDDGKLDTESDSGEFWVLFGGFAPIGKKVASEDDIIPESAPAKLYSIDGGEVKVVDGELSKSLLENNKCYLLDCGAEIFVWVGRVTQVEERKAAIQEAEEFIASQNRPKATRVTRVIQGYETHSFKSNFESWPVGSVTTGAEEGRGKVAALLKQQGLGLKGLAKSAPTNEEVPPLLEGGGKMEVWRINGSAKTPLLAEDIGKFYSGDCYIILYTYHSGERKEDYFLCSWFGKDSIEEDQKMATRLTNTMSNSLKGRPVQGRIFEGKEPPQFIALFQPFVVLKGGLSSGYKKVIADKALADETYTEDSVALIRISQTSIHNNKAVQVEAVATSLNSAECFVLQSGSSVFTWHGNQSTFEQQQLAAKVAEFLKPGVTLKHAKEGTESSTFWFALGGKQSYNGKKVPQDTVRDPHLYAFSFNRGKFQVEEIYNFSQDDLLTEDILILDTQAEVFIWIGQSVDPKEKQNAWEIGQKYVEMAASLEGLSPHVPLYKVSEGNEPCFFTTYFSWDYTKAVVQGNSFQKKVTLLFGIGHIVEEKSNGNQGGGPTQRASALAALSSAFNPSADKSTHLSPDKSNGSSQGSGPRQRAEALAALTSAFKSSPPKTSTASRVSGRGKGSQRAAAVAALSSVLTAEKKKGNDSSPPSNSSPPPESNAPGAAEEKNDVSQQIESSPEEVLDLKELGETSPILKNNHDDADVNQDSLQEENGDDNNLSVFSYDRLKAKSDNPVTGIDFKKREAYLSDEEFQTVFGTTKEAFYKLPKWKQDMHKKKADLF >KGN56894 pep chromosome:ASM407v2:3:9356216:9356958:1 gene:Csa_3G141900 transcript:KGN56894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEESAQRKTRWPELVFVNFCTAARIIEKENPDVKAIKILVDSPRIQNFDISRVWVDCNIEERVVKVPSVG >KGN58391 pep chromosome:ASM407v2:3:24733308:24739048:1 gene:Csa_3G636400 transcript:KGN58391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPSPSPSTFSPSASFSSSRTDPSSFSPFPSISPRTFSISSRLNSSIFSAAAGFFGAPDNGDIQYELLHHGLSLERRKTGSAVFVTLPLDAVSPDGQLRRKKAMSQSFRALAAAGVEGVVIELWWGLVETDVPCNYNWKGYLEIVAMARRFGLKVRAVFTFNQHGLGPDDPHWIPLPKWVLEEINKDPDLAYSDRFGRRNSEYITLGCDTLPVLRGRSPIQAYADFMRNFRDTFRPYLGAIITGIQVGMGPAGELRYPSSPSQKLAWAWRSRELGEFQCYDKYMLASLNACAQNVGMREWGNGGPIGASNLMNNPEQTEFFKGDDGSWNTPYGEFFLKWYSEMLRLHGERLCKEAETIFRGSEVNLSAKLGGIHWHYGTKSHPSELTAGYYNTSIRDGYLPIVRMFGRYKFTICCSCFEMKDAVEKQMNPVSSPEGFLRQLLMAARVCGVPLEGENSASRLDDDSFQQVVKMSRVYTDGLEKPSFSFNFVRMDKNMFEYSNWVRFTRFVRQMSDTSKLFRAKLDPGNNRGLSSDITASRLTLLCH >KGN60197 pep chromosome:ASM407v2:3:37583156:37594085:-1 gene:Csa_3G888560 transcript:KGN60197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVETEQVENVEFQWGKKKGIGGKKKDVTFYESFTYDGVEYFLYDSVYLYKEGEPEPYIGKLLKIWQNPDKTKKVKILWFFRSCEILNYLGGVDTRENELFLASGNGIGLANINSLEVLAGKCNVLCISNDSRNPQPSDEALKKADFVFCRTFDVGKQEVCNEICDKIAGVEVKLLLNKADSSKDVKRTDKDGKDASGIAIVNTQLEDPSGRDISNGELTLTTNDSSLEKSTKENVDLKGSIEKSSNEEKSSAHAIERGIGMGKTSSIIKHENILGDKVPPKLKIDSNEKPGNAKDVEGRVKSLRESAEVEHRPAKKTKLDSSVQLSPGKTENDIEKLGVDHNNGDTLASSPKALVSEDASRAKNVKDSHETKGSFLKKPKLDEKPTKVSNGKNLKASSLIHGEVVEVTRRPDADRSRWFKGLPWEERIKDAHEQGTLVLIQNLDPSYTSGEVEDIVWHAFNESCTAKMIQKTANSMPHIGQAYVVFKTKEAAEKVVRKLHEGCLLLANGSVLVGSFETPHLSSKKQTFFGHHCIDKLRHQMQREMKGAVSTSHCSQPNTIEYDMAMEWCLLQERSELVGKQLFKQQEEELRKLKSKLKSR >KGN57488 pep chromosome:ASM407v2:3:13643435:13646136:1 gene:Csa_3G199010 transcript:KGN57488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFETYGNVPTDSSDDTYGSTLDSSDDRGWDSGTRKRGPKTLVLALSNNGSNDDLTNVKTKRSYKRRTRQKPGAINVNNSVTETPVDTAKSSSSVKKSTSSSNRRLSQPALERLLASFQENEYPKRATKQSLAQELGLGLKQVSKWFENTRWSTRHPSSSGKKAKSSSRMSIYLSQASGELSKNEPESATCFRDTDSNGARHQDLPMANSVVASCQSGDTGDKKLSSRKTKRADSSATKSRKRKGRSDNTASHSKDREGSPRPPAKSPKVNEMQTADRFKTRRRRSI >KGN57722 pep chromosome:ASM407v2:3:16161182:16167413:-1 gene:Csa_3G260210 transcript:KGN57722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLDVRPRCIKLRQDSSEGLYFVALKGRGNEGLKSAKMMSLKAISIQALSPKKILILDSVGDLHLLHIANTANGFDFSCNIRPLPHLMKAQMLTSFPDTIIRNQTVWLSDGNHSVHIMVIPDVDSVVPENMGNESEEVLMKRISVMQAIFAGEKIQDITSLAANAVLILGQGTLLLL >KGN57497 pep chromosome:ASM407v2:3:13723861:13724562:-1 gene:Csa_3G199590 transcript:KGN57497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSVHPDIDRVKGPWSPEEDLLLRMLVQDQGARNWSLISQSIHGRSGKSCRLRWFNQLCPGLDRRPFTPEEDAFIVDAHRIYGNKWATIARLLNGRTDNAIKNHWNSTLKRKYGSNREKKTDHCGISQGSTISVWNQCLSQRSESSDWDEIIEPPSTTLTLCLPGSDELPHKSISSLPVEDMVEERKGGKLDWFGEEFMCVMQKMIKEEVRNYMAEYEK >KGN55950 pep chromosome:ASM407v2:3:2769854:2772982:-1 gene:Csa_3G038720 transcript:KGN55950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRDLERGGAKTNKPPGNYSNSYYQETSEKQWTSWLVPMFVVANVAMFIVVMYVNNCPKHSLGSEECVARFLGRFSFEPLRVNPLFGPSSSTLQKLGALEWEKIVHGHQAWRLITGIWLHAGVIHLLANMLSLVFIGIRLEQQFGFIRVGILYLLSGLGGSILSSLFIQNNISVGASGALFGLLGAMLSELLTNWTIYTDKAAALITLIVIIVINLAVGILPHVDNFAHIGGFLTGFLLGFILLLRPQFGWIERRHLPANSRAVPKHKLYQYILCFVALALLIVGFTIGLVMLFRGENGNNHCSWCHYLSCVPTSKWECGN >KGN58881 pep chromosome:ASM407v2:3:28139408:28141341:1 gene:Csa_3G734340 transcript:KGN58881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSLITALSLENHHPSTLLFMDSSASSHEELDLEMNRQIVLSRPPDINLPLSTECSYTLQPWNSDHCNILDVGLASQVYETESFLTVPKVVRKCTKRVDSIWGAWFFFSFYFKPVLNEKSKAKITRDSKGVSGFEKSDLKVDVFMVQHDMENMYMWAFKERPENALGKMQLRSYMNGHSRQGEPSFPFGSEKGFVRSHRMQRKHYRGLSNPQCVHGIEVVASPNLMSLDEELRKRWVELTGRDFNFTIPPEASDFSSWRNLSSPDFELERSVPSVKSALNSQPKKLLNGSTLNLSNHNNCDMMDLSPVTSKKRKSSSPNMNDDDCLLMVNPPDWISDMDKHSNGLQWLNDFSGVMKNSYGPVTAAKTIYEDGESYLIIISLPFVDLQRVKVSWRNTLTHGIIKVSCVSTSCVPFVKRHDRTFKLLDSSTEHCPPGEFVREIPLLTRIPEDANIEAYYDGPGSVLEIMVPKLREGSEEHEVHVCLRPLHGVKSNVMLR >KGN59616 pep chromosome:ASM407v2:3:33090120:33093135:-1 gene:Csa_3G829060 transcript:KGN59616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSARAFFLSRLTDFSIKPRLPPQPPPPPPPLPSFSYSHLTLQRRRFPSASTSGATTVSCLVSGVDGGGVSDDFVSTRKLKFDRGFSVIANMLKRIEPLHTSDISKGVSDVAKDSMKQTISSMLGLLPSDQFSVTVRVSKSPLHNLLSSSIITGYTLWNAEYRLSLMRNFDISPDNLTGLDRSKPLEVSDIEENRVGVDSNMEDLDTRPRLLSDLPPEALKYIQQLQTELSNLKDELNAQKQENIHIEHGRGNRNDLLEYLRSLDSDMVTELCKPSTSEVEEIIHELVGNILQRFFKDDASSSFIEDSSVADLEKLADAGDEFCDTVGTSRDYLAKLLFWCMLLGHHMRSLENRLQLSCVVGLL >KGN57840 pep chromosome:ASM407v2:3:18068663:18071977:1 gene:Csa_3G346140 transcript:KGN57840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPSEGLGSESAAIGIDSTTKDDLCMEIDPPFRENLATADDWRKALNKVVPAVIVLRTTACRAFDTESAGASYATGFVVDKRRGIILTNRHVVKPGPVVAEAMFVNREEVPVRPIYRDPVSLL >KGN58511 pep chromosome:ASM407v2:3:25773695:25787469:1 gene:Csa_3G653430 transcript:KGN58511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGRKRGANKAKANRKLSLGDLVLAKVKGFPAWPAKISRPEDWERSPDPKKCFVHFFGTLEIAFVAPGDIQAFTIVEKNKLSARCQGKTTQFAQAVREICSAFDEKQNEKTSGMRVDMERLETESGAPCTDEVVDNELDVDLKDEEVGPAESNDDAVNEGIGDYSSRLGRCSQKRGETNVQDIKSSVEPHQSDDSSSGISSEQKDNILDIAPKSEAVTFESDKSISQTEKPSELQNIPTANGQNVKKEGASSKKKQEAAAKHQKSKGSTVTASKSEVPDNRPNLPESVVDSNSKGGKKGKFTSGGGTREHGPRTLKPNSESGHGKKTKDLPRDKKHFKGKDDVADTKQSPKEQGQGKSKASAGKMPLVGQGKSDLGSSESLRPAKKLKRGDIGESKGSLSNNIKVASSPKPVVADEKVVKKSELKKLTPGLKSENLLKSSHHSDSVNSAAGDETVLPLTKRHRRALEAMSDTTTTVHNAKNEKSSFSQRYDASCSSSDRLLANHSNRKRRAVCIFDDDDEDPKTPVHGSSRNIDATLNGPDVSKNNDDHNQSPPTSPLTVNGTNGSEHDRSKESTSQAQRLSSSPKEPQTEEFQQEKPEAVDTSESPSKSGSEQLLPKDGKPNFISPKKSPSLANNSTTALERKKSPLLTNSATSLEQTKTVKPPIKASNTGVQKQSQGGSAKSMVLPSSSSSSQKLSVLQKSRSHSSGEKSKTTPKSRANDSTTMGGSSMDHDDLHGERSLVSEFKVTESALSMKHLIAAAQAKRREAHSHNVLGFFSSGILSSDVHGSPSPTPVQTHLSSTTHLMLADLKGSFHQKEVASPSTLGHQLASQNHNDVEEIEEKRVSSVHRSVGDSLSGGTEAAVARDAFEGMIETLSRTKESIGRATRLAIDCARYGIANEVVELLIRKLETESSFHRKVDLFFLVDSITQCSHTQRGIAGASYIPTVQAALPRLLGAAAPPGAGARENRRQCHKVLRLWLERKILPESVLRRYMDEIGVSNEDSSIGFNLRRPSRAERAIDDPIREMEGMLVDEYGSNATFQLPGFLSSHVFADEDEDLPTTPGKEATDATLTELRHGVGEAEASAVTLGDRRHRILEDVDGELEMEDVSGHPKDEKSLDGDISFEIDAQHQSSDRATELASNTSSDFHPLPEGSPPLPLDSPPPPPPLPSSPPPPPPPSSPSPPPLPPPPLPSLPPPPPLPSACPPPPPPPPLISQPPVPSQPPLPNQQILPLQSSQQPSGQLPYQAAMPREYCNIASGNQHVQMVAGNASHGSHVDASAKSEMYSQQAPSFVPAAVCNSIDPSGFNSSRQSEYGHNDIYLNTPVSQPNQQYQQGNPNFVQRQMLSGPPQNPPTHFSYAKPPVQPHPPHPYHHSYSSSSLMDGRRPFLGDEQWRMPSSEFKTENRQGVWMNGGRNPSHPGPPFSQEAYFQPPFERPPNNIGFQRPASNSIPSGAPISGHGIPQMLPSRQDISTLNYLLAPSEYFHYVLSVLNMELEYKMDLYCKERSALCINSVQLDGAVNTFGGSRLNSVHSSYPDKLDPGTFAPKCRPMFLTWTTEYLRVMDLVGTRQEVVSLKIEKTYNHVWNVDWDFLNKILAEKGFRFKWRYWLLELPKPG >KGN58114 pep chromosome:ASM407v2:3:21474918:21476226:-1 gene:Csa_3G516530 transcript:KGN58114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQVAAKGLDLEITELRLGLPGDCCSLISTSKNNEKKRVFSEVEVEDKSRSKGEDEGRRKQVVGWPPVCSYRRRNSFKGKGEQCESEEIMNMGMKKQMYVKVSFEGTPFLRKVDLGMVKGYGDLVGAMEKLFGSPIGCYEYTVTYEDRDGDWMLVGDVPWKMFIESCKRLRIMKKTEGKGSEMNSLSQ >KGN56993 pep chromosome:ASM407v2:3:9932466:9932845:1 gene:Csa_3G147760 transcript:KGN56993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTTSRVDYGKFARRVTRGGGERLTDNNHWRRVIWVLCGAVVADKPENLKDLERFNSKNVDEEGHPNVGRHR >KGN58199 pep chromosome:ASM407v2:3:22612234:22618517:1 gene:Csa_3G589570 transcript:KGN58199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMRTEKNITYPERYYGNSSYRTAGESEGSGSSGRIDPEIAASEVSSTPMRRCVSFSSDNREGLRVPTQVLPLTSLLQSERKDLIYRLRKELQQIQTLRKKVELLRTHSFTVSSSSDILSCSNVRNGPSAECIKNTANPTSGQRKKPNVPSHKKGQGSSRVASDKVGPAAQASVSNTSTATSAILMKQCEQLLKRVMSHQYAWVFNTPVDVVKLNLPDYFTIIKHPMDLGTVKSKLSSGAYSSPLDFLADVRLTFSNAMTYNPPGNDVHVMADVLNSYFDMRWKAIEKKLPKTDGHSLPTKSRPREDVETVKNVPLKKMKVASRPQEVTPIPSKLVMTDEEKLSLGRELESLLGEMPLHIIDFLREQSSGGRECGEDEFEIDIDDLSDDTLFKLRKLLDDHFQEKQKNNASAEPCVIELQMLNDSGVSNSSMQPSKGSEPIDEDLNGGGNEAPVSSCAPMEIERSAMDAIHRNRKCTSSRNSKDSDSSCSENDSECGKTPSQVHEQVPETIGSEGPIIETTTSDEPFERNQSEGCYEQPEQTSSKPSSTESDCNQDGNYTASEKPVSPERLYRAALLKNRFADTILRAKEKTMTQGDKGDPEKLRREREELELEQRKEKARLQAEAKAAQDAQRRAEAEAAAEAKRKRELDREAARQALLQIEKTVIIDENSQFLEDLEMLRAAPAEQLPSSGDETSPDHSQDGLGSFKFVGSNPLEQLGLFIKADEEDEEIEPNFVSNSIKDVEEGEID >KGN59593 pep chromosome:ASM407v2:3:32974403:32976680:-1 gene:Csa_3G827350 transcript:KGN59593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTGCKIKVVMVLVSMAVLMPTLRAGIAEFDDFLLQKSEEAKQASLEAFHPDPMNVTDHFNQHVHLALEGIEGSNSTRRSLSKYNGPCLATNPIDRCWRCDPNWAKNRKKLAKCVLGFGRKTTGGKKGRIYVVTDPSDNDVINPRPGTLRYGALQKKPLWIIFARSMIIRLSKELMITSHKTIDARGANVHIAYGAGLSIQFARNVIIHGLRIHHVISTGGGMIRDTANHVGLRTVSDGDGISIFGSTNIWLDHLSMSNCQDGLIDAIQGSTAITISNSHFTHHNDVMLFGASDSYQGDSIMQVTVAFNHFGKGLVQRMPRCRWGFFHVVNNDYTHWLMYAIGGSQHPTIISQGNRFIAPPNPAARQITNRNYATESVWKTWTWRSEGDLMMNGAYFVQSGNPSKRRPYSRFDMIKAKPGTFVRRLTRFSGSLNCYVGRPC >KGN59983 pep chromosome:ASM407v2:3:35975004:35977582:1 gene:Csa_3G859700 transcript:KGN59983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLGISIFILLLLLLLPRAKPDEGFVSTKGQQLILNGSPFYANGFNAYWLMYFASDPSQAPKVSSAFQQAVNHGLSIGRTWAFNDGGYSPLQYSPGQYNEKMFQGLDFVVAEARKYGIKLILSLVNNYASMGGKKQYVEWARSQGQAISSEDEFFTNPVVKGFYKNHIKSILTRVNSITGVAYKDDPTIMAWELMNEARCPSDPSGNTIQGWIKEMASYLKSIDGKHLLEAGLEGFYGQSKYQWNPNFQVGTDFIANNQIPEIDFATVHSYPDQWLSGSSYENQLSFLNTWLNDHIQDAQNILHKPVLFAEFGKSTKYSGADQRDQLFNAVYSAVYSSARGGGAAIGGMFWQLLVEGMDSFRDGYEVVLSESPSTANLITQESRRLIHIRRMYAKLRNIEKWKRAKEMKRAQWEASRGGNNSPGN >KGN56618 pep chromosome:ASM407v2:3:7835794:7836123:-1 gene:Csa_3G126815 transcript:KGN56618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYATAGPSPVVPCIIVGFLGMIIFWPTLSSIWESIEPLLELGIWVAVILLFLLLLVHFLSIFFPVLHASSSTFAVQHSSSPGYDADGFGFGSGALLLGLLFLVLYYLL >KGN58211 pep chromosome:ASM407v2:3:22715290:22716371:1 gene:Csa_3G592160 transcript:KGN58211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMLQNLIRKSPPTRLIAALGAQTSQNLSTPFILHQPLDFDKKPDAHCHSNINSASTSNPSHFSQFYPSFPFGLCLPQISSTGLLPFEPDCVEQDDSRKLWADSVKKKRKRKMNKHKYKKLRKRLRRQT >KGN59167 pep chromosome:ASM407v2:3:29999594:30002475:1 gene:Csa_3G778240 transcript:KGN59167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERILVRALFFIFPFLISSALGTEPPLELSHLTLELLESARNPKFFDWLVRARRKLHENPELSFEEFETSQFIRTELESLGINFTWPVAKTGIVASIGSGAHPWFALRADMDALPIQEMVEWEHKSKKDGKMHACGHDAHVTMLLGAAKLLQQRRNELKGTVKLVFQPGEEGRAGAYHMLKEGALDKFQGIFGLHIIPDLPIGTIGSRAGPFMAGSGRFQATIQGIGGHAAWPHKARDPVLAMSSAIVSLQHIISRETDPLDSRVITVGFVKGGQAGNVIPETATFGGTFRSMTVEGLSYLQKRIQEVIEVQAAVHQCNATVDFMEDKLIFYPATVNDEGLYSHAKKVGEHLLGGESNVHHLSMVMAAEDFSFYSQKMPAAFFMIGVKNETMKSGTPLHSPYITIDERVLPVGAALHAAVAISYLDEHSVGSN >KGN56550 pep chromosome:ASM407v2:3:7416133:7417949:-1 gene:Csa_3G123720 transcript:KGN56550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFAPRDTHEAQVQFALERGVPALIGVLASIRLPYPSRAFDMAHCSRCLIPWAQSDGMYLIEVDRILRPGGYWVLSGPPINWENHWKGWNRTTGDLQAEQSKIEAVAKSLCWKKLKQKDDIAIWQKPTNHIHCKKNRKVFKFPNFCQEQDPDIAWYTKMEPCLTPLPEVSDVKETAGGQLLNWPERLTSVPPRISSGSLKQITPQNFTENTELWRKRVAHYKALDGQLAEPGRYRNLLDMNSFLGGFAAAIVDDPLWVMNIVPVEADFNTLGVIYERGLIGTYQNWCEAMSTYPRTYDFIHGDSVFSMYKGRCEMEDILLEMDRILRPQGSVILRDDVDVLVEVKSIAEAMQWECRIADHEKGPHQREKILVATKQYWTASATEEENQEKQTSSES >KGN60307 pep chromosome:ASM407v2:3:38448748:38460637:-1 gene:Csa_3G894550 transcript:KGN60307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSISAINDTDSRNQWEPLAPTKEAQEFHLTKTYHDGLLKLQAKEYEKARELLESVLKDHLIESAQVDGEAGDNHLLQLRFLALKNLATVCLQQGSAHYEGALRCYLQAVEIDSKDSVVWNQLGTLSCSMGLLNISRWAFEQGLVCSPNNWNCMEKLLEVLIAIRDEVACLSVAELILRHWPSHARALHVKLTIEESESIPYAPKGIDKLEPKHVRLKFIDKRKAGEEDLDEDMKVKRSNQNIDLHLAEVSWVGLVDALLDILLPLSGCGSEVEVEKALRSGDVRLRICSTPNSDRSSAFMERKELASTSICDNTSLADSNTESSSSFKEKETSGLDEHPQERRSTRLERLRSRKPGKEELDYSTSKDLARVVTQYLEPFISSGLGTKDTDRETRNSVSYGDGENSQGLDCNDVHTFLVETSCNYGAYHVSHMLLEKLSSTYPPHQVAFFKFLDLEKLTRHWGKDRSPECNLFLAELYFDFGSSSSDNTKQSEFMSEASYHLCKIIELVALEQSDNCSSNPQGSSRISSESSNNQHLFVENSLLTNNRSFWVRFFWLSGQLSLRDGNKAKACEEFCISLSLLEKLKDVNGSLSSVCLPHCRVLKMLTLDRILYEINVLKVDLVMKNAVPEMFEKEMYEECITLLSPLLFSVQEVDLDALSLHFLGRKDAGITSVELAAIDVLIKSCEKENHLDIEILLNSHQRKLQILMAAAGLHEYFTSNKSFREKSEAKALSDIEMKDGPFSHLNHLVAEEVKAISQCISEVKNSIEHSLDSNDIQTRRICDMQFLLLSVMCNVINLFLSKKSSGTAVDDQVERCCLVDAAIAFCKLQHLDLSVPVKSHVELIGATHDLLAEYGLCCWGEGEGEEGKFLKFSIKHLLALDMKLKLNSSVNEKIIECDDMEWENCQVKASPDRSKLNDQDLGLSQNDEARSMMEDAREDITREGFSTHKSILKDATEGEFMKEGDEESVGKFSTGDNNSDQLVECENEQNEDEKEELELKIENTLDQCFFCLYGLNLRCDSSYDDDLSVHKNTSRGDYQTKEQCADVFQYILPYAKASSRTGLVKLRRVLRAIRKHFLKPPEDVLDGNVVDKFLDDLNLCEEKLSEEAGSDEFLVTMTKILLNDVGSIKQYRASVAGSSEPYLEVYSSLYYFLAQSEEMSATDKWPGFVLTKEGEEFVQHNANLFKYDLLYNPLRFESWQKLAHIYDEEVDLLLNDGSKHINVAGWRKNDSLPHRVEISRRRSRRCLLMSLALAKSPTQQREIHELLALVYYDSLQNVVPFYDQRSVVPPKDEAWVRFCENSLKHFKKAFAHQQDWSHAFYMGKLSEKLGLSHDKALSYYDKAIALNPSAVDSIYRMHASRLKFLGKCAKQDLQAWKDLSTYAFNQPTREAVMEISSKFGPKTSDLSTDMEGHEAYSEDIKHDEFLEVEKAWHMLYNDCLSGLETCVEGDLKHYHKARYTLARGLYRRGEDGDVDKAKDELSFCFKSSRSSFTINMWEIDSMVKKGRRKTPGLSGNKKALEVNLPESSRKFITCIRKYLLFYLQLLEETGDICTLERAYISLRADKRFALCIEDLVPVALGRYVKVLITSVRQVGSSSTGDASSYEHILEKMFALFMEQGNLWPELCSLPEIQGPGISESNLFGYLHDYIITLERNVKVENLEAINERIRKRFKNPKLSNINIGKVCRHASTAWCRSLIISLALITPIPSESSTESQTSSSLPGSLENNQLLCVDLQINELWSSTFEDSTHLKSLEPKWCPILSKINTIFVKRAAEVNLETANSLLRSSYNFFRESSCILPSGLNLHLVPYRLATGVNFQQRMDGIEMLDFSMPRKLLLWAYTLVHGHFANISSVVKHCEEHLKSKLKKGAVIPPTQTHTNLPAMISSPTVLGIGRDGCSNHSGETDAEASPATPVASTSLPENHQTTTSSIPILSSADTRRSSFHGLQFQQCSNAIAERNPNGGDSDKV >KGN59413 pep chromosome:ASM407v2:3:31741482:31742677:-1 gene:Csa_3G817730 transcript:KGN59413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEDDSNWFSKWEQELPSPDELMPLSQTLITPDLALAFDITNSNPPNDSHPPPPPPPPPVLIQPTSADFADSGDLGSGAGDEPARTLKRPRLVWTPQLHKRFVDAVAHLGIKNAVPKTIMQLMSVDGLTRENVASHLQKYRLYLKRMQGISGGSGGGANGGAGLVSTASDPATDHLFASSPVPPHFLHSARGNSDHFLPFVPVGALQHHHHQMAAAAAAAQYHRQVAHFGSSPPTNGQFENTFLARPVHRVGAPASTPVTNYSEDLDSGNGTGGRKVLTLFPTGND >KGN56143 pep chromosome:ASM407v2:3:4247901:4249992:1 gene:Csa_3G078250 transcript:KGN56143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSCTSSINLTPRSFNSRPPFKLGSHFLGLQSNLRWLSPVSIGPSNGSRATCWFNLRQNAEGAGIYGSQSRDDFNRDDVEQYFNYMGMLAVEGTYDKMEALLSQDIHPVDILLMLAASEGDKPKLEELLRAGASYDVKDVDGRTAVDRAVNEEIKDFILNFSAKKA >KGN57003 pep chromosome:ASM407v2:3:9996914:9997197:-1 gene:Csa_3G149340 transcript:KGN57003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFEAGLQWMIREDYGTDWASEAHEKARDRQHRGEPTWCGKRLRVKAGKTMSHSLKWDVVLIDMSGGR >KGN56853 pep chromosome:ASM407v2:3:9129594:9131753:-1 gene:Csa_3G135010 transcript:KGN56853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAEGVKGGPVVPESVLKKQKRNEEWALAEKKGLEVAKKKNAENRKLIYTRAKLYAKEYDEQQKELIQLKREAKLKGGFYVDPEAKLLFIIRIRGINAIDPKTRKILQLLRLRQIFNGVFLKVNKATLNMLHRVEPYVTYGYPNLKSVKELIYKRGFGKLNKRRTALTDNSIIEEALGKFGIICAEDLIHEIMTVGPHFKEANNFLWPFKLKAPLGGLKKKRNHYVEGGDAGNRENFINELIRRMN >KGN56403 pep chromosome:ASM407v2:3:6656321:6660203:-1 gene:Csa_3G119370 transcript:KGN56403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METGPASSKDSSPLPFDMECRFRLRSAFDSFCIASEAFSEHRRCRIPIWRHVFCSKNECLADPISALFGSMESPDNAAEKDPTGRYVRYDEILGRGAFKTVYKAFDEVDGIEVAWNQVRIDGFLQSPEDLEKLYSEVHLLKSLKHENIIKFYNSWVDDKKKTVNMITELFTSGSLRQYRKKHKHVDMKAIKNWARQILRGLVYLHSHDPPIIHRDLKGDNIFINGNHGEVKIGDLGLAIVMQQPTARSVIGTPEFMAPELYEEEYNELVDVYSFGMCMLEMVTFEYPYSECKNPAQIFRKVTSGIKPASLAKVSDPRTMEFINKCLVPVHERLSAKELLKDSFLQVENPKESARNPLQLSNQVSKSINLPKSGPISMDIDIDQKIHSLSTYAESNSGSPRFPVVEFQTMNKNNEFRLRGNKNDDNSVALTLRIADSNGRVRNIHFTFYLDSDTALSVAAEMAEQLELINHDVDFIAEFIDFLITKLIPEWKPLSVYSSNGELSLFSAPPFLKSAKSSIGSAWGSILTGSHDGLVAQDISSGLGCGTQKDCLQSEEDGWTTDISAGHIFDTCPSSPSLANFEDLNSHASFALELLVDDCSTKSAKVFDCSNIDGSSKGSSWSIAELEHHGSSYVVEDKFQRNVGDVGIFTPMDYFAKNSVVSMPAPSEASNVMSLTSSCSSLSLTDKDLDAELKMEIDAIETHYRQLFDELSRMREEALEATRRRWIAKKKLIH >KGN56043 pep chromosome:ASM407v2:3:3484956:3490797:-1 gene:Csa_3G055980 transcript:KGN56043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHYHEDDTDYMADEYEMEDIDDDMDDECHDREDEGSDSDADEFNSSSRIVDTTAAQARRGIDIQGIPWERLSITREKYRKTRLEQYKNYENIPNSGEGSEKDCKVTDKACSYYEFCRNSRSVKSTILHFQLRNLVWSTSKHDAYLLSNFSVIHWSSLSYTKSDVLNVAGHVAPTEKHPGSLLEGFTQTQVSTLAVKDKLLVAGGFQGELICKHLDRPGVSFCFRTTYDDNAITNAVEIYTSASGAIHFTASNNDSGVRDFDMEKFQLSKHFCFPWPVNHAALNPDGKLLVIVGDNPEGLLVDSQTGKTVASLSGHLDFSFASAWHSDGITFATGNQDKTCRIWDVRNLSKSVAALKGNLGAIRSIRYSSDGRYMAMAEPADFVHVFDVKSGYEKEQEIDFFGEISGVSFSPDTESLFIGVWDRTYGSLLEYHRRRNYSYLDSLY >KGN56305 pep chromosome:ASM407v2:3:5938677:5943021:1 gene:Csa_3G114450 transcript:KGN56305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQRAASNAYSWWWASHIRTKQSKWMEQNLLDMEEKVQNVLKLIEEDGDSFARRAEMYYKKRPELINFVEETYRAYRALADRYDHISTELQNANNTIASVFPEQVQFSMDEEDEEAMPKFTKKPPEISKANIPKVPKAPIDLKTVITTATKKLKSKKNAKLSATAPSVAKSGLNKQEALNEIDKLQKQILTMQTEKEFMKSSYEGTLARYWEIENQIKEMQNRVFNLQDEFGEGMVIEDDEARNLMAKAALKSCQESLAQLQEKQERSVEEARIESTRIREVRERLDSLKGKLQGEELSQDKLPAENEPVKEKQVPNQLNKEVDIIADEKQREEELRQQIKEQLEANTCFTMTEMADKIDELVNKVISLETALSSQTALVKQLRSETDELQTQIRTLEDDKASITDGKNNLQQKLKEMEKKLGGIQNLNQKVENEKSNFQSQIIEVHCNLDHLSGTLPSIQQGEEPELNSSISAVQLKQPEGLAGVNQGASGAHTKLKQPEEVPDLKQGASETHTNQKKPDEKLKAHGSDDLKQMGSNEAWQTTDSRQNEEPVTEMKSSKLQSPKEKESPSFIGQSEKTDASGKNRNQENISPTKMDPLSLGSSSKKLDVNATSRRLVVADTQDKSESSKGSFKKFDVDTAAKSGEEIAQTLSKNTGDDPRKDDAYGSARNPVEIVQSSQYSEHGCEGADVNVTTRSRVEFVQIQDTSSQSSKGENSDTDNTIKRQDAILLGSLLNNEGNPENNSSNSSDRNPVDVVQTKANSPYSEANVNGTLTSQVDEIQKQNKNPERPLEKTEDVMKEQNKEEKTCVEAIGAEQEQKAVDKVDEPNWQQLFLSGIEDREKVLLTEYTTTLRNFKDAKKKLNEMDEKNRDHHHQTSKQLNELKTSNALKDQEIRSLRHKLNLMQKCFYEGKESMDQTAQSLDFSASDDQKTSSTSEDPNFEPQITTGHPARSETLSRQISYDSGFDISKLLVQQPTTTSEIEERLRMKIDELLEENLDFWLKFSTSFHQIQKFETGIQDLKSEVTKLQEKGKKLDESGSGKYSLKSEARPLYKHLREIQTELTVWSDKSAALKEELQTRFSSLCNIQEEITAGLKASAEDDDFSFTSYQAAKFQGEVLNMKQENNKVADELQAALDHTASLQLEVETFLSKLNDEFRLSGSKKQETPQLRHSESRNRVPLRSFIFGVKPKKQKQSIFSGMAPVMQKKYYALRTGTPM >KGN56325 pep chromosome:ASM407v2:3:6184847:6194222:1 gene:Csa_3G116620 transcript:KGN56325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCFSNEAAGQSAIGGTAFSQSTTSNVAIDCFLLSRGYRGLYSQIELSVSASNLRDRDIFSKSDPMLVIYIKGKDGTLEELHRTEVVQNSLNPKWIHKLNITYQFEVVQTLVFFVYDVDTQYQNLGVKMLKLDEQQYLGEATCVLSEIVTQSDRSLILDLVYREESTSSSSPRHCGKLTVHAEECVSSKTTMEIILRCSDLEHKDLFSRIDPFLVISKSVESKGSIPICKTEVIKNDLNPTWKSIFLNMQQVGSKDIPLIIECFNFNSNGKHELIGKVRKTLVELEKLSFSGEGDSLFLLPSAGHDYHNKALKSQLFVDKFLESGQQTFLDYLAGGYEMNFMVAVDFTASNGNPRLRDSLHFIDPSGRPNSYQQAIIEVGEVLQFYDSDKRFPAWGFGARPIDGPVSHCFNLNGSSHYCEVEGTQGILMAYTSALHNVSLAGPTLFGPVINNAALIASQSLANGARKYFVLLIITDGVVTDLQETKDALVKASDLPLSILIVGVGGADFKEMEVLDADKGERLESTTGRVASRDIVQFVPFRDVQGVSMVQALLAEIPHQFLTYVRSRDVQPNSRSSYQTA >KGN57632 pep chromosome:ASM407v2:3:15154779:15155952:-1 gene:Csa_3G234020 transcript:KGN57632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTTIQRFCLDLTLSCGIRNHFLSDSSSSPPSNFITFNSPSFSATLSLTLTFLPNLKGISQHEKDRESRMRLLSQRALERSIRYESVICMAKRYAPETTKRKRLSRKRGGDPAKKKKTRRKGGGKDFKIVRLSSTARTGFFYAKKKSRKVADKIEVQKYDPIANRHVLFTEVK >KGN59746 pep chromosome:ASM407v2:3:33994926:33996939:-1 gene:Csa_3G842680 transcript:KGN59746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSLVANGYHRHVLRTRLPTPSSVMASASHAVTTIKNNQSNSVAILPKEPQFLPGSSNAKLINGAQKRHSKSYLERQSAIAQVKDCSELAPALARFFFSYLFATCSWILNCGKSFAQGHQSPCGAPSAILLTLNFLGLNIALVLVTLSLFGKIDGMIVHGLMILRLGTCTLDVILLIMKFSNGYLCLLADFV >KGN55635 pep chromosome:ASM407v2:3:354655:355549:-1 gene:Csa_3G002550 transcript:KGN55635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQYRQKGKSKSKFGYVAERLTFSIRVLLESAIHNCDEFQVKFKDVEKILDWEKTCPRQVEIPFKPCQSPASDFTGLPAVIDLACMKDAVNNIGGNSNKVIPLVGEKLTSKLRSQKFGSSSKNKPSVNIPVDLVIDHSVEVDMARSEKAVEANMELDFHRNKERFAFLK >KGN56118 pep chromosome:ASM407v2:3:4034175:4037895:-1 gene:Csa_3G076540 transcript:KGN56118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWKSRGGFEPSTRGSVSRKWTFLFCIGCFCAGMLFSDRMWAVPEVENMPGGSTGSEEDKLKMVSEGCNTSNKDGSSESKDILGEVSKTHNAIQTLDKTISSLEMELAAARAAQDSILNGSPLMENVKLSESVRKRKYVMVVGINTAFSSRKRRDSVRATWMPQGDKRKKLEEEKGIVVRFVIGHSTTPGGILDRAIEAEDKRHGDFMRLDHVEGYLELSAKTKAYFATAVALWDADFYVKVDDDVHVNIATLASTLARHRSKSRVYMGCMKSGPVLAQKGVRYHEPEYWKFGEEGNKYFRHATGQLYAISKDLATYISINQHILHKYANEDVSLGSWFIGLDVEHIDDRRLCCGTPPDCEWKAQAGNICIASFDWSCSGICKSAERMKEVHRRCGEGENALLSAVF >KGN60055 pep chromosome:ASM407v2:3:36575102:36577968:-1 gene:Csa_3G874330 transcript:KGN60055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHGETDNDVHMTVQNPSPSPPPPLENHELQQLAEPLPKSFQEDEQDEELRKLLTPDVRDLPLVPPSAVETNFVSYFAPDFIKEGHDQYVYRHANGLCVVGLAATHIAFKDSGGVTAVDFNVGKSDRSSFKVTGKRKKNAQFFEPNSALCKVYTKDASYIVRCSVKGSLLEVNDRLIKQPELLNTLPHREGYIAIVMPKPADWLKVKESLLSLEEHKRLRELN >KGN56506 pep chromosome:ASM407v2:3:7174137:7186487:-1 gene:Csa_3G122300 transcript:KGN56506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSGGGGPLRNPGFPAGRAASTTSAAASPSSSSSAVSTPHLGFDSMQQQQQQLASRQSLQHQLLRKSDGNEALLSYQAGGLQGVLVGNNFPQSPGSSHLPQQARKFIDLAQQHHGTSQEGQNRSQGLEQQALNHPMHQAYLQYALAAQQKSAMAMQSQHQAKMGIMSPQSIKDQEMRMGNQKIQELIPTQVSNQASTSLSKKSSDHFVRGEKQMEQGPPSTSDQRVDSKSSSQLPSMGNMVPVNMTRPMQAPQGQPGILNMANNQLGMAQLQAVQAWALERNIDLSLPSNVNIVSQLFPMLQPRMLVPHQKPNENNMGQQSSPASVPKQQINSLFAGKEASAHANSLSDVSGQSSSTKARQIASTNPFGQNMNASVVNNTSHASMQQFSVPGMENQLSSRLPVSGNTIPPVHSSESSGNVNQNIERSLQGKTSLGTPENVQTQYVRQVNRSSPQTALPTSDGGSSNSTLPQGGHSNQTAQQRFGFTKHQLHVLKAQILAFRRLKKGEGTLPQELLRAIAPPPLDVQQQQFLPPGSTSQDKSSGKTVEDTGNVEATEKDSLSLASSNGHRFPREEVSTGDEKSKTSTSDVQPMPPAMKETVPVASSGKEEQQTTVSVKSDQETDRGCQKPPGKTDFPVERGKAIANQAAVPDVTQVKKPAPPSTPQSKDVGAARKYHGPLFDFPYFTRKHDSFGSAMAVNNNNNLTLAYDVKDLLFEEGLEVINKKRTENLKKIGGLLAVNLERKRIRPDLVVRLQIEEKKLRLLDLQARLRDEIDQQQQEIMAMPDRPYRKFVRLCERQRMELTRQVQASQKAMREKQLKSVFQWRKKLLEAHWAIRDARTARNRGVAKYHERMLREFSKRKDDDRNRRMEALKNNDVERYREMLLEQQTSMPGDAAERYSVLSSFLTQTEEYLHKLGSKITAAKSQQEVAEAANIAAAAARLQGLSEEEVRAAAACAGEEVMIRNRFMEMNAPKDSSYVNKYYNLAHAVNERIVRQPSMLRAGTLRDYQLVGLQWMLSLYNNKLNGILADEMGLGKTVQVMALIAYLMEFKGNYGPHLIIVPNAVLVNWKSELHTWLPSVSCIYYVGGKDERSKLFSQEVCALKFNVLVTTYEFIMYDRSKLSKIDWKYIIIDEAQRMKDRESVLARDLDRYRCQRRLLLTGTPLQNDLKELWSLLNLLLPEVFDNRKAFHDWFSKPFQKEGPTPNAEDDWLETEKKIIIIHRLHQILEPFMLRRRVEDVEGSLPPKVSIVLRCRMSAFQSAVYDWIKATGTLRVDPEDEKLRVQKNPNYQPKVYKTLNNRCMELRKTCNHPLLNYPYYGDFSKDFLVRSCGKLWILDRILIKLQKTGHRVLLFSTMTKLLDILEEYLQWRRLIYRRIDGTTSLEDRESAIVDFNSPDSDCFIFLLSIRAAGRGLNLQSADTVIIYDPDPNPKNEEQAVARAHRIGQTREVKVIYMEAVVDKFSSNQKEDELRSGGSGDLEDDFAGKDRYMGSIESLIRNNIQQYKIDMADEVINAGRFDQRTTHEERRLTLETLLHDEERYQETVHDVPSLQEVNRMIARSEDEVELFDQMDEEFDWTEEMTRYDQIPKWLRASTREVNNAIANLSKKPSKNILFGAGYGLESSELGSDSSLRTERKRGRPKGKKIPNYKEMDDDNGEFSEASSDERNGYSVQEEEGEIAEFEDDEYSRGIEATQLNKDQMEDGPDCDARYDYPRDGARNNHLLEEAGSSGSSSSSRRLTQMVSPVSSQKFGFLSALDARPSSLSKRLPDELEEGEIAISGDSHMENQQSESWIHDREDGEEEQVLQPKIKRKRSLRLRPRPPAERREEKIYNETQSLQYGDSSSPSPFLADHKFSKFKNDPEAKPYGDSNSLKHEQNESSSKNRRNLSARRVAPSSKLHSSPKSSRLNSVTRSADDAVEHSRENWDGKQSNTGGNSGFGSKMPDIIQRRCKNVISKLQSRTDKEGHQIVPLLTDLWKRMGNSSLPSGVSNNILDLRKIDQRIDRLEYNGVMELVFDVQFMLKGAMQFYGFSHEVRFEAKKVHDLFFDILKIAFPDTDFREARNALSFQSPGSSAAATMRERPAGQIKRQKMVHDMDTDSGPPHKSLHRGPVSGEETRATRGHLIAQKETRFGSGSGSKDQYQIEEPPLLTHPGELVICKKKRKDREKSIVKPRTGSGGPVSPPPSGARGIRSPGLSSVPKDSKQSQGWPNQPQSANGSGGGPVSWANPVKRLRTDAGKRRPSHI >KGN58073 pep chromosome:ASM407v2:3:21090016:21092862:1 gene:Csa_3G483820 transcript:KGN58073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVREMEQDEENYRSQGSTPTSDNVSDKPPMNRQDKGPKAKLSRDDAAIKQPKDQPPTETEQMKERFAKLLLGEDMSGGGKGVSSALALSNAITNLAASVFGEQWRLEPMSVERKARWRKEIDLLLSVTDYIVEFIMVTRQRNDLHMNIPALRKLDAMLIDCLDNFKDQSEFYYVSRDSNESNKDNASKRKDDKWWLPTPKVPTNGLSENSRKFMQYQKDCVNQVLKAAMAINAQVLSEMEIPENYIESLPKNGRESLGDSIYRNITVEFFDPDQFLSTMDLSSEHKILDLKDRIEASIVIWKRKMNQKDGKSAWGSAVSLEKRELFEERAETILLILKHRFPGTPQSALDISKIQYNRDVGQAVLESYSRILESLAFTVMSRIEDVLYADYVTQNPSQASGKKNPSRETPVSSGTDKFPPGEETQNAAETPTSMTLLDFMNWGPDIADSEAKKESPPANSDNLPTEGEVKHVHKLQNIVTNNKLVSYLENIGGLKSPTARH >KGN56792 pep chromosome:ASM407v2:3:8829359:8839787:-1 gene:Csa_3G133920 transcript:KGN56792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQEEYTKEEIDWSYIEFIDNQDVLDLIEKKPGGVIALLDEACMFPRSTHDTFAQKLYQTFKDHKRFSKPKLSRTDFTICHYAGDVTYQTELFLDKNKDYVVAEHQALLSASKCTFVAGLFPPLPEETSKSSKFSSIGTRFKQQLQSLLETLNATEPHYIRCVKPNNLLKPGIFENNNVLQQLRCGGVMEAIRISCAGYPTRKTFDEFIGRFTILAPGVLKGSSNEATGCKRLLEKVNIKGYQIGKTKVFLRAGQMAELDACRTEVLGRSAMVVQRKVRSYLGRKNFILLRLAAIQIQALCRGQIARQHYEDIRMEAASIKIQKYWRMHFARCCYKRICTSAVAIQAGIHGMVARKELKFRRQTRAAIIIQSRCRQYLACMHYVRIRKAAITTQCAWRGRVARKELRKLKMAAKETGALQAAKNLLEKQVEELTWRLQLEKRMRADMEEAKTRENTKLKADLEEMRTQFQETKALLNEEREAAKKVVEQVPVIQEVPVVDNELITKLTTENEQLKAHVSSLENKIDETERKFEESNRLSEERLKQATEAESKIIELKTAMQRLEEKVSDLETEDQILRQQTLLKPPSRKMSGRIAIQPLENGHHDLLSNAPSKKYGTDADAKLRRSQIERQNEGMDALSKYLTQDLGYSEGKPIAAFVIYKSFLHWRSFEAEKTSVFDRLIQLIGSAIENQDDDELMTYWLSNTTTLLFLLQKSLKATPRKPPTPTSFFERMTQGFRSSSALPVGTLDVVRQVEAKYPALLFKQQLTAYVEKIFGIVRDNLKKELSPLISACIQAPRSSRGNILKSSGQENSSSPPSSSNSWSSIIGSLNDHLCRLQQNFVPNVLVQKMFTQVFSCINVQLFNSLLLRRECCTFSNGEYVKSGLAELEIWCSQAKEEYAGSSWDELKPVRQAVGFLVIHQKSRISYDEITNDLCPILSVQQLYRICTLYWDDNYNTRSVAPDVISSMKVMMTEDSNDEDSSSFLLDDNSRCAQI >KGN56180 pep chromosome:ASM407v2:3:4706567:4709932:1 gene:Csa_3G089000 transcript:KGN56180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHNKLFVKKTKSGKVMRSSVACLGASTSPILVLDTNVVLTQIGLLENSAIDDVVMLSVVLDEVKNKNLSVYNRVRALCSNPLWRFFVFFNEHHKDTYIKDMSGESKMIEMMEWYQNHLGGATCVLLITNDRENRRRAMEEGICAETIESYVRSLGQTHLLDLLVQSANEDANMEDVEDLRPSKRKVLYSEHKPMSEIASGLHRGIYHQGKLRVNRYNPFEAYVGSESIGDEIIIYRRTNMNRAFDGDVVAVELLSRDQWHEEKSLTIASMVGGLAGGRGQRRIRLGADDAPTAASSGDSSSSPRPAGRVIGIRKLNWHSYCGSLNPMSMPAGSGGFASAVFVSKDHQIPKIRIQTRQLENLLDKRIIVVVDSWDRLSRYPSEH >KGN57144 pep chromosome:ASM407v2:3:10913857:10917971:1 gene:Csa_3G165610 transcript:KGN57144 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sensor histidine kinase MSTASSSSVRKAGEAVPDQFPAGLRVLVVDDDPTCLMILEKMLRICRYDVTNCSRAEDALSLLRQNKNGFDIVLSDVHMPDMDGFKLLEYIGLEMDLPVIMMSVDDGKNVVMKGVTHGACDYLIKPVRMEALKNIWQHVVRKRKNEWKDLEQTCVDDVDRQQKTNEDADYSSSANEGSWRNSKRRKDDVEDPEERDDSSTLKKPRVVWSVELHQQFVAAVNQLGIDKAVPKKILELMNVPGLTRENVASHLQKYRLYLRRLSGITQHQSNLNNTFMSAQDAFGPPLNGLDLQTLAAAGQLQPQSLATLQAAGFGRSTAKSGMPMPLVDQRNHIFSFENPKLRFGDGQQPHLNGSKPMNLLHGIPTTMEPKQLANLQHSAQSHGNMTMQVSIQGGQSSSQLMQTPQPQARAQILNESTTTSVTRLPQTMQPSILPNGTTSAVLARTEFGNNNRGGGYNLVSPASTMLNFPLNQTAELPGNSFPLQSTPGMSSIVPKGRFPDDVNSDIKGSEGFGPSYDMFRDLHPQKPHDWDLQHVGVTFDTSQGSLDIPPSAFSHQGYASSQQNGQNRNTSTAGKAMFLLEEGSDNGNAQSMGQQLNPIFVDGSVRVKSERASDISSQTDLFSEPFGQEDLMSSLFKQQQGSIATAESELEWLFHHNTPV >KGN59949 pep chromosome:ASM407v2:3:35765436:35768545:-1 gene:Csa_3G855430 transcript:KGN59949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTILPSTIFVFFTFLLATEIVYSQSFIGINYGQVADNLPPPSATAKLLQSTSIEKVRLYGADPAIIKALANTGIGIVIGAANGDIPALAADPNFAKNWVNANVAPFHPASKIILITVGNEVITSNQDNLMNQLVPAIQNIQNALNSMSLGDIKVSTVHSMAVLRQSEPPSSGMFHPNYMTVLKELLEFNNATGSPFTINPYPYFAYRSDPRPETLAFCLFQPNAGRLDTNTNIKYMNMFDAQVDAIRSALNSMGFKNVEIVVAETGWPYKGDNDEVGSSLENAKAFNGNLIAHLRSMVGTPLMPGKSVDTYLFALYDEDLKPGPGSERAFGLFKPDLTMAYDVGLSKNGQSTPTSPKTTPVTTPSSPANNPSTKSPTSPKPKAGGGSWCLPKGGVSDAQLQANLDYACGRGLDCSAIQPGGACFEPNTIASHAAYAMNLFFQNGGRDPWTCDFSQSATLSSNNPSYNGCNYPGGST >KGN59659 pep chromosome:ASM407v2:3:33372261:33372485:-1 gene:Csa_3G836435 transcript:KGN59659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSVKNETTSKNRTSTKQHPRITKTTSTSSETDSDNHQRTYADVVTRGSSSDTKSLNSDSEETKGKMKIEEGKK >KGN59523 pep chromosome:ASM407v2:3:32494487:32498767:1 gene:Csa_3G824200 transcript:KGN59523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTCVSTHAKIVPAKKKHHRHHRRQSRKSKGMRKTNSIVEGHVKKRHSNAGGGGVVTDYAVSEFVHMDFEHGATTTCCRSEVTNSTFHLTQLQWQHSQYDANGICQEELWYDSVSLVDQSDSDEEFCSVHGDMIADGFPVVGNAIGNIPATGQVVQYERSACFVDNNCKYEEFCSESYLKIDGGKAKKLVGKENYEESSSTYAMVSAPGYGLSRLAKAEACGKKKTLLDRHSYGSFKGLKVDRQSHEDNNTSLRKLVSAASFNEKILNSQTPQPPQKMQSAVFRLSFRRRSCDVFETNEHCESKKYLYRPRAGHIIPRFKGEKPTPGCWCEIPPSTFKLRGPNYFKDRVKSPASNFSPYVPIGVDLFICPRKINHIAQHLELPNIEANATDVPPLLIVNIQLPTYPAAMFLGDSDGEGMSLVLYFRVSENFNNEISSHYKENIKKFIDDEMERCKGFAKESVFPFRERLKIMAGLVNPEDLQLSSTEKKLVNAYNEKPVLSRPQHNFFTGSNYFEIDLDIHRFSYISRKGLDSFRDRLRNGIIDLGLTIQAQKPEELPEQVLCCLRLNKVDFVDQGQLPTLVTIEEED >KGN56957 pep chromosome:ASM407v2:3:9780861:9782856:-1 gene:Csa_3G146430 transcript:KGN56957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRPMPWNEQVDIISSGESSSSDSEAAIQNDGYEFKPSLDDFKIPAKEMTSEGMLMKRAEMYQEYMKQIQIPAQRGSVIPFTTWMGLGKSIKQLYGQPLHYLTNILLKQWDQLRLGSADEYKPLDTVIHPSKAEATVWLVEEIHRRTSSHHHVAKLWLSDPMHQAYVDSIFPQL >KGN59290 pep chromosome:ASM407v2:3:30829484:30830803:-1 gene:Csa_3G806220 transcript:KGN59290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDEIFDAMNLDLNLGPIPEPLSNSVPNEDVNLADLTEESVHRFGETIRFRTRQRWRRRQVQIPPETQNITMELSEIIVNSGNGNSLQPLQPGEGSITAEERKNETGKTCEINNEALEDGKTENKSDVEKGSDSDGSFFDCNICLDLSRDPVVTCCGHLYCWPCLYRWLHLHSDAKECPVCKGEVTTKNVTPIYGRGSNTPVTEEDATLKIPPRPHARKVESLRQTIQRTPFSFPVEDMIRRLGNRFDFNRDLDQPPEPDSSNETFGRSPTLLNRILTSRGIRRERISLPHDDVGDLAHTDVSSIEAGETRLQSLPVLRSLLHRTRVSSLTSAFNSADRLPDGFLYTDPLIPRSQEQPPPPVEDRDSFSSIAAVINSESQMDTAVEIDSMVSISTSSSRRRNDALQVSDVDSGNSRAPRRRRLA >KGN58584 pep chromosome:ASM407v2:3:26376306:26380298:-1 gene:Csa_3G690810 transcript:KGN58584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAPDRYERFVVPEGTKKVSYERDTKIINAASFTIEREEHTIGNILRMQLHRDENVLFAGYKLPHPLQYKIIVRIHTTSQSSPMQAYNQAINDLDKELDHLKNAFEAEFAKFSRDY >KGN56988 pep chromosome:ASM407v2:3:9904139:9904640:1 gene:Csa_3G147220 transcript:KGN56988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNFSFKTVGVLLVFCLPHWMLGKLYGIGKVLLTALNTRCIARAPRIHSLGILLDGKNSSRAVSSLISFAVCIVERAVLHIMENINPV >KGN59252 pep chromosome:ASM407v2:3:30541285:30552927:-1 gene:Csa_3G790450 transcript:KGN59252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSSWFSRFRSSSNSLYHSLFRGASFQNLGAQTSVISRTSSSQNGGRRFLMWSTSVVPFALAGSLAFQFQLQSHSSFCDDSDIHDRFGGKDSTEYVVKGSHNDISQPFIDKLGRICKDNMTLDYDERYFHGKPQNSFHVAVNIPDVVVFPRSEEEVSEIIKLCDQYKVPIVPYGGATSIEGHTLAPNGGLCIDMSLMNKVKALHVEDMDVTVEPGIGWMELNEYLEPYGLFFPLDPGPGATIGGMCATRCSGSLAVRYGTMRDNVINLKAVLANGDVVKTASRARKSAAGYDLTRLMIGSEGTLGVITEITLRLQKLPEYSVVAMCNFPSIKDAADVAIATMLSGIQVSRMELLDEVQVRAINNANGKNLPESPTLMFEFIGTEAYSREQTLIVQQIVSEHNGSDFVFAEDPEAKKELWKIRKEALWACFALVPHFEAMITDVCVPLSHLAELISSSKRELDASSLVCTVIAHAGDGNFHTVILFDPKEEKQRKEAERLNHMMVYKALSMEGTCTGEHGVGTGKMKYLEKELGLEALRTMKRIKTALDPNNIMNPGKLIPPHICF >KGN60194 pep chromosome:ASM407v2:3:37559498:37569332:-1 gene:Csa_3G888530 transcript:KGN60194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWGNIYRRRMKVFTLALVIYLDYKALEQREKWISKSKRAALWEKAHERNAKRVLSLIIELEGLWVKFGQYLSTRADVVPEAYIRLLKQLQDSLPPRPLQEVRQTIQKELGKPTTDIFTNFVEAPLATASIAQVHRATFLDGREVVIKVQHEGIKTVILEDLKNAKAIVDWIAWAEPQYDFNPIIDEWCREAPKELDFNLEAENTRTVSRNLGCSAGDKGLGTVNVFIPEVVQSTEKVLILEYMDGIRLNDSASLEAYGIDKQKVVEEITRAYAHQIYVDGFFNGDPHPGNFLVSKEPPHCPILLDFGLTKKLPTTMKLALAKMFLAAAEGDHVALLSSFAEMGLKLRLDMPEQAMTVTNVFFRATTAAKESHDTFRAMTEQRSKNVREIQEKMKMNQKEAKRFNPVDAFPGDIIIFARVLNLLRGLSSLMDVRIVYLDIMRPFAEFVLQGSISKEPNVNDQWIWKTPVHSDVEAKLRQLLIKLGNEDKILGIQVCAYKDGEVIIDTSAGFLGKYDPRPVQPDSLFPVFSVTKGITAGMLHWLVDNGILILFYIYILLSRCLASFIKTTFFFVYHVLNHTSGLHNASVDVRENPLVICDWEECLNCMANSTPETEPGQEQLYHYLSYGWLCGGIVENATGKKFQEILEEALVKPLHVEGELYVGIPPGVETRLATLTPNLDDILKFSGISRSDLPSTFQPAMIAQFITTLTPLFNMLNTRRAIIPAANGHCSARALARYYAALADGGVIPPPHSSSSQPALGSHPHIPKFTSEIPKKQKAARSKDVGNVNNNHEKNSSSTETAENNNIFRTTSNTGYTRLLNDSSSSSNLNDPSTRVDTRHPNDGNKFVGKIYKDPRIHDAFLGIREYENYTIPNGKFGLGFSRLRSEDGSFIGFGHSGMGGSTGFCNIDHRFAISVTLNKLSLGGVTASIIQLVCSELNIPLPVEFSSPGISDGQHSIVETPLIN >KGN60271 pep chromosome:ASM407v2:3:38169189:38178020:1 gene:Csa_3G892725 transcript:KGN60271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVKDDKMDENWWHLYDKLWIVISEINGKGPNKTFPKSSTSGGPTLGQRARGLVESLNLPAAEMAAVVVSGGLGSALGGKPNRIVDKAMVLRSEKFPRIILRLVMLYICKSPLGKASRCAQQFISLLPSLVVADDEQNKNRLQLFIWSLLAVRSQYRMLNNDARIHVISHLIRETVSYCKSILANSLVSADDSSDTSVFLKETGYIHNLIQKERVSAAIADEANYMKTSKIDHEKQLHDLRIRMEDTFSNESNSQKVFEDEMQGSLTSILIADDNRRAAFQLAYEEEQQNITEKWMHMFRALIDERGPWSANSSPNISSTHWKLDKTEDMWRRRPKLRKNYHFDEKLCHTPSNSPGADITNAENENKSSIVAHIPEQMKRFLLKGVRKITDEGNSEPIENDAEQCEPNASILKNSSDGQYPELSKDIGDWKDTVQDRKDTSLFSPVTGESEVLMSTPCIFVTPKRKLAGRLAVMKNVLHFFGEFLVEGTGGASTFKNFEVLKSSNLTKLNQRQKSLKCPLYLQSDSRKSTAVDNMENDDGYLKRPLKNVRRHRRWDIGKIKGVHWTRYLLRYTAIEIFFSDSVAPVFFNFDSPKDAKDIGTLIVSSRNDYLFPKGSSRSQSGVISFVDRRVALEMAETARESWRRRDITNFEYLMILNTLSGRSYNDLTQYPVFPWVLADYSSEVLDFNKSSTFRDLSKPVGALDLKRFEVFEDRYRNFCDPDIPSFYYGSHYSSMGIVLYYLLRLEPFTSLHRNLQGGKFDHADRLFQSIEGTYRNCLSNTSDVKELIPEFFYLPEFLCNSNHYHLGVKQDGEPIGDVVLPPWAKGSPEVFISRNREALESEYVSSNLHHWIDLVFGYKQRGKPAVEAANVFYYLTYEGAVDLDTMEDDLQRSAIEDQIANFGQTPIQIFRKKHPRRGPPIPIAHPLYFAPGSINLTSIISCSTNPPLAILHISMLDTHIVLVSQGLVLTVKMWLTTQLQYGGNFTFSGSQEPFFGVGSDVLSPRKIGSPLAENLELGGQCFATMQTPVENFLVSCGNWDNSFHIISVADGRLLQSIRQHSDVVSCAAVTSDGSILATGSYDTTVMVWKVLRGRSTEKRVRSTQSESPRKDYVIAETPFHVLCGHDDIITCLYVSVELDIVISGSKDGTCIFHTLREGRYIRSLHHPSGCGLSKLVASRHGRVVFYADDDLSLHLYSINGKHLAASESNGRLNCVELSQCGEFLVCAGDHGQIVVRSMNSLEVISRYNGIGKVIVSLTVTAEECFLAGTKDGSLLVYSIENPQLRKTGLPRNTKSKPSAVG >KGN57215 pep chromosome:ASM407v2:3:11436643:11437482:-1 gene:Csa_3G171230 transcript:KGN57215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASWKKTIISPFRKACTIFNQNPRDHPKKSHSDSEQEKRVDVRNLQGEVMACGYEDVHVMWSILDHKANSAATTATATCNVSS >KGN59302 pep chromosome:ASM407v2:3:30889313:30895872:-1 gene:Csa_3G807320 transcript:KGN59302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDSLTNVHRKQCTYELSDEQYKIQKETYKGQQYSHIYFARLHLMRTILHSLVSNWKPHLPVSTVLGLEEGKECVIVGTVYKHMKLKPTILDEYSKERSAIPLVKPHNFMHPDDHLVLEDESGRVKLSGDVLLPSVYVTGIVLALHGKETSVGDFLVLDILEADLPPQIERPLISSEDKYVIFVSGLSIGSSISNPLQFQLLVDHITGHLGDEKEQGIAAQIVHVVICGNSVEIPRGILNGQHLSAKDQTKLTEPLKELDILLNQLAAGLPVDIMPGSSDPANFSLPQQPLHRCLFPGSSAYNTFRSCTNPHCFELDNFRFLGTSGQNVDDLEKYSEAKDKLEFMERTLRWRHLAPTAPNTLGCYPFTDRDPFLIESCPHVYFIGNQEKFDTRLMKGAEGQMVRLIAIPRFCETGIAVMLNLRNLECHTLSLGTEFS >KGN57876 pep chromosome:ASM407v2:3:18411214:18416870:-1 gene:Csa_3G359130 transcript:KGN57876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQVVATRSIHSTFLCPSSGSVQERLDRARSFGVGSKVLAHEKKTWNFSYRRSLITSKKAAQAEVVPVSPQDSKRADEQLEHIQAVQQLGDTPVGIWSKPTVRRKTKIVCTIGPSTNTKEMIWKLAEAGMNVARLNMSHGDHASHQKVIDLVREYNAQSQDNCIAIMLDTKGPEVRSGDLPQPILLESGQEFTFTIRRGVSTADTVSVNYDDFVNDVEVGDMLLVDGGMMSLMVKSKTEDSVLCEVIDGGELKSRRHLNVRGKSATLPSIIEKDWDDIKFGVDNKVDFYAVSFVKDAQVVHELKKYLKSCDADIHVIVKIESADSIPNLHSIITASDGAMVARGDLGAEQSPTPKPPNPQTPKPRTKRSFGYPRVEEDEEIINLCRGMGKAVIVATNMLESMIVHPTPTRAEVSDIAIAVREGADAIMLSGETAHGKFPLKAVKVMHTVALRTEATIEGGRMPFNLGQTFKNHMSEMFAYHATMMSNTLGTSIVVFTRTGFMAILLSHYRPSGTTFAFTNDKRIQQRLALYQGVCPIYMQFSEDAEQTFTDALTMLQSQGMVKEGEEVALLQSGRQPIWRFPSTHNIQVRKV >KGN57104 pep chromosome:ASM407v2:3:10701272:10703359:-1 gene:Csa_3G154280 transcript:KGN57104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNEEGKITELYIPRKCSATNRLITSKDHASVQINIGHLDENGIYTGQFSTFALCGFIRAQGDADSALDRLWQKKKVEVRQQ >KGN59399 pep chromosome:ASM407v2:3:31617608:31628573:-1 gene:Csa_3G816120 transcript:KGN59399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKMSVISGVISRQVLPVCGSLCFFCPALRARSRQPVKRYKKLIADIFPRNPEEGPNDRKIGKLCEYAAKNPLRIPKITTSLEQRCYKELRNENFQAVKVVMSIYRKLLVSCKEQMPLFASSLISIMQTLMDQTRQKEMQIIGCQTLFSFVNSQSDGTYMFNLEAFIPKLCQIAQDSGDDEGAENLCSAGLQGLSSMVWFMGEYSHISTEFDNIVSVVLENYGAPGNKSNSNDRWVQEVQREEGHISSSSVVTMNTPSWREIVTERGEVNLTGENVQNPCFWSRVCLHNMAKLAKEATTMRRILESLFRYFDNENLWSTKHGIAAPVLKDLQFLMDKSGQNTHVLLSILIKHLDHKNVLKLPNMQLDIVAVTTALAQEAKAEPSIAVISAVSDCLRHLRKSIHCALDDANLGDDVKNWNKSLNQAVDQCLVQLIYKVGEPGPVLDAMAVMMESLSTIAVISRTTISAVYRAAQIVASLPNLSYQNKAFPEALFYQLLLAMVHPDHETRVAAHRIFSVVLVPSSVCPRPCSSDLESITPSDLPRTLTRAVSVFSSSAALFQKLRNEKASSLENGLPDMKDSSLLDGEQESVNNGMLSRLKSSYSRAYSIRSSGPLRTDATTTDGLSKEPETYSLRLSSRQITLLLSSIFVQSISSANLPENYEGIAHTYSLILLFSRAKAEFSSIKEQLLSEFLPDDMCPLGNQLSDKTSNKSAHFFNIDEESFADSIESQTKDNQELHFVIPLLSVNQFLESVLETTHQVGRISISTTTDVVPPFKEMAQHCELLLMGKQQKMSSLMCSQQKQETVMLVSLQNQENEVGNPFIEHFTANSHRPPLGQIVTPCVTEYQCQTHSFRLPASSPYDNFLKAAGC >KGN59458 pep chromosome:ASM407v2:3:32064218:32065659:1 gene:Csa_3G822100 transcript:KGN59458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMAADSQFHVLAVDDSLIDRKLIERLLKTSSYQVTTVDSGSKALEFLGLIQEHQPTNFKSSSNSSFSSPNNHHQEVEVNLIITDYCMPGMTGFDLLKKVKESTSLRNIPVVIMSSENVPSRINRCLEEGAEEFFLKPVRLCDVNKLKPHMMKTKVKEDQNREEGEEESRTETELKLVQEEEGEIEFEMMSKKRKGGTEEEEEEGLEEEMRRRRRRYNNNGVATAI >KGN60274 pep chromosome:ASM407v2:3:38188853:38195759:-1 gene:Csa_3G892750 transcript:KGN60274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANEILQLDIQDHHVVMDNGILQVTLSKPDGNVTGIKYNSIDNVLEVDDKEAHRGYWDLVWNSGTPGTTGTFDEMRGREFEVMVQNEEQIELSFKRNYSFKDKAVPLNIDKRFIMLRNSSGFYSYAIYEHMREWPPFNLPQTRIVFKLRKDKFHYMAIADDRQRFMPLPEDRLRHRGKPLDFPEAVLLVNPKEPEFKGEVDDKYQYSCENKDLKVHGWISMDPPVGFWQITPSSEFRSGGPLKQNLTSHVGPYALAMFLSAHYSGEDLVLKVSPDEPWKKVFGPVFFYLNSVSDGGDPLSLWEDAKTQMSKEVQSWPYSFPASEDFPPPNQRGRLTGKLFVLDRYVNEEKMPATDAYVGLAAPGDVGSWQTEKKGYQFWTKTDADGSFSIEHVRIGDYNLYAWVPGFIGDYRYDVLINVSSGSDMDVGDLVYEPPRNGPTLWEIGIPDRSAAEFYIPDPDPKYINKLYINHPDRFRQYGLWEKYAELHPDADLVYSVGVSDYTKDWFFAHVNRKRNDGSHLATTWEIKFRLDNIIDKGVSYTLRVALATANVAELQIQVNNPEAKPSLFTTGVIGHDNAIARHGIHGLYRLYSVDILGSLLVEGENTIFLSQIINNSSFNGIMYDYIRLEGPPSDLIPLNNS >KGN59567 pep chromosome:ASM407v2:3:32724500:32724933:1 gene:Csa_3G825120 transcript:KGN59567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFNTLSRREHQALCKRNKIPANITNVIMADTLPSVERIKEFFNKARDTRESENRDLIVASTTLSFPGGWRRTVAASSACKKVDFQMMVDDQ >KGN59625 pep chromosome:ASM407v2:3:33148819:33157311:1 gene:Csa_3G829150 transcript:KGN59625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNTHSSFTFQPLTDQFPSPFTFFPSHFHPTLISRPPKSSRFLLRCCSIDSETLPKSAIQRIADKLRSLGFTESPPEPLPDPNSPSAPGAIFVPLPNQLPKYRVGHTIDSSWSMPENPVPEPGTGTAIKRFHELRGEVQKWKKRDGVREKKREERAPSLAELSLTEEELGRLRTIGIRLKKKLNVGKAGITEGIVNTIHEYWRRSEVVKIACEDLCRLNMKRTHDLLERKTGGIVVWRSGSKIILYRGPNYIYPYFSHEILEDEGSQDALPASHSDDGGNSETESTLSCINDERSAGPTSSVKMPSPTLIQGVGAPNRVRFQLPGEAELAEDAESLLEGLGPRFSDWWGYDPLPVDADLLPAIVPGYRKPFRLLPYGVKPKLTNDEMTSLRRLARPLPCHFALGRNRKLQGLAASIIQLWEKCEIAKIAVKRGLLTGGTLLSRDREFIVLYRGKDFLPFAVSSAMEQKRHMRLHEMKQTDNSPATTGQGLKLEINENGPTNESQSITGWKKIVSERRKLMSSETSMRKTSIKLSIALEKKAKAEEFLAKLEEEEKLQQPEIDKEGITVEERYMLKKVGLRMKPFLLLGRRGVFDGTVENMHLHWKYRELVKIITNERSFKTVHDVARTLEAESGGILVAVERVKRSFAIIIFRGKNYKRPSRLRPESLLNKKEALKRSIEAQRRKSLKLHVLKLTQNVEELKLKLDEDKRAIGMESIKTSTFQQGKEGIDEIQTTGSLKLVADSACLTHAENSTCLEENEVAKVKKGHGTHSSGTICLDTSVNRLQTTNDVFLIHNGDQSNATVRPSFESVRQGNHAKVPMDTNAEFGTIEPQSGANSLSGESNSGTSDAVHHVAMNKDTKPSVRLEEEKSPPLLSSTRINQPGYFPANVPQLSNKERLLLRRQALKMKKLPVLSVGKSNVITGVAKAIKEHFKKHSLAIVNVKGRAKGTSVQEIVFKLEQATGAVLVSQEPSKVILYRGWEEEDRKQKATMMKNSGEDRLSMSSELMAAIRIECGLR >KGN57693 pep chromosome:ASM407v2:3:15753278:15768258:1 gene:Csa_3G253500 transcript:KGN57693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNLIVTSSCNAVRPFPASSNPGILFPSAAAAINSDYPNPYFHNCKERHINTFHNHLYFNSTSSSFSSSTTTSSCLLRPPFAGSFLSSSLSTFSSSLTRIRGSDGDGRRDKNLSMGGLTDVAALESSAARKIPRLNAAVLGESPATEDDQLIFPSDLFSSNAHISSYHQYLEMYKRSIEDPAGFWSDIASSEFFWKQKWDQQVYSENLDVRKGEIKIEWFKGGITNICYNCLDRNIEAGLGEKIALYWEGNEPGFDGTLTYIELLHKVCQLANFLKDKGVRKGDAVVIYMPMLMELPIAMLACARIGAVHSVVFAGFSSESLAQRIIDCKPKIVITCNAVKRGSKAIHLKDIVDAALIESAQNGVSVATCLSYENESALNRESTKWKEGRDIWWQDVVPTYPTTCPVEWVDAEFPLFLLYTSGSTGKPKGVLHTTGGYMVYTATTFKYAFDYKPSDVYWCTADCGWITGHSYVTYGPLLNGASVVVFEGAPNYPDHGRCWDIVDKYKVTIFYTAPTLVRSLMREGNEHVTRYSRKSLRVLGSVGEPINPSAWRWFFNVVGESRCPISDTWWQTETGGFMITPLPGAWPQKPGSATFPFFGVQPVLVDEKGNEIEGECSGYLCLKSSWPSAFRTLYNDQERYEVTYFKPFPGYYFTGDGCTRDKDGYYWLTGRVDDVINVSGHRIGTAEVESALVSHPQCAEAAVVGVEHEVKGQGIYAFVTLVDGVSYSEELRKSLILTVRKQIGAFAAPDKIHWAPGLPKTRSGKIMRRILRKIASKQLDELGDTSTLADPGVVNQLIELADS >KGN56197 pep chromosome:ASM407v2:3:4888747:4892235:-1 gene:Csa_3G099580 transcript:KGN56197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSFSATLLAFNLALLLFRSAAISDSLTAQNPYLRDGLSLVSTNGTFELGFFSPGLPSNRYLGIWYKNRRGPTSVWVANRKTPISGSSGVLVMNITTGNLTLFGHNSTVVVWSARLLRKVPNGVLQLLDTGNLVLRDRDDENPQNYSWQSFDYPSDTLLPGMKLGWDLRYNIERRLEAWNNLNDPSPGDLSWRMELHEYPETVMWKCSRKYVRHGPWNGVRLSSRPLAAAPILNFNFVSNENEVYYQISVVNKSHSVMLVMNQSTYTRILYLWSAAERRWRVYTSLPRDYCDNYALCGPYGYCDIRVTPSCKCLEGFKPRSPDTWKTGEFADGCERNKLMNCGDEVGFAHLNQMKLPDTKHTWVNKSMNLEECRQKCLRNCSCMAYANTNISGSGSGSGCALWIGDLIDLKLIPDAGQDLYVRMLASELVMHRKAHKTGRLNSKVKIALFAISGFGLAIIFFIGVYIFRRRSTIKDGHEKIEAHHLELPLFDLSLINSATDNFSLNNKLGEGGFGPVYKKYIYKSLNSRVSLQMDKMLHIWCLGVYLSFLLDLVNFKLTDKTQRQLLSWSNRYRIICGVARGLMYLHQDSRLRIIHRDLKASNVLLDMDMNPKISDFGLAKTCGGDQTGGRTLRVIGTYCLLQFFYSKFYDWKKNSTLHFLNDRHGDYGKKEICINISLLCVQQHPNDRPTMSSVVMMLGCEIPLSQPKQPGFFIENEGIEMKRCSSEDKSTSTNELTVTLPDPR >KGN59875 pep chromosome:ASM407v2:3:35141918:35152593:-1 gene:Csa_3G851760 transcript:KGN59875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLSASPSTIARSSLEEMLDSLRRRDEIERPKDLPPALPSRPTSKARIPPVKRALPVNFRVNDDGSSECSINVFNGKEDAIRKENGLGNFAFRRTNRDQDDESPYMVASKNDNRDQVNVASALLSHIRSSNWEDNISYFLQKKLRVWCQLPTGQWELGTIQSNSGMEACIMLSNKKVVKVSTVDLLPANPDIVEGVDDLAQLGYLNEPSVIHSLQRRFSQDKIYSNAGSVLIAINPLKDTKQYGNELITAYRQRVMNNPHVYVIADSAYSAMMQDEVNQSIIISGESGAGKTETAKVAVQYLTALGGGNGIDDRIPQANVILEAFGNAKTSRNNNASRFGKLIEILFSRTGKICGAVIQTFLLEKSRVVQLVNGERSFHVFYQLCAGAPSTLKEKLNIRVPSEYSYLNQSECLVIGGVDDARKFHTLVEALDILKFTKEDQEHAFGLLAAVLWIGNITFQTIDSENHVEVMANEAVANAAKLMGCSPNELKLVLSTQKVQSGNNSIATKMTLRQATDARDALAKFIYASLFDWVVEQINKSLKPRTEHSGRSINILDFYGFESFKKNGFEQFCINYANERLQQHFCRHVFKLQQEDYELNGVDGTKVNFEDNLQCLNLIEKKPLGVLALLDEELNFTKATDLTFANKLKQHFKSQPHFKGERGRAFGVRHYAGEVVYDTNGFLEKNRDLLHSDAIQLFSSCTCKLLQLLASKMINQSHKPTVSMCSTKIVESPEPGVGTKYKVLLFDLFHKLESTNHHFICCIRPNRNQVGGSFEEDLVLQQLRYFGILEVVRISRSGYPTRMTHQEFAGRYGFLLKETSVSQDSLSISIAVLQQFNVHPEMYRVGYIKLFFRTGQIRALDERKKQVMQGILGIQKYFRGCHARGNFHDLKQGATTLQSFIRGENARRRCTVKRFSFVVYAFSVPKKVYEVQAVIRLQSVIRGSLARKHLSMLDSKKFIENKKSKLNKGRRVSEEKFQERAQSLPTSLTELQKRVVEAEATIEKKEGENAALREQVKQFESRRLEYEAKMKSMEDMWQKQMASLQMSLAAAKKTLAAENAAPPGRVDAGNSPPHYYDSEDMTSMGSRTPGGTTPTKASGISEGGTGREMNGTVVAVNNLVKEFEQRKTAFDDDAKALIEAKSGSDANPDEEYRKIKARFEAWKKEYKARLRETKAKVHHKHGHFEVDRLRRKWWGKFSSKAS >KGN58967 pep chromosome:ASM407v2:3:28610726:28614581:-1 gene:Csa_3G740110 transcript:KGN58967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSALRSAYFIFSHSLNPNPNPKAFPLIFCLHSLPFISVSRLPLRVSRQEQPSRSVRTSTFCSSSTMAGTDANSLASSSSVEKQFEHFRTQLQDSGSLRDRIRSVAMEIESSTRLIQASLLLVHQSRLTPEVLEKPKSQVGLLKSFYNQLAEILRESPGLYYRYHGDWRSETQTAVSLLAFIHWLETGELLLHPEAEEKLGLNESDFNLDVEDYLIGICFMSNELPRYVVNQVTVGDYDCPRKVLKFFTDLHAAFRMLNLRNDFLRKKFDGMKYDLRRVEEVYYDVKIRGLLTMGD >KGN58275 pep chromosome:ASM407v2:3:23433695:23436191:1 gene:Csa_3G604110 transcript:KGN58275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCDPTTMIPIKNTRPRRRLRVRKLRYKSQRHLHAAQETERNQLRDNGPSDSNSDRLLLSQNESFSAFHSLLYSDMSIIGRRKEMEDEVSVELGLTAINDEKYNFFAVYDGHGGAQVAQVCRERLHRIVAEEIVGWGEMDEAEWGRLMEKCFQRMDDEVKRGAAAMKTVGSAVVAAVIGKEEVVVANCGDCRAVLARDGIALPLSDDHKPGRADELKRIESAGGRVINWNGYRVLGVLATSRSIGDEYLKPFVISKPEVTVTKRTDNDEFLILGSDGLWDVVSNEIACNIVRRCFGGKLKRLSLKVENDSHVAEAAAVLAEHAVARGSKDNISVIVVDLRKPKRSSP >KGN56208 pep chromosome:ASM407v2:3:4965088:4966520:1 gene:Csa_3G099690 transcript:KGN56208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVSMSSVHKPASGALDLKLKKGRPMKRTKNVRKTKAIAKAISKSEQSMEKILKNHSKTTRIQSAKTLYE >KGN57658 pep chromosome:ASM407v2:3:15347741:15350164:1 gene:Csa_3G238730 transcript:KGN57658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQSSSSSTSHGLNFFPFLPLPRLSLAADHPRHASYYPLPLPVYLWGEIWLFFIRIEAMTPTASVASHGEPKWEFSCDFEVDYESEKKASIVYKALVVDKELQPDKVKRAMSNSDGKLSIHFEAVEARFLRASFSAFVDVLTLATKTIEDFGQEEDF >KGN56640 pep chromosome:ASM407v2:3:7950382:7952070:1 gene:Csa_3G127030 transcript:KGN56640 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-dependent protein kinase MAELEDSTVMAKLIDFLSCLLQRVAESNDRNLSVNLQPHKISAFHGLTRPSISIQSYLDRIFKYANCSPCCFVIAYVYLDRFVQRQPSLPINSFNVHRLLITSVLVSAKFMDDTYYNNAYYAKVGGISTTEMNFLEVDFLFGLGFHLNVTPTTFHSYYSYLQRQMLLLQPPLTSSASTKSDLLLTSSRALKSHFCFDEDEASHKKQQLAAV >KGN59249 pep chromosome:ASM407v2:3:30523211:30525725:-1 gene:Csa_3G785430 transcript:KGN59249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSKTLFSRAMKRKKRKKVSDRKIRSKPVSRDRNSVSEKSQIQKCNEDDNEFGFGLKSSAPSSNHGVQPLGNLYLASGTINTRNIGLGNIQILTDELVLDVLGFLDATHLGVLACVSKSFYVFANHEPLWRNLVLDNVKGGFLYNKTWKSTYLAAFYSSFDDSVVDISGLRVRDFYSDYLFQSWLCANLEMKPEWLERDNIIRRKRISVEEFVLNFEEPNKPVLLEGCLDNWVARKKWNRDYLIQLCDDVRFSVGPVDMKLEEFFLYSDQAREERPLYLFDPKFADKVPRLGSEYDVPEYFREDLFGVLGMERPDYRWIIIGPSGSGSSFHIDPNSTSAWNAVIQGSKKWVLFPPDVVPPGVHPSPDGAEVACPVSIIEWFMNFYAATKTWEKKPIECICKAGEVIFVPNGWWHLVINLEESIAITQNYVSRRNLLNVLDFLKRPNASMLVSGTKDRVNLYDKFKNAIDASLPGTIDQLARKAEEKAAEQKKLSFWDSVADSNAGAFKFSF >KGN56909 pep chromosome:ASM407v2:3:9433212:9440486:1 gene:Csa_3G143500 transcript:KGN56909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEDYKSIEPGTDLGLGLGYTDQYIQGRLTNRSGVGANAGSMVDVKYVTTDSLSELVWSPHKGLSLRCADSSFNNRKTSILWDAAANKANFALPQSVIAEKSTSNNLLDNRTIILSQAESHLKNISEGKQTSNRTSSDDAACMTSEVQMTLDKGVGNFANETLSRADVAVVCFKEEDLLATGEVDITNAGNILVDEVLTIGKNDCSSVSINRINEVSMEQGEPELDKLQHELLDMDPVRGDKNEDKYISAGKVVLRPLDMFEPTVSRPTFLGKLESSAENDSQNMNGKNAGCEGNKILVTVTDSSHEVRGSNQQEEKDNCNDGVDSASPSSCRMHWIQRKGKEKALSDGDVHGRMLKKDDNSYGSVESCNSAFRSTSKRRWSFEQRLIVGNKRAKKQDGNASGPTSNLGQDSSFMIWISNMMKGFSESIQDEAPTLDLTLAKCDVEQGGPNEEPIYKKINAPGFSGIGFQSIFRSLYNPTMRGEEGAPSATCQAKQEAKGIEIIKNSCDLNATPIACFGESDHFGKQLLLNNENATDLISGNGPTLLIQLKNSPEISCGSHQSHKTRSQGNQNSSNLVSAAGTGEVMHSALGKCKSNGTENVDCDQLCGKINHTTGNVSDPLKSLWISRFAAKASGFTSNPETSNLNTKDDSQCSMHSPRHMPCPQNHIDHHSMDDLDTAVSKEQHNIANTETSPGHKEFKDHSEQKSISKFKSALRSPKIRSPEAMASVFARRLGALKHIIPSDLTINVGNETVTCFFCGTKGHNLHNCSEITEREIEDLSRNIRFCNETVDPPCSCIRCFQLNHWAIACPLAPARCQQQSDSHVSLADRYDSVTEQVKSAAISFPKCVPPRFPEKSLKGSEMVQVDSFVDNQNSNISHAVLNAVKKLRLSRSNVLKCMSSHTSLSLLDGFFLRIRLGKWEEGLGGTGYHVACIRGAQLTKNSISVIVRGVECQVQTQYISNHDFLEDELRAWWCTISRDGCNALPLAADLRAKVKKKRELGF >KGN57721 pep chromosome:ASM407v2:3:16148935:16152956:-1 gene:Csa_3G259710 transcript:KGN57721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKVQGDSALNRTTLLGICFNGVLKIEVFQQYEATALLCCLDLASMSCKTSLGSRSEHASCWFICLVASLVHLA >KGN57320 pep chromosome:ASM407v2:3:12204079:12207738:1 gene:Csa_3G179100 transcript:KGN57320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKGETPQQQDHYDSSSPKDPLDDSLETRSHGGSHHHHGHHHHLHRRHQHQHHDSSLIVATPFISTPLYLSTTTTSNTTPFEAVNPKRTRYTAGQWKLLPSPTTSQPAIPVVGSDSSASPSQRRPGATSNVGPASSSDTTSSPSHSPLPARSKGEGESQNQAQYRKGKYVSPVWKPNEMLWLARAWRVQYQGGGSNEIVGGIVVGQGGRGIGKTRADKDREVAEYLQKHGVNRDAKTAGTKWDNMLGEFRKVYEWERGGEREQLVGKSYFRLSPYERKLHRLPASFDEQVFEELSQFMGSKMRNKPTPILPLTTSLPPPPPFRDHHNHLPLPSRAKEVFGVDYGSVDASCHRRIGKVRMVWEESVSLWGEDQGVGGEEQRLGGRIRVEGCGFLNAEELTFFDESMVACTLESYDHGPLKGFSVDRFVSGQQIKVFGRRKPPSLTPFYTSTAPPHRLSILHSTELPSRSNTSWDYQDPTEYYVGCLRIPPISLPSLSELSWHIQDPPSEELRFPVRKDTYAYLPQGKEVMFTTTTEMLDCKSFIYEIICPIIRTNPCITTPSSRDSFISLWDDCINRLVSEFCCMEMQLIRKPNNAPSSSSTTTDNLLDKWPNITGFIRNFCLWRGEETDQIKDNGLNNNPSSSLVDKLLWTYLDIPYVLGYYAIGYLVTFCALSRGLDNRIIRTDLYSLDLSSPSERLKALVPCYRIGGILTLLAEQCNKLGISSDFERIDMGNGIVVEMTPNLITKFFSCRRKWTAVKEIYDFLDQRIPHSEFIIGSIEKDLALVFKPRVCKLRPTNYEQLIEALKNVTKALVALHDLCFMHRDICWEKVMKKRRDHNDEDENEEEDEEMKRVKGEWILCGFEEAVGAPQIYPYTAASGRHAPEMERGLHGVKVDMWGVGYLIQTCGLIGIPKMLMELQNRCMDQNPEHRPTAADCYHHLLQLQSSLSGAAGGSGGLM >KGN56499 pep chromosome:ASM407v2:3:7138674:7141419:-1 gene:Csa_3G121740 transcript:KGN56499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACRRFAACSFQSFKPYTKISEYFDRVLRPNVVLNKRYYKDESHSFKRATVRGLHIPSYGTHRIDTFLPASSMKYWNTIPLTCFGNLSNYRNYSSASGRKADISGDTGVPAASSGVEPDISNPPDVGRDLLEKVKDVWQSAVDAASFTGQKAKEVSDELSPHVDKLLDSHPYLKNVIVPVSMTLGATLLAWLVMPRLLKRFHKYSMRSPVAIISGSLPSEEIPYEKSFWGALEDPLRYLVTFFAFSQIGVIVAPTAVAPEFVSQACRGAVILSLVWFIYRWKTNVLSRALATKTFAGLDRDRLLTLDKVSSVALFAIGLMALAEASGVAVQSMLTVGGIGGVATAFAARDILGNILSGLTMQFSQPFSLGDTIKAGAIEGQVVEMGLMTTSLLSAEKFPVVVPNSLFSSQVIINKSRAQWRAIVKRVPLQVDDISTVSQITDDIKNMLTSHPKVFLGKEAPYCFLSQIESTYAELTLGCNLRKMGKDESFSTEQDILLQSVQIIKAKGATLGSTMSDWTNK >KGN56890 pep chromosome:ASM407v2:3:9330487:9331208:-1 gene:Csa_3G141860 transcript:KGN56890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFGKQGSWDSSSDEYESQDHVHLKDDDNENDPTKRPYECTFCKRGFTNAQALGGHMNIHRKDRAKAKHRNFIHSSSSTHSNHDQFFPPIIPTQPTTPSYYNHPPPPSYRMYFDTAATNFPIHPQITSPAVVNQELLGANLSLEIDQTRVFVYSDGIKNGALTKSSYISSVLYNFVCVSQS >KGN58731 pep chromosome:ASM407v2:3:27418909:27422645:-1 gene:Csa_3G730950 transcript:KGN58731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNLFFSCISLIFNCFLFLSVGISLVSGRCPDDQHSLLLQLKNDLVYNSSFSKKLVHWNERVDYCNWNGVNCTDGCVTDLDLSEELILGGIDNSSSLFSLRFLRNLNLGFNRFNSLMPSGFNRLSNLSVLNMSNSGFNGQIPIEISNLTGLVSLDLTSSPLFQFPTLKLENPNLRTFVQNLSNLGELILNGVDLSAQGREWCKALSSSLLNLTVLSLSGCALSGPLDSSLAKLRYLSDIRLDNNIFSSPVPDNYADFPTLTSLHLGSSNLSGEFPQSIFQVSTLQTLDLSNNKLLQGSLPDFPSSRPLQTLVLQGTKFSGTLPESIGYFENLTKLDLASCNFGGSIPNSILNLTQLTYLDLSSNKFVGPVPSFSQLKNLTVLNLAHNRLNGSLLSTKWEELPNLVNLDLRNNSITGNVPSSLFNLQTIRKIQLNYNLFSGSLNELSNVSSFLLDTLDLESNRLEGPFPMSFLELQGLKILSLSFNNFTGRLNLTVFKQLKNITRLELSSNSLSVETESTDSSSFPQMTTLKLASCNLRMFPGFLKNQSKLNTLDLSHNDLQGEIPLWIWGLENLDQLNLSCNSLVGFEGPPKNLSSSLYLLDLHSNKFEGPLSFFPSSAAYLDFSNNSFSSAIIPAIGQYLSSTVFFSLSRNRIQGNIPESICDSKSLQVLDLSNNDLSGMFPQCLTEKNDNLVVLNLRENALNGSIPNAFPANCGLRTLDLSGNNIEGRVPKSLSNCRYLEVLDLGKNSIDDIFPCSLKSISTLRVLVLRSNKFHGKFGCQDTNGTWKSLQIVDISRNYFNGSISGKCIEKWKAMVDEEDFSKSRANHLRFNFFKFSAVNYQDTVTITSKGLDVELTKILTVFTSIDFSCNLFNGHIPAEIGELKALYLLNFSHNYLSGEIPSSIGNLSQLGSLDLSRNRLTGQIPQQLAGLSFLSVLNLSYNLLVGMIPIGSQFQTFSEDSFIGNEGLCGYPLPNKCKTAIHPTSDTSNKKSDSVADADWQFVFIGVGFGVGAAAVVAPLTFLEIGKKWSDDTVDKILLAILPLMGYIYLTSSDRKVELEDDIKDDDDEEDDYMAVIYEIEESEEKSSEFKGQYCVFCSKLDIYMTKVVHDTRCTCLSSLPPSSSLSTFREKN >KGN57766 pep chromosome:ASM407v2:3:16748052:16750288:1 gene:Csa_3G284020 transcript:KGN57766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQEVNKPPSLPPYPEMIFRAIEALNSDNGSNKSTISKYIESTYGNLPTGHSSLLTHHLNMMKASGDLVFWKNNYMKRDPTAPPRRGRGRPPKSKSPLPLSSVISSPKPRGRPPKDPNAPPKPPKPVQMKVSSGTGKPRGRPRKFPQSASTPAPPAPSGRPRGRPPKVKATLTEVSVQQ >KGN58380 pep chromosome:ASM407v2:3:24629188:24631503:-1 gene:Csa_3G634320 transcript:KGN58380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTGVFDSDPTLIGKPTDLKKELQTLILAIIDDDNYSTDTIDNAIHILSALKDLKLRKRSRSSSNLFHNLISPQEFRCPLSRELMRDPVILSTGETYDRPFIQKWLNSGNRTCPRTQQVLSHTNLTPNHLIREMISQWCATRGIELQDRVRVHYLDDDVITEADRDRFLMLLEKMSLTVSEQKEAAKELRMLTKRMPSFRALFGESLDAISLLLSPLCGDKSQSSSSIHTDLQEDVITTLLNLSIHDNNKKLVAETPYAIPLLMEALRSGTMETRSNAAAALFTLSALDPNKTIIGKAGALKPLIELLDLGHPLSIKDAASAIFNLCILHENKARAVRDGAVRVLLKKIMSQMHVDELLAILAMLSCHQRAIEEIGELGAVPFLLRIIRESSCSRNKENCIVIIHSVCLYDRTKWKDMREEEKCYRTISELAQNGTSRAKRKASGILERINRVVNMTHTA >KGN57524 pep chromosome:ASM407v2:3:13960945:13963009:1 gene:Csa_3G204780 transcript:KGN57524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNKPKEEQRSRKPRHRRNQQMEEFPTFTKWLTTFGHSGSCNDAKSKSKQLNPANRPLQRPPVVLPASSEDAVPVVTNVPEEEGVQTMAVDGSISARGGAGCCCWQSSKSTRRECALKFHISLRKRKVVANSTEAEVVAAVLNPPEEATVEDVKDGCGCRCLRTFKIFRRRKSRVVGVSDLQKEEGAVTDGVNLRTEEVASSGSDMMKEEEVVIAPDRRKEEESGCCCGRWKCSPTFQICRRRKVVAGKEEVVGGAPKVEEVGNDNVTKQEEDSVGCLQAFHICGGRKRVDDNPKTSEKEPLVSNDSSNLDVQNLQKEESGCCSCFRCIPTFQICGGRRSNEDSGVPKPGREEKVIVDVSDPPEMGSVVDGRERHSRPVQGGTCWSGWFPRFLLCGEGTAVDAPNHREEEEKAPSDARKEEKVVVATAVGDEISDHDKEKPVAAIDIPVVNEEEVFVGAGDTLDLHKEKNVSSCNIQDVRKEEIVDSDEKVEGGGCGCWGKESGSRQQHRSSRSMEGCWSFQICGRGCLPTLNICRGRKDVSVRISKLVEEGLVDNDVSDVHKEVVDATGVTDVVAGSDNSKCRRGCGCWNLKSRRRRAVAVDKEGGSGRRSKFKGRKGRGGWLRRSRRKEREGKEKNR >KGN60435 pep chromosome:ASM407v2:3:39318035:39320011:1 gene:Csa_3G910720 transcript:KGN60435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASQIDPHDKMRSRDMSKVARGEQAPRPAHEYGTVSKPPPPSSSSVPSAQTNLDGNDDDRRRGSEDRDNKVVMDEGVGTAPSDIRFPFTNQTRHCYARYLEYHRCVQKKGEHAPECKKFAKYYRSLCPGEWVEKWNEQRELGVFPGPM >KGN55719 pep chromosome:ASM407v2:3:894637:894907:1 gene:Csa_3G007280 transcript:KGN55719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKERTRAAGSEGDGTWKSRSPVKSTVVILFGFCSSDGYNEALHACSSQNPLTCLLIIST >KGN57515 pep chromosome:ASM407v2:3:13878808:13879826:1 gene:Csa_3G202730 transcript:KGN57515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPIESVQCFGRKKTAVAVTYCKRGRGLIKINGCPIELVEPEILRFKAYEPILLLGRHRFSGVDMRIRVKGGGHTSQIYAIRQSIAKALVAFYQKYVDEQSKKEIKDILVRYDRTLLVADPRRCEPKKFGGRGARARFQKSYR >KGN59985 pep chromosome:ASM407v2:3:35988556:35989472:1 gene:Csa_3G859720 transcript:KGN59985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNLTNSFLQLQRPISPAVAPPKRKESGARSYRPVVTCRKKDLHPQFYEDAKVYCNGELVMTTGGTQKEYSVDVWSGNHPFYLGSRSALLVDADQVEKFRKKFGELSQLMEIPVLKGEIILPSKRKSAKGKKK >KGN57712 pep chromosome:ASM407v2:3:16013633:16014351:-1 gene:Csa_3G258140 transcript:KGN57712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGILSLKLIPNPKSRRTTFLKRKKSLIKKAYELSTLCDVQTCLFIASDCDPSTHFETWPPNHHQIHQMIRSYKSHSFTKPNSSYDLNRFFSDRKNKILTNTSKLLHNVVDHQSEHQLMELLDALDSKIRVANDMIEFMEADYDHLIDQAIGMDTPPSQTEDEETTQFNVSDLFNEPDEFEEYNVEGFQSLLEDKFLETLVQNNPIPDFDFDFDY >KGN58091 pep chromosome:ASM407v2:3:21231802:21232349:-1 gene:Csa_3G500160 transcript:KGN58091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQAVPPSVLHPPMSEKAIRARKKYTRQEEVRAIELRNSSKLVGLNLNFKLRSHKYFQKLGRRRVQDFFESSEDRAEWHRVRSAVFLNVGHLKSLTLNPACSRSGQRLFCKPCKV >KGN58921 pep chromosome:ASM407v2:3:28345133:28353471:1 gene:Csa_3G736690 transcript:KGN58921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERSIMLHILEPRRDAYGFALRPQHTHRYREYANIYKEEEEERCYKWKNFLDQVATSFQVCPLEEANTNILQAETSEHKEEIRSRRSSTGDDSTGSNSESVDTTDSSPTKLLEYPIEIQKRVVETWCQIRPSLNAIEIMMSSRVKKKIMKDEKTINGGDHLPPLEEAETLDGTSVANSEEDEACISGSLTRSTSATGAESRMGECMSNSVKPSKRDGVVAERVSHDQLFTWQEELECLVRGGLPKDLRGEVWQAFVGVKTRRIEKYYQDLLDQETNCSADNENNIPSGVPIKLKKQIEKDIPRTFPGHPALDENGRDSLRRLLLAYALHNPSVGYCQAMNFFAGLLLLLMPEENAFWTLVGIIDDYFDGYYTEEMIESQVDQLVFEELMRERFPKLVKHLDYLGVQVAWFSGPWFLSIFVNMLPWESVLRVWDVLLFEGNRVMLFRTALALMELYGPALVTTKDAGDAITLLQSLAGSTFDSSQLVLTACMGFLTVTEVRLVELREKLRPSVLAVIEERTKKGRVWKDSKGLASKLYSFKHDPGSPAEKKKKAAGADAGPCTPNLDDFLSGLAGDSETESLPDLQEQVVWLKVELCRLLEEKRSAVLRAEELETALMEMVTQDNRRLLSARVEQLEIEVAELKKTLAEKKEQEVAMLQLLMRVEQEQRVTEEARINAEQDVAAQKYAVHMLQDKYEKAMASLAEMEKRVVMAESMLEATLQYESGQVKATSSPRSRNQGSAQENQRKISLLPFALGWRDRNKGKSTEEPSE >KGN59704 pep chromosome:ASM407v2:3:33678270:33681798:-1 gene:Csa_3G839820 transcript:KGN59704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATESSEGEEDVKLTGGNQVLVVEDDLREMGKKAAWSVSSCKPGNGVSALRDDNLETYWQSDGVQPHLVNIQFQKKVKLQLVVLYVDFKLDESYTPSKISIRAGDGFHNLKEIKTVELVKPTGWVYLLLSGNDPKDTFVNTFMLQIAVLSNHLNGRDTHVRQIKIYGPRPNPIPHQPFQFTSREFITYSIIR >KGN59756 pep chromosome:ASM407v2:3:34058311:34064018:1 gene:Csa_3G843750 transcript:KGN59756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAVGNGNNIFWHNCPVGKPEREKLLNQKGCVVWITGLSGSGKSTVACSLSRELYALGKISYVLDGDNLRHGLNKDLGFKAEDRAENIRRVGEVAKLFADAGLICIASLISPYRRDRDFCRELLPEANFIEVFMNMPLELCEARDAKGLYKLARDGKIKGFTGIDDPYEPPLNCEIELRQNDGVCPTPCDMAKQIVTFLEEKGFLQA >KGN59971 pep chromosome:ASM407v2:3:35898475:35898681:-1 gene:Csa_3G857610 transcript:KGN59971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITLSKGLNGGICTLSTGGLALGMAELSVLVGEWKEEYGKRLLVSLLYICFPFLYDRQFNNFEVHKLT >KGN55839 pep chromosome:ASM407v2:3:1856055:1857164:1 gene:Csa_3G018320 transcript:KGN55839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFVDLEEAVALENIKLHLLGELSPFPRILPSNDDNLCVSSSDRSVSSGSSSTSNCVLNISDFFNSDEIFQFSSDLMPTQSSSVSSNDDFFGFEMKPNVIDLTTPKSTELVEFEMKPRVFEDFNSRTRYSNSSIEVESKISQVTNSNRKRANLKISLPNKTTQWINFDSAVEKKNRVVVEQRSRDVEAERKVHYRGVRQRPWGKFAAEIRDPTRRGSRVWLGTFETAIEAARAYDRAAFKLRGSKAILNFPLEASNSYSEAVVVGKRRREEEEEVEAVVVKKEKREEEEVKQVGTDVSYLKDMPLTPSSWSMVWDGETKGVFNIPPLSPLSPHPAFGFPQLMVV >KGN58054 pep chromosome:ASM407v2:3:20915940:20918521:1 gene:Csa_3G469200 transcript:KGN58054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPWQFKIPSPKNTLRLELDHTNTGMSFDTMRVQSSTTPQSPTSSRMLERALSSRRVPHHTGDIDDDDDDVSKTKKHHFSFFTHRISNYFVRIGPIWACLAIVALILLLIFSLIFFHSRRFVCVSSYDPVSRSGFFGMDGLDSDFGSLGVPWCRSKHGKTVEWTAKDLLKALEEFVPIYETRPIKNNMYGMGFDHSFGLWFIARWLKPDLLIESGAFKGHSTWVLRQAMPYTRIISLSPRHPEKYLKKGPAYVDANCTYFAGKDFVDFGSVAWKNVMKEHGINDLSRVLVFFDDHQNELKRIKQALNAGFQHLVFEDNYDTGTGDHYSLRQMCDQFYIRGGGHSCFKDSDEARIRGKRKLFWEKAVDIEELCGPYESWWGVQGYMRDDFNHSNRAISHAEHFQNSRYLESILDVYWEVPPVAGPSLTHQTRYDPARVSSPIVEDGRYGLFQRLGLTRLETSVFNGYTQMVYIQISKQ >KGN55729 pep chromosome:ASM407v2:3:1000799:1001829:-1 gene:Csa_3G008860 transcript:KGN55729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELADKTVGFLLSLTSLSIFTYYTFWVVILPFVDSDHFIHNYFLPQEYAILIPVFAGVVLLCLLGIFVGTVMLKSKKKKA >KGN58840 pep chromosome:ASM407v2:3:27943541:27943873:-1 gene:Csa_3G733940 transcript:KGN58840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLSKVDIKKNCELYGAPAFWETNQEQKETQRVTEIDEIFRLRIRELETVQIQLIPWPVSFVLCAEKKIEKRLNERNGAADCPLPIGLFPIHAQSHLLYSQPPPPVTGH >KGN58640 pep chromosome:ASM407v2:3:26796673:26800352:1 gene:Csa_3G710190 transcript:KGN58640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVAVAMASWTSGLHSTSTSWTLKSSEPRFLNRVFSKTSIAFHTNCFFLLQTPRWSSSNMKSIPRASSATAVEDGSNGDTDTIPTPIVIIDQDSDQDATVVEITFGDRLGALLDTMNALKNLGLNVVKANVFLDSSGKHNRFSITKADTGRKVDDPELLEAIRLTIINNLIQYHPESSAQLAMGAAFGVVPPKQQVDVDIATHINVQDDGPDRSLLYVETADRPGLLVDLVKIITDINVAVESGEFDTEGLLAKAKFHVSYRGKPLIKPLQQVISNSLRYFLRRPTTEEASF >KGN58867 pep chromosome:ASM407v2:3:28053727:28057806:1 gene:Csa_3G734200 transcript:KGN58867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSSHRLILNLRRASMAEHHPLDIQINSRQGTAIHPIEADGQSLQASGSVAFWEVKQFRKWIPWLIPSFVIANIVTFFITMSVNNCPKNSVSCIARFLGRFSFQSLKENPLLGPSSLTLRRMGALEVNKVVHGNQLWRLITCIWLHAGVFHLLANMLSLLVIGIRLEQEFGFIRIGLLYVISGFGGSLLSSLFIQSNISVGASGALFGLLGGMLSELITNWTIYSNKVAALVTLLVIIAINLAVGILPHVDNFAHIGGFISGFLLGFVFLIRPQFGWVSQRYTSQTNSSFGANHKFKIYQCVLWIISLILLIAGFTVGMVLLSRGFDANRHCSWCHYLSCVPTSKWSCNSEPTYCLSQQVGNQLNLTCSSNGKFRTYILPNASNSAIQVRGRRCSGENGRVRVSLSKWEAMFNLGMVQC >KGN58809 pep chromosome:ASM407v2:3:27793815:27795997:1 gene:Csa_3G732650 transcript:KGN58809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREILHIQGGQCGNQIGAKFWEVVCAEHGIDPIGKYTGDSDLQLDRINVYYNEASCGRFVPRAVLMDLEPGVMDSIRSGPYGQVFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLSTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSTVCDIPPTGLKMASTFLGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATAEDDEYYDEDEVGEPDD >KGN57743 pep chromosome:ASM407v2:3:16443141:16444235:1 gene:Csa_3G271360 transcript:KGN57743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCPTSAIGFEGYEKRLEVSFFEPSVFVDPRGMGLRALSKAQLDEILTLAECTIVDSLSNDYLDSYVLSESSLFVYPYKFIIKTCGTTKLLLSIPALLKLADSLSLTVKSVRYTRGSFIFPGAQSFPHRSFSEEVAVLDGYLARLGLNGSAYVMGSPDETRKWHVYAACASMGNQSNNPVYTLEMCMTGLDKEKASVFFKTDASSAAAMTENSGIRKILPKSEICDFEFDPCGYSMNAIEGDAESTIHVTPEDGFSYASFEAAGYDFDDMNLSKLIVRVLACFQPSDFSVALHSDVVGENLEDLLCLELKGYEGGEKSCEILGENGTVIYQSFMKTEGDYASSPRSTLLKCWSEDEKDEEVGKY >KGN57284 pep chromosome:ASM407v2:3:11964044:11966417:1 gene:Csa_3G176310 transcript:KGN57284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQILHHSPSSFTKRPYHLPNPSLHFSSKPKFIIKSQNPSESDKPISKVVDDAPIATSSPQGFGSSSPQSTSTSKSTPKSLKQKGKRQRASIIRRSPVEKPVFVGQVDEQVAKEQGRNESYFLLTWLGLGVVILVQGIVLAASGFLPEEWDKFFVKYLYPSFTPTVSLFVAGTVAYGVLKYLQNEKIKDEKS >KGN59036 pep chromosome:ASM407v2:3:29010154:29012149:-1 gene:Csa_3G748160 transcript:KGN59036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIPTLFLFGSYLFFLLFFSHFCYSQKTCPRCGSFEVPYPLSTNPNCGDLDYALRCDSHSKKLYFDALNGSSYPILKINASSQRLVILPSPWVAGSCVTQDMLVSEGLWLNQSLPFNITSSNTIFLLNCSPRLLVSPLNCTPSSLCHHYLANSGRVDGERAFQCASVLDPCCTFIPGGMPSAYKIRLHNSGCRAFRSILHLDVEKPPNQWEEGLEIQWATPPEPICRTQSDCTGESDCLPTGGSNNRSRCLCRMSYYWDHNLGTCLRTNKKSLVRLSIKLSVCLVSFFVLAAVIAFITVRKSKTFSKQEKLYKEREEKLNLSHGGRPARMFHLKEMKKATNEFSKDRVLGSGGFGEVYKGELQDGTVVAVKSAKVGNLKSTEQILNEVGILSQGTLGYLDPEYYRNYQLTDKSDVYSFGVVLLELLTSKKAIDFTRDEDGVNLAIYVIQQVQNGACIDAIDKQLISDNPSSKILISLKHFMELALSCLREKKVERPCMKDVLQELEYITQILDNPETIAEEGNP >KGN57650 pep chromosome:ASM407v2:3:15298815:15304355:-1 gene:Csa_3G238160 transcript:KGN57650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSHIARPAFEIHLVSSIDSPEFTLLTHTLTRSHLIALDAEWKPLQTPDQHSSFPRVSLLQIACQFHSDHRNDSVAFLLDLLSVPLSSIWHLLRDVFVSPYVLKLGFRFKQDLVYLSTTFSSYGGGGVSGLDRIEPFMDIASIYYHLQHKQKGRKLHKQSKSLTAICSEVLGFSLSKELQCSDWSVRPLTEEQIAYAAMDAHCLLEIFNVFYSKVLKEGDVLRNVSAVPSTEMNTGLRVILEKQDMHEKILRTKVSEASNIIRATASGFPQSMANIRGICSASSSINCMPMDEDLQKVVKSYGERIILKESDKKPKTSKRKSKRSSTMKVGCIEKQIVSDEDWHGPPPWDLSLGGDGCPKFLCDVMVEGLAKHLRCVGVDAAVPFSKNPYSRELIDQAHKEKRVLLTRDAKLLRHGYLLRNQIYRVKNLLKNEQLLEVIETFDLKISEDQLMSRCTKCNGRFIQKSLTTEEAVQAAKGFQKIPNCLFDQNLEFWQCMDCHQLYWEGIQYRNAVQKFIDVCKLND >KGN55589 pep chromosome:ASM407v2:3:114087:114425:1 gene:Csa_3G000130 transcript:KGN55589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPITYERITRRQRRSCRGFRLTSRRLSVSKLRSKFGWLLRILNRWRRSIVEIKKSGTSSKGSSNSAAQAAKLRSFRRSNSFYAEAIADCLDFIKTTSSFSTPPSTIPTHTF >KGN59391 pep chromosome:ASM407v2:3:31556305:31561607:-1 gene:Csa_3G816040 transcript:KGN59391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSSFLSPFPLLPFSVPFAFSPKCQSVAAPINLVFQSFSAVAQRPVLAKAVSANTTWESLDTVNIADDVTQLIGSTPMVYLNKVTEGCLGNVAAKLESMQPCRSVKDRIGYSMISSAEESGVISPDKTILVEPTTGNTGLGIAFVAAAKGYKLVATMPASINVERRVLLRAFGAEIVLTDPEKGLQGAIAKAEEILISTPNAYMLQQFDNSANTKVHFETTGPEIWEDTMGSVDILVAGIGTGGTITGTGRYLNMRKETIQIVGVEPAERSIISGENAGYVPPILDIKLLDEVIKVTNDEAIEMARRLALEEGLLVGISSGAAAVAAISLARRPENAGKLIVVIFPSYGERYLSSVLFRSIYEEAKRM >KGN57794 pep chromosome:ASM407v2:3:17307675:17307908:1 gene:Csa_3G305650 transcript:KGN57794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERGLLRPLPEKRRPQSQEEKMVQRLTYRKRHNYATKSNQHCVVKTPGVKHVYLTAKKSASRPNVWLSAKGFKGFLT >KGN57729 pep chromosome:ASM407v2:3:16284555:16284889:1 gene:Csa_3G265250 transcript:KGN57729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSTKTSMGDGRIKKDKKVSWRSRSSLGGYIKEQKGRLYIIRSCIRYFPRVEVQRNSWRSRSSPFLFTLSVSPHFAIFKM >KGN59059 pep chromosome:ASM407v2:3:29138685:29141756:1 gene:Csa_3G750350 transcript:KGN59059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPIKEGDSVTPIAVSSSSGQSEFDAAGFSSDPESMLLPFSRPLMGSESFSPCGSFLEDAAAGLSMVSIGFSSSPSTGGFLGENPMPSSAAHHMFDVMLEPDKRTLDPFVVPCMESDVPQPLESLHGQFVPPFLWKTFDIVEDPVLDSIVSWGSAGQSFVVWDPVEFSKVILPSNFKHNNFSSFVRQLNTYGFRKIDTDKWEFANEDFQRGKKHLLKNIQRRKSSHSQQIGSLIGPSTGGGKSGLKDEIGRLKKERSMLMQEVVELQQQQKGTAQHVNTVNQRLQSAEQRQKQMISFLAKLLQNPEFLVCLQKKKEQKDIDSSRTKRRFVKQHKHEDGFTPSVEGQIVKYQPDWENLARSSTTPDLNPSLLEGPFAYLLQGVFGELGSIPEGMPNFQFKNASSSDVIASEEFVFHHGVVKPTEELRVEASNKSMDDQHFKGKAIESPPEESNPDYFLSLAEGILQSSHHGTRGVIKPEKIWDAYLNADVSPSGSSTKLWSNPECFEDPFLQISSEQSPIWDFDSQQAGDSSTDKWLASGFPFDDPDNQAYPKNADESDDK >KGN57886 pep chromosome:ASM407v2:3:18510228:18524961:-1 gene:Csa_3G363190 transcript:KGN57886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPILSLALPSPTGRVLSIQSHTVQGYVGNKSAVFPLQLLGYDVDPINSVQFSNHTGYPTFKGQVLNGRQLWDLIEGLEENELLYYTHLLTGYIGSVSFLNTVLEVVDKLRLVNPKLTYVCDPVMGDEGKLYVPEELVSVYREKVIPVASVLTPNQFEAEQLTGLRIQSEGDGREACNILHAAGPSKVVITSINMNGELLLIGSHQKNEGQAPEQFKIMIPKIPAYFTGTGDLTTALILGWSNKYPERLDLAAELAVSSLQAVLHRTMNDYKSAGHDPQSSSLEIRLIQSQDEIRNPKVEFKAQRYD >KGN59543 pep chromosome:ASM407v2:3:32596081:32598883:1 gene:Csa_3G824880 transcript:KGN59543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQRDSPQLEPWNDLNGKVVMVTGASSGLGREFCLDLARAGCKIVAVARRTDRLQSLCQEINSSSSSGSTFPTATPRAVAVELDLKADSTIIKDAVRKAWDSFGFIDALVNNGGLRGTVKSSLDLSEEEWDDVMGTNLKGSWLVSKYVCIHMRDTNRSGSLINISSIGGLNRGHIPGGSVYGASKAGLNTLTKIMALELGAYNIRVNSICPGIFKSEITKVLMQKDWLKNVALKTVPLQTYGTSDPALTTLIRYLVHDSSRYVTGNIFIVDAGATLPGVPIFSSL >KGN58130 pep chromosome:ASM407v2:3:21703664:21709235:-1 gene:Csa_3G535630 transcript:KGN58130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDEQWNGDDDREVGDDDEEEIEIWFWKEIKKLPVTNHKCSSHLTPFLCGGVKCQYPKSRGSGHIHPHPPSTFLPAAAFASASASAGSFFTSPTRSSIEFALFVMANSPDVDADDDFSELYKEYTGPPRSTTVVPQEKTNTNKRSHAGSDEEDEPRDPNAVPTDFTSREAKVWEAKSKATERNWKKRKEEEMICKRCGESGHFTQGCPSTLGSSRKSQDFFERVPARDKHVRAIFTDRVIQKIEKDVGCKIKMDEKFIIVSGKDRLILLKGLDAVNKLIKEDGDQKGSSSSHMSRSRSPDRSPAGSRSQRSDVHRSHSGPTNASQFQPRFSRQEKVVENRARDDLQKYPRSSVQAYGNDRVRGRSSHSKSPAHPPYSGSSFGSYDSYQNKNAYGRTEGWDNERRGSDLQSSRQFEYPAFPQSLEELEIEYKREATELGKIRDKEEDEENYKNRETIREMRESYTKKLTHLRGTHAKQWDEFLQLDAQRRQQQVHQQMAASGFGGYKQHNYSEYEGGSVNAHYEGANLAALDSRSKYQNHMENYPSRPHGNFGEFQRQRRDDYENAYKRY >KGN55926 pep chromosome:ASM407v2:3:2608205:2608630:-1 gene:Csa_3G036520 transcript:KGN55926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNHEEPQPHEQFDIMELILQLSPYHNKPHHQDTEFHDDHKDKRVEDDDGDVNTDDQYDNRNEKEDKVSDEDEMKEWDEKEGEDDEYDEAEGWDEEEEDEELEMRIEEFIAKVNKRWREEKLRDHLLIQICSTNNVTNISN >KGN58685 pep chromosome:ASM407v2:3:27191565:27194177:1 gene:Csa_3G728020 transcript:KGN58685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRYLTSLSLPPFSQRMENFEESGFLYIDDHTKGCKCPPGFKGDGVRKCEDVDECKEKLACQCPECKCRNTWGSYDCSCRNGLLYMHEHDTCIGNIGSTVTSWSVVKITILVLAITGITGFAVYKYRIRRYMDSEIRAIMAQYMPLDNQGETSNHVARGGI >KGN55617 pep chromosome:ASM407v2:3:276821:288427:-1 gene:Csa_3G002380 transcript:KGN55617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEEGDVNETPESRVEEGTMLTLSEELESGVKHEGNGHVVVEDRVPDGQNCSDDHDELVQLVIEMKSQNEYLKSQLESMKNLQNVENVRERDEETGSRDGESVHLKELQERIESLSKELSEEKQTRGAAEQALQHLQEAHSEADAKVHELSAKLMEAQQKLEQEIKERDEKYSDLDSKFSRLHKRAKQRIQDIQKEKDDLETRFRDVNERAERATSQQTALQQEIERTRQQANEALKAIDAERQQLRSANNKLRDNIEELRHSLQPKENAIEALQQSLVEKDQMVEDMKNMLQAAEEKRQASLADLSAKHQKNLESFQMQLSDALSDRNKATETISSLQELVAEKESKIAEMDAASSGEAARLRAAMETVKGELAHLRNEHEKEKETWQTASEALKMKLEIAESNCIRAEIEAAKMRSQLESEVSAKTRMLSARDAELLTVKEEMNRLESEFSSYKVRAHALLQKKEADLAAAVDSDQIRALEEALKEAEKEITLAYAEKDRVQLDLQNALEKHDKELKERDSALNDAVENIKSLEKRLESANLHLQSEKEAWEQSLQNLEESWRIRCEALKSHFEESSRQDVEKEFEELKQGYKRLKEEHNSFRDLADRMIEEKDTEISRLLDEIKNLRQSLESKPPADQIDNNAVTQKQDSSNLSTSNAEQQILLLARQQAQREEQLAQSQRHILALQEEIEELERENRLHSQQEAMLKAELRDMERSQKREGVDMTYLKNVILKLLETGEVEALLPVVAMLLQFSPEEMQKCQQAYRSTTDVPPNPASDSSGSARSLFSRFSFT >KGN56891 pep chromosome:ASM407v2:3:9339519:9340326:-1 gene:Csa_3G141870 transcript:KGN56891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNCLRTVYRTSLTTMNTSTIGGGANPSVKSQRNKVCRAATEEDFINGISWPPRSYTCNFCKREFRSAQALGGHMNVHRRDRALLYSPPADGQFTNLNLNLLHNPNPNISSYDEVTFVGRKASDLKLSSMTTEIATKPNMFERGHWKKGEKFNIGLDIEISTTSSTNVLTDSKKDIDLELRLGYST >KGN58316 pep chromosome:ASM407v2:3:23815851:23816110:-1 gene:Csa_3G611900 transcript:KGN58316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTSTSNPLSAIKDRGRKVNSTPTPQLSGSWCSNQDVRSQASNREMGHQS >KGN58915 pep chromosome:ASM407v2:3:28311325:28312798:1 gene:Csa_3G736630 transcript:KGN58915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKPCWKPHDDGDSNGRVDGLLWSKDLGHHVYGQFSMAVIQANNVVEDQSQLESGALSMTNPGPLGTFVGVYDGHGGTEASRFVNDNLFSNLKRYASLHQDISENVIRKAFAATEEGFLSLVQKQWLNEPKIASAGSCCLVGIICNGQLYIANAGDSRVVLGRTERATREVIAIQLSTEHNASIESVRDELRMVHPEDPQIVILKHNVWRVKGLIQVSRSIGDAYLKKAKFNRPPLPPKFQLPEPFYKPILSAEPSILVHKLRPDDQYLIFASDGLWEHLSNQKAVDIVNSYPRNGIARRLVKAALREAAKKREMRYSDLKKIDRGVRRHFHDDISVVVVFLDPHLINGRPSPCSLSIKGGEGLPSSANS >KGN60138 pep chromosome:ASM407v2:3:37102916:37103182:1 gene:Csa_3G880040 transcript:KGN60138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFISSYLSSLSMLTFKPHSSFSSDGEDEDKDRSSAASLMTSHIFYLLYLVDILYSTSSFSIILFVRSVSVLLYLCIFPLALKESIAL >KGN59089 pep chromosome:ASM407v2:3:29487413:29487673:-1 gene:Csa_3G765080 transcript:KGN59089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METPSQINFYPKVFKIQKPNTKGLKMSELQKVSVKLKNTKSNKAIQFFNTGKRKPEIQGSVSSESKQKRETHHKSPRSSPQNSRRR >KGN56299 pep chromosome:ASM407v2:3:5866628:5886643:-1 gene:Csa_3G113900 transcript:KGN56299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDATKMTCDLVGLQTIERDVWAWSKADDGADNELFVGRLWWTKDDRGWLINYLPTLHSQLFFTLSVPYPQRISPLRISILSVDPPIQEKHYPEFGAENVFQEGMKKKLRDIVAKRCVDFDSRESRKRRSKRLKSLSVGLATTEDGNDGEMNEREGDNITNKLFVDQSQDFLPVVGKKPPNIRDNLDSTHMTPRSPLPSDSLASLALLKLASRGQVSPILDRSQNVGELVNVCEPSRQQLPKKRRGPTKTKPIAIEECNKVGVTFDQFGQPIEEASIGLSSFLGPLVGEVVPVTLSDWRKLSTRSKEILWTSIQAKSEKFKSMKEMQLPSTRRKSYTRLAEEMAMQNKLSDNVAKRCIDFDSKAPRKRRSKRLKFLSIDLATTEDVNDGEINSMEGVNITNKLFVDQSQDSLPVAGNERPNIGDNLDSTHTTPESPLPSDSLASLTLLKLASRGQVSPILDRSQNVGEHINDSEPTMQQHPKKRRGPTKMKPIAIEECNKVDITFDQFGQPIGEASIGLSSFLGALVREVVPVTLSNWRKLSTTSKEILWTSIQLRYNVKEVWQRKCIFRKMGRLWRAGKSRIVSQIQSTSTNEELVKMKPSNVQSMHDWMDFVKEKKSATFKAKSEKFKSMKKMQLPHTCSRKGYARLAEEMRKSCLDSSSVTRIALLAKAHRKKDENPVNSQVTETLGMEKKLSDMVAKRCIYFDPKTLQKRRSKRLESFSIGPATTEDDNDGKMNKEGDNITNKFFIDQSQNSMAAGNKAPNIGDNHDSTHTIPSSPLPLDSDSTDRTPSSPLSLDRSQNSGEHINVSEQTMQQLPNNCRDPTRMGANAIEECNKVDIIFNEFGQPIGEASIGLSSFLGPLVREVVPVTLNDWRKLSTRSKEVLWKSVQLRYNMKEDWQRKYIFQKMGRLWRAGKSRIVSQIQSTSTSEELVKMKPSNIKSMHDWMNFVKEKKSAMFKKKGCPDSSSVSRVAVWAKAHRKKDGNPVNSQVAEALERIEQIDNEGIKTTSNNVGNEAISKVLGSDRGDTGALGFGVTVKKFSLLSQLDGHYAELEETNDNEGIITGSNNVINDAISKVLGPDQGGALGFGVTVKKFSQREHYTKLEEKYKKMEGEMSEMRSLMSQILKSQGNGSEHLSNATNEQIVNNVATNPIGSSPLSINDNNALPKCKMLDWCGTGEVVAEGRWSSNDPKVIVHHVPLGPQAVKVWVDLPKRSDAFLWRPNSEMHYIKDAVGSAVAWPLDKVVMS >KGN58156 pep chromosome:ASM407v2:3:22099056:22101681:1 gene:Csa_3G563290 transcript:KGN58156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHILLFVLASLVPSFFLFEIKAAHSHPPRTTYSQITVMGLVYCDTCSNNTFSKHSYFLSGAEVRIDCKLKAETPRTAEQIAFSVNRTTNKYGVYKLEIPSVDGIRCAEDSAMVSFCQASLMGSSSSSCNVPGYRSTSGEISIKSRQSNICIYSLNPLNYRPSTRDDILCGN >KGN56167 pep chromosome:ASM407v2:3:4505665:4510642:1 gene:Csa_3G081920 transcript:KGN56167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRFFSDRSRGSSRRHASTSTSSSVIVDTTTTTTSAAAASTSAGTTSITMPVYPIDEIPSPFGDLGLQLSETELRVTAYEILIGSCRSTGSKPLTYISQSERGVDRSPSLSTVASLHRSLTSTAASKFKKTLGLKSSSSAKKRIVGGDESGNQGRAKLGLTVGELIRIQMRISEQIDSRIRRALLRITAGQLGRRIESMVLPLELFQQLKALDFQNNEEHMAWQKRYLKILEVGLLLHPHMPLEKTDDAPKRFRQIARGAMEKPIDAGRNFDTIQELRSIVLSLACRSFGASAPGTCHWADGFPLNLRLYQTLLEACFDANDSTSIIEEVDEVLEQIKKTWAVLGMNQMLHNLCFSWVLFNRYVSTGQVESDLLHASKSLLAEVEENIEFFQDPIYSRILNTTLNSILVWTERKLLAYRNDFHSDNIECMQSLVSIAVLSSELLEDEIDVAYNKIDNYIRSSLRTAFSKKMEKVKSSKFSTKNQKSSPHVLSVLAQDVSELAFDEKAMFSPILKEWHSHAAGVAMLTLHSCYGKELKIFISGIDELTPDAIEVLNAADKLEKDLVQIAVRDSVDSEDGGKSIIQEMPPYEAEALIANLVKTWISTRVDRLKEWIGRFLQQEVWNPHANKEHIAPSVVEVLRIVDESFEAFFLLPIPQHSLLLPDLLMGLDKCLQQYILKTKSGCGSRSTYIPALPALTRCSKRSKFGVFKKKEKLQAGQGRTQFGITSANNSLSIPQLCVCINSLHHIRSELEVQERKAVVRLKNLEPHYTDAIRNQVGKWFELSSSLCVEGIRQLCEATGYKAVFHDLSQFLWDGLYIGEVTSSRIELFLQELEKYLETISSTVVHDRVRTRVITDVMKASFDGFLLVLLAGGPSRTFIREDAELIEEDFKFLTDLFWSNGDGLPADLISKHAGSVKRVLDLFHSDSESLIDQFKYVMVESHSMQAKSRLPLPPTSGLWEPTEPNTLLRVLCYRNDEIAAKFLKKTYNLPKKL >KGN59693 pep chromosome:ASM407v2:3:33598042:33602280:1 gene:Csa_3G838720 transcript:KGN59693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSFKNGGSNVVSFDGLNDMSSPFAIDGSDFTVNGHSFLSDVPENIVASPSPYTSIDKSPVSVGCFVGFDASEPDSRHVVSIGKLKDIRFMSIFRFKVWWTTHWVGRNGGDLESETQIVILEKSDSGRPYVLLLPIVEGPFRTSIQPGDDDFVDVCVESGSSKVVDASFRSMLYLHAGDDPFALVKEAMKIVRTHLGTFRLLEEKTPPGIVDKFGWCTWDAFYLTVHPQGVIEGVRHLVDGGCPPGLVLIDDGWQSIGHDSDPITKEGMNQTVAGEQMPCRLLKFQENYKFRDYVNPKATGPRAGQKGMKAFIDELKGEFKTVEHVYVWHALCGYWGGLRPQVPGLPEARVIQPVLSPGLQMTMEDLAVDKIVLHKVGLVPPEKAEEMYEGLHAHLEKVGIDGVKIDVIHLLEMLCEDYGGRVDLAKAYYKAMTKSINKHFKGNGVIASMEHCNDFMFLGTEAISLGRVGDDFWCTDPSGDPNGTFWLQGCHMVHCAYNSLWMGNFIHPDWDMFQSTHPCAAFHAASRAISGGPIYVSDSVGKHNFDLLKKLVLPDGSILRSEYYALPTRDCLFEDPLHNGETMLKIWNLNKFTGVIGAFNCQGGGWCRETRRNQCFSQYSKRVTSKTNPKDIEWHSGENPISIEGVKTFALYLYQAKKLILSKPSQDLDIALDPFEFELITVSPVTKLIQTSLHFAPIGLVNMLNTSGAIQSVDYDDDLSSVEIGVKGCGEMRVFASKKPRACRIDGEDVGFKYDQDQMVVVQVPWPIDSSSGGISVIEYLF >KGN59832 pep chromosome:ASM407v2:3:34809974:34816724:1 gene:Csa_3G849390 transcript:KGN59832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGGDEAIAPPLPSSQPLDWKFSQVFGERTAGEEVQEVDIISAIEFDKTGDHLATGDRGGRVVLFERTDRKDNGGTRKDLEKMDHSVTRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIRWCQTANGALFLLSTNDKTIKFWKVQEKKIKKISNMNVDTSKAVGNGCIASSSSSTTHLPNGGSCDGGYNHPSNDLLGAISSLRLQVVTSHESSLLARCRRVYAHAHDYHINSISNNSDGETFISADDLRINLWNLEISNQSFNIVDVKPANMEDLTEVITSAEFHPTHCNMLAYSSSKGSIRLVDLRQSALCDSHAKLFEEPEAPGSRSFFTEIIASISDIKFGTNGRHILSRDYMTLKLWDINMDSGPVATFQVHEYLRPKLCDLYENDSIFDKFECCLSGDGLRVTTGSYSNLFRVFGCSPGSTEATTLEASKNPTRRQVQTPSRPSRSLSSSFTRVVRRGGSESPGVDANGNPFDFTTKLLHLAWHPAENSIACAAANSLYMYYA >KGN59673 pep chromosome:ASM407v2:3:33442179:33443819:-1 gene:Csa_3G837050 transcript:KGN59673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPTNYAYTFRPFLGFVYAIIITAFVTVSESSYSTSYEDHHFMRRVDNYRYNSLSSAPPSTAMSGSRVYHVVAYGADPTGESDSTEAIEKAIFDAFEYPTDGHLMKGILDLGGSQLHLDGGTYKISRPLRLPDIPAGNFMIHGGSLRASESFPSNGHLIELRPSSPAVMYEYITLKDLMLNCNFRGGGIAIINSLRTTVDNCYISHFMSEGIVIEGGHESYIRNSFIGQHINVGGDRGEKDFSGIGVKIMGNDNLLRDIVIYSAEIGVMVLGQANVMMGVHCYNKARALGGIGIYVKEPGFTQTRIVNCYLDYTGVVVEDPVQVHITGCFFLGNALVVLKSIGGVISGLNIVDNMFSGDYTGVRIVELDESMTPFTRIDQVVVDRNNVRGMVVKSTVGRGSTRANGTTWTVDFSSLLLFPNLIKNVVYSLEMEQSQGQVFPNHVLTNLTHNRVTVRSNLAIAATLHVQVHQTTYAL >KGN56679 pep chromosome:ASM407v2:3:8205871:8208506:1 gene:Csa_3G128890 transcript:KGN56679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMASTFFTVPKPFINTPNTSPSVSTRRLITGGLRSSNLKVSAISKKWEPAKVVPQADRVLVRLEELPEKSVGGVLLPKSAVKFERYLVGVILSVGTEVGGNDIAPGKKVLLSDINAYEVDLGTDAKHCFCKAGDLLAIVE >KGN59667 pep chromosome:ASM407v2:3:33410247:33417961:1 gene:Csa_3G836510 transcript:KGN59667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPVLEKLTETQQNQRMVEYEVARIYDFFLRAPRSAQEFMLELQRENHIEYLTNGLNHLGPSFRVLDANRPWICYWILHSIALLGDSVDAELEARAIDFLNRCQDSSGGYGGGPGQLPHLATTYAAVNSLVTLGSHEALSSINRHKLYTFLLQMKHPSGGFRMHDQGEIDVRACYTAISVASILNILDDELVQNVGNYIQSCQTFEGGIAGEPGSEAHGGYTFCGLATLILINEVHRLDLRSLLDWVVFRQAGLECGFQGRTNKLVDGCYSFWQGGVCSLLKRLSLDIDEQSVQPDAREGSSFDNLSTGADTSRKVNYNDVGYEFIEKHPSSQPLFNSLALQQYILLCAQVPEGGLRDKPGKPKDYYHTCYCLSGLSLCQYSSSNEDSPPLPQAVAGPYSNLLEPVHPLYNVVFERAIEALDFFRGK >KGN59846 pep chromosome:ASM407v2:3:34917838:34918146:1 gene:Csa_3G850510 transcript:KGN59846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSKVKPQETISKFPQFKKSNGLSGNGKFSSTHHIYHSNKTKSERQRELLPRLLAGFEEEDEGRGQGGKVGEKT >KGN58023 pep chromosome:ASM407v2:3:20501596:20502739:1 gene:Csa_3G435530 transcript:KGN58023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGELHRFMLHQPCSATLHQDFHEELDHWTITKGVDQYNVASSTSSFEDSTISSESLSSSDLADDACSSTSNSSSQSNGPLEDFTDLSAQLPIKRGLSMFYQGKSRSFASLSSVKSIEDIPKKPNPYGRRLNTCKSYAGGLDIHKSSYTLPKAPTFKKASKSSLSFVQVRRGSNLAGCRPPPIPIYDESF >KGN55883 pep chromosome:ASM407v2:3:2245598:2245829:1 gene:Csa_3G026680 transcript:KGN55883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKHQGLDMVARQRTYGGAARSTKPSSVIDERRPRGATSVNWCAVWISEALS >KGN56904 pep chromosome:ASM407v2:3:9401192:9402148:1 gene:Csa_3G142960 transcript:KGN56904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTCKGKSSWPELVGKAGKEAEKIIEKENPSVDAVIVDEGSVVTQDFRCDRVWVWVDSKTSIVTRTPFIG >KGN57390 pep chromosome:ASM407v2:3:12701900:12707528:1 gene:Csa_3G182750 transcript:KGN57390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLHAENEEPSSYTLKEHVAQAFEELERQKPFELLNQYVLILAKLGVQAQKVWIETNNVERGIVEIISQYSIKWLVMGLDTEGYNMKRSTGLKSKKAFYVSQQAPICCHIWFVCRGRLIYSREARMGRLKNSEIGINYTNHLRPESVTCKNFADAQEKECAGDRISRFRYQGLVDQKLSNNGNLGTSRTTLLLKNEGVKEGHPVSQSGLQEASMNVKSIKDFEGVKAWVEKDAVGAEFKAELLENSCMEEVKKRKEMEELLEKEKREVERINKERAELLKELQHVDEQKSVLDRKASEYQCDMEELEKKMFAAVDLLVSFKDKRDKLLIEREGAMDKLRKLKNIVKREPSRYRNAEMPMFSFVEIIEATRNFDPSWKIGEGRHGSVYKGLLRHMDVALKMFPSYGSHSQSAFQYEVEVLSRVRHPNLVSIIGACPESRLIVYENLKNGSLEDHLACKNHNCPLPWQTRIRIAADICSALIFLHYSDPCIVHGDIKPSKILLDTNFIAKLGGLGISRLIPQEEKAFNSASMCNISKENNPYIDPECLETGKFTPESDVYSIGVILLRILTGRTPPGIVEDVKCAIENDNIVVVLDSSAGDWPHDLAEQLALVALRCCKKEKLERPDLVSELWCVLEPMRSIASASCSSSKKHRVPAHFTCPIFQEIMKDPLIAADGFTYEADAIRGWFKSGHNTSPMTNLKLEHCNLVPNYALLNAIQEWQHQL >KGN59972 pep chromosome:ASM407v2:3:35899110:35899295:-1 gene:Csa_3G857620 transcript:KGN59972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHMFLYQILRGIAYCHSHRVFHRDLKPQNPLIDRRTNALKLVDFGLARALGILVRTFTHEV >KGN59630 pep chromosome:ASM407v2:3:33180402:33182917:-1 gene:Csa_3G829200 transcript:KGN59630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASISTFPALHFPFSKSSPIPSHSFSLPSPTNFTLSAASFRHSPLQGRSLPRVLKQVRAVEEDASVPELGVESEASSPSPSDPPAVTVPVSPSDVLTMFFQAEGTLNESAIPSVTGALEQTEGISGLKVQVVEGIASVALTKQTTIQSTGVASSLIETIQGAGFKLQTLNLSFEDEEEVFV >KGN57125 pep chromosome:ASM407v2:3:10788850:10792623:-1 gene:Csa_3G159450 transcript:KGN57125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELSFFVGVIGNIISVLMFLSPAGTFRRIIRNKSTEEFESFPYVCTWLNSSLWTYYGIIKPGAYLVATINSFGVVVQSFFLGVFLIYAPSLMKAKTGIMVGILDIGMLTAAIVVSELVLEGEKRIEALGFVCAGLNIMMYASPLSVMKTVIKSRSVEYMPFMLSLFFSLNGGIWTFYAFLVHDWFLAVPNGMGLGLGLIQLLLYAIYRNARKPLLPLNTSIITSQQQLDSQTQPLISSPHPQP >KGN59866 pep chromosome:ASM407v2:3:35087211:35088240:-1 gene:Csa_3G851180 transcript:KGN59866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAIATPKLKKKNKLFPCFRSAASGGGHVKVRSKDGSEDVFPFITVDENVRPLYGGDGDSGHRKKKGSAGAFSRALKAVLFGTSLAKKIRKRKEKENQNSKKEINQRHQAPSSIGNNRSRTASDNLNFYRNSSTRSSRTSAPFSSSSFCSSSPASSEMSEISFRFYPNGSNRLGMSVKLGTMGKIGSYNVYFGLDTVFVPTEDGIEERECGGDEFGGVFQEKNRDGRIFEERSFKRSEFNVTH >KGN57288 pep chromosome:ASM407v2:3:11987622:11989226:-1 gene:Csa_3G176340 transcript:KGN57288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYAAMKPTKPGLEDTQEQIHKIRITLSSKNVKNLEKVCADLVRGAKDKRLRVKGPVRMPTKVLLITTRKSPCGEGTNTWDRFELRVHKRVIDIFSSADVVNQIASITIEPGVEVEVTIADQ >KGN56773 pep chromosome:ASM407v2:3:8742482:8746815:1 gene:Csa_3G133240 transcript:KGN56773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPCLYSRDWVWTHLNFFVQLLLDALKFSAVSFSALARCPPSEDKVLMNTVENFALEQLNLMIESVSEIQSIHKFRSEILKAVQMVIDAMIKFSEFHSQVLDWESSGEEFNKTRPSVNHVLNVHKCVMEKLCELGTIAAKGGGGLVTILNVSWKGVFTLLQHGNVVLSSKVNVAAIILNLVSLVIEPMKCAAATWSSVMKEPVSATDARRIFLPVKFFLINAVKISCLCPCQAYLVRKEIIFCVLVISTYKVWLSNEKLLETVSEAITELLEQPCLDLVKCILNSTDLKQDLKHNIMDLLFTTERCSFPDGYPSACFMNDPMNEIFNTNCEGRNDAKILSLGRINFLLNLMKHSFDLSDDAKLLITTKLNWLLDILVQEDVYASVLLLQVPFSYFSGKTTELKWLPLLSCLLHALKTFMVAVSKNYAWLELQLFLLDNLLHPHFLCWDIVMELWCFMLRYADDNLVNGVISKLFSVMKLLASSEPVLVYSSALRKMARSITMLLTYGAHTKLNEIFEYIFIQDKSQLSTVIWVALILEGFPLNLLSEKMKNIAIQSTIRDYLSFIGNFSETSMLASSSATIGLPVFSASTTIQSMKLSTSDIDVRTLKFLLALLRSYKISGVEQAKGVCRKLISETLGIISCMKHLYAVNEMEEVILELEKLFISGPTASDALLYECKSSLAPFLAGLAHSKMTETDDNAKSCAVWELYHMLFKERHWAFIHLGLKAFGYFAARTSCEELWRFVPQNAALSYDLESGKQVSEDGFMLEFKIFLEKEMALLTVTSSSEQLELLMKEGLVLKDMLNSSLKLCGTGNECKSMEIDEGPSSRKRKLPEGLSKGMELLKNGLKVMRQGLTLLEEGHVDSRELHNKLRSHFSGLEDEIYRLGSQGGVD >KGN57590 pep chromosome:ASM407v2:3:14664731:14671087:1 gene:Csa_3G221730 transcript:KGN57590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMNLPNGFGAAEKNNGEEKKREDQKQGSEDDNNDSDSDSDMPSSLSLRELSKVILPPLGVSSFTDGSNRVKSNRWIISPMSSRYRWWQSFMVVLVSYSVWVYPLEVAFLDAIPRRKLLIVDTVVDLFFAIDIVFTFFVSYIDHRTQLLVRDSKKIAIRYLSTWFLMDLASTIPFDTLAYLSTGKYDLCLPFALLGLLRFWRLRRVKQFFTRLEKDIRFSYFWVRCARLLSVTLFYVHCAGCVYYLLADRYPHEGKTWIGTLFPNFKEISFGVRYTSAMYWSITTMTTVGYGDLHAVNTVEMIFIIFYMLFNLGLTAYLIGNMTNLVVEGTRRTMEFRNSIEMASNFVNRNRLPSRLKEHILAYMCLRFKAESLNQQHLIEQLPKSICKSICQQLFLPTVEKVYLFKGVSKETLVVLVAKMKAEYIPPKEDVIIQNDTPDEVYIIVSGEIEIIDCEMDVERIVGTLHCGSMFGEVGALCCKNQSFTYRTRTLSQLLKLKTSVLQEAMNFKQEDSMVIVKNFLQERELSHKITIEGSPEKEVPTLIEEKDQSFNWKHSIELDFARVNIYKGHPVARKQASCDDPGKLIRLPHSMEELKKLAGEKFGFDARNAVLTNEEGSEIDSIDVIRDNDKIYFS >KGN55779 pep chromosome:ASM407v2:3:1266320:1269352:1 gene:Csa_3G011800 transcript:KGN55779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCLLQQVRPHLTKGDAMWCLLMSDLHVGRASAMEVPVLPSAPSNVNGSASGANGENVSNQPVGFLAPSLCKFHSGSGFGNGGGSEFSANGFVSYAPDMNLHRDIECPKRFNLSPSMKSMLKRNVAAFAAGFRANSKNLQVQSQACTNGLPTDNPPVVQGGSGFPVEKSEENQDAVNSMLSKFRDLKLYENLDFVAEDQKDEVIVNLLQQIKELERQVKERKEWAHQKAMQAARKLSNDLTELKMLRMEREETQCLKKGKQSLEDTTMKRLSEMENALRKASGQVDRANAAVRRLEIENAEIRAEMEALKLSASESVTTCLEVAKREKKSLKRLLAWEKQKTKLQEQIAEEKDKISKLQDQLEMIKLDQKEAEVRWRQEVNAKEAAMAQVEDERHSKEAAEASSKRKLEALRLKIEIDFQRHKDDLQRLEQELLRLKASAQSTESHHPSNNNTPKGNSDSVKPQGETIAKMLRELDSYEDSSEKDVNQDRECIICMRGEVSIVFLPCAHQVLCASCSDNYGKKGRAACPCCRVTIEQRIRVFGASS >KGN57777 pep chromosome:ASM407v2:3:16995270:16995652:1 gene:Csa_3G298060 transcript:KGN57777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPRKCQDMGIEPTILISTKLGDFNNVCRRITSAIEHPHLSIPENEAGRSRKHYHKLKNHSLMFVSVFASLDGCINESVIPPITCFSERMFLSIKR >KGN58660 pep chromosome:ASM407v2:3:26994915:26996573:-1 gene:Csa_3G710870 transcript:KGN58660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFSSWLLDSVDTKLDLNSVPLRFSGEPPKERNYMDVERKATVKEETGALMEELKRVSAENKKLTEMLTVVCENYNTLRGHLMEQMNKNGEKEISSSKKRKSESSNNNNNMAGMNGNSESSSTDEESYKKPKEETISKSAKITRVQVKIGASDSNLVVKDGYQWRKYGQKVTRDNPCPRAYFKCSFAPSCPVKKKVQRSVEDQSVLVATYEGEHNHPHPSQIEATSGGAAARSVNIAPAVVSAAPGSSSAQAVSLDLVKAKPIAIMEAKTFANPKFDSPELQQFLVEQMASSLTKDPNFTAALAAAISGKIFPH >KGN58979 pep chromosome:ASM407v2:3:28676090:28678256:-1 gene:Csa_3G740220 transcript:KGN58979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEAKVEESAAKNEAEKKPAAPVPAPAPAAVPVVKDGGAKKEEGGAVTAIYKIDMHCEGCAKKIKRAVRHVKDVESVKADCGANKLTVIGRMDVVAVKQKLELKTKKKVELISPQPKKDAPAAAAAAPAAAEKKPEEKKAPEEKPKESTVVLKIRLHCEGCIQKIRRIILKINGVQSVDLDGVKDLVTVKGTMDVKQLEPYLKDKLKRKVEIVPPKKEEAAGEKTKEESPAPAAAAGGGEKKKEEAGGGGEKKEKEGGGGEKKEGGGGEQKEKEGGGEKKEGESKPAPAAAPAAAGEGGGVKPADVVNKFEYYGGYPFQQLYYEAPMQYQSYSMEANPSYYNPNYGYNNHGYDNHGYVDHRYDVVPMDPHFPHHMRNDQPQMFSDENPNACSVM >KGN55831 pep chromosome:ASM407v2:3:1783929:1786547:1 gene:Csa_3G017250 transcript:KGN55831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTWEEILKVIFPLLEGVDLASCMAVCKQWRDIAQDDYFWKCLCAKKWPSTCKTSHPPTDTYYKLYRNFYKRPNNRRLLPPRLSFDDLEFFIDIWSEDRLIFSEVVSGGIFQNGMKNPPPSTGNLLSYHLEVPEFKMTLTVEPRFSIPISNTVSASVLVGRKDSNKVARIVNKSVFDYIDRTSYRALAFDYLDFSPFHPFVSGIRAWISLLFMDDGDDGAIDVFGIVMDFCDAANTKDEVLWLLDLLDWK >KGN60394 pep chromosome:ASM407v2:3:39021583:39025095:-1 gene:Csa_3G902360 transcript:KGN60394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLHASSSLIYLCSGQFSTFLQLFSFFTNAPLFPFSFQISFIFTHISLLSSSIRLLKNLKACTVFINHMADVAKDLLAGTLGGAAQLICGHPFDTIKVKLQSQPIPLPGQRPKYSGAMDAVRQTLASEGPRGLYKGMGAPLATVAAQNAVLFTVRGQLESFFRPYPGASLEVKHQVVCGAGAGVAVSLVACPTELIKCRLQAQSALATSGSVGVAVRYGGPMDVAKHVFQSQGVKGLFKGLVPTLAREVPGNAVVFGVYEMLKQHFAGGRDTSNLGRGSLMVAGGISGAAFWLAVYPTNVVKSVIQVDDFKNPKFSGSIDAFRKIMALEGVKGLYKGFGPAMARSVPANAVCFLVYEITRSSLG >KGN56821 pep chromosome:ASM407v2:3:8975473:8977251:1 gene:Csa_3G134700 transcript:KGN56821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKFLFIFLALLITASASDWNILSQRSKSGLKISLKNYCESWRLNVELHNIRFFRVVPEECVSYIGKYVTSTQYKVDSERTIEECVVYLSKGCDLKGDGTDAWIFDIDDTLISTVPYYKKNQYGGKKLNLTDLEAWMSKARAPILEHTLRLFNFLKAKGVDIILISARREGLRSATIENLVQVGYHGWTNLILRSPEDEKKGVEQYKADVRRRLVNGGYHIWGIVGDQYSSIQGSPSGRRTFKLPNPMYYVY >KGN55829 pep chromosome:ASM407v2:3:1772845:1773645:1 gene:Csa_3G017230 transcript:KGN55829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASARAMLVLLLSLLSLSSSSIAQSPSPSPGPASPAAPPPSTPPPVAPPPTTPPPAASPPSSISTPPAAAPTATPPMMASPPPMEPSTAPAPGPAMPEGPPSPPSQSPMSPPGPAPAPAPTPPSARPRSAGFSIHQGGYMAGVAAVLGGLAVVLV >KGN59999 pep chromosome:ASM407v2:3:36118972:36120230:-1 gene:Csa_3G865340 transcript:KGN59999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKSQVIACHTVESWKQQLLKGKQFDKLIVVDFTAAWCGPCRAIAPVFVELAEKMSNVIFLKVDVDKLTTVAAEWGVSALPCFMFLKNGKMVDRFVGARKDALRKLVSHHA >KGN59002 pep chromosome:ASM407v2:3:28804989:28807314:1 gene:Csa_3G743390 transcript:KGN59002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLTKQTHICMKTICCFVLISLLLLSIRVKGNSEEFSYDPCSEKGPKFWGELNIEWAKCGNGSIQSPIALSKWTADLTRGLGDLRRNYRPANAILRNDGHEIIVSIYILFLTYYVGFVYFFFILFNQFRSKIVFSSFTFNSMYPLELHMVHFNNVTQKTAVVGVLFEYGPRDPFIYMIERDIKYINIDGGEEFLGKVDPRVARLDGRKYFRYIGSLTTPPCTEGVIWTVMETVQTVAPYQVKLLQQALVEEKNARPLQKINGRDVFYFDPFSWQSVAAE >KGN57884 pep chromosome:ASM407v2:3:18471717:18475122:1 gene:Csa_3G363170 transcript:KGN57884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSFTHAPSPSPYGLKRSDHGFQSSHFPISTPSKHSHGGNFTSNAFRIRNCSVPVVNHPSHSSSSLRRTLSSNWDVFSNYSVSSARSLPRFEELDTTNMLLRQRIIFLGSQVDDMTADFIISQLLFLDAEDPTKDIKLFINSPGGSVTAGMGIYDAMKLCKADVSTVCLGLAASMGAFLLASGSKGKRFCMPNGRVMIHQPLGTAGGKATEMSIRIREMAYHKIKLNKIFSRITGKPIEQIELDTERDNFMNPWEAKEYGLIDEVIDDGKPGLIAPIAEATPPPKTRIWDLWKVEGSRKAKKNLPSESKILKNGYEEGGQGSDKERGGQQETEEPSPV >KGN57443 pep chromosome:ASM407v2:3:13112285:13114443:-1 gene:Csa_3G186700 transcript:KGN57443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEHIKEAERVGGDGHVGSEESMSSSRKEEEVIKKKYGGIVPKKPPLISKDHERAYFDSADWALGKQGVEKPKGPLEALRPKLQPTQQQTRYRKSPCAPSDGEDGGTAQNEYATSNE >KGN58762 pep chromosome:ASM407v2:3:27587830:27591587:1 gene:Csa_3G731730 transcript:KGN58762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEEKKPVILWRYIGKLEASARRSFSTTDGEPLWKSDKRFGASGGSGSDGDGTSCKFACITVVEKRNRKEFLPTSAQLLKHPSSILALVHREATLFAAGAVSGAVAKTATAPLERIKLLMQTHGARIAEEGAKKSIGFIEAIRTIVKVEGVKGLWKGNFPQVIRIIPYSAIQLFAYENYKNLFRGEDGELSLIGRLAAGACAGMTSTFVTYPLDVLRLRMAVDPGFRTASEIALSMLREEGITSYYSGLGPSLFGIAPYIAVNFCIFDLVKKSLPEEARRRTETSVFTALLSASLATVMCYPLDTVRRQMQMKGTPYKTVFDAFAGIWASDGFIGFYRGLLPNFLKNLPSSSIKLTTYDFVKRLIETSENEYQRITEENREKYNKKFTG >KGN60148 pep chromosome:ASM407v2:3:37206614:37210183:1 gene:Csa_3G881610 transcript:KGN60148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSVKLMSLLVGTLGVISFVFGVVAENKKPASGTPIPGKGHVVCKYQSDPTVALGFLSFMFLLASSCAGYLSLFYPYEGKSVPRGAFFKSSTFFAFFNIALFTTGLAITMLVWPTVTEQLHLTRNVHFNLDTACPTAKTGLLGGGAFLSLDSSLFWLVALMLAGNAREDYFDEIAEKGTTNVEAVKSSA >KGN60328 pep chromosome:ASM407v2:3:38590931:38592397:1 gene:Csa_3G895740 transcript:KGN60328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTHQPKLKTQLFSCGFFRHCTRSVLSPTASHSPALPSLPSASDQPPPPPSRRPPLPDSESSSSSASQSFTQWRFPLPHSPIFTQQPSISDPPSTFSIPPPDPLPPPISASALKEILQVAELQLSSASDSDRLAALQLLERSLVPNPSLDSDCTPELMRGLIESFNIKTGSKPATKILLALCLAEGNRHVAVEAGAVGAVIESLPEMEDAAAERALASLELMCTVAEGAAEVRSHALSVPAMVTMMGRMAARGKESAISVLGVIFDSGVSSEAKSGVTAPPEEVARAVVLALQGDSSVRGRRKGARLLKTLQEQQADEFSTAAL >KGN58024 pep chromosome:ASM407v2:3:20502749:20504792:-1 gene:Csa_3G435540 transcript:KGN58024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSSPSFEISGAFGSGSIPILNHSIEFLSSPVVESVYGNGRNFWGEEVTESESMRNSEGVRQLNNQEDAKDRGFIEETEILNGENGGGKAGDLVRVELVEKGEKPLAGECVTEEMAEGETSSVELLNFGDTGDWKKIKGSEMSNTISVPCETSEEDEITEASNVHGLDEVKLLSNISTASENEYTLQMKVVEKEKEEDLEIIENNTGESESFVLEVDKITQASNVNGFDEDRLLSNILTVAENEYSSQMEVVEKEMVESNRGESESSVLEADKITEASNVNGFDEDKLLYNILTVAENEYTPQMEVVEKEEVGDLEMVESNTGKSEGFVIEADKITILEGIINRVSSFVEDLEKLKSKLVELMHTETKSVLKAVLGLSVSSAVLTCLVLSFQLKKKKDDIKVPAISVSVEPLLQGPVAEAEKVIVRKSPSIKVTRDVNRTNNEIIRNVDSFKKLSSSIHSRDEGGNFKVMHHNEAPTVQFGEFVVGEISNSLKGKLNNWTIEVEDSNFPGSVEEEPVRNMTSGPEQALSEFSATTSSPSYGSFTTMKRIVKREVGGDGEVKLIPTPVRRSNRIRNRMMS >KGN58287 pep chromosome:ASM407v2:3:23567401:23570055:-1 gene:Csa_3G608170 transcript:KGN58287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQVGEKGSENGRDDYTEDGTVSLKGLPVLRSKTGRWRACSFIVAYEVFERMAYYGIATNLVVYLTDRLHQGIVTSANNVTNWVGTVWMTPIIGAYIADAYLGRYWTFVSASAIYLTGMALLTMAVSLPSLRPPPCGNEGKDGHCDNQASPLQVGVFYCALYIIAIGTGGTKPNISTMGADQFDDFEPKERSQKLSFFNWWMFSIFFGTLFSTTFLVYTQDNVGWGLGYGIPTIGLSLAVLFFLMGTPFYRHKLPSGSPFSKIAKVIVAAVMKWNVPIPNDSKELHEINLEDYTNSKKSRIDHTNSLRFLDKAAVRSPTSEAWTLCTVTQVEETKQMIKMMPILSVSFIPSALVAQVGTLFIKQGATLDRSMGPHFQIPPASLSAFITIFMLITIVIYDRLFVPFARRFTKNPRGITLLQRMGVGLVLQIIVMVIACIAERMRLKSVRENHTFKQNDQVPLTIFILLPQFAITGIADAFVEVAKLEFFYDQAPEGMKSLGTSYFTSSLGIGHFLSSFLLKTVADRTAKNHTNWILDNLNVSHLDHYYALLGLLGFINFFFFLTAAMFYVYNVDSAESKKEITTTLLNTEAASDQVPKIQPA >KGN57159 pep chromosome:ASM407v2:3:11006538:11008316:1 gene:Csa_3G166240 transcript:KGN57159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTMKFCRECNNILYPKEDRDEKILLYACRNCDHQEVADNNCVYRNEIHHAVGERTQVLQDVAADPTLPRTKAVRCAQCNHGEAVFFQATARGEEGMTLFFVCCNPNCGHRWRD >KGN59035 pep chromosome:ASM407v2:3:29003238:29008064:1 gene:Csa_3G748150 transcript:KGN59035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTHGRSSEKKREKTSSSRTRSRRFDDSESDSDDSDSRDSSPVTRSRKRREKRDPSRSSHRSRRRSSSRGRDSGDDSSNDSYDSDDGGRKKSKSSRKVTEEEISEYLAKKAQKKALRVAKKLKSQTVSGYSNDSNPFGDSNLNEKFVWRKKIERDVTQGVSLDAFSVKAEKKRQRERMAEIEKVKKRREERALEKAQHEEEMALLARERARAEFQDWEKKEEEFHFDQSKVRSEIRVRDGRSKPIDVLSKQLNGSDDFDIVINEPYTVFKGLTVKEMEELRDDIKMHLDMDRATPTHIEYWEALLVVCDWELAEARKKDALDRARVRGEEPPPELLAEERGLHSSIETDVKNLLEGKTYGELEALQSQIESQMRTGTAKVVEYWEAVLKRLHIYKAKACLKEIHTKKLHEHLVRLEEPLEDNEEQMDPEVKMETDYSLQVKADDDEHDIEEPQTYSPDLLVEEDNQEAGSFSPELMHGDEDEEAVDPEEDRAILERKRIAVLEEQQRRVQEAMATKPAPVEDNFELKASKAMGVMEEGDAVFGSGAEVNLDSQVYWWHDKYRPRKPKYFNRVHTGYEWNKYNQTHYDHDNPPPKIVQGYKFNIFYPDLVDKTKAPTYTIEKDGNSSETCIIRFHAGPPYEDIAFRIVNKEWEYSHKKGFKCTFERGILHVYFNFKRYRYRR >KGN57967 pep chromosome:ASM407v2:3:19760138:19760416:-1 gene:Csa_3G415120 transcript:KGN57967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLAKLNISGSPKTNIWKWSTNACDGENAQRSNGWQNEDTMANEACRQRDMTEMCSTTHDNQMTWAEWVGRTTVKTKPFERRWLVSTMMGGG >KGN60410 pep chromosome:ASM407v2:3:39144456:39148357:1 gene:Csa_3G903500 transcript:KGN60410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFTFTPHIGGRLPTPSLSVSSSYSSTSKRKPKFNSITTTRVSLQASTPSLSDPFVLQLAETLEDSLSSSSSSSSPFPLQKLRESSAENLLSTPWPSRRDEPFRFTDVSFIKQSQIHPISNPPQFSELSSIPLETQFANVVIVDGHFVNSVSNLTELPNGVYVGSFIDLPSESVGKRVSEFVDGKFAGDLFWSINGVGAPDLTVVYVPAGCKVENPIHFRYYSINGGDEGSKELAVSNPRVLVLVENGGEIEIIEEFLSGDGGKSYWSNPVLEVVIGSGGKVKHSYIQNQSLNAAHIKWTSVQQESTSAYELVEISTGGRLSRHNVHIQQLGPETTTELSTLHLSIGNQTQDLHSSLVLDHPRGYSRQLHKCIVANPQGQAVFDGNVKVNRYAQQTDAGQLTRSLLLEPRATVNVKPNLQIIADDVKCSHGAAISDLEETQLFYFQARGIDLETARKALIFSFGAEVIERLPSPSVRKRVENHIKELLNPTLERS >KGN59827 pep chromosome:ASM407v2:3:34772169:34774878:-1 gene:Csa_3G848850 transcript:KGN59827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEVKQKFFHGTLECLFAGGKCSYVTIKIDNKEVAQTSHEQDRVWNQTFRVLCAHPLTSTVTITLKTSRSVLGKFYIQAQQILKEASFINGFFPLLMENGKPSPELKLRFMLWFKPAVYELSWKKMLGNGEYKGLRNATFPLRSNCHVTLYQDAHHLPTFQPPFHGSSTPRRLWEDVYKAIDNAKHLVYIAGWSFNPKMVLVRDSQTDIPYALGVKLGELLKQKADEGVAVRILIWDDETSLPIIKNAGIMNTHDEDARAYFLHSKVICRLCPKLHPMSPPIFSHHQKTIIVDAQTHINAQNREIMSFIGGLDLCDGRYDTEQHSLFHTLNTESHCRDFYQTSISGAKLQKGGPREPWHDVHACVTGEAAWDILTNFEQRWTKQSDASLLVPTSILLKLMPQLESNTNPQKDWNVQVFRSIDHLSASQMFRNMTIERTIHEAYVEAIRRAERFIYIENQYFIGGCHFWDRDQHCGCTNLIPIEIALKVANKIKARERFAVYIVIPMWPEGSPESESVEDMLHWTRQTMTMMYRLIGEAIQETGEKAHPRDYLNFFCLANREEERKWDFIPPHSPQHATQYWNAQQHRRFMIYVHSKVMIVDDLYILIGSANVNQRSMDGERDTEIAMGCYQIENEGEELPNGRDISKFRLSLWYEHTGGFEEVFLNPESLKCVERVRSIGDKSWKIYSGEEVEDMKGVHMVTYPVKVKEDGRMEDLEENGGHFPDTKCPIKGRRSMMLPPIFTT >KGN55659 pep chromosome:ASM407v2:3:490327:492870:-1 gene:Csa_3G002780 transcript:KGN55659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKTYPHMNHFISSSFSSTQSPNPLPFFITPFPPLLPFPHFPPTLSLSHSSMAAAVTAAAVSFPSKSSSLPSRTPLISPDRIFLKKVPVPVFYHGGRAAVSPIRAQVTTEAPAKVEKESKKQEEGIVVNKFKPKTPYIGRCLLNTKITGDDAPGETWHMVFSTEGEVPYKEGQSIGVIADGEDKNGKPHKLRLYSIASSALGDFGDSKTVSLCVKRLVYTNEQGEIVKGVCSNFLCDLKPGAEVKITGPVGKEMLMPKDPNATVVMLATGTGIAPFRSFLWKMFFEKHEDYKFNGLAWLFLGVPTSSSLLYKEEFEKMKEKYPDNFKLDFAVSREQSNDKGEKMYIQTRMAQYAEELWELLKKDNTFVYMCGLKGMEKGIDDIMVSLAARDGIDWQEYKRQLKKSEQWNVEVY >KGN58140 pep chromosome:ASM407v2:3:21894045:21903842:1 gene:Csa_3G550680 transcript:KGN58140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLGNGSSFRSNGSFRSIMDGFSRSSLREEDDEEALKWAAIEKLPTFRRLRKGLVTTLNGEANEVDILKLGFQDRKNLIEMLLQVGEQDNEKFLIKLQDRLDRVGIEVPTIEVRFEHLSIEADGYVGTRALPTLLNFTLNMVEGFLSYLHMFSSGKKPIKILHNVSGIIKPGRMTLLLGPPSSGKTTLLLALAGKLDPNIRTTGRVTYNGHGMNEFVPQRTAAYISQYDLHIGEMTVRETLAFAARCQGVGSRHDMLAELSRRECAANIKPDPNIDTFMKAAATEGQEESMVTDYILKILGLEGCADVMVGDEMIRGISGGQRKRVTTGEMLVGPARALFMDEISTGLDSSTTFQIVNSLKQCVHILKATTVISLLQPAPETYELFDDIILLSDGHIVYQGPRDRVLHFFESMGFVCPERKGVADFLQEVTSKKDQEQYWKNKDEAYNFVTPFEFSEAFQSFHVGRKLGDELAIPFDKSKSHRAALTTHKYGVGKRQLLKACFSREILLMKRNSFVYIFKFFQLLVMALITMSVFLRTEMHHDTIVDGGIYTGALFFSVIMVMFNGLSELSLTTIKLPNFYKQRDLLFYPSWAYSLPNWILKIPITFIEVALWVGITYYGIGFDPNIERFFKQFLVLLLVNQMASALFRFIAALCRNMVVANTVGSFALLTLYALGGFVLSRGTTLLIIRTIKKWWTWGYWISPIMYAQNAVVVNEFLGKNWGEALGLIVMKSRGFFPNAYWFWIGAGALLGYVFLFNFFFTLALAFLDPFRTSQAVKSGETESIDVGDKRENEMNFQGNTQRRTGMILPFEQHSIAFEDITYSVDMPKEMRNQGIVEDKLVLLKDISGVFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGNIKISGYPKKQETFARISGYCEQNDIHSPHVTVYESLLYSAWLRLPRNVDSETRKMFIEEVMELVELKTLRNALVGLPGQSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKPGGQEIYVGPLGRHSFHLIKYFEEIKGVAQIKDQYNPATWMLEVTSPAQELALGVDFTDLYKNSELYRRNKMLIEELSRPTPDSKDLYFPTKYSRSLYTQFVACLWKQHWSNWRNPSYSAVRLLFTIIIALMFGTMFWDLGSKRKRQQDLFNAMGSMYTATLFLGVQNAFSVQPVVAVERTTFYRERAAGMYSALPYAFAMVLIELPYVLVQAMIYTVIVYSMIGFEWTVAKFLWYFFIMNFTLLYFTFYGMMAVAMTPNHHIASILSFAFFALWNLFSGFVVPKPRIPVWWIWYYWICPVAWTLYGLVASQFGDVKDVLETGETVEEFVRFYFDFRHDFLDISVSVVVGFGVLFAFAFAISISIFNFQRR >KGN60451 pep chromosome:ASM407v2:3:39510417:39517063:1 gene:Csa_3G912350 transcript:KGN60451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDYSLPVSKRTRLRRAMSGMEHLEQRRKRRRKSRSDSSSDNVRGKALRGKRVYDWEHSSVNRTLKKDCDDCDDCDDSDGDSLEVIDALTFGKEGGDSVTFVGSESSGLKNVKEFYSKGNADFIDLEDDVILLDEDEGFESVNSMCSFSKGKEGEEISPDKSVGGSDCLNCNGCESGGCSSKTEPTCSSDDAVDESTEFVSSSEEEFDDSSDRNYELEESDGLNSESSSSEDEKYHGSYYGEIGETWERKERTKKENLLEGGLRRKAFGLDIFVDFDEDGHKKNDEIGEQVNCIARRTRSQFGFRTRKINTNLGTVSQPFNVDEEDSDVQCDEKEVGSSSRHDSDSTTGDSCDSGSTTGDEIYKPWGWNSSKKKTQFNNQSNDDDFLSEQKDDSFLSEKKDDDTNKVGSFHVGSKLQNSRSSPETNKHNRSIDFQKVCPENGHEFRDIVRTKGRGRPRGIDVFNILIESIIADKELPSVELDHPTSQLSQMPLPLKFGLMESRLPEKSEEEKELDKLWAELDFAIRSSEIGLVDCNTVEHEDAFPSKLEQVDLCLRGDHQLILDEQIGLKCRCCSYVKLEIRNIAPSFDTNPHGKSKKKKSDLFEHVKYDGLEQDADCDAHDNPDSRSHFGQTVWDIIPGIRNSMYPHQREGFEFIWKNIAGGIYLDELREMDGLNNGSGCIVSHAPGTGKTRLTINFLQTYMKLNPTCRPMIIAPSSMLLTWEEEFLKWDVGIPFHNLNKRDFSFEENMSALKFLMQASPSGQNVDNVRVVKLFSWKKEKSILGISYRLFERLAGVRNDSKCDKVRNVLLELPDLVVFDEGHIPRNDDSLIWMALSKIKTERRIILSGTPFQNNFTEFSNTLRLVRPNFAKESNTVGDGCMDKKRGRPKNITRGKWDLLISSIGRTSELESAELKEIRALINPFVHVYRGSILQEKLPGLRKSTVILWPAELQKNFLERVQARKNSFEVEYVESLISVHPSLILKSDKGDCEFDKDMLERCRLNPELGVKLQFLLEIIRLSEALNEKVLVFSQYIEPLSFIEEHLKFHFKWTEGIELFHMDGKREIKKRQALINTFNDLTSEVRVLLASTRACSEGINLVGASRVVLLDVVWNPSVERKAICRAYRLGQRKVVYVYHLITSGTREEEKYSRQIVKDRLSQLVFSSEQNSNDVKVSSRDLDDRILEAVLQHEKFKKIFQKIIYQSKDSCMNENFGLADKE >KGN58150 pep chromosome:ASM407v2:3:22017581:22018712:1 gene:Csa_3G560250 transcript:KGN58150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGISRFDPKEVVEATANDSNHNDTPNHSNHKSYSTSNCFTGESKHMSHQGRPPPPEPRHSSSDRAAPGDQKMMKMKTNVKQEESRGINTNGLKIRMRMRTRTRTSTQIDNDDDDSSDEDSDKNDQNCREICRGGSPSFREYCVDSESRSRSMGSEDDCEGDQCKWAPKEKGVDNEIGKKERRGKGLRNALQRGMTSGGVKNLLTSSSRPQQHHTNFSSH >KGN59045 pep chromosome:ASM407v2:3:29064629:29069521:1 gene:Csa_3G748250 transcript:KGN59045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCDDDFSLLNDDHHHQHHHQSTTNHHHVPTPHHIHQSYTSHRFTPRSSSVHAPPQPSPNQPAQPILAPSGSPKKIGVPIDEEEEEEDTEDYGDPAFCSSSFDNGDPNRLGVGVDVRVEKRKDQSDELAEEGGSYTSYKRAKPSSSGGEYRKDREEWSDAAISCLLDAYTEKFTQLNRGNLRGRDWEEVAATVSERCEKQSKSVEQCKNKVDNLKKRYKLERHRMSNGGVSISHWPWFKQMEQIVGNSLTMKVVSDEDRSVASSGNTPRISKRYVLPTPNTAGQMNNIKPKAVSSPRWRRVVFKISGTALAGTGPNNIDSKVAMAIAREVVMACRLGVEVAIVVGGRNFFCGDSWVTTTGLDRCTAYQIGMMATVMNSILLQSAIEKMGVQTRVQSAFMLQEVAEPYSRQRAIRHLEKGRVVIFGGIGAGTGNPLFSTDTAAALRASEIHAEAVLKGTNVDGVYDCNSQDNNFTFKHISFRELVSRGAISMDMTALTFCEENNLPVVVFNLLEPGNISKALCGEQVGTLIDQNGRIS >KGN59376 pep chromosome:ASM407v2:3:31465790:31466155:1 gene:Csa_3G815405 transcript:KGN59376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARNRREFMVPTPFLSRRSLFVVTHKYLRLIKFLISLGRSSSAEQDPRIKVCKLCRLHIEFGRCLIFVLPCKSRYLRYLSVPMEFGISCRSALLKYNNLNPLYFQMHFSVRDALGSTIFLS >KGN58810 pep chromosome:ASM407v2:3:27796688:27800299:-1 gene:Csa_3G732660 transcript:KGN58810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIGGKEREVEDLHKMENGGKQKMGDFVLKGKWETREILKYFAGKREIHRRSAAPGDTLFRCGSSSSILRFSRGSIQRYDVKKSGCRDKQIIGESIYEPIDEGRHRVFEETGAVIASEAQSWSQCRKKKFNQLMLGEFIGAKHQDRYLRRTWFTSQYIVVGVKPTI >KGN56876 pep chromosome:ASM407v2:3:9254067:9255628:-1 gene:Csa_3G135730 transcript:KGN56876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWNQPALQDKIKIDIMADAGNKFILTPLSKTTENYDRSSELKAFDDTKSGVKGLVDAGVTEIPRIFYSPPEDSHSDGVSSKTQIQIPVIDLNHVGTNSLKRKYTTDRIRKASEKFGFFQLINHGIPVSVLEGAYEAVRRFNEQETEVKKQYYSRDFSKPFIYTSNFDLYFAKTTNWRDTFRCASATTSQDQQDIPEICRDILLDYSKQLMELGKLLFELLSEALGLNPNYLNDIGCSEGVSVLYHYYPPCPQPNLTIGTSEHTDNDFITVLYQDQIGGLQIRYENSWVEVAPLGGALVVNIGDFMQLTTNDKFKSVKHRVVANKEGPRVSVAGFFTEFPFSTSKLRPIEELVSEENPAVYRETTIRDFNILFRSKGIGTTTLEHFKIKPGDA >KGN59281 pep chromosome:ASM407v2:3:30780053:30781152:-1 gene:Csa_3G799650 transcript:KGN59281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIPLFSSFRYSDSLSVVGISVCTAVICEAISWVLIYRTNSYKTLRSSIDKAAKKLETMKTDSSKITVKKSKTKKIDRIETSLKESSRDLSLFKFKSGAVVALVLFVVFGLLNSLFEGKVVAKLPFKPFGLVMKMSHRGLQGDDPTDCAMAFLYFLCSISIRTNLQKFLGFSPPRGAGAGLFPMPDPKTN >KGN58705 pep chromosome:ASM407v2:3:27295700:27298163:1 gene:Csa_3G730200 transcript:KGN58705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSIPPLNRLLRHPFSSNKNRCLSSPRLTPTHDEAVALPKLPAFDYNPPPYEGPSGEEILRKRKEFLSPSMFLFYKKPLNIVHGRRQYLFDENGRRYLDAFGGIATVSCGHCHPEVVEAIVNQTKSLQHSTVLYLNNAITDFAEALASKLPNDLKVVFFTNSGTEANELALMMARLYTGNHDVISLRNSYHGNAAATMSATAQSVWKFNVIQSGVHHAMNPDPYRGMFGSDGEKYARDVQEIIDYGTSGNVAAFISEAIQGVGGIVEMASGYLGEAYKMVRNAGGLCIADEVQAGFGRTGTHFWGFQAQGVVPDIVTMAKGIGNGIPVGAVVTTTEIAQVLMRRSYFNTFGGNPVCCAAGRAVLKVIDKEKLQENAFTVGTYLKNRLAALQHKYQLIGDVRGRGLMLGVELVTDRQFKTPAKAETLYIMDQMKDLGVLIGKGGCHGNVFRITPPLCFTKQDADFLVDAMDYTMSKI >KGN56689 pep chromosome:ASM407v2:3:8245662:8251408:1 gene:Csa_3G128970 transcript:KGN56689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPADSGRYAPPNVSNHSVKQSNDGQQARKTCAGVSSVSSTVTSPGGQNGSYGQPQQNGSASNPIKLSGSENGAVFKIATSQTTSKTFDAFSDSKSGIVQHIATSKPTSRPSDTISFKDLGEQHVTFPLQFGSLSPGFQIPWTSSAPSNLQEPIFNQAPRHLFKSAPSGSVLPVPKQQIARNDSSVCDQPNIGTSNPEPETKTEIEVLSRAPLNQIRKPSHESSVSHRASVSLPDNKSMNVVHHIADIPNVKEVQVQDENSTSSAAKHVAMQSLPGSLTQAIYSCPKESLSRTNKIKADNKVTGKKGLVQHLHQSEVSNPICYSKLESSLQCEQSKHELVGTTKAVPRRLSGSQDDIEQSKPPSCMKPTAINNGNSKSKTLECDGHEPIISHAEVGSTNDNNVLSNFITNGWKTSPIIESCQSDTNTNYDTVVCRTDLQSAFDDTSELSEMKQEGDRMDHPGAQCDPNFRPFIEDKPVMDTNKKNKLKKKKRRELLRKADAAETTSDLYMAYKEPDEKKETVISAESSSGTINMKHESAGSVKEDADLIKKDVQNKLAPDDWEDAVDISIDTLKYEGGFEDKANGKVALHIEDESGDLLKKYSRDFLLKFAEHFMDLPDGFEVTPSIKGLLSINHDLGFVNVNSLANLGKKDMPSRGSRLDHRAIAVDDRQLDSGRHSHLDSSRPPLGATNSAVKSPWAHIGSQGKIQRNGSNSDRWQRDASFQLKGIIASPTPSQAMHRAEKKYEVGKLADKEETKQRQLKAILNKLTPQNFEKLFEQVKAVNIDNSKTLSGVISQIFDKALMEPTFCEMYAKFCLHLAGELPDFSDDNQKITFKRLLLNKCQEEFEKEQEENDEVNKVGEMEQSAEEREVNRTKARRRMLGNIRLIGELYKKKMITEKIMHVCIKKLLGQYQNPDEEDIEALCKLMSTIGEMIDHPKAKEHMNAYFEMMTTLSNNMKLSSRVRFMLKDAIDLRKNKWQQRRKVEGPKKIDEVHRDAAQERQAQTSRLSRGPEMSATLRRGSSMDFGLRAPALLPSPNAQVGGFHGFSTQNYGSSSQDSRFEDKLQSSEAKAFPTPLPQRPISDDAITLGPQGSLARGMSIRGSRSVSSNSLANLSSPNGNNSQRMVPVSSPHGLASEHATSNFRGYIPSRRFSMGILAKSLDQVINAQEPGSSNGSRQLGSIDSGLGRSQPTKCEQPALTANGHSEATSKEHLQDKSIAAIREYYSARDEKEVALCIKDLNSPEFHSSMISLWVTDSFERQNTERGLLAKLLVSLTKSKDGTITQLQLLKGIQSVLATLDDAVNDAPKAPEFMGRLLANLVVENLITLKEIGKFIREGGKEPGNLVQVGIAADVLGNLLEAVQLEKGQIFLNKILKSSSDLQLATFCPIKSTKLEKFI >KGN55748 pep chromosome:ASM407v2:3:1107614:1108404:1 gene:Csa_3G009540 transcript:KGN55748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISKTLSFGFLLLVSLGLASAARSLLSYDIPPHRSGYDNYDHPVVNPKVGYEHDRRDGYYHDRDHHDAPYGGGAGGGYGAGAGAGSSLGGSGYGSGGGGGSGYGGVGNHEVGYGSGGGGGYGAGVGSDLGGSGYGSGGGGGSGGGYGDLGGRGKGYGSGGGGGSGYGGRGDHGVGYGSGGGGGYGSGVGGGAGVVDHGVGYGSGGGGGAGSGYGGSKGYGGGSGGGGGAGYGGGAHGSGYGSGGGAGSGEEGGYDGGYAP >KGN59970 pep chromosome:ASM407v2:3:35892686:35894713:1 gene:Csa_3G857600 transcript:KGN59970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKWEALEKPHPHPLTYIEEKTESQEDMFCYICKKPLYPPAFICSTCKFYVHQSCIYFPPQIQSRFHPQHFLSLDESNTDHCHCCWQMPRDCFYTCSHPYCRFIIDIKCLLADTKTPGLNSIGKHFSHSHPFILEKDISTAKLVVCHVCGMLLPFGPAYFCSECNIRCHKACGDLPQEILQLNQHYHPLFLFPYAKPKSFCNSCKNECSQFVYSCVQCNFNLHVTCRASSNHKHNFTRLRTMIRFKCLLCGWWGHDFPWFCNICHLLAHEKCAELPPSLLVVGHDCPLNFTYSHPFVNQSKLACDICRKKVEPQFAAYSCSKCSYIVHLNCAGKKYLKGLQQHDGRLYITKKDTLKTSFQTYCIRDGEAMPNDFSNKTLELQTLHSNCEQGLILNKDERNDDKQCHGCMQRFPITEPSYSYNCAKCGFFLHKDCADLPITKRHQLHKHSLTLVATQDVAFQCHACLQVCHGFAYHCEKCLYTLDLRCFMIKTKKLKHPSHRHLLSLAQNHDDQQCKGCGQSNKTVFECDDGCSNFSLDYRCATLPQKVRCKFDGSLLYLRFYVEDETGEYYCDVCEEERNPNVCFYHCKTCHLMAHPECILGEYPWLKYGSYETHKHPLALVTEGKKNFSDCDHCGKPCTGNLAYECRRCKFNVHAIGRCYHDQILKGKLSFMIK >KGN57377 pep chromosome:ASM407v2:3:12602349:12605538:-1 gene:Csa_3G182130 transcript:KGN57377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNQRQRLARKKYKEEHPELFPKAEPTPPKDPDKKKKKSKFKRKKEESNSNRDPTKPHKKGFKKHPLRVPGMKPGESCFICKANDHIAKLCPEKAQWEKNKICLLCRRRGHSLKNCPDKNEGTVDKKLCYNCGETGHSLANCPQPLQDGGTKFASCFICNESGHLSKNCPKNTHGIYPKGGCCKTCGEVTHLAKDCPKKGTQVFDRAGVFGYRSSGYVEMPRRPETKLISGDDLEDDFMIDEGSLQIKDAKMGKKKGPKVVKFMD >KGN59428 pep chromosome:ASM407v2:3:31844765:31846431:1 gene:Csa_3G819850 transcript:KGN59428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLKEQPSELNVKLISDRARKHEMNLFSTAKSKEEYLSTGTGKMIKRENHQGSSSGQAVVVYPQYHQPAEAKSLLLQHIQRTPQLHRQHPNVRQAHQQFAMQNQSGASPQNTSNSQCRPQGFPRQDTGIHLSSEMFTQHPNFVNLTTQVKKEVDSEGFMASKSSEQRKQHSMSGASADPERIPNSEVWHDAAFAEVIFSYEYE >KGN56480 pep chromosome:ASM407v2:3:7040686:7041666:1 gene:Csa_3G121560 transcript:KGN56480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLVDKAMNYVSEKVANMPKPEASVTNVDLKGLSFGSVEYLANVSVTNPYSHSIPICEISYILKSDGRDIATGKVPDPGSLKASDSTMLDVVVNVPHSVLISLARDIGRDWDIDYELQIGLVIDLPVIGNFTIPLSTKGEIKLPTMSDVFA >KGN60071 pep chromosome:ASM407v2:3:36659972:36660285:1 gene:Csa_3G875950 transcript:KGN60071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRNVLNSNNLGNELQGLRPKIPRHAHPMIVDLLEKCWLQDPSLRPEFSEITRLLQQTPPKEVGKRCVETVKIYSFVSRALTRCWNKII >KGN56895 pep chromosome:ASM407v2:3:9362439:9363269:1 gene:Csa_3G142400 transcript:KGN56895 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protease inhibitor protein MAEESAREKTQWPELVGVDYSTAATTIETENSHVKAIKFLVGSPMYLNFDPRRVRVVCDTQDKVVEMPYVG >KGN59417 pep chromosome:ASM407v2:3:31764518:31766326:-1 gene:Csa_3G818260 transcript:KGN59417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDISVLTLTPFIFLTFFSFSIYFLFFPSTKSKSKPQQGFKHYPFVGTLPLFLLNRHRFLDWSTEVLRNCRTNTSVFKRPGKVHGVITANPLVVEHILKTQFENYPKGERFISLLEDFLGRGIFNSDGEIWKVQRKTASYEFNTKSLRNFVMENVRVEIQSRLLPIFGKACETERILDLQDVLERFAFDNVCKLAFNYDPACLGGGGTSAAEFMRAFEDAANLSAGRFMYAFPGLYKVKKFFNIGSEKTLNESIAIVHKFAEDIIHSRLEEKKTTQIENDQDLLSRFMGDQNNSPQFLRDIIISFILAGRDTTSSALTWFFWILSSRHDVQQKILAELETIRTKARKEIGEMYSFDELRDMHYLQAAISETLRLYPPVPVDTKACRNDDVLPDGTFIGKSWFVTYHTYAMGRMESIWGKDYGEYSPERWLENGVCKTESPFRFPIFHAGPRMCLGKDMAYIQMKCIAAAVIEKFEVEMVEKKKSPKHLLSLTLRMENGLEVMIKKRERGLSI >KGN58848 pep chromosome:ASM407v2:3:27973674:27974779:1 gene:Csa_3G734020 transcript:KGN58848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLNFITSLTNSTSLKVLSVATNSLTCQLPRSIGNWLTQLYTLLIAENQFSGTIPEEIGNLVGLISVSFLTNSFTGNIPFPLENLKNLQILSLKSNFLSGFIPETFGNLSEPSQFTVNDNNLGGKIPPSLTNCERLTIFYISENGLIGNLPDEIFNFKTLLIFNVSFNNFTGHLPSQIDKLSMVQQNQTQYSYYKLKHATGDFGNENLIGKGSFGSVYKGVTRDRPSIAIKVIDLEQMDFLSNVKYSETQEVADQNRTMADSEMKNRHSFRCSSSNNGVLHHGLETPVVHCDLKPSNVLRALLVG >KGN58658 pep chromosome:ASM407v2:3:26975704:26977895:-1 gene:Csa_3G710850 transcript:KGN58658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLKIADEYRLQVESNTEENRNLVVVREYCEERDKVSVEKMERQCDVGQKGKPSIFTDLLGDPICRVRHFPSHVMLVAEYGKAREIVGVIRGCIKHVTTGHSHHVLKLAYILGLRVSTTHRRLGVGTKLVQHIEEWCKQKGADYAYIATDCANQPSISLFTQKFAYTKFRSPTVLVQPVHAHYKPIGSGISIVRVPPHVAVKIYRHLFANAEFFAEDIDAILFNKLNLGTFMAVPKKLLPKWDPETGILPQSFAVLSVWNTKEVFKLQVKGMSKLTYACCMGSRLLDSWLPWLRVPSFPDVFSQFGVYFLYGLTMRGTNGQRLMKSLCTFVHNMAKDDVGCGALVTEVGQQDPVRVAIPHWKRLSWNEDLWCIKKLTDLEGDNYEGSKTCDWIKSPPSSAGIFVDPRDI >KGN57564 pep chromosome:ASM407v2:3:14318183:14318448:-1 gene:Csa_3G214040 transcript:KGN57564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKVLDTIKKMVLNYGLAVSLQKKNVAGVSKWKWKHLAKEDAGNREEMEKIGRGTYSDEGGITVVAAK >KGN60110 pep chromosome:ASM407v2:3:36908769:36920386:-1 gene:Csa_3G878790 transcript:KGN60110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDLRAKIRIAVLVAVTVVISLAATYGILKPISNGCIMTYMYPTYIPISSPVGLSSEKYGVYLYHEGWKKIDFKEHLKKLNGVPVLFIPGNGGSYKQVRSLAAESDRAYQGGPLEQTFYQEAFIGKVEGEADTNLDDFQLPDHYTRRLDWFAVDLEGEHSAMDGGILEEHAEYVVHTIHRILDQYKESFDARAKEGAANAASLPRSVILVGHSMGGFVARAAVVHPRLRKSAIETVLTLSSPHQSPPLALQPSLGRYFTRVNQEWRKGYEVQLTRSGYFASDPPLSHVVVVSISGGYHDYQVRSKLESLDGIVPPTHGFMISSTGVKNVWLSMEHQAILWCNQLVIQVSHTLLSLVDSSTGQPFSATRKRLTVLTRMLHSGIPQSFNWRTQSHTSQQIAHFSAKNVEDESGSVVLSPNACPKNVHWNDDGLERDLYIQTSTVTVLAMDGRRRWLDLEKLGSNGKSHFIFVTNLLPCSGVRLHLWPEKGKSGSLPLSKRVIEVTSKMVQIPSGPAPRQIEPGSQTEQAPPSAVLMLGPEDMHGFKFITISVAPRPTVSGRPPPAVSMAVGQFFNPDAGRVKISPWSMLLSKYYNDDIFVKEDHSLVLNLSFPISLGLLPVTLQLETTGCGIKSSSFSDDQAEDIENNRLCRLRCFPPVALAWDEISGLHIFPNLQSETILVDSAPALWSSSAGSEKTTVLLLVDPHCSYKTSVAVSVSAAASRFLLLYNSQIVGFCIVVIFFALMRQAQAWNHDFPIPSMLAAVESNLRIPFPFFYLVIVPILLSLFLSLVTSQPLPPLTIFTTVSVVCYSFANAAVVTLILVSQLIFYMMAVVHVFIKTRWQVWEGNVSFVLFSWFGKLFSCFQSLKVIRVLGVNPLLATALSAISLACFIHPAMGLFLLLGFHAFCCHNALSSHVRSKKLQGGNGSQQSTFPLTDELNLNDSIEDNLSTSPGSAKSYGETQLEIFHHCHSLLILHLVAAIMFAPSLVAWLQRIGTNQSFPWLLDSFLCIGVILHGVCNSKPEFNSYIFSFFGLSHTEVRLDFIYLVAGYYSYMCSLALSPYKVFYAMAIIGAISLTSRILQKRTREKLEPHFGGRKHSHRH >KGN59657 pep chromosome:ASM407v2:3:33364740:33369120:1 gene:Csa_3G836420 transcript:KGN59657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSADLARFIVGVIGNVISFGLFLSPVPTFYEIIKKKSVEEFKPDPYIATALNCMFWVFYGMPFVHPDSFLVITINSVGLLLEIIYLTIFFLYADYRGRTKVCISLLIELILVSIVIHITILALQGTKNRSLMVGIICDIFNILMYVSPLTIMKKVIKTRSVKYMPFPLSLASFFNGCIWMSYALIKFDIYILICNGIGVISGLLQLFIYAYYYLTGSKVEEIIEKEPSKLEQNKVQLSVIEGPCKV >KGN58365 pep chromosome:ASM407v2:3:24492452:24496606:1 gene:Csa_3G629230 transcript:KGN58365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIFRLAGDMTHLASVLVLLLKIHTIKSCAGVSLKTQELYAIVFTTRYLDIFTDFISLYNTVMKLIFLGSSYSIVWYIRRHKIVRRSYDKNQDTFRHLFLILPCFVLALLINEKLTFKEVMWTFSLYLEAVAILPQLVLLQRTKNIDNLTGQYVFLLGAYRALYIVNWIYRYFTEPHFVHWITWISGLVQTLLYADFFYYYFQSWKNNQKLQLPA >KGN59517 pep chromosome:ASM407v2:3:32470617:32473985:1 gene:Csa_3G823650 transcript:KGN59517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPQRKSGGGATGGNDQLHAAARNGDLSSVISILASNPSSVNSRDKHSRTPLHLAAWSGQAEVINYLCKNKADVGAAAMDDMAAIHFASQKGHLEVVRTLISCGGSLKASTRKGMTPLHYAVQGSYLELVKYLAKKGANLSARTKAGETPLDLASNEEIRLFLEEYEKSSKKEEPKDKGKAGKTHSQPTVSGEDEAPSPKANETENEEDPGVEQSKKQSDEEDQGDEQSKRKSDGTIGEEALSKPKKAKVALGHLLTSDDTQEDDENS >KGN60054 pep chromosome:ASM407v2:3:36568566:36571375:1 gene:Csa_3G874320 transcript:KGN60054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQTTSNHTQTVSGWAAHDSSGKITPYTFKRRENGPDDVTIEILYCGICHTDIHYAKNEWGITMYPVVPGHEMTGVITKVGNNVKKFKIGDKVGVGCIAATCLNCEFCKDSQENYCENLQFSYNGIFWDGSITYGGYSKMFVSDQRYVVHIPESLPMDAAAPLLCAGITVFTPMKDLGLIDSPGKKVGVVGLGGLGHIAVKFAKAFGHHVTVISTSASKEQEAKKRLGADEFIVSKDSQQMQSNKRTLDFIMDTVSAQHCIGPILELLKVNGTLSIVGAPEKPIQLPSFPLIFGKRTVKGSIIGGIQETQQMMDLCGKYNITCDIEVVKPHEINHAMERLIQNDVRYRFVIDIAQNKPNSNV >KGN56394 pep chromosome:ASM407v2:3:6628904:6630307:-1 gene:Csa_3G119290 transcript:KGN56394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNLPRFGRQWNRFSSLPRPGTATRPEPQPFTAATEPEVFPSAVPTTNTFQTSPIKQRTPRLSSPVKKFSSPPSSPKYSGAGTVSPRKPLSPPPVHNRYEGERRTSATTSPKTFKPTHISPPPSPSKPRHSTVPTAVAPLSPLALPRSQVRREPEHSLRPRSPPEIEQKKILYQTTTTEKPTKTDHYRQNDEYGASKPQQKQQHQQLQSDVINIKGENVGAVMHITQSSDGSEVIKKKPTVGQSKENEEKTNKSNSNYPGKSFMNSNFQGVNNSILYNSSLSHRDPGLHLAFGSKKSKHGDSIHDSRH >KGN57142 pep chromosome:ASM407v2:3:10892280:10894042:-1 gene:Csa_3G165100 transcript:KGN57142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPELEKPKITEIKVRMDCNGCVQKIKKALYGINGIYDIYIDFPQQKLTIIGWAEPERIMKAIKKTRKIATICSDSEQTDPQAAPTGQPPDSMAPAGSEPPNFSSGPSPPDMVMGGGPTDMATGGAPPPGQMVVQDGSFDPTNGQQPPHPGGYDAGQGHVSHQPSDNGHIVHQYPSESGHVVHHQGSGPGPEPGHVISHQPEYSHVVHHQPPESFHVGHQPSEHVHMVNQPPDHGHTVYSHQPPDHSQTVQYHQPPNYSQSHVVHHHQPEYRYASSHNYHHNIPGATSMQPATVIHSYNTYKPSPYITEYVRPLTYSRPPVYASESIPQQPTTHYSPRLDLYNYNEDYYNTGTGSSNGNITSIFSDENPNACNLM >KGN60336 pep chromosome:ASM407v2:3:38649260:38649709:-1 gene:Csa_3G895820 transcript:KGN60336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDVEAETKNEAMRGSKTAIRCAKAAFLLSSLKSSQNRQLRATVHEQAKEKKMLGKTVGDLKIQLARERVRNKRIKLCGLMEFVLQLLLVLSLSSLFLFAAF >KGN56961 pep chromosome:ASM407v2:3:9821755:9823962:1 gene:Csa_3G146470 transcript:KGN56961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVFGLVGNGFVIVAADTSAVHSILVHKSNEDKIMVLDSHKLVAASGEPGDRVQFTEYIQKNVALYQFRNGIPLTTAAAANFTRSELATALRKNPYSVNILLAGYDKETGPSLYYVDYIATLHKVEKGAFGYGSYFSLAMMDRHYHSGMTEEEAIDLVDKCILEIRSRLVVAPPNFVIKIVDKNGAREVAWRESIKDGVSVPSA >KGN58833 pep chromosome:ASM407v2:3:27901365:27903800:-1 gene:Csa_3G733870 transcript:KGN58833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTSYFLLSLLAAAFSSAFAAPSLMGMQRLTSGSSIAVDKENQFLISPNGTFSSGFYRVGNNSYCFSIWFTNSFHKTVVWMANRDKPVNGEQSRLTLNFDSNLILTDADDTVVWSTDTTSVGEIELRLLETGNLVVMNQSQHFIWQSFDFPTDTLLPTQRFLKTSTLISMRSLGTYLSGFYYFKFNDDNILNLIFNGPSLSSIYWPYTLVLSFVNGRNPYNSSRIAILDETGSFESSDGFQFNATDDGVGPKRRLTMDYDGVLRLYSLDESTGNWKITWLPGGRIDACMVHGLCGDYGICEYNPLPTCTCPPGFSRNDPSDWTKGCKPPFNFTCDSSYNSSSSKEFDFLPLPNTDYFGYDWGYAAGVPIEICKNICLTNCKCAGFGYAMDGSAQCYPKTALRNGYRKPDTAVQMFMKVPKSLRRSWLELKSSSELNCSDSELVLNTHVYGEKGEKFRYIVTIGASELIFIGFGWWFIFRKRVNEELLISGKNASNFRWFGIEEEGECTDLVKWIMKSIEKGEVKKVVDPRLKVENEEQNKKMEMLLKVAVECVREDRNSRPAMSQIVELLTCYEQSNLHEDIVRD >KGN59254 pep chromosome:ASM407v2:3:30565575:30572481:1 gene:Csa_3G790960 transcript:KGN59254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQSPLKQLATICTSRPAPLPTFFFVIIICVLGFYTFHFSTSSSFSVTSSPRNSVRFQQLLLSSGSNYTVASYLRSLTLHPHLAGTEPSSETVRYVESHFRDLGLETHSIQYDALLSYPKSTSLSVLLSNGTVVYIPLSENVEGVVQPYHAYSPSGTAYGPAVFVNYGRDEDYRELAKMGVTVVGCIAVARKGEFPRGVVVAKAEANGAKGVLLYVEGDGFRQGFERGTVMRGIGDPLSPGWAAVDGAERLNLNDSEVLKRFPKIPSMPLSAESAEIILSSLDTASVPPEWRDKKANLGSAAVGPGGPIFINFTYQGERKVATIRNVIAVIKGLEEPDRFVLMGNHRDAWSFGAVDPNSGTAALLDIARRFSLLRRLGWNPRRTILLCSWDAEEFGMIGSTEWVEQNIVNLGTKAVAYLNVDCAVQGPGFFAGATPQLDDLLHDVTAQVQDPDVKGATVHDTWTAENGIGNIERLGAVNSDFAAFVQHAGVPSVDVYYGRDFPVYHTAFDTYDWMANYGDPLFHRHVTVGSIWGLLALRLSDDLILPFSYISYANQLQAYKDTLNHLLDGSVSLHSLSSSIEELKFAAQEIENEAKRLREQEASSDVALFQKRALNDRLMLAERGFLDVDGLRGHPWFKHLVYGPLSNYESALVYFPGIADAVSESKVINKRELEELIQHEIWRVARAIRRAAAALKGELS >KGN56983 pep chromosome:ASM407v2:3:9891387:9892135:1 gene:Csa_3G146680 transcript:KGN56983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPISLKFPTVPSTLTNRTASLFVPLRRSSPHHSHPHSQHTIRFPTIRSNRNVKFSAVNAVQESQENTESDGVEVQAGPPTEEPKTADQSAESGANLGAEIQEALKQQKVEKEGDLIGGVAEEIKEIEWPAFRKVLGTTGVVIGVIAGSSVVLLTVNALLAELSDRVFAGKGVQDFFS >KGN57705 pep chromosome:ASM407v2:3:15954895:15961094:-1 gene:Csa_3G257590 transcript:KGN57705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQASALVKSGVSCSSGFQNRKVLGESGRRLGLRSLRMTERSGCCGLSFGSEIMETEMRRIRHGFDGISRSSAKYKSLKTHAHDEDVEGVVPAKPTGKSSGTVLPFVGVACLGAILFGYHLGVVNGALEYLSKDLGILENTVVQGWIVSTLLIGATIGSFVGGTLADKFGRTKSFQLDAIPLAVGAILCATAQSVQTMIIGRLLTGLGIGISSAIVPLYISEISPTEIRGTLGSVNQLFICVGILTALVAGLPLVRNPAWWRTMFGISMVPSILLAVGMAISPESPRWLYQQGKLPEAERAIKTLYGKERVAEVIQDFTAASQGSVEPEAGWSDLFSSRYWKVVSIGAALFLFQQLSGINAVVYYSTSVFRSAGVASDIAASALVAAANVFGTTIASSLMDRQGRKSLLTISFCGMAASMLLLSLTFSWSALAPYSGTLAVLGTVLYVLSFSLGAGPVPGLLLPEIFASRIRAKAVALSLGTHWISNFFIGLYFLSFVNKFGISTVYFGFGFVCLLAVLYVARNIVETKGRSLEEIEKILSATA >KGN58117 pep chromosome:ASM407v2:3:21492845:21498289:-1 gene:Csa_3G516560 transcript:KGN58117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNNRGDFLGNVVKPSSLRPSGSFKPSVSGKSTPRGSPSFRRLHSSRTPRREARSTGFSLHWIRNNKVLFWLLLITLWAYLGFYVQSRWAHGENKDEFLGFGGQQSNQKLDSEQNQSLSLISTNNRLVVENRSGENDRSDGGVVNVVLAKKANGVSASKKTKPRKRSKRSKRDKVHKGKIPAEVTNHDIEEQEPEIPLKNSSYGMLVGPFGSTEDRILEWSPEKRSGTCDRKGDFARLVWSRRFVLIFHELSMTGAPISMMELATELLSCGASVSAVALSKKGGLMSELSRRRIKVLDDKADLSFKTAMKADLVIAGSAVCASWIDGYIEHFPAGASQVAWWIMENRREYFNRSKVVLDRVKMLIFISELQSKQWLNWSQEENIKLRSQPAIVPLSVNDELAFVAGISCSLNTESSSPEKMLEKKQLLRNTTRKEMGVGDNDVVVMTLSSINPGKGHFLLLESSNLLIDRGLKRDDPKIRNPDDSSPSRPKLARRRYMRALLQKLNDSGLPLKVSISNEAVINLNESSKNSIEKLYLQGPVNDMTRVTGRRLLADGGELPETSFKLLIGSVGSKSNKVVYVKRLLRFLSQHSNLSQSVLWTPATTRVASLYSAADIYVINSQGIGETFGRVTIEAMAFGLPVLGTDAGGTKEIVEHNVTGLLHPLGRPGTQVLAQNLEFLLKNPQVREKMGAEGRKKVKKIYLKRHMYKKFVEVIVKCMRTK >KGN59503 pep chromosome:ASM407v2:3:32386868:32389050:1 gene:Csa_3G823020 transcript:KGN59503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTMCWLGWVKRFFSSDHPKTKSEKKSRKWRWVTERFKTKKCPVIAPPPQRTLVEATDERRRQALSVAAATAAAAEAAVAAANAAAEVVRLTVTSGSYCPFSKRDRISAAIKIQSYFRGYLAKKALRALKGIVKLQAIVRGRAVRRRIEAVLKRPLIIEERRNSKMLKKRNLIPEKGCNSCGKKVFIQPKEEFEEDELKLDLSNLRNWDGSSMSKKGIEALQLRKQEAIIKRERMLKYSFSHREGRNIQMADESPRRSLRPSVHINLVSDHQEMYGKKIGNYVNMSSGYSFPRRSFCHLKQNSSIGGGDDNSPSMATSCSSFFPTYMAVTESAKAKTRSISTPRQRLPFLNDVSFWSSYDGDFVRSISNHV >KGN56345 pep chromosome:ASM407v2:3:6323524:6323840:1 gene:Csa_3G116820 transcript:KGN56345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRTRREYLEGEDTEHWAQSPSTFRQILRSWAVQYGPIWLKANPLRIPWANSVKEKQMTRIKEIGRIIE >KGN56093 pep chromosome:ASM407v2:3:3887344:3890839:-1 gene:Csa_3G073850 transcript:KGN56093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLYDVMFLMKPHVKKEALLDLVARVGKHVFRRNGVVCDIKSFGVVQLGYGIKKLDGRYYQGQLMQMTMMATPNMNKELFYLNKEDRLLRWILVKHRDRQFGLEFLGEDDDKNGLEKLSRLNLMGDDDEDEDEDEDDDDDEEYNVEPDDTKAE >KGN59849 pep chromosome:ASM407v2:3:34942988:34943649:1 gene:Csa_3G850540 transcript:KGN59849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPDVTLQFMGFNTTRDLWKVIQDLFGVQSRVEEDFLHQTFYTTRKDNYTMEDYLRIMKTNTDNLSQAGSPVPPRSSISQGVIIDRILIPINLVEIDLIKIDSAVGTTSMAVGVVEEENKGHNPSNNGNQSLATAFIVTQNPT >KGN58559 pep chromosome:ASM407v2:3:26192533:26195851:-1 gene:Csa_3G684170 transcript:KGN58559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADFLWTFAVEEMLKNVLKVAGEQTGLAWGFQEHLSNLQKWLLNAQAFLRDINTRKLHLHSVSIWVDHLQFLVYQAEDLLDEIVYEHLRQKVQTTEMKVCDFFSLSTDNVLIFRLDMAKKMMTLVQLLEKHYNEAAPLGLVGIETVRPEIDVISQYRETISELEDHKIAGRDVEVESIVKQVIDASNNQRTSILPIVGMGGLGKTTLAKLVFNHELVRQRFDKTVWVCVSEPFIVNKILLDILKNVKGAYISDGRDSKEVLLRELQKEMLGQSYFLVLDDVWNETFFLWDDLKYCLLKITGNSNNSILVTTRSAEVAKIMGTCPSHLLSKLSDDQCWSLFKESANAYGLSMTSNLGIIQKELVKKIGGVPLAARVLGRAVKFEGDVERWEEMLKNVLTTPLQEENFVLSILKLSVDRLPSSSVKQCFAYCSIFPKDFVFEKQELIQMWMAQGFLQPQQGRYNNTTMENVGDIYFNILLSRCLFEFEDANKTRIRDMIGDYETREEYKMHDLVHDIAMETSRSYKDLHLNPSNISKKELQKEMINVAGKLRTIDFIQKIPHNIDQTLFDVEIRNFVCLRVLKISGDKLPKSIGQLKHLRYLEILSYSIELKLPESIVSLHNLQTLKFVYSVIEEFSMNFTNLVSLRHLELGANADKTPPHLSQLTQLQTLSHFVIGFEEGFKITELGPLKNLKRCLSVLCLEKVESKEEAKGADLAGKENLMALHLGWSMNRKDNDLEVLEGLQPNINLQSLRITNFAGRHLPNNIFVENLREIHLSHCNSCEKLPMLGQLNNLKELQICSFEGLQVIDNEFYGNDPNQRRFFPKLEKFEISYMINLEQWKEVITNDESSNVTIFPNLKCLKIWGCPKLLNIPKAFDENNMQHLESLILSCCNKLTKLPDGLQFCSSIEGLTIDKCSNLSINMRNKPKLWYLIIGWLDKLPEDLCHLMNLRVMRIIGIMQNYDFGILQHLPSLKQLVLEEDLLSNNSVTQIPEQLQHLTALQFLSIQHFRRIEALPEWLGNYVCLQTLNLWNCKKLKKLPSTEAMLRLTKLNKLHVCDCPQLLLEEGDMERAKLSHLPEIQINRWFIHLL >KGN56764 pep chromosome:ASM407v2:3:8680223:8686055:-1 gene:Csa_3G133150 transcript:KGN56764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREVHGVLSQQLYMERPSVVSDVRITADHSVSDVCVQTGEVFSPQFMRDRVALRRFSDMSDGDQQQQQQKRKGFGFNPSNQLVYEDLSGILGLKRMNSESSSEMSSTPMTAYAAEKDNKVYPNTTSKCQWEYNNGTGQASAAYADETNRGVQIGPMMSALYPLDSPHSCYPCGAGFGDFSANDKMKFLCSFGGRILPRPNDGKLRYVAGETRIISIRKNISYEELTKKTYAVCKYAHTIKYQLPGEDLDSLISVCSDEDLHHMIEEYQELENAEGSQRLRIFLISSNDCSESPTSIEGRVVPPIDVDYQYVAAVNGILDPSLQRSSSGQSFTSQNSQVGAISDHSPNFRTDSSHATDVKDVSSLMPNLMGMLPRPGGQLLNPIQVPRKSLNQSPLISPVTVMQKDFRNVDATYAEDARNFSPIVSGKHPCDSVYYVDAMGRHNYLYHGSPLMNYYHEKSTAETDETYKVLNVHFPRSSSEDFVPAPIWGLSDTHPMKTMLKERAVNYEQLCSDAEYLMQLRSGTTHMGQRIIHSHSEPLLLEQDQKPNHGGPYPLTSFNDSDQSPSLAMSSSLQDLPTLWKQRDGVEFQYAKYENHRKLASGSDNETYEECNFDGKKNNFNGIIYAPSLNDEEKYRYLQHAGYRQNGCPPKEVQNLRGRSSAERGIELENSADTTGAPSLVYHFERTAPKDFEESQYSTKDQPTTSDIVRSQPLSCTSSDLLPHTIQAFDDVKIINQKPTWDSSASGIEISLGDENFVTCHYCKVAAHSRRKSNCDDAISHSDDSHGNEDEDLAVIVEDVTHSLPPDIPLASGIVPRVENEASDEFPSSRGNDALSSSSETDHEDADSILSSRDESMSEAAIAEIEAGIYGLQIIKDADLEELQELGSGTFGTVFHGKWRGTDVAIKRIKKSCFSGSFSEQERLTRDFWREARILSTLHHPNVLAFYGVVPDGPDGTLATVTEYMVNGSLRHVLLRKDKVLDRRKRLIIAMDAAFGMEYLHLKNIVHFDLKCDNLLVNLRDPERPICKVGDFGLSRIKRNTLVSGGVRGTLPWMAPELLDSTSSKVSEKVDVFSFGIAMWEILTGEEPYANMHCGAIIGGIVSNTLRPPIPKRCDPEWKKLMEECWSPEPAARPSFTEITNRLRSMSVALQIRKRPNVASR >KGN57508 pep chromosome:ASM407v2:3:13815215:13816230:1 gene:Csa_3G200190 transcript:KGN57508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILNNPTLAQLVERRTVVVSTDKSLVFLSFAFSGVGVLEFRRHCTNNFLLSPVHCTIQPVFFIQLSIWVVVIYRLNNNEIVANEVYSFYLAMSDIAYFLSEIVDADATTLRLYLTCIRNTLEAAMCLQECDVTERIACNCFLKT >KGN56445 pep chromosome:ASM407v2:3:6875011:6875349:-1 gene:Csa_3G119750 transcript:KGN56445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRELSVWDCGSPLYDSYELASLAHLIDRNLLAFPPPYHAGSRRITSNKVSHFHFSHLTPPPPSAVINASLEKKPPAVVDELKNKLKKFKSTFRFSSISNRFGLWRKKQFQV >KGN60388 pep chromosome:ASM407v2:3:39006157:39007188:-1 gene:Csa_3G902310 transcript:KGN60388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGEPSEIDHNATATATATATATGTAHHLTVPPGLTQHEFDNLKNLIFEFHTYELRPGQCSSLLSQLIRAPRDVVWSVVRRFDKPQTYKHFIKSCTVAEDFIMTVGCTRDVNVISGLPAATSTERLDILDDDRCVTGFSITGGEHRLRNYRSVTTVHEMERDGQIWTVVLESYIVDVPEGNTEEDTRLFADTVVKLNLQKLTSVTEGMVRAVDSASAAASGTTPSSKSSSN >KGN55703 pep chromosome:ASM407v2:3:802042:805053:1 gene:Csa_3G006650 transcript:KGN55703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEQSPRPKQSEIHNLPPPKSTSARSVSTPRSATSGGGGGSRRETPDFHSTAAKLERAKEVYRAYEGHGERPTIAEILGWCFYELCSFFVLALLIPVVFPLIISQISGPPTAPPQGWFKSFRGFDCSSREMQLYQSLTEQTINVSNAQFSPLIWTSISWAVGLVLAGPILAVASFHLDYGFHQYLITLAAVAAGALTCLPTGFFKTVKIFPLYIILIVIAHSVASTSHTRHLGLMLRGLTGPIIHKAKFSLRIIGSGQISSWSAGVGGVGAAAISAFTYHMLRSDKQVQGIDSHFLNLWIVTIFAGLKWLIGIFHVFLTNRSISVSIPSDSEIHILSIFKYPHAIATVISGGFLSSFATISIFTSVLLFLISQICFKPVLIFYLLLIYFLVPLISLPLLHQLQIRIKADASKMLILGFILSAATSATCFYFHAYAWQRHLVFVFAVLQGTAAAVLHAYGRALVVHCSPAGKESAISMWFSWMRAIGGCVGFTVAAVVPTMLQVSSGVVFCCAVVGGMLLIFGNVTDYDGAVAAGHVRDDSEKGSPVFGLDSRSESKELESP >KGN58941 pep chromosome:ASM407v2:3:28468149:28469661:-1 gene:Csa_3G736890 transcript:KGN58941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKGNWFRTLKKALSPSSKRKKDQKRKLSEKQKHPNSGPTSSVTIANQLSQIEKVKPTCEKNEGHSKAHRVPNSNSTGMASTTAANKCVQTITETRFVRKSREEMAVIKIQSVFRGYLARSEIRALRGLLRLKSLMESFVVNRQAMNSIRCMQVFVRVHSQIRLRRLKKLEENHALQKRLLQKHSKELEIFQVGKGWNDSTQSKEQVEAKLQSKHEAAMRRERALAYAFSQQDI >KGN57731 pep chromosome:ASM407v2:3:16322418:16323535:1 gene:Csa_3G265270 transcript:KGN57731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIPSAPGAGTTGMGKKDRHSKIYTAQGLRDRRVRLSIDIARKFFDLQDMLGYDKASKTLEWLFSKSKKAIKELSRTKSGNLGVHGGAKKFSLVADSSDVEEEYDDDKEGWELKMKSMLSIDEQEKVSKEKVEGFNLLAKESRAKARARARERTMEKKQVDNRKVYGHQKGGAQEVSNHWSKHLNHSTETSNLSMEESSFINKRKIIYSKKFINHDNYSDKSRRDDENAETSQRKLLDQMKASKRKWKPSIISGSSQRNFLISIDGIPINLTQNLDTNSNPNYPIAK >KGN57791 pep chromosome:ASM407v2:3:17221516:17223767:-1 gene:Csa_3G303640 transcript:KGN57791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFPQRFLAAVLLGFSFLAFVRTDLASDRAALVAFRAAMGGRPRLEWNLSDVSPCSWAGVNCDRNGVFELRLPAMGLSGELPMGLGNLTQLQTLSLRFNALSGRIPADFANLRGLRNLYLQGNLFSGEIPPFLFDLRNLVRLNMADNNFTGEISYGFNNLSRLATLYLQNNQFTGVVPELNLTLEQFNVSFNQLNGSIPTKLSSFPASSFEGNLLCGAPLLLCNSTTTEPSPKSKLSGGVIAGIVIGGLFVLALILVVLILVCQRKSKEKSESKEVVRTGGEVEVPGEKTTTVEGSSERINIDHLIAPKSSTKGGERDKKLVFFGNVGNVFDLEDLLRASAEVLGKGTFGTAYKATLETGMVVAVKRLKEMTAAEKEFREKMEEAGRMKHENLVPFRAYYYSREEKLLVYDYMPMGSLSALLHGSRESGRTPLNWEARCGIALGVGRGIHYLHSQGPTISHGNIKSSNILLTRSYEACVSDYGLAQLAMSPSTPSRVAGYRAPEVTDSRKVSQKADVYSFGVLLLEMLTGKSPTHSIFNEEAVDLPRWVQSVVQEEWTAEVFDEQLLRYQNVEEEMVQLLELALQCTVPYPDNRPGMDEIVRRIDELCRSTSQKQSEGIENNGNNGISSQFHSLSSPHPPSAA >KGN59918 pep chromosome:ASM407v2:3:35540340:35542897:1 gene:Csa_3G853160 transcript:KGN59918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPLFALVSSPHTGVGIMMAVFLFILFSFVLFRILSSSQHGKKLQPPEAGGAWPVIGHLHLLGGSEPQHKVLAAMADAHGPIFTLKLGMHRAVVVSNWEIAKECFTTNDRIFASRPKLTASKLLGYNNSMFAFSEYGPYWRHMRKIAVHGLLASHYMKQQLQLILESEIQSSVGKLYDLWANHTKRSEQALLLVEMNTWFQDITLNTMFRMVVGKRFSTDMEGSGNQDYRKVFRDFVKFFADFVPADSFPFLSWLDLGGYEKAMKKTSEALDEVLDKWIKEKKNNSGDHQQDFMDILLSAVEVDEELSDYDGDSVVKANSLSMILAGSDTTAATMIWALSLLVNNEEALKKVQLELEEKVGRQRKVKATDLNDLIYLQAIVKETLRLYPAGPLSVPHESTEDCNILGYSISAGTRLIVNLQKLQRDPLVWKDPNEFKPERFLTGTKDLDFKGLNNPQLIPFGSGRRACPGLSLALEIMPLTLANLINGFEIGRPSKELINMEEYFELISVRKVPLQVVLTPRLSAQDYK >KGN57922 pep chromosome:ASM407v2:3:19102675:19102947:-1 gene:Csa_3G391400 transcript:KGN57922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITKFDKNGPLKGLQCEVEVGLMSLRRQYDVIVTSTTKERHNLASRLRLIYMRLTSIGTWSIPVHGSSWLG >KGN57879 pep chromosome:ASM407v2:3:18431767:18432991:-1 gene:Csa_3G360150 transcript:KGN57879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFDAEDFFYPGWAYKEMRNETHFKVADRRLEGAVEEKELMRALKVAFWCIQDEVVTRPTMGDIVRMLEGSMDVDMPPMPQTVVELVEEGLDQVYRAMKRDTNQSSSFTINSQPSSSLATCSHSTISPR >KGN55848 pep chromosome:ASM407v2:3:1918963:1922039:1 gene:Csa_3G019390 transcript:KGN55848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRRCSHCSHNGHNSRTCPNRVVKLFGVRLTDGSIRKSASMGNLNHYAGSGSGALQSGSNNPASPGETPEHGVAADGYASEDFVPGSSSSCRERKKGVPWTEEEHRMFLLGLQKLGKGDWRGIARNYVVSRTPTQVASHAQKYFIRQTNVSRRKRRSSLFDIVADERVENSIVQQDFLSANSSHAESQSNNPLPTPPTTVDEECESMDSTNSNDGETAPAEPDGPQCCYPVVYPAYVAPFFPFSIPFYSGYSAETTNKETHEVLKPTAVHSKSPLNVDELIGMSKLSLGESIGHSGPSSLSLKLLEGSSRRSAFHANPASGSENMSSGGSPIHAV >KGN56155 pep chromosome:ASM407v2:3:4353900:4354202:-1 gene:Csa_3G080330 transcript:KGN56155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIAMNANLRSRWLRRKLWPEPTEIFVKLTNGNTIAIKVSIFIRTDGLKVKLYEKEGYLPSNIRFIYAGKMLEDGRSLSLSDYNITDGSTILASLRLCGC >KGN56189 pep chromosome:ASM407v2:3:4789374:4792349:-1 gene:Csa_3G097040 transcript:KGN56189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSHFPASIMKTNDIYDTKRSLANFHPTIWKEHFLSFTFDDALKVDGGMKERIEKLKEEIRMMVIASVQNPLVKLNLVDSIQRLGVSYHFEDEIEQFSEHIYVSYNNSLLFSSNDSQDDDLHISALLFRLLRQHGYRISCDIFLKFMDNNGKFKESLVEDERGILSLYEASHMRGHGEALLEEALEFTTTHLKAYIHLYSNINPNFASEVSNALKLPIRKCVPRVKAREYFEIYQQQPSHNETLLTFSKLDFNILQKLHQKEIAEICRWWKDLNVSTNFPFARDRIVECYFWILSIYFEPYFKFGRKILTKVIAMTSIMDDIYDAYGTFEELQLFTLAIKRWDMSMVNLLPQYMKVHYTTLLDLFEEMDKGIVNDGISYRSCFAKEAMKRQAESYFKEAEWLNKNYKPKYGEYMEVALASSGYELLSTISFVCMGDIATKEVFEWLFDCPKILKASTTISRLMDDVVSYKFEKEREHIVSAVECYMSNHGRSEDETCAELLKQVEDAWKTINECCLHPMNVPMPFLICLLNLTRVMALLYSHEDGYTNSKGRTKLLIQSLLIDPLHL >KGN58330 pep chromosome:ASM407v2:3:24092775:24092960:-1 gene:Csa_3G623980 transcript:KGN58330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNDHNHVSLEVFWPEAASKPYLSYRCRDNVCYWSARNDGIYIYNVPENKFELHTKWLPGL >KGN56684 pep chromosome:ASM407v2:3:8223365:8223915:-1 gene:Csa_3G128920 transcript:KGN56684 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rps7-A description:hypothetical protein MSRRGTAEEKIEKSDPIYRNRLVNMLVNRILKHGKKSLAYQIIYRAMKKIQQKTETNPLSVLRQAIRGVTPDIAVKARRVGGSTHQVPIEIGSTQGKALAIRWLLGASRKRPGRNMAFKFSSELVDAAKGSGDAIRKKEETHRMAEANRAFAHFR >KGN59373 pep chromosome:ASM407v2:3:31450366:31450803:1 gene:Csa_3G814395 transcript:KGN59373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPTPFLCRRRLFAVTQRYLRLVNCLISLGSSLSAEQDARIKVCKFFSLKIEFGSRLIFLFPCKSRYLSCTRFSTALGIPCRFALPKCNSLKYLNLSMHAFARNALGGTLSLIVKAIDWVFVTSNTCFCVSRRASFSIRGCNLNQ >KGN57500 pep chromosome:ASM407v2:3:13745002:13749040:1 gene:Csa_3G199620 transcript:KGN57500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHTNKPCLFLALFSTFTFFFPSFALNTDATLLISLKRSILGDPLSVFANWNVYDDTPCSWLGVTCTDLRGYGGGWSDFLRVTALSLPNSQLLGSIPDELGRIEHLRLLDLSGNFFNGSLPFTIFNASELRILSLSNNVISGELPIDIGGLKSLQVLNLSDNALAGKVPQNLTALKNLTVVSLRSNYFTGEIPRNFSSVEVLDLSSNLFNGSLPAYFGGEKLRYLNFSYNKISSSIPLEFAKRIPVNVTMDLSFNNLTGAIPQSIALLSQKAEVFAGNEDLCGKPLKHLCSIPSSLTTPPNVSETSSSSPAIAAIPKTIGSVPATQSPRGPNDTQTSQPQNTMKPITIVAITVGDLAGIAILAAVILYIYHYRKHKTPSFKTAKSTDKKRPIDSEKNPQTNQKKPSSSVLFCLANKGEETSEATSSSDGEEQREKPGMTQDRENRDNKKNGVLVTVDGETELELETLLKASAYIVGASGGSIVYKAVLEDGTALAVRRIGDVSVERLRDFESQVRGIAKIRHQNLVKIRGLFWGEDEKLIIYDYVSNGCLSTSLHRKPSSSSSSQSHLSFEVRLKIARGIARGLAFIHDKKHVHGNLKPSNILLNAEMEPLIADLGLDKLLSGRSKIAIASSSARNLGSHRSTPNRENQDGSGVGSPSVSLGSAYQAPESLKNVKSSPKWDVYSFGVILVELVSGKIGTEREFGSGEEEGRIKKMVDLAIRGEVEGKEEAVMGIFRLGFSCVNLVPQKRPTMKEALQVLDKIASSIT >KGN55927 pep chromosome:ASM407v2:3:2610603:2617901:-1 gene:Csa_3G036530 transcript:KGN55927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLDVNALRYLSKDDFRVLTAVEMGMRNHEIVPSELVERIASLKHGGTYKVLKNLLKYKLLHHDSSKYDGFRLTYLGYDFLAIKTLVNRGVFVAVGRQIGVGKESDIFEVAKEDGTVLAMKLHRLGRISFRSVKSKRDYLRHRSSYNWLYLSRLAAVKEFAFMKALEEHGFPVPSAVDCNRHCVIMSLVEGYPLVQVKQLQNPDVVFETIIGLVVRLAEHGLIHCDFNEFNIMIDDDEKVTMIDFPQMVSVSHRNAQMYFDRDVECIFKFFRKRFNLSFHETVDDENDVEIDNDESVRPCFSSISKGVGSLDKELAASGFTRKDQEDIEKFIENGLEGDADSGGEEDETEDTDGVEVEHLHISEEETLNGDENAGRQRNHEACQIDVSEHDSDSDNQEENENRISGNDSSEMRDNGGESRDENEDEELNKRLNKQRRRAIASASGGRKTRSSRNTYKDKGRKSSNNSKIHKQLSIW >KGN57153 pep chromosome:ASM407v2:3:10964139:10967140:-1 gene:Csa_3G165700 transcript:KGN57153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGFPSSAFRATPVLRNRPLQAYTPINKHRRQLPSNSIQRTSKQSPFNNLEAPSRGNPSPLTTPLKAPSSIQLSTPPSLADDKHSLSLKPIDRSYISKILLSKDWFLLLNHEFKAKRVVLSPQFVVSILQNQDNPLSAIRFYIWVSNVDPLLVKKQLIQGVLVRNLHREGPDRPVLLSVDLLQQIKESGLKVTEELLCILFGSWGRLGLANYCVEVFGQIGLLGLNPTTRLYNAVMDALIKSNSLDLAYLKFQQMSSHNCVPDRFTYNILIHGVCRLGVVDEALRLMKQMEGLGYFPNVFTYTILIDGFFNAKRAGETFKVLQTMKERNVVPNEATMRSLVHGVFRCIAPDKAFELLLEFVERKQGITQLVCDNILYCLSNNSMASEAVMFLIKTGKEGYVPSSSTFNITLACVLKKLDLKVTCTVFDNCVQSGVKPGFSTYLTLIEALYKAGKMEIGNRYMDRLINDGLISNIYSYNMVIDCLCKGKSMDRASEMFRDLHNRGISPNIVTYNTLIGGFCRNGNMDKAQELLEMLLESRFRPDIFTFNSLIDGLCQAHKHENAFGCFTEMVEWDVPPNVITYNILICSFCAIGDVSRSTHLLRQMKLHGIQPDTFSFNALIQGYTGKNRFQKAEKLFDSMLRLGIQPDNYTYGALIKSLCKSGRHDKAREIFLSMKENGCTPDSYTCSLFSDTLAHS >KGN57782 pep chromosome:ASM407v2:3:17048022:17048369:1 gene:Csa_3G298590 transcript:KGN57782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEKAVEKSEKSELEVVRSDGRMSEQAQPSFVQTLPSSSDIFGAEGFNPFNFQGREISMTAENLEIMLGLFLEENKRREKLRETYGGEKESRGGRKRKKKGNRGDKQGGRTSKF >KGN56436 pep chromosome:ASM407v2:3:6832322:6836464:1 gene:Csa_3G119690 transcript:KGN56436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALNAASLSPLAVLSDRKREPKKIFPIPSSSSFKLPNFGSLNTNLSVPQGFCLSKSLQGSLLLLSSVFNAGVSGALTYEEALQQSMTTSSSGDLDLNGILDGIVNFGTENPGIVVGGVSILALPLIFSLFQGKPKPWGVESAKSAYAKLGEDSNAQLLDIRSPIEIRKVGAPDLKGLGKKPVSITYKGEDKPGFLKKLGLKFKEPQNTTLFILDKYDGSSELVAELVTVNGFKAAFAIKDGAEGPRGWTNSGLPWLTPKPGLSLSSLTDAIAGAFGEDSEGLPAVATAVAAAATGLGLLAFTEMETVLQLLGSAAIIQFVSRKLLYAEDRKKTLQEVDEFLNTKVAPQDLVDELKDIGKAILPLPATEKALPAPAEAAVEAATSSDTVQKAEAVVEPALETNSVAKQEVKAESLPKISRPLSPYPSYPDFRPPTSPTPSQP >KGN57855 pep chromosome:ASM407v2:3:18235185:18238082:1 gene:Csa_3G354490 transcript:KGN57855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGTAGFTSLERECIRRHHRHDPADNQCSSVLIKHIKAPVPLVWSLVRRFDQPQKYKPFISRCVVQGNLEIGSLREVDVKSGLPATTSTERLELLDDDKHILSIRIVGGDHRLRNYSSIISLHSEIIEGRPGTLVVESFVVDTPEGNTKDETCFVVETLIKCNLKSLADVSEGLAIQDWTEPIV >KGN59072 pep chromosome:ASM407v2:3:29246154:29248293:1 gene:Csa_3G751470 transcript:KGN59072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFDLKQCRKQRESESDEHQNSSKISKFFPQQNPLNPSSSSSSSSSPVLPLFVPSKTSNTLSAFSDSSSTLPRMLGYFSAAQRQELELQALIFRYMIAGAAVPPELLHLIKKSLLSSTTAPLFLHHPLQHFPHYPTSLLQAGYWGKAAMDPEPGRCRRTDGKKWRCSRDVVAGQKYCERHMHRGRNRSRKPVETATNNAATTAGGSGSGGGCSISSNSNRGGGGGRPFLTVESGNYFSMCEQTPSVDLLHLNQGSCSNSLSENKNFYQSHKEPSTGDVKPDGHILRHFFDDWPRSENDGCGNDNTNNVNQRMNSTSASATSLSISMPSLTSDVSLKLSTGGSSDRGSDHHNHQNGNVDREQHTHLNWAAGWAATQMASMGGPLAEALRSANNSSLPTSVLHQLQRTTNSEASFIST >KGN59451 pep chromosome:ASM407v2:3:32006236:32019767:1 gene:Csa_3G821540 transcript:KGN59451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERIHVTVRARPLSAADSNTSPWKISGNSIFIPNNPNKFEFDQIFGEDCKTFEVYQARTKEIVASAVRGFNGTVFAYGQTNSGKTHTMRGSPTEPGIIPLAVNNLFDAIHQDADREFLLRMSYMEIYNEEINDLLVPEHRKLQIHESLERGIYVAGLREEIVASSEQVLDLMEFGESHRHIGETNMNLYSSRSHTIFRMIIESRDKVEDGDAGNSCDAVRVSVLNLVDLAGSERAAKTGAEGIRLKEGSHINKSLMTLGTVIKKLSEGAESQGSHVPYRDSKLTRILQPALGGNANTAIICNITLAQVHSDETKSTLQFASRALRVTNCAHVNEILTDAALLKRQKREIEDLRAKLQGSHSEHLEEEILNLRNTLLKIELERERMALELEEEKKVQSEWEKRVQEQAKKIENLSSMVLYSKREENHDEIKIKKDKRRDTWCPGNISRNPLRQVYPTNQSMSSAVKPVRADREMGPLLPFEELLDDTEVSKEETCKRGESNHKNGLEGGAFPDPCALLHVTNRRKGVPKKKSLPGDTDVIDVQAAYEDLLLRFESEKTMSDIKIDCLTRKLEEIDDYYHVKRGDFNGDKHISLRESEAILVIKRLQERILTLEMEKSSSQQNLDNVVELATEQNICAREKFDELSEELHNAREEARVAREKLNSPECEENFDLLSILSMELQEVIAEIENSKQISLSVSLLVNDTSQCFSALSDMLLDLKTTIHKCSAEQKLIINDHEELNSQLMQKVSKIENEKVCIFEVCGWLLKQQVQNNEELSTALDHQNMEQAEYLAQIQALQKEITYLSSSSLAREKESLRKDLEKTKGKLREFEVKLKNALQERTKLEGEKAAAEREIKWLVGQNSLLKRDINKRDSIAGRRRDSIIDKSSKGLDPDRAKSFVHSYEQILEEDQKELEVFAFELEAKIASLEEQLSATYNEKEEAIFRNECLLSELETLSEKLQIANIQLTAVQDVNELKQSLEEATFNQRNLESSIKFLEEQKEELAMQLTEALLEMEEERAVWLSKEKTYIEAIEEKVKLHDLKVASASKEISKVMNDLESCREECELLKQKLRCSEENERREKECSRKKLDAIESLKNEKNIAEVENEATQQNIRNQLLLVTKERDNLMIQIQDLQSRSIEVELLKNNTNEMLIGAKLQAEKLASRISSLEVKMHDDEVQNGKEKAKFRMRLRSTQAKLDAFRIRYQEVLDESDLMDRKYEKATKDLKKKLTSECIENLNLRKQLASVQGLR >KGN60212 pep chromosome:ASM407v2:3:37708802:37711898:1 gene:Csa_3G889690 transcript:KGN60212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKLCCFNASYSGIRSALNYGKGRSHEGIIKYGFCLVKGKANHPMEDYHVAKFVQIQEHELGLFAIYDGHLGESVPAYLQKHLFSNILKEEEFWVDPNSSISKAYEKTDQAILSHSSDLGRGGSTAVTAILINGQRLWVANVGDSRAVLSRGGEAVQMTIDHEPNTNTERRTIENKGGFVSNMPDANTDILILASDGLWKVMANQEAVDIARRIKDPLKAAKQLITEALEKESKDDISCIVVRFR >KGN55634 pep chromosome:ASM407v2:3:351985:352873:-1 gene:Csa_3G002540 transcript:KGN55634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYRPHDRVPLREMKADWKSCLNNRTGFKSFARPKESQTKVVEFSFHGTTAELRHGDVVIAAIASCTNTSNPSIRLGAALVAKKVCELSLEVCKFYLLTLPVKPWINTGLAPGSRVVTKYLEKSSIILLTGSFSVSLLVVADVVWRKYLDKLGFNTVGEYGCTTYIGNSGDLDEEVASAISDDE >KGN59309 pep chromosome:ASM407v2:3:30931796:30934757:-1 gene:Csa_3G808380 transcript:KGN59309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTRKRGRAEVGLKANGGLKKNKQDMEYLSTGVASKSKPCTKFYSTTGCPFGEACHFLHYVPGGYNAVAQMMNLPPAPPAPRNMGAPPPPMSNGSATQAVKSRMCNKYNSAEGCKFGDKCHFAHAEWELGKPSAPSHDDPRSMGHIPNRLASRMDAGPPGLAASFGASSTAKISVDASLAGAIIGKGGINSKQICRRTGAKLSIRDHESDPNLRNIELEGSFDQIKEASAMVQELIVTVSMAGPPKSATGATGGTAGPAGNNFKTKLCDNFAKGSCTFGERCHFAHGAAELRKSGV >KGN59314 pep chromosome:ASM407v2:3:30975354:30980978:1 gene:Csa_3G809410 transcript:KGN59314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLKKRKLEETVGNAEFSSLEHLRTLLEPLPKPQLVDLLARLGSQYPSIAEEIKSVASADPVNRKLFVRGLAWNTTSETLCAAFSVHGEIEEGAVIYDKATGKSRGYGFITYKHMESTKAALKAPSKIIEGRMAVCNLACEGLSGSSTTPDLAQRKLYIGGLSPDITSEVLLNFFGRYGDIEEGSVAYDKDTNESRGFGFVTYTTAEAAKKAIDDPDKTFGGRNIIVKLADSHRNKMMQAQVSPAIPSMPYPVAAGYPQPGKPHGGVAPVGYAYPQALGSYPASYPSPTTAHASYASQPQMPYPHQVIGKKDATGLHPITPAGMGGYPYYMAKQ >KGN57700 pep chromosome:ASM407v2:3:15901594:15902842:-1 gene:Csa_3G257050 transcript:KGN57700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGVLPFSYQAPPPEPIPFRPVYVDVLNYVPVRRFHHCLDSSMRRSCTALRPSLSVFPHFLKPTKLFQGYSSPCNGTRIKPALVHSPLLAGDGHGCDGNNNGGWNNSNPFGGFGWWQYDGDSPPWSDNAFLAFFFSSVLGCFCLFQLAVALARNNMNTESIWEVKGGKRIRLILDTYRDEFHVATGMPSSSLSFSFVNVWLRCSDIFTRLMLPEGFPDSVTSDYLEYSLWRGVQGIASQVSGVLATQALLYAVGLGKGAIPTAAAVNWVLKDGFGYLSKIFLSKYGRHFDVHPKGWRLFADLLENAAYGMEMLTPAFPLHFVVIGAAAGAGRSAAALIQVIGS >KGN56612 pep chromosome:ASM407v2:3:7793113:7799238:1 gene:Csa_3G126760 transcript:KGN56612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLLPSARFFNFWNPPAPPISLFSLSPSSSTTVSLHSHRILSLSAMAMSFSSSTSTPKTHLEQDDQNLSQVLKYHSQTKHGFSNYARGPHGLDWANQPNPFRRYISAPLLPLSHFPILNQTAASDDETHEASLYDSLFVSLPPPKPVCKATISQFFYDSLALSAWKSTGFSTWSLRVNPSSGNLHPTEAYLIAPPVTSLSDYGFVAHYAPKEHALEIRTQIPPGFFSKFFPENSFLIGLSSIFWREAWKYGERAFRYCNHDVGHAIAAVAMAAAGLGWDVKVLDGLGYADLKKLMGLHTFPEFEIPSQPVKGSFPVIEFEHPDCVLAVFPSGTADFSMNYEELSSAVLKFSELDWKGKPNLLSKQHICWDIIYRTAMAVEKPLTGESGSLVEPFQSSGVLGERPYKGFTWREVVRKRRSAVDMDGVTTMARDTFYQILLHCVPSGSIEGERQRRELALPFRALPWDAEVHAALFVHRVVGLPQGLYFLVRNEDHFDELKKATNPDFKWVKPDGCPSSLPLYELRRGNYQTLSKRLSCHQDIASDGCFSLGMIAHYEPTLREKGVHMYPRLFWETGVIGQVLYLEAHAVDISATGIGCFFDDPVHEALGLKGSNFQSLYHFTVGGPVLDKRIMSLPAYPGPNVDS >KGN57265 pep chromosome:ASM407v2:3:11840329:11841184:-1 gene:Csa_3G175660 transcript:KGN57265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDTVDKLVIFLAKRDGIDKLVKTFQYVSKLVHWHLETSHPDKATRAKNWEVASGISRKAFRTGRFLTGFNALRRSPGSTPTFQFLAVLANAGEMVYFFFDHLLWLSRIGTLDAKLAKKMSFISAFGESFGYIFFIVADVIMLKRGIEAERKLRSSKEDSKEDSKEESINKIKSDRIMRLMAVAANVADLIIGLAEIEPNPFCNHTITLGISGLVSAWAGWYRNWPS >KGN57633 pep chromosome:ASM407v2:3:15161584:15165547:-1 gene:Csa_3G234520 transcript:KGN57633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGGGQRSSDGYLPPGFRFHPTDEELIGHYLLKKVLNSHFSSPAIAHVDLNKSEPWDLPEKALMGEKEWYFFSVRDRKYPTGLRTNRATEAGYWKATGKDREIMSSKTNSLIGMKKTLVFYRGRAPKGQKSNWVMHEFRLAGKFSYHFLSAATSKDEWVISRVFKKTGSGNGATMHGGAMKKTCPNPEPSSPSSVSLPPLLDSSPYPSAAVAADATVSSAAYADGDDTREHELHVTCFSTNNLNYCSASAFPSFQENLQAPFLISPVGPSLVHLVGEAANLDGYGGGPTPEGSADVNASAYVWRF >KGN58592 pep chromosome:ASM407v2:3:26458847:26462723:-1 gene:Csa_3G696870 transcript:KGN58592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSERNHLSATLSAAVMETAEEQEAVLFHSYPCAYYVQSPSTLSHANSSDIRNPAEVSTCHSPLPSDTFPNAHHHHHRNPTQEASRFTLSHYSSSRGSNHGAGTDNGEARLIVGRGNGGDCEEEEEEGEGNEEGYYGKRKRGCWKRYFTYRNSDSNAWICLQLSWRAIFSMGIALLVFYIVTNPPSPIITVKVGEIEEFMLGEGVDKTGVGTKILTCNCTMDVIVDNHSKLFGLHILPPSLHMSFGPLPIAASQGPRLYAESGRTRFRLSVGTSNKAMYGAGRDMEDKLDSGIGLELTIRLNFISNYRVVWKFISPHFHRHVQCLLLLRKPYDRNPHTRSFNSTCFTS >KGN58596 pep chromosome:ASM407v2:3:26480505:26490935:-1 gene:Csa_3G697400 transcript:KGN58596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKKRPVPEVLWRLFSHRARTLADTITSLLPPPDCRCHKRRCLRCSAATPDGFLLRPDDPSHYRQLLTQCYVVISDKASPVSDFSNESRWSQRQIVVRCIQMLISTQPVDSNLICCGFNTLALSSPIVDLLTCPAWDLLLSRVRDEMMIYLLMNSSIFLPTSRKRHHQVAGLPINDLCNKLSEYSTGSSNQRNLSELQKKRKRDGGQPSGSFNDPLPPVHARYFSGCESSYFQSSCWHQEDKQSHLSNIISQSISMPNPTRESNSNGQSEKSSQSVTELGKRRRPFKWQRERKRRLLGPVNNCNSTPCTSTYSGKNTLHAKPPHQLRDSHSHMQSRKITKEVYINRKSMFYNLDSSTNVLKGNILGSFRPNFAGSESLAGYIFGSYNANGNTPSSLLFCNSGTCPFESKCVYHSITKLLKVLIRRSRNCQFDRLLDKHCGAPSFEQISTGNTGSMVECHGSKLSTEIGEDTSGFDAIQSKNYLEAIDPQFAAKFYCPKNQVVAFIWAVCRSIVPQDMLGTCSNWRTLRRNISKFVKLRRFESFSLKQAMHQLKTLQFPFLSDKSSCCQNGRVLNSEEKRRVIESWIYWLFSHLIIPLIQAHFYVTETEFGRQDVYFFRKSIWEKLTKGATTSFKNKGYCYLNESTVRDILKNRSFGFSKLRLCPKENGVRILANLKAYSKMPTENGESCGRFGGKKLVKFKYYKSVNNVLRDTHAVLKGIKLKEPELLGSSVFDYNDVYQKLRLFLPSVKKAKASMPDLFLVVSDVSNAFDSVDQDKLLDVMKTIIVKDEYHLKQYHQILCTKKTMWAHENVMLIDPNISPRFSSSQFRSLHSVLVNQERSSFVNKNDFIRILHEHVKRNVMQFDKKFYIQKTAGRKILMQSS >KGN56504 pep chromosome:ASM407v2:3:7164862:7167299:1 gene:Csa_3G121790 transcript:KGN56504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSEKTFGLFKLFLAVRNKGGYDVVSRKNLWDLVAEEFGLGSIISSTLKVLYVKYLNVLERLLERAVEDRDSTNSCSSTGSGSNGSSPDIQNLKKNHDLHESKFSDCDDTNVILKIDRDKNIAGCEGTLCQLNKSEWDIHDTNNLYTAEDSSLELASNVAEKSRGLNLQKDENAFLDGVGSNVELSYDGRTYDGHDPDDKEGVIIDAISIEELNFSHEKKCESMLGMVNWIKEIAKNPCNPVIGLLPESSKWKSSGNEEIWKQVLLIREATLLNRHISSYAGRSALQGIHPCMFDDHQDSSYNLRKRARSSKIFPCGMSRGQSPLRTTEDQLDQKVLVTTYPLMPDYMGEFASKQIPIGPKFQVEVPEWSGITSESDSKWLGSLVWPLNKKKKSFRHKHNPIGKGRDDSCNCQVLGSIECIQYHILKKRYKVKRELGSAFYHWKFDKMGEEVRLHWTEKEEHKFKSATRSSSTSFKQSFRTRMYKYFPYKTKEDIVCYYFNVFLLHHRAFQNRFTPDNICSDDELEYLCRKRKPCW >KGN59301 pep chromosome:ASM407v2:3:30886307:30888049:-1 gene:Csa_3G807310 transcript:KGN59301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSIGNVQHVPRKSSDELLRKFAEVGSESGGGRRLLTVVKRRRKRVNNEEYCDSPSGTGGVVERKWLISPVGRKSVVLKKVGIGNGRSQQLRIRDFRNKSILGAIQKTWRRTVEGASKVLLEKHYNTHRRLISDIV >KGN59000 pep chromosome:ASM407v2:3:28796125:28799056:1 gene:Csa_3G742880 transcript:KGN59000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTLNLPCALAFFFIFFILTSAIAQEVEDESEFDYRKGSKKGPSKWGDIKREWEKCNNGNMQSPIDLSNKRVKVVKHLGQLKNKYKPSIAIIKNRGHDISVKWEEDAGSIEINGTDYLLQQAHWHSPSEHTLNGRRYDLEVHLVHQSSNPNAKYPIAVVGFFYKIGRPDSFLSKLNRKIKALNEKKEIKAGIINPRWIKNGGMKYYRYIGSLTVPPCTEGVIWNIKKKIGTVSRKQVKLLRSAVHDSAEKNARPIQPHNGRHIDLYDPNPTHTLN >KGN56293 pep chromosome:ASM407v2:3:5794429:5800598:1 gene:Csa_3G113350 transcript:KGN56293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLWVPLLSQRRRFIPNRVFHIVESSRRICSSTPSPNLLSDSVFLESGWNTRISNARLLLPLAAVHIARGYCGVPENGLPEKRLNDSASDGKVVANKVQGVQRNSVDFKKIPINMLPTVVLVGRPNVGKSALFNRFIKRREALVYNTPDDHVTRDIREGVAKLGDLRFVVLDSSGLETTASSGSILERTAKMTENVLLKSQLAIFLIDARAGLHPFDLEVGKWLRRHAPNINLVVAMNKSESLFDNSCTLLAAGLEAQRLGFGDPVPISAETGLGMQELYGAIKPVLEKYMLKVISDNGGRDRFHQVTNSNEDDDTLDSKTKLQLAIVGRPNVGKSTLLNTLLQSDRVLVGPEAGLTRDSIRAEFEFEGRTIYLVDTAGWLHRTKEEKGPGSLSVMQSTKNLMRAHVVALVLDAEEIARERRSMKHSEVVIARRAVEEGRALVVIVNKMDLMRGKKNFASYEKILEAVPEEIQTVIPQVTGIPVIFISALEGRGRLEVMHQVIETYEKWCTRLSTARLNRWLRKVMSRHSWKDQSAQPKVKYFTQVKARPPTFVAFVSGKIRLSDTDIRFLTKSLKEDFNLGGIPIRIMQRVVPKKTVDGGGKSNKHVVRTPERIRSNKRSLIVE >KGN58580 pep chromosome:ASM407v2:3:26347310:26350074:-1 gene:Csa_3G689790 transcript:KGN58580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPPSSSLPFLTFFLLLASSALAGTILEGLLANGNFEEPPAQTNLKKTVIIGKNSLPSWEINGFVEYISGGPQPGGMFFPVAHGVHAVRLGNEASISQIINVKKGSLYALTFGASRTCAQDEVLSVLVPPQNGSLPLQTLYSSDGGDVYAYGFVAQSDLVKVTFHNPGVQEDPACGPLLDAVAIKELARPLPTRDNLVRNPSFEVGPHRLVNSTNGVLLPPRQEDVTSPLPGWIIESLKAVKFIDSKHFNVPVGLAAIELVAGRESAVAQIIRTIPNKVYSLTFKVGDAKNGCHGSMMVEAFAAKETVKVPFQSQGKGLYKNAILKFKATSRRTRITFFSSYYHTRTDDFGSLCGPVLDDVRVISTN >KGN59897 pep chromosome:ASM407v2:3:35345851:35347381:1 gene:Csa_3G852460 transcript:KGN59897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLKSAQETDSERPNLPPGFRFHPTDEELVVHYLKKKATSLPLPVAIIAEVDLYKYDPWELPAKASYGEQEWYFFSPRDRKYPNGARPNRAATSGYWKATGTDKPVIASSEGNRKVGVKKALVFYGGKPPKGIKTNWIMHEYRLADNKSGGGNNNKPPGFNIGGKKNSLRLDDWVLCRIYKKTNSNKPGMDHEREDCFDSLVGSIPSSIQINSYNQSMTTTTTATNFSMYSELASLSLPMKRLLQPPSWEEEEYRLADNRSSRKRLQLMNGGGGGDNSIAALLSQLPSLQQPTLQGCIVGDEIFRPAFQLPETNWYS >KGN56840 pep chromosome:ASM407v2:3:9072862:9075038:1 gene:Csa_3G134880 transcript:KGN56840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALASSLLQSSLQIRTSDSSFGSLFPSTIHRIAPSFNLKCSRLHSLFSLDGGPRRILSLKSVSVNVYADPASASPSVVDEYQAKSELLASLKVKLLTAVSGLNRGLAADEDDLQKADEAAKEIEAVGGPVDLSVDLDKLQGRWKLIYSSAFSSRTLGGSRPGPPTGRLLPITLGQVFQRIDIISKDFDNIVELELGAPWPLPPAEVTATLAHKFEIIGSAKIKIIFEKTTVKTTGNLSQLPPLEVPRIPDALRPPSNTGSGEFEVTYLDNDIRITRGDRGELRVFVIS >KGN57110 pep chromosome:ASM407v2:3:10725202:10727050:-1 gene:Csa_3G154330 transcript:KGN57110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMALRPIDNALPVTPERPKKQAKVVVPVQKGNDENQAPLPPSADATIDYVSSENLKPLTDPDSNNFIEGLDSKDWVKVCETLNNARRLAIFHSDLLLPSLEKVMVVLMKSMKNPRSALIKTSIMASADIFNAFGDRLLDTSTSNAFDQLLLQLLLKASQDKKFVCEEADKALKALVQSMTPLPLLQKLRPYVSHSNLRVRAKAAIPISNCVSKMGLEEMNQYGFVPLLQMASDLLNDRLPEAREAARSIVMGMFKAYTENEEDKQEAWQSFCQANLSPIHSQSLLKVVTSSQ >KGN60351 pep chromosome:ASM407v2:3:38740612:38744540:1 gene:Csa_3G900960 transcript:KGN60351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVWLCSNGGSFSNLFLLLTLMIFCFCNIVANASFNVSTIRFDEGYSPLFGDGNLVRSPDGKSVRLLLDRFTGSGFISSKMYNHGFFSARIKLPSDYTAGIVVAFYTSNGDVFEKSHDELDFEFLGNIEGKPWRFQTNLYGNGSTNRGREERYRLWFDPTKEFHRYSILWTANIIIFYIDEVPIREVVRNEAMGGDFPSKPMSLYATIWDASNWATSGGKYKVNYKYAPFVSEFKDLVLEGCPSDPIQEVLEPFDCSATITRLNAQDFTTITPERRAAMRNFRQHYMYYSYCYDTLRYSVPPPECVIIPSEKQRFKDSGRLKFGGSHRRRSRRRNRNPSVSLSNQSSM >KGN56283 pep chromosome:ASM407v2:3:5684584:5686757:1 gene:Csa_3G112770 transcript:KGN56283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAYKYVSELWRKKQSDVMRFLQRVRCWEYRQHPSIVRVNHPTRPDKARRLGYKAKQGYVVYRVRVRRGGRKRPVPKGIVYGKPTNQGVTQLKFQRSKRSVAEERAGRKLGGLRVVNSYWINEDSTYKYYEVILVDVAHNAVRNDPRINWICNPVHKHRELRGLTSAGKKYRGLRGKGHLHHKARPSRRATWKRNNTLSLRRYR >KGN59158 pep chromosome:ASM407v2:3:29938192:29939589:1 gene:Csa_3G777660 transcript:KGN59158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNSGDSTVIDVPPTAASSKKKAVVSTAAPGQASGGVKRGLSIFDFVLRLGALGAALGAAAAMGTSDETLPFFTQFFQFEASYDDLPTFQFFLIAMGIVAGYLALSLPFSIVCIVRPRAVGLRLLLLILDTVVLTLATSAAAAAAAIVYLAHDGNTSTNWLAICDQFDDFCQKVSGAVIGAFIAALLMMFLVLLSAFGIRNTH >KGN58194 pep chromosome:ASM407v2:3:22567334:22570125:1 gene:Csa_3G588530 transcript:KGN58194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLARLSAVARPLCNPLHSQIATRAYGSAAALEVDYDYYEDDDDFDRLIGDRTHGRAMADSRGWVPKRGVQWVVLGDPRAKKHVYAERLSKLLHVPHISMGGLVRQELHPRSSIYQQIASSINEGKPVLEEIIFRLLSKRLEEGYCNGESGFILEGIPRTRNQAEILDQIADIDLVINFKTTEEPLIRKNLGSGNFSGFHEYSTICGSGSSQHLQPKGKESECLSATTEYSWKEMTSIEQRKPLVEYYRGQRKLVDFQVREAPGETWEGLLKALHLQHINALSSSSSTQKLTT >KGN56214 pep chromosome:ASM407v2:3:4993858:4997458:-1 gene:Csa_3G099750 transcript:KGN56214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSFIRFSTFNILHNNFCNKPTKFNPLPPPKVVFCKPSGLRLRFYGWRLLFPGGGWVDQRVFGKHGGFKGKRGRLIVARFNQGFGFNGGGGDGGDGGDGGDDGATARLVGNIALAAGLTYLSVTGQLGWVLDAIVSIWLVAVLVPIVGVAAFIWWAGRDIVQSNCPNCGNEFQIFKSTLNEELQLCPFCSQPFSVVDDKFVRDSVKFSNKTSSTFGQAFSDFTSPRKGKETSGAVVDIEAEVKDVD >KGN56526 pep chromosome:ASM407v2:3:7297674:7298587:-1 gene:Csa_3G122500 transcript:KGN56526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQWESARLEAEARLSRESSLFTPLLMERTTDSDFFLQIWNSEVGESFRRCRDDKTTCQSLSPLSSSVKCESLFIVATHNRVDYTGSSAMESNNENEETEYKNFQSMTDIVMSKSDSSSANEFEESSDSALGLLLDFPINNDMSFLEEDNIITQQHLH >KGN59371 pep chromosome:ASM407v2:3:31445942:31446854:1 gene:Csa_3G814380 transcript:KGN59371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILRLAINYFTPKDLIPVDKVAHTCIPMITLLQSICDFVRTPTILSSTKYHFELTLEQIYFTPTTRACSKFQTPSLHLRSLAHPSTSIDHTYTYHQTRTQTRLTKFLCSNLKISLTQLDLDQGSATNY >KGN56849 pep chromosome:ASM407v2:3:9111175:9113558:-1 gene:Csa_3G134970 transcript:KGN56849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQASDRFNINSQLEHLQAKYVGTGHADLNRFEWAVNIQRDSYASYVGHYPILAYFAIAENESIGREHYNFMQKMLLPCGLPPEREDD >KGN58504 pep chromosome:ASM407v2:3:25716701:25717213:-1 gene:Csa_3G651880 transcript:KGN58504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERPLTTLIFVITGFAFSVLSLLVESQTPDGANPTLSPPRPSSPPPSPSPPPPPLPPPPPPPPPPPPITPPPPSPSPPPFSPPPPPPPHRHRRNPPPPLASSVERKKKRPPVPPKNINTNQGSKRSLNKGKKVGLFFVGIAAVLQICVVGFLVFKRRQLLRVKDRYEGFS >KGN59915 pep chromosome:ASM407v2:3:35517555:35520265:1 gene:Csa_3G852640 transcript:KGN59915 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MDLSQLSLSATVVTGIFSLLLFLYALFDISTRVAGARRNKLPPELPGRWPVIGHLHLLNATEPAHITLAKFADTYGPIFTLKLGMNKALVVSSWEIAKECFTTNDRIFASRPKLVASKLLGYNYTMFGLSPYGSYWRHIRKLATLELLTNRRLHQLQHIREFEVQTSIKKLYELCIRNKKSLVEMNTWFGDITLNTIFRMVVGKRFSMAMDGSANGNDVYRMALRDFFEWFGVFVPSDSFPFLKWFDLGGHEKAMKKTAKILDEVFDKWLQEHRLRRKFEESENDFMDVLLSNVKDAEQFSNYDADTVIKSSCLALILAGFDTTTVTMIWTLSLLLNNPEALKRAQLELDEQIGRHKQVKESDIEKLKYLEAIVKEALRLYPPGPLGVPHESTDDCKIAGYHIPAGTRLMVNIQKLQRDPCVWEDPCEFRPERFLTSHKDFDVRGKCPMLIPFGTGRRMCPASSFALQIMHLALANLLHGFEIERPSQDLIDMEESAGMVSIKKEPLRVIISPRLQPQLYE >KGN56841 pep chromosome:ASM407v2:3:9075153:9076719:-1 gene:Csa_3G134890 transcript:KGN56841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSVKGLLKGLRYISQIFDEKEPEMQIGLPTDVKHVAHIGWDGPSGNQNNTPTWMNEFKSSPKTQTSNDLVSSIGELDLTSTNIPTQESGDLDVQKASRAPRSKRQTSSESSSGLDSSSNRRNSEKGSRRQRSSCPSGDSGPQEGSSRSSRRRRGESSTENPVPKHSHRRKSKGSSDGGESTRSSRSRDNNSLTDIPLPVLEAVDEEKG >KGN58928 pep chromosome:ASM407v2:3:28386113:28390302:1 gene:Csa_3G736760 transcript:KGN58928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDLNLEVVSSESASDSVEMVTDRFLQFPANRMESAGSFNSSSIVNGDLSASTTGDEDSSSNADEAFPFAFGKDYADQESLTAKSLCVFDDHRDQTMVLFPLTGGLSSGSSPLKRWPEVSPSEFGYCGGAPDRRITAPPTQQQRKNRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGIDADINFNVCDYDEDIKQMSNFTKEEFVHILRRHSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKYIYLGLFDSEIEAARAYDKAALRCNGKEAVTNFEPSSYVAEMASENDIGENNQIIDLNLGIAPPNLSDARNESIGMFGNGIHHSSQEVLVDRRAMPENSVSTAIRSSQPYSSAVPSNYHSSWNVSNSSFFPVSRERATEMRMGVGSWEWQIHGHPSGTTSVPLFSAAASSGFPSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSTAVSSASQAVGEIHFPAIFYCRS >KGN55961 pep chromosome:ASM407v2:3:2879447:2882914:1 gene:Csa_3G039320 transcript:KGN55961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPNTVELAKQRCKAIMDIIQTLPSSTNISVSCTQTLHKLALRELNFLSRCSSSSSAPLSLNIGHLEAIVHILQHPSVTGISRVCKPIPSSSSSQAVYVDIICTLNRNPVWVIVSDRKPRYISWYKGHRSKGLKSRLEEVIDAARSLHALEPCSIILFFSHGLDQFILERLRDEFKATEFHFNFSDFDFAFSEIDGDWINVLPRSYEEACVLEIKVNDRNCGVTSSNYNSKVCSSGVDEPEILNNNTEIDFGDSFCSVVMAMKPNPMNGIEDMESANFEKLLGGDSDLINFDTTALIALVSGISNGCAAKLLSIPENELRQKYKSNYDFVIGQAMSEIKKPILVELSSLLSGKRGIICQSAHSEFKELITMCGGPNEKSRANHLLKHIMVVLDMVSKRMTCLPTTRKLALKNKVVFGTGDYWNAPTLTANMSFVRAVSQTGMSLFTFEHRPRALTGD >KGN56040 pep chromosome:ASM407v2:3:3474153:3477341:1 gene:Csa_3G055950 transcript:KGN56040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIRREDEVEDISLSASTMPNTGTNALGHNIIDFISQPSSSIRNNHSDLNIQIQTCDSNIRTSPLPIFLKFEDIEYKVRNKQGSSKNNPLKAVISKVSSQIKMDQQESYKKILKGITGRVGPGEILALMGASGSGKTTLLKVIGGRVLENVKGNITYNDISYTPALKRRIGFVTQDDVLFPQLTVEETLLVSAFLRLPSNMNRQQKHERVDVIVKELGLERCRNTRIGGGFGKGISGGERKRTSIGYEVLIDPSLLLLDEPTSGLDSNSANRLLIVLKGLAKAGRTIITTIHQPSSRMFHMFDKLLLIADGYPIYYGKTKESMEYFSSLRFTPQISMNPAEFLLDLATGQVSDISLPEDLPTSRGSLDTDKSIIKYLQLKYKTQLEVQERTKNQAEKAPEHLQIAVQVEKDWTISWWEQFKIVSKRTFKERSKDYFDKLRLLQAVGVALLLGLLWWKSKIDTEPQLRDQIGLLFYICIFWTSSSLFGAVYVFPFEKLYLVKEQKADMYRLSVYYVSSTLCDMVAHVLYPTLFMLILYFMVDFKRTVPCFLLTLFAILLVAVTSQGAGELFGAAVLSIKRAGMVASLILMLFLLTGGYYVQVISSIYFYTYIFLIFFSKS >KGN58388 pep chromosome:ASM407v2:3:24700027:24702705:-1 gene:Csa_3G636370 transcript:KGN58388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQFSKHLYKRGLKITLILTNFIARVSHSLPPFPILTISDGYDHGGFASAESAQTYLDSFRRFGSQSLRELLRHLSSSASPADCLIYDSFLPWVLDVANELQIATAVFFTQSCAVANIYYHVHKGLIDLPLPNREIEIPGLPLMKPAEFPSFIYQLGTYPAYYDLLVNQYANVDKADWILCNTFEELEREVLEYLKKIWPSIRAIGPSIPSGYLDGRIEGDREYGMSILDLDGDVSRKWLEGRRKGSVVYVSFGSIGKVAAEQMEEMAGCLKSIDRQFLWVVRPSEVVKLPKNFMVETEEKGLVVSWCQQLEVLTHEAIGCFVTHCGWNSTLEGVSLGVPMVTVPGWTDQTTNAKFITDVWKVGLKALANSDGVVKREVLLQCIEEVMVGERGSEIRQNATIWKTMTQNTFESGGSFNGVVDEFLAKMVR >KGN56754 pep chromosome:ASM407v2:3:8621733:8632306:-1 gene:Csa_3G132560 transcript:KGN56754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMDIPLPDELELLESDLHLYEDYLEPEVYEIEVDEEKRDKESSGSPILQSNSPDFSSAALNSVTESSSENGLKRLRSDDADVLRDSVLDDVEPSGGKRSRTDKPEVENEEDWLRYLPPTENNSMAEEETNLAVKEKTVFRFVSEIDGDFIPITAPDSDERVYVKLSRSGDKEESKKLDLKERHGGIMQENINVLLERAEKEALTKTLEASYDTQLDAMPPQEPVMHERLWVDKYSPSSFTELLSDEQTNREVLLWLKQWDSCVFGSEIRTTSDEVLSSLRRHFSMAQHHKLSSLSSTRKNKFPGWKAGNFRDSTFSDNKEGTTEGIQDTWSKKSRLPSPPEHKILLLCGPPGLGKTTLAHVAAKHCGYHVVEINASDDRSSSTIESKILDAIQMNSVLGDARPNCLVIDEIDGALGDGKGAVDVILKMVSADKKAERENGSKDQPGKRSSKKGQRSVSLIRPVICICNDLYAPALRSLRLVAKVHVFVQPTISRIVSRLKYICNQEGMRSSSAALSALAQFTECDIRSCLNTLQFLYKKRETLSAEEVGSQVVGQKDISRSVFDIWKEIFHTRKLKLQSRSVSKSRNTCDKLEHLYSLLSYRGDYELILDGIHENILQLNYHDPVMHKTVKCLEMLEVSDLMNQYIMQTHQMILNVYQPSSIITIHRLVAQVQRPNIEWPKSYQRCRALVLEKMENLRSWHCRVPPLISRHINSKTFVEDLVSPLLHIISPRTLKPRAMHLLSEKEKDDFTQLVNVMVSYAISYKQIKTDPHSNSSRHEATLDGSVFALDPPIDGFVCFKDYESCHNVLALAVKQLLVHEVENKKILQGSNGKLEPLSDAKQVNHEGTRDKSSKGGLTKTECVALSAKNNTEGQKSYSTQHHPSTSTSASDGNSAPGVNLKSSGVRKNPSLGSSSFFDRFRKPGSKGSQTTDSIDKKEITLQRDLRPLLFKFNEGFTNAIKRPVRVRDFLL >KGN58132 pep chromosome:ASM407v2:3:21716359:21728708:1 gene:Csa_3G536140 transcript:KGN58132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEGFGNGGLRPNCGNPNPILGRKRPRNYSNRTVPGDHAEASGHVKLYVAQVPRTGTEEAIRPLFEVHGDIVEIVILRDKITGQQQGSCFVKYSTSVEADRAIRALDNQYTFPGELTPINVKYADSEKDRLGVLEKLYVGCLNKNTTKREIEEVFSPYGFVEDIYIIRDDLKQSRGSAFVKYARRDMALAAIKALNGNFTMRGCDQPLIVRLADPKKPRIGEQRSTNVSGSPRFGHHPQPFRPEPPVGPAGGCFPNNSYPGQQNSTSLGPPRNASQVASHTPFAPNSMQKPSPQTQEPSSSFAQMSSQPMRSTQQVFQPPTQTDFSKMQNQVLGQQPRQDSHQQQNLQPPSARGVQTFSGTPNSPMGRPSSWVEVTLECDWSEHTCPDGFKYYYNCVTYESLWEKPEEFALFEQQLKEEKLQKPNHQLHSSLSGVSSQEVLPQPNLLSQKLEAQYSSAVRELDCMRLQSKASPVVSPACV >KGN56108 pep chromosome:ASM407v2:3:3963256:3967013:1 gene:Csa_3G074470 transcript:KGN56108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSSFMSHSLQAHASTETAIKCCKGYMYGNLCSSYSRIGYSRSKFLTSPKFLHNENSTKWEHVEKCPTRNEIQCRAVEIENSVSPFISKKFELDDVIEAQQFDREILNHIFEVALDMEKIEKSSSKSQMLKGYLMATLFYEPSTRTRLSFESAMKRLGGEVLTTENAREFSSAAKGETLEDTIRTVEGYSDIIVMRHFESGAAKKAAATASIPIINAGDGPGQHPTQALLDVYTIQREIGKLDGIKVGLVGDLANGRTVRSLAYLLAKYKDVKIYFVSPDVVKMKDDIKDYLTSQDVKWEECDNLTEVASECDVVYQTRIQRERFGERIDLYEQARGKYIVDKNVLNVMQRHAVVMHPLPRLDEITIDVDEDPRAAYFRQAKNGLYIRMALVKLLLLGW >KGN58620 pep chromosome:ASM407v2:3:26643203:26647772:1 gene:Csa_3G702580 transcript:KGN58620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRFKPFMHKEPSGLEGRSIDVGNLKIHVRNVIAEGGFSCVYLAKDAVHISKQYALKHIICNDEESLELVMKEVSVMKSLRGHPNVVTLYAHTIIDMGRTKEALLVMEFCEKSLVNVLESRGAGYFDESQVLLIFRDVCNAVFAMHCHSPPVAHRDLKAENLLLGSDGHWKLCDFGSTSTNHKRFEKPEEMGIEEDNIRKYTTPAYRAPEMWDLFRRELINEKVDIWALGCLLFRICYFKSAFDGESKLQILNGNYRIPELPKYSSSVIDLIRDMLQASPNDRPDITQVWFRANNLLPVGSQKSLPDQPPDMPSTDKHEGTSNPVNKLSPMPRRSPPPPPSVKSSSQATSNMSKPAGGGGGGGGGPLGAFWSTQHASDTVNEDTNRIRFDEESTSRSTSKHDRNGPNNHSTHKNASPGDVNQKGNKTVTDSGSFRDFELSFFQNEMEHGSSGSKASKTGSANFQDKAFNNFVAEFDTGKFSSDVTNNKPGKEVALEAEVEKLKEQLKHANVEKSEITSKFEKLSAICRSQRQEIQELKQALAARSPSPNKLEMKNQNSREAQPSAVPRLQKADVTTPSPDAKAWQAFAEESPNQQPVTPEKGVKSMRTRSVQRKQASPENTGFESWGFGAESFTAVSAGSSNKSGLTGERNSSQRTGGGEPKSNDISSQPAGWAGF >KGN56473 pep chromosome:ASM407v2:3:7015271:7019469:1 gene:Csa_3G121010 transcript:KGN56473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPVPHQFTPQRPWFPVPPPNPPPSSSSSSSFFWDNLNVRDRLRELQDTLNLAKSMQKELEMLMLVKEAKLSEQSTDSLPNGSSIGEFCDYLEDRRISLELQESRSVEAAAGLMEKLRAQLHPFRLDTDESSLWEAVRLSGKLSKAKRNKQWRKRKRKRVAESLAKERESFDQVDLEADEWRAREIAKDIAKRKVEKMNEIARIKAKEEKKKLDSELELALIVEKLQELRSIRIQKLKKQGHFLPEEDDKFLEKVRAAVEEEERQALAAADTDAAKDAIATAEVSRKTVPTYPESRDPDSATDRSEERNDQRSAGADEKVSNTSTNKEPGKQFGELQGYGRMYDYAANLPMEFYHYYYGSNTDMGTLIEVRRSWDAFIRPGGSRIPGHWVEPPPPSDEIWAAYLVPQK >KGN59336 pep chromosome:ASM407v2:3:31134735:31138592:-1 gene:Csa_3G811590 transcript:KGN59336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQPSVILATASYDHTIRFWEAKSGRCYRTIQYPDSQVNRLEITPDKRYLAAAGNPHIRLFDINSNSPQPIMSYDSHTNNVMSVGFQCDGNWMYSGSEDGTVKIWDLRAPGCQREYESRAAVNTVVLHPNQTELISGDQNGNIRVWDLTANSCSCELVPEVDTAVRSLTVMWDGSLVVAANNHGTCYVWRLLRGTQTMTNFEPLHKLQAHKGYILKCLLSPEFCEPHRYLATASSDSTVKIWNVDGFTLEKTLIGHQRWVWDCVFSVDGAYLITASSDTTARLWSMSTGKEMKVYQGHHKATICCALHDGAEPSSG >KGN55586 pep chromosome:ASM407v2:3:96511:102992:1 gene:Csa_3G000100 transcript:KGN55586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCDAKEKQESVQDVSTALSEGNQVNAKEDELHDSLSVPAEATPNSCEKEIDSPGTCVQEIVPLEDNLEKPTILQQSNQISGHSLPMDYDQELDKSVAEPASDVKSGPVELPSRDLDAAVSSSPLETVQSSIDAKSEASKMPEIKTCSLDDASTVSHDEPVTPHPVSSCVKAETENAIELKVNEDIVTTPHNGDSNMNHSFLLDENHIAEGSESGTEEEQSAFMKELENFFRERSLEFKPPKFYGEGLNCLKLWRAVTRLGGYDKVTSCKLWRQVGESFKPPKTCTTVSWTFRGFYEKALLDYERHKTNGGELSVPIASNSEPMSIENQGSGSGRARRDAAARAMQGWHSQRLLGNGEVSDPIIKDKNSLSMQKREKQLKSIGLLKRKKPSYMEHSMKSARTKSPKPQLDVAVVDIGQPADWVKVNVQKTKDCYEVYALVPGLLREEVRVQSDPAGRLVISGDPEHPDNPWGVTPFKKVVSLPSRIDPHQTSAVVTLHGQLFVRVPFEQLE >KGN58171 pep chromosome:ASM407v2:3:22361402:22363297:-1 gene:Csa_3G576850 transcript:KGN58171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCRVHVPTRSYVTLQCTPTKLIRLCDPILFFLLLFLVTLSFYQRDSSRFSSFLFPVSTTNFDERVAISFNFFLFPFLGFMILLRKITRVEFHSG >KGN58417 pep chromosome:ASM407v2:3:25060386:25061516:-1 gene:Csa_3G640590 transcript:KGN58417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSYDNVVGGKLKLKGKALDVKVGGVKKKKKLKKNQDQISRELENEHPAGLLFNYYWNCLTWISVNVYLWIIVLYLMEKSEIKTSRFEQYST >KGN58768 pep chromosome:ASM407v2:3:27614178:27617531:-1 gene:Csa_3G731790 transcript:KGN58768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTLETYNSIIANCSPSSYFSSSSSSLKERLLSGGPEFISYRRPWKLANSGLQHLVPLRRGGIDFISSCFASYQQADTIQNDAVENQETDQSKTVRVKFQLLKECTFGEHFYVVGDDPIFGSWDVTSAIPLNWADGHQWAAEVDIPVGKIIQFKFILQGITGNVVWQPGPDRTFQPWETSNTIIVSEDWDSAESRILSEEEKIVNQEEDSPIAPENLMDEDNLTYPDEELIPNIIKDSIARKPSVELIDGSNISALEENGCNISASEENITNVSLPEGDNSSISDSNDNAKDLVAGNISNKAVSEVYLDDTKITEESLENDAKDDGVQESPVDDQVPILVPGLPPTATASNQNAPPHEVEDDGSVCGINESNDHKLPESQNIQKNQKLDPEVVAGQEMEAKSSYEDDTNIIENQSDLQEINNDVVQNDLTWGHKTLKKFLSSLRLL >KGN58763 pep chromosome:ASM407v2:3:27596429:27598276:1 gene:Csa_3G731740 transcript:KGN58763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKSFKDSLKALEADIQFANTLASDYPKEYDGACLQMRLSYSPAAQFFLFFVQWTDCHLAGALGLLRILIYKAYEDGKTTMSIQERKASLKEFYGVIFPSLVQLQKGITDIEERKQREVYAAKFKRTDRLNKGKISEIDLEREEECGICMELNNKVVLPNCNHSMCMKCYRSWRTRSQSCPFCRDSLKRVNSGDLWICTSSSEIVDLSFISRDNLKRLFMFINKLPLIVPDPKLISYYLNHY >KGN59218 pep chromosome:ASM407v2:3:30301775:30313052:-1 gene:Csa_3G782660 transcript:KGN59218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRTFAFNHSLLCKYPFLPPRLSVLRPVFSTFAMSYRPNYQGGRRGSSSGGGRGGGRRSGAGGGGGRGGGGGRGEQRWWDPVWRAERLRQKAAEMEVLNEDEWWTKMDQMKRGGEQEMIIKRSYSRSDQEILSDMAHQQGLYFHVYNKGKTLVVSKVPLPDYRADLDERHGSTQKEIRMTTDIERRVGNLLDDSQGKGRELRVSSTASVEEGKQFPTSVNNIKPTSKLESDSAKEKLSSELKQKQEAMKGSDGLKAMLAFREQLPAFSVKSEFIKAMTENQVLVVSGETGCGKTTQLPQFILEEEISKLRGADCRIICTQPRRISAISVAARISSERGENLGETVGYQIRLEAKQSAQTRLLFCTTGVLLRQLVQDPQLTGVSHLLVDEIHERGMNEDFLLIILRNLLPQRPDLRLILMSATINADLFSKYFGNAPTLHIPGKTFAVSEFFLEDVLEKTRYNIKSEFENFEGNSRRRRRQQESKKDPLSELFEDVDIDSQYRGYSSSTRKSLEAWSGTQLDLSLVESTVEYICRRESNGAILVFLTGWDDISKLLDKVKANNYLGDSGKFLVLPLHGSMPTINQREIFDSPPPGKRKIVLATNIAESSITIDDVVYVIDCGKAKETSYDALNKLACLLPSWISKASAHQRRGRAGRVQPGVCYRLYPKIIHDAMLQYQLPEILRTPLQELCLHIKSLQLGTVGSFLAQALQPPDSLAVQNAIELLKTIGALDDMEELTPLGRHLCTLPLDPNIGKMLLMGSIFQCLNPALTIAAAMAHRDPFILPINRKEEANDAKKSFADKTTFCSDHVALLKAFEGWKDAKRNGAERSFCWDNFLSPVTLQMMDDMRMQFLDLLSDIGFVNKSRGPSAYNQYSQDLEMVCAVLCAGLYPNVVQCKRRGKRTAFYTKEVGKVDIHPGSVNAGVHIFPLPYMVYSEKVKTTSIYIRDSTNISDYALLLFGGNLVPTNTGDGIEMLGGYLHFSASKNILDLIKKLRGELDKLLNRKIEEPGFDINTEGKGVVAAAVELLHSQVVRH >KGN60213 pep chromosome:ASM407v2:3:37714582:37714767:1 gene:Csa_3G889700 transcript:KGN60213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYMNIAGFLKTQDQHKPNLLIVNGGMQYASSPHLNVYVPDLVFVWESIRTPPEWSDSFQA >KGN58629 pep chromosome:ASM407v2:3:26715285:26717141:1 gene:Csa_3G703160 transcript:KGN58629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHFTYPSTAIKKPTKILPRIQLDDDSDLIDEDSLLTEEDLKKPQLPVGDCEVSSTRKACKNCTCGRAEAEEKVEKLGLTSDLLENPQSACGNCGLGDAFRCSMCPYKGLPAFKLGEKVSLSGNFLAADI >KGN60178 pep chromosome:ASM407v2:3:37397561:37402050:1 gene:Csa_3G881900 transcript:KGN60178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRVYVGNLDPRVSERELEDEFRVFGVIRSVWVARRPPGYAFIDFDDPRDARDAIHELDGKNGWRVELSHNSRGGGGGRGGGGRGRSGGSDLKCYECGEPGHFARECRLRGGGGGGGGGGGGGAGRRRSRSPRYRRSPSYGRRSYSPRGRSPRRRSVSPRGRSFSRSPPYRGREEIPYANGNGIKDRRRSRS >KGN57528 pep chromosome:ASM407v2:3:14005579:14007751:-1 gene:Csa_3G205310 transcript:KGN57528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKSSVILISNLLSAVNKLEALKRYKFSLAFENSNEEDYVTEKFFQSLVAGAIPVVIGAPNIQDFAPSPTSFLHIGELSDVKSVANSMKYLAANPEAFNNSLRWKYEGPSDSFKALLDMGAVHSSCRLCIHVATGIRETEEKGLAFKNRPCKCTRGSETVYHLYVRERGRFNSESVFLRSSNLTVEGLASAVISKFTSLKHEPIWKQERPKSLKGGDELRLYKIYPVGSTQRQALYTFRFKGDSDFRRFVEDNPCAKLEAIFV >KGN57694 pep chromosome:ASM407v2:3:15775443:15778038:-1 gene:Csa_3G253510 transcript:KGN57694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHKLLEVHKCATILQQLAAICSVSERTDLKPILRWESLHGWLLSAVQALPLEYLKPGEVESLVPLWLKALGDAACDYLESKSCDEVKANYGHMQGKGGRVLKRLVREFADGHRNVT >KGN57535 pep chromosome:ASM407v2:3:14075697:14078206:-1 gene:Csa_3G207360 transcript:KGN57535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAINPSSFFFPSNPTTATARQHSISPPSSFRLSLAATGDASPDPSISRLFNFPSLSATDATGGFSLGQDYDAGASSPVIDGPGRFARGNGTVKVNAMEKKWSRDRESYLVDDSDVLPLPMTYPDSSPVSPDEIDRRLRCDPQVEDCKEVVYEWTGKCRSCQGSGYVSYYNKRGREITCKCIPCLGIGYVQKITARKDIELMEDLDNNGKPL >KGN59127 pep chromosome:ASM407v2:3:29773597:29774770:-1 gene:Csa_3G776870 transcript:KGN59127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHLPVEVIGNILSHLKSARDAVIASTTGLQNLSIFMDDDVDAFFSLISWLMFCLKLEKFALINPELVMSDTQTAMEHSSSPLKDIFVGNLGAACDYSDEDVDLYSISISFSKLSYLSLHYYPRHGTFHHNFRESFQMENVTILELGWSDTSDPFSNWVAKLLEKCPKLKKLIICGAVSEINNHEECQNLAHFITYFVELMRKYLHIEVQFGFE >KGN59640 pep chromosome:ASM407v2:3:33243276:33244027:-1 gene:Csa_3G835770 transcript:KGN59640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWKLFYKELVPFAAMVAAMFATVGSNTGFKAATARGLSYYVFTLYVCIVAAAALIPFAFFFHKSAQLPPNKISFFFQIVCLSALGLSCQLLGNKGLEYSSPTLSSAISNLIPAFTFMLAVSFG >KGN58432 pep chromosome:ASM407v2:3:25187739:25197302:1 gene:Csa_3G642730 transcript:KGN58432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRFPSHQLSNGLFVSGRPEQQKEKAPTMSSVAMPYTGGDIKKSGELGKMFDIPMEGSKSRKSGPLNVAPTRTGSFGGAATHSGPIMPNAAPRSSYTTSGPISSGMMTSSTSFKKSTSGPLNKHGEPVKKVSGPQSGGVTRQNSGPIPPVLPATGLITSGPISSGPLNSSGAPKKTSGPLESMGSMKINGPSIAQNPAVTTLSPDDEYSFGKSFPKLILWSVILIFVMGLIAGSFILAAVHNPVLLIVIVLLFGVVAAIFTWNSCYGKRALIGFISQYPDAELRTAKNGQYVKVSGVVTCGNVPLESSFRKVPRCVYTSTSLYEYRGWGSKPANPGHRRFTWGLRSSERHVVDFYISDFQSGLRALVKTGYGARVTPYVDDSFVVDVNPSNKDLSPEFVRWLGRRNLSSDDRVMRLKEGYIKEGSTVSVMGVVQRNDNVLMIVPPSEPLTTGCQWGKFIFPASLDGIVLRCEDSSKVDVIPV >KGN58546 pep chromosome:ASM407v2:3:26120862:26121330:-1 gene:Csa_3G680620 transcript:KGN58546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSIRFTLRRHNVRHDQSLQNRNPSRLPLPSDELPYNILHLIRRRVMGEETVILEEDPARRRAQEKAIERLTISEKDDGLFEGEELGDCCICCEELNGGEKKKKENIE >KGN56158 pep chromosome:ASM407v2:3:4414061:4415341:1 gene:Csa_3G081350 transcript:KGN56158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFDLLKFHSQITVPAKPIFPNLLPTKNFFPLLPVPPSQLFPNPHFHVSFYLPHSTHISRTIPFILHSSLSSSTPPTSKHDAISQAKTCLSTTLEKPLNNIRFSGKIIKKAKQPRFRVEIPVVDESSASLIELAYEVFGDLPIKRKGSPIKILLVWSNSMLAEAASKAFHSRSADQVEQIDVSSVDGLDARILNSNDVAVFLGLKSSQIRTIKTVTDGFYPKPVVIFNPKWAFEEESEFGELSGFIGSFEVIYSFMGLEVQGILNKRKGMIFKCVRNGVLSGELWNVLVEEEGGELKAVSKFKARPSITEVENVLYNLMAMNSPITKSAKFLRDLVSNVTGKK >KGN60468 pep chromosome:ASM407v2:3:39618819:39621362:-1 gene:Csa_3G913990 transcript:KGN60468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNSSPFELSIPERISQQLFLASSSNTLEASLETLIEASRSSEGRSNLASQNILPCVLELIQCLIYTSGDVLLLSSLKLLRNLCAGEIRNQNIFIEQNGVRVVSKILQDAMLINDPDRVTIRLGLQVLANVSLAGEEHQQAIWHELFPDNFLLLARLPFCEISDPLCMIIYNLCSGHSELVASLCGDLGLPIIEEIVRTVSSVGFVEDWVKLLLSRICLEELYFPMLFSGLRPIDTYKDSNIAESRDISFSSEQAYLLTVISEILNEQIGDIVVPKDFASCVYRIFQSSISIIDSTPVSKSGLPTGRIAGDVVGYSLTILRDICAQDSNKGDKDVYEDAVDVLLSLGLIDLLLSILHDIEPPAILKKALQQVENEEDGTSLPNAVKPCPYKGFRRDIVAVIANCLYRRKHVQDDIRQKNGVFVLLQQCVADKNNPFLREWGIWAVRNLLEGNLENQRLVSELEVQGSAHVPEIAELGLRVEVDAKTRRAKLVNASRPFQNS >KGN58208 pep chromosome:ASM407v2:3:22685198:22687050:1 gene:Csa_3G592130 transcript:KGN58208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTIAVVSHRDRDMVRQPCCNKVGLKRGPWTVEEDHKLMNFILNNGIHCWRLVPKLAGLLRCGKSCRLRWINYLRPDLKRGAFTETEENQIIHLHSLLGNRTQEAIMPNFDFETKEIIPISSHMLSSDGSSNLVCKGIGYEMWMGQLESNSNASFSLEYCSSMNESLSIIKQNSVQFDSLDSLPSCSWDDGVPKFNKLEKDDIYSLGNGGGYYVDTFSH >KGN60447 pep chromosome:ASM407v2:3:39486404:39486990:-1 gene:Csa_3G912310 transcript:KGN60447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPKQVEAQEMKEPPRFFFFSESAEEFGEREIEMGKSFTLIQTVATAGAFSAISFWYGFMFGRESARKDLGDLIQDLRRGISKPDSSSETPHS >KGN56843 pep chromosome:ASM407v2:3:9084785:9085631:-1 gene:Csa_3G134910 transcript:KGN56843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGKQVDVGVGVDDISLKDLSLKLEEFAKARNWEKYHSPRNLLLAMVGEVGELSEIFQWRGEVEKGLADWEESDKEHLGEELSDVLLYLIRLADICGINLANAATKKLVKNSIKYPTP >KGN56860 pep chromosome:ASM407v2:3:9171306:9173170:-1 gene:Csa_3G135080 transcript:KGN56860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREQLQVLNALDVAKTQWYHFTAIVIAGMGFFTDAYDLFCVSLVTKLLGRIYFHKEGSDKPGELPSNIAAAINGVAFCGTLSGQLFFGWLGDKMGRKRVYGMTLMLMVICSVASGLSFSSTPTSVVATLCFFRFWLGFGIGGDYPLSATIMSEYANKRTRGAFIAAVFAMQGFGILAGGVVAIIVSSAFDAKYPAPSYQENPTASTVPQADYVWRIIVIFGAFPALLTYYWRMKMPETARYTALVAKNAKQAAADMSKVLQVELESEQEKIDEGKSKNDFGLFTMSFLRRHGLHLLGTTSTWFLLDIAFYSQNLFQKDIFTAIGWLPPAKTMNAIEEVFRIARAQTLIALCSTVPGYWFTVALIDRMGRFAIQLMGFFFMTVFMFALAIPYHHWTLEANRIGFVVMYSLTFFFANFGPNATTFVVPAEIFPARLRSTCHGISAASGKAGAIVGAFGFQYAEKGFGVKKTLIILGVVNALGMFFTLLVPESKGKSLEEMSGEGRDDEAQSTIESRTVPV >KGN57968 pep chromosome:ASM407v2:3:19766374:19766887:1 gene:Csa_3G415130 transcript:KGN57968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVRVRPSMHQRLKLWLATYSLDVPTFINDEQPTDKETGTSVSDNPKYGIISIDVPSRGEDVRPLPPQPMLEPKDESIEFDVEVISPLVFPDVILKERTTRKRGIPWKL >KGN56080 pep chromosome:ASM407v2:3:3777723:3778794:1 gene:Csa_3G067750 transcript:KGN56080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVLASIVTLILLSCLLHSLFFKIKTKNLPPGPKGFPIIGSLHLLKNLVHRDLHKLSQTYGPIMHMKLGLQSTIVVSSPNAAKLFLKTHDPIFANRPVPQTSNQMSYDHKNIAFVQFGPYWQSMRKICSSHLLTSSKVNSFSSIRRQELGLLIHHLKEAARNHAIVDLSSKISSLTFDVICVMLFGKKFVDKELTAAIREGTSLSGAPNLGDFFPFIAFLDLQGLGRRAKAVNKVVDGFLDMIIEERLEFKDKNKTESSELFVDVMLDLIRSEEMEHQIDRSNIKAVIFALEWVRENDWGA >KGN60409 pep chromosome:ASM407v2:3:39140042:39141823:1 gene:Csa_3G903490 transcript:KGN60409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGSLPPGFRFHPTDEELVGYYLKRKVEGLPIELEVIPVIDLYKFDPWELPEKSFLPKRDMEWFFFCPRDRKYPNGSRTNRATKAGYWKATGKDRKINCQSSVMGYRKTLVFYRGRAPLGDRMDWVMHEYRLCDDFSHGTPNFKGAFALCRIVKKNEIGQKKKDNHREPKGNAVGSSQASEDSASTRLVVENELSNILEDNLSQSNFFLTNESRLASPMTTLYDTNPMSRYSTPIMETDPEKIWISPDLILDSSKDYPEMQGAMSMCFPQYEYSSSMIPWQSHEHTEISPSSSYSNYTGDIELEDNLNQISGCISPGSSRLYVDAKSSKTSLTNLHLQALCEIEFSTGSRSQRLLMSVFDAGIKRVLYMLWRMHVEQKLVGFKGILNYKSFWFVVPGDIFFND >KGN59614 pep chromosome:ASM407v2:3:33083292:33086522:-1 gene:Csa_3G829040 transcript:KGN59614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKCRFNLEGLPLPFISRSKPQNASKSFRRGVQRSSDGIITLGRSLRSGVSKVIFPEDLKVSEQKIFDPQDKSLLFWNKLFVLCCILAVSVDPLFFYLPVFNHASYCLGMDTQLAVTTTTVRTAIDVFYLIRMGFQFRTAYIAPSSRVFGRGELVIDPTEIAQRYLQRYFIADFLSVLPLPQLVVWRFLHRSKGSEVLATKQALLNIVFLQYIPRFIRFIPLNIELKKTAGVFAESAWAGAAYYLLLYMLASHIAGAFWYLLAVERNDACWRQACKSSGKCNINYLYCGNKHMAGYKAWRNISVDVLTKKCTALGDNLPFNYGIYTQAISSGIVQSRTFFSKFCYCLWWGLQNLSTLGQGLLTSTYPGEVIFSILIAISGLLLFALLIGNMQTYLQSLTVRLEEMRIKRRDSEQWMHHRLLPPDLREKVRRYDQYKWLETRGVDEESLVQSLPKDLRRDIKRHLCLNLVRRVPLFANMDERLLDAICERLKPTLYTENTYIVREGDPVDEMLFIIRGRLESVTTDGGRSGFYNRGILKEGDFCGEELLTWALDPKSGSNLPSSTRTVHALTEVEAFALEAEELKFVASQFRRLHSRQVQHTFRFYSQQWRTWASCFIQAAWRRYLKRKIAELRRKEEEEEVAAAYSTSRLGATILASRFAANALRGHRMRNVSGKSLISLQKPKEPDFSVYKGE >KGN60005 pep chromosome:ASM407v2:3:36176110:36177603:-1 gene:Csa_3G865400 transcript:KGN60005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTELFYLDRKQEDDPENQRARSEQQLFLYNSRSNNPQQICSKEFQIWPQYYSHHNQHPPHQNVENYISFGVGPSRRSSLMINAFDHDFVSRSGFTMTKHSGGGRGGGMNCQDCGNQAKKDCSHLRCRTCCKSRGFHCQTHVKSTWVPAAKRRERQQQRHQQSSDQTVPKIHRENPPSLTVTTTSGLEHGNFQGEFNSSAVFRCVKVSAIDNVEEQLAYQTAVNIGGHMFKGILYDQGPEYSQNLSTGGDGEDGGEGLDLVIGASNGSGRVNNQSTPFVESSLYPIPINSFNNGMQFFPSSRT >KGN56591 pep chromosome:ASM407v2:3:7656510:7666375:-1 gene:Csa_3G126070 transcript:KGN56591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSEAASRLSQVVSPALEKIIKNASWRKHSKLAHECKSVIERLTSSPKPSSPSSPSSPTDSEAEGAVPGPLNDGGPDEYSLAESEAILSPLINASSSGVLKIADPAVDCIQKLIAHGYLRGEADPSGGVEGKLLSKLIESVCKCHDLGDDALELLVLKTLLSAVTSISLRIHGDCLLQIVKTCYDIYLDSKNVVNQTTAKASLIQMLVIVFRRMEADSSTVPVQPIVVAELMEPIEKADADGSMTQFVQGFITKIMQDIDGVLNPTTPGKVSIGAHDGAFETTTVETTNPADLLDSTDKDMLDAKYWEISMYKTALEGRKGELADGEVERDDDLEVQIGNKLRRDAFLVFRALCKLSMKTPPKEAMADPQLMKGKIVALELLKILLENAGAVFRTSERFLGAIKQYLCLSLLKNSASTLMIIFQLSCSIFISLVSRFRAGLKAEIGVFFPMIVLRVLENVAQPNFQQKMIVLRFVEKLCIDSQILVDIFINYDCDVNSSNIFERMVNGLLKTAQGVPPGAATTLLPPQELTMKHEAMKCLVAILKSMGDWLNKQLRIPDPHSTKKIEVTEASSESVSVPMSNGTTDEHGEGSDSHSEVSTETSDVLTIEQRRAYKLELQEGISLFNRKPKKGIEFLINANKVGSSPEEIAAFLKDASGLDKSLIGDYLGEREDLSLKVMHAYVDSFDFQGLEFDEAIRALLKGFRLPGEAQKIDRIMEKFAERYCKCNPKAFISADTAYVLAYSVILLNTDAHNPMVKNKMSAEDFIRNNRGIDDGKDLPEEYLKSLYERISRNEIKMKDDELAPQQRQSTNSNKLLGFDSILNIVIRKRGEDQNMETSDDLIRHMQEQFKEKARKTESVYYAATDVVILRFMIEVCWAPMLAAFSVPLDRSDDEVIIALCLEGFQYAIHVTAVMSMKTHRDAFVTSLAKFTSLHSPADIKQKNIDAIKAIVKIADEEGNFLQEAWEHILTCVSRFEHLHLLGEGAPPDATFFAFPQNESDKSKQSKATMLPVLKKKGVGRIQFAAAAVMRGSYDSAGITGNASGVTSEQMNNLVSNLNMLEQVGSSEMNRIFTRSQKLNSEAIVDFVKALCKVSVEELRSTSDPRVFSLTKIVEIAHYNMNRIRLVWSRIWHVLSDFFVTIGCSENLSIAIFAMDSLRQLSMKFLDREELANYNFQNEFMKPFVIVMRKSSAVEIRELIIRCVSQMVLSRVNNVKSGWKSMFMVFTTAAYDDHKNIVLLAFEIIEKIIRDYFPYITETETTTFTDCVNCLIAFTNNRFNKDISLNAIAFLRFCATKLAEGDLGSSSRNKDKELSGKSSPLSPQKAKDGKHDAEMNDKDNHLYFWFPLLAGLSELSFDPRPEIRKSALQVLFDTLRKHGHLFSLPLWERVFESVLFPIFDYVRHAIDPSSASSSEQGVDSENGELDQDAWLYETCTLALQLVVDLFVKFYSTVNPLLKKVLTLLVSFIKRPHQSLAGIGIAAFVRLMSNAGDLFSEEKWQEVVFSLKEATTATLPDFIFLLNTNSTIRSHRVESNEENNAETNGSELPEDDSESLTVQHVYTSISDAKCRAAVQLLLIQAVMEIYNMYRSHLSTKNVLVLFDALHSVASHAHVINTSGPIRTKLQEFASITQMQDPPLLRLENESYQICLSFVQNLIVDRPHSYEEAEVELYLIKLCHEVLQFYVETAQYGCVVEASVSSGTQPHWAIPLGSGKRRELAARAPLIVAILQAICNLSEASFEKNLTGLFPLLSSLISCEHGSNEVQLALSEMLNTSVGPILLRSC >KGN59282 pep chromosome:ASM407v2:3:30783508:30785871:1 gene:Csa_3G800650 transcript:KGN59282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELNDTFLTEKVVGNDVPMRKFFGHIGSPTKKDIEDDTEWETTMIASCESLNDFFERGFDFERGRSLKKAKDEVIRNDIVFKSRRPIRICALRGSHHNTRIFAPLISFPALHISNSIACSSTPSNEGVVSVVNFEDLVEKDFSFLDSDDFSSIEEHGQKIRRIISAGEIVESSQVMVSISSEGFVDQLFQLAPSRSLLVVHDSILTLACIKEKYDKVKCWQGEVIYVPEKWGPFDAVFLYYLPAMPFELDAIFGALSERCVAGARLVISHPNGRKALEQEQQQFPDVVVSDLPDRMTLQKAAADHSFDLTEFIDEHGFYLAILKFNKDIS >KGN56135 pep chromosome:ASM407v2:3:4188435:4193953:1 gene:Csa_3G077690 transcript:KGN56135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQKFKSKNSGKQKRLSENEEIKLLNSWIESQKPDSGSNPMSLPPPLPNAPIGRIDDDTYSRYAGATRFDQLPISSKTKDGLRKAEFIDMTDIQKASLPHALCGRDILGAAKTGSGKTLAFLIPVLEKLYRERWGPEFGVGSIIISPTRELGAQLFDVLKAVGKFHNFSAGLLIGGRKDVNTEKEHVNELNILVCTPGRLLQHMDETPNFDCSQLQVLVLDEADRILDVGFKKTLNAIISQLPKHRQTFLFSATQTKSVQDLARLSLKDPEYLSVHEESTTATPNSLQQTAMVVPLEQKLDMLWSFIKAHLNSKILVFLSSCKQVKFVFETFKKLRPGIPLKCLHGRMKQDKRMGIYSEFCEKRSVLFSTDVASRGLDFNKAVDWVVQVDCPEDVASYIHRVGRTARYHSGGKSVLFIMPSEMKMLEQLESAKVPIQLIKANTKRLQPVSGLLSALLVKYPNFQQLAQRAFITYLRSIHIQKDKEIFDVMKLSIDEFSASLGLPMTPKIRFIDQKRRSQKMSANPTTFLALDSSGDENVSNTMDGELEVGDFKESDQGLFPPIDNPSSEVEDAVAPTRILKKKKLKINVHRPVGTRVSFDDDGNPLAPLAKLADIKTSNDAFVVDKDEKNEFYKKRREELKQADKEDKLLNRNRLKEKRKEKMNKMKKRAAKETQDDEDDISESEEERPQKRSKKFVDSDSDIDNKVENKFNTESISVAEQEELALKLLSSLQS >KGN57881 pep chromosome:ASM407v2:3:18453684:18454069:1 gene:Csa_3G362650 transcript:KGN57881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNQKGRSLSGGDDVLTQALGGKDCPGILEAWGKGNEERTVYEERDQMAKRIIELKAELHIMKKDECAKGDEEEQDPSMCSKGTPSIEWVSDDETEDVASDSVPDKAADVVT >KGN58401 pep chromosome:ASM407v2:3:24884873:24888495:1 gene:Csa_3G636990 transcript:KGN58401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVLVVGGTGYLGKRIVKASLLEGHETYVVQRPEIGLDIEKLQLLLSFKKQGAILVPASFSDFQSLVDAVKRVDVVISALSGVHFRSHSILLQLKLVEAIRAAGNIERFLPSEYGIDPARMLNAIEQGRDTFEQKMAVRKAIEEANIPFTYVSANCFAGYFAANLSQMHTLVPPAHQVTVYGDGNVKVVYMDEDDIARYVVKAATDPRTLNKTVYIRPPKNILSQRELIEKWEKLSGKVLEKISVSAQDFLAKIEDLDEAQQSGAGHFYDIFFEGIWYGMRGFI >KGN57202 pep chromosome:ASM407v2:3:11371399:11374893:1 gene:Csa_3G171100 transcript:KGN57202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKKLCETFSKTLIDEVQKWGCMKQTGVSLRYMMEFGSKPTPKNLLISAQFLHKELPIRIARRAIELENLPYGLSMKPAVLKVRDWYVDSFRDLRSFPEIKSSDDEKEFTQMIKAIKVRHNNVVPTMALGVKQLKKGLGLNNVGSLDLHEIHQFLDRFYMSRIGIRMLIGQHVELHNPNPPPDCVGYIHTKMSPVNVAQSASEDARAICLREYGSAPDIKIYGDPSFTFPYVPTHLHLMVFELVKNSLRAVQESFMDSDKVPPPVRIIVADGIEDVTIKVSDEGGGIPRSGLPRIFTYLYTTAKEPLEEHPDLGTTDLVTMAGYGYGLPISRLYARYFGGDLQVISMEGYGTDAYLHLSRLGDSQEPLP >KGN60303 pep chromosome:ASM407v2:3:38430568:38432572:1 gene:Csa_3G894510 transcript:KGN60303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPCPFVRLTVGNLALKVPVASKPARSVVHPSSSPCFCKIKFKKLPVQTVVVPFIQAGNQFPDGQVQSTAATFHLSKVDLDKLAGKSLFASKPCLKISIYSGRRGTTCGIDSGRLLGRVSVPLDLTGTESKATVFHNGWISVGKDSKDSCAQFHLNVKAEPDPRFVFQFDGEPECSPQVFQIQGNIRQPVFTCKFSFRTGDRTQRCRSLPTESSRGWLSSFGSERERPGKERKGWSITIHDLSGSPVAAASMVTPFVASPGSDRVSRSNPGSWLILRPGDDTWKPWGRLEAWRERGGSDGLGYRFELIPDTNGGMSAAGIVLAESALNINKGGKFLIDLGGSSNGRSTPVNPMSPACSPRSSGDYGYGLWPYCVYRGFVMGASVEGEGKCSKPRVEVGVQHVNCTEDVAAFVALAAAIDLSIDACRLFSHKLRKELCQPLDLLA >KGN57137 pep chromosome:ASM407v2:3:10857360:10860241:1 gene:Csa_3G164560 transcript:KGN57137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLISSLSLFSSLLAITLSAADGFDIALPPSYPAEPPVGDPWSGLRVFDVNDYGGVADGKTNNSLALMAAWEDACYHQGGSMLLIPEGNYFVDQVTFSGPCFNDLSPKVLILGTLIAPTNLTADVWIHFDSLRRLSLTAGHLSTLDGRGAQTWSSGSRCRHAMTCAMFAISLKLSNVLNGTVSSINLVDSKAFHVSIHQCDNIHLSGFNITAPWYSQNTDGFHISQSTNISITNSKIGVGDDCVSIGPGSVNVLVSNVTCGPGHGISIGSLGRYKMENDVRGIWVENCTISGTQNGVRVKTWPGDHASNATNLTFQNIVMINVSNPIIIDQQYCPNSSCDSRESSSVKLSDINIRNISGTYNSEFAINMKCSSTKACENIRLSYINLTSINHQSDDDEDEEEGDVTGNFNFKGALNSFTIFNSTF >KGN59270 pep chromosome:ASM407v2:3:30706548:30712599:-1 gene:Csa_3G797590 transcript:KGN59270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSVVRVHLPSDIPIVGCELTPYVLHRRPDKNISTDDVSESAPLDGQFLRYRWYRLQSDKKIAVCSVHPSEAATLQCLGCVKAKIPAFKSYHCTTKCFSDSWQHHRVLHDRAASAMNENGNEEEELFGRYNNNNNNNNSAAVTQKTGVETWLEVGRYKSYTPTAEDIGQVLKFECAVVDVETMLPVASPLTVLTSRVIPAPSPSPRRVIPVNGVDVMGKLDLDGRVSSSGTFTVLSYNILADVYATNETFSYCPSWALSWPYRRQNLLREIVGYRADIICLQEVQSDHFVEFFAPELDKHGYQALYKRKTNEIYNGNIQTIDGCATFFRRDRFAHVKKYEVEFNKAAQSLTDPATILTVQKRNALNRLIKDDVALIVVLESKFSTPTVDNPGKRQLVCVANTHINGNQELKDVKLWQVHTLLKGLEKIAVSADIPMLVCGDFNSVPGSAPHHLLARGKVEPTHPDLVVDPLNLCQPHSKLSHQLPLVSAYSSFAIKGVGIGLDKQRKRLDPTTNEPLFTNCTRDFIGTLDYIFYTADTLTVESLLELLDEESLRKDTALPSPVWSSDHIALLAEFRCKSRPRR >KGN57814 pep chromosome:ASM407v2:3:17571869:17572378:-1 gene:Csa_3G316270 transcript:KGN57814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHSSNTKTSMATPTTTTMVAVAIIVVVSTIGASHCKAASLNSNLGNETTTAATSSTWCNSLDKECLIGVEDDNIETSAFPSLYNTVRTANGQKAVCGRVGRYDNQRCLPQKRPNPIQKCSTFTRSC >KGN58419 pep chromosome:ASM407v2:3:25066105:25072913:-1 gene:Csa_3G640610 transcript:KGN58419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYANSPRSSANVMNTPSPQQQTQQQQQQQLQQVQQRKLMLPQHQHLLAQQQMRQSSMQGIGQIHGQHQMQFSQPLAHQQFQGRQMSSGHVQHGITQSQLGQGNQMNRHLHQFSGAANSSLFNVAQTTPTSQMIPNISATMSSQPLMSRMQHGLSGTNLQRNHASQILSDQTCVYSSSVFMGGGSASGMMPMQQQQQAQQQQQQQAQLASQGAFGNMQANAQSLQSGLVPLQNMQQTHPNFGQQRQQNQQ >KGN58886 pep chromosome:ASM407v2:3:28167349:28169627:-1 gene:Csa_3G734880 transcript:KGN58886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANAASGIAVHDDCKLKFLELKAKRTYRFIVFKIEEKQKQVVVEKVGEPTQSYEDFAKSLPSDECRYAIYDFDFVTEENCQKSRIFFIAWSPDSSRVRSKMIYASSKDRFKRELDGFQVELQATDPTEMGLDVIRSRVG >KGN59094 pep chromosome:ASM407v2:3:29561961:29563303:1 gene:Csa_3G769110 transcript:KGN59094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFIPHFSSLFSPFPDLHLSPMAAACLPDPNSSLKILKFCRPTFSDHLSTLSISPNPQNTHDSSLSPSSDSDLWFLIRQEACSDVEHEPILSNYYITSILTHASMDKALANHLSIKLSNSSLPSSTLFQLFSDVLTDDGGEILRCAVRDLKAVKERDPACISYVQCFLNYKGFLAVQSHRIAHKLWSEGRKVLAMLIQNRVSEAFAVDIHPGAKIGCGILLDHATGVVVGETAVIGNDVSILHHVTLGGTGKDTGDRHPKIGDGVLIGAGTCILGNIKIGDGAKIGAGSVVLKDVPPRTTAVGNPARLIGGKVNPIKLDKTPSFTMDHTSHISEWSDYVI >KGN58250 pep chromosome:ASM407v2:3:23102565:23103387:-1 gene:Csa_3G599450 transcript:KGN58250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSILPSQIQGSTSTSSSSASSLNPNSHHGPDSLFSFSSPTHSFPSLRISDPQSPLPDSSDVSGSSLQPANGAESSKKVCCILVTC >KGN59967 pep chromosome:ASM407v2:3:35870726:35871292:-1 gene:Csa_3G857080 transcript:KGN59967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSVTSTHGFYSSWTKKENKKFEEALAFFDEDTPDRFEKVARAVGGGKTAEEARRLYELLVRDVRKIEAGQVQIPLYKNAGCNGRGYADQQR >KGN58390 pep chromosome:ASM407v2:3:24712321:24717001:-1 gene:Csa_3G636390 transcript:KGN58390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNSFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFILFFQCKSSETPRRLLHQPFFPLDSVPPAEPPSTPTPPPPNPKYPFSTTPPTNPDGSPFFPTYPGTPPPPAPASFASFPANISSLILPHSSQSGSSSKKVVPLVIAGVVSAVLVLCIAGFLYRRRRRARGSSDDKTYRSENSSRLCPVTNVEVGNGIPKLRHPSATSSEFLYLGTLVNSRAIDERSVGGARVADPRPLDSPELHPLPPLNFGRSSEKQNGGNGEERSMGDEEEEEFYSPKGSLGAIGSGSRRVLATMAAEELLGKSSDSSTTSYSTSSGSVSPARSRSKSLSLSPPASLSPRRSVQNESSNFSVSATVATEQHSPPLTPPLSHGEVESDDGVKSHCPSPMRLSTDKVPEKNSTASSSRRYSNVSIHSVMFPILTTDRDLVNHADTNNSHEESPRQSDNSDPDEPFPFSPCLFPLSDGVLGQIQIQLPTVSNIPDSDSDAKLKQLPYSFTSSSPTSSPERVVMDSSPSRASIISDQNRSTPLSPERIVLTDSDSSKKTLDHLDDVESSPNINTTDLGRLQLPSGSSAAPPPPPPPPPPPPPPPPPPPLVAPLPERRDIPVSPSTPMDQSISKTPPPLMPPLRPFIMENVNNVSPIQLSSCKSNGESSEDTPKPKLKPLHWDKVRASSDREMVWDQLRSSSFKVNEEMIESLFIVNTSNSKETTPRTVLPPPNQEIGVLDPKKSQNIAIALRAINVTIEEVCDALLEGNAEALGAELLESLLKMAPTKEEERKLKASKDVSPTKFGPAEKFLKAVLDVPFAFKRVDALLYIANFESEIEYLKKSFENLETACEELRNSRMFLKLLEAVLKTGNRMNVGTNRGDAHAFKLDTLLKLVDVKGADGKTTLLHFVVQEIIRSEGARLCVTSQIPNSNPIDDAKCRKLGLQVVSGLSSELANVKKAASMDSDVLSGEVMKLSRGLDNIREALRLNEAGGPNENTVKFSDSMSRFLKMAEEDIIRVQAHESVALSLVKEITEYFHGNSAKEEAHPFRIFMVVRDFLTILDGVCKEVGMINERTIVSLAHKFPVPVNPTLPQAFQALHRVQKYHSSDEESERSP >KGN56971 pep chromosome:ASM407v2:3:9856020:9858267:1 gene:Csa_3G146560 transcript:KGN56971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTVLSTSVSSNSTTTTAAVEDDERSEARDSCYYPGCRKDANCDCKICLESINATLDLMPYSVQKSSLTKLSASRPNVETTPISFNPSILTTPTTVTSRISKYPKFESPVKLSSKFKAEEGGRDSSSLRRFVTLVFVLSLILAVTTGFSCAIARVIRPKLSAEIVRTASKNSQFVQNLNGKLRVLERELQGMVNAEISNCSYSNSYWEIDQEGMILSSKCTMYKSATETVSIWGWPLQTAGLLRTGFSQRSFTILSGRVTEWSDGGIGYLVREANTSWVQKRWGASAVQLDPHTWVLEYRQSSLLENSSLNMMAADFFKHWMRIVIRRLKNELWLFLDGETNFHQITVTSDFKTPT >KGN57356 pep chromosome:ASM407v2:3:12484265:12485098:-1 gene:Csa_3G180440 transcript:KGN57356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSCSNISIIEPLIVGRVVGDVVDNFVPNVKMNVIYNSSKQVANGHELLPSLISFKPRVEVAGDDMRSAFTLIMVDPDAPSPSDPYLREYLHWMVTDIPGTTDASFGKEIMSYESPKPHIGIHRYVFVLFKQRGRQTVRLSSSSSSSSRANFNTRHFSEANGLGLPVAAVYFNAQRETAARRK >KGN58599 pep chromosome:ASM407v2:3:26500903:26501112:1 gene:Csa_3G697920 transcript:KGN58599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSMRFPHFVVVAVVLVLAMVSIEMKKVDSIEDELAPMPAMAAGFAFPSSVALGFNFVVPLLLCVFGV >KGN59019 pep chromosome:ASM407v2:3:28906834:28909383:1 gene:Csa_3G745520 transcript:KGN59019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIKTNFFWFYTLLIVALFITIVLRSFPLPLTAIIATVGPHDTAFELGVFSSIVKGSGLDEILSLVTQYLSKTQYQWRDEKSCDEKKWDSKLISLYNVSLTLTVDLKGCANFSSVQTAVDAVPDYGSSRTLILIDSGIYREKVVIEANKTNLIIEGQGYLNTAIEWNDTANSTGGTTYSSSVTIFASNFIAYNISFKNTAPEATPGTVGGQAVAVRIAGDEAAFYGCGFYGAQDTLYDDKGRHYFKECFIQGSIDFIFGNARSLYDGCRIKSIAKEVLGGGVSGAITAHGRQTRSEETGFAFINCTISGSGKVWLGRAWGACATVVFSKTYMTDVVAVDGWNDWRDPSRDQSVLFGEYECFGDGANYRLRVPYSKQLNQVEAQHYLDVSYVDGNQWLINQQINSTLSTI >KGN55832 pep chromosome:ASM407v2:3:1793886:1798117:1 gene:Csa_3G017260 transcript:KGN55832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYLDSYISTIGVDFKIRTVELDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIVVYDVTDQDSFNNVKQWLNEIDRYASENVNKLLVGNKSDLTANKVVSYETAKAFADEIGIPFMETSAKSATNVEQAFMAMAAEIKNRMATQPMNNARPPTVQIRGQPVNQKSGCCSS >KGN57268 pep chromosome:ASM407v2:3:11863276:11865448:1 gene:Csa_3G175690 transcript:KGN57268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLKSNHNSPILTDPAPISKSRLGVHLNMLPYVSAPGVGFSPNMLLIPRKKTGVLDDVRASSWLDAMKSSSPPPNRISKDDMNELPSLDPDILYRNWMIKYPSALASFDQIVPHAKGKRIALFLDYDGTLSPIVDNPDGAFMSDAMRATVKEAAKYFPTAIISGRSRDKVYEFIGLKELYYAGSHGMDIMVSDRHSADNQGKEVMFQPASEFLPLIDEVYKSLIEITKGIAGAKVENNKFCVSVHYRNVDDKNWNALANSVYDLLENYPRLRVSHGRKVLEVRPVISWDKGKAVAFLLETLGLNNCDEVLPIYIGDDRTDEDAFRVLKERNCGYGILVSSVAKESSAAYSLRDPSEVMEFLKSLVTWRKSSAL >KGN56647 pep chromosome:ASM407v2:3:7981340:7988642:1 gene:Csa_3G127100 transcript:KGN56647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFDSTRTDEDQVLVEEKRFVRLLTLNRTKQLNALSFTMVSRLLELFVAYDEADPSVKLVVVKGKGRAFCAGGDVSAVVHDIKRGDWKKGAYFFRKEFTLNYLLATFSKPQVSLLDGIVMGGGAGVSIHGRFRVATEKSVFAMPETALGLFPDVGASYYLSRLPGFFGEYVGLTGARLDGAEMLACGLATHFVTSEKLTHLEEALCRADTSEPSIISSIIDKFSQRPILKGQSVYHRLDVIDKCFSRRTVEDILAALEDEALKKKDDWITSTIQDLKKASPTSLKISLRSIREGRLQGVGQCLIREYRMVCHVLRGEVSKDFVEGCRAILLDKDRNPKWEPSSLELVTDAMVERYFSRIEDEEWEDLKLPARSNLPPSAISKL >KGN56997 pep chromosome:ASM407v2:3:9954675:9956460:-1 gene:Csa_3G148790 transcript:KGN56997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTVKYAVATSKVEFEAKVTPVVLSSCIMAATGGLMFGYDLGISGGVVSMPSFLKEFFPVVYERTQNKKGDDNNYCKYDNGELQMFTSSLYIAALIATLIASFTSRVLGRKQTMVIAGIFFIVGTMLNATAITLCMLILGRICLGCGVGFANQAVPLFLSEIAPARMRGALNMLFQFDITVGIMFANLVNYGTSKIQWGWGWRLSMALAGVPAMLLTIGAISIDDTPNSLIQRGYLEKGKLVLSKIRGTDKIESEYLEIVEASRTAGAIKNPFGILFARQNRPPLVIAVLFQVCQQLTGMNAIMFYAPVLFNTLGFGNDASLYSSAITGIVNAISTLVSIYMVDKVGRRILLLEAGVQMFVSQTIIAIVLGLELQDNSNNLSQGLAILVVLMVCTFVSSYAWSWGPLGWLIPSEIFPLETRSSGQSVAVCVNMMFTFMIAQSFLSMLCYMKYWIFLFFSCCVIVMSLFVYLLVPETNGIPIEEMTERVWKQHWFWKRFMDNVVEERKCSF >KGN58044 pep chromosome:ASM407v2:3:20785518:20786796:1 gene:Csa_3G457660 transcript:KGN58044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQFVDYLINHFSIPRNSKNNEGQTVLDMLDQLINNGCTIETVQIIENMLKNIDELSKRELANNSTIPISLEGERKSSSNQTMELDSQTTCVGVDEHNNEENKNGSITKELKKQKRLSKERHKVLMSKVDKKYRSRRLKQHDMYKEALQNARNTVTLVAALITTITFSAGISPPGGVHQDGPLIGKSIFGNTKGYKVFIISNTIALSTSLCIILVLVSIIPFKRRLLLQLLMITHKIMWVSVAFMATAFTSATWLTIPQDYKTNWVPIVILAMVGGITGTLFICLGVALVRHWMGKLKLRREKVKTNTVVVPIDDDAESTEDPSSEANTDVENHKKLLSLSSNSDIASSRLLGGHPF >KGN58595 pep chromosome:ASM407v2:3:26479266:26480479:-1 gene:Csa_3G697390 transcript:KGN58595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNESKFGMNFDVANTYRIVSKRVHVGKDGVSFLRWAGLLINCQTLEIQADYTKYLNNHLSSSLTVSWQGKPGHNLKEKLCDYLRPKCHPIFYDMNINTAAVVRLNIFQGFLICAMKFHCYICQLSYICKFSRNFLLKIILRSLRYMDVLIKNKMSSIQLDSLPRPSLQLADREVEWLGLNAYVQVLTRKQARHTRLLSLLKSRLLAHRLSECISSDCIYAVDVSHSSLLWEIKY >KGN60426 pep chromosome:ASM407v2:3:39269048:39269338:1 gene:Csa_3G905140 transcript:KGN60426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWWGLERISIYVAHGVRCGGFEPWGNQPCGFSWRCGVSMPLLIKAMVSPLWLTPPPVVLFLSHKDD >KGN60470 pep chromosome:ASM407v2:3:39631804:39637446:1 gene:Csa_3G914010 transcript:KGN60470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKSWNKKQKIKAVFKLQFQATQVPKLKKPALMISLVPDDVGKPTVKLEKAAIQDGTCFWENPVYETVKLVREIKTGKINEKIYHFVVATGSSKSGFVGEASIDFADFEAETEPMTVSLPLKFANSGAILHVTIHKMEGDNDQRDYEENGVATLQHENSFNSQLSFSSTEGNHYPTENGNINTLHEDGEQIGNSGVSPGSNSANFASHWASNNVERNTQQDSRSMKNAIQSPTLLSPLRQNSMPKKTTVDTARVKSHAHKRSNTEWSLGSVSDGSFGDSANSIEENPSREKMHHLSNNSIETVKNENIMLMRKLEVTELELQSLRKQVTKETIQGQNLSRQIICLAEERDALKTECKQLKFLKKCSDEAENSKTFKSEIKEARLQLAAIGEELNQEKELRTDLQLQLQKTQESNSDLVLAVRDLEDMVELKNGVIADLSRSLESSESDRERKVVYDFKEDYFENPKVSKESIQEYENAKEVDMLKREIKDLNGEIEMHLKNIEELEMHLEQLMLDNEILKQENKDISAKFERNEKEYLRKQNEYSGSLAVIKELESEMERLEEKLQIQTEEFSESLISINELEGQIKRLERELENQTREYHDELSTIKHANVQLEKMAIEAKEVLSKTRWKNAIKSVSIRERSKKFSMEMASKLSDKENRIIKAAKEINELRLQKIVLKEMLQKSNEESRRNREKSEEKLQDLSFQLEIKTNEIHNMSVELDNKSRQLEDVKKHEDYQQEEIQMLKSNIETLHLEKHIAKQGESEQPQCSISEMQAVEERRKGKEILEKEIAFSKREAEKALEELTRMRASKHEQDTLIDKLLAEMENLRAQINDLKKESQTEKSEKENLRKQVLDLKSELQNKERSSAMPNMKFETRETSALNQNLESAHNGSQMLPHAIQELSTSEEVTQLLQDNRSVITITSYKEAKVDQNNVHEALRGRKMDSESSYKELKSSTSSKNNEDCYIDLLTEMSSLKERNKTMERELKEMEERYSEISLKFAEVEGERQQLVMTVRNLKNSKRI >KGN59666 pep chromosome:ASM407v2:3:33400082:33404128:-1 gene:Csa_3G836500 transcript:KGN59666 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formate dehydrogenase MAMKQAATTAIRALSSSLTSHSSPLLRHLHASAESKKIVGVFYKANEYAAMNPNFVGCVEGALGIREWLESQGHEYIVTDDKEGLDSELEKHIPDLHVLITTPFHPAYVTAERIKKAKNLKLLLTAGIGSDHVDLNAAAAAGLTVAEVTGSNVVSVAEDELMRILILVRNFLPGYHQVVNGEWNVAGIAHRAYDLEGKTVGTVGAGRIGKLLLQRLKPFNCNLLYHDRLKIDPELEKQIGAQFEEDLDAMLPKCDVLVINTPLTDKTRGLFNKERIAKCKKGVLIVNNARGAIMDTQAVVDACNSGHVGGYSGDVWNPQPAPKDHPWRYMPNQAMTPHISGTTIDAQLRYAAGVKDMLERYFKGEEFPAQNYIVKEGQLAPQYR >KGN59796 pep chromosome:ASM407v2:3:34422922:34427867:1 gene:Csa_3G847215 transcript:KGN59796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKRKCTLRFLALLFTPHPTFATAHTAANPQGIFFLLPRSPAAATPTFHFAAHCHQPHCHAHLLFLRVSELVLLLMASKLLIRTGSSLMNRLRLSSAHQNNRIWGSQALSHGHGVEVTPMLFPSVSKHQTAHPLQQNDTESLRQLQSEGIFFPFGLPSLRFFLPDGDASSTQEPMLLFPKRTFQPSTIRRKRNHGFFARKATKGGRKVIARRIAKGRSRITA >KGN60076 pep chromosome:ASM407v2:3:36683343:36688037:-1 gene:Csa_3G876000 transcript:KGN60076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLLKFYNPLTLTRFTPVSLSQAFLRRTLRNSHFSFFNSATTFARFTSSPTSSQLPVSAPNLKEINALRHDSTSSELWLHNTMSRKKEVFKPKVEGKVGMYVCGVTAYDLSHIGHARVYVTFDVLYRYLRHLGYEVLYVRNFTDVDDKIIARANELGEDPLNLSRRYCEEFRRDMMYLHCLPPSVEPQVSDHMPQIIDMIKQILDNGYAYSVDGDVYFNVDKFPEYGQLSGRKLEDNRAGERVSVDSRKKNPADFALWKSAKEGEPFWESPWGPGRPGWHIECSAMSASYLGYSFDIHGGGMDLVFPHHENEIAQSCAACRTSNVSYWVHNGFVTIDSEKMSKSLGNFFTIRQVIDLYHPLALRLFLLGTHYRSPINYSDLLLESASDRIFYIYQTLDDCRTVISQEDESSFKGPIAPSLVEEINKFSNVFLTSMSDDIHTPVVLAALSDPLKIINDLLHTRKGKKQEFRMESLAALEKIIGNVLSILGLMPASYSEALQQLKEKALTRAKMTNDQVLQKIEERNAARKNKEYEKSDSIRTDLAAVGISLMDGPNGTTWRPTVPLALQEHQASST >KGN58785 pep chromosome:ASM407v2:3:27706474:27706764:1 gene:Csa_3G732430 transcript:KGN58785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGQTGVLQVGPVIENRPTMLANWESLGYPKAWPSKFTGHSFLERMPLFRPLMVVVTSSSFIYRHH >KGN59765 pep chromosome:ASM407v2:3:34104580:34105167:1 gene:Csa_3G843840 transcript:KGN59765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRTETNPNQEKQRKEEGKSETEQKEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEETQKRRRERKINSGVVEL >KGN59513 pep chromosome:ASM407v2:3:32454850:32457764:1 gene:Csa_3G823610 transcript:KGN59513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAREMEEALKVLDSSLSQIKWRLKFPAKRRLQLDVLALCTGMRPVVMIDYGGKMPELQQRLCALLKLIQTELHIFENLKVMVMEDMIYLIHVQGLAEHVHSTLNSKFTLLLVDIEQDPPKMIVDAEKSSLGLQLKSIQKLFSSLFSQDETESGPLPSVGETCTTDIRSSIHGISSQSSVIDLSNFLQHTEITLPTLNGWLLGYPIVYLFDKEHISEATYNLSAKPLHIFRLSVSRRGGSTKESQLEELLSFTVPYELSMRGAKEAWAEAFLESMQQKWERCSQVWGSLRMEVTECHAQAIVL >KGN59633 pep chromosome:ASM407v2:3:33195838:33206155:1 gene:Csa_3G829230 transcript:KGN59633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGSGGERWKQRRHMWPVHSNSTAVASELSAPDFFLKDGRKIHVGDCALFKPPLDSPPFIGIIRSLKSDKETNNLRLDVNWLYRPADVKLPKGLSLDAAPNEIFYSFHKDEIPAASLLHPCKVAFLRKGVELPSSISSFVCRRVYDTDNKCLWWLTDRDYINERQEEVDQLLEKTRLEMHGVVQSGGRSPKPLNGSIPAVQPKSGSENISNSPFLTSHVKSKKRERGDQGSEPTKRERLFKVEEGEFGQFRLESTLKNEIAKITDKGGLTDFEGVEKFVKLIQPDSSGKKIDLADRVMLADVIAVTDRFDCLGWFLQLRGLPVLDEWLQEVHKGKICDGNGMKGSDKTVEDFLLALLRALDKLPVNLNALQTCNVGKSVNHLRSHKNSEIQKKARSLVDTWKKRVEAEMDVNDAKSESSRGVSWPSKSAPLEVSQAGSRKAGGSGDDGLKSSTHSNMFKHSQAKFGPAEMVGKSSASPNSMKSSSTMGASSKDYNFKTLIVGNSDLPLTPIKEERSSGSSQSQNNSQSSDHAKTVASSCKEDTRSSNSGSGSVSKVSSGASRHRKSSNGIHLNTHTGTQKISGSGKLNAVNKSLTTEKTSTASHEKSPDVSLEHGYSRLVVKLPNTCKSPVGTTRLVTEDQVVSCHKGSLHDEVGDNREKKAKGRSDLHGASFATEAHSDQCHKKDQFLGSEEGKEVATSNERCRLAEAGEGQSDTTASLTGIISRPGKTFDTSLSSINALIESCVKFSESNASPSPGDVLGMNLLASVATGEISKSNNVSPLDSPQEQSPTAEESSAGNDGQSKLLPEENKCEEVNANGGAGGQSSSDPLGSNNMLHDRNGSHPVSTSADSSRDGRAVAFGCSGDSIKPSNAQQNMKRTPSQCDLKPDAEACNASIASAEEGNAETEETNQRSDQNELGQPRLLKGEGSSLPDSLLEEGAQLCENEKVDQTDGRMADNAVVLKSEVTTATLEVDKQVDEKPSCLSSQLCGGDVQTHGNLNSGCGEEKLSSTPETHANTQDGKTETAVMFPDANSFDAEFKDKISNIVNSENHVNQGSLSDRKDDRAAEDFGRTDGINNCCGRVSTHGESPSMPLPENDQGEKLSIDVPELTGTKDHVTCANSSFSAPRSDSVVKLDFDLNEGCSADEGTQDEIIGSSSSVQLPVIPSFSIPSASESFPVSITVASAAKGSVVPPTNSLANKVELGWKGSAATSAFRRAEPRKNLEMPLSLSDVPLVTTTSKEGRQPLDFDLNVPDQKLLEEVTLSNLPQKESVESGPSDRGGGLDLDLNKVDESHDVGPCSVSKSRLELPMSSRPFVSGGLGNCGFSVSRNFDLNNGPSLDEMGAETVPPGQQNKSYMPFSSLLPGMKVNSGEIGNFYSWFPQGNTYSALTAIPSVLPGRGEQSYVPAAVSQRVFAPPTGTGFAAEIYRAPVLSSSPALAFPPANSFTYSGFPFETSFPIQSNAYSGCSTSYMDSSSGCSPGFPTITSHLLGPAGVAPTPYSRPFIMSYPSGSGTVGPEIGKWGSQGLDLNAGHGIIDKERIDEKLPTGLRQLSAPSSQPFADEQFKMFPIGGTHKRKEPDSGLDGADRFNYKHQ >KGN59739 pep chromosome:ASM407v2:3:33939776:33954206:1 gene:Csa_3G842610 transcript:KGN59739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDLLSDSFEIRRGQPSGGRDIELGANAPTSAGDQGMGDFFKKVQEIEKQNEKLDRLLRKLQDSHEESKAVTKAPAMKAIKQRMEKDVDEVGKVARYVKTKVEELDRENLSNRQKLGCGKGSGVDRSRTATTLYVAFQLF >KGN60034 pep chromosome:ASM407v2:3:36422423:36423060:-1 gene:Csa_3G872160 transcript:KGN60034 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gibberellin-regulated protein 1 MAATKGLMFVLLLIAFAMLLMESGQMVITTQVDNPLPQDIDCGEACDARCRLSSRQKICERACGTCCSRCQCVPPGTSGNYDFCPCYANMTTHGGRHKCP >KGN57692 pep chromosome:ASM407v2:3:15737035:15737613:1 gene:Csa_3G253495 transcript:KGN57692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDELGVNSFPLNIPNSASSIDTGSPNTLRFSIIPVKGGKRATELAVLVAIKKTLELNRRRIIRDTPNAEKVAGSGEKIGALALLVGDEDGLSRRVRMFEGEIRVGADLAAGVIKLDNLDTIGILLEEASNGETVLLVTADAPVHGVDVPWGFIGVDLRALLLLVGSILIGIAACTHRNSMWKCILVSMNAR >KGN58299 pep chromosome:ASM407v2:3:23649885:23656185:1 gene:Csa_3G609270 transcript:KGN58299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTASASIFFPSPPPYPPPAILTKNPSRCHVIPRMSLNQSPSTGPKRSSSASSSSSSLLASITNLLWGPSLPPGLLIATVRTAWETTWQLMMAQLAPSDTSGTYTRPISQFRATKISANNLHLYVGLPCPWAHRTLIVRALKGLENAVPVSIAGPGSDGSWEFREKPKAENETLNPGLDKANGCRTLKEVYRMKRGGYNGRSTVPMLWDAEKKEVLCNESFDIIEIFNSGLNELAENPELDLSPPLLKRKIEEWNSIIYPNVNNGVYRCGFAQSQKAYDKAVEDLFSTLDLLDDHLGHSRYLCGENLTLADVCLFTTLIRFDLVYNVLFKCTKKKLLEYDNLHGYMRDIYQIPKVSATCNFTAIMDGYYKTLFPLNPGSIRPTIPTSCYHEALSVPSERRSLPFLGRIEQVSA >KGN56400 pep chromosome:ASM407v2:3:6649162:6650347:1 gene:Csa_3G119340 transcript:KGN56400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTLTTPSVSSALRVPSRTLTSSRDFLHLKNPTAHLPHRSPSRTSNSISKPPNFLSTPQTLNPSIPFSTPRRSSSPLLHRRPASGYAAALLDASQSTGTIHSVAKDVGRFSKLLRGKRIGRVLNDPLVGDEEKGRLVKEIAVKGGFERQVVKLTKMLIEKSKLSILTEVFSEFERVYDELCGTEVVMVSSSKKMEEEELIGIAKNVQRLSGAIKVKVRSFIHGGLMV >KGN59982 pep chromosome:ASM407v2:3:35966083:35970175:-1 gene:Csa_3G859690 transcript:KGN59982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALCSHSTIPSHKHSLSTHFCSTNSLPPPLKFFGRLSAFPLPSPSRFPLPFPSISCHASATSPPSLQSKVKTEKKDFLHLSDFDKSTLLKILDRAVEVKAQLKSGDRSFLPFKGKTMAMIFAKPSMRTRVSFETGFFLLGGHAIYLGPDTIQMGKREETRDIARVLSRYNDVIMARVFGHQDILDLAKYSTVPVINGLTDYNHPCQVMADALTMIEHIGKLEGTKVVYVGDGNNMVHSWLLLASVVPLHFVCACPKGFEPDKNTVEKARQAGVSKIEITNDPKEAVKGADVVYSDVWASMGQKEEADYRRQVFQGFQVNEELMNLAGSKAYFMHCLPAERGVEVTDGVIEAPNSIVFPQAENRMHAQNAIMLHVLGL >KGN56808 pep chromosome:ASM407v2:3:8917159:8919977:1 gene:Csa_3G134570 transcript:KGN56808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHSTASFSIYTDDENQEQIMGLEAFEKGVMIEVNKEEVLGSTGHDFSFSERAMGLIQEEEMEDEDGLNRGFDDSEVNLRPASPPLYLAAGLGMDASGLGGGYDSVDFFDEKMVDETPSIHPSLSLRDYVQSLWSEGKLDEAEEQCYQATITFPEDGETLMLYAQLVWELHHDQAKASSYFERAALVAPNNSNILAARAKFLWELNEEDETMIPGEEDSNPVDSSSPEERIEPAPDTGESDMQEYYEKMLKENPTDPLLLKNYARFLQQSKVDLQGAEEYYYRGIQADPSDGELLSEYAKLVWELHHDYNKALNNFERAVETSPTNSYVLGAYASFLWETDEHEEDGASKNDSQWPSNTVAVSVGNA >KGN55907 pep chromosome:ASM407v2:3:2499850:2502751:1 gene:Csa_3G035850 transcript:KGN55907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDFSIQISSNLVNMLIDDTEKPKRKPRRNKPKVPQEGKKPQVKVDQKHTSDDSGTLKGSTSDGWPHQPAPIFLPIIPPVHPANAELDAIRSVLQDSERVVDKLQKQEDNMLKEVTQRAKDLHDKEFKLPYQKPMPCVAESQACFQCYKDHPNDYLKCASLVKNFENCNRQARQKISSAEK >KGN57825 pep chromosome:ASM407v2:3:17828154:17828450:-1 gene:Csa_3G332840 transcript:KGN57825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRRSFANQDRRRRKCLAQKKTRRDLRRRGCSRMRRAALLREQRQGWRPATSLFAWSGMRRWLRMREDSAATALRLLHSGTSAVRTRWDLWRLASARK >KGN59343 pep chromosome:ASM407v2:3:31187931:31191629:1 gene:Csa_3G812150 transcript:KGN59343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGFRVLHLVRPFLSFLPEVQSADRKIPFREKVIYTVIALFIFLVCSQLPLYGIHSTTGADPFYWMRVILASNRGTVMELGITPIVTSGMVMQLLVGSKIIEVDNNVREDRALLNGAQKLLGILIAVGEAVAYVLSGMYGSVSQLGVGNAILIIVQLCFAGIVVICLDELLQKGYGLGSGISLFIATNMCENIIWKAFSPTTINSGRGAEFEGAVIALFHLLITRTDKVRALREAFYRQNLPNVTNLLATVLIFLIVVYFQGFRVVLPVRSKNSRGQQGSYPIKLFYTSNMPIILHSALVSNLYFISQLLYRKYSGNFLVNLLGIWKESEYSNGQTIPVGGLAYYITPPSSLADMAANPFHALFYLVFMLSACALFSKTWIEVSGSSARDVAKQLKEQQMVMPGHRESNLQKELNRYIPTAAAFGGMCIGALTVLADFMGAIGSGTGILLAVTIIYQYFETFEKEKVSELGLFGF >KGN59661 pep chromosome:ASM407v2:3:33378848:33382839:1 gene:Csa_3G836450 transcript:KGN59661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIVSCKFCSSLTTSKSSIPTSHYRSSKLWNGACSNPVGPRCSSFRRIKCGLQNVKKGRPFCLKEFAISSALAFSLITGVPGLGPSADAYAVADPVIPELSVLISGPPIKDPGALLRYALPIDNKAIREVQKPLEDISESLKIAGVKALDSVERNVRQASRTLKQGKNLIISGLAESKKEHGVEVLGKLEVGLDELQQIVEDRNRDAVAPKQKELLNYVGSVEEDMVDGFPYEVPEEYKNMPLLKGRAAVDMKVKVKDNPNIDECVFHIVLDGYNAPVSAGNFVDLVERHFYDGMEIQRADGFVVQTGDPEGPAEGFIDPSTEKTRTVPLEIMVEGEKAPFYGETLEELGLYKAQTKLPFNAFGTMAMAREEFDNNSASSQVFWLLKESELTPSNSNILDGRYTVFGYITENEDFLADLKVGDVIESMQVVSGLDNLVNPSYKIAG >KGN55638 pep chromosome:ASM407v2:3:368777:369094:-1 gene:Csa_3G002580 transcript:KGN55638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNSHLTNGSQKNPKKQVTFGINHRNHERFLFQTECDSSDSCCCSSEESIKWKPLAHRLISPRVVFYLSIHRHVASCTTATLNFLLPKICPKIFTPLLVFTKSRK >KGN56579 pep chromosome:ASM407v2:3:7583589:7588129:1 gene:Csa_3G124970 transcript:KGN56579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELINFNIITFFSILLCLLFLSFFILLLKTLSGKSITNSDYSPVYGTIYGQAFYFNNLYDHLTAVAKRHRTFRLIGASYSEIYTVNPRNIEHILKTKFEKYMKGSKDQEVGGDLFGEGIFAVDGEKWKEQRKLASYELSTKILRDFSCSVFRRNAEKLVGIISEFSTMARVFDVQDLLMRCSLDSIFKVGFGVDLNCVEEPSKAAGRRGFMEAFDNASAQVFWRFIDPFWKLKRFLNVGSEASFRNNLKIIDAFVHQLISARRKLLHQPNLKIDKEDILSRFLMESEKDPTRMNDQYLRDIVLNFMLAGRDTSAGTLSWFFYMLCKNPLIQEKVAEEVSQIVGVQGEETDIHLFIQNLTDSALDKMHYLHAALTETLRLYPAVPVDGRTAEIDDILPDGYKLRKGDGVYYLAYSMGRMPCLWGEDAEDFKPERWLENGTFRPESPFKFIAFHAGPRMCLGKDFAYRQMKIVSAALLQFFRFKLADPTRNVTYRIMLTLHIDGGLPLLALPRVRKFT >KGN55949 pep chromosome:ASM407v2:3:2762419:2764787:1 gene:Csa_3G038710 transcript:KGN55949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQLWMFSVPRRLLVSRNIFASFAFSLTNTNYCFLPHGLHTQSRSSSSPPPSISSFSLVANLIELFSNKPDDHEILAICIMERKVLEVSHELILNSEDSNKIVKILEDSKDLLLWKHTDGSAFVELLKQLGSQPNLALEVFNWRRRQGGSFPLTVEEYAKGIAVAGKSKHIDLAVGLFNEASNKRVKATSTYNALMGVFMFNGLADKCNSVFRDLKRDAGCVPNIVTYNILISVFGRLMLVDHMEATMREIHNLNLSPNVNTYNSLIAGYITAWMWKRMEQAFMKMKASSITPNTETFLLMLRGYAHSDNLEKMEEMHHFLKDHVNKNNFPLIRAMIYAYSRSSITDKVHKIDALLKLIPEEEYRPWLNVKLIRVYAQADCLERMENSINEAFEHGTSVYTVHVMRSIIASYFRCNAVDKLINFISRAESSGWRICRSLYHCKMVMFASQNRLEEMECVLDEMKNFNLDWSKKTFYILYKAYSTSGCRYKANQVVCRMCKLGYGVPVGWDSSPS >KGN56990 pep chromosome:ASM407v2:3:9921425:9925694:1 gene:Csa_3G147730 transcript:KGN56990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKNDQQPVQSVLHLLRKQSPLSLKQEKFCNTACVERFLKAKGDNVKKAVKSLRACLSWRETIGIDHLIADEFSTELADGVAYVAGHDEESRPVVIFRFKQDYHKFHSQKLFTRLVVFTLEVAIGTMPKNVDQMIILFDASFFRSGSAFMNLLVGMLKMVAEFYPQQLHSAFVIDPPSLFSYLWKGVRAFVELSAVTMVISSLDFEDSLEFNDFAAYPRASSLRFDQASIQSTGKIGSSASSRFSFTVSHHFDSLKPWYLSLTDTSASKVGPTSPSPLGPALISPLNARSLSFASPAARMPRGEINDSSSFSSRLTRKSLFPSTPLPPRSAAMDGGGKKGKIKNPPRTPRPSFLQSPAVFFRRECHVSRTERNREAFRSVLKFYRRPYDEMVYRSKMRPPLGGLISIVTPHLRRRHVSMSQRF >KGN58106 pep chromosome:ASM407v2:3:21393639:21394159:-1 gene:Csa_3G512980 transcript:KGN58106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSTGRLVPSAGDALLALTGRVVPPALRTTAKAFAKDVFINQERKLGARRRSDTVLVSTINDADQGLADVALRTPPAPYEKSKSLGSGGSMVARLKLKGIDGRAPPGVEPAA >KGN60247 pep chromosome:ASM407v2:3:37964780:37977918:-1 gene:Csa_3G890030 transcript:KGN60247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDFAFHDGNVCALLESRHINFRQDPAYGLQMHSSFIQRLSQEKELEGHQGCVNAVAWNSRGSLLISGSDDTRINIWSYSGRKLLHSVDTGHSANIFCTKFVPEMSDDLVLSGAGDAEVRLFNLSRLRGRGQDDNPIAPSALYRCHARRVKKLAVEIGNPNVVWSASEDGTLRQHDFREGMSCPPDGASHQECHNVLLDLRCGAKRSLADPPRQTLALKSCDISSTRPHLLLVGGSDAFARLYDRRMLPPLSSSQKRMSPPPCVSYFCPMHLSDRVRSGLHLTHVTFSPNGEEILLSYSGEHVYLMNVNHGGLGTMQYTSGDVSKLMSFTPVLNGFELQHHVSNLSNSFPLNCGGNAKLDRSRKLLQIAEKCLEGGNYFGGIEACNEILDGNGRNIGVILKHDSLCTRAALLLKRKWKNDVHMAIRDCYSARKIDHSSFRAHYYMCEALSQLGRHKEALDFAFAAQCLAPSNSEVAEKVESIKRDLAAAELEKSNKGNDGALKSAPLGGVLSLSDFLYRSDANSDVSQDGLRSEREDSDYDEEVELDFETLSGDESHDVDSNVLHGSLNLRIHRRIDPSRERVGPNGSCGSPSSSQNDATLYQPEPVIDMKQRYVGHCNIGTDIKQASFLGQKGEYVASGSDDGRWFIWEKETGRLIKILVGDGAVVNCVQSHPFDCAIATSGIDNTIKLWTPTAPVPSVVAGGAVGPQEADVLAVIENNQRRLCRNREAILPFEILERFRMHDFSEGSLHPFECAQS >KGN57058 pep chromosome:ASM407v2:3:10320434:10320967:-1 gene:Csa_3G151355 transcript:KGN57058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENPPPSPLSHPLPDVEMDIQLPMADDSSTSLWDLGDLLDFAADDQFSFSLEQDNLPSASSHYLEIQSQTPPSNSDRIRKRDPRLTCSNFLAGRVPCACPEVDAMLEAEVAAAPGKKRARTARVGAGSVRCQVPGCEVDISELKGYHRRHRVCLRCANATAVVIDDETKRYCQQCGK >KGN60109 pep chromosome:ASM407v2:3:36897926:36904403:-1 gene:Csa_3G878780 transcript:KGN60109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEANAGLVAGSYKRNELVRIRHDSDSGPKPLKNLNSQTCQICGDTVGLTASGDVFVACNECAFPVCRPCYEYERKDGNQSCPQCKTRYKRHKGSPRVDGDDDEDDVDDIENEFNYVQGSSKTKRQWHGEDAELSTSARHESQPIPLLTNGQSVSGEIPCATPDNQSVRTTSGPLGPPEKHMQSHPYVDPRQPVPVRIVDPSKDLNSYGLGNVDWKERVEGWKLKQEKNMMQMTSRYTEGKGDMEGTGSNGEELQMADDARQPLSRVVPIPSSHLTPYRVVIILRLIILGFFLQYRLTHPVKDAYPLWLTSVICEVWFALSWLLDQFPKWSPVNRETFLERLALRYDREGEPSQLAPVDVFVSTVDPLKEPPLVTANTVLSILSVDYPVDKVSCYVSDDGSAMLTFEALSETAEFARKWVPFCKKHNIEPRAPEFYFAQKIDYLKDKIKPSFVKERRAMKREYEEFKVRINALVAKAQKMPEEGWTMQDGTAWPGNNPRDHPGMIQVFLGHSGGLDTDGNELPRLVYVSREKRPGFQHHKKAGAMNALIRVSAVLTNGAYLLNVDCDHYFNNSKALKEAMCFMMDPAYGKKTCYVQFPQRFDGIDLHDRYANRNIVFFDINLKGLDGLQGPVYVGTGCCFNRQALYGYDPVLTEADLEPNIIIKSCCGSRKKGRNKKYIDKKRAAKRTESTIPIFNMEDIEEGVEGYDDERSLLMSQKSLEKRFGQSPVFIAATFMEMGGIPPSTNPATLLKEAIHVISCGYEDKTEWGKERATKTSLINSGLTPSFKGSAPINLSDRLNQVLRWALGSIEILLSRHCPIWYGYNGRLKLLERIAYINTIVYPITSIPLIAYCMLPAFCLLTGKFIIPEISNFASMWFILLFVSIFATGILELRWSGVSIEDWWRNEQFWVIGGTSAHLFAVFQGLLKVLAGIDTNFTVTSKASDEDGDFAELYVFKWTSLLIPPTTVLIMNMVGIVAGVSYAINSGYQSWGPLFGKLFFALWVIVHLYPFLKGLLGRQNRTPTIVIVWSILLASIFSLLWVRIDPFTSASTKAANGQCGINC >KGN60306 pep chromosome:ASM407v2:3:38444071:38447683:-1 gene:Csa_3G894540 transcript:KGN60306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKALPLLKGASSFPSLKRQIFISTAFHSLPVSNISIRNSSTASGISASLQPPDVPRLAQTARISLTPTQAEEFAPKITQVVDWFGQLQTVDLQSIEPSIRADTEGGSQRNDIPETFENREAMIGAVPVFDEPYIKVPKVLNKE >KGN60125 pep chromosome:ASM407v2:3:37002854:37009294:1 gene:Csa_3G878940 transcript:KGN60125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSSQLDPITNKMDSSLSEAPRGVSVSSSDPSVHQYLVPNRQMELMESISGGSLTQSGMLSRMQRGQVDVKTGNFGRQHFQIPDNQFGGTGNMVRTAEGMLSLPVKRKASNEPLNSLAQQSPLHNKRVAPMEHRPWLQPASGIAKRPHLQIPNNSPAPAPMYSPAGTKRKVQQMESHPTKVGHQRSNSSKGQTAPPTPTSKIQNEPTGSVRSKMRESLTAALALVSQQEDKSSNDEKSSPTEAEKFSTPKQENSLSSGPAIGHVSDDSRKIFSEKLDSVGLEDNVGKMLDKSSLCVNVSDLDALRYDGRVFQPNNVLSYEDISFGDNFFIKDDLLQENGLSWVLEADLGVADKKEILTDELQKIDVGIGNQNQVAKPVQTPESLALKIEEELFKLFSGVNKKYKEKGRSLLFNLKDRNNPELRERVMSGEITPERLCSMTAEELASKELSEWRMAKAEEFAQMVVLPDTEVDIRRLVKKTHKGEFQVEVEEYDNNASADVSSGASTFSQSQSLRNNNESEDGSPDEPEAVKDEQNISGQKNAASNKDNYTFTIASNEGSDLMQGLMVDDGLKDTELLPPIVSLDEFMESLDTEPPFDILAEGAGKLSPVLEKGESEPNSRLKTAAHPPKGATDVSTEKNNEESHTKADIGSSSIGHVDLQPSPTKLDVDSNDNQAGLRTSDRNDVAKSNDSNNAKSETESPASAVKLEHLWDGILQYNISTMTSVVGTYISGERTSAKDWPGILEIKGRVRLDAFEKFLQELPLSRSRAVMVLHLDLKEGRPESEQADLREVAESYVVDERVGIADPGSGVEFYFCPPHGRILEMLGRILLKETSNEALNAIENGLIGVVVWRKTQLTSMSPNSTSHHKRSSKKQHFSSRRPQETSNFKANNISPKQTIPRSSYFPIATAHPPPEEDDADGEDDVPPGFGPSTARDDDDLPEFNFSGSANPPGFSSQNKHPLTPRGQSSRPPSFQPVSQTGSRPVEQMRELVHKYGQNLGKNTPSTANWGERSGFSSVAIQPWNDDDDDIPEWQPQAGAASHQQIPPPSHSQPPLRGFQQPTLRPQYMMNHNQQPMGHPPPLNVSQQGTWWAPQQGHNINNSNNLQPISNLNSSNGQFYGSFGRSAPSNPSNNRGF >KGN58974 pep chromosome:ASM407v2:3:28651960:28653072:-1 gene:Csa_3G740170 transcript:KGN58974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDGVGNNMKSKEQQSSDSRKCRGNEFVQEVSKIAVAQMCNSVGFQSFKESALDTLADIAIKYLHHLGKIATFYANLAGRIECNVFDIIRGLEELEQSQGFLGAWQSDHCLANSGSVKNIVCYVNSVQEIPFAHPLPCFSVIRKRESIPTFVQIGETPPSKHIPSWLPAFPDAHTYSHSALWRRKPKELRAEKIELAKQRRKAEKSLLGLQQRLVSCGSERSGNGEIEFSGGESNSLLGTCFQEIEDGIGLPYENTSGKDGISHLSVPEVFAPAIEAIKGGGCPNSQDEKAKILPRVRPLVHFKLETCKTFLGGSMNLSAKMRGIRESVFWVRRDDERDRKHKNERDEKKRRVEYILRHSIEKPEELNQS >KGN57240 pep chromosome:ASM407v2:3:11582965:11586691:-1 gene:Csa_3G172950 transcript:KGN57240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKPQDQRLRSTKPTTIHGYAQSGDILSLQKLLRENPGLLNERNPFMGQTPLHVSAGYNRAEIVTFLLAWKGPENVELEAKNMYGETPLHMAAKNGCNDAARVLLAHGAFVEAKANNGMTPLHLAVWYSLQSEDCETVKTLLDYNADCSATDEEGMTPLNHLSQSSCSKKLRELLNRHLEEQRKQKAIEACSETKAKMKELENELSHIVGLHELKIQLQKWAKGMLLDERRRALGLKVGTRRSPHMAFLGNPGTGKTMVARILGKLLHMVGILPTDKVTEVQRTDLVGEFVGHTGPKTRRKIKEAEGGILFVDEAYRLIPMQKADDKDYGLEALEEIMSVMDSGKIVVIFAGYCEPMKRVIDSNEGFHRRVTKFFYFNDFSSKELANILHIKMDNQTEDSLLYGFKLHPTCTIEAISDLIERETEEKRRKEMNGGLVDPMLVNARENLDGRLSFECIDTEELRTITMEDLEAGLRLLTQ >KGN58456 pep chromosome:ASM407v2:3:25350295:25351576:1 gene:Csa_3G645940 transcript:KGN58456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTSDNAGAAPRSAKLPEKSSFAQTCNLLSQYLKEKRTLAITPRIEPKDEFPTTRPPVVMNFFTNMENPDEKSASVAAADSIPPGAEPPSATQMTIFYDGKVLVFNDLPSERAEEIMAMAGKGIAPSSRSITTAVSDNGGEQPLTKVSSDLPIARRASLHRFFEKRKDRVAARGPYQVNLQASSKPSGESYRFKKENEQSSKQFDLNL >KGN59453 pep chromosome:ASM407v2:3:32024192:32027103:-1 gene:Csa_3G821560 transcript:KGN59453 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-acylneuraminate-9-phosphatase MALISTRTKISRILSTFSPNTLLSRPPFPSNGVQAAARLLPFGGFGSSFASSAVASVEPETLGSSGIGFEILGVKDYEDYRRSLYGDITHKALLVDAVGTLVVPSQPMAQIYREIGEKYGVNYSEGEILNRYRRAYEKPWGRSRLRYVNDGKPFWQYIVSSSTGCSDSQYFEELYNYYTTNKAWHLCDPDAEKVFKALRQAGVKIAIVSNFDTRLRPLLRDLNCDHWFDAVAVSAEVEAEKPNPTIFLKACELLGVRPEDALHVGDDRRNDVWGARDAGCDAWLWGSDVHSFKEVAERIGVKV >KGN57184 pep chromosome:ASM407v2:3:11228030:11236883:-1 gene:Csa_3G168950 transcript:KGN57184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHLGGGAEAHARFKQYEYRANSSLVLTTDSRPRDTHEPTGEPESLYGKIDPKSFGDRAYRGRPPELDEKLKKSKRKKKELDPIAEPQPSRQGKRRRLQEESVLTSTEEGVYMPKTKETRAAYEAMLSVIQQQLGGQPLSIVSGAADEILAVLKNDSFKNPDKKKEIEKLLNPIPNNVFDQLVSIGRLITDYQDGNDATGPATANGDGALDDDIGVAVEFEENEEEEESDLDMVQEDEEDEDDVAEPNGSGAMQMDGGIDDDDLQENDGGMNLNVQDIDAYWLQRKISQAYEQQIDPQQCQKLAEEVLKILAEGDDREIETKLLVHLQFEKFSLVKFLLRNRLKVVWCTRLARSEDQEERKKIEEEMMHLGPDLAAILEQLHATRATAKERQKNLEKSIREEARRLKDESGGDAERGRRDPVERDMDNGGLTGQSQLLDLDSIAFQQGSLLMANNKCVLPDGSYRHLGKGYEEIHVPKLNAKPFGSDEKFVKIASMPDWAQPAFKGMTQLNRVQSKVYETALFKADNVLLCAPTGAGKTNVAVLTILQQIALHTNPDGSYNHNDYKIVYVAPMKALVAEVVGNLSNRLQDYGVKVRELSGDQTLTRQQIDETQIIVTTPEKWDIITRKSGDRTYTQLVKLLIIDEIHLLHDNRGPVLESIVARTVRQIETTKEHIRLVGLSATLPNYEDVALFLRVDPKKGLFHFDNSYRPVALYQQYIGITVKKPLQRFQLMNDLCYEKVMSFAGKHQVLIFVHSRKETSKTARAIRDAALANDTLSRFLKEDSASREILHTHTDLVKSNELKDLLPYGFAIHHAGMTRVDRQLVEDLFADGHIQVLVSTATLAWGVNLPAHCVIIKGTQIYNPEKGAWTELSPLDVMQMLGRAGRPQFDSEGTGIIITGHSELQYYLSLMNQQLPIESQFVSKLADQLNAEIVLGTVQNAREASNWLGYTYLYVRMLRNPTLYGLAADAPTRDITLEERRADLIHSAATILDKNNLVKYDRKSGYFQVTDLGRIASYYYITHGTISTYNEHLKPMMGDIELCRLFSLSEEFKYVTVRQDEKMELAKLLERVPIPIKESLEEPSAKINVLLQAYISQLKLEGLSLTSDMVFITQSAGRLMRALFEIVLKRGWAQLAEKALNLCKMVSKRMWSVQTPLRQFHGISNDILMKLEKKDLAWERYYDLSSQELGELIRAPKMGRTLHKFIHQFPKLNLAAHVQPITRTVLRVELTITPDFQWEDKVHGYVESFWVLVEDNDGEFIHHHEHFLLKKQYIDEDHTLNFTVPICEPLPPQYFIRVVSDRWLGSQTILPVSFRHLILPEKFPPPTELLDLQPLPVTALRNPSYEALYQDFKHFNPVQTQVFTVLYNTDDNVLVAAPTGSGKTICAEFAILRNYQKGQDNVLRAVYIAPIESLAKERYRDWDKKFGKGLGIRVVELTGETATDLKLLERGQIIISTPEKWDALSRRWKQRKYVQQVSLFIIDELHLIGGQGGPVLEVIVSRMRYIASQIENKIRIVALSTSLANAKDIGDWIGATSHGLFNFPPGVRPVPLEIHIQGVDIANFEARMQAMTKPTYTAIVQHAKNGKPAIVFVPTRKHVRLTAVDIMTYSSADNGEKLPFLLRSLEDIEPFVDKINDEMLKAILRHGVGYLHEGLSSLDQEVVTQLFEAGWIQVCVISSSMCWGVPLSAHLVVVMGTQYYDGRENAHTDYPVTDLMQMMGHASRPLLDNSGKCVILCHAPRKEYYKKFLYEAFPVESHLHHFLHDNINAEIVAGIIENKQDAVDYITWTLMYRRLTQNPNYYNLQGVSHRHLSDHLSELVEHTLSDLEASKCISIEDDMDLSPSNLGMIASYYYISYTTIERFSSSLTAKTKMKGLLEILASASEYALLPIRPGEEELIRRLINHQRFSFENPKCTDPHVKANALLQAYFSRQSVGGNLALDQREVVISASRLLQAMVDVISSNGWLSLALLAMEVSQMVTQGLWERDSMLLQLPHFTKELAKRCQENSGKNIETIFDLVEMEDNERHELLQMSDSQLLDIARFCNRFPNIDMAYEVLDGENVAAGENVTLQVTLERDLDGRTEVGPVDALRYPKAKEEGWWLVVGDTKSNQLLAIKRVSLQRKAKVKLDFTAPADTGKKSYTLYFMCDSYLGCDQEYSFTVDVKDAAAFDEDE >KGN58384 pep chromosome:ASM407v2:3:24660018:24662077:-1 gene:Csa_3G634360 transcript:KGN58384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIPFLSLLFFLFFLSLSSAEISTLVGINYGQLGNNLPPPSHSVNLIKSLNAQIVKIYDANPQILKALKNTDLRVSVMVPNELIINISSSQNLADQWVRTNILPFYPQTKIRYLLVGNEIISSTGNQTWFSLVPAMRRIKHSLKTYGIHKVKVGTPSAMDVLQSSFPPSNGTFRADIADRVMRPMLQFLNRTKSFFFLDVYPYFPWSSDSVNIKLDYALFESKNITYSDPVSGLVYTNLFDQMVDSVIFAMKRLGFPDIRVFIAETGWPNGGDYDQIGASIHNAAVYNRNIVKRVTSKPPVGTPARPGRMLPTIIFSLYNENQKPGPGTERHFGLLYPKGKAVYEMDLSGSRAETKFKPLPEAERNEPYKGKIWCVVGKGVNMSDVVGALSYACSQGNKTCEPIRKGGPCYEPDSLKRHASFAFSSYWAQFRKVGGTCYFNGLATQTIKDPSYGKCKFPSVTLQAS >KGN59575 pep chromosome:ASM407v2:3:32847273:32848792:-1 gene:Csa_3G826690 transcript:KGN59575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPPCCDKQGVKKGPWTPEEDIVLVSYIQQHGPGNWRAVPANTGLLRCSKSCRLRWTNYLRPGIKRGNFTHHEEKMIVHLQALLGNRWAAIASYLPQRTDNDIKNHWNTHLKKRLNNHHRQPSPAADLTADPAADTLFTTRGQWERRLQTDIQMAKQALSDALSPETSKPPPTLLPIEESKTSHPQIPAYASSADNIARWLKEWNKNPPTNNPSRSSSSAVVDSGDGSGGKVNGAGLSESMDTLMSLEYFESSNNSDGSPETSVFQGESESMDENEELLPMKLLEEWLHGDSKDYYFTDFTVDEKFKLDNLL >KGN56365 pep chromosome:ASM407v2:3:6462288:6473983:1 gene:Csa_3G118000 transcript:KGN56365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTVESDRKRRHFSTISPTAATAKKAPFLPVSEDKKLDVAVLQYQNQKLIQKLEVQKVEYKSLQNKYAQLKEKQEPYDTTVAVVKNCWEELVNGLETSSVRMRRWRSKRDGEHTIAGVDGSSSSFEDAVLSRLAETGATQSSSTYSSSKHMEEETESPCEKTKTIERSIETSIENLWYLKDGLHATLLNELPKDDSFRKRTSGDLVKEVRNMRLRVKDFLFKQKVLAQELEKHRDLDAKTKAELKVLKVELGSAVAELEESNSKLTKLRAEHDAAKKAGFPVLNLTGKHSASGKVRDKQKDLRDMESSLKELKDQAVDRLAELNSLHEGRLKMLRRLSDIQNTMKSVKTISSSKPYLLLRDRIEKLKLEVNEQQALFEKLQVEKDNIMWKEKELNIKNNILDVLRRSSTVSDTRINDLEILIQKQKDGKQSIENKLVEVLKEPGRKKIVSEFRALVSSFPEAMGSMQSQLHKYKEAASDVHSVRADLQSLSSIIDRMEKECENLSSRSKDQQAEIQKLQATVQDLTEVNRELKLIIDMYSRESTESREVLEARDLEYKAWARVQSLKSSLDERNLESRVKTANEAEAISQQRLAAAEAEIARLRQKLEASKRDLTRLSDVLKSKGDENVAYLSEIETIGQAYDDMQTQNQHLLQQITERDDYNIKLVLEGVRARQLQEIMLIEKQALENEVQQANASLVLYEMKAARIEDQLRGCSDHIQKIEEDKLRDTDTLENTRKRLLEIRIASQQTRESLDECQSKVERSRTTQAELQIELEKERFEKKRIEEELEVIGRKASRLEAQMESSSVIEKLHEELGEYEKIVNCKICVNSRKQVVITKCFHLFCNPCVQDILKSQHRKCPRCSASFGPNDVKQVFF >KGN60118 pep chromosome:ASM407v2:3:36966338:36968763:1 gene:Csa_3G878870 transcript:KGN60118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCCSSLSAAPSSMSLITNRKFTSSVNKPLIVSMNSRFRASAEVPDFLPADWHDAHKKDPIGPRLHFTAEEAIQHQLDALRYNDRPHQDYGIEVMYQFAGFDPLRRSTYFGPFFDLGQFERFRRVFHHSTYRVLLGHKERKILSSLFVEENRFKQRVWIRGCRPKEEEIFQFTMVQRVGGSWDGYWLTESLLNDGDAFSGGLAY >KGN58695 pep chromosome:ASM407v2:3:27235103:27235423:-1 gene:Csa_3G728120 transcript:KGN58695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFDVHMGVILAALLCALIGAVGVNSILRCVLRCRYSFELERAQEGAGTPGLKKREIALISIKVYGTDANIKNTDCAICLAQFKVGDKLRMLPICNHGFHLTCIDT >KGN58003 pep chromosome:ASM407v2:3:20199592:20199885:-1 gene:Csa_3G426890 transcript:KGN58003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLMKNKVIQLDMRIFHFNLIFKISTQHPHFTNTLMMPTSTTFDFFSTNHDTLNMEEGQTPQFHVDVGQSTNVIGRDDRGHYVNQQGRISRNSSTQD >KGN58827 pep chromosome:ASM407v2:3:27871175:27873033:-1 gene:Csa_3G733320 transcript:KGN58827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFPLSLSFLLLLFSFFILNTRADLPGTWELLIPNAGIASMHTAVTRFNTVVLLDRTNIGPTRKMLRKGHCRNDRYDAILKHDCYAHSVLLDLQTNQIRPLTILTDTWCSSGQFLPDGTLLHTGGDIDGLRKFRKFQPCEPNGACDWIELSEPELADGRWYATNQILPDGSVIIVGGRGANTVEYYPPRKNGAVNFPFLRDVEDGQMDNLYPYVHLLPNGHLFIFANNRAVLYDHQTNQVVRDYPPLDGGPRNYPSAGSSVMLALQGDHSNAVIVICGGAQYGAFIQRSTDTPAHGSCGRIEATGLNPVWELEDMPFGRIMGDMVMLPTGDVVIINGAQAGTQGFEQASNPCLHPVLYRPDQPVGLRFMTLNPGNVPRMYHSTANLLPDGRILVAGSNPHFFYKFEAEFPTELRIEAFSPEYLSAEKANIRPKIETIPETISYGGVFDVLVSVELPVVGIVEVNLGSAPFATHSFSQGQRLVKLAVTASIPNGDGQYRVGCTAPANGMVAPPGYYMAFAVNQGVPSVARWIHLSP >KGN58590 pep chromosome:ASM407v2:3:26436457:26439202:1 gene:Csa_3G696850 transcript:KGN58590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGGPEKSNSSSTTTASSPNPKDMNHLTVDCEDSFYSLLELVSDNDAEGFKRLMQSDLFSLNKSGLWYVRQKGSKQVVNEHRTPLMVAATYGCVDVLKLILSYPEVDVNLSAGTDKSTALHCATSSGSVNAVDIVDLLLSAGADPNSKDVNGDRPVDVIFIHPKLQKQNTRFKLEELLNSHSNGSMDVSCLHLSIKTPNSESPPLSSSLEDEFPSPPKSISSPKFTDGPLYVSTGSAVPSPRSIGSAPTVMDMATALGLLPGSPSSMSALSPSPFTQSMSPSSNGVSHSSVNWQQPNVPTLHLPGSNLQSSRLRSSLNARDMPLEDLNALPDFENQPRILNDMNCFSQPRPSAVSVSRSGWTQTLTPNNLEELFSSEISLSPRFSDPAANVFSPTRKSTMLNQFQQQQQNMLSPINTSIMSPKNVDHHLLQASFGVSSPGRMSPRSTEPLSPMGSRFSAFVQREKQHLRTLSSRELGSNIPSSLIGSPVNSLPKWGSPNGKVDWSVGKNELGQLRRSSSFEMGNNGEEPDLSWVQSLVKESPPEMLKEKLAVSGMGTAASGEGLTTSKSQLESTDHSVIGAWLEQMQLDQLVV >KGN57454 pep chromosome:ASM407v2:3:13203703:13205989:-1 gene:Csa_3G187280 transcript:KGN57454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNQYNFPVFLISESSISSIQEAASKNVKSKKDYISNVAEFDLVMQTTKAGTHSSMSCLKEETCLPLGGYSVWSSLPPINTSSSDQSKPVILTVASMDSASFFRDKSIGADSPISGLIALLAAVDALSHVDGLDDLHKQVLIEAVFFVYNCTFLILRAS >KGN59968 pep chromosome:ASM407v2:3:35881989:35886064:1 gene:Csa_3G857580 transcript:KGN59968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEIGNFKKLHNHPLILYQYGNHKNGDEVYCSKCRKPWLPPAFSCSDSGCNFHIHLSCVDLLPQIHTPFHSHHKLPLSLVLTNTFCKCCGQKPTGKSYSCPQCDFVIDLQCLIADTKATGLTKIPGGDQFHHFTHPHPLTFLQQHWGKNRIIVCSVCQLRIKSGSDSISCYFCSQCDSHFHQQCAEFPREIINFRYHQHPLFLFARSFESNILCNNCRNSCFNFFYSCPPCKFNLHVSCLSSFHHQHDFIRLHKVFPYKCQICGQNSEPAVPWFCSICHLFAHKSCAEQPTILHTFDHRHPLSLTFSCHRDICKICNGKINMSFARYACRICSYDAHLNCAKSREKEEMKEEIMLTEEEVGVGMNKILHFSHKHELILRPGEDNRICNGCMQFIVTEYYGCSKCRFYLHEECARFTSQYKKLLFHSHKLNMVYIPDFIFSCSVCLQYCQGFAYNCKECSFAIDIRCAAITFPFTHSSHKHHPLFHYRDKGKHKCGGCGEGLKNKFVFGCDDCNFYLDAKCANLPLAVRNRFDEHPLSLTFVNKDEEGDDEHYCDICEEKRERNEWYYCCKMCYFAAHMKCALGDYPFLKSAKFEGHRHMLNLVKEGKKGYSACGSCGHSCEGNLAFECGNCICKFNVHAFGLCYHKLLTQGSITFSMPSLHSRSLPLYLDPIQRKRSKIIMLLEGEVKQGGWKERGFNGIREFMVNHGRWADSIGIQYEENEKSSEENHDEDDEDTDVEIPNVAMSLGKYGGFEGEYWDDAAFSSIQSVEITHEKAINSITIKYDQNGPSERHGGNRGRHTSTVDLEYPDEYLISIVGYMGYYGQHYVIRSLSLESNKQIYGPFGREEGTRFVFPTSGAKIVSFHGTSGLYLNSIGINVLPLQNNLKTTPL >KGN59744 pep chromosome:ASM407v2:3:33979168:33980727:1 gene:Csa_3G842660 transcript:KGN59744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERFIGKCLSFTKMIIHPEAVNCISLGHFLLAATILSIILLSLVYFRASYVYLVDFVCYLPPNNLGTPVAKFIKHVEICNLFDKEEMNFLQKVLERSGIGPDACMPHSLHKLPPDTSFESTREEIETVLFTVVNDLFSKHKVDPQSIDFLVSNCSLFCPTPSITSMVTNKFGFRNNIKSFNLSGMGCSAGMVSISLAKDLLRVHENTTALVLSMEAVTPNGYRGKRKSMLISNTLFRMGGAAILLSNKKKDKQRAKYKLQHLVRTHMGSNHQAYQSVFQKPDEDGYVGVSLSRDLLQVAAKALTTNISSLGPLVLPYSEQIRYGWSWITKKIWPPAKGKEVYVPNFKKAFEHFCIHAGGKAVIDAVEKSLKLQKEDGEASRMTLYRFGNTSSSSVWYELNYLEAKGKVKRGDRVWQIAFGSGFKCNSAVWKCISEIDPKAESAWSDRIHLYPVETGIPDVSS >KGN56889 pep chromosome:ASM407v2:3:9310579:9314882:-1 gene:Csa_3G141850 transcript:KGN56889 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene receptor CS-ETR2 MLKALPSGFLILLLLASVSAADNGFPRCNCDDEGSLWSIDSILECQRVSDFLIAVAYFSIPIELLYFVSCSNVPFKWVLFQFIAFIVLCGLTHLLNGWTYGPHSFQLMLALTVFKILTALVSCATAITLITLIPLLLKVKVREFMLKKKTWDLGREVGMILKQKEAGLHVRMLTQEIRKSLDRHTILYTTMFELSETLGLHYCAVWMPNESKTLMNLTHELKDRSFSNGYNVFIPISDSDVIKIKGSDGVNVLGPNSALVVANCGESDERGPAAAIRMPMLRVSNFKGGTPEIVPTYYAILVLVLPGGQPRSWNNQELEIIKVVADQVAVALSHAALLEESQLMRDKLAEQNRDLQQAKENALMASQARNSFQKVMSDGMRRPMHSIMGLLSMLQNENMNDDQRIILDAMVRTGNVVSTLIDDVMEDPIKDSARFPLELEMRSFRLHSMIKEAACLAKCLCAYKGFGFAFEVQRSLPDHVMGDERRVFQVLLHMVGSLLNDINQGGGYALFRVVAESGSQGRNDQRWGNWRQNSSDGDAFIRFEVGINKSNSQSEGSIPNMVSGDRRYASDGAEERLSFTICKKLVKLMQGNIWVIPNPQGFTRSMALVLRFQLRPSIAVAMPEPGESSEHPHSNSIFRGLQVILADADDMNRAVTRKMLEKLGCNVTAVSSGFECLTVMAPAGSSIQVVLLDLHMPELDGFEVTTRIRKFRSQNYRPVIIALTASAGEDWERCVQIGMNGVIRKPVQLQGIAHELRRALLQASKVV >KGN60128 pep chromosome:ASM407v2:3:37019189:37036972:-1 gene:Csa_3G879460 transcript:KGN60128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSSFSLVVPGVEANALMKTFKMKPFFFPLAGSVTAVFNCQGPLDSPIFVGSGMVSRKMNNLFSDLPASCASEAIVKSKEGGAIAAVDRIPFSYVSANFTFGIDNCVADLYGIRANLVDGGEIRGAGNAWICPEGELDDTAMDLNFSGNISLDKIMHLYVPGYSDWMPLKLGLLNGETKVSGSLLRPRFNINWTAPLAEGSFRDARGDINISHDYIIVNSSSVAFELFSKVQTSYSDKIMLDEEVFDAKRTPSFTIDGVELDLHMRGFEFLSLVSYIFESPRPMHLKATGRVKFVGKVLRPSSKDFSNEKSKQQVQPIDEENKDGLAGEVSISGLKLNQLVLAPKLAGLLSMTRESIKLETTGRPDESLSVEIVGSLKPSSDNSRKSKLFSFNLQRGQLKANARYQPSRSAHLELRHLPLDDLELASLRGAIQRAEIELNLQKRRGHGVLSVLDPKFSGVLGEALDIAARWSGDVITIEKTILEQSNSRYELQGEYVLPGSRDRNVTDKESTGFLKKAMASHLSSVISSMGRWRMRLEVPKAEVAEMLPLARLLSRSTDPSVHSRSKDFFIQNLQAVGLYTESVQDLIEVIRRQFILSDEIVLEDLSLPGLSELRGCWHGSLDASGGGNGDTMAEFDFHGEDWEWGVYKTQRVLAVGAYSNNDGLRLEKIFIQKDNATVHADGTLFGPITNLHFAVLNFPVSLVPAAVQVIESSAKDLVHSLRQLVAPIRGILHMEGDLRGNLAKPECDVQVRLLDGAIGGVDLGRAEVVASLTSGSRFLFNAKFEPVIQNGHVHVQGSIPVMFVQNKMGEVEEVETDTSRGTLVHAWGKEKVREKFNDRKSSRDRNEEGWNTQLAEGLKGLNWSLLDVGEVRIDADIKDGGMLLLTALSPHVNWLHGSADILLQVRGTIEEPILDGSASFHRASISSPVLPKPLTNFGGTLYVRSNRLCINSLESRVGRRGKLILKGNLPLRSSEACLDDKIDLKCEVLEVRAKNIFSGQVDSQMQITGSILQPNISGNIQLSRGEAYLPHDKGSGAASFNKVVSDQFSHPPGSSNQVVASKYASFFNSESTALKTRFHVPQDKGVDIEKESRNVNIKPSVDVSLSDLKLVLGPELRILYPLILNFAVSGELELNGFAHAKSIKPKGTLTFDNGDVNLLATQVRLKREHLNIATFEPENGLDPMLDLALVGSEWQIRIQSRASKWQEKLVVTSTRSVEQDAHSPTEATRAFENQLAESILESGGQLALEKLATATLEKLMPRIEGKGEFGQASWRLVYAPQIPTLLSFPTTDPLQSLTSNISFGTVVEVQLGKRIQASMIRQMKETEMAMQWTFTYKLTSRLRMVLQSAPAQRTLLLVEYSATSLD >KGN57402 pep chromosome:ASM407v2:3:12789330:12792704:1 gene:Csa_3G183360 transcript:KGN57402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPPRGRGGGGGFRGRGDGGRGGRGRGGGGGRGGGGGRGMSSRGGGRGGGGGRGRGGGRGGGMKGGSKVVVEPHRHEGIFIAKGKEDALVTKNMVTGESVYNEKRVSVQNEDGTKIEYRVWNPFRSKLAAAVLGGVDDIWIKPGARVLYLGAASGTTVSHVSDVVGPSGIVYAVEFSHRSGRDLVNMAKKRTNVIPIIEDARHPAKYRMLVGMVDVIFSDVAQPDQARILALNASYFLKAGGHFVISIKANCIDSTVPAEAVFASEVNKLKADQFKPTEQVTLEPFERDHACVVGIYRAPKKQKAAAAS >KGN59324 pep chromosome:ASM407v2:3:31048261:31052186:-1 gene:Csa_3G810490 transcript:KGN59324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTVNLGMLHYVLDHVYGAFMHRTKLSPPFFSRGWGGSKLDLLEKMIKQLFPDVAAQAWPPSLIKPIWRTVWENETARLREGFFRTPCDEQLLAALPPESHNARVAFLMPKSVPTHKMSCVVHLAGTGDHSFERRLRLGGPLLKDNIATMVLESPFYGQRRPILQHGAKLLCVSDLLLLGRATIEEARSLLHWLDSEAGFGKMGVCGLSMGGVHAAMVGSLHPTPIATLPFLSPHSAVVAFCEGILKHGTAWEALRNDLGLQQSAMTLEEVRERMRNVLSLTDVTRFPIPKNPNAVILVAATDDGYIPKHSVLELQKAWPGSEVRWVTGGHVSSFILHNDEFRRAIVDGLDRLEWRESPL >KGN56437 pep chromosome:ASM407v2:3:6839331:6841020:1 gene:Csa_3G119700 transcript:KGN56437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIMEHNSLISELTQGKELALQLRTHLHPSSSPEQACLFLTEMIQSSFEKALLLLNFNSSNLKTHQISSLDEQEGEEEEEEEEEVEESSTKKKRKISRSRDALKKRKLLPRWTEEIKVCNGSAPEGPLNDGYSWRKYGQKDIHGANFPRCYYRCTHRNVRGCLATKQVQKSDNDPNIFEVTYRGRHTCNQSSNLGSTSISSQNQIYEETNQIQQKPNSEIWFDFGDNNFNLKTEDFDQVFPPFSFSYEEPIMNPTFIPGEDLTAAVSSPATDTAWDWSGYDGGVQRVQSSEQSAVTEIVSATTSVTNSPIYNGDWDFSLDNIDFDHNFPFDSLDFIS >KGN56232 pep chromosome:ASM407v2:3:5230495:5230923:1 gene:Csa_3G104855 transcript:KGN56232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLLYGSCIIGPGPYHPPGPGLIPIFGPPNIGGKLTPTPTPSPVTIGSTPLGPALGPASILLTPNLGTLGPAPTLLISSLTPGPAFGPGSEPSSTLLIPPTPRPALGPAFGPIPKLLTPLSTGPALGSGVGEDMEPKPSPSS >KGN57399 pep chromosome:ASM407v2:3:12769790:12772218:-1 gene:Csa_3G183330 transcript:KGN57399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDLPEAVKKEEKEVKVKIIGKTHVKPNKKLGTKHYQLVTFDLPYLAFYYNQKLILYGDNGGEVKFPETVEKLKDGLEMVLEPFHQLAGRLGKDEDGIFRVEYDDDMEGVEVAEAVAEDVGLADLVAEEGTATLKELIPYNGILNLEGLQRPLLAVQITKLKDGIAMGCAFNHAVLDGTATWHFMSSWAEVSRGAQDISVPPFLERTKARNTRVKLDISPPPPQPASANGDSTAPPPKPLKEKVFKFTETAINKIKSKVNSANPPKPDGSTPFSTFQSLSVHIWRHVTQARNLKPEDITVFTVFADCRKRVDPPMPESYFGNLIQAIFTGTAAGLLLMNPAEFGAGVIQKAIVSHDAAAIDQRNKEWESAPKIFEFKDAGMNCVAVGSSPRFKVYEVDFGWGKPESVRSGCNNRFDGMMYLYQGKNGGIDVEISLEEEAMARLEKDKEFVLEI >KGN57556 pep chromosome:ASM407v2:3:14259761:14263002:-1 gene:Csa_3G212490 transcript:KGN57556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDLSLKIDHHKEEHHHHHLIKHQKNDQQQRQDDHDREEEGEGEGEEEEEEEIDIDHHVVPSTTSGLKVFLPHNNTNVGEISELQMEMDRIKEENKALRKAVEQTMKDYYDLEMKIGFFQQNNNLNNKLECDHNFLSFHGNENKRHEELTKHDLELGEMAKKKRRVGSASKEDEMRESELGLSLGLHTKNSNDDLEQEDNDRELLIEEERREIKNKENSIIMSNFNSIQNKPQRPELQAMAPPQNRKARVSVRARCESATMNDGCQWRKYGQKIAKGNPCPRAYYRCTVAPGCPVRKQVQRCLEDMSILITTYEGTHNHPLPVGATAMASTASAASASFMLLDSSNTNNTNLSNSLHLNPNILNSSSPSFLQTQNPTNHLFTPLFPTSSTSHFPHSFYHSNFQPNHLVGPLDRRTWKPTDDNKPPPFTPDAVSAIASDPKFRVAVAAAISSLINKENEHMTTSMTGETVTDGKGGGGSDSDSGNKKWVVESLSSKSNGN >KGN59854 pep chromosome:ASM407v2:3:34977826:34983654:-1 gene:Csa_3G850590 transcript:KGN59854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIVSSNWVEVCLVNTGTGTPFISALELRPLPTFLYETRSESLTTFLRLDVGSATNLSYRYKDDIYDRLWYAMTPLSAWTKLTTTEPINSNDPELFIPPQPVMSSAATPINATSPMEFNWVTQDVTAKFYVFMFFTEIQKLKPNESRVFEILLNGNPWTKEQISLPYLQGVVSYSTTALTGGTYNFALVRTPNSTHPPLLNAIEIYQVIDFPQSSTDEKDVESILDIKAVYGVGRNWEGDPCMPRQFIWQGLNCSSVDSQPPRVTSLDLSSSGLTGEISKEVASLKMLETLDLSNNSLNGAVPDFLTQLPLLRVLNLERNNLSGLIPSQLIEKSKDGSLSLRYGGNPNLFNGTSPSEKKEKRNIGPVVGSVVGGFVILLFITSGVIVLIKTKHRKQGVVLGETKQWGSNKRSYSYGDILRITNNLERLLGEGGFGKVYYGQIGDIEVAVKMLSPQSVQGYDQFEAEVDLLLRVHHRNLTGLVGYCDESTNKGLIYEYMSRGNLGSFISSGKLKVLDWKDRLRIAVDSAQENGASHVTATNVVGTFGYIDPEYYTTSQLNEKSDVFGFGVIIFEIITGKPALIRGEDNNNVTHIYNWVSTLISQGDIGSIIDPQMVKDFDVNSVWKALDVAMTCVSSKSKDRPNMSQVLVELKECMTMESNHDKDHHQPEMSVAQSRTLDVPSAR >KGN55880 pep chromosome:ASM407v2:3:2216805:2217953:-1 gene:Csa_3G026160 transcript:KGN55880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSQLIEALQVNKGISHLYGFKLNMASPKTTTSIALFFCLNLLFFSLVTACGSCSHPVTRPKPSPSFGGHPGHGGSPGSGSSPGSGGSPGSGGSPGAGGSPGSGGSPGAGGGSGGSPGSGGSPGSGGSPGAGGSPGSGGSPGAGGSPGSGGSPGAGGSPGSGGSPGAGGSPGSGGSPGAGGSPGSGGSPGAGGSPGSGGSPGAGGSPGSGGSPGAGGSPGSGGSPGAGGSPGSGGSPGAGGSPGSGGSPGAGGSPGSGGSPGSGGTPSPGSGGNNPGTGGGTCPRDALKIGICARVLSLVNATIGSPPVTPCCTLIQGLADLEAAICLCTAIRASILGLNINLPINLSLLLNVCSRNSPREFECP >KGN59583 pep chromosome:ASM407v2:3:32925760:32928924:1 gene:Csa_3G827260 transcript:KGN59583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSQLFRTDHLFTLFFCLYSLLIVTNVEGNWLNHGGDLKNRRYANRETKISPSTVSHLRLKWEFYAGGDISVTPAIYDGVIYFPSWNGFLYAVRASNGALLWKKNLQKLTGFNNTGFILNVNSTVSRSTPTVAGELVVVGIYGPAVVIGVKRSTGKLVWCTRLDYKNRSFITMSGTYYKGSFFIGTSSLEEGLPVDQCCTFRGSFSKLDAKTGAILWQTFMLPDNHGDTEQYSGAAIWGSSPSIDPLRNLVYIATGNLYSAPLRIRECQERQNNQTEPTLPDKCIEPENHSNSILALDLDSGDIKWYKQLGGYDVWFGACNWHLDPRCPPGPSSDSDFGESPMMLSVFVRNMKRDIVVAVQKSGFAWALDRDNGNLVWSKESFIPLEAGPGGLGGGGMWGAATDEKRVYTNIANSQHKNFTLKPTNKTTIAGGWVAMEAKTGDIIWSTANLKEATAPGPVTIANGVVFAGSTYRQGPIYAMDAKTGKIVWSYETGGSVYGGISVSKGCIYVGNGYKEHIGFVNKNYTAGTSLYAFCV >KGN58281 pep chromosome:ASM407v2:3:23509382:23514112:-1 gene:Csa_3G607130 transcript:KGN58281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERRSPESFHLQHSESKLSSIVETRSRNSVKALRSISTSFTASFDRLTSFGNIRFDREVRSKGLGYLKSLVDKNPVFLHLWNEILVMLCVIATSLDPLFCYTLLVDEGKGCVRFDDKMRKVVVILRSIIDFLYIILIVCHFHFGYSTFYNANPDEADDGVWTRAWRFFFSYFTVDVLSVLPLPQVVVLILIPSLRGNGFIYAVRSLKYILIVQYLPRVFRIYSFLKKVRWTSSILPESAGAKAVFNLFLYMLASHVIGAFWYLFTIERKTTCWEENYTNWSLNCNYVGNLSVDTICSPKAENDSNSFNFGIFKDALPIVGSREIVKKFSLCFWWGLQKLSSLGQDLKTSNHLWEIYFAVTITISGLVLFALLVGNLQTYLQSTIARLEEMRLKGQDIELWMSYHSLPPKLKKKIKKYERYKWRETKGVDVEQVLRNLPRDLRRDTKRHLCLTPLLSVSKLQNVDDKLLDAICDYLKPMLYIERSVIVQEGEPLDEMVFIIQGKVMIYSKRDSEAVDNSSESRWLTKGDFYGEDLLDWALRNPASTTVPISTKTIRAHTKVEAFVLMANDLKTVVSKFWWLFSRNSPSLKAIWAPWAALALQLAWRRYLKSKNEKDECKSQLAIERRNVVPHSSTRLLNSNLARVLRVLKLKAKKAQAAESSRV >KGN59914 pep chromosome:ASM407v2:3:35512673:35514542:1 gene:Csa_3G852630 transcript:KGN59914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVIRNMELLHFSSPDVVARIFALIIFLYALFKIYRGRARAHHRKRLPPEVGGALPLIGHLHLLDKNEPAHITFAKMADAYGPIFTLRLGLYTNLIVSNWEIARECFTTNDKIFASRPKLVASKLLGYDYAMLGLSPYGPHWRHVRKLTMLELLTSHRLQKLQHIRVSEVQTSIKNLYELCLKNKKNNEKNALVEMKTWFGDITLNTISRIVVGKQFSTAVDVSNTNENEEYRKALRDFFEWFGVFVPSDSFPFLKWLDLGGHEKAMKKTAQVLDEVFDKWIQEHQQKKNNLGAVKMEEHDFMDVMLSNVRDDGQLSKYDAHIVTKATCLALILAGSDTTTVTMIWALSLLLNNQEVLKRAQLELDEHVGRQRQVKESDVKNLLYLQAVVKETLRLYPAAPILIPHESIEDCVVAGYHIPLGTRLIVNVQKLQRDPQIWEDPCEFHPERFLTSEKDFDVRGQSPQLIPFGSGRRMCPGISFALQVMHLALANLLHGFEISRPTKELLDMEESAGMTSIRKNPLEVVLTPRLPPQVYEL >KGN56446 pep chromosome:ASM407v2:3:6877430:6881133:-1 gene:Csa_3G119760 transcript:KGN56446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEKMEAFDGEKVIEQFEEMTRDAERVQRETLKKILEENGSAEYLQNLGLNGRTDPQSFKDCVPLVNHDDLESYIQRIADGDSSPILTGKPIKTISLSSGTTKGRPKLIPFNDELLETTMQIYRTSFAFRNKEVPLGNGKALQFIYSSKQIKTNGGLAAGTATTNVYRSAQFKSTMQAIQSQCCSPDEVIFGPDFHQSLYCHLLCGLIFRDEVESVFSTFAHSLVHSFRTFEEVWEELCSNIRDGVLSSWVTVPSIRAAMSKLLKPNPELADLIYKKCEGLSNWYGVIPELFPNAKYIYGIMTGSMEPYLKKLRHYAGHLPLMSADYGSSEGWVGANVKPMLPPEMVTFAVLPNVGYFEFIPLKESAQGLNKPIGLTEVKIGEVYEIIVTNVAGLYRYRLGDAVKVMGFHNSTPELKFICRRNLLLSINIDKNTEKDLQLAVEAAGNVLAAEKLEVVDFTSYVDVSREPGHYVIFWEISGEAKGEVLRECSNCLDRAFLDAGYVSSRKVNTIGALELRVVRKGTFHKIMDHHLSLGAAVSQYKTPRYVIPTNTAVLQILCSNVVNSYFSTAY >KGN59936 pep chromosome:ASM407v2:3:35660412:35663936:-1 gene:Csa_3G855300 transcript:KGN59936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNLSQRDSILLGYSLQRSSANSSSPRASNRNSDDVDFHDVFGGPPRRRSSVHETRYSFSETGDSFALKGGEDEALPGRSGPWSGLNEKPVFGEEGVHGRRFPSDDFYDDIFKGDESVNSSPRRGDIFSPNPGSRVLSPARPLPPPAEPFGSSSLPAQLSLPSRLAKGTDLPAFGSSSLRNKDSVSNGSHTNSPRFTLSRFSFSTSSHRFEDPKTDYDLSDRTGVLPSEFQENDGDEALSFINSGNGLSGNSLTKGEEDSLEESNGGGQFQFHFSIYKWASKGVPLMMPSRGNGPRLREKTLLRKSSSSTDRLVKAKNEMHSPTSTIQNIDISPVFHETTKVDDEKGIDILPDTGNLDQRQSSFTPSKNLSRQSSRTAVGSDNISRPTEKEKPHSLPKKVSSEKPAKKMTSRTIEDQKHEAKSLSSFLLYSDSEQSEERITKEYRKGEIMAKGDMKSSNLSDLSSPKKLEKQTSLRNSKVKKPTVPSSDVESGHNIGRKKVGGKISEFVKLFNQEPTSKPQDVVDLENDSSTMKQESEPKGPTVNKIRKDEKPKLNKNTDASIKGDNISEKSVDDNSTKKAASFKNNFASSKESSPAPNTVHVPNVTKSTVSEVEEPFQDNFSVQELPQDYEDSTETNNGREEVQALDTKIRQWSSGKEGNIRSLLSTLQYVLWPKSGWKAVPLVDIIEGNAVKRSYQKALLYLHPDKLQQKGASSDQKYIAAKVFEILQEAWIHFNTLGGL >KGN56718 pep chromosome:ASM407v2:3:8391425:8396221:1 gene:Csa_3G129750 transcript:KGN56718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSSDNIHGLILAVSSSIFIGSSFIIKKKGLMKAGASGTRAGSGGYSYLYEPMWWAGMISMIVGEVANFAAYAYAPAILVTPLGALSIIFSAVLAHFILEERLHIFGMLGCVLCVVGSTTIVLHAPQERNIESVKEVWVLATEPGFIVYLVIVLVLVVVLIVRYVPRYGQTHMVVYVGICSLMGSLTVMSVKAVGIALKLTFSGMNQFKYFETWVFTVIVTGGSILQVNYLNKALDTFNTAVVSPVYYVMFTSLTILASMIMFKDWDSQNASQIATELCGFVTILSGTFLLHKTRDMGSSPSSDVPIVVRSPKRPNSNTNSD >KGN58968 pep chromosome:ASM407v2:3:28616830:28620758:1 gene:Csa_3G740120 transcript:KGN58968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPSGYESDVIQWGLRLFDGDSVFNSGYYGEMTAVDDHYPGNYYRDHYNLEHTCVENDEIIARTLQENLSHLSITDSSRCPFEREDQLRGPIYTTAWNNPFPRSNNSSESISVEEDIETMDPSSSCSSPGDEDFSYLYALDGEELWRFNQVIPVPHVPRINGEIPSVDEAASDHERLLDRLQVYDFVERKVQGDGNCQFRALSDQLYGTPDNHELVRQKVVNQLMSHPEIYEGYVPMAYDEYLEKMSRNGEWGDHVTLQAAVDSYDVQIFVLTSFKDNCCIEILPNSQKTKGVIFLSFWAEVHYNSIHPQGGMPSTGDSPPSELRKKKRWWKFGNKH >KGN58714 pep chromosome:ASM407v2:3:27348634:27349065:-1 gene:Csa_3G730780 transcript:KGN58714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGAVWSFTNGYHSAVALLWFSRLLLTPRCLWSLQSILCLLLTLCYIRSSPVMLIHPILVNLEANPKATYLLN >KGN59441 pep chromosome:ASM407v2:3:31909740:31917592:1 gene:Csa_3G819980 transcript:KGN59441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRSKAASKKQKKSGIDFKKIKRKIGRKLPPPKNATNTEIKSKAIILPEQSVASEKAGLAVNKKGLTLKELLQQTSHYNAKIRKGALVGIRDLFMKYPAELRLHRYTVIEKLRERIDDGDKVVRETLYQLLKSVIFPGCKEENQGLFISLLMGYIFNAMIHLSIDVRMMAFKFFELLVEYYPSSFFLHADKILQNYAEILQKNQFYLQDKGKLKNALTGLVQCLSLLPCNKRGIGSSDNNVVDDGMLHAFEPHVPTESAGACVIIKNLEDLVLVLLNCFQEFMPAVHDVNLLNAQIYDCILYVVRSVHLAVQYFFYGSENGKVESHSPCKGSDARLEGTISSALLKKLLSVFPLNPLHHTSEKDNDRLLTLNVIITEIFLHSIKCINPPLSILETFLEFIESVMLGKIVSGTQSRKVVREKHVLPLLPFIPELIAQVENTWKFRLLEAFTHAFKDCHPESSLKLACLHVVEELLIPTGELSCIDASFPEIVEHRVAWIRELPLLLILLGDSYPSCSEVVLRLLLHVGQASFLNSALKWEYDNTQHHLQEFYHTSTAEGNKCYGPFTKLPKECQELSICCLYYFSYLDPLLLKSLASCCLCPELQPETVFRIIEVLHSAYKVGHIQIADYISFCATLLSCFKVFAGNGSVDAESNKLPNYETLKSINKVIYSCLSQIGDSSLIKQTLEKVMVN >KGN60377 pep chromosome:ASM407v2:3:38948034:38948276:-1 gene:Csa_3G902200 transcript:KGN60377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLFLSDNKPLGRDFLGFAYISAQRPSLSLILRFWTSYGSNKRSSDQNNLKIPN >KGN59735 pep chromosome:ASM407v2:3:33911239:33911909:1 gene:Csa_3G842080 transcript:KGN59735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLNSITEFLTTLNETSRLQPIKCQPPPIFISGHLPITVPVRLTAALLLLPNSPAKQHRPISESLLSSPLLYECHCKLKQILQISCVSNRNRKFEKNKISENLNLQWEEIRDEAHKQQQLASLE >KGN58492 pep chromosome:ASM407v2:3:25666295:25672879:-1 gene:Csa_3G651770 transcript:KGN58492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSCTLLVHFDKGTPAMANEIKEALEGNDIDSKIEALKKAIMLLLNGETIPQLFITIIRYVLPSDDHTIQKLLLLYLEIIDKTDSRGKVLPEMILICQNLRNNLQHPNEYIRGVTLRFLCRLNETEIIEPLIPSILTNLEHRHPFVRRNAVLAVMSVYKLPQGEQLLDSAPEIIEKFLTSEQDNSSKRNAFLMLFNCAQERAINYLFTNIDRMTDWGEQLQMVVLELIRKVCRANKAEKGKYIKIIISLLNAPSTAVIYECAGTLVSLSSAPTAIRAAANTYCQLLLSQSDNNVKLIVLDRLNELKTSHREIMVELVMDVLRALSSPNLDIRRKTIDIALELITPRNIDEVVMSLKKEVVKTQSGEHEKNGEYRQMLVQAIHTCAIKFPEVASTVVHLLMDFLSDTNVASAMDVVVFVREIIETNPKLRVSIITRLLDTFYQIRAARVCSCALWIIGEYCLSLSEVESGISTIKTCLGDLPFYTASEEGEAQESSKTSQQVSSTTVSSRRPAILADGTYATQSAALETAMSPPTLVQGSLSSIGNLRSLILSGDFFLGAVVACTLTKLVLRLEEVQPSKVEVNRTFTQALLIMVSMLQLGESSFLPHPIDSDSRDRIVLCIRLLSNTGDEVRKIWLQSCRQSFVKMLAEKQRHETEEIKARAQISHAQPDDLIDFYHLKSRKGMSQLELEDEVQDDLKRATGEFTKEGDDANKLNRILQLTGFSDPVYAEAYVTVHHYDIVLDVTVINRTKETLQNLCLELATMGDLKLVERPQNYTLAPESSKQIKANIKVSSTETGVIFGNIVYETSSNVLERTVIVLNDIHIDIMDYISPASCTDVAFRAMWAEFEWENKVAVNTIIQDEKEFLNHIMKSTNMKCLTPISALEGECGFLAANLYAKSVFGEDALVNVSIEKQVDSKLSGYIRIRSKTQGIALSLGDKITLKQKGGS >KGN58123 pep chromosome:ASM407v2:3:21621495:21624554:-1 gene:Csa_3G524590 transcript:KGN58123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSIARAYLVAPHRAKSIRPICSSSLNPQIPLFLRPPNYSVTLKDFHKWHNWAKILNCSVGSSFVDTDNGPDSTLLHRELKWLVQDAVEDKSLSSELENEIEQNPELGLRNVRLKVGIEELYRLWKQRIHERRPFQYIVGCEHWRDLILSVEEGVLIPRPETEVLVDLVEKVVSDNEALREGLWVDLGTGSGAIAIGICRILEGRGRVIATDLSSIALAVAGYNVQRYGLQDLIELRQGSWYEPLQDVQGKLSGIISNPPYIPSDNIFGLQAEVGKHEPRVALDGGTNGMDELIHLCDEATVMLKLGGFLAFETNGEDQCKHLVNYMENNHKGKFCNLKIVSDFASIPRFITGFLNGAI >KGN59801 pep chromosome:ASM407v2:3:34512231:34517941:1 gene:Csa_3G847620 transcript:KGN59801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPRVGNKFKLGRKIGSGSFGEIYLGTNIQTNEEVAIKLENVKTKHPQLLYESKLYKILQGGTGIPNVRWFGVEGDYNVLVIDLLGPSLEDLFNFCSRKLSLKTVLMLADQMINRVEFVHSKSFLHRDIKPDNFLMGLGRRANQVYAIDFGLAKKYRDTSTHQHIPYRENKNLTGTARYASMNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYEKISEKKVSTSIEALCRGYPTEFASYFHYCRSLRFDDKPDYAYLKRLFRDLFIREGFQFDYVFDWTILKYQQSQITAPPTRAIGGAGPSSGVPMAIANADRHTGGEEGRPPPSGWVAPDPLRRRNSGPVTGTAKQKPPATNDHAIAKERILPNSNIIQSSGSSRRAAIASTQDIALLGCESDPTRLGIPDPSFGAVQKISSAQRHSPADQNRSTSARNISSGIRNFESTLRGIESLHFNQDEKVQY >KGN56016 pep chromosome:ASM407v2:3:3309729:3310495:-1 gene:Csa_3G047770 transcript:KGN56016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIPSKVMKFRFHILVTLILSLTLFSLFLLAPSFLSLLAYFWPLFLSTALFLLAVLLFANTSLPSPDKAAEGLLSYVAGHHDLDSSSLKSD >KGN56113 pep chromosome:ASM407v2:3:3993941:3997445:-1 gene:Csa_3G076000 transcript:KGN56113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKMGLSSIILCSLLIFSLIIKTSFAARNLNENVLEWVKKDHRRFLRAVIHVSDLNNSIKTYTQGFGMKLLKRRKFTDRGYEDAIVGFGPQNTHFLLEMRQRDESNNVFIGTEFGYFGISTQDVYKSMEQARRNGAVVIQEPEKVDQTISGMVKDENGYQFKFIQCISAPIDPLSQIMLRVQDLNISTNFYSKALGMKLFKTQNNSQGQLTWGIMGYGRNESETTLLKLETRNNISRNDGRDGYSMLYISTEDVKKSNEVAKLVTKELGGNIIMEPVLVPTINVKMTGFSDPDAWRMIMVDNKDYQRGTL >KGN59387 pep chromosome:ASM407v2:3:31530750:31534656:-1 gene:Csa_3G816000 transcript:KGN59387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCSNPWMVVAMVAIAFSIVLGLRSSLVMANQLADHDRITSLPNQPMLTTHFEQFGGYVTVNEKEGRALFYYFVEAESKPSSKPLVLWFNGDTLAGCSSLGGGAFLEHGPFKINGEVLIQNHYSWNTEANMLYVESPAGVGFSYSKNKSFYSNITDAISVEDNLIFLQRWLEKFPQYKKRDLYIAGEAYAGGHFVPLLAQLIVHSNLKLKLKGIAIGNPLLDIQVDGNALSQYWWSHALISDAAFNLLTSVCNASRLVTEGITNSLSRDCISVATNVSKELSPAIDYFDVAAGDACPSANASLFGDLNRTDPVRFTLLQTFIYGQSEQKDRDPCAGDTVAKYLNRHDVQKALHAKLIGFSTWRICRFRKEWKYNLRNRLVPTIGVVGALVKSKIRVLVYSGDQDSALPFSGTRTLVNSLANSMNLCPTVRYRPWFSDKKVGGWTEEYGKFLTYAIVRGASQKTAQIQPKRSLQLFKSFLAGKPLPEA >KGN60214 pep chromosome:ASM407v2:3:37714827:37719519:-1 gene:Csa_3G889710 transcript:KGN60214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKERELLVYSAKLAEQAERYEEMVEEMKKIAKLNVELTVEERNLLSVGYKNVIGARRASWRILSSIEQKETTKHNEKNVERIIGYRHGVEDELSKICSKILSIIDQHLLPCSSAGESTVFYHKMKGDYCRYLAEFKSGDEHKQVADQSLKSYEAASAIANSDLSPTHPIRLGLALNFSVFYYEILNSPERACHLAKQAFDEAIAELDSLNEESYKDITLIMQLLRDNLTLWTSDLADEGGSYSLFLYIQHNCAKVYLYPVSLTIYRRVVVYLLLELSLYEQHSLPNARNSRACRLGKNKPSILERDTTLLACDPFLIGIPYHLLPKLSMLAASNNRFQNLYLDEGGDAWLHIESDHENSKIAFRKYSEVFGTLKRANFTNSINYLESWGTKILAASSSGPSLPIYTPATYHHSVSRYTKPL >KGN58322 pep chromosome:ASM407v2:3:23920558:23922100:1 gene:Csa_3G619930 transcript:KGN58322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATTNHEAFLLSQRCVWVNGPVIVGAGPAGLATAACLREQGVPFIVIERADCIASLWQKRTYDRLKLHLPKQFCQLPRLPFPQEFPEYPSKKQFIQYLQSYTQKFDINPQFNETVHSARFDHTSALWRLKTESSVSGQVVEYVCQWLVVATGENAECVMPEIDGLNEFAGEVLHVSDYKSGERFKGKNVLVVGCGNSGMEVSLDLCNHQASPSMVVRSSVHVLPREIMGKSTFELAVLLMKWLPIWIVDKLMLILSWLVLGSIEKYGLKRPLMGPLELKNTTGKTPVLDIGALSKIKSGEIKVVPGIKKLNKHEVEFINGEKMGIDSVLLATGYRSNVPFWLEEKEFFGKNGFPKTPFPNGWKGKSGLYAVGFTRRGLSGVTSDAIKIAQDIGNVWRQETKQKKKPIACLRRCISHF >KGN59840 pep chromosome:ASM407v2:3:34889722:34890372:1 gene:Csa_3G849960 transcript:KGN59840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRKNHPQSPSPPVPQSPSPPVPQSPSPPVPQSPSPPVPQSPSPPVPQSPSPPVPQSPSPPVPQSPSPPVPQSPSPPVPQSPSPPVPQSPSPPVPQSPSPPVPQSPSPPVPQSPSPPVPQSPSPPVPQSPSPPVPQSPSPPVPQSPSPPVPQSPSPPVPQSPSPPVPQSPSPPVPQSVNCILNSLKRTKLIAINKDLN >KGN56295 pep chromosome:ASM407v2:3:5831352:5833505:1 gene:Csa_3G113370 transcript:KGN56295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAAAARSSSARMRQEEISSNKTNNNNNNCEDHDDIDHDQHEHDVVMPGFRFHPTEEELVEFYLRRKVEGKRFNVELITFLDLYRYDPWELPALAAIGEKEWFFYVPRDRKYRNGDRPNRVTTSGYWKATGADRMIRTEDFRSIGLKKTLVFYSGKAPKGIRTSWIMNEYRLPHHETERYQKAEISLCRVYKRAGVEDHPSLPRSLPSRASSSRMTSSKNNLLPGGGSVNVVQTSSSSTDKFPTSFESQFHPHQLQIGSGVEATAADASATSSCEEVTTVLGLSKQNPFPTSPLINMAATSSLQIPASASTTPNCMEEDDHQSIILHKQQQQQQQQLLPSSSSLILPTYTSFFSPSSNNSLDDLQKLIHYQQQQPPLSASPTTIINSLPSQYYQPTPPPPPQQLALNTLPVVFSDRLWDWNSIQDAANPFK >KGN58278 pep chromosome:ASM407v2:3:23485273:23488753:-1 gene:Csa_3G606620 transcript:KGN58278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANANNITSRTSSEDQEHTKFVLRFGFLISSIIAVCLDVLFFYIYYIDDQRKCFAVDKKIKNAAIGARTVTDFILLLEVAYKVYSSSILELCPNSKTNVAPLTFFGRLADVSKRVPWMSVIVDFLALLPLPQIILVVVFTSRKSIKYLKNSWRLIFLPLFQFVPRIIRIYVLCKKLSRSAAPNDITGTGQFRGTFNFILFIFASHVLGAFWYCFAVLRELYCWQNACKFDSGCRVNSFFCEDITGNDWFVDKFCPINPPNPAIFDFGLFLSAHQSGISRINGFNKKLLYCFSWGLRTLRLQLNLDQMLIWVKPDYK >KGN59264 pep chromosome:ASM407v2:3:30649420:30665371:1 gene:Csa_3G792040 transcript:KGN59264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSEEECSSAKSRSSSSTSSSTYYLAKCVLRGSVVLQVLYGHIRSPSSLDVVFGKETSIELVVIGEDGVVQSVCEQAVFGTIKDMAILPWNERFRPSYTQMLGKDLLIVISDSGKLSFLTFCNKMHRFLPMTHIQLSNPGNSRNQIGRMLASDSSGCFIAASAYENRLALFSTSISAGSDIVDKRITYPPDSEGDSVAPRSMQKASICGTIWSMCFISKDRGHLTQDNNPILAVLLNRRGAILNELLLLGWNIREQTIHVICQFLEDGPLAYEVVEVPQSYGFALLFRVGDALLMDLRDVHSPCCVYRIGLHFPPNVEQNFIEESYRVQDADDEGLFNVAACALLELRDYDPMCIDSDDGSLNTNQNHVCSWSWEPGNNRNRRMIFCMDTGDLFMIEMNFDSDGLKVNQSACLYKGQPYKALLWVEGGYLAALVEMGDGMVLKLENGRLIYANPIQNIAPILDMSVVDKHDEKQDQMFACCGMAPEGSLRIIRNGISVENLLRTSPIYQGITSIWTIKMKRSDTYHSYLVLSFVEETRVLSVGLSFIDVTDSVGFQSDTCTLACGLLDDGLVIQIHQNAVRLCLPTKIAHSEGIELSSPACTSWFPDNIGISLGAVGHNVIVVSTSNPCFLFILGVRKVSGYDYEIYEKQYLRLQYELSCISIPEKHFAKKESNFPMNSVENSIMSTLLNEVSCDTIIVIGTHRPSVEILSFVPSIGLTVLASGTISLMNILGNAVSGCIPQDVRLVLVDRFYVLTGLRNGMLLRFEWPHTATMNSSDMPHTVVPFLLSCSDSFSKEFHNADILEKHEDEIPSCLQLIAIRRIGITPVFLVPLTDRLDSDIIALSDRPWLLHSARHSLSYTSISFQPSTHVTPVCSADCPSGLLFVAESSLHLVEMVHTKRLNVQKFHLGGTPRKVLYHSESKLLLVMRTQLINDTSSSDICCVDPLSGSILSSHKLEIGETGKSMELVRNGNEQVLVVGTSLSSGPAIMASGEAESTKGRLIVLCLEHVQNSDTGSMTFCSKAGLSSLQASPFREIVGYATEQLSSSSLCSSPDDASSDGIKLEETEAWQLRVVYSTSLPGMVLAICPYLDRYFLASAGNAFYVCGFPNDSFQRVKRFAVGRTRFMITSLTAHVNRIAVGDCRDGILFFSYQEDAKKLEQIYSDPSQRLVADCTLLDVDTAVVSDRKGSIAILSCSDRLEDNASPECNLTLNCAYYMGEIAMTLRKGSFSYKLPADDLLRGCAVPGSDFDSSHNTIIASTLLGSIVIFTPLSRDEYELLEAVQAKLAVHPLTSPILGNDHYEYRSRENPIGVPKILDGDILTQFLELTSMQQELVLSSSVGSLSAVKPSSKSMPASIPINQVVQLLERIHYALN >KGN59721 pep chromosome:ASM407v2:3:33801720:33808452:1 gene:Csa_3G840960 transcript:KGN59721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVDVDVSIEIISVIVVVVATALPDAIVACRHCCASSSCGFPTIFSDGMADPASYGNHERDFEQALIALKKGTQLIKYSRKGKPKLCPFRISTDETTLIWYSHGEERTLKLSSISRIIPGQRTAVFRRYLRPEKDYLSFSLLYRNGERSLDLICKDKGEAEVWFLGLKNLISPRQHHGRSRSDFSDVQDANEFFQSSRPFGATLEFSNSLARGRDSIDLNSRESHLHLVSSDVGSERANMQLRTSGGDGFRSSVSSTPSCSSGGSGPDDIESLGDVYVWGEIWTDLVLPDGTSSQIPVKNDVLTPKPLETNVVLDVQQIACGVRHIALVTRQGEVFTWGEECGGRLGHGIDRDFSRPHLVEFLAVSHVDFVACGEYHTCAITSSNDLYTWGDGIFNSGILGHGTDISHWIPKRVVGSLEGLQVLSVACGTWHSALATSNGKLYTFGDGTYGVLGHGDRESVVYPREVQLLSGLRTIKVACGVWHTAAIVEVMSQTGSNMSSRKLFTWGDGDKYRLGHGNKETYLLPTCVSSLIDYNFHQLACGHNMTVALTTSGHVFTMGGTAYGQLGNPSSDGVIPCLVQDRLVGEFVEEISCGAYHTVVLTSRNEVFSWGRGSNGRLGHGDVEDRKAPTLIEALKDRHVKSISCGSNFTASICIHKWVSGADQSVCTGCRQAFGFTRKRHNCYNCGLVHCHSCSSKKALKAALAPTPGKPHRVCDSCYAKLKSAEAGNNFCVNRKLTANRRSTDYKERFDRGDVRPSRILLSPTTEPVKYHEIKSVRPGSRPTSPSMVRASQVPSLQQLKDIAFPSSLSAIQNALRPALVAPPSPPPMGNSRPASPYARRPSPPRSTTPVFSKSVIDSLKKSNETLVQDKSKLQREVKNLKQKCDIQGAEIQNLQKNATEAASLVEEESSKCKIAKKLVTTITEQLKEMKDKLPPEIWDGENFKSMYAQAEAFLNMVETSKTSSLPTSHEKTNNLTALNNGSTPSLDDSSKRIEDDGSGRKDLTQENVNSLLESKKTSENGSRSPLSSTEGEKQVIEQFEPGVYATLVVLSNGTKIFKRVRFSKRRFDEQQAEDWWSKNKDRLLKRYNPSTSTSSSTPTGSPKTQPVSSTEESNEIPSAPP >KGN60423 pep chromosome:ASM407v2:3:39241290:39243139:-1 gene:Csa_3G904120 transcript:KGN60423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILKILVVVIFGVVLHGIGSSGEGLLVFDYYKETCPFVEDIVRRQVEIVVLRDPRMAASLLRLHFHDCFVLGCDASVLLDNTAEMVSEKQATPNLNSLRGFSVIDKIKYILEEACPYTVSCSDILTIAARDAVVLRGGPEWAVSLGRKDSLKASFDGANKYIPSPNSSLETLIANFQQQGLNIQDLVALSGSHTIGKARCLSFRQRVYEMNGGEEEEDRYKRYNTYKRTLRSICPITGQDQRVAPLDFRTPARFDNHYFLNILEGKGLLGSDDVLITQDYEGEIRTQVRSYASDQTLFFDSFVKSIVKMGNINVLTSHEGEVRRNCRFINH >KGN56476 pep chromosome:ASM407v2:3:7028834:7030079:1 gene:Csa_3G121040 transcript:KGN56476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNESSPSPIRHVLREWNNLLKRSRKRSWEWRIPTNRTRTIKLQPSIDTTEMEVVSTLRHHPQHLRILILTETDRADTISSSHGFISGEREFRVRVNNGLVKTHNPVVIVAIWSGVVLSNENDLGENDAGVGAGRRRGRAAEVAGTGCATADVESEEKGGEEDEEGECYGNGVAEADPGEGISGRRGGHGE >KGN58212 pep chromosome:ASM407v2:3:22722268:22726304:1 gene:Csa_3G592170 transcript:KGN58212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVTSSMAAKFAFFPPNPPSYKLITDDLTGLLLLSPFPHRENVEVLKLPTRRSTDIVAIYVRHPMATSTLLYSHGNAADLGQMYELFIELSIHLRVNLMGYDYSGYGQSSGKPSEQNTYADIEAAYKCLEESYGTKQEDIILYGQSVGSGPTLDLAARLPRLRAVVLHSPILSGLRVMYPVKRSYWFDIYKNIDKISQVNCPILIIHGTSDDVVDCSHGKQLWELCKEKYEPLWLKGGNHCNLELYPEYIRHLKKFVATVEKPPSQRYSARKSTDQYEQPRKSTDFFDIPRMSTDWREKPRRSTDQSEKLKNHSSATDKLEKLRLSFNHGERSRRSVDCHEKSRKIVDHQLERARKSVDRLDRIRTG >KGN59920 pep chromosome:ASM407v2:3:35549669:35550073:-1 gene:Csa_3G853180 transcript:KGN59920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLARTENGILIPRHQVVANHNRGLISSGSLDEGNTVYLSLSRRQQWVIVGEKTSRSERSKHGLLKR >KGN55599 pep chromosome:ASM407v2:3:170697:172911:-1 gene:Csa_3G000720 transcript:KGN55599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKFSISDRRYGYFSDCKWPSEAVHVHHVVVLRNTTAEKGFLFCVFAVLALCFFLLKGESIFVALWCLVLNVFFFKKLFKGRVEKESVIVMPNFGVQLETHFRSGKVIRRFVPVGKILKPVLLECLTPVTCYWSLSLIVKGEDELLLVFKELRPPVKMLVPVWKALCTATGDDKNGDDCS >KGN55718 pep chromosome:ASM407v2:3:881034:885501:-1 gene:Csa_3G006780 transcript:KGN55718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCMFSQLASKFAFFPPSPATYQIRKGEGEGERRLTVVSAAAGTAVEDSLLDVLMIDTKRGNKIVGFYLKNPCARLTLLYSHGNAADLGQLYDLFLQLKVNLRVNLMGYDYSGYGASTGKPSESNTYADIEAVYECLETEYGVSQEDLILYGQSVGSGPTLHLASKLPRLRGVVLHSAILSGLRVLCHVKFTFCFDIYKNINKIKKVKCPVLVIHGTEDDVVNWLHGNGLWKMSREPYDPLWIKGGGHCNLELYPDYIRHLCKFIHEMENITTKIRLKRIRQTLDLQKRSCCCSVSCHGWCCKVKCWQPKCSRPSCASCCSVRLKCPASCKPRCPKCPTLRCCFSCPSTNCFSWKCCCCTSCLQWRCSSDCCCSSCFQWSCCCERRSSNE >KGN56408 pep chromosome:ASM407v2:3:6676479:6681943:1 gene:Csa_3G119410 transcript:KGN56408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLYVKAVPPTDLNRNTEWFMYPGVWTTYILILFFAWLLVLSVFGCSPGMAWTVVNLSHFAVTYHFFHWKKGTPFAEDQGIYNRLTWWEQIDNGKQLTRNRKFLTVVPVVLYLIASHTTDYQHPMLFLNTLAVIVLVIAKFPHMHKVRIFGINADK >KGN57024 pep chromosome:ASM407v2:3:10118692:10119559:-1 gene:Csa_3G150030 transcript:KGN57024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNAQIPKMVMNGNHPAVINNPGAGGNGGGNGKKGGGAVPVQVNSNAKNGNNGGGKGNGGGGGGGGNQKQGSGGVGGGGGGKSNGGGGGGVGGMGNNKTVHGGGNGSNNGMNGGQKAAGGANGMGQDLQSLVGAHGLGMSNLSQMGQLGQMGDRTMGQMGNLSAVQGLPAAPPAPTMNGNGNGGGAGRFLAEGMTGNPYQQHPQQYMAQMMNQQQHHALGHQPMMYARPPPAVNYVPPPYPYPYPPPYHNPYPPTQPEPYTYFSDENPSSCNIM >KGN58405 pep chromosome:ASM407v2:3:24936766:24941002:1 gene:Csa_3G638510 transcript:KGN58405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLINNQFSFETPENEAVITKKIPRSERTNKYPNWYSPDTGICRSVHGYRKLPSDPFLDVVSFIFSFQFQHNGHSALIDSSTGNSISYKELFPMVKSMASGLHNLGISQGDVVLLMLPNSIFFPIIILGALYLGAVVTTMFPQSSSSEIKKRITDCNVRLAFAITQKIKNFEALGIKTIGVPENTNFNLMRSMGFSSFYELISGGSDLIKKPVIRQEDTAAILFSSGTTGVSKGVMLSHRNFISTIELFVRFEASQYEYLPTKNVYLAAVPMFHIYGLSIFVMGLMSLGSSVVVMSKFDVKDVVKAIDRFKVTHFPVVPPILTALARTAEKIGVHRFRSLKQVSCGAAASSKKTIDNFVHALPHVDFIQGYGMTESTAVGTRGFNTKNARNYLSVGLLAPNTEAKVVDWVTGSSMPPGKTGELLLRGPGSMKGYLNNPEATTFTMDQENWLHTGDIVYFDRDGYLYVVDRLKEVIKYKGFQIAPTDLEAVVITHPEVLDTAVAAAKDEECGEIPVAFVVKKPGSALTQKDVVDYVAQQVAPYKKVRKVIFTESIPKSAAGKVLRRELQKHFPASKL >KGN59549 pep chromosome:ASM407v2:3:32614059:32617521:-1 gene:Csa_3G824940 transcript:KGN59549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVHTKNNQIVACDLELCFHLNFKTIQSSLQLTLVASVIMANEFQAQHGDWTYDVFLSFRGEDTRKNFTDHLYYALKDAGINVFRDDPELQRGEDISSGLERAIEGSKVAVIVFSERYAESGWCLEELVKIMECRRTLRQMVLPVFYNVDPSCVRKQKGEFEEAFVKHEKGKDIDKVRRWRMALTEAANVAGLGLTQNANGYEAEFIRSIVKMISKEVKSNYLFIALYPVGIESRIKLVLPHLHIGSNDDVKFVGILGIGGLGKTTIAKALYNQLHHNFEAACFLANIKQTPNQPNGLVHLQKQLLSSITNSSNINFENMDRGIVVLQESLRRKKLLLILDDVDKISQLTALATRRECFGSGSRIVITTRHRRLLNQIEVDGICSIDVMDDAEALQLFSWHAFHNSYPSETFHQLSKRVVNYCGGLPLALQVLGCFLFGRSREEWQDTLKNLKKILDDQIQIKPKITFDTHNDHTCKDIYLVNQMLDGWGSFPRIGDINRLVTSDLLRDHTQLFLPKEVRLSVLGPKVSGDQRTMPQTEYLGISFPEDSHSQTQLLLGAPHDKLHGADDNNGRSRSEKVLTNVTREMNRNKWTISCIVTVLVIAIILILYFKPRWVLSWNSCYPCLLVGF >KGN57172 pep chromosome:ASM407v2:3:11104692:11106339:1 gene:Csa_3G167360 transcript:KGN57172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMVFVNKNTVLGILCFTLFTLFGTCFSRAFTANFVFGDSLVEVGNNNYIPSLSRANYVPNGIDFGRPTGQELGFKTFTPPYMAPSTTGRVILRGINYIARINMDAQIDNFANTRQDIITMIGLHSAIDLLRTSIFSITIGSNDFINNYFTPVLSDSGHRLIPPELFVGSMISRYRLQLTRLYNLGARRIVVVNVGPIGCIPYQRDSNPSLGNNCANSPNLMAQLFNSQLRGLLTELGSRFQDGNFLYADAFHIVQDIVQNHASYGFENADSACCHIAGRYGGLFPCGPPSSVCVDRSKYVFWDSFHPSEAANSIIAGRLLNGDAVDIWPINIRELERLN >KGN57617 pep chromosome:ASM407v2:3:15005498:15006607:-1 gene:Csa_3G229420 transcript:KGN57617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNREDRLALGSVRKKEDTRLATKRRSPTKDVAGLRGCHGSARVKNAARADVTARWRGFGWHAITTAELRLNTKRWTLARLVVSDDRMFTGDKLSGG >KGN59210 pep chromosome:ASM407v2:3:30215852:30216460:-1 gene:Csa_3G781600 transcript:KGN59210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDGNSGKTLSSELGKALKALAVAMKTMTDPSNSSQLYQNPAKSAINNLNRFEIIESTVKPLIEVEDEKEQKPHIIITDRATENEDHSIYERGYVN >KGN55806 pep chromosome:ASM407v2:3:1594765:1600084:1 gene:Csa_3G017000 transcript:KGN55806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLIKGLIDVAIGNDGDNNDQQSPSQSREDRSRSTWAQVVTGEEDGGDRRDGYHRNRRNNEEEGRSRREEWEVEDSRISGQRKQSEYGSEHGYNRNQWAKEESSEEKNDGWETVHKKPTRRQHKVQTDNWGGYKRPASEQNYSDEVEVGAELEPSEDELADLSYACNKLWKLDLNRLVPGKDYEIDCGEGKRVSGREDMAQGSLFSWVSEEVLRKPTFSRFCSLLDNYNPNQGSKEVVTPEERQEQAAFIEEISRTAPIKYLHKYLSSKGVASNEYQDFKRMLTSLWFDLYGRGGTCGSSSAFEHVFVGEIKQQGEQEVSGFHNWLQFYLEEAKGSVDYQGYIFPRRRGQCPDSETQLLTIQFEWNGVLKSVSSTLVGVSPEFEVALYTLCFFLGGEDNHVELGPYAVNIKCYRFGNKMGSVFPIAEC >KGN57752 pep chromosome:ASM407v2:3:16587544:16587725:-1 gene:Csa_3G279920 transcript:KGN57752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGDDKIDDGEDDRRGTLIVRKKMEVKVRPRRRIWTSIARTEVRETTKADD >KGN56880 pep chromosome:ASM407v2:3:9266539:9278755:1 gene:Csa_3G141760 transcript:KGN56880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYLKSVVPSQLIAERGSNLVVINPGSANIRIGLASQDAPFNVPHCIARYTNQVPKRNVQDQMLNSQVTTAQHMERERAYDVIASLLKIPFLDEEVANSSFPRKMGRVDGHGMHNGKKDTVFSWTDVHERDPTSPVAVESSSSKDVNHEPLDQRVDTDSKELTSSIIKYRQFICGEDALKISPSEPYCLHRPIRRGHLNISQHYPTQQVLEDLHAIWDWILIEKLHIPHSERNFYSAILVVPETFDNREIKEMLTIVLRDLRFGSAVVHQEGLAAVFGNGLSSACVVNVGSQVTTVICIEDGVALPATAKTLPFGGEDLSRCLLWTQRHHQTWPPICTDILSKPVDLLMLNRLKESYCEIREGEVDAVAVVHSYEEGTPPSSHKTRLTALNVPPMGLFFPMLLVPDVYPPPPRAWFHDYEDMLEETWQTEYSKRPDVPDNVYPGVNVNYPMWDSYPVYATKPKKEEKVGLAEAITSSILLTGRIDLQRKLFLSIQLVGGVALTRGLIPAMEERVLHAIPSNEAIDTVEVLQSRINPSFVSWKGGAIIGVLDFGRDAWIHREDWINSGIFTGSGRKYKDSYYLQAQAMCYMNS >KGN59930 pep chromosome:ASM407v2:3:35608399:35611466:-1 gene:Csa_3G854260 transcript:KGN59930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIHIKNPSLRPNRGYVALFGTGVELMAGYVDIFRAMRLQIQLLRQLPSLFICFLASTNALFRDELKLSQPDLVDRISRLLVLRRFDALANLSFSFSNELMDLVLRNLRLNPDASLEFFKLASKQPKFRPDVSSYCKIVHILSRARMYKEVRVYLNELVVLCKNNYIASAVWDELVSVYREFSFSPTVFDMILKVFAEKGMTKFALCVFDNMGKCGRVPSLRSCNSLLSNLVQNGEAFKALLVYEQMIALGILPDIFSYTIMVNAYCKEGRVDEAFNFVKEMERSCCEPNVVTYNSLIDGYVSLGDVCGAKKVLALMSEKGIPENSRTYTLLIKGYCKRGQMEQAEKLIGCMMEKNLFVDEHVYGVLIHAYCTAGRVDDALRIRDAMLKVGLKMNTVICNSLINGYCKLGHVNKAAEVLVSMKDWNLKPDSYGYNTLLDGFCKQEDFIKAFKLCDEMHNKGVNFTVVTYNTLLKNLFHVGHVEHALHIWNLMHKRGVAPNEVTYCTLLDAFFKVGTFDRAMMIWKDALSKGFTKSITLYNTMICGFCKMEKLVQAQEIFLKMKELGFPPDEITYRTLIDGYCKVGNLVEALKLKDMSERDGISSSTEMYNSLITGVFRSEELQKLNGLLAEMKNRELSPNVVTYGSLIAGWCDKGMMDKAYNAYFKMIDKGIAPNIIIGSKIVSSLYRHGKIDEANLILHQIADIDPIAAHAHSVELPKSDLRHLETQKIVDSFGKKAMSIPISNNIVYNIAITGLCKSKNIDDVRRILSDLLLKGFCPDNYTYCSLIHACSAVGKVNEAFCLRDDMINAGLVPNIVVYNALINGLCKSGNLDRARRLFNKLARKGLSPTVVTYNTLIDGYCKGGRTTEALELKDKMREEGICPSSITYSTLIHGLYMEGKSEQSVGLLNEMMKAGKGSSVMDPLVARAYVKWRDKQSESAP >KGN55736 pep chromosome:ASM407v2:3:1035067:1039602:1 gene:Csa_3G009420 transcript:KGN55736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSRSYSNLLELASGGCSPTFGLGRERKRLPRVATVAGVLSELDDDSCNSTGSDAPSSVSQDRMIIVGNQLPIRANRDENGDWEFSMDEDSLLLQLKDGLGEDVEVIYIGCLREEVDPREQDDVAQTLLDRFKCVPTFLPPELFSKFYHGFCKQHLWPLFHYMLPLSPDLGGRFDRSLWQAYLSVNKIFADKVMEVISPDDDFVWVHDYHLMVLPTFLRKRFNRVKLGFFLHSPFPSSEIYRTLPVRDELLRALLNSDLIGFHTFDYARHFLSCCSRMLGLSYQSKRGYIGLEYYGRTVSIKILPVGIHIGQLQNVLNLPETVSKVAELQDRFKGQTVLLGVDDMDIFKGISLKLLAFEQLLRQHPERWGKAVLVQIANPARGRGKDVQEVVAETTATVDRINTTFRRPGYEPVVLINTPLQFYERIAYYAIAECCLVTAVRDGMNLIPYEYIICRQGNEKLDDVLGLNPSTAKKSMLVLSEFIGCSPSLSGAIRVNPWNIEAVTEAMDSALVIPEAEKQLRHEKHYRYVSTHDVAYWARSFLQDLGRACRDHSMRRCWGIGFGLGFRVIALDPDFRKLSVDHIVSVYKRTGHRAILLDYDGIMTLPGSISMNPTSEALGILNNLCKDPKNVVFLVSGKDRKTLTEWFSPCEKLGLAAEHGFYLRPNQNADWETCVAVTDFDWKQIAEPVMQLYTETTDGSTIETKESALVWNYLYADPDFGSCQAKELLDHLESVLANEPVSVKSGQHIVEVKPQGVNKGIVAEYLLQTMKEKGMLPDFVLCIGDDRSDEDMFEVIMNAKASLSPGAEVFGCTVGQKPSKARYYLEDTHEILRMLQGLTHASEHATRAAPQTTARRVVIAESK >KGN55995 pep chromosome:ASM407v2:3:3137718:3141654:1 gene:Csa_3G045090 transcript:KGN55995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSYIVYLGSEHSSALDPSSLSEHSRQVTALHYDLLGSLFGSKTMAEEAIFYSYTRSFNGFAAKLDDKEAENLARNPKVISVFENKARKLHTTRSWNFLGVENDIGIPSNSIWNTAKFGEDVIVANIDTGVWPESKSFSDEGYGPVPSKWRGICQTDSTFHCNRKLIGGRYFHKGYEAAGGKLNATLLTVRDHDGHGTHTLSTAAGNFVTGANVFGHGNGTAKGGAPKARAVAYKACWPPLFDSQCFDADILAAFEAAIADGVDVLSTSLGGAADEYFNDPLAIAAFLAVQRGILVVFSGGNSGPFPMTIANISPWVFTVAASTIDREFASYVGLGNKKHIKGLSLSSVPSLPKKFFPLINSVDAKFRNVTEFHAQFCGKGTLDPMKVKGKIVICQVGETDGVDKGFQASRAGAVGVIIANDLEKGDEIFPELHFIPASDITNTDAQIVQNYLKSTRTPMAHLTSVKTLLSVKPAPTIATFSARGPNPIDSTILKPDVTAPGVNILASYPTGIAPTFSPVDRRRIPFNVISGTSMSCPHVAGIAGLIKSIHPNWSPAAIKSAIMTTAKTRGNNNQTILDSTKLKATPYAYGAGQVNPNDAADPGLVYDITVNDYLNFLCARGYNAMQIKKFYAKPFSCVRSFKVTDLNYPSISVGELKIGAPLTMNRRVKNVGSPGTYVARVKASPGVAVSIEPSTLVFSRVGEEKGFKVVLQNTGKVKNGSDVFGTLIWSDGKHFVRSSIAVHLGPGIGN >KGN57374 pep chromosome:ASM407v2:3:12590832:12592578:1 gene:Csa_3G182100 transcript:KGN57374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSLSLVSSRIVCRLFSTSFIVRRTIWNRNFCSDDRFQFFVEPFSYLADGNSDSFETDSRRWDDFSFRRSFLKDAKIDAEKVIEILKQDGPGFDTFLALDELQLKVSGVLVGEVLKGILKSKSVLNKTQCAKLGYKFFIWSGRIENYRHTVNSYHIIMKIFAECEEFKAMWRVLDEMTEKGYPVTARTFMILICTCGEAGLAKRVVERFIKSKTFNFRPYKHSYNAILHGLVIVKQYKLIGWVYDQMLLDDHSPDILTYNVLLFSSCKLGKLDQFHRLLDEMARKGFSPDFHTYNILLYVLGKGDKPLAALNLLNHMREVGFGPNVLHFTTLINGLSRAGNLDACKYFFDELGNNGCIPDVVCYTVMITSFTEAGQHEKARAFFDEMIMKGQLPNVFTYNSMIRGFCMVGKFKEAYSMLSEMESRGCRPNFLVYSTLVSYLRNAGKLGEAHKVIKQMVENGQYAHLMTKFKGYRRC >KGN58988 pep chromosome:ASM407v2:3:28730779:28731810:-1 gene:Csa_3G740800 transcript:KGN58988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRTPCCDKANVKKGPWSPEEDAKLKDYIQKHGTGGNWISLPQKAGLKRCGKSCRLRWLNYLRPDIKHGDFSEEEDTIICNLYTTIGSRTILGEVNIFRVVWNIIMISYNCLRSN >KGN59304 pep chromosome:ASM407v2:3:30901949:30909200:1 gene:Csa_3G807340 transcript:KGN59304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPHASISSLSSIRSPRSPPLSSNAVPSFLLHSRFPSGGRCRRLLCRRLCRAMVQQAAQGAPAIYAKEMERLSAKESLLLAFKDSGGFEALVTGKTTDMQRIDVNERITGLERLNPTPRPTTSPFLEGRWNFEWFGSGSPGLAATILFQRFPSTLATLSKLDAFIKDGTARITANVKLLNSIESKVILSTKLSVEGPLRLKEEYIEGIIETPSVSEQAVPEQLKSAFGQVVNTVQQLPVPIKDVIAGGLRVPLAGSYQRLMMISYLDEEILIIRDASGVPEVLTRLDSPPSPLEPNTTDYES >KGN56237 pep chromosome:ASM407v2:3:5248678:5251027:-1 gene:Csa_3G104900 transcript:KGN56237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYENNNGFVWFIFMVIGLGEFFVHGDNSWFDAHATFYGADQNPTSLGGACGYDNTFHAGFGINTAAVSGVLFRRGEACGACFLVICNYNADPKWCLRRRAVTVTATNFCPSNNNGGWCDPPRSHFDMSSPAFLTIARQGNEGIVPVLYKRVSCKRKGGVRFTLRGQSNFNMVMISNVGGSGDVKAAWVKGSKMRMWTPMHRNWGANWQANVDLRNQRMSFKLTLLDGRTLEFVNVVPSSWRFGQTFSSMVQFS >KGN56130 pep chromosome:ASM407v2:3:4131508:4137494:1 gene:Csa_3G077640 transcript:KGN56130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIALQGELANIKASSSFYSQQFGKLLSSDSLPSTFSEDMPFYLTVSDPALGRSKTRLSLCIALAEKSNLQLSVAAFPWKIHCGIDIIPVGSARGINNVDAFDILLCAPATNICGVVVCHSHLHLHLAQFPLSFFLSLDCCGYIETMKHRQSYKHHKLHGFAFSLTQPPLRLSLPSLLLSISFSHVRRNFVKLELKQKGGS >KGN57135 pep chromosome:ASM407v2:3:10851706:10852019:1 gene:Csa_3G164540 transcript:KGN57135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARNNDLARIGLEGFIFIEELYDRPRRKPRHVAPAPPQPVVAIHPPQRKVESSPSIRCDQLAKAHGGIIIKEWGGKRVNKVVQKTERP >KGN55892 pep chromosome:ASM407v2:3:2402666:2407959:-1 gene:Csa_3G033730 transcript:KGN55892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNQELEWVEAQQIEIGVDLVAAAKRQLQFLSAVDRSRFLYESPSLERAIYRYNAYWLPLLAKHSESPLLDGPLVVPFDCEWIWHCHRLNPVRYKSDCEELYGKILDNSNVKSTIGSSCSRETEEVWNELYPEEPFNFNSTSESQEDVSKVLSGLEKYTKYDLVSAVKRQGPFFYQVSRPHMGNEIFLQEAVARYKGFLYLIKSNREKSLKRFCVPTYDIDLIWHSHQLHPLSYCKDLKKILGVVLEHDDTDSDRTKGKKLDNGFSGTTKQWEDTFGTRYWRAGVMYRGNCPSPLVLNPYSASTNTIRDDVVSSQDCQNIVHLPELKTVEVLLEFVEVKNIPEGLKGNLFVQFMKSQPDAIFNSKWKLSILSETGVKQVASFQCEPKGDLKLELICCRSSNIPITRTPLTLGSVSLPLGLDDILVPSSKLSMERWLELKPVSDHVSSKPISLRVAISFTVPHPAQRELHMFSSRELSRWTSFLPSCTRMQRSKGWTQVTDEAGNDVINLQLRDSLKAKVGKNNIPTSKEVIGIKMSGESCHLAEFVKTGWSLIDGQWLLDLQQKSSEDDHLFKLVGKRLVRFYQGRKLDYEPKNCEKHNREQDFMSAIEFSAEYPYGRAVALFDLKFGVIKIKEEWMLVPGILTAFLLLHTWKKKGYNSLTVNEEKLEADTDHERVQKSGKEEMTMNLTNLSSSSTDLKANVSEGIAVVPIKEEDSKENITMSLNQDKLSSHCDQNTVKSGGRGNMVKSGGCGGCGAGGCGSECGNMVKSGGCGGGCGGGCGNIVNSGGCGGCGGEILAKSGGCGGSGGCGGCGGGCGSFGYKTAQPNEGKQTDGSIAGKELPAACGMECNK >KGN59107 pep chromosome:ASM407v2:3:29641209:29641805:-1 gene:Csa_3G774710 transcript:KGN59107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTVVDDEEELLWAAIERLPTYDRMRKGMLRQAVENGRVVYDEVDVRRMGFEERKGLMERMVKVVEEDNEEFLRWIRDRMDRVGIEIPKIEIRFEKLSVEGDVYVGSRAHPNLINVALNTFEKCLQILKTLPSIKSR >KGN55722 pep chromosome:ASM407v2:3:915006:915416:1 gene:Csa_3G008300 transcript:KGN55722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKTSNSTGALLLVVMLSLLVVDSLALVHPSIIDDNGISSIWSGWIDKDRAPILASCLYLMKSDKCQVELYNYYFNKSKKEVDLSCCVYVNYIGEECAASFESWFSSLGFKALKPNPMKLYNNCFKRLTVPAPPTL >KGN57416 pep chromosome:ASM407v2:3:12883721:12889469:1 gene:Csa_3G183980 transcript:KGN57416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSMGSTEAGKLQENLKRRKCEVGKYNFMERLLGAVRLLSQMVEPIFKAATEISILLARTFFPGFCFVILALLARIRVLVQQILLDVVSVFNTVSSISKKKQVVAINQERIQVFREFYPTNDEYVLLECIWEVDKFILKEKKNEIATKNQEEHIAPDFSLATSAMRYQKLRSFLGDDESEEAEDHESEEADANESNEKGLDLMKTTPNGLLASPSGSVNDISMEDNTETKDGLISPVKTTSKTFLPQEGNSLVNSSPPMPSAKKPNSKRPAFVSVELPKPITSGGVGIQFNESKVDSVEKENPFFTLLTGGKAKSSLF >KGN59955 pep chromosome:ASM407v2:3:35801074:35806310:-1 gene:Csa_3G855980 transcript:KGN59955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIARLARQAKRSYGFCAKLTAVVILGLSFIVVWSVFASPSTSVTIQRESFDNIGEPVTGNTKVSHPRTQNDNRKKIDEGKLSRDTKDKVKSDLDGRDTKKVNGSDSKSPSNHNHASEKKHGAAKEKNEKHKENKPEVTRKESQGSEESEDEDAEKGNEEEEQEVVDGQEGELKDDEAETEGDLGESDQEPEDRIEPKNTGKKFKRKGPLFDPNAHYSWKLCRARSKYNYIPCIDIESGVARQQGYRHRERSCPRAPPLCLVPLPPSGYKPPVHWPESNSKILYKNVAHPKLDAFIKKHDWLVEVGEFLTFPQNHSELNGGVIHYLESIEEMVPDIEWGKNIHVVLEIGCTYASLGASLLEKNVITLSLGLKDDLVDLAQVALERGFPTLVSPFGNRRLAFPSGVFDAIHCGGCSRSWHSKNGKLLLEMNRILRPGGYFILSSKHDSIEEEEAMSSLTASICWNILAHKTDEVSEVGVKIYQKPESNDIFELRRKNPPLCKENENPDATWYVPMTTCLHTVPTSIEQRGAEWPEEWPKRLETFPEWLSNDKEKLIADTNLWKAIVEKSYLTGIGIDWPSVRNVMDMKAIYGGFAAAVSQQKVWVMNVIPVHAPDTLPIIFERGLVGVYHDWCESFGTYPRSYDLLHADHLFSRLKNRCKEPVAIVVEMDRILRPGGWAIIREKVVIMNPLEEILKSLQWKIQMSYSHGDEGILCAQKTIWRP >KGN56228 pep chromosome:ASM407v2:3:5193945:5194724:1 gene:Csa_3G104330 transcript:KGN56228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHLSKVLCEMNPKTYVPQLISIGPFHHGTPKDLIANEKYKLHGFINFLRRINIKSTVEGGISETARSLKDLLKTGTLKVLVEKAHDWVKEALNCYATPINMEEKDFIIMMVVDACFIVEFFILKVDESHPICKFDLIQENVDISFHGGIEVDITDDMIKLENQVPFFLLERLFEEIPKENVPIRSKFREEKEFPISSRDDKNVPVRSKFREEKELPISRDDENVPISLKDLAHWALKSRLVRDCEIDLNKNQTTWLIS >KGN55762 pep chromosome:ASM407v2:3:1171817:1173439:1 gene:Csa_3G011640 transcript:KGN55762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRNRQNENLGVNKLGKNIRKSPIHQPNFGNNNAARPQPQPQIYNISKNDFRNIVQQLTGSPSQDNQPPPRPPQNPPKSQSMRLQRIRPPPLTPINRPNIPAPIPAPVPVPPPQALVNNNVPRPPQFAQPPPRQLPPVAMGGDSHWPNPAAESPISAYMRYLQNSMMNPSPVGNQAQFIPQSQVPGQMHPPHAPPPGLLPNPNPNPPVPALPSPRLNGPPPPIPNFPSPHWNGPALLPSPTSQFLLPSPTGYYNLLSPKSPYPLLSPGIQFTPPLTPNFAFPSMPQSGILGPGPHPPPSPGVLFPLSPSGIFPILSPRWRDQ >KGN58369 pep chromosome:ASM407v2:3:24532300:24532660:1 gene:Csa_3G630250 transcript:KGN58369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPTENRDQGETSQLRLQDAQVNQQQPVQPAMIDIEAIDDDVIESSARAFAEAKNKSRRNARKNVVDVDAGLFISAGGAL >KGN55770 pep chromosome:ASM407v2:3:1199933:1209223:1 gene:Csa_3G011720 transcript:KGN55770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRERSMPSVHQHHQQSTPPNRMIPNNASYSANMPNSNNTSPLINPNSAAAQMMSSASRFPFNSMMGSSSKPSESPNAASYDGSQSELRTGGFNIDSGKKKRGRPRKYSPDGNIALGLSPTPITSSAVPADSAGMHSPDPRPKKNRGRPPGTGKRQMDALGTGGVGFTPHVILVKPGEDIASKVMAFSQQGPRTVCILSAHGAVCNVTLQPALSSGSVSYEGRYEIISLSGSFLISENNGNRSRSGGLSVSLASADGQVLGGITNMLTAASTVQVIVGSFLVDGKKLGASIQKSGPSSTSPNMLNFGTPVAAGCPSEGASNNSSDDNGGSPLSRGPGMYTNANQPIHNMQMYQQLWASRNQ >KGN59984 pep chromosome:ASM407v2:3:35977318:35982036:-1 gene:Csa_3G859710 transcript:KGN59984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSFGVALKPNTMPFRITSQCVRNQCSGFWGDGIGRNGRSKQIQRNAYSLKNSNSSSFSRARKLAPGVAYSVLMSEINEETSTLQAPIFEAPRADPKKIASIILGGGAGTRLFPLTSQRAKPAVPIGGCYRLIDIPMSNCINSGIEKIFVLTQFNSFSLNRHLARIYNFGNGVNFGDGFVEVLAATQTSGETGKKWFQGTADAVRQFIWLFEDAKTKNVEHTLILSGDHLYRMDYMDFVQRHIDTNADITVSCIPMDDSRASDYGLMKIDDTGRILDFAEKPKGSDLEAMQVDTTVLGLSDEDARKNPYIASMGVYVFRTDLLLKLLTWSYPACNDFGSEIIPAAVKDYKVQAYLFNDYWEDIGTVKSFFDANLALTEQPPKFEFYDPKTPFYTSPRFLPPSKVEKCKIVDAIISHGCFLRECTVEHSIVGVRSRLEYGVELKDTMMMGADYYQTESEIASLLAEGKIPIGIGENTKIRNCIIDKNAKIGRNVVIANTDDVQEAERPEEGFYIRSGITVTLKNATIKDGTII >KGN56257 pep chromosome:ASM407v2:3:5428685:5430756:1 gene:Csa_3G110050 transcript:KGN56257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPIPLMASEAGGCGSGGGDETPSLSPRSKLKFLCSYGGKILPRPTDGHLKYVGGETRVIAMPRDIKFPDLMKKLTALFDGDMVLKYQLVPEDLDVLVSVRSDEDLKHMLDEYDRLESEGTPKLRCFLFPSNPIVMEAQPFSSDPHQIEQRYLEAINGIVRSGSSASAKLSPTTANRPTFSISSACSSPKSSSPDAQTAESVAQETFSWNSMKLSRPPMHKVHSSPSLCSFNNLQPPTNVIPVSKHHIQQRHYHYQQNQLQQQQQQQQHHNSYQSSRIPPEFSRSNAPERVPMNLSPSPPIGRSDFGRSPMGPNVSHHTSSRQQRGIGLWNKYGYSDEYPANGCGRVDRTENNQWSPRKPPWE >KGN59330 pep chromosome:ASM407v2:3:31086160:31089403:1 gene:Csa_3G810540 transcript:KGN59330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMAIAIQLFFFLLIIHSHSAIPSIRLLRRSTSFSVTDFGAIGDGLHYDTTAIQSAINSCPAPSRCYVTFPPGTYLTATIWLRSGVVLDIQPGATVLAGTKMEDYPADSSRWFAVVAENASDVGISGGGTVDGQGLKFVEKFDKRKNVMVSWNKTGACYGDECRPDLVGFIGSNKVRVSNVSFNQPAHWCLHLVRCENTVIEDVSIYGDFDTPNNDGIDIEDSNNTLITRCRIDTGDDAICPKSSNGPVFNLTATNCWIRTKSSAIKLGSASWFNFTRMLFDNLTIVDSHRGLAFQLRDGGSANDITFSNINITTRYYDPSWWGRAEPIYVTTCPRDPGSKEGSISNIRFTNITATSENGVFLSGSKSGVLSNLRFTNVKLRYKRWTKYGGGIADYRPGCQGFVKHGMAGMIMEHIEGLNLENVDMHWFDTNGSLQWNNPLDFRPSTVNNISFLNFHSGYSSG >KGN57439 pep chromosome:ASM407v2:3:13077369:13077980:-1 gene:Csa_3G186170 transcript:KGN57439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGQQWKNVSLACVFVLLTFEAYSLPLSTNGRWIVEATTGQRVKLICVNWPGHMQAMVAEGLHLKPLDDIAAMVVKLRFNCVRLTYSIHMFTRYANLTVKQSFENFDLKEAIVGIAQNNPTILNMKVVEAYEAVVDSLGAHGVMVVSDNHISQPRWCCSNDDGNGFFGDRYFNSQEWLQGLSLATQSLKTKPQVWFIYLLYFH >KGN59511 pep chromosome:ASM407v2:3:32431880:32441832:1 gene:Csa_3G823100 transcript:KGN59511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNSLPQLPSYESTMEALSSLITQKRRGEMVSAIRNEEKSMRMRKYVEILGIEECVKKLKIIHVAGTKGKGSTCTFCEAILRECGLRTGLFTSPHLIDVRERFRINGLDISEDKFLQYFWACWTQLKENVTEHLPMPALFQFLTLLAFKIFIDEEVDVAIIEVGLGGTYDSTNVIEEPIVCGITSLGMDHMEILGDSLGMIASHKAGILKPQVPAFTVPQLPEAMDVIQKKARELMVPLEVAEPLNDKKLDGLKLGMSGDHQFVNSGLAVSLCKCWLQRTGNWERMLLNGCKGAKIPAAFLRGLSTANLSGRAQTVYDYYTSSFNSTTQSDNSNGDLVFYLDGAHSPESMEACASWFSSVVKGNHKSLNSFRAKNVDRDSGNDDPVKVLQEAESTKLSKQVLLFNCMEVRDPHILLPRLVNTCASSGVHFSKALFVPTMSTYSKVSSGNSVTSSDNISKDLSWQLNLQRLWEKIMHGKDAILEKDLKLDSERGLPALYEDSLSSLSENNLSSSAVMPSLPLAIKWLRDCVRESPSIRLQVLVTGSLHLVGDVLKLLKR >KGN57286 pep chromosome:ASM407v2:3:11971597:11972154:1 gene:Csa_3G176325 transcript:KGN57286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPTNLPTEILYINVIGSQQKVQSVLGIYRQRPGFRGHSAFNHRLNGQPSQRFPMGVKTVILLFSKADRPGNVGSSLVGVELNSPPPAKDRRGFGIMGRDGVDELTNDFLLISLPRAVPDNVNGYISGENRAHVVLQMDELRRALVFFGVANESGVIGDVRFGEMRPRTPCGSRREEEKEEGESS >KGN58724 pep chromosome:ASM407v2:3:27386869:27393140:1 gene:Csa_3G730880 transcript:KGN58724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSWQILSDSGNNFRWELSAQRLEVKSECEQNGSLSRSDSTNSVARLPSMADLLLCSRFMQNSEDAGAGASMFRTGLGKSVSVKQSSIDKALSLLSDDKAPDIGRLHNGGNFSNSLFQTGSGKSVNVSSEGLLRAKTLLGLEEDDTCSSFQRFGQAISPYDVKGEFLESKGVCGMENMSGASVSISPLVFNTCFSRSSSENQASPSFRQIELPNKAPKAPPIKFHTAGGRSLSVSSDALQRARSLLGDPELGSFLDDGDSDCYKRNMGDATPSNGEHIFHTPSFNKVESTTKHTSKSFVSPLRPSSRVMQSSLKSKSILGSNLMKKFDAAEEESISRFDDNKSCLAETIGSQPNEPCTIVENALENGIRSGIHLAERSFGEPLNDISNIVDSRSRSDRASNNEKRKLWGTSSISPFKRPRNSKFSTPFNKNASLVTTSLSTSSSNNFSCKRRVSTRYPHQTSRMYMKEYFGRPSSNHDKLDYLSDEVRRIKAENAEKYKVPDNSGTNCIGVEAFRHMLTDSGASPQHVSELWVTNHYKWIVWKLACYERQSLVKSNRNFLGVSNVLEELKYRYEREVNQGHRSAIKRILEGDAPPSMLLTLCISAIRSKCKSRAQVCSSMISESNYGEGAKVELTDGWYSIDALLDGPLSKQLLMGKLFVGQKLRIWGARLCGWIGPVSPLEMPETVNLMLHINGTFRAHWADRLGFCKNAGVPLSFKCIKSSGGPIPWTLVGVSRKYPVLYKERLSDGASIVRTERIEMKIRQLYEQRRTAIIDGIVSEFQRGTKSNIYNESDSEEGAKLFKILETAAEPELLMAEMSPEQLTSFASYQAKIEAIRQSDMEKSIERALADAGLSGRDVTPFMRVRVVGLTSKSSQRKTHGKEGLITIWNPSEKQQLELVEGQAYAIGGLVPINCDADILYLQTKGSTTKWQSLSPQSMKCFEPFYKPRKSVLLSNLGEVPLSSEFDVVAIIVHVGEVFATAQQKKQWIFVVDGFVSESHSEGISNSLLAISFCSQYADDDSFVPMNSNLTGSTAGFCNLIKRPKDQINHLWVAEATENTSYFLNFDSTDCSHMKNAAVFAKRWAENSTSIIKNLREKILFMIDDHKD >KGN60183 pep chromosome:ASM407v2:3:37479276:37481194:-1 gene:Csa_3G882940 transcript:KGN60183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIHLSPIGNNELCGKPLSRCKSPKKWYILIGVTVGIIFLAIAVISHRYRRRKALLLAAEEAHNKLGLSKVQYQEQTEENAKLQFVRADRPIFDLEELLTAPAEVLGGGSFGSSYKALLSNGPPVIVKRLRPMRCVGFEEFHEHMKKLGSISHTNLLPPLAFYYRNEDKLLISEFVGNGNLADHLHGQAQRTPGNIGLDWPTRLRIIKGVGRGLAHLHRALPSLSLPHGHLKSSNILLNSNYEPLLTDFGLDPLVCHDQGHQFMAAYKSPEYIRHRRVSRKTDVWSLGILILELLTGKFPANYLRQGGGTGNGDLAAWVKSAVREEWTAEVFDGDMMKGTKNEDGEMVRLLRIGMNCSEEEEDQRWGLKEAVEKIEELKETEISTDDEFYSSYGSEVEVRSVGRE >KGN57372 pep chromosome:ASM407v2:3:12581958:12583875:-1 gene:Csa_3G182080 transcript:KGN57372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDEPKQIEPEESPSEAPPPPPPPAVAEPTKDDVAEEKSIIPLLPPEDEKPADDSKALAIVEKSDEKAEEKEKESEGSINRDAVLARVATEKRLSLIKAWEESEKSKAENRAHKKLSAIGSWENSKKAAVEAELKQIEEKFEKKKGEHIEKMKNKIASIHKKAEEKKAVIEAKRGEEKLKAEEIAAKHRATGTAPKKIFGCF >KGN59772 pep chromosome:ASM407v2:3:34173410:34178363:-1 gene:Csa_3G844900 transcript:KGN59772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQFTAHTRLKLLLNGDGLPFGSESKDRFRYKLRSVRPRRFPLSRPSSSTTSSTSALRKPTQHAAEVRVGVRDESVNGGDDDVVGFDYDYELESDDLACFRGLVLDISYRPVNVVCWKRAICLEFMEKADVLEYYDQTVNSPSGSFYIPAVLRVPHLLQVVKRRRIKNSLSRKNILYRDNYTCQYCSSHESLTIDHVLPISRGGEWTWENLVAACVKCNSKKGQKTVEEANMKLKKTPKAPKDYDILAIPLTSTAIKMLKLRKGTPEEWRQYLSSEQ >KGN59023 pep chromosome:ASM407v2:3:28930236:28935178:-1 gene:Csa_3G746550 transcript:KGN59023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAFSYLILALASVLLPATVVYCRFPVPLLSLYRALPSSSPVQLETLRARDRLRHARILQGVVDFSVEGSSDPLLVGLYFTKVKLGTPPMEFTVQIDTGSDILWVNCNSCNGCPRSSGLGIQLNFFDASSSSSSSLVSCSDPICNSAFQTTATQCLTQSNQCSYTFQYGDGSGTSGYYVSESMYFDMVMGQSMIANSSASVVFGCSTYQSGDLTKSDHAIDGIFGFGPGDLSVISQLSARGITPKVFSHCLKGEGNGGGILVLGEVLEPGIVYSPLVPSQPHYNLYLQSISVNGQTLPIDPSVFATSINRGTIIDSGTTLAYLVEEAYTPFVSAITAAVSQSVTPTISKGNQCYLVSTSVGEIFPLVSLNFAGSASMVLKPEEYLMHLGFYDGAALWCIGFQKVQEGVTILGDLVMKDKIFVYDLARQRIGWASYDCSQAVNVSVTSGKNEFVNAGQLSVSSSSRDKLLQSLTMEALAMLTSLILFIHSQLL >KGN57346 pep chromosome:ASM407v2:3:12426118:12430964:-1 gene:Csa_3G180340 transcript:KGN57346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSIPSPSPLNQLTSALSFRAKSKTKGPAISARLDDSKNSANQQLNLSVLRFTLGIPGLDESYLPRWIGYGFGSLLLLNHFVGSNSAALTTPAQLRTEALGISLAAFSIALPYLGKFLKGALPSGEAILPEGTEQIFLLSQILSDNLKEDIAWATYILLRNTNSISVLIQTQGALCVRGYWNSPNDISSADLLAWFEEQLQSIGLSALKDAVYFPQISESGLWQMLPKGTRSVLVQPVVQNLKQSGNEVQNMGGFILLASSLSYAFSDKDRAWIRAVANKFDDRAIL >KGN59214 pep chromosome:ASM407v2:3:30260873:30264668:1 gene:Csa_3G782620 transcript:KGN59214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYLHGNSDQFQSSDGGLQTLVLMNPTYVQFSDTTPPPPPPPSHPNLLFFNSPSSTANTFTTLVQPPPSSHTQQFVGIPLQTTSAASPTSQDHNSHPLNPHHDISPLHGFVPRLQHNIWNQIDPSTAARDSARAQQGLSLTLSSQHQQAFGSRDVQSQNQQALSGEDNMRISGGSSSSASGVTNGVAGIQGVLISSKYLKATQELLDEVVNVTQNGIKSESSPKKATGNQSKMIGDAAAATGTADGSLEGEADGKQAAELTTSERQEIQMKKAKLISMLEEVEQRYRQYHHQMQIVISSFEQAAGAGSARTYTALALQTISKQFRCLKDAITGQIRAANKSLGEEECIGRKVEGSRLKFVDHHLRQQRALQQLGMIQHNAWRPQRGLPERSVSILRAWLFEHFLHPYPKDSDKHMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYMEEIKDQEQNGNGSTPTTEKSNDDSVSKSIAPPPETKSPNSKQENSPNQNVHPSISISNSSGGNVRNSSGFTLIGTSSELDGITQGSPKKQRGPDILHSSNNNVPFINMDIKPREEEEHQNQNHNPHQNNHHHHHHLLPMKFDEDRQNRDGYSFLGQPHFNIGGFGQYPIGEIARFDADQFTPRFSGNNGVSLTLGLPHCENLSLNPATHQSFLPNQSIHLGRRTEIGKPTDFSAINASTAHSSTAFETINIQNGKRFAAQLLPDFVA >KGN56622 pep chromosome:ASM407v2:3:7855825:7856887:1 gene:Csa_3G126860 transcript:KGN56622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKEISKLEALKEREHERGFCFSSSPFLRSTPQPLFFFLRNLSLDLSYLSSSSSLIFPLLFCVIVSIGVFRFLDLLLGLELFET >KGN57050 pep chromosome:ASM407v2:3:10272043:10272615:1 gene:Csa_3G150790 transcript:KGN57050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSQLNQKTFTGAGDLIKILPTGTVFLFQFLSPVLTNSGHCEPINKVFVLVFVILCGLSCYLSSFTDSYVASDGTIQWTIVTPSGMWPTPPTSESLDLSAYKLRLGDFIHATFSAAVFAVLVVMDYNIVLCFFPSLVEQHKVFVQALPPVVGVVSSVVFVMFPNTRHGIGYRSTSSTTTISVSKATSTA >KGN60350 pep chromosome:ASM407v2:3:38731077:38734566:1 gene:Csa_3G895960 transcript:KGN60350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQISGVISDVPVVIPSAGGLRSSELRHCHASIDSKSSISAGFFRGCSSFYMPKAGSSLDKFRLRGFSIRASDDSRNVYPVAPVQFESPVGQLLAQILQSHPHLLPATVDQQLDNLQTERDSQTEEAPSSSQDPLYKRIAEVKDKERRKTLEEILYCLIVGKFVENDISMIPKITETSDPTGRVDFWPNQEQKLESVHSPEAFEMIQSHLSLVLGDRVVGPFSSIVEMSKIKLGKLYAASIMYGYFLKRVDQRFQLERTMKTLPEAFTKDFDEPIPANQLWDPDSLIRIAPDDEGFGDSRGLIDADDGKSYRLRSYVMYLDSETLQRYATLRSKEAISLIEKQTQSLFGKPDIRIAADGSIDTLNDEVISLTFSGLTMLVLEAVAFGSFLWDAESYVESKYNFIQT >KGN56817 pep chromosome:ASM407v2:3:8945048:8945867:-1 gene:Csa_3G134660 transcript:KGN56817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMKMGISSFNPFCTLLLSLAFFSIFTLIPPAQSGSDYTSLVYKGCAKQALSDPTGVYTQALSALFGSLVSQSTKARFYKTSSGSGQSTINGLFQCRGDLSNGDCYNCVSKIPQIVQSLCGKTIAARVQLYGCYLLYEVSGFAQISGFEMLYKTCGSTNVAGSGFEERRDTALSVLENGVVSGHGFYTTNYQSVYVLGQCEGDLGDSDCGECVKNAVQRAQVECGSSISGQLYLHRCFISYSYYPNGVPTRSSSPSSSSSSSS >KGN56896 pep chromosome:ASM407v2:3:9370462:9371008:1 gene:Csa_3G142410 transcript:KGN56896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEFDTRKSIWPELVGVDFSAAARIIETENPNVKATKILIGSPVILNYDPTRVWVICNTEDKVVDIPRVG >KGN57547 pep chromosome:ASM407v2:3:14171350:14171682:1 gene:Csa_3G208700 transcript:KGN57547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTEIKALVIAGMDWYDFFKLDEGTMKVKRCMTDDKKNKISKKDPCGQSSCDHSFGNVRSNERQSEIGVKHLKFNNMEISKLIFIIHPVLELIMEGDSKGKTKTKENEAP >KGN57517 pep chromosome:ASM407v2:3:13895164:13895801:1 gene:Csa_3G202750 transcript:KGN57517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTLALLSENPISYTFVIHLGRASIELASGSFKFLLYLQSTRFENGLRSEIRTLVKTIVVRYDYAELVDETLHIEKNLKGKRPSLVVSGEGEKESVEDFSKMSKPTFSRGSDWSGDTRFGCIRMQQKTFWGL >KGN58461 pep chromosome:ASM407v2:3:25396131:25399916:-1 gene:Csa_3G645990 transcript:KGN58461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEPVNINDFKELARLALPKMYYDFYSGGAEDEHTLRENIQAFYRITIRPRVLIDVSKIDMSTTILGHHVSAPILVAPTAAHKLAFHEGEIATARAAAAVKTIMVLSYSSTCSIEEIASSCNSVRFFQLYIFKRRDISALLVQRAERFGYKAIILTVDTPRLGRREADIKNKMIAPPVKSLEGLISIDVKSDQGSKLETYANEMLDASLRWEDIGWLRSITTLPILIKGVLTHEDATKAVEAGVDGIIVSNHGARQLDFAPATISVLEEVVHAVKGKIPVLLDGGVRRGTDVFKALALGAQAVLIGRPVLYGLAAKGEEGVRTVLEMLKNELETSMALSGCPSIKDITRSHVRTHYDNLPSML >KGN56486 pep chromosome:ASM407v2:3:7082774:7087599:1 gene:Csa_3G121620 transcript:KGN56486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRNLRSSAAMSHRNNPKPLPLVVTLNCIEDCSLEQDCLAGVAVVEHVPLSRLADGKIESATAVVLHSLAYLPRAAQRRLHPCHLILCLGSADRSVDSALAADLGLRLVHVDTSRAEEIADSVMALFLGLLRRTHLLSRHTLSASGWLGSIQPLCRGMRRCRGLVLGIVGRSSSARALATRSLAFKISVLYFDVNDGKGKVSKSTATFPSAARRMDTLNDLLAASDLISLHCALTNDTIQIINAECLQHIKPGAFLVNTGSSQLLDDCAVKQLLIDGTLAGCALDGAEGPQWMEAWVKEMPNVLILPHSADYSEEVWMEIREKCVSILQAFFVDGLIPENAISDEDEDEEVNEVKEQSDGRGVEGILQLAVVEQLTEDNHLSPESSQKKGLNLSPESSSQPQSSSLSQTTVTRSDGRRSRSGKKAKKRHTHQKSQQKDDSLVLEKESTSHREDDTAMSGTDQVLSSSSRFASPDESRNRKVPMESMQESTSDPSLKSKKKLGRKSISQLKDGYVVAIYARDRPAVHVSRQRVKGGGWFLDTMTDVTKRDPAAQFLVVFRNKDTIGLRSLSAGGKLLQINRRTEFVFASHSFDVWESWMLEGSLEECRLVNCRNPLALLDVRIEVLATVGDDGVTRWLD >KGN58750 pep chromosome:ASM407v2:3:27514672:27516162:1 gene:Csa_3G731120 transcript:KGN58750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNSAHPNSCCFSGILRRLLCTGNLPTHPSEALNDSQFDIPKTEAKLVAQSAESTPGVVARLMGLSSLPDANWVPNHRARPGAVSRSKSVNFADYLLDFDSNQSHHRRIRTSASFREVPHNDYFVLYTKDYFDGYGIESNSKKPETQRFDEGKQSSNDLNKKKKKKKENGRNEMKISKLKDEPRRVNRKNFTESKKCSMGKDSFSVLPSCKHKCKQNVPRNESSVIQKKPTKQKEAAIRTELNKKKKKNVRHVERKPDVEPDSENSSPVSVLDVGRIDFSDERQIGGKNRVYDYGELVERICRLAEEDIREAKWTAEIKNVDESEALEEICMEIERHVVDALLVHTLNEFAYL >KGN57898 pep chromosome:ASM407v2:3:18692934:18695794:-1 gene:Csa_3G379750 transcript:KGN57898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLPSSSDISLSSSLFPEKSPNQTSINESTLLNLFKSQQNHLNFFFQNLDLSQTLKFTATLLNSPGTIFFSGVGKSGFVARKISQTLVSLGIRSAFLSPLDALHGDIGILNSGDVLVMFSKSGNTEELLRLVPCARAKGAFLIAVTSVEGNVLGGVCDMNVHLPLERELCPFDLAPVTSTAIQMVFGDTVAIALMGARNLTKEEYATNHPAGRIGKSLIFRVKDVMKKQNELPVCKEGDLIMDQLVELTSKGCGCLLVIDDEYRLIGTFTDGDLRRTLKASGEAIFKLTVGEMCNRKPRTIDPEAMAVDAMKKMEAPPSPVQFLPVINQQTILIGIVTLHGLVSAGL >KGN58737 pep chromosome:ASM407v2:3:27445080:27449407:-1 gene:Csa_3G730990 transcript:KGN58737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METRLASIIFVMAFLFLFRLSLEAKTMDPYKVLGVERNASQREIQKAFHKLSLQYHPDKNNKKGAQQKFEEINNAYEILSDEEKRKNFDMYGDEKGAPGFGPGSSGDQGGGYTFFTNGGGRQGQQPFGPGQWQSTGGQGGSQSFSFSFGGPSGSNPFGFGAEDIFSNLFGGGFQGKGSFGGHSKAQSGPKSSSSIKVINKKSLKKEIVDQGMTWLLFPTASSLKGLDHVQSTIEEVANSLQGALKVGRIDCDSESSFCKDLGIYPHRTPRIFVYSYIKSSEGSLVEYSGDIAVKSLKSFCQEHFPRFSQRVNLKQFDFSSSNRGGLPTLMLLSTKKETPVIWRVLSGLFRKQFNFYDAEVTYASDPSIKKLGVDALPAIVGWLSNGERHVLRTGINVKDLKSAIDDLSNLLNGFEKKNRKAASRPASRTQSDSGENQIPLLAGSNFDSVCGEKTPVCVIGAFRSSKARNKLESILNMVSQKTLSRRQNSTPGSKETVSYALVDATKQVSFLNAFDSAGFKSSDKILIAYKRRKGKFAAYLDEITEEEVEKFIGSVLNGDVTFTKTHQKPILK >KGN56306 pep chromosome:ASM407v2:3:5943257:5947237:-1 gene:Csa_3G114460 transcript:KGN56306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGPFSSSSIFNQTASRLPDDTVFYAIFSDASLSSSNTAEISSFLQSLHLQIINTISTFTSNYIWQHEPFALSLSSITKSSCICSTHLPHLHGKLRFGDNLEDEWFTVFLLFHISNCFPSLSIRVWDTDGEFLLIEAAFHIPRWINPENSLNRVFIRNGSLHIVPKLRLRDPNLFDSLKFLVDFDQESRASESVQLAVKKKISDYPGRAERNMHRARVRAPVSVAQVLKHEPCLISLAVEGFYDRDIDTMKFAAKMDKFLGRGREEELVCVSVKMSKAMYAQLMQQNFQAPKCYPMPNRINASVHKEAELGMKIACGLEMIYQLRRKEGSEGKNKTWEAFKESLESSGYFQGLLPGSREYGRLMQNAEEYYRNSVLFARTSNMMSAPVRRIDEILASPYSLEDFKGLDVPPSDDDSWLYNGDDELNAALLERQKEMEISNSKFNKKQKSNKDQDDPSSSYSMDESDLGDISKSMQKFVKKLSSYQGVEVSDNREQEDVNIDVDRFIKEMESVTNHNTSMETTTDAENEDESSSDLDFDESQDEESDDATDTIDVDRENRFMESYSDTLDEELKSSTLKKSFYRASGETSQKDEGTSNATDHMEEDFTPVDVDVNLVKSLLDSFSSQEGLPGPTSNLLGLMGLKLPRDGDKGK >KGN60480 pep chromosome:ASM407v2:3:39704329:39705627:-1 gene:Csa_3G914600 transcript:KGN60480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRETTASHRTKSKHRKGLWSPDEDQRLRNYILNYGHGCWSSLPSKAGLERNGKSCRLRWINYLRPGLKRGTFSQQEQHTILSLHHMLGNKWSQIAQHLPGRTDNEVKNLWHSYLKKKLDKQQQKQAYHSSTNSTTSDSADSSTTNPSIHSPIPNNNISQLPKLFFAEWLTVGSSSNGGSEFGPSTTNNLIGQPNFEFGMSLGSELLQNNGIIMDSHVKFEDHHISNGFANYASDGDVCVEHLLHFGNGFMNI >KGN55849 pep chromosome:ASM407v2:3:1935310:1936200:1 gene:Csa_3G019400 transcript:KGN55849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDPLQRFQLHSQSSSSSSDIKNCIKQKASKKVKIGNDDKHPTYRGVRMRQWGKWVSEIREPKKKSRIWLGTFSTSEMAARAHDVAAKTIKGHSAYLNFPELAHRLPRPASSSPKDIRAAAAKAALFNDEQNPGAKSEMNLNCCGSSAVAVKSGGEIDDTFFDLPDLFIDDPNHQIDTFCFSQFVPIDGFDSVFTRPSSPSSSSDYFTYRGSLL >KGN59443 pep chromosome:ASM407v2:3:31923044:31923254:1 gene:Csa_3G820490 transcript:KGN59443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKNPKEKTNLLKFTRSTTAWRMGDSVEDGTLLYTNNALDRREVNDKSSLS >KGN56508 pep chromosome:ASM407v2:3:7191953:7195155:1 gene:Csa_3G122320 transcript:KGN56508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKDGEENNSRGNEWEVVSLTASAYEAAPNVKEDESLDENNSNLYEAETSRALFMSRHFVFPPSQHENLPLEPDKSEIHDDQGGKENVESDSAVIDGGKSSRKNEDSLNLEGLVETDEFTGIGKTMEKGSKLSFHGDDFSENTALPDLNLVDKELDPFSAPTYSSFHGETDLSSTTFDEIPPLESNDQVSSPESLETPKLDNKSNKSSLPCGAWWKRRAANLYSHAKEAKAFWSIFIAAAVMGLVILGQRWQQESWQTLQLKWHISVNDQKTNRVLGPITRLKDVIVGGQRRGSSIKFSPNEL >KGN58777 pep chromosome:ASM407v2:3:27651092:27652740:1 gene:Csa_3G731870 transcript:KGN58777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSERCAISPILPTILLAAIIFLICGDSIIYKVSIRTVSIDEKRNSNSTSTCITQLQIKQTLVGEPRQSSPENRDEDDSETFDSLVVPSNLSAKEARVDWFRNHLPNFRILQSNNLTQQFHDRLLEFLSHECEVQFFMTWVSPARSFRERELMAAESVFKSHPRGCLTIISRTLDSERGCKILKPLLDHGFKIQAIAPDLPLLFKNTPVEAWFDEMKSGKKDPGQIPLAQNLSNLMRLAVLYKYGGVYIDTDFIVLKSFMGLKNSIGAQSIDPVTKNWTILNNAVLVFDKKHPLLEKFMENFASNFDGSRWGHNGPFLVSRVIAKITGARAKPGFNVTILPPAAFYPVDWIKIGELFKKPGNRAVESWAKAKLDQLNNETYGIHLWNKQSKSYVIQKGSVIERLFADHCIICSYT >KGN57974 pep chromosome:ASM407v2:3:19826392:19826673:1 gene:Csa_3G416670 transcript:KGN57974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCCRKVPQRRSRKSTHLTSTLQFNSSEGNYSQTPEDDKTTTFYSLIQINQPTNYKEPTFTQTIQKPQALFSTPVMHPDSAVQVRTHPPEPSP >KGN60022 pep chromosome:ASM407v2:3:36344273:36344695:-1 gene:Csa_3G872040 transcript:KGN60022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITKTTKSPQTAVLKHLLKRCSSLGRNKPHYDQPGLPFDVPKGHFVVYVGQHRTRHIVPIKFLDHPPFQILLQQAAEEFGFDHDRGLTIPCDEQVFLALTSSLLTQL >KGN55912 pep chromosome:ASM407v2:3:2520834:2522371:-1 gene:Csa_3G035890 transcript:KGN55912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRMHSRGKGISASALPYKRTPPSWLKISTQDVEENICKFAKKGLTPSQIGVILRDSHGIAQVKSVTGNKILRILKAQGLAPEIPEDLYHLIKKAVSIRKHLERNRKDKDSKFRLILVESRIHRLARYYKKTKKLPPVWKYESTTASTLVA >KGN58839 pep chromosome:ASM407v2:3:27936901:27937658:-1 gene:Csa_3G733930 transcript:KGN58839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLIPFVYKAIVQYKNEKQVPAIRSWLCDSPSTSYIRLPSGDSGRFQFQSDASPSSKSPTSSTTTQILVSTGVQSPLRHLTTRRVAA >KGN56917 pep chromosome:ASM407v2:3:9490890:9491978:1 gene:Csa_3G143580 transcript:KGN56917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFQNGLNLDATELRLGPPGLDENKLQDQQLPQSIRINKRPLLLPESNQSSSGSNISVSSDATLDTPPPSKAQIVGWPPVQSFRRNSLQGKKTTTVAATTAAQESSGNFVKVSMDGAPYLRKIDLSLYKGYPVLLQTLEDMFKFTVGEYSEREGYKGSEYVPTYEDKDGDWMLVGDVPWEMFTSSCKRLRIMKGSEAKGLGCVA >KGN58475 pep chromosome:ASM407v2:3:25547335:25549846:-1 gene:Csa_3G646620 transcript:KGN58475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTVKSFKGYGKVDELEQQAFRQKTRRRLIIIFISLLLLIALLVGAVVGIVVHKRNSSSSSTTTSPPPTELTPPASLKTLCSVTQYPSSCQSSLQNSNTTDPVFLFKLSLRVATDSLSKLSDYTSNFNSTTGDPKVEAAIKICRSVFEDAIDTLNDTVSSMEVDRHSEKFLSPSRIEDLKTWLSTTITDQETCLDALRDLNQTTVLQDLQTAMANSTEFTSNSLAIVTKILGLLADFNIPIHRKLMGLPEWVSSGDRRLLQENNVTAHVTVSKDGKGQYTTIQDAVAAVPKKSKERFIIHVKEGIYEENVILDKSKWNVMMYGDGRTKTIVSGHLNFIDGTPTFSTATFAVAGKGFIGKDMGFINTAGPAKHQAVAFRSGSDLSVMSGCSFDGYQDTLYAHSNRQFYRDCDITGTIDFIFGNAAVVFQNCNIRPRQPLPNQFNTITAQGKKDINQNSGISIQKCTFSAYNDSLNAPTYLGRPWKEFSTTVIMRSEIGGFLNPVGWKEWVSGQDPPSSIFYGEYQNSGPGSNVDKRVRWAGYKPSLTDSEAGRFTVGTFLNGEDWLPATNVNFDTSL >KGN58271 pep chromosome:ASM407v2:3:23382332:23393507:1 gene:Csa_3G603580 transcript:KGN58271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAKPGSLLKLPAAPYTFNDNTSVKPQLNVNPKTRLGARAARCSASKGTSGLLNVSEKKFFGARLRAPGSGRVQFWHLDGPGRSPKLRLAVRSGLSSVPEKPLGLYDPSFDKDSCGVGFVAELSGETSRKTITDALEMLVRMSHRGACGCETNTGDGAGILLALPHEFFKQAARDNGFELPPAGQYAVGMFFLPTSDSRREESKKVFAQVAESLGHSVLGWRSVQTDNTGLGKSALLTEPVIEQVFLTPSTKSKVDLEKQMYILRRLSMVAIRAALNLEHGGARDFYICSLSSRTIVYKGQLKPVQLKDYYLDLGNERFTSYMALVHSRFSTNTFPSWDRAQPMRVLGHNGEINTLRGNVNWMKAREGLLKCKELGLSEDELKHLLPIVDASSSDSGAFDGVLELLIRAGRSLPEAVMMMIPEAWQNDKNMDPQRKALYEYFSCLMEPWDGPALISFTDGRYLGATLDRNGLRPGRFYVTHSGRVIMASEVGVVDIAPEDVSRKGRLNPGMMLLVDFENHVVVDDEALKQQYSLARPYGEWLKNQKIELKDVISSIDKSEMTSPTIAGALSAEDNMNNMGIHGLITPLKAFGYTTEALEMLLLPMAKDGVEALGSMGNDTPLAVMSNREKLTFEYFKQMFAQVTNPPIDPIREKIVTSMQCMIGPEGDLTETTEEQCHRLSLKGPLLSIGEMEAIKKMNYRGWRSKVLDITYPKYLGRRGLEETLDRICSEAQNAINEGFTTLVLSDRAFSSKRVSVSSLLAVGAVHQYLVKNLERTQVGLIVESAEPREVHHFCTLVGFGADAICPYLAIEAIWRLQIDGKIPAKSSGEFHTKEELVKKYFKASNYGMMKVLAKMGISTLASYKGAQIFEALGLSSEVVEKCFAGTPSRVEGATFEMLARDAHNLHEMAFPSRAFPPGSAEAVALPNPGDYHWRKGGEIHLNDPVVMAKLQEAARTNSVNAYKEYSKLVHELNKACNLRGLLKFKETGASIPLDEVEPASEIVKRFCTGAMSYGSISLEAHTTLAMAMNKIGGKSNTGEGGEQPSRMEPLPDGSMNPKRSSIKQVASGRFGVSIYYLTNADELQIKMAQGAKPGEGGELPGHKVVGEIAKTRNSTAGVGLISPPPHHDIYSIEDLAQLIHDLKNSNPAARISVKLVSEAGVGVIASGVVKGHADHVLISGHDGGTGASRWTGIKNAGLPWELGLAETHQTLVANDLRGRTVLQTDGQLKTGRDVAIAALLGAEEFGFSTAPLITMGCIMMRKCHKNTCPVGIATQDPVLREKFAGEPEHVINFFFMVAEEMREIMSQLGFRTVNQMVGRSDVLEVDKEVAWQNEKLENIDLSLLLRPAADLRPEAAQYCVQKQDHGLDMALDQKLIALSKSALEKSIPVYIETPIINVNRAVGTMLSHEVTKRYHMAGLPSETIHIKFSGSAGQSLGAFLCPGIMLELEGDSNDYVGKGLSGGKIVVYPPKGSLFDPKENIIIGNVALYGATSGEAYFNGMAAERFCVRNSGAKAVVEGVGDHGCEYMTGGTVVILGKTGRNFAAGMSGGIAYVLDMDGKFESRCNLELVDLDKVEEEDDILTLKMMIQQHQRHTSSNLAKEVLDNFENLLPRFIKVFPREYKRILANIKVQEAVKEASEPSAKDAEELDEAELVEKDAFEELKKMAAASLNGNSEQVEKTEPPKRPTEIPDAVKHRGFIAYEREGVKYRDPNVRMGDWNEVMEESKPGPLLKTQSARCMDCGTPFCHQENSGCPLGNKIPEFNELVYQNRWREALERLLETNNFPEFTGRVCPAPCEGSCVLGIIENPVSIKNIECAIIDKAFEEGWMIPRPPQARSGKQVAIVGSGPAGLAAADQLNKMGHKVTVYERADRIGGLMMYGVPNMKTDKVDVVQRRVNLMAEEGVNFVVNANVGTDPSYSLDQLRKENDALVLAVGATKPRDLPVPGRELAGVHFAMEFLHSNTKSLLDSNLQDGNYISAKDKKVVVIGGGDTGTDCIGTSIRHGCSRIVNLELLPQPPQTRAPGNPWPQWPRIFRVDYGHQEAAAKFGKDPRTYEVLTKRFIGDENGVVKGLEVIRVQWEKDADGRFQFKEVEGSEEIIEADLVLLAMGFLGPESTVAEKLSIEKDNRSNFKAEYGRFSTTVDGVFAAGDCRRGQSLVVWAISEGRQAAAQVDKYLAKEDKGGIVGEGGYEGVGNGSQDYKNRQQDSSSSSRHTVMT >KGN55919 pep chromosome:ASM407v2:3:2555064:2558392:1 gene:Csa_3G036450 transcript:KGN55919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRRSRGGRDEFFDFGDPFAGFGGFPGQRSLISGFFGGRDPFDDPFFRNPFGSMFEPSFFGGPGIPFTNMQPSGFLDHQAPEPKRPRGPIIEELNSDDERQSGKESRNRKNSSKKPLVEDPDDEENRNQDLQLMDHRGGHRHIQPQTSSFTFQSSSVTYGGSNGTYYTSSRTRRAGSDGVVFEESKEADTATRQATHKVSRGIHNKGHSVTRKLNPDGKVDTMQTLHNLNEDELGSFENSWARNSRSLPGWPGSTNGFDNIAGSIGQNGQTSRGGLALPYTEQHPQVTGRIAVEDASGSSRTQHVNRNRRDARYRSA >KGN56874 pep chromosome:ASM407v2:3:9249007:9250463:-1 gene:Csa_3G135710 transcript:KGN56874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHQGTNGVHRIDLTPAANGDEYFDRSAELKLFDDTKAGVKGLVDNGITQIPRIFYRPPDSSDYPVAGDTELSIPVIDLEGIDADSSKRRHVVNTVREASEKWGFFQLVNHGVPLSVLDEIKNRTLRFYEQDTELKKLFYTRHNTKSIVYNSNFDLFTAPAANWRDTFLCFMAPNVPNPQDLPEVCRDILFEYSKEMKKLGRVLFGMLSEALGLNTNYLSDIECDRGLAVLCHYYPACPQPELTLGTTEHADNDFLTLLLQDDQIGGLQVLHEKKWVDIPPIPGALVINIGDLLQLISNDRFKSVEHRVVANREGPRVSVASFFGIGVYPTSQVYGPIKELLSEKNRAKYRETTLKDFYFYHNMRGLNGISALQHFRLGPEDERNG >KGN58260 pep chromosome:ASM407v2:3:23202182:23208435:-1 gene:Csa_3G600010 transcript:KGN58260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLECLPPGSPTFSSMHQAPMDASSSSPVTSTTTINLSRIYSTAILQTNSFKEMVRITSSMDLVAADTADHDEDQLQDLSGMLTQVLSPNRDRVLNALQRSKSTSLLNLISSYFDYSEKTTRLCLTLRCIVAQARRLYSPINTLLQDLPNYSLSQPYCELVVQEFHKFKDDANPFPRPDSLTFHPIRDHFCDLKRQLERRLRKSSSRIRLVRSPNPDPNTVLCCGGGAAITAYIPPKFARRELAYAAQLKVASRNTYVLKTDLDTLDSLVGRLHNTVEDDKRFIRLGLNMGNDEHTIQEVLIHLGKNHPNLRNYLDLLEQKLTTCLITVNHSRSQLLKEILLHQTSSDSSHPQWDAKRANNSNLSKVDRGAESPMLYQLCPRIWSPWGFLFDCIERPNELGVPYWARSFRGKRIIYDEEDELQENDSEFLQSGTVQYQTRDRSSKEQGFFLINQFIWDPADPLFFLFQDHPFVSVFSHREFFADEEMAKGLLTSQPAFPTSLEKRWFINIKNTQEKYVELLIHRQRWLRTRTNSSLSKSNGFFRSNTLSESYQYLSNLFLSNGTLLDQMTKTLLRKRWLFPDEMK >KGN57371 pep chromosome:ASM407v2:3:12578988:12580832:-1 gene:Csa_3G182070 transcript:KGN57371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKLTMVGRVRDGLPIAQGIRYVNEENDDVFGYKKQAEFILKEISRGTLSPPKMVILMHHHSFIYLVENGVCYMTLCDSSYPRKLAIHYLQDLQKEFGKFDDALINKLIKPYSFLKFDSIISNVRKQYIDTRTQANLSKLNANRRQPDPDISTCSLSEILERRRKFDMVERSRSNNNTNSEGNSCSIWGSPYLEEIGVKWTPMVVTFGVVLIVLWASFLLFDISLSHHNQFVILYNNRKLFP >KGN56485 pep chromosome:ASM407v2:3:7076895:7079669:1 gene:Csa_3G121610 transcript:KGN56485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFQERDSSMPISRTSNSYTNGLSLTHSAWFEVRLFYVRISPCVIASVPDHLNLRHLRREIGVSLEINGSQIPASDSASIALRRDRLNKESSEVTYVSTDSIRVTGGVEFEVYENEDLILCGSLERMDANWINGSVGLENNSKTGWTMECFMAASMCSGSSAFFQPKLGVSSPAIEVYIAGCCSGMPVILTQTIQVSPRRRNLRQAVLDAIPEDEEVGKEENGSNGLIRHQKVQMLESEVDDYDPDGKMGHGYYGDDMYTGEDGQLSWFNAGVRVGVGIGLGVCLGVGIGVGLLMRSYQTTTRHFRRRFM >KGN56287 pep chromosome:ASM407v2:3:5736647:5737544:-1 gene:Csa_3G113300 transcript:KGN56287 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat-shock protein MGNLIPAILCLLTVAFLAAQRTESFMPYTGAPWGTVVPSDDPFRILEQMPLTVPRGMETMALAQVDWKETPFEHKILIDIPGMKKEDVKVEVEENRVLRISGERKAETEVAMATEEGEKWHRAERVNGKFWRQFRMPGNVNLDGIKASLEDGVLIIRVPKLVEERRRQPKIISVVGERPSVGETDIKVSKDEM >KGN60444 pep chromosome:ASM407v2:3:39424999:39425166:1 gene:Csa_3G911790 transcript:KGN60444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWEQVLKFANLRKRYISLYASLLKSDPTRAIVNDDKHIEELDRELDIELILQWR >KGN59516 pep chromosome:ASM407v2:3:32465369:32469412:1 gene:Csa_3G823640 transcript:KGN59516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDQKLSVVSLVVIHVAVLLFSSVLGAHLEDEIRSLPSQPSDSKANFKQFGGYVTIDEKQGRALFYYFVEAQTQPTSKPLVLWLNGGPGCSSVGAGAFIEHGPFKINGETLVKNEYSWNTEANMLYVESPAGVGFSYSSNKSFYSKINDKITARDNLLFLQNWFVKFPEYKNADFYITGESYGGHYVPQLAQLILKSKANIKLKGIAIGNPLLDLVNDFNARDKFMWSHGVISDSAYMLLSSICNTSRFYQEIFQGFISSDCIFVFSEVSKQLSPLIDDYNVIGDVCSLTAKSQPSVLLHPLSSFITKSVSQRHLLSHPQEKVGIDRDVCSQENIAKYLNRNDVQKALHAKLIGVDQWSVCNSNNSDWHYDLKNWLTPTIGVVGSLVKSHIRVLVYSGDQDSVVSFTGTRTLVNLLANSLGLNITMSYKVWVVDNQAGGWSEAYGKFLSFATVRGASHLAPETQPKTSLALFKAFLDGI >KGN56035 pep chromosome:ASM407v2:3:3434259:3436274:-1 gene:Csa_3G048440 transcript:KGN56035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPKIILPLTLFLLIFTTPRTECIGFDFTSFNIRNLTLLGDSHLRDGVIGLTKELGVPSSSAGTVIYNKPIGFYDADANFTASFSTRFSFSITNINPTSSGDGLSFFLSPDNQTLGSPGGYLGLVNSSQLTKNKFLAVEFDTRLDSVFKDPNAHHVGFDIESLISIKTANPASQGVNLKSGKSITAWIEYKNEECRLRVFLSNSSLKPSKALLDVGIDLSSYLKEVMFVGFSGSTEGSTELHLIENWTFNTSGFVSARPRFNPHNVSDSSVIVSPNISLSDSGNGRHSRLGLGLGIAGPAFFCAVIAVFGFFSLMKWRRIRTQKSIKAELLTGPREFSYKELKTATKGFHSSRIIGNGAFGTVYKAFCISSGNISAVKRSKHSHEGKTEFLAELSIIARLRHKNLVQLQGWCVEKGELLLVYDFMPNGSLDKLLYQESSEASLLNWSHRYNIAVGLASVLTYLHQECEQQVIHRDIKTGNVLLDGNFNARLGDFGLAKLMDHDKSPVSTLTAGTMGYLAPEYLQYGKATEKTDVFSYGVVILEVACGRRPIEREPGTQKMVNLVDWVWGLHSQGKIIEAADSRLNGEFKEDEMKKLLLVGLSCANPDSSTRPSMRKVLQILNNEAEPALVPKMKPSLTFSCGFSLTVDDIIIEEEGGGEWETSRPTIVQID >KGN60483 pep chromosome:ASM407v2:3:39721930:39728604:-1 gene:Csa_3G915120 transcript:KGN60483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMLLLKQFHYFLSSSSKLPFFKSPTDATSNSLSPFRSPNPPRRRLLTVRASLITNSDSFEVGRLIGSYGFMNVTSYSGFQSGEDVEYSSGDLGQLRVQDVGEGSVKIRLYEGRVSQGSRKGTPLIFKVYPGKRAGGLEADMMAANELNAHAFLQSSSKDICSNLALLVGGFETNTGEQWLAFRDDGKYSAADYGKIMSERISKKIEQVSWNPYEQEQLIKRRRNFVIRMFQGIMRGLVYMHNRNRLHQSLGPSSVVLNTIVEKDAAYLIPRLRDLAFSVDVRYPFPEDSLGQLAEGLWRRATVAGAYTPMEKRAFGIADDIYEAGLLFAYLAFVPFCEAGVVDSLSLQRLLESTFRLDLEAMREYCLADDRFVEAVKFLDLNDRAGWQLLQAMLNSDFRQRPLAEAVLNHQFLTRAMI >KGN60249 pep chromosome:ASM407v2:3:37988001:37989974:1 gene:Csa_3G890050 transcript:KGN60249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIAIFSSRFKNLAIGWVSKTVISKSNTSIRLFATSKEIQKKSKSSYISHETAIKLIKNERDPQHALDIFNMVSEQQGFNHNHATYASIIQNLAKYKKFQAIDGVLHQMTYDTCKVHEGIFLNLMKHFSKSSMHERVLDMFYAIKSIVREKPSLKAISTCLNLLVESDRVDLARKLLVNARSKLNLRPNTCIFNILVKHHCRNGDLQAAFEVVKEMKSARVSYPNLVTYSTLIGGLCENGKLKEAIEFFEEMVSKDNILPDALTYNILINGFCQRGKVDRARTILEFMKSNGCSPNVFNYSVLMNGYCKEGRLQEAKEVFNEIKSLGMKPDTISYTTLINCLCRTGRVDEATELLQQMKDKDCRADTVTFNVMLGGLCREGRFDEALDMVQKLPFEGFYLNKGSYRIVLNFLTQKGELRKATELLGLMLNRGFVPHHATSNTLLLLLCNNGMVKDAVESLLGLLEMGFKPEHESWFTLVDLICRERKMLPVFELLDVLVTQEYL >KGN57232 pep chromosome:ASM407v2:3:11522162:11523002:1 gene:Csa_3G172375 transcript:KGN57232 gene_biotype:protein_coding transcript_biotype:protein_coding description:Csf-2 protein MGDLYGKLETDVPIKASASMFHEIFHKKPHHISNASTDKIHGVDLHEGEWGQVGSIICWKYFHDGKARIAKEIIEHVDEENNSITFKVIEGDLTEHYKDFRLTIQCIPKEKGSVIHWILEYEKLHDQIPDSHTLLQFCVEVSQDIDSMLSDIDEP >KGN58876 pep chromosome:ASM407v2:3:28103199:28106864:-1 gene:Csa_3G734290 transcript:KGN58876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPILQKGKRKKEKREYSHITHTTKLPAPLAGRFKFRGHFNTNSIPSNIALFQFTIPTHLLLLSTASIEAYPGCRGRGEAMGQGLSCTHSHETGLFFRALHNGDVDVVRAMVDNDPSVLYHTINHQRSTPLHAAAANGHIDILSMLLDRNVNPDILNRHNQTPLMLAAMSGRIACVQRLIEAGANILMFDSIHRRTCLHYASFYGHSDCLQAILSAAHSTPVSDSWGFARFVNVRDGDGSTPLHLASRQSQLECVRMLLNNGALVSVSTCSCAGSSPLHLAARGGSLECVRELLAWGADRFQFDSYGRIPFTVALKHKHQTCAALLNPSSPEPLIWPSPLKLIIKLDPDAKVLLEKALMDANMEREKAILKETFITSQCPLEADVDIDAYVDNDLASEASDTDLCCICFEQMCNIEAQPCGHRMCAHCTLSLCCHKKPNPTTACPTAPVCPFCRSSISKLLVAEVKNNNDSVDQEISPLKLKGLRTSNFNEENNSLKSLSALFGKFSEQNAGTCIKP >KGN57585 pep chromosome:ASM407v2:3:14573340:14581212:1 gene:Csa_3G219200 transcript:KGN57585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQSLELLLIQFLMPDNDARRQAEEQIKRLAKDPQVVPALIQHLRTAKTPNVRQLAAVLLRKKITGHWAKLSPELKLLVKQSLIESITMEHSPPVRRASANVVSIVAKYAVPGGDWPDLLPFLFQCSQSAQEDHREVALILLSSLTETIGNTFLPHFTDLQALLLKCLQDETSSRVRVAALKAVGSFLEFTNDGAEVVKFREFIPSILNVARQCLANGEEDVAVIAFEIFDELIESPAPLLGESVKSIVQFSLEVCSSQNLESSTRHQAIQIISWLAKYKPNSLKKHKLIVPVLQVMCPLLAESSDGDDDLASDRAAAEVIDTMALNLPKHVFPPVLEFASLSSQSANPKFREASVTSLGVISEGCADHVKSKLEPVLHIVLGALRDPEQMVRGAASFALGQFAEHLQPEIVSLYESVLPCILNALEDSSDEVKEKSYYALAAFCENMGEEILPFLDPLMGKLLSALQTSPRNLQETCMSAIGSVAAAAEQAFLPYAERVLELMKIFMVLTKDEELCSRARATELVGIVAMSAGRTRMEQILPPFIEAAIAGFGLDFSELREYTHGFFSNVAEILDDGFVKYLAHVVPLAFSSCNLDDGSAVDIDESDDENVNGFGGVSSDDEAHDEPRVRNISIRTGVLDEKAAATQALGLFALHTKSSYAPYLEETLKILVRHSGYFHEDVRLQAIISLEHILKAAQAISQSYNDASTKAKEIFDTVMNIYIKTMVEDEDKEVVAQACTSMADIIKDYGYVAVEPYMPRLVDATLVLLREESACQQVESDGEIDEDDTEHDEVLMDAVSDLLPAFAKAMGSYFAPIFANLFEPLMKFSRVSRPPQDRTMVVACLAEVAQDMGAPIATYVDKVMPLVLKELASSKATNRRNAAFCVGEFCKNGGESTLKYYNDIFRGLYPLFGESESDNAVRDNAAGAVARMIMVHPEAVPLNQVLQVFLKALPLKEDHEESMSVYGCVSTLVLSSNPQILSLVPELVNIFAHVVASPIETSEVKAQVGRAFSHLLSLYGQQMQPLLSNLPPAHANALAAYAPKC >KGN58890 pep chromosome:ASM407v2:3:28186875:28191044:1 gene:Csa_3G734910 transcript:KGN58890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLSVPHYPSLKFSTFQSHKTSFRVFSVATNETANYLPQAPILIPDGPWKQIDGGVSAAKGFKAAGLYGGLRAKGEKPDLALVTCDVEAISAGAFTKNVVAAAPVLYCKKALDFSETARAVLINAGQANAATGEVGYQDMIECVDNLSKILQIRPEEVLVQSTGVIGHRIKKDALLNSLPKLVGSLSSSVESAASAAVAITTTDLVSKSVAIESQVGGSTIRIGGMAKGSGMIHPDMATMLGVVTTDAVVATDVWRKMVQISVDRSFNQITVDGDTSTNDTVIALSSGLSGSSSTMISSLKSREAGQLQDCLDVVMQGLAKSIAWDGEGATCLIEITVTGANSEADAAKIARSVAGSSLVKSAVYGRDPNWGRIAAAAGYAGVPFEQTKLKVSLGNILLMDGGEPQSFDRAAASNYLRRAGETHDTVRIYISIGNGQGEGRAWGCDLSYDYVKINAEYTT >KGN56134 pep chromosome:ASM407v2:3:4176686:4183868:-1 gene:Csa_3G077680 transcript:KGN56134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCRNRDFSEDETSSSTLSEALLFATMCLIGLPVEVHIKDGSVYSGIFHTACVDNEYGVVLKKARMTKKGKRNVNVDDGVVIDTLIVLSGDLVQVVATEVILPAGSFSKSLAGCDNESKANDPTLLHPTTAAKTCTESFKEGSQVNQTSDLVEDQNGFARGSVPTLTGKLNDVRQLLQDNAENNQGDGHQKREKINCKKLEDVSDAGINWRQDPDNQLKKEQDDHGQEFDLQKVVNVDRVQSSLSSEKPSTETISATTTTNAFSVGVSTSSHSSVDSSMDSCHSSMSLTTDITPSHKEFKLNPRAKLFSPSVANSMSATPAAPVAANVAYIPNNSPVLPVPVAQPEVEFSPFVPRSPVPAAKFVPYGNSISGFGGNVPQFSQPMVGHVGTRTQPLRYVSQYPLQAGPTFGPPNSSAVMVGRFGQLVYMQPFSHDLAHGTTVVSPVQPCPLLTAQPAQYPKHQGTAATQALQFCVPPPFMAGGHQSLAAVPNQIPILQPPFPLNRPMQVPGTNAFFNTKF >KGN59546 pep chromosome:ASM407v2:3:32604093:32607501:1 gene:Csa_3G824910 transcript:KGN59546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSMLTEPDDLSAFFSGGGGGAGRTLKNITKICASAHRIHSTGVFTGANPLEFSVPLLLLQVGICAGTTLFSYQLLKPFGQPLIVSQILSGFVLSSSGLGQWKAFRETIFPSRGFVLLDVMSSIGSIFYFFLIGVQTDMMIVKKIDTRAFGIGYCAVIVPLLLTIFFSVALVNAFDSKTSKTILLVGGVESFINFPMVASLLSELHLINSEFGRIALSSSMVSGISTMCIIMIGSMLDPIKRTTYDALFVESVSWVIGIGLVLCSRCVIMWMIKKNPVGQPLKEGFVVTLLLGVFVSAFCSQSLGAHSYFGALVFGIIIPPGPPIGPAVMERLESITSWIFMPIFFFKTSLVVNMQSIELKKLLGLSFIIFVSAFGKFLSVLVMSLFNKMPVRDAVSLSLIMNSQGAFELGMFKMLKKNKKIDNESFGIMCTGVMVLVGIITPIIRYLFDPSRRYVVYKRRTVMHSRPESDLRVLVCIHDQEDVPNAINLLEALNPTRRNHLVVYMLHFVKLFGRANPQLISHKFSRGRTSRSGPSEPIINAFKYFGQSNREIVTIYPFTAISPPASMHDDVYSLALDKSVSLILVPFHKRFHSNGVLSLSKNKLKLVNNHILDKAPCSVAIVVNRGNSNILRSIATDLYCFQIAVVFLGGPDDREAMFIGAKMSGHPNINLTVIRLLENGSVTSDDMEERRLDCEAVIAFQRVMVDNYRVRFIEEVVKDGNGTVSVLRSMGNHFDLVMVGRRHNPYSVLVQGLVLWNERTELGEIGEVLSSSDFMENATILVVQQHTNMVHQETIFGSQG >KGN59656 pep chromosome:ASM407v2:3:33355218:33357089:1 gene:Csa_3G836410 transcript:KGN59656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSAFEIRNIVGIIGNIISFGLFISPVPTFYKIYKSKSVEEFKPDPYIATVMNCMFWVFYGTVHPDSTLIITINGVGLAIELFYLAIFCWYAESKSRKKVGICLAIEVLFLGIVALITLLTLHGTKKRSLLVGIICDIFNVIMYASPLTIMAKVIRTKSVKYMPFTLSLANFLNGCIWTAYALIIFDIFVLVSNGLGAISGLLQLILYGYYSVFHQNKEDSDSKTSEVQLSTTATA >KGN55810 pep chromosome:ASM407v2:3:1619940:1625659:-1 gene:Csa_3G017040 transcript:KGN55810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTLSSISEELADIEGQINDIFRALSNGFQKLEKIKDSNRRSRQLEELTDKMRECKRLIKDFDREVKDLEGGNNANTNKMLSEKKQSMIKELNSYVALKKQHASTLDNKRIDLFDGPGESYGEENVLLASNMTNQQLIDNGNRMMDETDEAIERSKKVVQETVNVGTETAAALKAQTDQMSRIVNELDSIHFSLKKASKLVKELGRQVATDKCIMALLFIIVIGVIAIIIVKLVNPNNKDIRDIPGLAPPVQSRKLLWNSG >KGN59448 pep chromosome:ASM407v2:3:31956607:31982199:1 gene:Csa_3G821030 transcript:KGN59448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPSISSSISHLPPSSSPSLSPHSPLLSPNPSLSLLDFVAFYGRSNRTRRKPSLSYSSSSLSTRRSFRHFTSSNSSSSIKAVLDLPLRPSSSSSSSEPVPKVANLEDIISERGACGVGFVANLENKASHKIIQDALTALGCMEHRGGCGADNDSGDGSGLMSSIPWDLFDNWANGQGIPSFDKLHTGVGMVFLPKDDGDNKEAKEVVASIFRQEGLEVLGWRPVPVKASVVGINAKKTMPNIEQVFVQVVKEENVDDIERELYICRKLIEREANSKSWGSELYFCSLSNQTIVYKGMLRSEVLGLFYDDLQNDLYKSPFAIYHRRYSTNTSPRWPLAQPMRLLGHNGEINTIQGNLNWMQSREASLKSSVWRGRENEIRPYGNPRASDSANLDSAAELLIRSGRAPEEALMILVPEAYKNHPTLMIKYPEVVDFYDYYKGQMEAWDGPALLLFSDGKTVGACLDRNGLRPARYWRTSDNFVYVASEVGVLPMDESKVTMKGRLGPGMMIAADLQTGQVYENTEVKKRVALSYPYGKWIKENMRSLKAENFLASTVFETDKLLRSQQAFGYSSEDVQMVIESMAAQGKEPTFCMGDDIPLAILSQKPHMLYDYFKQRFAQVTNPAIDPLREGLVMSLEVNIGKRRNILDIGPENASQVTLSSPVLNEGELESLLKDPYLKAQVLPTFFDIRKGVDGSLEKILNRLCDAADEAVRNGSQLLVLSDRSEELEATRPAIPILLAVGAVHQHLIQNGLRMSATIVADTAQCFSTHQFACLIGYGASAICPYLALETCRHWRLSNKTVNLMKNGKMPTVTIEQAQKNFCKAVKSGLLKILSKMGISLLSSYCGAQIFEIYGLGTEVVDFAFRGSISKIGGLTFDELARETLSFWVKAFSEDTAKRLENFGFIQFRPGGEYHGNNPEMSKLLHKAVRQKNESAYAVYQQHLANRPVNVLRDLLEFKSDRAPIPVGKVEPAASIVKRFCTGGMSLGAISRETHEAIAIAMNRIGGKSNSGEGGEDPIRWRPLADVVDGYSPTLPHLKGLQNGDTATSAIKQVASGRFGVTPTFLVNADQLEIKIAQGAKPGEGGQLPGKKVSAYIARLRNSKPGVPLISPPPHHDIYSIEDLAQLIFDLHQVNPKAKVSVKLVAEAGIGTVASGVAKGNADIIQISGHDGGTGASPISSIKHAGGPWELGLTETHQTLIENGLRERVILRVDGGFKSGFDVLMAAAMGADEYGFGSVAMIATGCVMARICHTNNCPVGVASQREELRARFPGVPGDLVNYFLYVAEEVRGTLAQLGYEKLDDIIGRTELLRPRDISLMKTQHLDLDYVLSNVGLPKWSSTEIRNQDVHTNGPLLDDTLLSDPQILDAIENEKVVEKTVKIYNVDRAVCGRVAGAVAKKYGDTGFAGQLNITFTGSAGQSFACFLTPGMNIRLVGEANDYVGKGMAGGELVVTPTEITGFVPEDAAIVGNTCLYGATGGQIFVRGKAGERFAVRNSLAQAVVEGTGDHCCEYMTGGCVVVLGKVGRNVAAGMTGGLAYILDEDDTLIPKVNKEIVKIQRVTAPVGQMQLKSLIEAHVEKTGSSKGSTILSEWETYLPLFWQLVPPSEEDTPEASAEYVRTATGEVTFQSA >KGN59795 pep chromosome:ASM407v2:3:34413577:34416309:1 gene:Csa_3G847090 transcript:KGN59795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYNHQEITQLLSSSDAENRQVVISMSVVEEDITKLYEASKIGCVETLKTLIQQHPYLIQKASIYTIETPLLHVSVSHGYLEFTQVLLNHNPQLAAEVDVYQRTPLHIACANGCIEMVRAMLEKNTSACLVEDHNGFIPLHYAVTRGNIEMMELLINARPQSILMKLNNGKTVLHLCVEGNHLEGLKLLIAQTLLLFEDFLNTVDDVGNTILDLSVMLRRIEMVGYLLTIPEVNTRTSMTDFSSSNRRKRLQSRKITITKSLQRQRRESISLWTTKKLKRRTFDKMSKKLEYQGDWVHEVQDTMMLVATVIATVTFQGGVNPPGGIWQQDTSFNYSDFNNSTNSWNQWFKSLSLYDDLTNTINPNNNLTVLFPAGTGVMGYQQPQIYWIYLCVNTISFLASVSVILMIVGRFPLKNRIFSWILSLTMCTAVVSLAIGYLIGVKMINLMAIEDYIKFNEFDNVLPSTVFCWLGVVGMVGLWQVAHFLKSLFHIFTSKLKPHI >KGN55774 pep chromosome:ASM407v2:3:1247699:1250390:-1 gene:Csa_3G011760 transcript:KGN55774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSILSVSSNTSTMSFGARIGICSTSSSRFLHFAMRKRAGGRVPVPVSVRASAEPRSERLDEGQTRSRFTAPAMEVTTLDTSFRETEFPVWEKIGAVVRLSYGVGIYGAMALAGKFICSISGTDWMGGFHPSLDAILEGLGYAVPPIMALLFILDDEVVKLSPHARAIRDVEDEELRSFFYGMSPWQFILIVAASSVGEELFYRAAVQGALADIFLRSPDIGADVQGMASLTGVLPPFVPFAQGFAAFITAALTGSLYYVAASPKDPTYVVAPVLQSRSGRKDLRKLFAAWYERRQMKKIYSPLLEGLLALYLGFEWIQTDNILAPIITHGIYSAVILGHGLWKIHDHRRRLRQRIQQVKMEGKSSDSL >KGN56762 pep chromosome:ASM407v2:3:8673154:8674109:1 gene:Csa_3G133130 transcript:KGN56762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASRKKYSPSLFFYSLALKNWQTAIALLHPKIQKSFVKNIRIPSKNTKMSRKIRVICNDPDGTDSSSSENERDESNSSKSKRIVREIHFPLFASSNSSSDSSIHDEETSHTSSHHTNNGGKPQQLTNIRVLTKTLTPERTTSRYRGVRQRKWGRWAAEIRDPFKRARVWLGTYNTAEEASRAYESKRLEFQSAMAAAPKAPTRFKGSHLLGY >KGN55699 pep chromosome:ASM407v2:3:763304:774521:1 gene:Csa_3G006610 transcript:KGN55699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKVVYEGWMVRYGRRKIGRSFIHMRYFVLESRLLAYYKKKPQDNQVPIKTMLIDGNCRVEDRGLKTHHGHMVYVLSVYNKKEKYHRITMAAFNIQEALLWKEKIELVIDLHQGSQVPNGNKFVSFEYKSGMDNGRTASSSDHESQMSAQEDEDDAHPNLLRRTTIGNGPPESVFDWTREIGSDFSNQNANSQAFSRKHWRLVQCQNGLRIFEELVEVDYLPRSYSRAMKAVGVVEATCEQIFELVMSMDGTRFEWDCSFQYGSLVEEVDGHTAILYHRLQLDWFPMFVWPRDLCYVRYWRRNDDGNYVVLFRSREHENCGPQPGYVRAHIESGGFNISPLKPRNGKPRTQVQHLMQIDLKGWGVGYLSSFQQHCLLQMLNSVAGLREWFAQTDERTAPPRIPVMVNMASSTVSSQKSLKAQGSTVHASSSIDQMNAANRNSVLLDEYSDEDEEYQIPESEQEVYPNEQENDIRRVAVEEESTDPIDLSSFSGNIRRDDRDGSRDCWRISDGNNFRVRSKTFCFDKTKIPAGKHLMDLVAVDWLKDTKRMDHVARRHGCAAQVASEKGLFSIVMNVQVPGSTHYSMIFYFVTKELIPGSLLQRFVDGDDEFRNSRLKLIPSVPKGSWIVRQSVGSMPCLLGKAVDCNYIRGPKYLEVDVDIGSSTVANGVLGLVIGVITTLVVDMAFLIQANTTEELPERLIGAVRVSHIQLSSAIPSNLDSYPSD >KGN58694 pep chromosome:ASM407v2:3:27233666:27234598:-1 gene:Csa_3G728110 transcript:KGN58694 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L35 MAIGHRRSFKISVQAKICNLYIKLKATLKLPSGKFQRLLKLDKLLIEVDSKSVSRILLQRRRTLKSRMLSFVKKHLGHRRSKFPHAESRYRSWHVGLLERRCVAAFGVGLGYVVVALKTQIELANAIGPSFSSIIWVYLCNSWIEGNPMSTNSSCFKTILLHRRLQHKNHPLVNAIILLPRDIYPNQY >KGN56670 pep chromosome:ASM407v2:3:8148141:8153545:-1 gene:Csa_3G127810 transcript:KGN56670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGACSTVFQLCQSPPFKLLIIRRFNVFNGMHVSRSSRIYPNQCFCRSIQTETYNVVRGSYIPKTETKDQNEAKKKDEPSKSLENMGAFQKLPMVMPSIDILHSALKTAKRVSPTKGIANIAKRERNRGAKQLDTLMKELAVRLRTYLEEFPKKEYLHPYECSLIELTLGDGKYEQVLRKVDTLRKKVVSTGKEYASLCAKSASKRDAEESLSVGMKKVEEAYIHQAKAVDDLLHIAKTLRAMPVVDLDKPTLCLVGAPNVGKSSLVRILSTGKPEICNYPFTTRGILMGHTVLNYQHFQVTDTPGLLRRSDDDRNNLEKLTLAVLSHLPTAVLFVHDLSGECGTSPSDQFAIYKEMKERYGSHLWLNVVSKCDLLKESPVTFSTENCDHDDIELQKYRRFGPDGALLVSVKNDIGLNELKERVHEMLVSQMTRIKEQKATEET >KGN56543 pep chromosome:ASM407v2:3:7384879:7385154:-1 gene:Csa_3G123160 transcript:KGN56543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHYKKSVVFRPYHASAYIHKGVRANPPDVHGVVEKEVGVSASGEGFTTRNENASKCHTPDAVNANKWSTSTIGDFRCSIPCKGRSEGANK >KGN58185 pep chromosome:ASM407v2:3:22494278:22496564:-1 gene:Csa_3G586950 transcript:KGN58185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKDKGLKAKEGKGKLCRCNGLRKNKDMMFRDLVPRSSPPRFIRDCGVGESSGLKPQDADYSIPLFGDELELSILARFPQSEQWKLSCVSKRYLTLVRSGELYRIRKEIGYQESSVFMLASGESSWMMFDRTFQSCRRLPVLPSDTCFLDADKESLCAGTDLFVTGRELTGGAIWRYQLVENKWIKGPSMISPRCLFASASCGSDAFVAGGIALEFSTEGAFGMGMEYGQTVLNTVEKYSPESSSWEPLPNMHRPRKKCSGCFMDNKFYVIGGRDKDGNHLTCGEVFDKEKNLWDLIENMLEDTPISTSQSPPLVAVVNNELYSLEPASNELKVYLKERNEWKNLGPVPVHAVVNKGWGVAFKSLGSELLVIGASVESSTNNSMSIYTCTPDPRADRLQWRRLDGGTNHLSPFILNCCVMVA >KGN59262 pep chromosome:ASM407v2:3:30635786:30639438:-1 gene:Csa_3G791530 transcript:KGN59262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQLFSCPLGDFEDLDFDAVLVRSISFQDGEMRNPLRSVSFNGRDSEPTILKSFGSHRIRLERPITTRTRELETVNSFKTPCAEMENSEFGISVGSKNCDQCRHEHFSSPHKYSTPRYSEPRHQHYSAALRLQKVYKSFRTRRQLADCAVLVEQRWWKLLDFAELKRSSISFFDIEKPETAISRWSRARTRAAKVGKGLSKDEKARKLALQHWLEAIDPRHRYGHNLQFYYVKWLHCDSKQPFFYWLDIGEGKEVNLERCPRYKLHQQCIKYLGPIERKAYEVVVENGKFLYRYSGKLLHTTGGPRDAKWIFVLSTSKTLYVGLKKKGTFQHSSFLAGGATLAAGRLVVEDGILKAVWPHSGHYLPTEENFLEFMSFLMENNVDLTDVEKSPYEEEERLSKDKFTSLQEDSEDHIGEIDTIDTIDENNPSSLLSSHAETPNQRISRLSRGLRSKITNLQIPERSNVFDIFKKETLPASCRVLIPDSPSDDGYETAEELFLSEEEFMVPKSNLFDEDEEENDEQPIPKENILRRIVSHKEMKSYQLAKQLSSRWTTGAGPRIGCMRDYPQELQNKVLEQAHLSPRGRVVHASHSRTQSRIGSMASTPCSCKDTSTARSPLASKCLVLPQTPTPPAECN >KGN55881 pep chromosome:ASM407v2:3:2232709:2233528:-1 gene:Csa_3G026660 transcript:KGN55881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSFNKTSPLAIFFLLNLLFFSLVTSYQPVHPPSLTPPPSTITPPPPSLTPPPPTNNGVTCPRNTLNIEACANVLNLVNLVLNSQPNQSYPQCCSLIEGLVDLEARVCLCTALKLKIGGLILLRIPIDLNLIVNGCGRKLGYPYNVCPRS >KGN58931 pep chromosome:ASM407v2:3:28415002:28416407:1 gene:Csa_3G736790 transcript:KGN58931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIEQSFRHALAFSRSVFSSSSTSKELLQFRSPIKASAVRVAFRRRRRIFLAHKLPYFFIVLLEFRESSVCSAKEGVYSIRANK >KGN58063 pep chromosome:ASM407v2:3:21024052:21028823:1 gene:Csa_3G481230 transcript:KGN58063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCSQSKIENEEAIARCKDRKIHMKDAVAARNAFAAGHSAYVMSLKNTGASLSDYAHGEVQNPQLDNGSAQSNPNIDSVASSYEPLVPPPPPILDFPSPLHRAASMPEMNILKSDLKPVGPIIEEEDENESDNEGSIGSLRRRRSKKGSGGGGSSRIGNKELDDELEGPPPPVPPPPSNTPPPNVNRPLPRAQQQDSTYDYFFGLDNMPGPSLSEAEEEIEHNQFDKSPEREDNDEMENQGGGSKQAEAVEPPPPPAVAESSAITSKSLKKVGGVSSMDGRRMNDAKFNLLQIFVNLDDHFLKASESAHEVSKMLEATRLHYHSNFADGRGHIDHSARVMRVITWNRSFKGLSSMDNGRDDFYAEDQETHATVLDKLLAWEKKLYDEVKAGEIMKFEYQRKVASLNRLKKRGSNPDALEKAKAAVSHLHTRYIVDMQSLDSTVSEINRLRDEQLYPKLVQLVHGMMLMWDTMRMHHEEQLKIVNALRYLDLSQSPKETSLHHHERTVQLCNVVREWHSQFEKLAYRQKDYIKALNSWLKLNLIPIESSLKEKVSSPPRAQNPPIQRLLTAWHDQLEKLPDEHLRTAISSFSAVISTIMLQQEEEMKLKLRCDETEKELMRKQRQFDDWHYKYQQRRMPDELDPEKSEENSQDAAVTERLVVVESLKKRLEEEKETHAKQCLHVREKSLVSLKNQLPELFRALSEFSSAGSDMYKNLRLICQV >KGN55623 pep chromosome:ASM407v2:3:309228:309861:-1 gene:Csa_3G002440 transcript:KGN55623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILLGLELQRRGGDLDSSVELIPFSRSFALLMRSISVPPSSSSFSERIAELELVSKSFMNPSTSSSTHFRFWCLDKTCLVDAIWRSSSMEAYKSSSPNKPLQIDLEVEGDEFSNGFNLLSCSSILRVVGWDLEG >KGN56462 pep chromosome:ASM407v2:3:6966714:6967892:-1 gene:Csa_3G120410 transcript:KGN56462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESFNSTSRAFKALFTFFSLIFFILSPLVDATPAPAPAPSSDGTSIDQGIAYVLMLLALVLTYLIHPLDASSYNFFLN >KGN57171 pep chromosome:ASM407v2:3:11096978:11099923:-1 gene:Csa_3G166360 transcript:KGN57171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSSSNSLFIKPIYIAFAIAFTFLISSPIGSYAFTAQNVIDSPLLTKKIGTNRTIKVDINGNGEFKSIQAAVDSVPEGNSQWMIIHVRKGIYREKVHIPSSKPYIFLRGNGKGRTSIVWSQSSSDNVESATFKVEAHNFIAFGVSFKNEAPTGVAYTSQNQSVAAFVAADKIAFYHCGFYSTHNTLFDYKGRHYYDKCYIQGSIDFIFGRGKSVFHNCEMFVIDDKRLTIRGSITAQNRKSANENSGFVFIKGKVYGVGGTYLGRAKGAFSRVIFAKTYFSISVVPAGWTNWSHVGSTENLYHGEYDCYGPGSESGNRAPWAKQLTKEEATPFMEVTFIDGTDWLPAWL >KGN60293 pep chromosome:ASM407v2:3:38336650:38343821:1 gene:Csa_3G893430 transcript:KGN60293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVLRERALSLLAAANNHGDLTVKISSLNQVKDIILAIEPSFAAELYSYLVELQSSPESSLRKLLIEVIEDIGLRAMEHSPLLMSVLLASLKDGESIVAGQSIISGQKLFCGTLREMALQLHRRGKVERWLEELWMRMLKFKDEVLAIALEPGSVGKRLLALKFLETYVLLFTSDTNDPQKAISEGNRDVFNISWLAGGFPILDPVGLMSEANRMLGILLNLLQTSSVPGTYTVTVVSSAAR >KGN55630 pep chromosome:ASM407v2:3:336625:338205:1 gene:Csa_3G002500 transcript:KGN55630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGLCRRTWQALTFRNQPFFSEWSFGKYHRDSYGFIKLLGHCRSIRSVQELHAQILVEGLDQNGFVAAKLIGKYVEHDEGEVKMGTARKVFDTLVRRDVFVWNVVIQGYASLGPFVEALNLFDEMRVSGEPTNRYTFPFVLKACGAMKNSDKGEIVHGHVVKCGLDLDLFVGNALIAFYSKCQDVETARKVFDDMSLRDIVSWNSMIVGYTLNGKEDEAIMFFHAMLHNQADCTPDSATLVAILPACATKSASQVGFWVHSYVIKTGIEVGAPLGSCLICMYGNCGHVNIARDVFDRIDDKNVIVWSAIIRCYGMHGFADEAFNMFRRLEEAGVKPDGLIFLNLLSACSHAGLVAKGHEIYEKMEAYGLERKDNHYACMVDLLGRAGFLEQAVEFIEGMPVQAGKDVYGALLGACRIHNNLELAKEVGEKLFILDPEKASRYVTLATMYEDAGQWEDAAKLRKLLRDRNIRKPAGCSSIEVDRIHHVFGKKDETHPLTEEIFDTLEKLERIMEEDFEPI >KGN59358 pep chromosome:ASM407v2:3:31306854:31307746:1 gene:Csa_3G812790 transcript:KGN59358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACTIHKLHQKRKPLNQFNIIKNPPNETHSPHQNSHPWPPSSFHMPSLAPLSVRRRTPEKSGANNGGGGGGRRSRDRREDGSRAVQFEDSESEISPPFLLLRPTIQRISPSTGPSLLLIFLFVFVMMREKGNGEDEDRRERNWAFI >KGN55671 pep chromosome:ASM407v2:3:564051:571771:1 gene:Csa_3G002900 transcript:KGN55671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPTPEEPNNLQNGIEIQPHISSESDQITEPRSGPEEPTVDSIPSSELQRERESESVSNGVPDSEPESPRKQLSESIHLHVVTGVTDPSVEEHKETSTPSNGNTENLQPALRKDEGSRTFTMRELLNGLKGEDGSDSLNESEGERPEGNSGYSLNQDSPHQPYSEQSRAAMELINSVTGVDEEGRSRQRILTFAARRYASAIERNGQDYDALYNWALVLQESADNVSPDSTSPSKDALLEEACKKYDEATHLCPTLHDAFYNWAIAISDRAKMRGRTKEAEELWKQATKNYEKAVQLNWNSPQALNNWGLALQELSAIVPAREKQTIVKTAISKFRAAIQLQFDFHRAIYNLGTVLYGLAEDTLRTGGSGNVKDVSPNELYSQSAIYIAAAHALKPNYSVYSSALRLVRSMLPLPYLKVGYLTAPPVGRPLAPHSDWKRSQFFLNHDVLQKLNIGGEQIQTSPSILGRSGSTLNGDRTIKVEIPDIVSVSACADLTLPPGAGLCIDTIHGPIFLVADSWDTLDGWLDAIRLVYTIYARGKNEVLAGIITG >KGN58458 pep chromosome:ASM407v2:3:25370547:25373731:-1 gene:Csa_3G645960 transcript:KGN58458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAMRCSCFLSRLNSPPSPSSHHLSRSLHLSGKVPHVAINVDNFVKFCGESILRPLQIQNALPVIKCQGNIETRFPVMAFLLANVFTFSVPLKAMAETCEAESSAFNMPILLAVALVGATVGGLLARQRRGELKRLNEQLRQINAALRRQAKIESYAPTLSYAPVGGRILENEVIVDPRKEDLISRLKSGKNFLRNQDPEKAFVEFKTALELAQGLQDPIEEKKAARGLGASLQRQGKYREAIKYHSMVLAISEREGEQSGNTEAFGAIADCYTELGDLEKAAYFYDKYIARLETD >KGN60228 pep chromosome:ASM407v2:3:37800865:37804719:-1 gene:Csa_3G889840 transcript:KGN60228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLFSLLPYSFHLHFTLSTTMALSFLSSLPSFLPLLPPQTAFSSPTSSFPSLTVKLRCAHVKTDASNQDRISRRDVLQGFGGTLALGLMVNSDLMVEPAYAADLIQRRQRSEFLSSIKSTLYTAIKKNPDIVPSLLTLALNDAVTYDKATKSGGPNGSIRFSSEISRPENAKLSAAMSLIEEAKKEIDSYSKGGPISYADLIQLAAQSGVKSTFLASAIRKCGGNEEKGSLLYSAYGSNGQWGLFERQFGRSDAEAPDPEGRVPIWEKASVQEMKEKLSAIGFGPRQLAVLSAFLGPDQAATEELLASDPDVFPWVQKYQRSRETVSQTDYEVDLITTLTKISSLGQQINYEAYSYPVKKVDLSKLKL >KGN55715 pep chromosome:ASM407v2:3:865298:865618:1 gene:Csa_3G006755 transcript:KGN55715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVLVASSELQDSHSSWNSFVLHSPKTQHSLMFLADESVVDLRSLLKLISHRHCHSPQPVSFSCSLLLLPPPLLTSVSSSLFEISESLETATQPKSFPPPFLQALA >KGN58353 pep chromosome:ASM407v2:3:24372171:24386926:-1 gene:Csa_3G627150 transcript:KGN58353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEGEKDTEFVTPGEVLGNFSDFKPGRGAYVTDNTVYASLSGFRRIIHPPSDSSDLRSTVEVTGHKAHGAVPAPGSIVIVRVTKVMTKMASADIMCVGPKSVKEKFTGIIRQQDVRATEIDKVDMHLSFRPGDIVKALVLSLGDARAYHLSTAKNELGVVSAESTAGAEMVPISWTEMQCPVTGQIQQRKVAKVGG >KGN58743 pep chromosome:ASM407v2:3:27481762:27482543:1 gene:Csa_3G731050 transcript:KGN58743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDHYNADSFKAFFEAWLLRQRNYLDDLLSTAHGTPQNRDLQVSISRILSHYEDYYEKKSRIAQTDIFLVFTPPWFTTYEKTLLWIGGFRPGLIVRLVNQSIDDLSDEQVVRIRRLKDDTKVEERLLNNDLAKIQEKVAAPPLLEFFRHGGHDGVIGGEAAMESLKAAFQSVLASADLLRRDTALKVTQILTPAQTVRFLAAVAQLHLRVRALGLQEDAKRDPTCVALDKDPVR >KGN60267 pep chromosome:ASM407v2:3:38099792:38108770:-1 gene:Csa_3G892210 transcript:KGN60267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHRTTYFFPRQFPDRGFDSASTSKHILDHEKKINKDTFSTESDAKPTPRPARDFSVTKSSAVSDLFTGDKAQTNKKLPAFYDWLVDKKATRSATAHVKTWLSNCDEDRELLLPPPTSEPEHDTTSVKDRSVDRNFDRQVSLPRLSSGSSYAGSLFSGTGTGTVDGNFSSDVKDSSASKILSSHTARPEEIEVGDDKENIAQKATESYYLQLALAATLRSHANLAGDPVLMEEGRVEITDAETVSYRLWVSGCLSYSDKISDGFYNILGMNPYLWVMCNDFEEGRRLPSLMSLRTIEPSETSMEVILVDRRGDSRLKELEDKAQELYCASESTLVLVEKLGKLVAIYMGGTFPVEQGGLHLHWKVVSKRLREFQKCIVLPIGSLSMGLCRHRAILFKKLADYIGLPCRIARGCKYCVADHRSSCLVKIEDDKKSLREYVVDLVGEPGNIHGPDSSINGGFQSSMPSPLQISHLKEFQEPYVESYFNHQTVGSKQICGFPEYPLRSGFGQYQMKGGSTLRMSSGAETDKLVDQACMGIGSTQLCLETKVSKECVLQNHIMPSTGADASEVLSSVGGASLCENKVVIEEIYQEEAVVAAGISVNETINPSKLTLSTQTDSKEIVGRSQNCSASTYPKYLTLEPSLAMDWLEISWDELHIKERVGAGSFGTVHRAEWHGSVAIMKRVRHPNVVLFMGAVTKRPHLSIVTEYLPRGSLYRLIHRPSYGELMDQRKRLRMALDVAKGINYLHCLNPPIVHWDLKSPNLLVDKNWTVKVCDFGLSRFKANTFISSKSVAGTPEWMAPEFLRGEPSNEKSDVYSFGVILWELVTMQQPWSGLGPAQVVGAVAFQNRKLSIPSSTSPLLASLIESCWADDPVQRPSFASIVESLKKLLKSPQQLIAMGGT >KGN58680 pep chromosome:ASM407v2:3:27169228:27176717:-1 gene:Csa_3G727980 transcript:KGN58680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKEKKKRKNKKKKNKQIRTSEDEMVVSESTSVDDTHPRNRQNDQNPISDTLISYQHSSGTKDAKLDDTIKHLHEENNIHIKRMADLDLKLVECEGEKYSWLQKEEALMDKIRNLQEDKTALDLEGARLLNIIKLLERDKASLILDEKSSRETIVDKNKDISRLQAQVVELEEQKRDLLHENKQLTGKVADYQSKLLNLERKISSTYIHSSDRVTKEILNSQVDAARILVDKLITENAELIGKVNELFVELQRVTKTELPSGVVPDQMATEATDTTTFNESEPPVILNSVTSGKSLDALKSVSIHSHSIGGDFVDLGSDFMASEASMPMAAGEIEQIQLHQFEDQNGTRELPATEIDEKDVLLSDAPLIGAPYRLISFMAKYVSGADLVGKS >KGN56714 pep chromosome:ASM407v2:3:8376476:8380066:1 gene:Csa_3G129710 transcript:KGN56714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNSDWPKIRFDVQATIRYNTADHSSMLSSSSSPSRCVCLSGASCVDHNPHNLLFRTVHSHPTICELNFLIPFNEFEQKVILEHHQMHLQAQILTNQFVPSIVPLKSTSKSPFAHPKGCRFREVSYRALKCSVATISESAPTELRNVKPFPAEVSRTIMELSSVGTLSSLSQEGWPLGVGVRFAVDQDGTPLLSLNESLPEFSIDGRSSLHVQLEQCGLRTPQCTIQGSIGKPDNKMALKRLHTTWRKRFGEDINEDLLYIVAVERVLQIDDFGEVGVWVNSSDYITASPDPLRNCAEKLVDDINTNNSEDVNRFCNIYADLNLQPTNSRRSLLDLFLLFF >KGN59082 pep chromosome:ASM407v2:3:29334285:29337537:-1 gene:Csa_3G760520 transcript:KGN59082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFGVIGVFISASIITAGSQWLFPRMGFHGLSARDYVAIGAIFSSTDTVCTLQVLHQEETPLLYSLVFGEGVVNDATSVVLFNAVQKIDISRLNSKTALHLLGDFCYLFSTSTVLGLTEQKKTVDFFLLVSGVSFSMPLTGLLTSYILKTLYFGRHSSVRELAIMVLMAYLSYMLAELLELSGILTVFFCGIIMSHYAWHNVTDSSRITTRQVQTQLLDAC >KGN57410 pep chromosome:ASM407v2:3:12844086:12846850:-1 gene:Csa_3G183920 transcript:KGN57410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGLSAATLPKPFHLFLTSSHLSPKPPSPFLFPPSVFRNSRFQWKMRRKTLLTVCVLVEGQNSSGKVDNLSDEESPIVVPQIPSPHVSERLARKKSERFTYLVAAVMSTFGITSMAVMAVYYRFYWQMEGGEIPFSEMFGTFSLSVGAAVGMEFWARWAHRALWHSSLWHMHESHHKPREGPFELNDIFAIINAVPAIALLSYGFFHKGLVPGLCFGAGLGITVFGMAYMFVHDGLVHKRFPVGPIANVPYFRKVAAAHQLHHSDKFNGVPYGLFLGPKELEEVGGLEELEKEINRRIKWTARKSIDGS >KGN57723 pep chromosome:ASM407v2:3:16206351:16206656:1 gene:Csa_3G263210 transcript:KGN57723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLKFAKIQTSKKKKLEELAVLSKRIESVVVRSKAADEEEVDRLKVVVAELDKEIERMSPLEPRIRLEELRLIDPTESKKGKENVGASKSEDAQTSELLSA >KGN57469 pep chromosome:ASM407v2:3:13379333:13379578:1 gene:Csa_3G190880 transcript:KGN57469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISRQYAMHRRLSFVDMAFYQRQQKLSRCIFAYVHADVRMCVDKAFADTSMTFSRRHCASSKVLFLVMYFHFLKIHSLYS >KGN58821 pep chromosome:ASM407v2:3:27842606:27844762:-1 gene:Csa_3G733260 transcript:KGN58821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNLDDGEFWLPPQFLVDDDNMLHQKPCATTNKNIDPNCLGSTPFQSVPPSFPFEFGTFGGFSDFGSSGESLKGSSETESDEEESVAGLTTLRMPRSSIDDTMVLSRSPQSTLCDMGSGSGCSQVSSRGSPKGNCKTQSPPATWDLLHAAAEEVARMRINENHGLLHHNRGASQVSVPVKTLTTGTGFYQQLQALQFQHLQQKEIMQRQNLTVGEQLNSPAGYQHQHIHPMVPNGVRGCRGFSSSAWLPPPQGCSGMRTLFLGTQGGKRECAGTGVFLPRHTTTQSEQRRKPACSTVLVPARVMQALNLNYDDICSQPHLQPVAGGRFDSKNDLLLRLEMNRGGNYQKHNSRRQSPTEREIKLPQEWTY >KGN59062 pep chromosome:ASM407v2:3:29156526:29157477:-1 gene:Csa_3G750390 transcript:KGN59062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQEESIGIEETHRKISYGSMVQLGDSLPDFPHIKGEKEVGEEEEGEKRKMMLEAHLDYVYTQNENP >KGN58012 pep chromosome:ASM407v2:3:20419412:20427495:-1 gene:Csa_3G433690 transcript:KGN58012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSLSNQTDSLHVERRCVVKLFPNAIYNASGKNLFPNTLSASRIVKSAIRMKNTSRSHVAFKESGDVRFLLDLALQIMM >KGN55607 pep chromosome:ASM407v2:3:211613:215223:-1 gene:Csa_3G002280 transcript:KGN55607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPEGIVEHRSSIAAPFIFFIVIGFQFLAKWLEHLKKRGSNSQVEMELRKSIKQLLKEASTLSQPSTFAQAAKLRRLAAAKEKELANYQESRNKEIKTSYGLYSQVLLVSKVIIHIVLVCWFWRASVATVPHHLVQPFGKFLSWRAGGTVNDYVKVGIIPWLILSTRVSKFVFRVVK >KGN58151 pep chromosome:ASM407v2:3:22020001:22020291:1 gene:Csa_3G560260 transcript:KGN58151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSRGVGAIAKAKKVAGLLGRLTNNKRMKGRNKAIQAVWRCFGAVIDEFHRQRNFDAQMGDLTTWVRAMLMDEDTCIRRFKGERGKVVNFLRNQG >KGN60329 pep chromosome:ASM407v2:3:38598157:38603369:-1 gene:Csa_3G895750 transcript:KGN60329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEHHQKNDSSIILRTTVPFIEIDSLFIDLSSCIDKPDAGNCDHFSIRGYASQMREKDWKKCWPFDFDGDYESAETISLLPPFHVPQFRWWRCQNCRKETPAGVEKSSNLDMPDAIEAIANASTDLCNLNHPPSFTSERQKKAEGDEVDSRWILNTEFPIATSVVPEVESNLMLEKTRSDPVHRESVKNSKLLCGNEVAEVELGLRNLKVIDENLEGFDDEEQKTAHNEQTEVTRSPSGFKVIDQACNGERQRFPADIDGSYATASEHTEISVENDMQDHHIDKSGSLHRRKPRKVRLLTELLNENENVKTNHIDTEESPSHGTSEKSEGLKDLSVSQCPVAARKNVRCSGQTSKSKMPLNEDSLAAETSSSYNVYNKIQPLKGDVETNSFHASESENALIATDVRTKKSVLNKCGNDLKSLHDKKNKKIQIEACSPLDIPPGSGDNISDVSLKHNEFSSSAMDPFLLFGSRIEPISSLSKRKSKMPVIDDRRGFSWSNSMPRRDSASKEVELRNSDPVVVSCSSVLDECSEDTFSRQVGYGGVNGKITSGRMHLQNGKQSSNSQANDDSWSQFQAMDNSGVNKVEKSVQEHLAAQMKQSEQTVGNISEQRALDDIPMEIVELMAKNQYERCLDNTRNSKSLSKTSSKKARIMNFSYAYGSSDSLQEKNIPKWKPQVRNGRNNLHTVGDNVAYGKQSSGNYFSHTVGGHFSIDHLRQTIIPPEYSTFGHSQNKSSNPVKLLARSTSEKACSQYSQYPGVAEDQESSHYRAQSFRVNNAHHPVSQNNEGVSHLWNEVPPNHHSYIPTTPRKVASQSTTVTANKNYPESSSRGSMNRGHNPKFFNPKVTNLEKDDGNYGLENFSRTSAKYPFYCHSNGIELPQNPRGSLDLYSNETMSAMHLLSLMDAKMQRSEMHENPKFTKKAFPHDHKAKDISGLDVGLHKAYDTINYSSDYYGEIHPLKKSHDCYHRPSMGGSSISPPMGNGSHEIVSDLTGKVALQCKQKEKTKCSTSTLNRAQKSQKSVLTSGQGSNEGVFPIHSLQKKSGGPSSSLVSMSGYPRLENPGQCIIERHGTKRMLEHSKVSSEFGICMINKNPAEFSIPEAGNKYMIGAEDLQFSKRISENTSDLNNMDGRKRKRNTKHAVVKQPALQHYRM >KGN56922 pep chromosome:ASM407v2:3:9533379:9535437:-1 gene:Csa_3G144120 transcript:KGN56922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALCSSLPVLSFNPIPKIIFKSSEIISATPFSIRKISGTNGISSSKLLGKLTRRSLSLRLNAAGLSEIEPDLNEDPVDRWETNSVSPEDFEYGVYDGHHTYFEGEKKGTFWGAIADDIAAVGPPTGFQGLISWLFLPAVAAGMYFNVPGEYLYIGAAIFTIVFCIIEIDKPDQPHNFEPQIYNMERGARDKLISDYNTMDIWEFNEKYGDLWDFTVKNDDITKT >KGN57035 pep chromosome:ASM407v2:3:10192960:10196162:1 gene:Csa_3G150150 transcript:KGN57035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDGRSPACDRNDIRLQISETCSGNTTMFEPRGASITMRESSSVDFVSPMKPVVRAPEKKLTLFALRLAVLEKAATGLGTLGFIWATVVLLGGFAITLDKTDFWFITIILLIEGTRIFSRSHELEWQHQATWSIADAGLNSFRALRTRSQFLVRKIEATFKSVLALGKQSRGREIRGNSNANDRGMSEQSRMPTRQWSTPDVPLLPYAQWVFLSKNISKLLYWLQLISATACVVLSLMKLIKHNYGNIAKGDMDKRNRRAALSIFYGLALAEALLFLIEKAYWEWKVIFRKLLEKVNIECELGPLGMISTKRFFYDAYSRCVNGSIFDGLKMDMISFAMELLDSSFPDEQLIGVRILRQFSMNQRFSNDTLEKIGVNLAVIERLVEMLNWKDPQEEEIRLSAAEILSKLAGKKQNSLRVAGIPGAMESISSLLHNGRSSNVSADEISEKKIIHDRANYSFWTFNHLGLVILKKLARDHDNCGKIGNTRGLLPKIIDFTHAEERLLKDEHVAQSQIQTVKRSLQVVKMLASTTGTTGKFLRNEIAEIVFTISNIRDVLRYGDKHPSLQKLGIEILTSLALDEDATESIGGTGSVLKELFRIFFNQEMGEIHNRTRIAAGEALAMLALDSKSNCNRILKLEVQEKLVTTLEIPLLRVNAARILRNLCVYSGPEGFDKLRGVAAAASTVIRAIKSEDQKLQEVMIGLAAQILKFTTSHEAAITFERAGTTQAELAATLVQILKKHKNPPTKTPQIRRFVIEMAIWMMREKTENVHYFEELGMGKELETVLETTAELESFNIFSGTVGLSRHRMTMHSLAEIALGLLGRW >KGN57696 pep chromosome:ASM407v2:3:15815184:15815627:1 gene:Csa_3G253530 transcript:KGN57696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQIPAINRNPFEGKKRKEKNDWCCTFRLAGVLLAGEKRANKLQNSNSVGEEQQPRMGKDAKDLWRKKKNSGNKEFEVNAVCSPKIPSVNSSLNELTAAKSVGEEQQPRVGKEAKDLWRKEKNGGNKESDVNAVFFFQNSICQQLPK >KGN55609 pep chromosome:ASM407v2:3:219281:224330:1 gene:Csa_3G002300 transcript:KGN55609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGPGRCLLVTGPSGVGKTTLIVKVFEMLKASSPNLKIKGFYTREIRQGSQRVGFEVVTLDGRTAPLASTSVSSSESLRWPTVGRYKVDIASFESLALPELQVEKDTDLFIIDEVGKMELFSSSFFPAVLKVLESNTPLLASIPIPKFGRDIPGVARLRNHPGANILTLNPSNRGEANEQIHGEILNMLEQQQH >KGN56553 pep chromosome:ASM407v2:3:7433328:7436763:1 gene:Csa_3G124240 transcript:KGN56553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVRLDFLDLLQPDMSRKILMCLDDISDIVRASAVSRCWQHLVIENGLSKQLCFRSFPHLSRVASIVEVNNSEVNGNKEVACSSSRDSKSAQRDHRVYAYLAHASTSFLMRHCISEAIIASSTDNEPEESINNTLDARDLVARRASYWSSKGQFKPDVPETLIYKLVSNLCVVYEINIRPFQAFFQTGLPIYSAKAVRFRFGHLTHVIDHRSDLVGESHCGSAKETFIWTYTSPEFPMAQESYLQRFKLPEPVLCIGGILQVELLGRVQRQETDALFYICVSHVQVIGRPLSPAFDIEILEPSWDFILKCNHQAKASNQLSMLENEPRTILPTYLGRRVIELRQIVNMLRGNVVQGEDYAWGEDEDDR >KGN56260 pep chromosome:ASM407v2:3:5454924:5456610:1 gene:Csa_3G110080 transcript:KGN56260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKCRNVKMESLNIYAPANSPNTDGIDLEETTYATILDSNIGTGDDCISLGHGTFNVFIQNVFCGPGHGISVGSLGRKEKENGVQNVTVQSCRLSNTQNGVRIKSWGRPSTGFGRDIRFQHITMTNVKYPIIIDQNYCPHHQDCPGQDSGVKISNVTYQSIYGTSATLVAIKMDCSPKFPCKGIVLDDVKLTYKNGKAKASCSHAQGFDVDLVEPTGCFYSRATEEFLSSI >KGN57091 pep chromosome:ASM407v2:3:10600147:10601635:-1 gene:Csa_3G153160 transcript:KGN57091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMEDLTEEERRALRGSKFAPLPSQSTSSRSHPRLAHPGGPLKTNKAAALAKFLHRKLNQDPNALSSINPQILDLAVRNAKASVHSSGTNIRHVDTFDDPEASFDEGESMNSEPKKQKKKNKKKKNKNKKNKRQKIVEDSECGVGGKPKKKLRL >KGN60020 pep chromosome:ASM407v2:3:36303236:36304151:1 gene:Csa_3G866530 transcript:KGN60020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAGLGKCSKIRHIVRLRQMLRRWRNKARMSANRIPSDVPAGHVAVCVGTSSRRFVVRATYLNHPVFKKLLVQAEEEYGFTNQGPLAIPCDESVFEEVIRFISRSESPNSGRFVKLDDFQSYCHIGIRTGLDLWPESRPLLHGLAEKSICSGECLWRWQKLVEGSIHHVVPSSNRSRGGTEKEEEKQQKVLLIRPEVYFL >KGN59750 pep chromosome:ASM407v2:3:34032499:34032826:1 gene:Csa_3G842720 transcript:KGN59750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQGAHRRIHKPTTAILSHCLRRLSPLKILHQKLFNANLLEQPQWPMVIHDRNFIEDVESSTDKFLKSGDHNLL >KGN55604 pep chromosome:ASM407v2:3:192952:195852:-1 gene:Csa_3G001760 transcript:KGN55604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCLTSSAHHSNAVPCQAVFSTPGTSGNFSGIVESSGTNSTAGGSQFSAAGSVDVSESCPSGKILDQPNLKEFSFTELKLITKNFRPESLIGQGGFGKVYKGWVDDKTLAPSKSNSGMVVAIKKLNAESVQGFQEWQAEVNFLGRLNHPNLVKLLGFCWEDDELLLVYEFMPRGSLENHLFGRRSSIEPLSWERRLKISIGAARGLAFLHSSEKEVIYRDFKASNILLDLNYNSKISDFGLARLGPTGEESHVTTRIMGTYGYVAPEYVSTGHLYVKSDVYGFGVVLLEIMTGLRAHDMNRTSDQRNLVDWAKPFLMKKKRIKNLMDARIEGQYSSKAVTLVGDLTLKCLETDPRKRPSMQEVLEELEHIEELKEKRKESKSSNSQSKQPLHQRQPNNSSAKRQ >KGN59438 pep chromosome:ASM407v2:3:31890326:31891052:1 gene:Csa_3G819950 transcript:KGN59438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQVSLRTKPCRFQKRWKMLNPKVRNDHAEFYFPLYGELQMEDEVGRVWKICKSNPRIGESVAAIVAWGKLKFILLKMVTKGEELVKQMADSSCHIGPLAWDALVKLYVEAGEVEKAESFLLTAVQQNQMKPVVNYHIHYARWGDAYLNAKAPAYDNALAENLAQADALRKTVVSDLLD >KGN58438 pep chromosome:ASM407v2:3:25244930:25245157:-1 gene:Csa_3G644770 transcript:KGN58438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSWHKASARSCRGSRLQRYAMYAEEIFSIVDVSCFGEIGIPVVFSVMCLSTSSATSQQPSPTLVRVSDNVLLRL >KGN58823 pep chromosome:ASM407v2:3:27851061:27854368:1 gene:Csa_3G733280 transcript:KGN58823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLTFTHQQHTEPIPLTNLSPTPSVCFNPFSNLGNWVSKSARKLCHRPRFSSGFCCRGLEYRGMVVNNSAFLEVVAMEIEVKEEKCDVFDEKTASISSSDLLKPVETEEVEERSLKDEESSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSTSAASMGWPLHETVVEGEEDRVVEREVSTDSETEMMKERFSKLLLGEDMSGSGNGVCTALAISNAITNLCGSVFGQLWRLEPLEAERKAMWGREMEFLLCVSNHIVELIPIWQTFPDGTKLEIMTCRPRSDLYVNLPALRKLDHMLLDILDSFVDSEFCYIDQGILATDQTDASSSFRKLLERQDDKWWLPIPRVPNGGLSEASTRHLQHKRDCTNQILKAAMAINSVTLADMDVPISYLEGLPKNGRASLGEAIYKYISSDEFSPALLLECLDISSEHQAIEIANRVESAMYAWRTKGIASNNSKSSWEMLKELMIDADKSEVLAERAELVLLCLKQQFPNLPQTSLDMSKIQYNKDVGKAILESYSRVLESLAYNIVARIEDLLYVNELTKHSDQIPGISQLGIVAHNSSNRIHISMPFSTSPYNTNFIKPSFSSVDLVGTRSPIPKPPQCGLEESEKSD >KGN58815 pep chromosome:ASM407v2:3:27824305:27825964:1 gene:Csa_3G733200 transcript:KGN58815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALHTFNLCCNDYVTFISSRKSVRCSQSFSVFHHVPSAFPKQCEIRLVNSSSGGSGFLLPSNFRHVGSRNGNFRPCKQASRKLSFRPCQLKAENSSGESITLDAETLEQDLQNAIADEDYARAAEIRDTLKALQEDSKTLVLTANAKFYESFRTGDLAAMQTLWARGNSVCCVHPGMRGISGYDDVITSWEYVWANYEFPLEIQLKDIQVHARGDVGYVTCVELVKTKGSSWGGQFVTNVFERINGQWFICIHHASPIDL >KGN57164 pep chromosome:ASM407v2:3:11045203:11048204:-1 gene:Csa_3G166290 transcript:KGN57164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSTPVSHTINISGISQESFQEESLLSCIERKLEANCSSFTIYKAPSEINIEDRNVFLPAKVSIGPFHHGAPHLESVEKLKWHYLSTFLTHKPSLTLQDLIKLVVKSESRGRKCYEKEFYSSDRDEFSQIMLLDCCFILELLLRYTKRRFRRPNDPVFTTPGLLYDLRCDLVLLENQIPYFLLEEIYAKVLDGLEENMYLSDLTSRFFRTMVPGDRKFIGDNFIVEANHLLEMVYSCFLSTYPPVETNDKLKSKELPSASKLKAAGIKFKNARSSKSLLDIKFQNGVLEIPPLRVYQKTETILRNLAAYEICQFGTDLQVKSYLNFMSHLLQSDEDVKILCRKKILNALKDEEEQIIEKLKWIREQKDSLSGTFFAGIVQKLKEKPDRSVARWRRLRSNSTAISVATVLMVVVIFGAAFFAAFSVLQRRYK >KGN56943 pep chromosome:ASM407v2:3:9681574:9684171:-1 gene:Csa_3G146290 transcript:KGN56943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRPGRRVKEEIPASGSSGTTTAASPQPMEGLHEAGPPPFLTKTYEIIEDIGTNHIVSWSRGNNSFVVWDPQSFSLTLLPKYFKHSNFSSFVRQLNTYGFRKVDPDKWEFAHEGFLRGQKHLLKLIRRRKASQPNASHQAPDSCVEVGRFGLDGEVDRLQRDKQVLMAEVVKLRQQQQNTKTYLQTMERRLKKTETKQQLMMNFLARAIQNPDFIQQLIHQKDKHKELEETINRKRRRHIDQGQPDFEDEEEKEDDYDHLPPFVNDDIEMDVDLLPIGDEEHLMKYHVPKKEIMDENCERNVDNIEDLNEGFWENLLNEANEEDHYGFGTHGFKEQQDYKDEDHHGNNELVDHFAFFTSNNLK >KGN57775 pep chromosome:ASM407v2:3:16956299:16956840:-1 gene:Csa_3G292550 transcript:KGN57775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSMLKRSTTKKGKKVNTNTKCNRFLVSINVIGSTGPIRFVANEDDLVCEIIKTSLTLYARQARLPILGTNVDNFILFCVNDEKRDALSPRESIGSKQVRHFLLCKKQGSPHSLLLNEKMSRQREEEKGRNHWKKWLNKSLSYSIQSHCML >KGN55648 pep chromosome:ASM407v2:3:433306:436772:-1 gene:Csa_3G002680 transcript:KGN55648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLPNSLSLSPASDLLVKSPLFKPASVGCFFPISSRSSAHLRCSSTGVEFNRNGFSGLSSRSTRGKVFASSAQIMDQSAIKTDSSAPTIVEVDLGDRSYPIYIGSGLLDQPEILQRHVHGKRVLIVTNETVAPLYLDKVTEALTIGNPNVSVESVVLPDGEKYKDMDTLMKVFDKAIESRLDRRCTFVALGGGVIGDMCGYAAASFLRGVNFIQIPTTVMAQVDSSVGGKTGINHRLGKNLIGAFYQPQCVVVDTDTLNTLPDRELASGFAEVIKYGLIRDAEFFEWQEKNMPSLMARDPAALAYAIKRSCENKAEVVSLDEKESGLRATLNLGHTFGHAIETGFGYGQWLHGEAVAVGTVMAVDMSYRLGWIDDTIVSRVLAILKQAKLPIAPPESMTVEMFKSIMAVDKKVADGLLRLILLKGPLGNCVFTGDYDRKALDETLRSFCKS >KGN59200 pep chromosome:ASM407v2:3:30179250:30180093:-1 gene:Csa_3G779770 transcript:KGN59200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYDCEKHCKKKRKKLIKLIGAIIGIFIFLVLLTILIVWAVLRPTKPTFFLQDVTVYAFNATVPSFLTSNFLLTVSSRNPNRRIGIYYDELHVYAIYRNQQITLRTIIPRFYQGHKDVNVWSPFVSGTSVPVAPFISSELNQDRNAGALMLLVKIDGKVRWKVGSFITGRYQFHANCPVVINFGAYPANGDGSIVQYNVKYQVVQKCDVSV >KGN58621 pep chromosome:ASM407v2:3:26649283:26650425:-1 gene:Csa_3G702590 transcript:KGN58621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLMMNEYKEFCPLSYLDSPFHDFYVFEGCQTFSEVESSFASSNTNDRHHHFLISSSFHSPNPQEAQHQSNCSQEFDGENCSWSCEELMPINRNKKLKSSIVSKTRIKWTEELHQRFTNCVDQLGGAQMFVASAEATPKQLFHLMKTKGLTLIHIKSHLQVCVCYAVKVNNISLSVCHSFDLVFDQILFSLSEISHFPADSRTFKRCIFLF >KGN58633 pep chromosome:ASM407v2:3:26745509:26745937:-1 gene:Csa_3G706670 transcript:KGN58633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVVLDGSTVRNFVNDESHFNKSIDDAFASLDLNNDGVLSRSELRKAFETLRLIETHFGVDVATTPEQLTQLYDSIFEQFDCDKSGTVDAEEFRTEMKNILLAIADGLGSSPIQMALDDGDQSFLKQAADLEASKLRQSST >KGN58041 pep chromosome:ASM407v2:3:20744879:20746307:-1 gene:Csa_3G455640 transcript:KGN58041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFVQFSRTIVQVVMSRFYNCFAMDMILLTCLCSGQRVVVQEKKEDQVVENVVTIQGLTSSGYLLAIGDDYQMCELHPDGNSLDFFKGLIKSKLV >KGN57000 pep chromosome:ASM407v2:3:9971248:9977440:1 gene:Csa_3G149310 transcript:KGN57000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAGGFSAVHKNGGTEFEAKITPIVVISCVMAATGGLMFGYDVGVSGGVTSMPDFLKKFFPVVYRKTQLKEESDSNYCKYDNQGLQLFTSSLYLAGLTATFFASYTTRKLGRKLTMLIAGVFFIIGTVLNTTAENLMMLIVGRISLGCGVGFANQAVPLFLSEIAPTRIRGGLNILFQLNVTIGILFANLVNYFTAKIEGGWGWRLSLGLAGIPAGLLTLGALMVVDTPNSLIERGRMEEGKAVLKKIRGTDNVEAEFLELVEASRVAREIKHPFRNLLKRRNRPQLIIAVALQIFQQFTGINAIMFYAPVLFNTLGFKSSASLYSAVITGAVNVASTVISIYSVDKVGRRMLLLEAGVQMFISQLMIAIVLGIKVNDHSDNLTKSFATLVVVMVCTFVSSFAWSWGPLGWLIPSETFPLETRSAGQSVTVCVNLLFTFVIAQAFLSMLCHLKFGIFLFFSSWVLIMSVFVLFLLPETKNVPIEEMTEQVWKRHWFWKRFVEEDEIEGQKRSVKKHSNGFEPSLEL >KGN58300 pep chromosome:ASM407v2:3:23655024:23659056:-1 gene:Csa_3G609280 transcript:KGN58300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGKTTLTPKDPTENQGSEMLLATKTKLPEIEELRPEPSIEQTFGHEEVSNTENDAPVAVTSDNSCHSCLGDIFYNDELDFLEVQWVNNIMKAAYKEFMAIAIAAKAWISDPPAVGAIEDFQEMFNTPPPHGYTVERSVETAILSISSQSLMSIMMDGAQWASMFSSIICSASDEVVFYPLKKFLLTGPCGWEFVLMNAEFRLPAGFLPRWNTRFMRFKKLIVGETYAIFDVSTDYFENMTADPTQKVVYKRRPSGVIIRPCGFLSEVIWIENAEVQKIDIPNHLHSTFTPNFHLTARQWISMISQNLKRRNGEIVTEEMFAVRRMDVPDLLTMGNNLRKYFLQAVNPFPTERKWDLFSDDKIRILRDIKASYIGRRDDFIAIRTVCLAETPSTLLTYLDTNNYILQTSKKSQAQLSMTVALLATDESSCTVLSVKKETGDEDTKDNYFFLQESTENEYCSFILSSQMTKADVHVSLLPMFCRNCLFLRPSGFAIMPAEPGGLQSKASFVTIYIRRELKNMEVHQVIEAMSCDMDAVIDQISNIQFPTTINGKFEQNNPNQ >KGN55934 pep chromosome:ASM407v2:3:2673604:2678965:1 gene:Csa_3G038080 transcript:KGN55934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEMEVEPVVFEAYEVDIEYEFDAARYFDFTREESFYEASQAELWFQSAGTFVAKLFLREECLFAIGNASKKSEDQNNTVDSLNGGLQEGGSVRENERDCQGANRGIFTNLRDRDVQKIHNQQLQFTSGSTSHNHLSLNKPKVKPPSYVKPSKPRGTTLMKPTASHLARQNFPTHYTDTKLQRVLVKNNETSVCSSGIESQAIKKQKLDGGHSRKVNEIKQQTSFVHKLPKQDGVAERTTLNAKQKITIPRQPDLETAHRAHRIRLKSRMEEDYEIPTTRRFRARPLNRKILEAPSLPLPKKSTPKLPEFQEFHLRTLERAMQNTSAVSSSAFHHDYSDITVTRNLESRRSNAIDDQKNDEYHVMQNFKACPLNRKIFSSKGEMGVFRNSKQETTIPMEFKFHNEKRILQPPTELFNKLSLTSQLHSNNGPLTKVPSRPSIPLKSSKENNSVLFQPEHKITQLVKETPAIAAKQIYMGNNGCISDSCNNQLTVRNPGIR >KGN56055 pep chromosome:ASM407v2:3:3581120:3583933:1 gene:Csa_3G062570 transcript:KGN56055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDLGKLFIGGISWDTDEERLREYFGHYGEVVEAVIMRDRTTGRARGFGFVVFADPGVAERVILDKHVIDGRTVEAKKAVPKDDQNMLNRSSGSIHGSPSSGRTKKIFVGGLASTVTEADFQKYFDQFGTITDVVVMYDHSTQRPRGFGFITYDSEECVDRVLHKTFHELNGKMVEVKRAIPKELTPGPNRSPLVGYNYGMSRPSGFLNSYTQGYNMSPLGGYGVRPDGRLSPIISSRTGVPHFGTANYGVGVNLEQGMRQGYGRTLNIMNSQAQEQMLNSYYNGNSNRYIAPIGYSGGNGRGDSPLSSSTWNVWGNGSMSNAMSSSGPGAFSGSGTGNFRVAYGNNDDNWSSPAVANHVGANVPGYTFGNNNGYAIGDNNGFGFRGGYGRNGVVSNVAARKAFVEMNNGYERSYRDLYRDEAVHDDSTWQSTAPELDGSVSFGYGLGNLASDDPAKSSESFLASYDVSSRQSTRGIAA >KGN57122 pep chromosome:ASM407v2:3:10766864:10768110:-1 gene:Csa_3G159420 transcript:KGN57122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKSNLLVLVMVIGGLGSAPLMAEAQIGNVRGLPPLMKVEGTVYCTADGNIGNIDLAYPIPFFTDARVEILGFLFGRALPPSVTDDSGSFSMYFRSTSLSSVLTDSTIMVSTPLSNCNSTLPSTGFLSSTLEYNGTVVEDGNTIMTLVPKRFRFSPSP >KGN59672 pep chromosome:ASM407v2:3:33437431:33437754:1 gene:Csa_3G837045 transcript:KGN59672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLGLQTQNVSDGTSSNSSTDDNDDEEDKEEDEEEDDRGLISRSRIRSFSKGIRIGFTIGESRPWISGVSTGGSSNRRSELKIGNAGGVKPGGGGRETNSKSWSCCS >KGN56628 pep chromosome:ASM407v2:3:7887854:7890666:1 gene:Csa_3G126920 transcript:KGN56628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPPKFCSNFNNTPEPSQEFLRSSLLKTLSSAKNTPQLRTVHSLIITSGLSLSVIFSGKLISKYAQVKDPISSVSVFRSISPTNNVYLWNSIIRALTHNGLFTQALGYYTEMREKKLQPDAFTFPSVINSCARILDLELGCIVHEHAMEMGFESDLYIGNALIDMYSRFVDLDNARYVFEEMSNRDSVSWNSLISGYCSNGFWEDALDMYHKFRMTGMVPDCFTMSSVLLACGSLMAVKEGVAVHGVIEKIGIAGDVIIGNGLLSMYFKFERLREARRVFSKMAVKDSVTWNTMICGYAQLGRHEASVKLFMDMIDGFVPDMLSITSTIRACGQSGDLQVGKFVHKYLIGSGFECDTVACNILIDMYAKCGDLLAAQEVFDTTKCKDSVTWNSLINGYTQSGYYKEGLESFKMMKMERKPDSVTFVLLLSIFSQLADINQGRGIHCDVIKFGFEAELIIGNSLLDVYAKCGEMDDLLKVFSYMSAHDIISWNTVIASSVHFDDCTVGFQMINEMRTEGLMPDEATVLGILPMCSLLAVRRQGKEIHGYIFKSGFESNVPIGNALIEMYSKCGSLENCIKVFKYMKEKDVVTWTALISAFGMYGEGKKALKAFQDMELSGVLPDSVAFIAFIFACSHSGMVKEGLRFFDRMKTDYNLEPRMEHYACVVDLLARSGLLAQAEEFILSMPMKPDASLWGALLSACRARGNTNIAQRVSKKILELNSDDTGYYVLVSNIYATLGKWDQVKTVRNSMKTKGLKKEPGSSWIEIQKRVYVFRTGDKSFEQYDKVKDLLEYLVRLMAKEGYVADLQFALHDVEEDDKRDMLCGHSERLAIAFGLLNTKPGSPLLVMKNLRVCGDCHTVTKYITKIMQREILVRDANRFHRFKDGACSCGDHW >KGN58462 pep chromosome:ASM407v2:3:25400540:25400881:-1 gene:Csa_3G646000 transcript:KGN58462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICRGLQYNAAESFDSEVGARSYRAWGCYSLILGEIKDLVSQLPILVWIIVSLLFNALVCCFHFWINSPEHGVHFSTSKSGL >KGN56163 pep chromosome:ASM407v2:3:4460495:4461010:1 gene:Csa_3G081390 transcript:KGN56163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIEPPLEERYNELKKEELNGRRTIEADEEVIEECEEEIELPVIDLGQLKKGNLEREKCKKEIVEAAMNWGFFQVINHGVAEKVLNAMINEQKKVFNQPFVNKSLSTNFLNLPSTHYRWGNPVAISSSQISWSEAFHIPVLEVSTSHHHITLRYALYLTVPSSLSLRYQL >KGN60161 pep chromosome:ASM407v2:3:37288343:37289851:1 gene:Csa_3G881740 transcript:KGN60161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGFSPPNYYADRRSEARAICEYLILVVCDVVLILVLFSVVAAIGCCFSKKVRQNCLTELENALVPPSPPRFASAPNLAHQPMHNNVIQVWEIDAPTMEKFIQELAKEKPIRFTAQQLYIFTRNYSTILGAGAFSTVYKGEFPNGVKIAVKVLNRNSDKQAEQQFMAEVGTIGKTYHRHLVRLYGFCYDQYMGALVFEYMENGSLDKYLFGKNQDVDWGKLHDVAIGTAKGLTYLHEECQERIIHYDIKPANILLDANFSPKVCDFGLAKLCHRDRTHISLTGYRGTPGYSAPEFFLNNYPITHKCDVYSFGMLLFEIVGRKKNATVTPSGNLDWFPRHVWDKYKKRELEEISQICGIEEKDKESVSRMCKVALWCIQDSPDERPPMSVVVKMLEGDVEIMAPSNPFKFSNPTPVGNNKPNLLQSSSSSNYSTSSEEIHSSWYKKATPIMKKYEIHIGSS >KGN59204 pep chromosome:ASM407v2:3:30192236:30192540:1 gene:Csa_3G781050 transcript:KGN59204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFTGKDEEINLLGDGTERQEFGKWSWMSIEQLLNQAKKSRKPVYEQVMKEFGNIILGIVGDDLSPTQSETQLVI >KGN56709 pep chromosome:ASM407v2:3:8335454:8337130:-1 gene:Csa_3G129660 transcript:KGN56709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLVDKTSTGREYKVKDMAQADFGRLEIDLAEVEMPGLMACRTEYGPALPFKGAKITGSLHMTIQTAVLIETLTALGAEVRWCSCNIFSTQDHAAAAIARDSAAVFAWKGETLQEYWWCTERSLDWGPEGGPDLIVDDGGDVTLLIHEGVKAEEIYEKTGTLPDPSSTTNSELQIVFTIIRDGLKTDPKRYHKMKERLVGVSEETTTGVKRLYQMQANGTLLFPAINVNDSVTKSKFDNLYGCRHSLPDGLMRATDVMIAGKVAVVCGYGDVGKGCAFALKQGGARVIVTEIDPICALQALMEGFQVLTLNDVVSEADIFVTTTGNKDIIMVSDMRKMKNNAIVSNIGHFDNEIDMHGLETFPDVKRITIKPQTDRWVFPDTKTGIIVLAEGRLMNLGCATGHPSFVMSCSFTNQVIAQLELWNERKTGKYEKKVYVLPKHLDEKVAALHLGKLGAKLTKLSKDQADYISVPIEGPYKPAHYRY >KGN58402 pep chromosome:ASM407v2:3:24898669:24903451:-1 gene:Csa_3G637990 transcript:KGN58402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERNYPYENGVMMTRDPKPRLRWTADLHDRFVDAVTKLGGPEKATPKSVLRLMGLKGLTLYHLKSHLQKYRLGLQTRKQNVAEQRNESSGTLSNFSGVEEDDRGMQIAEALKSHVEVQKTILEQLEVQNKLQMRIEAQGKYLQDILENAQKSLALAINSNLGSLDQSTEMQLINFDAALSDQIEKFKKQEIRGSITNLNDVCKKTGDSLIQICKMEAAEEDTNEFNIEKNMINFDLNSKGGYDYSANGAEILEPKVLPCSR >KGN57758 pep chromosome:ASM407v2:3:16663673:16664194:-1 gene:Csa_3G280970 transcript:KGN57758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYTWVCASAVADEDITIAVTVDARGRLDPPLPATYFGNYVVGRSTALKRGKLFGENGVIAAVETISEMIKSLKEEGPLKGAENWVLLMTQTVVNSDYKLISTTGSPRFEVYSVDFGWGKPEKVEVVSINRTGAVCISESRDGGGVELGWTAKRDVMENFAKLFAGRSSTTLS >KGN56291 pep chromosome:ASM407v2:3:5767306:5772843:-1 gene:Csa_3G113330 transcript:KGN56291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVRVSDPSKLHLKKELTQIRKAARVLRDPGTTSSWKSPLSSSRSVMAATATAVVAGGASSSLNKNLECETRRYSGQSQLDAIVPLRNENRNPKDKKIYLYNWKSHKSSSEKSATLQNEDHDGNDDNNDGSYSVPGVSLDGSLSDARNGGDSKSDTYLGDLYSSMVFRCGDANLVSYSGPSAKRTSAFKKKSKKHCSHLDVLSRHQQKGPGPLMGRKLLEGHPSLSINFSQDDSIEQSDDTEDYSNSEDFRRYSAASPLLLKLKHKSFHPSSKFLRNSRKEDSSYSYSTPALSTSSYNRYVNRNPSTVGSWDGTTTSINDADDEVDDRLDFPGRQGCGIPCYWSKRTPKHRGICGSCCSPSLSDTLRRKGSSILFGSQSIYSRRKSINSSKRRFASGSARGVLPLLTNSADGGVGSSIGTGRSDDELSTNFGELDLEALSRLDGRRWSSSCRSHEGLEIVALNGEVEGGGTPESTRSFSQKYKPMFFNELIGQNIVVQSLINAISRGRIAPVYLFQGPRGTGKTAAARIFAAALNCLAPEENKPCGYCRECTDFMAGKQKDLLEVDGTNKKGIDKIRYQLKLLSSGQSSAFFRYKIFLVDECHLLPSKAWLAFLKLFEEPPQRVVFIFITTDLDSVPRTIQSRCQKYLFNKIKDCDMVERLKRISADENLDVDLDALDLIAMNADGSLRDAETMLEQLSLLGKRITTSLVNELVGIVSDEKLLELLALAMSSNTAETVKRARELMDSGVDPLVLMSQLASLIMDIIAGTYNIIDTKDGASIFGGRSLSEAEVERLKHALKFLSEAEKQLRVSSERSTWFTATLLQLGSISSPDFTQTGSSRRQSCKTTDDDPSSTSNGTIAYKQKSFAQLMPPNLGSPTSLCNLKNGNYNNQADMVPMVDNLIYNSKPTHKQFIEGKDSSFSREDVTLRNMVFRSKNSEKLNSIWVHCIERCHSKTLRQLLYAHGKLLSISESEGTLIAYVAFEDVDIKSRAERFLSSITNSMEMVLRCNVEVRIILLPDGEASTAAKLSEGVEPDKERRTSNLNAMEGYSNRSLMLDATYQSTSDSSQLPTESNHQNDGSRDRRQEIPMQRIESIIREQRLETAWLQAMEKGTPGSLSRLKPEKNQVLPQDGSYYKDQMDEMNSTEDSSRKWEDELNRELKVLKVGDDILAQKEQVGRRADRYAISPSILHDGSMVGNSNKDNLGYESSSAAGGCSGLFCWNSSKPHKRAKVRANHVRSRNGRFSLFGECGKSRNSGSRFRRQTQ >KGN57756 pep chromosome:ASM407v2:3:16649883:16656301:1 gene:Csa_3G280950 transcript:KGN57756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSLSSSCLATSSLSIKISKPCCDVRLGLRSSVFTRSHKTNLLGQPVHGFTADASRNWSFITGSRIAIKPKLSRVNFSKNVQGVRASWLANAQVASNAFTLGTIAVLPFYGLMVLAPKSELTKRSMQSNIPYVVLGLLYAYLLYLSWTPETMQLIFASKYWLPELPGILKMFSSEMTLASAWIHLLVVDLFAARQVFHDGVENKIETRHSVSLCLFFCPIGVLIHVITKALTNRVGPAKHAPNQLHYFEINVCIQTKPAITSWQVTSFYETQKNFDIAVEDQEENLKEIPSSTYIRQKIEAVWKADCTKHESEVCEINAYICMMQFSTALGSQVRKVFLPYNSCNNGPNRHLLCTAQAPNCFRFGVVINKPKGNQ >KGN60286 pep chromosome:ASM407v2:3:38287057:38291717:-1 gene:Csa_3G893360 transcript:KGN60286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVNIIIFPHCLFRFSLFSNVSNQFAIPTRLSSLPSSPRASSRSSSSSVLCSFSPSSDMDGSAGLGLYPSHRTKTVHLVRHAQGFHNVAGEKDVNEYLSFDYFDAQLTSLGWKQVDNLRKHVQSCGLSKRIELVITSPLFRTMETAVGTFGGEGYSDGINVPPLMIANAGDCNRPAISSLNCPPFLAVELCREHLGVHPCDKRRSINEYRSFFPAIDFSLIENDEDILWQPDIRETNAEVAERGMEFLKWLWTRKEKEIAIVSHSGFLFHALSAFGSDCHPSIKDEICKHFANCELRSFVLVDRSMIGSESSATNFPGGVPKGVDLPSDVAADMHPEKGVSNDQDMA >KGN56575 pep chromosome:ASM407v2:3:7539571:7539756:1 gene:Csa_3G124930 transcript:KGN56575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDISVGGTVENRLGLVIQPSEFSLMLRHSKGSIMEVVEVAKRDSVIGDGEESEWFWWWAEN >KGN58014 pep chromosome:ASM407v2:3:20433919:20437484:1 gene:Csa_3G434950 transcript:KGN58014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQSHLAKAKTKTKTNNDSASTPHVVEALALDLSTPSILNTAVNFHAQNTVTTTRYLEAVVTKVVTPAIFEFAGIPFNEAGTSTLAKEVVATPTNNNVDCEQNNSAPVENQATLESQASIVIPNNVPTTSQNVRRSTRLPNHLLIFMSIIVTLLQESSMSNVLTMPTKLPIQKFMSYNKLSSSYKKFLLTSTFKPLFYHHPVPFKEWHDAMTDELKATETKLDLKKQDDVEKAGGRMRRTVVDEFIS >KGN59768 pep chromosome:ASM407v2:3:34154890:34157116:-1 gene:Csa_3G844860 transcript:KGN59768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADALSKIAFLAPFPPSSSSSHRHLHRLSLPFSEFKSVDSSSHPFNWKRISVKLPSTSGPFSSSYSYGRRIESTERNSVSPPRSSTISHSGFRIPKAQKWWEKGLQPNMKEVTGAHDFVDSLLNAGDKLVIVDFFSPGCGGCKALHPKICQFAEMYPDIQFLQVNYEEHKSMCYSLGVHVLPFFRFYRGAQGRLCSFSCTNATIKKFKDALAKHNTDRCSLGPTQGLEEKDLAALAANKELSFDYTPKPAEEVPISIAIPIPIPATVGGVRTSESERSRSDVGQLPLPLSSTILKSSGQVSMENAYAG >KGN58642 pep chromosome:ASM407v2:3:26822095:26824881:1 gene:Csa_3G710210 transcript:KGN58642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFWHQMIFPVRRVWLAVYGRLKARRNDEGLLKLHDDVETCGYQDVKVMWEILRRSEAELINHHQMRRKHKPFWKALVWSNHNSNSSTNIPKMTNFPTFSPIS >KGN59431 pep chromosome:ASM407v2:3:31851694:31855589:-1 gene:Csa_3G819880 transcript:KGN59431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSEEEQPLPVGGSSSELSDRNVENRCGGGGCSEIRRLIAVRCVFFLLLSAAVFLSAIFWLPPFLSYGNWPDRPVDSAYRDHDIVASFHASKPVPFLQKHIFELEDNIFGEIPIPSVKVAILSLQSLGGPNVTKIVFAVDSDAKYSKIPPTSQSLIKETFETLVINEPPLRLNESLFGNTSLFEVLKFPGGITIIPPQSAFLLQTAQIYFNFTLNYSIYQIQVNFDDLSSQLRSGLRLSPYENLYVSLSNERGSTIDAPTVVQSSVLMAIGTNLSSSKQRLKQLAHTITNSHSGNLGLNNTVFGKVKQVRLSFLNHSLGGGGNARSPSPAPLPHSHHHRHHHHHHHHHHHHHHRDAAYSPSPGTEEHKHAPKNGVSSAPEAGSSPMEGPTSRKRNYEATPPAFRYGYKRSLTKLRKHNLGPIPSPSSSPSSPYLRVGQPAPVSDSISASSPLSGVVLSNVQPPNTGSGHAENFERSSPSVLPPQFSSTAGVRVYTIQWTLALFLLIWHV >KGN57162 pep chromosome:ASM407v2:3:11037457:11040032:1 gene:Csa_3G166270 transcript:KGN57162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDKYNLKNPAVKRILQEVKEMQANPSDDFMSLPLEENIFEWQFAIRGPGDSEFEGGIYHGRIQLPAEYPFKPPSFMLLTPNGRFETQTKICLSISNHHPEHWQPSWSVRTALVALIAFMPTNPNGALGSLDYKKEERRVLAIKSREAPPKFGTPERQKLIDEIHEYMLSKAPPVPQSGSTDVSGEKPTNEEADVQVTSETAELAAAVEELQNSPTINRIVEEAVPEVQPEVARVAPSSRPTTQTEQGRPETRVHKPADDRLFTWAAIGLTLAIVVLLLKKFMKASAHGSVFMDES >KGN57412 pep chromosome:ASM407v2:3:12862086:12867076:-1 gene:Csa_3G183940 transcript:KGN57412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGSLKRRQSKRSNSKPSIVMPSRPSHQSFLAHRTTALLLFISLIFFAVLVSGISLNVLRRSPKYEVQSPMIYSIEVVNEFPHDPRAFTQGLVYVENDTLFESTGLYGQSSVRKVALSTGKTEVLHKMDDSYFGEGLTLLGERLFQVTWLKKTGFIYDQDNLNEVKEFTHQMNDGWGLATDGKILYGSDGTSTLYQIDPETFIVTNKWVVSYQGDEVHNLNELEFINGEVWANVWMTDCIARISVRDGGVLGWVLLPTLRRKLLQEGKRIDVLNGIAWDSGKNRLFVTGKLWPKLYEIKVQPSNEHYGDEKIKQLCLREPITF >KGN60285 pep chromosome:ASM407v2:3:38279300:38284096:-1 gene:Csa_3G893350 transcript:KGN60285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSAEGLSLYPSHRCKTIHLVRHAQGTHNVAMEKDRSLLQSYDYFDAQLSPLGWQQVDNLRKHVHSCGISNTIELVIVSPLLRTMQTAVGTFGGDEYKDGIIAPPLMVANVGGSNHPGISSLHCPPFLAVELCRERMGVHPCDKRRSISEYRALFPAIDFSMIEHDNDVLWTPDSRETNDQIVARGLEFLNWLWTRKEKEIAIVTHSAFLFELMKCFGNDCHPSIKSEICTFFTNCELRSMVIVDKSMTGSDISRTNYPGKIPRGLDLPNDIALEKQPEMGVTIDDVEA >KGN56137 pep chromosome:ASM407v2:3:4196862:4198299:1 gene:Csa_3G077710 transcript:KGN56137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSILAQNKFLLSSRSHVNPCSTPIPRRVVYCIANTTVNGVATSPKHADGQLVIEREVISPTPLTFVNSSTYYSVLNDPSLRSTWSHRAWVAGGCTTVLISLAKSIVGAASSHIWLEPALAALVGYILADLGSGVYHWGIDNYGDASTPIFGTQIEAFQGHHKWPWTITKRQFANNLYALARAVTFAVLPVSLAIDDPILHGFVGMCSGCIMFSQQFHAWAHSKKSELPPLVVAMQNAGLLVSRTQHSTHHRPPYNNNYCIVSGIWNKLLDETKLFEALEMILYFKLGLRPRSWTEPNSEWTEETEILEQTTAH >KGN58455 pep chromosome:ASM407v2:3:25349030:25349644:1 gene:Csa_3G645930 transcript:KGN58455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHVLNNKRREEKRREEKRREEKRREEKRREEKRREEKRREEKRREEKRREEKRREEKRREEKRREEKRREEKRREEKRREEKRREEKRREEKRREEKRREEKRREEKRREEKRREEKRREEKRREEKRREEKRREEKRREEKRREEKRREEKRREEKRREEKRREEKRREEKRREEKRWYVCVEYEGNVVRPFQTSNKLKLNK >KGN56337 pep chromosome:ASM407v2:3:6258750:6263152:-1 gene:Csa_3G116740 transcript:KGN56337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRIPESKALPLFLPIEMLPESNVLPGKFCVLDDIPKAHVASAKQAGHWSNMHSAKVRDVGRSIGQGFQDSEISVPVRTSKGKSSFLGQEDAKRDVTLKGSSSLIEEGGPSSFSGASHPPEPVDMDLMRTVYVPIGQNKPDPGCLMKSFSVKGPFLEDLSIRVPPKKPSPAVLSPAESLVDEPHDLGVLSSPFSIPRASQNTETSLLPPDSEEKECVWDASLPPSGNVSPHSSIDSSGVVTAMSIVNSCASTYRSDGMVSIERNCESAKGSMRGDSLESAKTSVSRASDSSGLSDDSSWSNITGSANKPHKGNDPRWKAILAIRARDGILGMSHFRLLKRLGCGDIGSVYLSELSGTRCYFAMKVMDKASLAIRKKLTRAQTEREILQLLDHPFLPTLYTHFETDRFSCLVMEYCPGGDLHTLRQRQPGKHFSEYAARFYAAEVLLALEYLHMLGVVYRDLKPENVLVRDDGHIMLSDFDLSLRCAVSPTLIKTSYDSDPSKRAAFCVQPACIEPSSVCIQPACFIPRLFPQKSKKKSPKPRSDFGLQSSTLPELVAEPTAARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLHELLYGKTPFKGSGNRATLFNVVGQQLKFPESPATSYASRDLIRGLLVKEPQHRLGVKRGATEIKQHPFFEGVNWALIRCSTPPEVPRPMEAELPKFGVVDTTGVGSSSKRMVGTDVVKSGGQYLDFEFF >KGN57873 pep chromosome:ASM407v2:3:18377415:18380634:-1 gene:Csa_3G358610 transcript:KGN57873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPPDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRNKYETTARSWTQKYAMG >KGN58754 pep chromosome:ASM407v2:3:27547057:27549462:1 gene:Csa_3G731160 transcript:KGN58754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSCLQSWPEPVVCVQSLAESGISKIPGRYVKPPSQRPDGGTVKKMRNIPVVDMEKVESGAAVKEMAEACREWGFFQIINHGISGEMIECVKESWKEFFNQPLDLKNQYANTPATYEGYGSRLGIEKGAILDWSDYFFLNFLPLSLRNPTKWPAFPSSFKKVIEEYGDEVVKVCAKLMKGLSMGLGLEEEYLLNAFGGEKGIGGSMRANMYPKCPQPDLTLGLSSHSDPGGITILLADHNVPGLQVLKGNDWITVDPIPNALIVNIGDQIQVLSNGIYKSVKHRVMVNPNKERVSLAFFYNPKGDLIIEPSKELLTKERPSLFPPMTFDEYRLYIRKKGICGTSKHQQ >KGN58835 pep chromosome:ASM407v2:3:27909760:27916360:-1 gene:Csa_3G733890 transcript:KGN58835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHSTGRTRVVADYLVGRQIGSGSFSVVWHARHRVHGTEVAIKEISMSRLSKKLQDSLMSEIFILKRINHPNIIRLFDIIEVPGKIHLVLEYCRGGDLSFYIQQRHGRIPEAIAKHFLQQLAAGLKILRDNNLIHRDLKPQNLLLSTSEEHSVLKIADFGFARSLQPRGLAETLCGSPLYMAPEIMQLQKYDAKADLWSVGAILFQLVTGRTPFTGNNQIQLLQNIVKSNELHFPLDINDLSNDCKDLCRKLLRRNPVERLTFEEFFKHPFLCANQADESLRSKRSSRLLDEFPFSESDPASKMEENSQDEYLPFSLDDDSSGPEGSPSLRRMSSTKSTYGFSPDKKVDRGTRGTSRHSSVPDKMESATLISDTRVQLERDVHSDRSLNSVLRSVNPRSVNNRPRVVDSLESIDQDYVIVSGPPIDDPSSTLASASRPIPSHKSQSPPQTSISLGTALTAPMPIIGRATTTTSSYRMGSLGSQSSAPGSMDIEDTLEQPSANCRARVKSLQQSASTITELVKEKITAGRQLEAFSIQLVILAIWKKALDICHTQAASALEGSPGQGSAEFRRSLSKKQGSPLGKESRLIVSPHQPLDISSQVEREFLREVEYAEELAKVVEPGNTEMPDAIETVFQSALAFGRHGGVEELMGEMESAAALYSKAAQLLVFLLVEAPSLILNPPFSLTNSDRYRLRSYIDILYNRQGYLRSQRSALLKCESQQSPP >KGN56349 pep chromosome:ASM407v2:3:6331579:6332854:1 gene:Csa_3G116860 transcript:KGN56349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGEIACSYAALILYDDNIPITAEKIATLVKAAKVSDVESYWYGLFAKLAEKRSIGDLILNVGAGGGAAVAAAAPAGGAAGGGAAAAAPPPEEKKEEPKEESDDDMGFSLFD >KGN57269 pep chromosome:ASM407v2:3:11873820:11878234:1 gene:Csa_3G175700 transcript:KGN57269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLFSLFRHLIFPIIFLPSLCSAADPYVSYDFRLSYITASPLGVPQQVIAVNEQFPGPPINATTNYNVVVNVWNDLDENLLLTWSGIQMRRNSWQDGVLGTNCPIPPKWNWTYQFQVKDQIGSFYYFPSLNFQRASGGFGPIVINNREIISIPFPQPDGEISIMIGDWYTRNHTALRADLDAGKELGIPDGVLINGKGPYQYNTTLVPAGIQYETIQVHPGKTYRVRVHNVGISTSLNFRIQSHNMLLAETEGHYTVMQNYTDFDIHVGQSYSFLVTMDQNASTDYYIVASARFVNESLWQKVTGVAILHYSNSKGPATGPLPDPPNDFYDKERSMNQARSVRQNVSASGARPNPQGSFHYGQINVTHTYLLKSEPLVTINKTARATFNGISFVPPKTPIRLADQHKVKGAYKLDFPHTPLNRTPKADISIINATYKGFIEVIFQNNDSIIHSIHMDGYSFFVVGMGYGDWSEDKRGSYNKWDAITRCTTQVYPGAWTAVLISLDNVGSWNLRAENLDRWYLGQETYLRIINPEENGKTEMEAPSNVLYCGALQSLQKEQHHKSNGKSIFKGHSKLFIALLMALLNLVSIFS >KGN57438 pep chromosome:ASM407v2:3:13071237:13072944:-1 gene:Csa_3G185670 transcript:KGN57438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTRYANLTVKQSFENFDMKEAIAGIAQNNPTILNMKVVEAYEAVVDSLGAHGVMVVSDNHISQPRWCCSNDDGNGFFGDRYFNSQEWLQGLSLATQSLKTKPQVVAMSLRNEPRGPNQNVEMWFQYMSQGAKLVHQINPNALVVVSGLSYDTDLSFLKNRSMGFNLDNKLVFEAHLYSFTNNMSDYWTSKPLNTFCANVNQGFEDRAGFLVRGQNPIPLFVSEFGINQMGANEGQNRFLSCFFTYLTKNDFDWGLWALQGSYYYREGVKNDEETFGVLDSKFTNVKNPKFLQKFQLMQTKLQDPSSNLTTSFIMYHPLSGECVRMNKKYQLGVSSCKTSNRWSHEQDDTPIKLAGSILCLQAVGDGLPPILSKDCSSQQSAWKYASNAKLQLATVDEQGQALCLQRASHSHQILTNKCICPNDSDC >KGN59184 pep chromosome:ASM407v2:3:30086862:30087722:-1 gene:Csa_3G778400 transcript:KGN59184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDTSALKPDSPFSNLRRRNSITVPVAVPSKLNLLAATKSSRGAEASPRLPLAFELVPIKSSSSESSPSLAYTSLKDILPSPTASSSPTAVSAANSGYEISIRNRLVKQAAWAYLQPMSSSPGSSGPHLLRRIWLRFSACLSFLNLPIISSITNAFDRIFRVVGINFV >KGN57821 pep chromosome:ASM407v2:3:17714698:17715003:1 gene:Csa_3G321320 transcript:KGN57821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISHLKKHAIICIFSFVERFVAEVFHDLCEEVISTAARGHSLMIQVQQLEEEVPSIEKAFMSQANHTSFFTGTGSSITYIVIFLPTIMVTTLFILMDLNN >KGN57400 pep chromosome:ASM407v2:3:12776201:12777767:-1 gene:Csa_3G183340 transcript:KGN57400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVLLDSHDVFVNCPTEILIEILSYLPVKSLLRFRCVCKEWNKLVQNPLFVNAHLEKRIPNSLLIINSSPTDQKACFSLVNAETFNETFRSEIPMKANLGYKLSVCGSYNGLVCISSASLLDVDPIYIWNPSVRKTRLLPSSLIPKWDHCWPLNYLAFGFHQATNDHIVLRIVRIEQWSCCYQVEIYSLKADCWRRVSSVPTIPTALDCRLLSKSICSNGLIYWIVKHKNGGIPNSILSFDIATEEFHRLMLPDCLVYIDTPPLCLGVVQESLSIFHCRPDGGNGKQVCDTWALKMGSWVRLNSIVLPLHGKITRPWSLLDYKFLTVRQIEEMGNPSLALVDTELDRIEDIGIELGSHWVYADSYKESLLLL >KGN57444 pep chromosome:ASM407v2:3:13114446:13117597:-1 gene:Csa_3G186710 transcript:KGN57444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRRTINKRTYCKFVTFQNSSRFAHTPPTVCQVAVSDDVSTLNKTKQIIWICRSSSRLDPEIRKFFVLRPNFPHRHFRSFEGCNFIIIGVAAYLSFSS >KGN57417 pep chromosome:ASM407v2:3:12892215:12899626:-1 gene:Csa_3G183990 transcript:KGN57417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANDVPCCEPRFWMYLLICVGLVAFAGLMSGLTLGLMSLSLVDLEVLVKSGRPDDRKNAAKILPIVKNQHLLLCTLLISNAMAMEALPIFIDALLPAWGAIVISVTLILTFGEIIPQAICSRYGLSVGAKLSVVVRVLVLVLFPLSYPISKLLDWLLGKGHFALLRRAELKTFVDMHGNKAGKGGELTQEETTIITGALDMTLKTAKDAMTPLAKLFSLDINSKLDEKTMELILRKGHSRVPIYSGYPTNIIGIILVKNLIKFHPEDETPIRNLTIRKVPRVRENLPLYDILNEFQQGHSHMAVVIKSHNEAKRPADSNKPELETATPVTEMELGHIKLQIGNICSNGDTDTDGKSMPDFDENVIGIITLEDVMEELLQEEILDETDEYVAVHNKLKVNMEVRRSTSESPGGPRLQWMSPVASPLSSYHHSPLSSSYNHSPILHSPIPPHIHSPFNPPSLSSSPRNYFHSSPTPCSVPSLSASPSHKISRKSYEKLKKPNGS >KGN58765 pep chromosome:ASM407v2:3:27600973:27601980:1 gene:Csa_3G731760 transcript:KGN58765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHRLIWIGLRTGDLRGVKTRARADSVGLIPLFMDGSGKVFEGIIWLLTGNLRFLSVAYYQILVPDFPVHT >KGN60061 pep chromosome:ASM407v2:3:36609331:36609705:-1 gene:Csa_3G874385 transcript:KGN60061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEDDCKAFWLLLLLLYSVLCFGIWVGVQHHSLPLFCCFAENREMTYYEITEKEKKKRKKNRFGICFEELKPNFRIVSLKGGDSVVLSVYMLPLLQLSLQVSQFQPNEHAFFFLSSIIPYDLR >KGN58709 pep chromosome:ASM407v2:3:27322105:27331542:1 gene:Csa_3G730730 transcript:KGN58709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYISTCYYSTTSHLLSNVLKPRPSTFAPTLSSTFLTFSLKSNNHTPPLHSPEFQLPPSPAKLLANKDGVAEMAVTRTESEKYGALRVGLICGGPSAERGISLNSARSVLDHIQGHDLQVSCYYIDSELNAYAISSAQVYSNTPADFDFKLKSLARGFQSLTDFAEHLSTSVDIVFPVIHGRFGEDGGIQELLETHNIPFVGTGSKESSNAFDKYNASLELDRLGFITVPNFLVQAGGVSETELSKWFVSNQLDLSSGKVVVKPARAGSSIGVSVAYGVVDSLKKANEIISEEIDDKVLVEVFLEGGSEFTAIVLDVGSGSVCHPVVLLPTEVEIQSCGLADAGEKDAIFNYRRKYLPTQQVAYHTPPRFPIDVIETIREGASLLFKGLGLCDFARIDGWYLPSFSHESSCSTGKFGKTESGTVVYTDINLISGMEQTSFLFQQASKVGFSHSNILRSIIYHACLRYPSLESLDCMSGNVPRRSISSQRSQAYSTHESIRKVFVIFGGNTSERQVSLMSGTNVWLNLQAFDDLEVTPCLLASSIEQESGVDLDKNEADLTSKTVWSLPYSLVLRHTTEEVLAACVEAIEPNRAALTSHLRELVVTDLEQGLKKHSWFAGFDIKDKLPVRFSLEQWIEKVKEVDATVFIAVHGGIGEDGTLQSLLEAKGVPYTGPGVAASNICMDKVSTSLALNHLSDFGVLTIKKDVRRKDDLLRFPILNVWHDLTCKLQCQSLCVKPARDGCSTGVARLCCADDLAVYVKALEDCLVRIPSNSLSKAHGMIEMPKPPPELLIFEPFIETDEIIVSSKTIDASERLLWKGQSRWVEITVGVVGTRGSMRSLSPSVTVKESGDILSLEEKFQGGTGINLTPPPLSIISNESLGKCKQHIELIANALQLEGFSRIDAFVDVDSGKVLVIEVNTVPGMTPSTVLIHQALAETPPVYPHQFFRRLLDLASERST >KGN56977 pep chromosome:ASM407v2:3:9871457:9872143:-1 gene:Csa_3G146620 transcript:KGN56977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHEAESMISRFYSVFEDIWIQKAKTEPIPLWSCQKESKLLRSHWLLSMTSVELSVGDPVWFRFLITTCRREKGSFVECSETAIFFIRMMMMKMIVAPTVDV >KGN56927 pep chromosome:ASM407v2:3:9572045:9574525:1 gene:Csa_3G144170 transcript:KGN56927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFPMKIQPIDIDVQTVREQVRTESAKPVFKSRLRRLFDRPFPSVLRISAVEKPIIVGESAQFSSKDGGGGGGTELEPSSVCLDKMVQNFIEENNERQPATVKYGRNRCNCFNGNSNDSSDDEFDVFGGFGESITSGSSGGDACDILKGLIPCTSVTERNLLADASKIVEKHNKIHKRKDDLRKIVTDALSCLGYNSSICKSKWEKSPSFPAGEYEYVDVILDGERLLIDIDFRSEFEIARSTGAYKTILQTLPYIFVGKSDRLGQIVSIVSEAARQSLKKKGMHFPPWRKAEYMLAKWLSTPTRTADSISNASPKTEPDETKSPIIENDPLVTYTDCGEFELIFGEESSTKSTNLSISGDTESPAGENKPPAGSAPPWQPPAIKPKSIDKGAKIVTGLASLLKEKS >KGN55945 pep chromosome:ASM407v2:3:2729061:2730185:1 gene:Csa_3G038180 transcript:KGN55945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLKNNIVFTISRTTKFEPMISNSASTKDVVFIFWLVDHAFDFTLLNPFSGSVIRLPPLPKEHEDDVYHPWYMLKAILTKDPSLYPNDYMVVAIYGISTKLCLIEAKSKIWKKYDIPPGQDYNPFEDVYVCNNNLYASHLDDVQLNLWKVEVDENSPISLQRVAVILQPSLSTHDFGPMFIVESSKKEVLLIRRVLSVEDHEQPDSGITTLVKTIKFVAYKHTRTCKDGTQRFEEVKSLDDDAVFIGEQSICISTKNFPTCLPNRIYYTDNRYYSHDPFVNGPQDIGIYNVEDGSFGEHTSQILLTRICLHPYGSSQPYTTKILSFRIRLWIGNSNLYKYLIIS >KGN60144 pep chromosome:ASM407v2:3:37175193:37180659:1 gene:Csa_3G881570 transcript:KGN60144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANALPSRRSPAATKAAGEKEWSAKEENVDDSDVIFKAEVLKLRHKWELASVLNFLNVFEPVIGKSLRISAEEIEKGLIKPESSLAELHIALLKGIPPVSKMLNGSNAWVTVLCKKLAPWWPWVAEGEIPLKAFKGEEISNYKKLDPTKRLVLLKALCEIRADQDDTISYISDCLKDKTQMSCFQKVRFGGDGNGISYWYDGNPFVGYRLYREVIKCDTKTKGKQKGSVSLPMFSTQWETMATNLEEFQKVKDNFLCSKITSEVSVGRKIESDAIPVLEKLQKKKEKSLKRKLREDKLLNDFNKACMVGVTRTCRNRTPVSYTFEEYNRAIDNAIRLSRNVKKTDEKEQGMHHKRSRKDVSTSGNSDTMMKSEDASGNSDKSSNNDSGSNTSRETDIDSADDAVNKDYEDKDDDDDDNDSDSNYSNAEEKNETLHSEQETEVEKPAEVCSKRPDKSMNHMSNNETRKVSAKSRLRQRPVLNSALDGVVPDSDDEN >KGN57228 pep chromosome:ASM407v2:3:11505686:11506019:-1 gene:Csa_3G171850 transcript:KGN57228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLQTCFLLLLFLSFLLQDLCLVRGSSMHSCNGSIAECANEEEMLMESEITRRFLAQQKKNYISYPTLKKDRPACDGASGQPYTKSGSCVPSQANPYNPGID >KGN59435 pep chromosome:ASM407v2:3:31874613:31878001:1 gene:Csa_3G819920 transcript:KGN59435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWALRRASTPLRNQGYRVRTSYVFGKLEVPYLLQKNVAGFGTIATLSDRFISFERNNLATWPFSEICISCHGLSTQAGAENSGEEDNVEDSFSELDETLPITRSEIAGDDDNVVDDGTQNELDLLEGETELAEKKSSKWRPSELFNAIWKASVLSVPSALDKWVSEGKDLSRAEISLAMLHLRKRRMFGKALQFSEWLEANGQLEFNQRDYASRLDLIAKVQGLPKAESYIAKIPQSFQGEVIHRTLLANYVAANNVKKAEEVFNKMKDLEFPMTPFAHDQMLILYKRIDKRKLADILSLMEKENVKPSPFTYKILIDAKGLCNDISGMEQVVDSMKAEGIKPDVSTLSLLAKHYVSNGLKDKAKVILKDMEENNSKGSRLPCRILLPLYGALQMEDEVRRLWKICEANPHMEESMAAIVAWGKMKNVQEAEKIFDRFVKTWKKPSTRHYNTMMNVYGGSKMLTKGKELVNQMAESGCRMDELTWDAVVKLYVEAGEVEKADSFLVKAVQKYGMKPLFTSYKTLMDHYARRGDVHNAEKIFDKMIQSGFVPRLGQFGTLLQAYVNSKTPAYGMKDRMMAYNIYPNKALAGQLAQVNAFRKTAVSDLLD >KGN56502 pep chromosome:ASM407v2:3:7151887:7155148:-1 gene:Csa_3G121770 transcript:KGN56502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSPSPWHGGERRNSTSSGERRNSSSVSERRNSSSRGSRTPVYFPYSPSPDVSVGMESRSRLNPVKAAARSVAGAFTSCFTPPEKETPPSLGFGSEFRNSSDASSHNGRRNQGSRREPRSSSNLSHDREPGSLRINIEEIRKATKNFSVSSKIGQGGFGSVYKGKLDGVLVAIKRAKKSVYDNNLGLEFKSEIQTLAQVEHLNLVKFYGYLEHQDERIVIVEYVPNGTLREHLECIHGTVLDLATRLAIATDVAHAITYLHMYTDRPIIHRDIKSSNILLTENYRAKVADFGFARLAADGDATHVSTQVKGTAGYLDPEYLKTYQLTEKSDIYSFGVLLVELVTGRRPIEPKRELEQRITPKWAMKKFSEGEASAILDPNLEQTEANHLAVEKILELALQCLAPRRHSRPSMRRCAEILWRIRKDHRDLSAPDFRSFSTNSQRSASVREKLN >KGN58950 pep chromosome:ASM407v2:3:28502635:28505498:-1 gene:Csa_3G736970 transcript:KGN58950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKTHFSFLLLLVLFQLFNSGRGQLQLNYYAKSCPKAEEIIKQQVIDLYYEHGNTAVSWLRNLFHDCIVKSCDASLLLETVVGVESEKDSSRSFGMRNFKYVNKIKAAVEKECPLTVSCADIVALSARDGIVMLKGPHIDLKTGRKDSKMSYSNMVEELVPQHNASLVNVLSRFNSIGIDTEATVALLGSHSVGRVHCVNLVERLYPTVDPTIDPEYAKYLKMRCPTPTPDPNGVLYSRNDRETTMILDNMYYSNVLKHKGLLIVDQELVSNPLTLPYVKKFAADNLYFHAQFSRGIRLLSENNPLTGDQGEVRKDCRFVNK >KGN56953 pep chromosome:ASM407v2:3:9753909:9758727:-1 gene:Csa_3G146390 transcript:KGN56953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTPERNQIGSTSVSKFEDSPVFNYINNLSPIQPVKSIHTSQTFNSLSFASLPSVFTSPHASSYKESRFLRRHSISDLSKPDFSTEDGSKVETDGGATGNVAQLQDDSSPPDEKSDSLSNPSTELPSERPESTKEEPQASKSDSSRPDCDQKLPDSVLKSGEDLALSDSCVKNKSGNGSSEAEGNKQVINHTEEREGTGYDWESLMTEGADLLIFSSPNGSEAIRLVQKPLDLVTGFASSTLSQIMENDNADLSKMRIADPVESSGGQHEIEFLSSQAGEACELKDMDQGIDSLSFPNSSNSMSREITDDEVARYIADDCKPASNLYRGMRRRCLDFEAAVSRRKNLEDSSNGGSVSKRSEEKTASMDKQLVPYKSGGVATRCVLTGIGLHLNALATTSKDAKNLNHDKFSSERQLNLPNSSASCHSPSGGLDPLLTSAVTERDMDPSGNGAQNEEDGARASAYVLAEDFNQNSPKKKRRRLEPAGETESSCKRCNCKKSKCLKLYCECFAAGVYCIEPCSCQDCFNKPIHEDTVLATRKQIESRNPLAFAPKVIRNSDSLPEPGDESNKTPASARHKRGCNCKKSSCLKKYCECYQGGVGCSISCRCEGCKNAFGRKDGSSILGLEAELEEEETEANHKSMMDKGLQGPEIQNNEEQNPGSAIPSTPLQLSRQLVALPFSSRSKPLRSSFLNVGSSSGFYAGHKLEKPNILRAQPDLGINTKPVLEDEMPDILRGECSPGAGVKTGSPNSKRISPPQSDFGLSPLPRTGRKLILQSIPSFPSLTPQH >KGN57920 pep chromosome:ASM407v2:3:19088549:19090777:1 gene:Csa_3G391380 transcript:KGN57920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKFWASEETRVVVESIVGSEACEFLISLASINILPQDSLQFSLGDSSVNQGLSQVLDGSSWNYAIFWRVVTLKSGALALIWGDGNCNDSKIEIGISSVDVQGGKKEELKTQVLQMLQSSFGRSDEDGYGARRDEASDIEMLYLTSKYYKFMCDSGSSLGESYKSGKSIWASDVTSCLRNYQSRGFLAKVAGFQTLVFVPVKLGVVELGSTKSIPEDQGVLELVRASFGGSITAQLKAFPRIFGHELSLGGTKPRSLSINFSPKLEDDTNFSSEGYELQGLGGNHIFGNSSNGCRGDDNDAKMFPHGNQEVVGGFNAQTRLSTMEFPRDESSPQGDDRKPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNISKMDKASLLGDAITYITDLQMKIKVMETEKQIASGREKNTEIDFHAREEDAVVRVSCPLDLHPVSKVIKTFREHQIEAQESNVTTSTDNDKVIHSFFIRTEGGAAEQLKEKLVAALSK >KGN58038 pep chromosome:ASM407v2:3:20721882:20722337:-1 gene:Csa_3G455120 transcript:KGN58038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAESPLILSAATSCARAAISAVVKVPSQIRDFFLGCRISDTHLPQLLLHSEFQLFDSAIVDSQKRRKEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEE >KGN59954 pep chromosome:ASM407v2:3:35799490:35799941:-1 gene:Csa_3G855970 transcript:KGN59954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIVSLENEPPPPSSSLCFNGQKSSDSACGRQVFQISLVFQLQPAELFR >KGN58479 pep chromosome:ASM407v2:3:25592531:25593567:1 gene:Csa_3G646660 transcript:KGN58479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKSISLDYEMENPGFDDFDIGLNFSKFLEEAKHHATQQHFKAASIEEETERKWLAQEKKNKKSWKNTLFSWLKSDKKSKSLPKPEKNPHTPNKRRVYVSGPIYSEAMTIDGRPRRRPMSGPIASLFNPTMRTETEIPYMCLHQLSAPNTNQNYGPIYLVT >KGN57360 pep chromosome:ASM407v2:3:12528127:12529551:-1 gene:Csa_3G181960 transcript:KGN57360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESHVQFTQTGPLWTNEKHMHFLNSVEASFVRSMFQNRAHRRGLRLDRLLPDTADSTLDSPHNHTKNHPTSGSARINGRSTRRSRRISSPPYTSTQDQVVPQMEKRAVEDEDERDHPMSPLN >KGN56828 pep chromosome:ASM407v2:3:8996673:8999319:-1 gene:Csa_3G134760 transcript:KGN56828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAAADQEAGVLDVDNYTKDGTVDWKGNAVLRSKTGRWKACSFILGYELIERMMFNGIAANLIIYLTTKLNQGTLTASNNVTNWTGTVWIMPILGAYVADAHLGRYRTFFISSLVCFMAMSLLTLTVSIPSLKPPPCSTAISKENCKQASKLQHKLPSGSPFIKMANVIVAATWNWRLPLPNDPNQLYELDLQHYSKNGTFKIDSTPSLRFLNKAALRGVSSDPWRICTVTEVEETKQMVRMIPIMVCTFLPSTMVAQSHTLFIKQGTTLDRSIGSHFKVPPASLYAFVTISMLLTILIYDSVNLNLGLNLDLRLSLGLG >KGN60430 pep chromosome:ASM407v2:3:39287613:39289948:-1 gene:Csa_3G910670 transcript:KGN60430 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major pollen allergen Ory s 1 MALSSFSSVFAFVITLLLMQRLFESATCNDCFTRSRAAHYPNSEEQGTDHGACGYGTFGATINDGDVATASDLYRNGLGCGACYQIRCIDSELCSEKGTMVVITDQGSGPGDFIMSRRAYAGLAQTPYAAVSLMALGVIDIEYKRVACSYPNKNITIKIDENSNAPHYLAFVIRFQQGKNDITAVQLCETKNFVCKLLDRSYGTVWTTASPPRGPLSLRMLLTNEEGDEQWIVPINDIPRDWKAGDIYDTGVQVN >KGN60012 pep chromosome:ASM407v2:3:36245930:36251137:1 gene:Csa_3G866450 transcript:KGN60012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLDDGSKVEEVKEKEEKAGELLFCGATSWDIIGRKKGPVEGNLVSPTRLRPLVGIDIRFVASGCTSCHCVALDVEGRCYTWGRNEKGQLGHGDLIQRDRPTIVSDLSKYKVVKAGAGRNHTVVVTEDGNSLSFGWNKHGQLGSGSIKNEIESSPVRCLVSEVKTTACGGEFTAWLTSIEGASILTAGLPQYGQLGHGTDNEYNTKDSSVRLAYEAQPRPRAIASLAGEKIVKVACGTNHTVAVDSNGFVYTWGFGGYGRLGHKEQKDEWAPRRVDIFQRRNTLPPDAIISAGSVNSSCTAGGGQLYMWGKIKNAGDDWMYPKPLMDLSGWNIRCMDSGNMHHFVGADNSCISWGHAQYGELGYGPMGQKSSALPKKVDILENMHVISVACGAGHSMVVVDRTNVADRLDQLDIHDGKAFDEVNVVLEAVPQSKGSAIKPSSKAAKASLKAKKRKKSKDSSDSEEEEEENEDGDSSDEEANGLSDTSEQYGSKSKGRGKGSKKPSSGGKGSGRGRGRPPSNNKKPAASQGKAGAKRGRPRKT >KGN56989 pep chromosome:ASM407v2:3:9906164:9906744:-1 gene:Csa_3G147230 transcript:KGN56989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWLQSLFSPLKKLWFRLHSSPKKRRGIYILYEDVKSCPCEDVHVLWSILVESHTPLPPKQ >KGN57921 pep chromosome:ASM407v2:3:19093293:19093666:1 gene:Csa_3G391390 transcript:KGN57921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISQKNVLLFFIILVASSQVMVGARVMKVEKWMKEEKNDHSVFVLPIIQVLQRAPVPPSGRNPCTGIPGQSNGRCTLQTMNVAGHHFVHARPPPIPDSALNVDSTAQSS >KGN57717 pep chromosome:ASM407v2:3:16075512:16078331:-1 gene:Csa_3G259180 transcript:KGN57717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMSNEERRNLKQELKLLKMEDISSFMESLPSDFLTVLRTDGLLRSITRKLGVSQRLRILTYAKFALHGSSPKLNPATDPIVKVAYFSLKTSLSYLHLRLILAGIEALSSFQKFMYFTYSLCRRYFHAMKSMQMLSFP >KGN55795 pep chromosome:ASM407v2:3:1464213:1469462:1 gene:Csa_3G016400 transcript:KGN55795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCTANDLHGWKDFPKGLRVLLLDGDTSSAAEIKTKLEEMEYVVVTYCNENDALSAISSKPETFHVAIVEVTTSNHEGNFKFLEAAKDLPTIMISNIHCLSTMMKCIALGAMEFLQKPLSDDKLRNIWQHVVHKAFNAGGSAFPNSLKPIKESVVSMLHLELENSENENQVQKNLEILNSDDDNNHELLEGSDKYPAPSTPQQKHGMRLVDDGDCQDQLNSSLEKECGEQDGESKSVETTCINSLVEGTSQVENSQLPDQEAIKEEENSADGSGAASNIDLDTHDQDNISSSEKNKSIPCGLSNPCGTKISRKKLKVDWTPELHRKFVQAVEQLGVNQAIPSRILELMKVEGLTRHNVASHLQKYRMHKRHILPKEEDGSWSHSKDPMRKNYYPQRPVMAFPPPYHSNHIMPVAPIYPPWGHMACPSPGVQMWVPPGYPPWRPPEIWPWKSYPGMHADTWGCPVTPPPHSPLSSHPQHISGFENVDPYDKSYSIAFSPVELQLADEEIDKVVKEAISKPWLPLPLGLKPPSTESVLSELSKQGISTVPSHINGSQLIQ >KGN59602 pep chromosome:ASM407v2:3:33027459:33028030:1 gene:Csa_3G827930 transcript:KGN59602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPMPMGHLWNNVGSLMATAMFVWAIIQQYFPYHLRAHIERYAYKFLGFLNPYITIIFPEYTGQRLRKSEAFTAIQNYLSSRTSIRAKRLKAEAIKNSKSLVLSMDDNEEVIDEFQGVKIWWTSSKTRNHS >KGN56479 pep chromosome:ASM407v2:3:7037975:7038675:1 gene:Csa_3G121060 transcript:KGN56479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEENHQLQHPPPLLFPSLPCSDPLATSLEIDWIAVLSGQEATRDLPPTSSTCESLERRRDEEKSNQRKKGGRQRRKAVGRRRFEFQTRSTEDILDDGYRWRKYGQKAVKHSLHPRSYYKCTYVTCNVKKQVQRLSKDRSIVVTTYEGIHNHPSHILMQTLTPLLKQIHTSFPLSKLFMNY >KGN58586 pep chromosome:ASM407v2:3:26388455:26388604:1 gene:Csa_3G690830 transcript:KGN58586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDFMFAAAVASIAGVAVAKPETGSPEANKIYSTVCGDHANCQDLSQPE >KGN56386 pep chromosome:ASM407v2:3:6567037:6567360:1 gene:Csa_3G118220 transcript:KGN56386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVGIEIEDVMKGEGEQKKQKNRKLKAVWGCVVLVLVSVSGAFLMGWWAVRFHRSQKQQWMVPFSLVLMIAPIFVLISVSISSFCNSMDRISSLVSSLDHDRPPEIR >KGN57541 pep chromosome:ASM407v2:3:14117525:14121082:-1 gene:Csa_3G207900 transcript:KGN57541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLNRNVSQAPNQQTRSSSDGPVAILWDIENCPVPSDVRPEDVAGNIRMALRVHPVIQGAVMMFSAYGDFNAFPRRLREGCQRTGIKLIDVPNGRKDAADKAILVDMFLFALDNPPPSSIMLISGDVDFAPALHILGQRGYNVILVIPSGVGVSSALCNAGKYVWDWPTVARGEGFALAPKVLTSRGGAAEISGYLKGCHINDVLDGQNEEEAIVYRGVSQSYYNVRDFSVVSHSLSEYNSNLAVPSVTSTLRSQSLPCGLNEVPTGVVSCGDQNESAWWPQTGDLNVLKGQMVKLLELSGGCLPITKVRAEYQRVFGRPLYTSEPGVKLVNLFKKMGDVLIVEGKGNKKSVYIRNSRSCPSAPPLILSRKENKKGKGTLEETIEVAPGLVSSDEYSEEERVVHEEHDEKKGVGKTNQTPADQCKNNEACCIEQFKHELQEILVSYSCRIFLGCFEAIYLQRYKKSLNFQSLGVRGLEELFDKVNDVVVLHEDPSSKRKFLAAIGG >KGN57833 pep chromosome:ASM407v2:3:17950854:17951169:1 gene:Csa_3G342360 transcript:KGN57833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGWQRKIDSDGFARMVRVKEVETSSEFSVIQWRNVKTDLQFRFVPAQWTKENQAEEESYDFGFSFAVTDEERATRLRPTR >KGN57887 pep chromosome:ASM407v2:3:18529620:18531168:1 gene:Csa_3G365940 transcript:KGN57887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKKWSEQEEETLLSKYSDLLNCGTLAKLKTREKKFKPIADHVNSVHHLQDPLTFPFRWSWRDVSIKVQNMRHQYLGVKQKIRVSDDDFNWKDGENHWQNFMKYKQVFGDLPLDLKGKRLVFGNGAAVDFDGSEDLEFGIGVDSDDLEEEEEEEEDEDLKGREHGRRKHPGHRGGPQVVEVVGNEGKCCGFGQIGVSETRKSKKGSAMNRRLGMVGMRVLELRDMAAKREEQRRERAFRREKNEVEREEKMKNIEFKKEKLMNEKEEQLDNRELEIEERELQWRQREFENRMRMEREFEEERRKRMRMEEKMEEEEMEWRERIVEMQIEHEKQMMQMQAEAFQNQMQILGVIARLLCQYFGSANDGLGSGLGALPPQVLQNLQHPGELDDNGKPDANSPSEFL >KGN58202 pep chromosome:ASM407v2:3:22638572:22638860:1 gene:Csa_3G590090 transcript:KGN58202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMTMRRYLVEHLRKKKKKLKGTELPKLRAVKAASKLDQYYISPKVHDAHAPILMYGHSKQ >KGN55714 pep chromosome:ASM407v2:3:864240:866082:-1 gene:Csa_3G006750 transcript:KGN55714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGGRRLRNLSSRQNFGSFKGLNVSLNTVQWKRNAAVSKMYGEKKKGLESNGKASSVEGEVRNGDLEEGFDDDDGICRRRLRSGIMRKKMSSVGEVLERSKLKPVKMEEEMTLAELQSQVIQKSRKEKMKLKLKEEEEAEGVNKRKKLVEENDNDDERLTLKEIEGLLRIRRQETSKSAGFLENAKQRSSKKNVNLEAQKKQQGPSCNGVDLDMMVVEDSDFYDFDKDRMERSFKKGQVWAVYDDDDGMPRHYGLIEKVTVNPFEVKMSWLDVQNNGDERLLCWEKMGFHVSCGRFKVTKKTTIHSLNIFSHVVDCERAAKEVHRIYPKKGSVWALYKEEEEGLDAEKRRNLSNKEKRTYDIAVFLTTYSEMHGLSMAYLEKVNGYKTIFKRREIGYHAIRWFEKDNIRLFSHQIPARKLSIDDALGKLKDCWELDPASLPSDLLTTC >KGN55777 pep chromosome:ASM407v2:3:1258047:1259631:-1 gene:Csa_3G011780 transcript:KGN55777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRYGRNEDKARCCLCLRNRVLKKFTWKRSFFNITKMPFPPVTCCQNQIMCSGCKNLLIYPAGATSICCALCHAVTPVPTSVAATPCSCTVVGRKVYNVLVVAP >KGN59014 pep chromosome:ASM407v2:3:28866672:28867539:-1 gene:Csa_3G743990 transcript:KGN59014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANQVFLLVLLGLWCVDGQYQFFQMVQQWGPAKCSSGRVKCHVTPKPMFTIHGLWPSNFTDLMLHYCSLQSFDASQIKTLQSELSKYWPDVVKGKDVDFWKHEWEKHGTCSNPPFNIFQYFELALNIRKYKKYDLMAILNNAGLHPSTSKLHQYDDIADLIQAAVEAKPLLKCNDKNGQGQNNQLWEVILCFDHGGVNPIDCPAQPVPHKMCVGDFKWQSLANFVEGEESLGIHVI >KGN59863 pep chromosome:ASM407v2:3:35057644:35058763:-1 gene:Csa_3G850670 transcript:KGN59863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPPLPEQTPRITTEPPKTRAGRKPGPKNPNQKKPPQRGLGVAQLERLRLQENWKTVTEISPPTFLLHNPLPNFPLHFPPAPAPILHTDCIGFDHHGFVVQRIGNNGGFLPASGVLIGNTSVEASRELSSIPKLPLACDSDRCDHCFKKKRVNYSNRMKEKNIIVGAAETPSFDFLGLSTNSSAELNTHTHTHTVMNHHTNSDLDLDYDLSFNLKQGRGGGGDGGEGSKLMEYEFFPRKNGRGTEIEELKMPKEELSLFREENEEEEEEVLAMDHGEGSCITTSCNDIINGGTRNSTALDLSLKLSF >KGN55856 pep chromosome:ASM407v2:3:2002387:2004168:-1 gene:Csa_3G019960 transcript:KGN55856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRPFSTKLVLKPLLQKPSAIISIHNASNFQPCFSIDDQAPSTAIRTALAGGGHTADLALAEAFRALKCNPNGYALVQLVRACTSHALDSCGHQLHSYILRSGFASNVFVGTAMVNFYIKTESFDSAHKLFDEMPQPSLVTWNSLISGYVHCGQFRKALCLFIQLDGSGNLVDSYSLSIALSASGHLGWLILGQSIHSKVIKLGLEDSTVVANCLIDMYGKCESFEGAVEVFNDMIGKDTISWNSVIAASARNGRLEQASRYLQQMPRPDTISFNELINGYAQFGDIEYAVEILSKMDSPNSSSWNAVLTGYVDRDETWEALSFFTKMHSCDVRMDQFTFSSILSGVAGLSALKWGLAIHCCITKCGLDTSTVVGSALISMYSKCGHVNYAEMIFQSLPKKNLVSWNAMISGLAHNGKTMEVIHLFEKLKMTKDVKPDNITFLNILLSCSDNQVPLEATMQYYKSMVEDYGIKPTIEHCCTMVRLMGQRGDVYGSKRLIHELGFDSSGPVWRALLGACGVLRDLKLAKVAAAKVIVLGAADDYVYVMMSNIFASHGKWRDVKLVRELMRNKGVRKETGYSWLIEMENEFVLSY >KGN58059 pep chromosome:ASM407v2:3:20986127:20986342:1 gene:Csa_3G478210 transcript:KGN58059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRETARMPIQGYAQGGAHSEPWLLRHHHRGVFVIGTIFAWSSPSTVDLAFRNPTPIVSSHLDNLITSLRCT >KGN59899 pep chromosome:ASM407v2:3:35381459:35390203:1 gene:Csa_3G852480 transcript:KGN59899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIKMHPFFFCFLLLLLVSPHSYGESEEDEFEEIKRSDFPKHFFFGASTSSYQIEGGYLEDGKGISNWDVFSHIPGKITNNDTGDVADDHYHRFLEDIELMHSMGLNAYRFSISWTRILPRGRFGKVNKGGINFYNKIIDNLLLKGIEPFVTIYHFDYPMELERRYESWMSSQMQDDFVEFAKVCFEEFGDRVKYWMTINEPEMVAILGYRMGSFPPAHCSPPFGKCSMGNSDREPLIVVHNQLLAHAKAVSLYRTHFQVKQGGSIGITISIQMYEPLDQQSDTQAVDRILAFYVGWIYDPIVYGDYPKEMREILGSELPSFSDEDKRYIKGSLDFISINHYTTKYAKDCFHSSCPDEVNRPINAFVETTPYRNGILIGDPMGIPGLYVVPRGMEKVINYIKQRYPNHSIFVTENGYSMRPSDGNKVETILNDWKRIKFHKSYLAALARAMRNGGDVRGYFIWSLMDNFEWIRGYDTRFGLFYVDHLKTLERRPKLSAHWFASFLGGYSQQLTQLSSI >KGN55825 pep chromosome:ASM407v2:3:1730061:1731510:1 gene:Csa_3G017190 transcript:KGN55825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAHDDGDHIVTGSPVNVLRRCPFTGNVYSDSDSSDSEAFPVESTGIFMLSLPDSMEEKDDSLPHSFQTAPEELAPNGSDERRSLAADSTGVEVSFEYVDDGKAVDLGRDTDVGLYSEVQSTTETVEKGKSIGVIGVCGSHSSEPKKSGILFEESVVSLGESSSKKLRLSVEALGLSSGGSHNDDDCSGKYKLKGTDDGKISNDENDENQCNYKEKSAENEVKESVKNIESHQQNKFQEEIANAHQSCCRVLPPSISGVKNNAAEERSNTSEDQPIEVTLLKILMILKGGQDNTIEFDDETLSKLSILEIAQLRGMTFPRPEWWPPEY >KGN57160 pep chromosome:ASM407v2:3:11009142:11018918:-1 gene:Csa_3G166250 transcript:KGN57160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALAFCKKVLIKVKRFIFNVFVDEFGILIFLIRPLQLSMFSSNNLLVCCHRKKFFLCGTDCRICVWNASDGSLVHSLTGHTESTYVLDVHPFNPRIAMSAGYDGKTIVWDIWEGTPIRIYEISHFKLVDGKFSSDGTSIILSDDVGQLYILSTGQGESQQDAKYDQFFLGDYRPLIQDPSGNVIDQETQLSTYRRNLQDLLSDSGMIPYPEPYQTAYQQRRLGAMSVEWRPSSLKLSVGPDFNLDPDYQLLPLADLDMLVEPLPDIVDAMDWGPENEVQSEDTDSEYNVTEDYSTGGEQRSLNSNCSTDPECSSEDTGIDDAPADGLRRSKRKKQKADMEVMTSSGRRVKRRNMDEYEGDAIRSSRNRKSKSGHKPSKKKSASKSLRPQRAAARNARNWISSFKGKSTDGEEEYESGGDCSESESTLEDSDIESDEYERSLQNRIKHSKGKEVFLYGAEEITKSLDVPESRVDAGNRKKLIVKFSVKNLNKGDPPLSTALSCSNTADVASSSSRSPKEVIETSQNLVRSERQFVNIDGNSDLTEVYTNGNIRWGGSRVRSSKRIRFGDTMPSDAYAVSSSLPNGDHNENENAVHEYLERENHFGAPSPHAKVVNFCSDEMDTVSPTKLKEEDDNASGHSQEMVNGGELKCVGNSKSCDHDDSNNLIMFSSDATTTSIQNGTHAPEQTENTAPMRKKIRFKSISLDPEHSLKHKIESLDESSKNDEYNTVSGSPQHPNGLKDSVTNETYSDLRNNCPEDMDIAVDEKPVSTLCNSSELQAVETNKMYTAVYTRSKSNKGKSNIDSNGCASGEHALGNSSLPADADSHKIMLRKARSIRFKESSYDLNNVGDDLKSDEDQELEQKSRRSGNSSADRSHIPSEEWGSSSRMTVGSRSTRNRRGTLIQDINPVDRRKSVQYARKGSWLMMPAHEGGSRYIPQLGDEVAYLRQGHQEYIQHCCANYCHIKDMGPWTSNRGTIRAVEFCKVVELVYSTSAGSGDSCCKMLLKFIDPSSQVYLQSFKLTLPELTSFPDFLVEKSRFEAAMQRNWTFRDKCKVWWKNDVGVDGSWWDGRIISVQAKSSEFPESPWERYTIRYRSDPAEPHLHSPWELYDTIIQWEQPRIDDETKSKLLMAIDKLMSPSMQGRFGIQDLMILSRKTQYKNRFPVPLCLEIIQERLQNDYYRSLEALKHDFMVMLSNFESFVAKNEDMSKKIRRLSDWFDRNISPL >KGN60145 pep chromosome:ASM407v2:3:37182750:37186366:1 gene:Csa_3G881580 transcript:KGN60145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASSQTPIDEPPSADDDSSKAVSKKAAKKEAAKQEKLRRRQEAAAESAISSLNVEDDPLSANYGDVPLSDLQSKEVKSIENWTQVGSLTPELKDKYVILRGRVQTIRAVGKKMAFLVVREKGFTVQCVLSEQPELVSRQMVKYVDGLSRESIVDVEGVVSVPNVAIKGASQQVEIQVRKVYCISKAMPTLPINIEDAARSEAEIDKALQAGEQLVRVNQDTRLNYRVLDMRTPANQGIFRIQCQVSTMFRQFLLDENFVEIHTPKLIGGSSEGGASVFRLDYKGQPACLAQSPQLHKQMAICGDFGRVFEIGPVFRAEDSYTHRHLCEFTGLDVEMEIKTHYSEVMDIVDRLFVAMFDSLNEKCKKALEAVDKQYPFEPLKYLRKTLRLTFEEGIQMLKDAGVEIDPLGDLNTEAERKLGQLVLEKYGTEFYILHRYPLAVRPFYTMPCYDNPTYSNSFDVFIRGEEIISGAQRVHVPEFLAERAQACGIDVKTIETYIDSFRYGAPPHGGFGVGLERVVMLFCGLNNIRKTSLFPRDPQRIAP >KGN59250 pep chromosome:ASM407v2:3:30526444:30529659:-1 gene:Csa_3G785440 transcript:KGN59250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSEAHPSSLDLVKCCNCPCSCSLSTTGPSTTWIRSVKRKHDELDSNSPFAIVGLDSFSVIRVQAENECNALREMVSNQTQAIQDLYVELEEERNASSSAANEAMSMILRLQREKAEIQMEARQFKRFAEEKMAHDQQELAAFEDLLYKREQAIQSLTCEVQAYKHRMLSYGLTEAEADGERGQQSCSQNMVEYEAQCESPIYDYPPLKCNLNEVQGPLDHDNDIADIEKYAFGETPRNRDHVMNLGNRISQLERSSSYNQLDTDYLGTKNVLEKVIVGQSPRRPRHSSKFSNDSSFFTGMPQVNESPRYASSFKKEYVSQSEDYSNLRKMDNVSEVGDDMSDRVYTIDSIHNGATYNGFHESKPTVGVYEDYLTTPRGSLNQVDLGDPEVKKLYLRLQALEADRESMRQAIISMRTDKAQLVLLKEIAQHLYKGMSPERQVVVKKPSVVGSFSFMAVFKWIVSFVFWKRKARRSKYLFGLSSGVGLLMLLEKGQHTRQWRCLSSTQL >KGN56868 pep chromosome:ASM407v2:3:9227971:9231009:1 gene:Csa_3G135650 transcript:KGN56868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIMVAIKRVVDYAVKIRVKPDRTGVETQNVKMSMNPFCEIAIEEALRIKEAGLASEVVAVSMGPVQCVDTLRTGLAMGADRGIHVEATAPLYPLSVAKILKALVEVEKPGLLLLGKQAIDDDCNQTGQMVAGLLNWPQGTFASKVVIDKEKQEVTVDREVDDGLETLCLNLPAVITTDLRLNQPRYATLPNIMKAKSKPIKKFTPQELNVDVKPDLEVVEVTEPPKRKAGIILSSVDELIDKLKNEAHVI >KGN60421 pep chromosome:ASM407v2:3:39228333:39232578:-1 gene:Csa_3G904100 transcript:KGN60421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALTLPSSSSSLSSSLFSVGPLDHGCFVPNESRIRMSSNGGVRCDMSESLRHVNGKPTIPIVTERTLPKFLESARMENRVNRSSTRLKLFSGSANRLLSQEIACYMGLELGKINIKRFADGEIYVQLQESVRGCDVFLVQPTCPPANENLMELLIMIDACRRASAKNITAVIPYFGYARADRKTQGRESIAAKLVANIITEAGANRVLACDLHSGQSMGYFDIPVDHVNCHPVILDYLASKRICSSDLVVVSPDVGGVARARAFAKKLSDAPLAIVDKRRHGHNVAEVMNLIGDVKGKVAVMVDDMIDTAGTITKGAELLHQEGAREVYACCTHAVFSPPAIERLSSGFFQEVIVTNTIPAPKVHFPQLTVLSVANLMGETIWRVHDDCSVSSIFQ >KGN57141 pep chromosome:ASM407v2:3:10887694:10891715:1 gene:Csa_3G165090 transcript:KGN57141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMLLVALLLFLQLSNAAKIIGEELVGQCDSKPTLDPRPHSVSILEFGAVGDGKTLNTIAFQNAIFYLKSFADKGGAQLYVPPGKWLTGSINLTSHLTLFLEKGAVILGSQDPSHWELVNPLPSYGRGIEVPGKRYRSLINGYNLQDVVITGDDGVIDGQGLVWWNWFSSHSLNYSRPHLVEFEDSQYVVVSNLTFLNTPAYNIHPVYCSNVYVYNISVSAPSESPYTVGIVPDSSDHVCIEGCNIATGYDAIALKSGWDQYGIAYGRPSKNIHIRRVHLQSSSGSSIAFGSEMSGGISNVLVEHVQLNNSFIGIQIRTTKGRGGYIKGIVVSDVEMENISTAFSASGHFGSHPDDEYDPNALPIVQDITLQNVRGTNIKIAGNFSGIQESPFTSIYLSNITFSINSSSSTSWICSDVSGFSESVIPPPCSDLSTPYSISSSAASPLVNSTGKTAVL >KGN56332 pep chromosome:ASM407v2:3:6239411:6239686:-1 gene:Csa_3G116690 transcript:KGN56332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKNEIKEDRVLGRRGGWLLTVKGIRFVERDEIKGAGERWFVWGIIAREREGGFGEQPKSRVS >KGN57972 pep chromosome:ASM407v2:3:19812880:19816754:1 gene:Csa_3G416650 transcript:KGN57972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSLFLISIVGFLLVSQSEALKTPFSPRDMLPLLPTKVSYRILNYFNSAADLLPSFVGSVSSPDKSVQWQGACFYQNTAWLEFHNKSGSQYGGGTLHIKVSDAHSPTCIDLYIFATPYRWTWDFYFFSKEHTMDFPQWQGKEEYEYVKRGGVSVFLMQAGVLKTIEELYNVLPLFVNSEWGERSNIKFLENEMGATFKQRSRPWATNNINPDDIHSGDFLALSKIRGLSGAFETLEKWVTGSYAGHSAVCLRDSKGKLWVAESGRSNGEMGGGENIAVLPWDKWWDYELNKDDSNPHIALLPLHPDLRAKFNETAAWEFLKTMVGKPYGYHNLIFSWIDTTQGNFPSPLDAHMVASAMTIWNQMQPSFAGKLWNEALNKRLGTKGLELAEILVEVEKQGSSFGELLAIPEQDVWTYSDGKSATCVALVVEIYKVAGLFGPLTSSIQATEFTVKDAYTLKFYEDNLSRLPKWCNDGGDNYENKQLPYCQILGNYRMELPDYNTIHPYQHMNEKCPSLPDYAHPKNC >KGN56095 pep chromosome:ASM407v2:3:3896107:3897002:1 gene:Csa_3G073860 transcript:KGN56095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRKPPPPRLLLDQVSCMRNAQQILRNVNVSIHDGSALVLSGSNGSGKTTFLRMLAGFSRPSAGRILWNGHDITESGVFHQYKLQLNWLSLKDAIKENFTIIDNVQWFELLEGKHGKSMPAIELMGLGRLAKEKAKMLSMGQRKRLQLARLLAIDRPIWLLDEPSVALDDDGVKLLEYIIAEHRRKGGIVIVATHIPIDIEDSMILRLPPRFPRRITLVDMLDRCDIS >KGN59311 pep chromosome:ASM407v2:3:30953507:30953841:1 gene:Csa_3G808890 transcript:KGN59311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTNPQGSVPNKNIGIFFPNLSVSWTSMMMGIESSNAQFQFPTMFFRLRSSSVFMPSLHRLLSIASLPTHFPAAAIHTRGVAARSSG >KGN56571 pep chromosome:ASM407v2:3:7526603:7528360:-1 gene:Csa_3G124900 transcript:KGN56571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEGESKELPEVLVLGPPWVFSTLESQFPNKFHYLKPWLSQLPLHQFLTSYAQSTQALLIPVSPPLNSPILDCLPSLKLVVTVSAGVEHLNFAELRGRGIAVAYAGNVFSEDVADMAVGLLIDVLRKVSAGDRFVKQRLQPIKPDFPLRSKLSGKQIGIVGLGKIGSEVAKRLEGFGCRISYNSRTKKPLVSYSYYSNVHELATNCEVLIICCGLTEETHHMINREVMLELGKDGVIINIGRGAVIDEKEMIRCLIEGEIGGAGLDVFEFEPEIPKQLFTLDNVVLSPHTAVTTHESFVGIAKLAVENLEAFFSNKPLLSPYVA >KGN59805 pep chromosome:ASM407v2:3:34548427:34551901:1 gene:Csa_3G848150 transcript:KGN59805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEEMSPAVSLTLSLGNPKSDSSVNPGHVEFTRLKLVTDTVNLFSASSPESGSIGNVSCHDFDGLVDSVTVSQPTDGGQGIDSFWGLLPKSGTNLTVDKKDASLATLDDPDEMIEDGLFAIDGGTSINVQEVVKIGDVSNGHIVAKAIILVESGKIPTSELIVATVSPDLEVSASSELNVPAVVFQSKGAESVHKVIRNVFERDCIPLWGSVSICGRRPEMEDAIATVPCFAKIPIKMLVGNSLMNGMGQSLTHLNSHFFGVYDGHGGPQVADYCQERIHLALAEEIKGFKQNLENGNNGENWQQDWERTFNNCFLRVDDEIEGKVGRSVSGSSGDVSHTSFEPVAPETVGSTAVVALVCSSHIIVANCGDSRAVLCRGKEPMALSVDHKPNREDEYARIESSGGKVIQWNGHRVFGVLAMSRSIGDRYLKPWIIPDPEVMFIPRAKEDECLILASDGLWDVMTNEEVCDVARRRILLWHKKHGASSLADRGTGVDPAAQAAADYLSMLALQKGSKDNISVIVVDLKAQRKFKTKS >KGN59636 pep chromosome:ASM407v2:3:33218198:33222055:-1 gene:Csa_3G829260 transcript:KGN59636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVFKGLVLKLIHRDFHEVVRRMSMIDTFLFLIVHSVDKLGIWHKLPVILGLLYLATRRHLHQEYSLFNVGKAPPGGVRFNPKDFPYRTADGKYNDPFDEDAGAQDTFFGRNIHPVDQSKTLLKPDPMVVATKLLARRKLIDTGKQFNVIAASWIQFMIHDWMDHLEDTKQEVELVAPREVASECPLKSFKFFPTKQVPTGLSDIKTGSINIRTPWWDGSVLYGSNAEMLGKVRTFEDGKLKIDDDGLLPHYRDGVAISGDVRNSWAGVSTLQALFIKEHNAVCDALKKEYTNMGDNDLYRHARLVTSAVIAKIHTIDWTVELLKTDTLLAGMRGNWYGLLGKKFKDKFGHVGGEILGGLVGLKKPNNHGVPYSLTEEFTSVYRMHPLLPDDFYLRNVYADPDHNKSPPLSKKVPMSDMIGHKGEESTKKMGFTALLVSMGHQASGALELFNYPLWFRDLIPHDMDGEDRPDHVDLAALEVYRDRERKVARYNDFRRGLFLIPISKWEDLTDDKEAIEVLREVYDDNVEELDILVGLMAEKKIKGFAISETAFVIFLVMASRRLEADSFFTCYFNEGAYTKKGLEWVNTTESLKDVIERHEPEISKKWMNSSSAFSVWDSPPNKPNYVPIYLRIPH >KGN58497 pep chromosome:ASM407v2:3:25688518:25688676:1 gene:Csa_3G651810 transcript:KGN58497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPIRDPMESRTTQPIRPPPKFSNLKALDIQFPNLSKGGPKIVTRRALRFQG >KGN57435 pep chromosome:ASM407v2:3:13049161:13050135:-1 gene:Csa_3G185150 transcript:KGN57435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALDYFSNFCIVTPTRTKHKPMQTVEIKVKMDCDGCERRVRNAVTSMKGVKSVEVMRKQHRVRVIGNVDANKVLKRVKSTGKRAEFWPYIPQHLVHHPYAFGAYDKKAPSGFVRNVVQAFPTPHEENYVSFFSDDNVHACSIM >KGN59993 pep chromosome:ASM407v2:3:36057747:36059239:-1 gene:Csa_3G860290 transcript:KGN59993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKKVTSKNCEERLPKCVYRNDPVLIKPMSETPKHILRLSDVDDQTFLRFSIKCVLVYRESIEAEWLKFCLSRVLVDYYPLAGRLRGCPMDPQKLEVDCNGEGALFAEAFVDLNSHNFLSFCSKPTNSAFWTKTTLLFKPKLLDPLDFPPLSLQNERELMIVEKFQVTYLRCGGMIVTAAINHCLCDGIGTSQFLHAWAHISSQSNLPPPLNPPFHSRHVLSHHQHPTKIPPFPLPQYTKTPRPNWHLDITKSLQSHPLLIPSSTIFTQSQILLLKPSSNCTTFETLASHTWRSWIRSLDLPPSLHVKLLFSVNIRNKLSPKIPHGYYGNAIVLGCAQSSVNELTLAHDLTPVVRLVQNAKSIVDDDYVKSMIFLLKDKSLQADLSATFVISDWTKLGLQDLDFGSGKPLEMSPLASDVFCLFLPVIGDVKAVRVLVSLPANVVEKFEYYMTGEWFPDRETNV >KGN57235 pep chromosome:ASM407v2:3:11532697:11536241:-1 gene:Csa_3G172400 transcript:KGN57235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADFIWTFALQEILKKTLHLATQQIRLASGFNHDLSKLLHSLLFFEAILRDVDRTKSDRQSVKIWVTKLQDLVLDAEVVLDELSYEDLRREVDVNGNSKKRVRDFFSFSNPLMFRLKMARKIRTITQVLNEIKGEASAVGVIPKGGNDEIVADNGHIPETDSFLDEFEVVGRRADISRIVNVVVDNATHERITVIPIVGMGGLGKTTLAKAVFNHELVIAHFDETIWVCVTATFDEKKILRAILESLTNFPSGLDSKDAILRRLQKELEGKRYFLVLDDVWNENVKLWNNFKSLLLKITNSIGNRVLVTTRSEEAGKIMETFPSHHVEKLSDDECWSIFKERASANGLPLTPELEVIKNVLAEQFGGIPLVAKVLGGAVQFKKRTETWLMSTLETLIMNPLQNENDVSSILRLSVDHLPNSSLKQCFAYFSNFPKGFNFEKEQLIQFWMAEGFIQPSDKVNPETMEDIGDKYFNILLARSLFQDIVKDENGKITHCKMHHLLHDLAYSVSKCEALGSNLNGLVDDVPQIRQLSLIGCEQNVTLPPRRSMEKLRSLFLDRDVFGHKILDFKRLRVLNMSLCEIQNLPTSIGRLKHLRYLDVSNNMIKKLPKSIVKLYKLQTLRLGCFRGEAPKKFIKLISLRHFYMNVKRPTTRHMPSYLGRLVDLQSLPFFVVGTKKGFHIEELGYLRNLRGKLKLYNLELVRNKEEAMRADLVKKDKVYKLKLVWSEKRENNYNHDISVLEGLQPHINLQYLTVEAFMGELFPNLTFVENLVQISLKNCSRCRRIPTFGHLPNLKVLEISGLHNLKCIGTEFYGNEYGEGSLFPKLKRFHLSDMNNLGRWEEAAVPTEVAVFPCLEELKILDCPRLEIAPDYFSTLRTLEIDDVNNPISQITLQTFKLLGIIHSGNLSGLPEELRGNLSSLEEFKVWYYLHLKSFPTIQWLTDILKGKTGYDTKWTNIQSHGLESYTSVNELSIVGHSDLTSTPDIKALYNLSSLTISGLKKLPKGFHCLTCLKSLSIGGFMEGFDFRPLLHLKSLENLAMIDFGLAESTLPDELQHLTGLKHLKIVGFQGIESLPEWLGNLNSLESLHIESCRKLRELPEAMGCLAKLEEVRSFNCPELRVYQDESEWAKISYIPRFISFNYWVDE >KGN55723 pep chromosome:ASM407v2:3:918184:923735:1 gene:Csa_3G008310 transcript:KGN55723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKIAKVLGSQRVSLALKRKRSAQFGAYSPEPVCMAQRPTMTPLNRVEKRQKLGGCSGVLPNTETRFRHLYRGYSNFRKSGTPKHIMLYENDEWIKFPRDLLDLVVDDLQAKKASLEIKFNEQHCVLDFLHMFFLDLNTGLQQPLAWIDAAGRCFFPEISGADTSECCHSNNFENEEPQVEEAHESDIIKLQLEIEISGIAQSSLKVCSGESNDCIKGSQTDEELAYDRGIEEIEDSCGRIPIEKAYDVVPEHKELDENLISGIQELKELDVNLISGIKFSNGRLDTSTVEKIFRDRMMRSLDSIEILNIKHCNDTLMQSQLELFNKQIEMTKLYRGDANVRFAWLAVSETELSNLMMHGIGHSAVSSIKSMYGTGVHLTAINCSNVSASHCDIDDNGVQHLVFCRVILGNMELLRSGSRQFYPSSKDFDSGVDNLTKPTYYVIWRMNMNTHIYPESVVTFKVAPNPKVESRTNDVLGITASRQGSPNQIQLESSVVNAVCGDGQPSDVCRSNERAVSVGSNSVKTPKSPWMSFSMLFAAISNKVPSKDMELINMQYEQFRAKKMNRDDFVRMLRLTVGDSLLWTTISSLCKVPPRSNSP >KGN57247 pep chromosome:ASM407v2:3:11648475:11659218:1 gene:Csa_3G173020 transcript:KGN57247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQFRFTLSKFKSPKKSLSTSSSSIYYLRANASTSPPQFSHFISKFTQRQPNVANPSKFPSGYFSHCHQHRYSSFSGCHGEFNRVFTNGNRLKYYDLRGYRRGKVWSCLNMVRRFSSGAERESIEYDVVIIGAGPAGLSAAIRLKQMCQEKGVDLSVCVVEKGAEVGAHILSGNVFEPRALDELIPTWKEDEAPINVPVTSDKFWFLTKNRAFSLPCPFNNEGNYVISLSQLVRWMGMKAEELGVEIYPGFAASEVLYDTTDAVIGIRTNDMGVAKDGSKKDNYQLGVELRGRITLLAEGCRGSISEKLIKKYSLRKKVNAQHQTYALGIKEVWEIDEGKHDPGAVLHTLGWPLDRKTYGGSFLYHMKDRQISIGLVVALNYQNPFLNPYEEFQKLKHHPAIKDLLEGGTVVQYGARTLNEGGLQSVPYPVFPGGAIIGCSAGFLNVPKIKGTHTAMKSGMLAAEAAFATLHRASKMDVYWDSLQSSWIWEELYRARNYRPAFEHGLIPGLTISALEHYVLKGRSPLTLKHGKPDHEATNVASLHTPIDYPKPDNLLSFDVPTSLHRSNTNHEHDQPAHLRLRDARIPEEVNLKEYAAPESRYCPARVYEYIPDENDQMKLQINAQNCLHCKACDIKDPKQNIEWSVPEGGGGPGYSVM >KGN59554 pep chromosome:ASM407v2:3:32632689:32634485:-1 gene:Csa_3G824990 transcript:KGN59554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPPGFRFHPTDEELITHYLSPKVLSSTFSSPAIAEVDLNKCEPWDLPGRAKMGEKEWYFYCVRDRKYPTGLRTNRATEAGYWKATGKDKEILKGRNHNNNNIVGMKKTLVFYKGRAPRGHKSNWVMHEYRLHSNSNHSSSSSSPPYSQHHPLPLPLPLPTTSSSQKEWVICRIFQKSESSMGKKGNDEITMAESSSSSSLHALPPLTDSDPALHVTCFSNSTEMEPEDFHYLQNHDAFFWLPDFQDIKQTQIFSSSTTTTNKPSNYDHYQLHPSPPPPPSLWNY >KGN59325 pep chromosome:ASM407v2:3:31054851:31060439:1 gene:Csa_3G810500 transcript:KGN59325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAMTTIFDNTTSPSQFWSFCFITILLFHLLLRKLLTKSRPSSPDTPKPPPSPSALPFIGHLHLLTPVLVTSFQTLARRYGPLIEVRLGASKCIVVSTATVAKEILKTHEGNFLSRPEFGASEYFIYRGSRFVMAQYGPYWRFMKKLTMTRLLSPPQLAVSTAIRSEEIAKLVERIEASSREEKPLDLRLEFTTLTNNIISRMVLSTRCCGGKDEAKEIKDLAWRINMLAGKLSLGDILGALKVFDFSGNGKKFVKTLKKFDELIERIMKEHEAAINDGEDEERKKDLLDILLEIYNDPNADFKLTRNDIKSFLLDLFMAGTDTTATAMLWAMGELLNCPESFHQLRNEITSVVGNKKPVQESDLPNLPYLQAVVKETLRLHPSAPIIIRECLDDCNINDSLIKAKTRVLINAYAVMRDPESWSEPDKFLPESFDHMADFSDYFLLLSLLLTSFLFVQLLFTWLRPDKLRRPPSPPSLPVIGHLHHLGRIPHQALYKLSCQYGPLIHLFFGSNPCVIVSNSEMAKQFLKTNESSFLNRPIRLNINYLTYGSKDFTFAPYGPYWKFLKKLCMTELLSSRTLDLYSPIRDEEMRLFVQRIHEQAIVGATVDVGAELSRLMNNVISRMALRRRCSEEDNGSEEVGKLVGEMCELAGALNVADMIWFCKRLDLQGFGSRVRNVRKRYDIMMEKIINEHEEERKRKREDGEDDGVKDLLDILLDIYEDQTSEIKLTRDNIKAFVMNIFGAGTETSAAATEWALAELINNPSAMAKATQELHSVTGNTRLLLESDLFKLPYLQAVVKETLRLHPTAPLIVREATESCAVAGYHIPAKTRLLVNVWAIARDPARWPEPTQFEPERFLNRPSGSDLQSFDLMPFGSGRRSCPGAAMALIAVPMVLGRLIQCFEWRVDGGGGVDMEEGPGISLRRAHPLILIPVPKLPLLPSI >KGN60174 pep chromosome:ASM407v2:3:37365565:37367642:-1 gene:Csa_3G881870 transcript:KGN60174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDLMSFPKMDDQIAIQEAASQGLKSMEHLIRLLSHKQSSNHVDCSDLTDATVSKFKKVISLLNRTGHARFRRGPVSSTSSSSSGSSAHLSQNQAMTLTPTPFTSPPNVPALPFTAPATVAQPQTKVVATAANFLSQPQSMTLDFTRPNILNSNPKGADLEFSKETFSVSSSSSFMSSAITGDGSVSNGKLGTSIFLAPAPTASGGKPPLSAAPYKKRCHEHDHSEDLSGKFSGSTSISGKCHCSKRRKNRMKKTIRVPAISSKIADIPPDEYSWRKYGQKPIKGSPYPRGYYKCSTMRGCPARKHVERDPNDPAMLIVTYEGEHRHTQSSLPENMAAAGGVALVFESS >KGN57189 pep chromosome:ASM407v2:3:11255126:11259126:1 gene:Csa_3G169490 transcript:KGN57189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKWYGGTLILALATILALRYGLTNTQPKKQSARDFWRNHPAKDSHSRSSESVKSKAVRASEPERPHLIHVEGLSDLIAPDNITKRESEALLLWSHMHPLLSRSDFLPETIQGVKEASIAWGDLLSAIKEEKTIKIGITNNSKHEICPSSVSSPDIISPSEGIILEIPCGLVEDSSITLVGIPNGEQGGFKIELLGSQASGESNPPVILHYNVCLPGDNMSDESFIVQNTWTNEHKWGKEERCPAHLSASSQKVDGLVLCNERVLRSTRAENISTHHDSADTNLTNISGGQVHESANFPFIEGNLFTATLWIGLEGFHMTVNGRHETSFEYREKLEPWTVNQVKVTGGLDLLSSLAKGLPASEDHDFIVNSEHLGAPPIPKRRLVMLIGVFSTGNNFNRRMALRRTWMQFEAVRSGDVAVRFFIGFDKNTQVNLELWREVEAYGDIQLMPFVDYYSLITLKTIAICIFGTKILPAKYIMKTDDDAFVRIDEVLSGVKSRPATGLLYGLISFDSSPHRDKDSKWHISEEEWPNATYPPWAHGPGYIISRDIAKFIVRGHQNRSLKLFKLEDVAMGIWIEQFSKGGKEVQYINEERFYNSGCESNYILAHYQSPRLVLCLWEKLQKQFESTCCD >KGN56947 pep chromosome:ASM407v2:3:9710317:9712330:1 gene:Csa_3G146330 transcript:KGN56947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKAGKWLKNFLSGKKFDKEHSQISNQISSVSSENTTTPVSTPKEKKRWSFRRPSPTKDVNPPELNVSVPATPPATTTFDMEKEQEKHAMAVAAATAAAVAAAQAAAAVIRLTAASNGKVNAIEEAAAIKIQSVFRSYLARKALCALKGLVKLQAMVRGHLVRQRATETLRCMQALVTAQARARTQRIKMAEDSKPPAHQWHSSHRKSFQESRIRQPHQEMDREMEENIKIVEMDLGGSLKNRNSYSQYAYSNQENYRLSPAPSAMTDMSPRTYSGHFEDYTYATAQSSPQCFSAMAKSDQNRLPFEFPRSEYAESLSYDYPLFPNYMANTESSKAKARSQSAPKARPESFERQPSRRRASVEGRNIPRAVRMQRSSSHLGSAAQNYGYPPWPMKLDRSTVSLKDSECGSTCSVLTNSNYCRSIASHEVYGNRY >KGN59090 pep chromosome:ASM407v2:3:29486854:29491144:1 gene:Csa_3G765070 transcript:KGN59090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPQSVVSPLKNCLIAESEKKKPSFFDHISGPPTKGMEVNRKEAVMYNLEEVVGALDVCIHQARDIHNICIYHKQDVYAKLCLSTDPEDSLSTKIINGAGRNPVFNENLRFNVRSVDASLKCEIWMLSRVRNYLEDQLLGFTVVPLTEVLVNDGKLEKEFSLSSTDLFHSPAGFVQLSLEYNGTSPDVMAVPKAVLESSNAALKDSEISESLASDLDKIEFPDPKIVNEDEMMVSEYFSIPGSNPESEDSESLATSGTEDHPSSETGVNTVESFSTASIESVQISKLDSPPSSSSTNGASSSPVPTSSESYDASEASKPQTQEPIEEEKHVDVKNGKPDSSIEVPNDSFSKPVITVNIEPEQNVVQQDIVDMYMKSMQQFTESLAKMKLPLDVDNEPTNSGNSSSDQQTPSSKNGNARVFYGSRAFF >KGN57815 pep chromosome:ASM407v2:3:17600216:17602048:1 gene:Csa_3G316280 transcript:KGN57815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLMGLLRIHVFRGVNLAVRDVSSSDPYVIFKMGKQKLKTRVVKQNINPEWNEDLTLSIQDPSLPVNVLVYDKDLFSLDDKMGDAEFDVRPFVEAVKMRLNNLPSGTIIRKIQPSRENCLSEESCIIWVNGQVIQKMFLRLRNVESGEIELQLQWIDIPGSRGL >KGN59807 pep chromosome:ASM407v2:3:34561861:34568145:-1 gene:Csa_3G848170 transcript:KGN59807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKQKTILHQFKSQPRLPNFAIPNRYDLHLKTDLSACTFSGAVQITLTIVDDTKIIVLNALELDIHGVSYSNSDTQIYKPSDVLLDKEDEILVLVFDDMLGVGEGVLEIEFSAPLNSHLKGFYKCTYVDGGVKKNMAVTQFEAVDARRCFPCWDEPALKARFKITLDVSKEFMALSNMPVLDEKLTGDIKTVYFEESPHMSTYLVAFVIGLFDYIEETTVDGIKVRVYCPLGKSEEGRYSLSLAIKVLDYFTKYFSMSYPLPKLDMVAVPEFSGGAMENNGLIVYRENLMLYDDLHSSAKNKQVLAICVAHEVAHHWFGNLVTMAWWSDLWLNEGFATWVSYMAIETLFPEWKMWTQFLQQTASGLVIDALEESHPIEMEVHPARSIDDKFDAISYKKGSTIIRMLQIYLGDENFQKALSEYIKRYAWKNAKTDDLWAVISEESGTQINLMMDTWTKQMGYPAISVKSSDNTLEFEQSHFLLSGLHSDSQWIIPITLSLGSYNKQKNFVIETKFHKVDISKDFADANTTTTPETIPNTGDGNFWIKVNTSQSGFYRVKYDDKLASQLRKAVENNVLSETDKFGVLDDAYALCQAGQQLLSSLLSLIDVYRKELDYIVTSRLIHVCNGIVNIATEAIPDLVFELKQFFINVLQFSATKLGWEPILDEDHSSAILRGRLYTALASFDDDKTHEEAMQRFQAYMRDRKTTLLSADTKMAVYLAVIRKATVSSRYGFESMLQLYREADTAENREEILRILAACPDQDLLVEALDFLVSDEVREQDIVYGLAGISFEGRHRAWKWFKDNWDPIFNRYGANFLLTNFVRDIITPFCSNEEANEIEEFFATRPHEAVAMDLKQSLEQVRIKARWVEFIRQDHSLPDLIEKLAAKGSS >KGN56376 pep chromosome:ASM407v2:3:6526937:6527241:-1 gene:Csa_3G118110 transcript:KGN56376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPKDEERKGFKTASVQEENASKNDLLKLRMEVIDREVTASETRYMINGGIYKTAWFGHAMQLI >KGN57667 pep chromosome:ASM407v2:3:15397767:15398836:-1 gene:Csa_3G239310 transcript:KGN57667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGPSHSPPKIHSFKIVVQAQPSASVQLSSSHTMPQPKGGHGCDPKIWTLQLLDRHLLRLTILSGSKCGMVCIVDIRDVSTGKLNKKILEVSDQLTVTNQPKISARLDSQQSAVTSNQTFLTRPLHQPATSSKPTVTTRSPKLPPIPSESIPPLMPINCDNIQPRKKQIKLSKSTLMFLWNRSRRPHLSNSLL >KGN58957 pep chromosome:ASM407v2:3:28549241:28551213:-1 gene:Csa_3G739030 transcript:KGN58957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSDIEIGGRGAASRKDYFDPLPAPMIDMEELGKWSFYRAIIAEFVATLLFLYVVVLTVIGNNSQMDPINGGNVCAGVGPVGISWVFGGMIFVLVYCTAGISGGHINPAVTFGMFLTRKISLVRALLYIIAQCIGALCGCALVKTLQRDHYNHYGGGANQLVDGYSRGTGLAVEIMGTFILLYTVFSATDPKRNARDSHVPVLAPLPIGFAVFIVHLATIPVTGTGINPARSFGPAVIINNHKVWKDHWIFWVGPLIGSTIAAMYYQYVLRASAVKAIGSFRSSPPTRF >KGN58071 pep chromosome:ASM407v2:3:21081801:21082483:1 gene:Csa_3G483800 transcript:KGN58071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAEHHNPQPSFFHQILPPRLEDAGLEDSALPPDSIREAFFKAASAVKSRATARLSHSDDEDDDVPCSPTSALPTDEDAPAICATKKGLELPEFGKDEVVIGGMEERRGKGCVVDGLEGLEIGDDAEKESGKEEKKKPVLGEGFA >KGN60127 pep chromosome:ASM407v2:3:37015926:37017398:-1 gene:Csa_3G878960 transcript:KGN60127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPHGSSLNPFATSYIPLSQRGANRTFIGENTSRENIGANLPGYSEQCVYNPPYNNSISPRLNMNHGKKASVAGAAVKNHPFLGSLSQQSSDLTEMEMFDREVNMDIELLQVSFPGLSEQSLTDVYFANKGDLDAAIDMLSQLENKHPHDVEYDPESLPDTLDIGDISESGFVTVDQPSFRMKNVANQASTSSRF >KGN58452 pep chromosome:ASM407v2:3:25333897:25334340:-1 gene:Csa_3G645900 transcript:KGN58452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLMEECCDSIEGIKESKPKCLCFFIQQTRNGNQHIKSLGIQEIKLLHLPSVCHLKSSSVSHSLTNFSSHPTFSKSLLHTSFKLSSCARPGTTLPNKHTATTAKTTPDLITFLPLFIFFSFSIAMISKLMNPFGDEQRFSMVGDNCR >KGN56915 pep chromosome:ASM407v2:3:9466908:9468716:-1 gene:Csa_3G143560 transcript:KGN56915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATATVKLPTEKLMGCLTCPLCHNLFTNATTISECLHTFCRDCIYEKIAEEELEGCPVCNTNLGGVPLEKLRADHTMDDLREKIFSCKWRKEKEPEETQLSSSSSVSLPTKRKEGPVSILEIDNTYTTSDSGTSKKLSASTAQESLTEQQYLSTMIDHTKLNFDQNKQNNGQVMERIIDDLNKKEASVTCSNDCKIQDTLPKAETIQIDDSYCNVQERGYKPIMERGERESHGTSGSIEHGKLQDPLGDIDADRQCNKSSSPIWFQLVASDHQEGNEPLPQISSNYLRVSDGSIPVSFIQKYLAKKLGLASEIEVEISFKGQPVSSTLHLHDIVELWRHTTTKVELIQISVGSSAKDFVMVLSYGRKCYHPGKFTNICAHLNNGVQ >KGN60146 pep chromosome:ASM407v2:3:37187991:37197783:-1 gene:Csa_3G881590 transcript:KGN60146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPAMDEQSNDAEGPGDDPMVPSPSPAPPATAETQSQEGRGEEDEEEVKNEDDELIARAHKLMDKVTASYDNPNPTFLHALATLLETQESRYMAENGHSSSNGRGSHSIGRLGNVLRENDEFFELISSKFLSDTRYSSSIQAAAARLLLSCSLTWTYPHVFEEDVLENIKKWVMEEAGKSSAEDRNWKPELGGKDVSDSEMLKTYSTGLLAVCLAGGSQLVEDVFTARLSAKLMRFLRIRVLGDVSQKDGNHLLDAKNASSASGIKVRDESRVRVRQVLETSHLDDSRTTDERSVDDQVFDRDNERGLSRLAPPEQCWVGEEGPDGLAPRSDGYEVDVEGEERWHGLDFRDGRTKHGDIDDNARDDSTRRKMSRSRSRGKGRVHEGALEIDHALTSPISGNRGRSGRERSSFKNLDVKKVSDASRTSGRTNCDISSVERDDNDDCFQDCRVGSKDISELVKKAVSAAEAEARAVGAPLEAIKAAGDSAAEVVKSAAFEEFKTSNDEEAAFLAASKAVTTVIDAANAVEVSRSQNDANVSSDDPGTTVKEMNEQTEEFSIPSFESLTQLREKYCIQCLEILGEYVEVLGPVLREKGVDVCLTLLQRSSKQSETSNTEMLLPEVMKLICALAAHRKFAALFVDRGGMQKLLAVPRVTHTFFGLSSCLFTIGSLQGIMERVCALPPEVVYQVVELAIQLLECQQDQAIKNAALFFAAAFVFRAVLDAFDAQDSLQKLLGLLNDAASVRSGVNSGGALGLSNTGSLRNDRSPTEALTSSRKQIAYHTCVALRQYFRAHLLLLVESIRPNKSSRSAARNASSARAAYKPLDISNEAMDTVLLLLQKDRKLGAAFVRTRWPAAEKFLNCNGHITMLELCQAPPVDRYLHDLLQYALGVLHIVTLVPNSRKMIVNATLSNNRVGVAVILDAASIASNFVVPEIIQPALNVLINLVCPPPSISNKPPVVMQGSQAISSQTSSTPVVESRDRNAERVVSDRAGYSTGQGDQRERNGDSSIVDRGNTSVTGQATSNNSQNPVATTSGLVGDRRISLGAGAGCAGLAAQLEQGYRQARESVRANNGIKVLLHLLQPRIYLPPAALDCLRALACRVLLGLARDDTIAHILTKLQVGKKLSELIRDSGSQISGTEQGRWQAELSQVAIELISIVTNSGRASALAASDAATPTLRRIERAAIAAATPITYHSRELLLLIHEHLLASGLSKAAYALLKEAELTPLPHLAAPSSLAYQASKLETPSTQLQWPCGRSPCGFLTDKSKLSSREEDASMKCDYNMSCPRKKPLVFTPFTHSKSLPKSLESSSSAVRKVSSTSKQSAAPLSSNETTPSIDTESQCKTPIILPMKRKLSELKDTGTVLSSKRLHSNESGLRSPICPTPISSRKSSLITDVGFSTPSTTNMRDQLGRPAPGGFWTDCLDENQGSTQIGLVTPSSHPGNLNDPQPSNSERITLDSLVVQYLKHQHRQCPTPITTLPPLSLLQPHVCPEPKRSLDAPWNVTSRLGSREFRSIYGGVHGNRRDRQFVYSRFRPWRTCRDDASALLTCLTFLGDSRIAVGSHSGEVKIFDSNSSSILESCTSHQSPLTIMESFTSDDTQLVLSSSSLDVRLWDASSISGGPMHSFEGCKAARFSNAGNIFAAMASEPARREILLYDIQTCQLELKLSDTNVSSAGRGHAYSHVHFSPSDTMLLWNGVLWDRRGPGPVHRFDQFTDYGGGGFHPAGNEVIINSEVWDLRKFRLLRSVPSLDQTAITFNASGDVIYAILRRNLEDVMSAVHTRRVKHPLFAAFRTIDAVNYSDIATIPLDRCVLDFTTEKTDSFVGLITMDDQDEMFSSARVYEIGRRRPTDDDSDPDDAESEEDEDEDDDDDDSLDPILGPDIDGDGESDSDDMSNDDDDSVSDLDDDDVDFVIDDVDFEGGPGILDILPEEDDEDDDSQLLESFSSEDDEDFVNSGYGF >KGN56084 pep chromosome:ASM407v2:3:3810895:3811300:1 gene:Csa_3G067780 transcript:KGN56084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLYTKHAQHPSTPPHVKHPLTSTCAQHLHFLLGKMVAPTASMDQPIELDRPQPELSTIKELLMVLVKGKAVDFQ >KGN56756 pep chromosome:ASM407v2:3:8638771:8641807:-1 gene:Csa_3G132580 transcript:KGN56756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDDGKKGDQNLETPFHVVHKLPAGDSPYVRAKHVQLVQKDPEAAIVLFWKAINAGDRVDSALKDMAVVMKQQDRAEEAIEAINSFRDRCSKQAQESLDNVLIDLYKKCGRVEEQIDLLKQKLRMINQGEAFNGKATKTARSHGKKFQVTIRQETSRILGNLGWAYMQQENHKAAEVVYQKAQIIDPDANKACNLSLCLMKQARYSEARAVLEQVLHDKVGGSNDQKSRKRAEELMKELEEAESANKLLMMGLSSGGSEDYDDGFINQLVTNQRSPLRSSRRLPIFEEISQFRDQLAC >KGN60170 pep chromosome:ASM407v2:3:37338476:37339483:1 gene:Csa_3G881830 transcript:KGN60170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIVLFIIQNVRNLRDYNVLDWQEPLCNELVLMGSLFSAVWFVDFCLFLSLILLSNQHFHFSEVEGTFFFLFKGNFVEPLELVKKKLKSVFIISKVKEFNSALCSAASYIHLTEICVLSKF >KGN60248 pep chromosome:ASM407v2:3:37982442:37986441:-1 gene:Csa_3G890040 transcript:KGN60248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLIPENLTREQYVYLSKLSEQAERYEEMVDFMQKLVLTSTPASELTVEERNLLSVAYKNVIGSLRAAWRIVSSIEQKEEGRKNEDHVVLVKDYRSKVESELTEVCANILKLLDSNLIPSSSSGESEVFYLKMKGDYHRYLAEFKIGEERKSAAEDTMLAYKAAQDIAVADLAPTHPIRLGLALNFSVFYFEILNQSDKACSMAKQAFEEAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDSQDQLDEP >KGN57252 pep chromosome:ASM407v2:3:11720900:11723395:-1 gene:Csa_3G174550 transcript:KGN57252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKKGIVKDESLLQHPVLFLLVLGLGFVVMDPFEMSPVGGYDFRPVKHDIAPYSQVMGHWPKDNESRLGLGNLEFEDEVFGPESLEFDALGRGPYTGLADGRIVRWMGEEIGWETFAIVTPNWSEKVCAKGVDSTTAKQWKNEKKCGRPLGLRFEKQSGNLYIADAYYGLLVVGPQGGTATPLATHVEGTPILFANDLDIHNNGSIFFTDTSKRYNRVEHFFILLEGEASGRLLRYDPSTKTTHVVLNGLAFPNGVQLSKDHTFLLYTETTNCRLMKLWLEGARNGKVEVVANLPGFPDNVRRNDRNEYWVAIDCCRTKAQEVLTHNPWIRSIYFRLPLRMSFLARLIGMKMYTVISLFSENGEILEVLEDQKGEVMELMSEVREVQGKLWIGTVAHNHIATLTYPLQSKNNDHNNNNATLN >KGN60316 pep chromosome:ASM407v2:3:38518787:38522918:1 gene:Csa_3G895620 transcript:KGN60316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSIPKSCHNPIFFFLFLFLLIQHSSSSSLQQQQQQQQQHDDLHETHLLLSFKSSISKKSTFLSNWNPSLPTCLWNGVTCNNRAISNFTNITAINLSAQNITGVLLDSLFRLPYIQSLDLSDNQLVGELPPTMFAVASSSLLHLNLSNNNFTGTLPTGGVSRLRTLDLSNNMISGSIPEDFGLFFDLLQFLDLGGNGLMGEIPNSVANLSSLEFLTLASNKLSGEIPRGLGAMKRLKWIYLGYNNLSGEIPEELGGLDSLNHLDLVYNKLTGGIPESLGNLTGLQYLFLYQNGLTGTIPPSIFSLVNLISLDISDNSLSGEIPELVIQLQNLEILHLFSNNFTGKIPRALASLPRLQILQLWSNGFSGEIPELLGRNNNLTILDVSTNFLTGKIPDGLCDSKRLFKLILFSNSLIGQIPRSLCSCQSLQRVRLQNNRLFGELSPKIFTKLPLLYFLDISDNQFSGRIDSNKWYLPSLQMMSLARNKFSGNLPEFITNDKIESLDFSGNELSGSLPENIGSLSELMELNLSNNNLGGGIPNEISSCKKLVSLDLSHNQLSGEIPVILTQIPVLSFLDLSENKFSGEIPPVLAQIPSLVQINISHNHLHGTLPATGAFLGINASAVAGNDLCSNEIISTSKLPPCKTRHYNNLWWFMMVLGVGALLIGTGVLITIRRRKEPKRVIVENNDGIWEVKFFDSKAAKLMTVEAIVSPQSPSSEIQFVVEKDEEKWRVEGSFWSEVEELGRLKHLNVVKLLGSCRSEKAGYLVREYVEGGVLNEMVGSLSWEQRRNIGIGIARAMRYLHLRCSPGVIASNLSPERIIVDEKYQPRLVIGLSKTTIASHYSAPEVKECRDVTERSNVYTLGVILIQLLTGKGPLHRQHLVEWARYSYSNSHIDTWIDGSIIATDPKQVVGFMNLALNFTAADPMARPSSHQAYKALLSLSRTTCSSKLYCT >KGN59153 pep chromosome:ASM407v2:3:29918266:29920466:-1 gene:Csa_3G777610 transcript:KGN59153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRKTREPKEETVTLGPAVREGEHVFGVAHIFASFNDTFIHVTDLSGRETLVRITGGMKVKADRDESSPYAAMLAAQDVSQRCKELGITALHIKLRATGGNKTKTPGPGAQSALRALARSGMRIGRIEDVTPIPTDSTRRKGGRRGRRL >KGN55612 pep chromosome:ASM407v2:3:249427:252959:1 gene:Csa_3G002330 transcript:KGN55612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEWEKPEQQNPYHHHHHNPPLCDDHLHHLTGTTAAGALYVKVMTDDQLETLRKQIAVYATICEQLVEMHKTLTAHQDLTGMRLGNMYCEPLMTSSSHKITSRQRWTPTPVQLQILERIFDQGNGTPSKQKIKEITSELGQHGQISESNVYNWFQNRRARSKRKQQSAAPAYGESEVETEVESPKDKKTKPVDFQTNQSSAPLGDDMCFQSPEMSSELHFLDPNTNKADTLFPSNGSLKTARSFSQMSFYEAGNEQLTGKIETPENYSIYQQAEGYNMTGRP >KGN57023 pep chromosome:ASM407v2:3:10116962:10117147:1 gene:Csa_3G150020 transcript:KGN57023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLTPNVLHSDVWSKLLPTPARQCSSPDMHSKESSCVERPFCNVLGPYSDVVMHRKMYFFL >KGN57549 pep chromosome:ASM407v2:3:14192810:14195409:1 gene:Csa_3G209460 transcript:KGN57549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEMTSRPQLNPRNTQPPLPPPPSRRPDNNHRPPLPPPPSRAPFNLQTNPRSPPFPSTTPNSNTRNTRYPSPPSPPSSRRQHFGYGAASSSPSLRGCCCCLCLLFSFIALLAVAIVLVIVLAVKPKKPQFDLQRVGVQYMGITAPNLFSLSSSDTETAATTSTTSASLSLNIRLLFTAVNPNKVGIKYGDSRFTVMYRGIPLGKAIVPGFYQEAHSEREVEATIAVDRVNLLQADAADLIRDASLNDRVELRVLGEVGARIRVLDFDSPGVQVSVDCSIVISPRNQSLTSKQCGFDGFSL >KGN56611 pep chromosome:ASM407v2:3:7787150:7792259:-1 gene:Csa_3G126750 transcript:KGN56611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSTIEALQHRCCCSVGMAFSSTLSRLNLIPKPSLPYALTTFKVLHFHKLLRTDWIGPGLSSNASTPRFSALKITSSAGFHTQISRPQDDDLIVLGIETSCDDTAAAIVKGNGEILSQVIASQAELLARYGGVAPKMAEEAHAQVIDKVVQETMEKANLTERDLSAVAVTIGPGLSLCLRVGVQKARKIAGSSSLPIVGVHHMEAHALVARLVERELQFPFMALLISGGHNLLVLAQDLGQYIQLGTTIDDAIGEAYDKTAKWLGLDLRKSGGPAIEELAREGDPKSIKFSTPMKQHKDCNFSYAGLKTQVRLAIESRNIDTKIPLSSASIQDRSVRADIAASFQRVAVLHLEDKCERAIEWALKIEPSIRHLVVSGGVASNKYVRAQLMAVVRKKGLQLVCPPPSLCTDNGVMVAWTGLEHFRIGRFDPPPPADEPEDTMYDVRPRWPLGEEYTEGRSAARSLRTARIHPSLTSIIQASLQQQQ >KGN59076 pep chromosome:ASM407v2:3:29278237:29282647:-1 gene:Csa_3G752490 transcript:KGN59076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRVYHFPFLSTNMNSSSSSLQLHSPSQLPIIFPSKCFHSPGTEFMASATEEAEDRRSSASVDEEEELRWAAIQRLPTYDRVRKGMLREMLENGRVVYEEVDVRKMGLEERKRVMERAVKVVEEDNEKFLRRMRNRIDRVGIEIPKIEVRFENLSVEGDVYVGSRAQPNLLNLTLIAFESLLELIGLSQSKKKKIQILKDASGIMKPSRMTLLLGHPSSGKTTLLLALAGKLDKNLRESGKVTYCGHEMHEFVPQKTCAYISQHDLHTGEMTVRETLDFSSRCLGVGTRYELLIELMKEEKEVNIKPDLEIDAFMKAISVSGQKTSLVTDYILKILGLEICADTLVGDEMRRGISGGQKKRLTTGEMLVGPARALLMDGISTGLDSSTSFQICNFMRQMVHMMDLTMVISLPQPTLETYDLFDDLILLSDGQIVYHGPRAKVLEFFEFMGFKCPERKGVADFLLEVTSKKDQEQYWYRKNQPYRFISVPDFLRGFNSFSIGQHLASDLETPYDKSRIHPAALVKEKYALSNWELFKACFSREMLLMKRNAFIYVFKTIQITIMAIISMTVFFRTEMKVGNVIDGSKFLGALFFSLMNVMLNGMAELGFTTNSLPTFYKHRDFLFYPAWEFSLPFYVLRTPLSLIESGIWVLLTYYTIGFAPTPSRFFKQFLALFSSHQTGLSFFRLVAAIGRTQVIATALGTLSLSVMILFGGFVIDKNNAKSWIFWGFYISPMMYGQNAIVINEFLDERWSKVNS >KGN58571 pep chromosome:ASM407v2:3:26286039:26286275:-1 gene:Csa_3G687230 transcript:KGN58571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPFTLFSLLSVLSLRISFVSSVSNSPPFPPRPVHLCQISVCSLASSVKSKVSFSVVRLLNFRRPFDGHFFHPNRYKY >KGN57889 pep chromosome:ASM407v2:3:18579850:18581545:1 gene:Csa_3G374190 transcript:KGN57889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLLFFITLLHFSSISNQQQLPLLSSLERDSVFTLLSALNSTFPWRTLFPDHDLCSAPPHGIVCDYFYQSINSTVPDSVHITEMSFGVISDYSANPPCSFNSTIDPVLFSSFRYLRKIFFYKCFTGNVVLSFSGGVLPAFASTLEELVLVDNTALVVSIESLFGNFTNLRRAIVTGNSVYGQIPECISDSSELEEITFSRNRLGGQIPASMSKLKKLKILDLSGNFLDGFVPESIGNLTELLKLDLSFNRISGRVPESYRNLQKLEFLDLSFNRFGNFGIPKFVTEIPRLKEVYLSGNLVGGKIPERWEKVEGLSAIGFSGMGLTGKIPPSMAVHLRSLSYLGLDGNKLEGRLPPEFGFSKTLNEINLENNNLSGRVPFCSNFCAKIGKKLRLKGNSDLCVDEELKNVKNGSILGNMKLCQQSNISDQFFFNGSFSSSSHSLIFEFGKLQNVALIGVLWILLVKF >KGN56529 pep chromosome:ASM407v2:3:7314102:7314677:-1 gene:Csa_3G122530 transcript:KGN56529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNRAIGLKAAVGYVGNLGPTDDFCVEFNAAFIIKRMLGSSVSLRASVMMELIRNRNARGPVGAVCSHVCEILNWAEMDDMVCIYDTLVLPKSHVLKDCRVLAEVGNIAEAYGVITQTNYPRHFKILCPTTVTNVMNKNRFPILLAVAQICKSDSHGTERSASSQTPLVDELVAIHHHNNLRHQGSHRLKV >KGN55991 pep chromosome:ASM407v2:3:3121544:3122290:1 gene:Csa_3G045050 transcript:KGN55991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPIRTQPKQTKTNCKTPTSKSAMVETEFDDLKKNANLLMSPTALSRMTKSRSIKSNCLCSPTTHIGSFRCRRHRSTSISRGGSVGSNLSDLVQKSEAMED >KGN57420 pep chromosome:ASM407v2:3:12916224:12917044:1 gene:Csa_3G184020 transcript:KGN57420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLFSRPQISIIFLFLLLIFVFPVRSEDVSSAIRLPSEATNNDGDVDLCPVSVPSSCPVKCFRTDPVCGVDGVTYWCGCADALCSGVKVAKMGFCEVGNGGSAPIPGQALLLVHILWLIILGVSVLFGLF >KGN59141 pep chromosome:ASM407v2:3:29841314:29843925:-1 gene:Csa_3G777000 transcript:KGN59141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPFVDCSFGTLILPLSKTARSFDRFDCHFRRTLRSLNQMDTSNPSVFVNAELLRLYVGRRVRAVIQVLSESNGVVFGKSTDDNQITVKGSPPFPLSKFVEVIGIADTDKSIRADVWTNFGDSFDTSTFNQLCLFANGEFKPLFI >KGN59937 pep chromosome:ASM407v2:3:35664423:35667378:-1 gene:Csa_3G855310 transcript:KGN59937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRKDKAQSPRVFRLLAAIAIGVLIGCLFAFFYPHGLFTSDLPLQNRRLAKLDLQARSSSSCESSDRSKNLKADVVSMLEKNAQLEKQIKDLTRELKIVEQLKDHAQKQYLALGENHKAGPFGTVKGLRTNPTVIPDESVNPRLAKLLEKVAIQKELIVTLANSNVKSMLEVWFTTIQKVGIQNYLVVALDNQTEEFCISHEVPVYKRDPDNNIDKVGKEGGNHQVSALKFRILREFLQLGYSVLLSDVDIVYLQNPFDHLYRDSDVESMSDGHNNMTAYGYNDVFDEPSMGWARFAHTMRIWVYNSGFFFIRPTLPSLELLDRVATRLSQEQAWDQAVFNEELFYPSRPGRDGLHASKRTMDMYLFMNSKVLFKTVRKDPKLKQLKPVIVHINYHPDKYPRMKAVVEFYVDGKQNALDPFPDGSE >KGN58877 pep chromosome:ASM407v2:3:28109119:28111502:1 gene:Csa_3G734300 transcript:KGN58877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEISRVSSSSPFLRSTMASTSSGIYIVNIIIFTTMFIHFVVFTNVIFSNCHVMDRIQFTNLGWLIYMITAFWEFEHQRFRRTTAFSSLNLDSPYIEREQTETIRVVFDCGSVCHQPYIRQCLHPLSKERHQDSLSTTSLTNFTSYNMEKDILPENVKYSGYKVGVFGAKHFFSQYDSKRCIYQKPDLTSLVLQRCDHYLCLTCQFAVRGRARKDNLGFSDVGLLKSFKNGF >KGN59305 pep chromosome:ASM407v2:3:30912350:30913425:-1 gene:Csa_3G807350 transcript:KGN59305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAILIKKQRQRPHLLPTSARPSSMALHSASHPISKPKPKIRIIHIFAPEIIKTDVANFRELVQRLTGKPEATEDGKILPPEIPPAAAFDNRKTEIVSGVGCRRRMVKKEDEYQEEDEEEEEMLEDVVGMWNDVVGFEGFIQELGEFPDSICLISSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSFYPFLISY >KGN58309 pep chromosome:ASM407v2:3:23735039:23753978:-1 gene:Csa_3G611340 transcript:KGN58309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLIIGVNNNIQSIPSSSSLSIRFTIDCWLLRRIIQTSHRTQFREVRAEKCSVLTLIYSFFDSSDNELKPMDAEQLREHAHKMVDFIADYYKNIEDFPVLSQVEPGYLQNLLPESAPLNPESLQSVLDDVQKKIFPGVTHWQSPNYFAYYPSNSSIAGFLGEMLSAAFNVIGFSWVTSPAATELEMIVLDWLAKLLKLPDDFLSSGNGGGVIQGTASEAVLVVLLAARDRALRRFGKDYLKKLVVYASDQTHSALQKACQIGGIHPENCRWLKADISTNYALSPDVLSEELSRDTARGLIPFFLCATVGTTSSTAVDPLPELGTIAKRHEMWFHVDAAYAGSACVCPEYRQYIDGVEEADSFNMNLHKWFLTNFDCSALWIKDRHALIRSLSTNPEFLKNKASEAELVVDYKDWQIPLGRRFRHLIPCLNDELRL >KGN58894 pep chromosome:ASM407v2:3:28198278:28198607:1 gene:Csa_3G734945 transcript:KGN58894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNPTDTRIAAINQLPKTQVARLLLFLLFFFLVFLFFLFPFIQLTVHHLPLKPLKVIPINILRRVSIFFIKQHNMTVPFPNSKIRILLFVKPHADVVLADCDGLAGIGF >KGN57139 pep chromosome:ASM407v2:3:10866863:10868530:1 gene:Csa_3G164580 transcript:KGN57139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGGAIISDYVEPNPVVVDNLLWPDLDTTFSDLLGLDLITQTQNYPFNNTTSPNVVDNNIPKQLSQVKKEKVEGNEVGRGEMKKPQKVRKSKYRGIRQRPWGKWAAEIRDPRKGLRVWLGTYNTPEEAARAYDQAAIRIRGKKAKLNFPPPLPSPPLLSVPLSLPPSPPQQQLPTTSTPIDVELKHQISTLESFLGLDPSPPVEPTADLWWVDDLLACQQQNLQL >KGN56816 pep chromosome:ASM407v2:3:8943880:8944792:-1 gene:Csa_3G134650 transcript:KGN56816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDIKEILVLSNIGSETTGGNIGKTVAIILGSTAGVAFVVICLLFARGLMKKHDDY >KGN59834 pep chromosome:ASM407v2:3:34836147:34840543:-1 gene:Csa_3G849900 transcript:KGN59834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFRGNSQFDLTNSSPQDYFSDLCSVSTHVNGPTPWLKKFPQFFSSFQFHLHFTLPIKSFDELRFHRPSLNETPFEAFLSSAMESLSIFSTFIIFLSLCFGLRAFSVMADDEAVTVKVGLVLDSDSSIGKMGVSYMEMALSDFYESHRNYKTRLALFAKNSMEDVIEATAAAIELINKEEVEAIVGPQNSMQAAFMADLGKKSQGVLIHSDDEYGEGFLPSMRDALGETNIRVAYETAIPQSASDDRIAKELYKLMTMQTRVFVVHMLPELGSRLFAMAKEIGMMGSGYVWIITDGMSNFLSRIDDSSMVAMSGALGVRTYIPRTEKLEAFQMRWRRKFEKEISELNIFGLRAYDAIFALAKAVESVGTTEFIFEKSNVSSKFTDLDNLGVSRNGPRLSEALSKTHFKGLAGDFRMVEMKLKSSTYEIINIRHEKNITVVGYWTPENGLTQTLDFMKTNSNTSVTNLSRIIWPGDDPNSFSFPKGWENPTNEKKLRIGIPVKSGVYDAVVGDVSIRESRSLHVDFTFPYSESSVSMVVLFRDIKNKKAWLFLKPLTLDLWLTSAFFFAFIGLVVWILEHRINEDFRGPPSHEIGTSFWFSFSTMVYAQREKVFPKGSQLGRDVSKGILNIMESGVLQEIEDKWFKGNISSPDPNSLISTTLGLESFWGLFLVIGAVSSSAIVIALANFLYEHRHVLKLSTISLWKRLLLLLEIFNEKDMSSPALRKKRQDETPEVKDVRFEPHPSPSCDSSYRNGGLSPCNFDDFHGDQNATPSNHHLSRE >KGN57516 pep chromosome:ASM407v2:3:13883929:13885689:-1 gene:Csa_3G202740 transcript:KGN57516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPRLAALLSSLISQLLLLLFLLFPSSNPHSLFSNSAPDSSFYANLFAHFLFSQDFAASLPFLSVSRKRKRTNRSDHLELGSSHGRVHHLFRTRTPDSFRNHFRMTSSTFEWLSGLLEPLLECRDPVGSPLDLSVEIRLGVGLYRLATGCDFSTISDQFGVSESVARFCSKQLCRVLCTNFRFWVEFPCPNELELTSSAFEDLAGLPNCCGVVSCTRFKIIRNSHFYEDSVATQLVVDSSSRILSIVAGFRGNKDDSTVLMSSTLFKDIEQGRLLNSPPVYLHGVAVNKYLFGHGEYPLLPWLIVPFAGAVSGSTEESFNEAHRLMCIPALKAIVSLRNWGVLSQPIHEEFKTAVAYIGACSILHNALLMREDFSAMADEWESLSSLDHKSQYVEAGLNVDSTNEKASVIQRALALRARELHS >KGN58068 pep chromosome:ASM407v2:3:21067793:21068742:1 gene:Csa_3G483770 transcript:KGN58068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLILTLSPSSSPSSSDSQQTNSQFSFPSSQTLKSVANINLIQPHQMETDLQIESLAFHDKLNLHNSEQHQLDEENEDDEDFSFVCANPDASSPISADDAFYNGQIRPVYPLFNRDLLFVDETLPPPLRKVFLEKPDTDLAPISESEGAIEGTYCEWSPATAELGKKSNSTGFSKLWRFRDFMTVHRSSSDGKNAFVFLNNNHPSSSTSSSSSPNSSSKNNSPHKQLQQPQTSSSPHRTHYVNGRAQKKQVHKHKSYLPYRQDLVGFFTTVNGFTKNVHPF >KGN56100 pep chromosome:ASM407v2:3:3926576:3926896:1 gene:Csa_3G073905 transcript:KGN56100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILGEKWGSTRVTTRGRSASKGRKGGGGRARTAEGFNGGTQTTGSRSNLISGIGEGIWSVDGMRRIIEKRGGIGKGKIGFSFRATEGYGSVVVSTGGVFCGIEGA >KGN59957 pep chromosome:ASM407v2:3:35810833:35815222:-1 gene:Csa_3G856000 transcript:KGN59957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDVRCCESKFFLFLLIIAGLVAFAGLMAGLTLGLMSLGLVDLEVLIKSGRPQDRKHAAKILPVVKNQHLLLCTLLIGNSLAMEALPIFLDMIVPPWAAVLVSVTLILMFGEILPQAICTRYGLKVGAIMAPLVRILLIVFFPISYPISKVLDWMLGKGHAVLLRRAELKTFVNFHGNEAGKGGDLTHDETTIIAGALELTEKTAKDAMTSISNAFSLDLDATLDLETLNAIMTKGHSRVPVYSGDPKNIIGLVLVKNLLTVDPEDRVSLKKMIIRKIPRVSEDMPLYDILNEFQKGHSHIAVVFKKHGHQSETLPKKDIGVNSGDAAAAQNIGMKMESVDAQTVAEKAGGLQTKKSPPATPAFKKRHRGCSFCILDVENAPLPVFPLGEEVVGVITMEDVIEELLQEEILDETDEYVNIHNRIKINMQPSPEKLSINQPQLSPNVNL >KGN57657 pep chromosome:ASM407v2:3:15338929:15341431:-1 gene:Csa_3G238230 transcript:KGN57657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLMKKKKPISPIAKRKGKKNTKLNNQNPKSVASSINHDLLILPTKSFSDAVHRHGRSFHTPPPTCHKIRMACIQYCRNALRNIIKDTKLHPSDQMMQPLLFSGQGVRHRKLEVILTTSIEKLGKAGETVKVAPGYFRNHLMPKILAVPNIEKYAYLIKEQRRIYQPVEEEVVKVVTLTKEDKMKEYEKAARRLDSKQLVLRRLIDKEKFRTRAAKDEPLALQSSVTVDDIVAEVARQFSVQIAPENLNLASPLSACGEYEVPLRFPKSIPLPEGKVYWTLKVKVRSK >KGN57741 pep chromosome:ASM407v2:3:16429301:16430031:1 gene:Csa_3G271340 transcript:KGN57741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLILVEKARRGLHWLEEMGDVGGGNLVDEEGDLVGGEEVAVLNALKPRQVTPPAHFYLRVSRQGEKVLQTQFLHCGWEGFGAFGVPHPAKESLIHYNFQVKRRLLHDAVEEQWILKWHRTIMSRGNYHLLDKEQPDIGFYCQLMWI >KGN58066 pep chromosome:ASM407v2:3:21053739:21054198:1 gene:Csa_3G483750 transcript:KGN58066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRRMASRLFLFKEQYVQLNSGSSELERDDGDGGDAEADDGKEIEKTEITEPWNAIVNISIVRCGWAEEKKKANSHVGSMGLDSLDDDDSLWGRSKKV >KGN57381 pep chromosome:ASM407v2:3:12629885:12636476:1 gene:Csa_3G182170 transcript:KGN57381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMTQYGIKYAVHIMTAHFGNLVAKVCETLLRKGPLTLRLLIRFTELTPSQVNNSLLILIQHNCVQAFTDVTEGEFGDAPKDDTLYLALFSNILHRMRFSKFVAIASQKLGQDKNCQELLEGLLQNGRLSMEQLVERAESSGRAGNHPISDVVHDSLFKLLNAHFVERCPAPEPTLSPKVEEPPPRKRGAKAAKISEVPETLEQRLIEAARPVEVLRFSMGDTNPEAEKSEHHSQISGQKRKHDDLEIAQDSGDADGPILWRANYDEFIRCLRHKACIEHVRSQFDDGVVNVLSAILEATRSSEKIVKTEITVPLSLDNIYEEVMKNEVGRSMTLDRVEASLAALGCPGMDDYKINLRRIIEQAQTDEVESIVLKRYGRDAYRMFRFLSKTGGLVETEKISDSSFVDKNEAPKILYRLWKDEYLYMEKVALTVPRHSLCLLWRVDRYNLWEHVLDEMYHASLNLQLRLAHEIEENKELLSLPKDNNNKPLVKKMHHFENVRNLLRSSLVKLDDALMLFHDF >KGN58355 pep chromosome:ASM407v2:3:24403958:24404344:1 gene:Csa_3G627660 transcript:KGN58355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLWALSPTIHPSTLLASPCHEYSTLELRSHLTFLISALVGDRRSCFSRNYSRSGALHMQSQQIWSSFSPTVCFVVEGTSLSEPSKILDLALQIFVVPAQISTFPTPISPQILSVQNSSPNMRDLSM >KGN59878 pep chromosome:ASM407v2:3:35183297:35187690:1 gene:Csa_3G851780 transcript:KGN59878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVVLPAASRPTIRILISGLVNNRLKSFAKQSTDDGSPPSAMALLAISRQAKGQTTVAVLNFSTIPEILPCRRRLNPQFSVWFPRRDPELFFFSTQKKLGHF >KGN56378 pep chromosome:ASM407v2:3:6536374:6538164:-1 gene:Csa_3G118130 transcript:KGN56378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRWNGILKVPLNSNSRKFYRVAVSLCLSPTSKTLTVPRGNAIFFNGDRVEGTGNPVIERLSNLQNIAEILVSKFGDSTNAWVVEASDFNGAFAIYQDFIPSLNRWGEPKSYTPNGFPASLSTVSLLGSCYNEVKKIVSRGKPRSQETAISTLSCCTPETIILGFSKGGTVVNQLVTELGSKDLMAADENLPLSKQESGVECSKLDEIQFVPTTGQSFLKSITEIHYVDVGLNSHGAYLTDPEVIKRISSSLIQESRGIRFVLHGTPRQWCDRRRVWIRDEKEKMRSFLESEALRSGGNLKVNEKFYFADRPADMQMHFEIIEKLDVC >KGN60341 pep chromosome:ASM407v2:3:38675299:38676094:-1 gene:Csa_3G895870 transcript:KGN60341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGSGSLQSSSGGDEEYDSHHHQQPQLVFPPSPLFFNLPSSSSSSSCSSSSLLASSSSSSIPHHQQSLPSFHNYQNNHHLLPSFYDFPASSNYNFNPDSSSNSFVNLDVLRSGEPTHFKTPPDGSTQNQIPTIRSTPSTSSGALHPKVNTKKRTRASRRAPTTVLTTDTTNFRAMVQEFTGIPSPPFTSGGSSYSRRFDLFGLVRTTSNNSTATRLEDSGLGVGSYPSRSKDDNVGVGGGMILILIFPSKLERI >KGN56650 pep chromosome:ASM407v2:3:8000402:8005560:-1 gene:Csa_3G127130 transcript:KGN56650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEVSWGVAAAVTALAGAAAVVFAVLKWAAKSLNEWIYEAKLGDRRMALPPGDLGWPLIGNMLGFLRAFKSNNPETFIDSYVSRFGKIGVYKIHLFGNPSVVVTTPETCRKVLTDDEAFQPGWPRAAVELIGKKSFIEMPVEEHKRLRRLTSAPVNGFEALSNYIPYIEENVLKSLDKWSNMGPIEFLTQLRKLTFTIIMYIFLSAESESVMESLEKEYTRLNYGVRALRINIPGFAYHKALKARKNLVAAFQGIVTERRKRRLGNWAPKRKDMMDALIDVEDENGRKLTDEEIIDILVMYLNAGHESSGHTMMWATILLNQHPEVLKKAKEEQEEIVRRRPAGQKGLTLKECRDMEYLSKVVDETLRYVSFSLVVFREAQMDVNLNEIARGFIPKAGEFLPFGAGSRLCPGNDLAKLEICIFIHYFLLNYKLEWLTPDCQILYLPHSRPKDNCLAKISKNSSTVV >KGN59136 pep chromosome:ASM407v2:3:29810562:29817870:1 gene:Csa_3G776960 transcript:KGN59136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALNLSSTPFLRQISSTKTPKASMSVRATSRPSENSSTSVGTKENENQGLSSSSSSLFSPPPNFKPPEPKRFGVRPDKFLDVLGASLSLVFRLGTGIFVNGYSASLVPKNDFPPDKYALEIAGFKVKETSKLGPRPEKPIEIYEFESCPFCRKVREIVAVLDLDILFYPCPRNGPNFRPKVLQMGGKQQFPYMVDPNTGVSMYESDDIIKYLVQNYGDGNVPLFLSLGLLTTLSEGFAMIGRLGRGSIYKPSKLPPAPLEIWAYEGSPFCKLVREVLVELELPHLVRCCARGSPKRQQLYEKEGHFQVPYLDDPNTGVRMFESAEIVEYLQATYAL >KGN60155 pep chromosome:ASM407v2:3:37251257:37252513:1 gene:Csa_3G881680 transcript:KGN60155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEYENVVVEKLRLKGKALGVKGDGIRKKKKQKKRIDEFSRLVREDDHDLSGRNITSLVDCSKETDGGGSGKGLYDDMLTAAERRYLRQWEKINLQRMAKMASKSHRDRIQEFNQCLANLSEHHDIPKVGPG >KGN57706 pep chromosome:ASM407v2:3:15966197:15970269:1 gene:Csa_3G257600 transcript:KGN57706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFAASFQSVSLFSNSSSAHFHFPSTKPLVSTRLSVRASSPDSDSNGLKVEYTPWLIVGLGNPGNKYHGTRHNVGFEMIDSIAQAQGILMNTIQSKALIGIGSIGEVPILLAKPQTYMNFCGESVGPLAAHYQIPLRHVLLTYDEMNLPNGVLRLQPKAGHGHHNGVKSVMGHLDGRREFPRLCIGIGNPPGTMDMKAFLLQKFSSVERKQIDAALEQGVEAVRTLALNGFSQRVNRFNLSQKYKYHKV >KGN57779 pep chromosome:ASM407v2:3:17010770:17011763:1 gene:Csa_3G298070 transcript:KGN57779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATLSIRTTLAIVTLLLVAVGCDAFIASKPVFNLSSDLGKRLIGDIDTDFLMESHVSRILAELRFETMRTPNANSASVVNCERPPRYDSCLGEARDNPPSENCDPYNRANPC >KGN58701 pep chromosome:ASM407v2:3:27275911:27277195:-1 gene:Csa_3G730160 transcript:KGN58701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGDRVECSRERNNYIWRMGNYRFRVSDMMPNSWFYKLKDMTTIIRRRNSKKDQSSKNSHTTDLVYSHPRKSIHFTPSQLAANNSPLEPPRRSSKGKKPRRRPTSAAAPTSTLLLTSSSGCSCGRTALESVTTTSTTTPPVLTHHSYLHAEKEEEDPNAVIFGKEHKISPKKINGSDEEYLKSLPQIIDQLPPIITRSSSSSSNAADASTCPSLTITKNDKSEPIRSSPSRRFLLNSPGPKLRIVNSPRVSSSKRFSHVSRRRSGKRSLNDSLAIVKSTKDPQRDFRESMVEMIVENKISGSNELEDLLACYLSLNTDEYHDIIVKVFKQIWFDMTDIIGDHY >KGN56199 pep chromosome:ASM407v2:3:4901289:4908159:1 gene:Csa_3G099600 transcript:KGN56199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLMNEINLKDFYIPNYILSIGSEGESVSHLPVCPVIAFINSKSGGQLGGELLIRYRALLNKNQVFDLGESRPDKVLHQLYCNLGILKDNGDLLAAHVEKNLRLIVAGGDGTASWLLGVVSDMKLPHPPSIATVPLGTGNNLHFSFGWGKKNPGTDRQSVESFLSQVRSAREMKIDSWHILMRMRSPKESSSDSIAALELPHCLHAFHQDNWHVYRGGFWNYFSIGMDAQVSYAFHSERKLHPENFKNQLTNQKAYLKIACKQGWFVSPICHPSSRNISNVVTVKIMKREGIWEDIVIPLSIRSIICLNLPSFSGGLDPWGDPSKNKLRDRDLTPPYVDDGLIEIVGFRNAWHGLVLLAPNGHGTRLGQAKRVQFEFHKGAINHAYMRIDGEPWKQPLSVEDDKVSIEISHRGRVNMLANLPCRAKSVHDPSSTHSHSSKDYVDEDDEFTEEYEVVKKFGAASTFKYFNTADTVHYQ >KGN59514 pep chromosome:ASM407v2:3:32460778:32463626:-1 gene:Csa_3G823620 transcript:KGN59514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSLFHNLRRAPLLFRSSSLNQLSFRPILEIPDTVFSQIKKFPEANISKPLLGFRNLSHGSVNLVVSQGKPKFETHEVDPPKKEKWKTKKKLKMQRMRLKQKRKAANKRDPRQLRARIKEALLIERLRRYEVPKVQGPMVKPHDLTGEERFYIKKMGQKRSNYVPIGRRGVFGGVILNMHMHWKKHETVKVYCKPCKPGQVHEYAQEIARLSGGIPIQIIGDDTIIFYRGKDYVQPEVMSPIDTLSKKRALEKSKYEQSLESVRRFIAIAEKELELYYRHIALYGDPNDRNPLSILNVPSDNLNSDKYQITNMEDSHSAALCLASDVMNQRNPDFSDDESLDIGDGFADACFSTSESDFESDKLDESGEGRMEVSVSQTEEISSTTMNTCSAFPNKCEFHKQELPIHS >KGN57100 pep chromosome:ASM407v2:3:10667757:10668704:1 gene:Csa_3G153740 transcript:KGN57100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPLDEQETTVVFEKLHKFTGNNLKNIVENPSHEGTEPNPGRYCFRLHKNKVYYVSDSLVKRATNVARSNLVSLGTCIGKFTHGGSFHLTVQSLGLLSPNAKHKVWLKPTSEMSFLYGNHVLKGGLGRITENIVPGDGVVVYSMSDVPLGFGIAAKSTQDCRKLDPNGIVVLHQSDIGEYLRMEDEL >KGN56223 pep chromosome:ASM407v2:3:5124258:5127539:-1 gene:Csa_3G101820 transcript:KGN56223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDIESQSSNNTPFLGKANRPLTLMFMDVCYKIKPKNSKSQEMKTILKGINGVVRPGEMLAMMGPSGSGKTTLLTALGGRLGGGRLTGTISYNKNPFSNKMKRNIGFVTQDDILLPHLTVVETLVFTALLRLPKELTTQQKVGQAEVVISQLGLSKCKNSVVGSQMVRGVSGGERKRVSIAQEMLINPSLLFLDEPTSGLDSTTAQRIVSTLWEVANNGGRTVVMTIHQPSSRLFYMFHKILLLSEGNTMYFGKGSEAMDYFSSLGYSPSVPMNPSDFLLDLSNGLSMNEAEEEAGLVKEKLISCYKNNAIAEKLLLELQDSDEQHLVEEGAEDKSFGRWSATWCQQFTVLLRRGIKERKHDSFSALKIGQVLAVSLICGLLWWQSDDSHLQDKIGLFYFSSSFWGFFPLLQAIGTFPKERMILEKERSSGMYRLSSYFVSRTTTDLPMELVLPTVFVVIIYVMAGLKRTVASFFATLFSLLLSVLVAQGFGLAMGALVLDQTSATTFASVIMLCFLLTSGYFVQHVPKFIAWTKYISIGTYSYKLLLISQYKASDTYPCPSNDNGGRACEVGEFPPIKQVGLDGKLFAVSAMVAMLVGYRLVAYIALMRIGVTKRS >KGN60015 pep chromosome:ASM407v2:3:36262362:36262634:-1 gene:Csa_3G866480 transcript:KGN60015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCTFVSSIYTVCNVSVTIFSRVYAFCSEMAVWSPGLCSLPCLQLHDNIEDGYSDFKNGVHESNQAVKVTQVSIRHFSSVTKLLINLISK >KGN57565 pep chromosome:ASM407v2:3:14325381:14328975:1 gene:Csa_3G214050 transcript:KGN57565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGEEQNLPLQQRREVALTGDSSGFLCGQCSIAFHRVCKELNFKCFFVLVLGFVVFVPGFFWLLPLHERNSGFEAKDNIKLSATVQVYFVLEKPVTELLPHIKRLEFDINGELDIPNVKVSILSMHDIGESNRTYVVFGLLSEYITAPINPVSLSLLRSSLYDFFLSESNLTLTTSIFGQPSTLQILKFPGGISIIPFQHASIWEFPQIVFNFTLTNSISEILDNFAKFKSQLKFGLRLRSYENVYLQITNKIGSTVQPLVIVQASITSELGRITSQRLQQLAAIINTSPERNLGLDYSVFGEVKSVSLSSYPKRTSKAMPPSFSPAPAPAPGNHVEVPSGPHPLRSMRPPANHSPPHANCKSSSPNPSMVPANSPHEHSIPPISYPKSTRLIVPPANQPRVYSPRASPVESPPLLPPDLLPKPKPSFRSKSGQTNEDPSHPVHD >KGN55585 pep chromosome:ASM407v2:3:88428:95602:1 gene:Csa_3G00090 transcript:KGN55585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMGMGMGVARDEIDKISEPSVTVFKGGGGSATKWRMMHIIVALGIWLGGIHLNFALGLISLFYLSLSKALLVFALLLILVLIPVDHKSKYGRVLARYICQNACSYFPVTLHVEDIHAFDTNRAYVFGYEPHSVLPIGVVALADLTGFMPLKKLKVLASSAVFYTPFLRHIWTWMGLTPATRKNFISLLAAGYSCIIVPGGVQETFHMEHNSETVFLKTRRGFVRIAMEMGTPLVPVFCFGQSSVYQWWKPGGKFFLQFSRAIKFTPIVFWGVFGSPLPYRRQMHVVVGRPIEVKKNPNPTSDEVLDLHGRFVEALESMFERYKGQLGYDDLQLNVL >KGN55697 pep chromosome:ASM407v2:3:753096:754966:-1 gene:Csa_3G006590 transcript:KGN55697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIAGSLNLPLAPSNLPLLRIKKNCKFSLHIKSNERNCFPNLRPTINQNQNQTHTNRPTSLNATLRRPKGFGPASRKKKTKKTRREGSEDDDNEEEEEEDEEEEEEGGVIPEVVTNRMMSRMGFTVGIPLFIGLLFFPFFYYLKVGLKIDVPSWVPVIVSFFFFGSALLGVSYGIVSSSWDPLREGSLLGWNEAQKNWPVFWKSIWGGSNKK >KGN57938 pep chromosome:ASM407v2:3:19405524:19407148:1 gene:Csa_3G399450 transcript:KGN57938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNLSFSPQSLIPLQKPSLLQRVQLGHFLHLNSVSQISTARLHSGSQSRVLVRAALDSDYSSKRSSSNEQRETIMLPGCDYNHWLIVMEFPKDPAPTREQMIDTYLNTLATFHLSSVLCENFRGNSMEEAKKNMYAFSTTTYTGFQCTVSEETSEKFKGLPGVLWVLPDSYIDVKNKDYGGDKYINGEIIPSKYPVYEPKKRRETKYESRRYERKRDGPPPEQRKPRPQPTRTESNSG >KGN56822 pep chromosome:ASM407v2:3:8977538:8978622:-1 gene:Csa_3G134710 transcript:KGN56822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSISATGLHKPLSSSFHGGWGTSICGDNSIVLIKSVPDVVRVAKPVRFRPMMKNINEGKGLFAPVVVLARNIIGKKRFNQLRGKAIALHSQIITEFCKSIGADAKQRQGLIRLAKKNGEKLGFLA >KGN56702 pep chromosome:ASM407v2:3:8304404:8307509:1 gene:Csa_3G129590 transcript:KGN56702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFPPKKPPLLTYAFLLLFFISSFLLILAPSSSLNPLLFFPSTFQCNRSNSVESLQYSSGDALGFALEKAAMANKTVVITVINKAYADQGVRDDTTMLDVFLSGFWLGEDTRKLLDHLLLVAVDQTAYDRCRFQRLNCFKLETEGVDFGGEKLYMSEEFIKMMWKRTLFLLEVLKRGYSFIFTDTDVMWLRDPFPKLSKDETEDLQISTDHFNGNPWSQSNPINTGFYFVRSNNKTIALFDKWYSMKNNTAGQKEQDVLFNLIRAGIFRQLNLKVRFLNTLFFSGFCQKSSDFHQVSTVHANCCRTIVAKISDLRATLGDWKRFRKSTNASEIFWWTDHVGCKRSWKH >KGN56767 pep chromosome:ASM407v2:3:8715200:8720248:1 gene:Csa_3G133180 transcript:KGN56767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAESGKWIRLILLVVFMLFVGAIARAPKEIPEIENGGTEKLQSSSNSTMAVRLDEAKDLGNEHAVDNPEEIAAMVDMSIRNATARRELGFFSCGTGNPIDDCWRCDPNWQQNRKRLADCGIGFGRNAIGGRDGRFYVVTDSGDDNPVNPKPGTLRHAVIQDEPLWIVFKRDMVIQLKQELIMNSFKTIDARGTNVHIANGACLTIQFVTNVIVHGLNIHDCKPTGNAMVRSSPNHVGWRTIADGDAISIFGSSHIWIDHNSLSNCADGLVDAVMGSTAITISNNYFTHHNEVMLLGHSDSYVRDKLMQVTIAYNHFGEGLIQRMPRCRHGYFHVVNNDYTHWEMYAIGGSANPTINSQGNRYAAPTNPFAKEVTKRVETSESEWKGWNWRSEGDLLLNGAFFIPSGAGASSSYARASSLGAKSSSMVGTITSNAGALSCRRGHAC >KGN59050 pep chromosome:ASM407v2:3:29089474:29092936:1 gene:Csa_3G748790 transcript:KGN59050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQLSTSALFPGAFPTPGDGIPALLLFVWDVVRAPLIAPLLRVIMVICSALSIMQFVERIYMGVVIVAVKLLRRTPEKRYKWEPIKDDSELGNSAYPMVLIQIPMFNEKEVYQMSIRAACGLSWPSDRMIIQVLDDSTIPAIKNMVELECKKWASKGIDIKYEVRDNRTGYKAGALKEGMKRSYAKDCDYVVIFDADFQPESDFLHRSIPYLIHNPQLALIQARWIFVNSDECMMTRLQEMSLDYHFTVEQEVGSSTHAFFGFNGTAGIWRIAAIDEAGGWKDRTTVEDMDLAVRASLKGWKFLYLGDLQVKNELPSTFKAFRFQQHRWSCGPANLFRKMVMEIAKNKRVTLWKKVYVIYSFFFVRKIIAHINNFLFFCIALPATVVVPEVEIPTWGGVYIPTATTIINAIGTPKSFHLTIFWIMFENVMSLHRAKATIIGLLEGSRANEWVVTEKLGDILKGKTASKATKKPIFRIGDRIHITELGVGAYLFFCGCYNMLYGENYFFVFLLVQAISFFIVGFGYIGTIVPS >KGN58144 pep chromosome:ASM407v2:3:21927672:21935824:1 gene:Csa_3G556200 transcript:KGN58144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTTAPFHAIGIRFPSHSSSSSISSTTNALILKSPLALALTAKPKSPLLLKRNVGCQRFGRNSRLVVRCDASNGRITQQEFTEMAWQAVVSSPEIAKENKHQIVETEHLMKTLLEQKNGLARRIFSKIGVDNTRLLEATDKFIKRQPKVLGESAGSMLGRDLEALIQRAREFKKEYGDSFVSVEHLVLGFVNDQRFGKQLFKDFQISLQTLKSAVESIRGRQSVIDQDPEGKYESLEKYGKDLTALARSGKLDPVIGRDDEIRRCIQILSRRTKNNPVLIGEPGVGKTAISEGLAQRIVQGDVPQALMNRRLISLDMGALIAGAKYRGEFEDRLKAVLKEVTESDGQIILFIDEIHTVVGAGATNGAMDAGNLLKPMLGRGELRCIGATTLDEYRKYIEKDPALERRFQQVYVDQPTVEDTISILRGLRERYELHHGVRISDSALVEAAILSDRYISGRFLPDKAIDLVDEAAAKLKMEITSKPTALDEINRAVLKLEMERLSLTNDTDRASRDRLSRLEAELSLLKEKQAQLTEQWEHEKSVMTRLQSIKEEIDRVNLEIQQAEREYDLNRAAELKYGSLNSLQRQLADAEKELDEYMNSGKSMLREEVTGSDIAEIVSKWTGIPVSKLQQSEREKLLHLEEELHKRVVGQDPAVKSVADAIQRSRAGLSDPNRPIASFMFMGPTGVGKTELAKALASYLFNTEEALVRIDMSEYMEKHAVSRLIGAPPGYVGYEEGGQLTETVRRRPYAVILFDEIEKAHSDVFNVFLQILDDGRVTDSQGRTVSFTNTVIIMTSNVGSQYILNTDDDGQTTETTYETIKRRVLEAARSVFRPEFMNRVDEYIVFQPLDRDQISSIVRLQLQRVQKRVADKKMKIEVSDAAIQLLGSLGYDPNYGARPVKRVIQQNVENEIAKGILKGEFKDEDTILIDTEVSAFSNGQLPQQKLVFRRVENRVSENPNADNREASAQVL >KGN55603 pep chromosome:ASM407v2:3:190954:191181:1 gene:Csa_3G001750 transcript:KGN55603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEREPVWCLKRKVILAMLMLCKPSKLEVMEVPSDVPTRFEARSAPVVKGSWKAKMVPNGVVVWLTPGPTTPGTMK >KGN58794 pep chromosome:ASM407v2:3:27745992:27746366:1 gene:Csa_3G732515 transcript:KGN58794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRGIFNDGKHGGHSWERSTDQIPVPGCSRFIEGITGIVKGHSASIERESRINIARASAKSIISNFRLGFSEMTSDWLLHRQCRRSTAVSLLLLLLLIPPFLQLLTEDRSSRPKASSSGWLLRV >KGN59087 pep chromosome:ASM407v2:3:29449176:29452182:1 gene:Csa_3G764560 transcript:KGN59087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSMMGSSGCEILIRNSHQKTVKLQLFHEKEKQMVFKFSKSGCNPARALLSKHEATEFEERTSPIEVRTEISKCYELINRLGKGVVYLGSSRMGPDHAHYKQAFELSREISELLDCTTWSGVGPGLMDAVTKGALQAGNPVGGFKIGRESGEWTSSKFHPYLPTETYFTCRFFSARKHGLVDAAVRSCSSDRTAFVTLPGGIGTFDEVFEILALIQLRRIGSKLPVPFLLMNYDSFYSKLLEFFNDCENWGTLAKGEVDSLWKVCDNNADAVAYLSSFYSLCDQDRVKNEVGLESTH >KGN60331 pep chromosome:ASM407v2:3:38618845:38622730:1 gene:Csa_3G895770 transcript:KGN60331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILISHVLLLPNSLACLSHAPTSFPWNRQSFCAFKSLYRVAARGRYPKEVNQMEEAIVDADKFRVEFLRVLRSRRSGEVPLNVKHTEPVLNPLIQEANPPTFSKVMASCPKETSCNLKDLLHEENLHLTTEEGEQGQLPILIMSMKESRQQKRPGIVFLHSTNKCKEWLRPLLEAYASRGYVAIAIDSRYHGERAKTKTTYRDALISAWKKGDTMPFIFDTVWDLIKLADYLTRREDIDPCRIGITGESLGGMHAWFAAAADTRYSVVVPIIGVQSFGWAVDNDKWQARVESIKPVFEEARIELGMNEINKEVVKKVWNRIAPGLDSQFDSIYSVPAIAPRPLLLLNGADDPRCPVAGLDAPVSRIQTAYQKFGCPENFKFITQTGIGHEMTTEMVKEASDWFDKFLCESIKE >KGN56110 pep chromosome:ASM407v2:3:3978303:3979830:1 gene:Csa_3G074490 transcript:KGN56110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSWRDGLSNNNKSRVIVDESIEEMEPLELLMGRLVRGEDHTQLERTGFACHTDLHSKEDKITLRDVTPLQIIFQPNKTLLPLCQFIHNVPVLIFSTGGFTGNLFHEFDETIIPLFITSYHFQTRVRFLITDHKTWWVQKYNRILSGLSRFNVINLAEDGSVHCFNGGVIGLKFHNILSLNNTDIPGGYSMSDFRSFLRQTYNLKVNNVSELSGKKPMVMLISRQTSRRFMNEGEMVEMMKEVGFEVMTTTPQRMSNLDKFSSVVNLCSVIIGAHGAGLTNEVFLANGAVVVQVVPFGLDWPSTYFFGKPAAEMELQYLEYKIEAKESSLWDKYGENHPVIRDPESIFAQGYFASRAIYIDEQNLKINLTRFRDTMIQVKKLIEEKRGSW >KGN57086 pep chromosome:ASM407v2:3:10539475:10540455:1 gene:Csa_3G152130 transcript:KGN57086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSKASDKGYPIYETGQSQMGFALLQRNPSPISQNGGERRGRRKQAEPGRFLGVRRRPWGRYAAEIRDPTTKERHWLGTFDTAHEAALAYDRAALSMKGTQARTNFIYSDTSTFHSLLTAFDVQTNLLPNSDHSHSKLQQITPSPVTTPNNQNNNNISFAQSQALNPSVIDDHDSNFFFSNDSNSGYLSCIVPDNCLKPPSDSNYKTQPKNIHSSTASNDLKKFSFFASNSIETLPFEGLDVYSNNNSGGYGSVMEEQQEIWDSNGDELSAIINSSSSSSSMVGENGGFHPFMNTSYGGLLPQSSTCSPSAPSFGEAFDFGYTLL >KGN58201 pep chromosome:ASM407v2:3:22622907:22626892:-1 gene:Csa_3G589590 transcript:KGN58201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTEAPPRTRNDGGDTHITNKTKEQKAIDDWLPITSSRNAKWWYSAFHNVTAMVGAGVLSLPSAMANLGWGPGVTVLVLSWIITLYTLWQMVEMHEMVPGKRFDRYHELGQHAFGEKLGLYIVVPQQLIVQVGTNIVYMVTGGQSLKKFHDIVCPSCKSIKLTYFIMIFASVQFVLSHLPSFNSMSGVSLAAAVMSLTYSTIAWTTSVAKGVQPDVDYGFRASTTTGKVFNFLNALGDVAFAYAGHSVVLEIQATIPSTPEKPSKRAMWRGVLVAYIVVALCYFPVALIGYWTFGNSVKDNILISLEKPGWLIALANMFVVIHVIGGYQIYSMPVFDMIETVLVKKMHCKPSFLLRFIARNVYVALTMFIGITFPFFGGLLGFFGGFAFAPTTYFIPCVIWLLMYKPKRFGLSWCTNWICIILGVLLTVLSPIGGLRNIILQAKNYHFYS >KGN56081 pep chromosome:ASM407v2:3:3783801:3784242:1 gene:Csa_3G067760 transcript:KGN56081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFGKKFTDKEFMVAIHEGTFLAGASNLGDFIPFIAFLDLQGLGRRAKAVIKIFDELLDVIIEERLEYKKQNRTEKGEFFVDVMLDVIRSREKEHQIDRSSIKAVILVSKTLKLHSYALIRPGN >KGN56244 pep chromosome:ASM407v2:3:5286228:5286704:-1 gene:Csa_3G105960 transcript:KGN56244 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c oxidase copper chaperone MGGLPVVNSSSAIALPESKLDQASTVIKSTPDGKPKKKICCACPDTKKLRDECIVEHGEEACGKWIEAHRKCLRAEGFNV >KGN58975 pep chromosome:ASM407v2:3:28653085:28654437:-1 gene:Csa_3G740180 transcript:KGN58975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIECLKLLDELIIFFRTALGQIIGFLDSISDFKLTFVIQPTVTLGNQRRIEMLTKFEED >KGN59809 pep chromosome:ASM407v2:3:34582050:34583396:1 gene:Csa_3G848190 transcript:KGN59809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEITGEDGSKLVLREPVTVFGRGSGFASKDRTISRRHILIEAKTSENTNGAPMEPKVSFEVIGRNPIWVRSSKNGEIRTFKRSEKGEMAPGESFCVGGQEPIWFELNKIAEFEEGKQVFSRSSDSDDIDVSGIDPVKEFGFLVMGHEFECYGNRVIRDVKKWDWFLDGPSKDSDDDNEDYERKKKRGVIGKRKKGTNSDDEDWTDENEDAVEMITKVQKSQRPKYSTRSKDRNKISNDQRKAAKSNKNYNGEVEEDEDDETLGGFIVDDEVDNVEQMDEDEEEEEFLDDEEDEED >KGN59885 pep chromosome:ASM407v2:3:35219455:35221272:-1 gene:Csa_3G851850 transcript:KGN59885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVDDKAPLRRMIHFSFPIRFGNPKNLLNPQPNRHQSKLFHNLPMADVLPSSRRPVCPSCSKPARICLCSRFRSSSVENSVGVIILQHSLEKNHPLNSARIVKLGLKNVEIATVSDVNFEARFTIRLPEPNSAAQNLDPDIECSFRNGHGTIQKPQIQDGSIVDKLNCTKTNEGAAISVTIGKHGVVNSFDHIWMQLPGFQELKINEFLASPEIRASLAKGFIVKKMQKRQLVESKGLEEYAEFEIQVPPKSVLLFPSENAFTVSGGVDGSDFYINNLIVLDGTWAKAKRMYNENPWLRLLPHMKLDLEIMSLYSEVRHQPKIGFLSTIESIVYALKLIGDHPEGLDDLLDVFESMIGDQRRCKDERLKITSQF >KGN59465 pep chromosome:ASM407v2:3:32093165:32093488:-1 gene:Csa_3G822170 transcript:KGN59465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIKTAEELLETEPENAGTFLLLSNTYASTGKWREAARVRKKTKDKGLKKQPGCSWIDVGNTVHAFVVDDNSHCEFENIYLLLHDLHTKMKKIGHTLHEDLTMDFNL >KGN59684 pep chromosome:ASM407v2:3:33511115:33514531:-1 gene:Csa_3G838140 transcript:KGN59684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMGRKNLKCLTTIAFICVFVCSFSFHCCHARKTVDYRHWQVHRHHQGSGRSLRLTGSGHVGSEGVVGTSLASKGRATNGGSGNFNVLDFGAKGDGETDDTKAFQSAWESACNVEGSVVEVPSGSEFLVGPISFSGPNCQPNIMFQLDGKIIAPTSPSAWGSGMLQWIEFTKLKGITVKGTGTIDGQGSVWWNDSPTDNPTDKNLDKESSGGELPSTKPTALRFYGSDEVTVSGITIQNSQKAHLKFDSCTAVQVSSLTISSPGDSPNTDGIHLQNSQNVIISNSNIACGDDCISIQTGSSGVYIHNVNCGPGHGISIGGLGRDDTKACVSNVTVRDVKLQNTMNGVRIKTWQGGSGLVQGILFSNIQVTDVQTPIMIDQYYCDGGRCHNGSSAVAISGVNYVNIRGTYTSTPVHFACSDSLPCTGVTLDTIQLEGSSGSNEPFCWKAYGELKTSTVPPVDCLQSGNPFKAQAHDSC >KGN56683 pep chromosome:ASM407v2:3:8222949:8223128:-1 gene:Csa_3G128915 transcript:KGN56683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIWHVQNENFILDSTRIFMKAFHLLLFDGSFIFPECILIFGLILLLMIDSTSDFFY >KGN58995 pep chromosome:ASM407v2:3:28770846:28772781:-1 gene:Csa_3G741850 transcript:KGN58995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILQRIALVYFVVAMIEAFTTIGKPRVVLDHGHFSIFTAYRWQWIGGFAAFIIYIITTYALYVPNWSFSVLEDDQLLHHYTVVCGVRGHLGPACNAVGHVDRQVWGINHLYSYPVWIRHKDCTFSAPDEGPLRDDAASWCLAPFEPEGLLSSVSAILSGTIGIHYGHVLLHFKTHSQRLKQWVSMGFGFFIIGIILHFTNAIPINKQLYSLSYVCFTAGAAGIVFSGFYILIDVWGFRKPFLFLEWIGMNAMLVFVMAAQGIFAAFINGWYYKDPENSLVHWIQKHIFINVWHSRKLGTLLYVIFAEIAFWAIVAGILHKLRLYWKL >KGN58476 pep chromosome:ASM407v2:3:25552681:25558006:-1 gene:Csa_3G646630 transcript:KGN58476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNDLFSSLISDIKSYSGKDPLLPWLRGIRKMKESLPSHVLNDKLPRFLQKCTVKFESDRRYRDDLRYLRVWLQLMDYVDDPRALLKTMEEKQIGTRSSLFYQAYALYYEKLKKFEDADKMYHLGVQKLAQPIDELQRSYEQFLHRMKRHNYKKVQHQHGRTSRRHLSDKSISNQDDAEKSNIKVQKEGIFENGPIAATLSDTRSETEKYPQDSGGRETDESRMVKSDDTIVVKFVDRAIYGKSVAEDACHHGLVEPTVNMKEAMNAINSMFSEPIEMPLIGRRSRGSHSRKDCNLNGGFEVFVDDKLDKEIELEKQKGCTMARHGSVSEPCQLEQPHLTIFVDDEADGEIGNRSIEKVGLKLSKSRDVVGGSTTSTSHSKAFVFPCVDNLENMDDDSDAQDPMRAKFREDTIVCRFVGTAISNEPEVENICHHGLVEPTVNLKEAMDDINNMFGKPIEFVRTRRRSKKHEKPPEVQNDFGGFSILPDEELFEPAQAQKSRDSDLFEPTVFTKAAMDDINKMFGMPLDF >KGN56169 pep chromosome:ASM407v2:3:4550986:4555678:-1 gene:Csa_3G088430 transcript:KGN56169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEFQSSGNWWEAASRISPSSSSITTFVDHSDSAAAASDPNLHIMGLGLDWNQPLFRGGGEKAAEGSFRSMLQPDNMNLNMEETGQQQQQQQQEQQQQQIQWMRSEKLYSGESPATDFKPINRGFSLDHHHHHHHHHHQAQPQFSSPSHYSSGDSAVTSYPIDTNANLYGNSATLLQGLLAAGGEQQQQQQQQISMGMNFPYNSHFGMNSGELMTGGASWSPSKVPPYLRNSPPKAGAGGNPHSQLQFSNNTAFWNASDMKEVRPSYFAPSYNAAAGFTEKSKNISEVGDSVTTKKSGNDNNQQSAAAKRPRNETPSPLPAFKVRKEKMGDRITALQQLVSPFGKTDTASVLSEAIEYIKFLHEQVSVLSTPYLKSGAVVQQQHQQQRNEKSVKEGEGGKQDLRSRGLCLVPVSSTFPVTHETTVDFWTPTFGGTFR >KGN59052 pep chromosome:ASM407v2:3:29096211:29100982:-1 gene:Csa_3G748810 transcript:KGN59052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFWGAEVKVGEPLLVSPGEEKILHLSQACLGESKSKGSEPVVLYVKVGNQKLVLGTLSSEKFPQVSFDLVFDKEFELSHNWKNGSVHFTGYTSLLPEEEESTYTDSEEDFPLAVTDNGKPEPEPEPKKDAKPDTSGTKKKVQIVEPSKVASNSNIDSKKNKDSSDEDDDEDEESTDNENENGGEEDDSDDDENESSGVDSEDSDEDSDDSLEEDEQTPKKAEASKKRPLDSANKTPAPDKKAKLVTPQKTDGKKGVAHVATPHPSKKAGKMAAANDKNKQQTPKSANAAFSCKTCNRTFGSETALQSHTKAKHTADK >KGN59084 pep chromosome:ASM407v2:3:29371338:29371638:1 gene:Csa_3G760540 transcript:KGN59084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPLVLRCTLQLCVFHCAYLTVQSTAKPLVLRCTLQLSILSSLFIGFYRLRPHPMRFIRCRHSDSLTHRTQIRRIVFVVVRSYLSLFI >KGN59253 pep chromosome:ASM407v2:3:30556326:30558087:-1 gene:Csa_3G790460 transcript:KGN59253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFGETFMEYLHGDREWFLDKCSHVEYKRLKKVLKSCRTCRLNDSCSNECECKSCPVCDQLFFSELMREASDIAGCFNTRVRHLLHLHVAGGIERYMSRLVHCFKTDQTTLIQEGRMLIEYVTMNAIAIRKILKKYDKVHSSVNGKNFKLRMRAEHMELLQSPWLIELGAFCLNFKGSKDGDYPSGFSTHFSFNVDAAPTMTLMLPDSIKLEYDLTCPICLETLFDPYALGCGHLFCKSCVCLAASVMICDGPKAASPESKCPVCRENLFDIVLFSWPFVNILQLFSPPNEETLAYP >KGN59416 pep chromosome:ASM407v2:3:31758562:31763305:1 gene:Csa_3G818250 transcript:KGN59416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIESLRVSVDEKVLKDEEKNEKEEMKMKMKMKRKLGGVKTMPFILGNEVCDRFASSGFHSNIITYLTQDLNMPLVPASNILTNFAATSSFTSLIGALIADSFAGRFWTITIASIIYELGMVTITISAILPSLHPPPCPTQINCTQASGTQLMILYLALLLTSLGAGGIRPCVVAFAADQFDMTKVGIAGRTWNFFNWYYFCMGMATLTALTVVVYIQDNVGWGWGFGLPTIAMALSVVAFVVGSPLYNKLKPSGSPLVRLAQVVVAAFKNRKAVLPDDSKLLYRNHELDAAIAIQGRLVHTDQFKWLDKAAVITSPDSTANPPNLWRLATVHRVEELKSIIRMLPIWAAGILLVTASSHQHSFTIQQARTMNRHLTPTFQIPPATLSIFGILSMLTGLVLYDRLLVPFAKKLTHNPSGITCLQRMGVGFAINILATLVSSIVEIKRKKVAANHGLLDNPTATIPFSVFWLVPQFWLHGIAEVFMSVGHLEFMYDQSPESLRSTATALYWLAISVGNYIGTLMVYLVHKYTGEEHNWLPDRNLNRGRLEYYYWLVSGIQVMNLVYYVICAWFYTYKPLEEEKINIENKDGDQEWERCEDTIKIA >KGN59722 pep chromosome:ASM407v2:3:33809169:33816953:-1 gene:Csa_3G840970 transcript:KGN59722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGGPSGFNNAPVTRTFIIASALFTIFFGIQGRSIKLGLSYQDVIVKLRLWKLVMSVFAFSSTPELMFGLFLLYYFRVFERQIGSNKYSVFILFSITSSLLFEVLAISLLKDPAANLVTSGPYGLLFASFVPFFFDIPVSTRFRVFGVRFSDKSFIYLAGLQLLLSSWRRSILPGICGILAGSLYRLNVFGIRKAKFPEFISSFFSRLSLPSAGNPPAAPNRDVRGNMPSFMSRQVERNYPSVPTATEPSEDAIATLVSMGFDRNSARQALVQARNDVNIATNILLESQLH >KGN57933 pep chromosome:ASM407v2:3:19335095:19336409:1 gene:Csa_3G396920 transcript:KGN57933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGSGSPCGACKFLRRKCVRGCVFAPYFCHEQGATHFAAIHKVFGASNVSKLLARLPITERCEAAVTISYEAQARLQDPIYGCVSHIFALQQQVVSLQAQLASLKEQAAESIMNASSNVGGVNEKVNSRKVPSHPQDLHSWFQSEQPNVTLEFNPNPTPNNNCASNANYYDENYRIMVNSQSNGNYENHHIAKMEESGSYGSFEETSGYSMYTLDQLHSNVQQWSFSDTVDDLQSVAFGNRHW >KGN58900 pep chromosome:ASM407v2:3:28230250:28233636:1 gene:Csa_3G735000 transcript:KGN58900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEVEFRRSPSPVAKLMGLDGMPVPHRQSSYKQQMTASPEKSQRGLTSDDNQLYARSSRRQQKFKDVFEVQETSMKGSSSFSVPKNSNLKPSQTEMEYIQKKFMDARRLVTDEKLQGSKEIHDALEILDSNKKLLLKYLQQPDSLFMKHLLDINDVLPHSSCIHMAPSKSSDDENHGCHESSRKLARRNPRKKHRKSRKHCSSHVSPSDSNYVAKCPVKSSRIKLEDDERLSIFPKRIVVLKPNLGKAQNSSGVIPSSHSFQSSCRKPSEFERMEIRGMETLRTKNHDDGLGVSSHEVRPSKEVSKKTKQVRENFEYSSMSSSFGTARHDRNGCPFIGNDSEAGKCNSSNMFGLNGQLQSSSFRYKKSSLSAEAKKRLSERWKTTCDYHNTGAVGRSCTLAEMLAMPEKETTPSHMEPKHRGESSGKIFNDQRIEPFGISSRDGWKDICLEKLSRSRSLPASSTSFEIVKTNSESLRMDPFAIPKEAFKWERKEAISENLCLREHIGRRNSRHRRRKSHGSICSLEEFSDPVLEICTSQNQDSDFKDNEPVDRNLLVVEESIHFPVQDQTEVLESWMNLRVKSEEVIVSSNEELQLELPVHSVVEDTSLSGNQGCFISKGLSPEGSEDISFQLKSVSGIESPVSSKEAEQPSPVSVLEPPFADDLPPGSDCFESLSADLHGLRMQLKLLKLETEAFTESEETQHISSDEDGVEGSVESPEDKYTSNGEDSWEISYVTDVLQNSAFKDTEPDMFVAMWHSLECPVDPSTFEDLEKKYAGRSSQPRSERKLLFDCINLGILDIYQKFTDPYPWVRPPTIQVGYGEGLCNNLCKFLAKQQVKKVDEDIVEKVVGRTSQWLVLGYDVDVIGKEIERLMVDELITEVVDMYL >KGN56068 pep chromosome:ASM407v2:3:3656759:3663895:1 gene:Csa_3G063680 transcript:KGN56068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVETFGKAPMFDDDDEDNMPIVFKRTSASRQNQLDSEIKHSQAQRLSKLPGRQGSDLCSTNGQSSNNQKRMTSLPKTSPMRSEIGSQKASRSSPIPEPLTKSLGTSLKASHSMDSRPKSLVNAVVKEENVSINPSQENSDSEDDKPLSIRLKGNPNQPNKGLVSTVPKNKVKKSLADSDDEVPLSSKFQMKHASGVLGSKQHGFDEKKPLVPKVHQNGSTSRDKLQKPAVLSSKRPLPNEDSHSPSQFPTKKPKLTDSSSPLNSKLVSLKAKQEVDDDDDDIPISQRMKKVSATVNKSSSSKPTISKVVSSSIKKTFKKSKKPVKKSKYVKSTKLLPSAGDGQKKWTTLVHNGVIFPPPYQTHGVKMLYKGKPVDLTPPQEEVATMFAVMKDTDYMLKPKFKENFWNDWRKLLGKNHVIQNLDDCDFTPIYDWYQMEKEKKKQMTTEEKKAVKEEKLKQEEKYMWAILDGVKEKVGNFRVEPPGLFRGRGEHPKMGKLKRRICPSDITINIGKGAPIPECPIPGERWKEVRHDNTVTWLAFWNDPINQKEFKYVFLAASSSLKGQSDKEKYEKARMLKDYIKNIRNAYTKDFTSKDPTRRQIAVATYLIDKLALRAGNEKDDDEADTVGCCTLKVENVKAVPPNLLEFNFLGKDSIRYENTVEVELPVFKAITQFQSGKSGTDDLFDKLDTSKLNAHLKDLMPGLTAKVFRTYNASITLDDMLNRGTKNGEVSEKIVTYQHANKEVAIICNHQRTVSKSHGAQMTRLNEKISELRDILKELKIDLDRAKKGKPPLKGSDGKQKRNLTPEALEKKISQTNAKIEKIERDMKTKEDLKTVALGTSKINYLDPRITVAWCKRHEVPIEKIFNKSLLAKFAWAMDVDPDFRF >KGN59747 pep chromosome:ASM407v2:3:33997438:33997626:-1 gene:Csa_3G842685 transcript:KGN59747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLTSTELEWPEPVLKRNGPIVEDRTGPFCLVGWWPQFGRGRLKPIAFRPILPLFLCPFFFS >KGN58435 pep chromosome:ASM407v2:3:25224050:25226323:1 gene:Csa_3G643750 transcript:KGN58435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEVKALTPESVLKKRKRNEEWTLAKQQELEAAKKKNAENRKLIYNRAKLYSKEYEEQEKELIRLKREAKLKGGFYVDPEAKLLFITRIRGINAMHPKTRKILQLLRLRQIFNGVFLKVNKATLNMLHRVEPYVTYGYPNLKSVKELIYKRGYGKLNKRRVALTDNSIVEQALGKYGIICMEDLVHEIMTVGPHFKEANNFLWPFKLKAPLGGLEKKRNHYVEGGDAGNRENYINELIRRMN >KGN57111 pep chromosome:ASM407v2:3:10728522:10728824:1 gene:Csa_3G154340 transcript:KGN57111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSWKAMKAMSEDLQLGFRAPKVLFLTCQENYIRTSTTKLACLVTVIQYRALDLLDVLRKGDRLIDSTQFQNRPEKAVLVQPGKVM >KGN59529 pep chromosome:ASM407v2:3:32523627:32526173:1 gene:Csa_3G824740 transcript:KGN59529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIATNQEEIGILCSYRNSISESTAFKFRSLPSSMEGTPYDLIILGATGFTGKYVVREALRFLNPSSPLKSFALAGRNLTKLTQTLQWAAHPHSPPPIPLLIADIADPQSIHRLCTQTKLILNCVGPFRRYGEPVVAACVETGCDYLDICGEPEFMEKMEANYHERAVQSGALVVSACGFDSVPAELGLMFNSRQWVGQTAPNRIEAYLSLESSKKIVGNFGTFESAVLGVANADQLLKLRRSRPRKPRPKIPGPPPPKGPTIEHKKEIGLWSVRLPSADSTVVRRTLSTLVENPQGLPGVNESAYEIEQRKTFWSSVKPAHFGVKIGTKSLIGILRIIAVGMFIGLLGKTSLGRWLLLTFPSVFSLGWFRKKGPSEEEVNSASFKMWFVGHGFRSSNNEANVEPEMEIVTRVMGPEIGYLTTPIILVQCALIVLSRREALPKGGVLTPGIVFGPTDLQQRLQENGISFDVISKNA >KGN56341 pep chromosome:ASM407v2:3:6307623:6310006:-1 gene:Csa_3G116780 transcript:KGN56341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEDLENGEFYLRYYVGHKGKFGHEFLEFEFRPDGKLRYANNSNYKNDTMIRKEVYLTPAVLRECRRIISDSEIMKEDDNNWPEPDRVGRQELEIVMGNEHISFTTSKIGSLVDVQSSKDPEGLRIFYYLVQDLKCFVFSLISLHFKIKPI >KGN55863 pep chromosome:ASM407v2:3:2063441:2067347:1 gene:Csa_3G020030 transcript:KGN55863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADHPDDLHELLDSALDDFQKFDLNPSLSRNGDGAESRAVLPSGVQGLGMGLPDLRSKKKGKQKVSKESHVSEALDKLREQTREAVKGLESVSGPKPGEDALMEDWVKQFEEMAGSQDMESIVETMMQQLLSKEILHEPMKEIGERYPKWLEDHKASLSKEEYERYSQQYNLIKDLNIVYEREPDNFNKIVELMQKMQECGQPPNDIVQELAPDFDLSNLAQLSPEMLESQPNCCIM >KGN57222 pep chromosome:ASM407v2:3:11473213:11476591:1 gene:Csa_3G171790 transcript:KGN57222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTTAPCSFLRTSFLTVSYSQNILNRPHLHFPPTTSSSGRHSLVVEAKATTRREDRTARHSRIRKKVEGTTERPRLSVFRSNKHLYVQVIDDSKMHTLAAVSTMQKSISEGLDYSAGPTIEVAKKIGEAIAKSCLEKGITKVAFDRGGYPYHGRVEALADAAREHGLQF >KGN55955 pep chromosome:ASM407v2:3:2809630:2810466:-1 gene:Csa_3G038770 transcript:KGN55955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTNHKCIIDIVIHFRYCLLEIAFQFATLPLPTFTVFETRFPSDALSYPAPEIESTSSSSSFSSTEFIIWTLLELR >KGN56604 pep chromosome:ASM407v2:3:7746266:7749518:-1 gene:Csa_3G126190 transcript:KGN56604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAVFHSLLISIFFLVFSSPFAISESTELSTLMSIKASLDPHSTLLTSWNPSSNPCGGYFEGVACNEQGKVVNISLQGMGLSGNIPSAVAGLRSLTGLYLHFNALVGEIPKEIASLNQLTDLYLNVNQLSGEIPFEIGNMANLQVLQLCYNKLTGGIPSQVGNMKVLNVLALQYNQLTGAIPASLGNLTALTRLNLSNNKFFGPIPVILADAPALEVFNVENNSLTGNVPPGFKRLKEKFMYLNNPSLCGVGFQDLNPCSKLKSLNPSRPEPFLPQLPGNDHSARDIPESANLGSNCNGGNCSRQSKSSRVGVALGVIGVFAAFSAIGLATFSWYRRNEHKFGSTSNGISRRIITSQVREVYRRNASPLINLEYSNGWDPLAKDQGGSASSREIFKSFMFNLEDVERATQCFSKSNLLGRNNFSALYKGKLRDGSVVAIKCIGKTSCKSDEAEFLKGLKILISMNHENLVKFRGLCCSKDRGECYLIYDFAANGTLMQYLDDSNGSGKVLDWSTRVSIICGIAKGLGYLHRKIGKKPALIHQNISADKVLIDANYNPLLSDSGLHKLLADDIIFSMLKVSAALGYLPPEYTTTGRFTEKSDIYAFGMIVLQVISGKTSIMKLNYNTIELRQFEDFIDSKLEGRFLESEAAKLGKLAVICTHEYPELRPTIDVVVEELEEMGKFGISVESMP >KGN57893 pep chromosome:ASM407v2:3:18620368:18626456:1 gene:Csa_3G375720 transcript:KGN57893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVEDRIFLNSLGVTSANPEDIERDLLNEAKKKSENGVEVGGIEEENVCDKLDTTDSPSASHVQLYQKLRAVEYEIDAVASTVEPGEKLERNEQHSCVSTDSQKHAREEDSVSASGDGLQHALAVDRLRSLKKTQHQLKNELFHLNDKHAKTILEIVKDRSKPKRKSKEVKKSGNDGGKRLKVVSFDEDNDFDAALDAATVGFVETERDELVRKGILTPFHKLKGFERRLQSPGQSSLQNPRGSRDEVKEEEEENDDFASDSVARALRSMSVAAQARPTTKLLDPDALPKLDPPTRPFYRLKTPAKVPLSAEDKPTTKTKSKQTRRPLPDKKYRRQIAMEERDKEATENMSDGLATSSSEREDSGDLEDDVNELSFVTLEGGLKIPQSIFDELFDYQKVGVQWLWELHCQRAGGIIGDEMGLGKTVQVLSFLGALHFSNIYKPSIIVCPVTLVRQWKREARKWCPRLLAEILHDSAHDPTYKNMREKSDGSDESEDSEGSDYRKNSQPKGTKRWDALINRVLRSESGLLITTYEQLRLLGDKLLDIEWGYAILDEGHRIRNPNAEVTLVCKQLQTVHRIIMTGSPIQNKLKELWSLFDFVFPGKLGVLPVFEAEFAVPISVGGYANASPLQVSTAYRCAVVLRDLIMPYLLRRMKADVNAHLPKKTEHVLFCSLTSEQRSVYRAFLASSEVKSILDGNRNSLSGIDVMRKICNHPDLLEREHAFQNPDYGNPERSGKMKVVEQVLKVWKEQGHRVLLFAQTQQMLDILERFLVGGGYTYRRMDGGTPVKQRMALIDEFNNSCEVFVFILTTKVGGLGTNLTGADRVIIFDPDWNPSTDMQDGMDGSTETSNIFSALTDSVNVVGVQKNEKDGQKSSSGSVLFADSADENLCKSEIETSGRSSSIEGQGGGADEDTNILKSLFDAHGIHSAVNHDIIINADDGEKIRLEEQASQVARRAAEALRQSRMLRSNESVSVPTWTGKAGTAGAPSSVRRKFGSTVNTLVVTNNSKSSNEVSKNGTIHLNGHAAGTSCGKALSSADLLAKIRGNQERAISAGLEHQSTSSTNNVRTVGVGSSRSSSKNLSVVQPEVLIRQICTFIHQRGGAAASASIVEHFKDRIPSNDLPLFKNLLKEIAILEKSSSGSFWVLKAEYKQ >KGN58246 pep chromosome:ASM407v2:3:23071736:23072683:-1 gene:Csa_3G598920 transcript:KGN58246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYKNMVCQGIAADKFTFPFVIKACTNFLSIDLGKVVHGSLIKYGFSGDVFVQNNLIDFYFKCGHTRFALKVFEKMRVRNVVSWTTVISGLISCGDLQEARRIFDEIPSKNVVSWTAMINGYIRNQQPEEALELFKRMQAENIFPNEYTMVSLIKACTEMGILTLGRGIHDYAIKNCIEIGVYLGTALIDMYSKCGSIKDAIEVFETMPRKSLPTWNSMITSLGVHGLGQEALNLFSEMERVNVKPDAITFIGVLCACVHIKNVKEGCAYFTRMTQHYGIAPIPEHYECMTELYARSNNLDEAFKSTKAISIEPD >KGN55976 pep chromosome:ASM407v2:3:3027000:3032572:-1 gene:Csa_3G043920 transcript:KGN55976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMPSREAIDTFISVTGASEAIAVQSLEAHGSDLNAAVNAYFNEGDRSSSVNARQASVSGEYDFMDIDDPVEVEPQGPPRSLLSTAREIMNPFSILDQNLRQGFFDRTSDFTRSAPLVTHPRESREIPIEFRDGSRPSNQSRHEPTIEDVTGVPDAHGPEVHGTVVVDDGEDEEPPVTSIAHAPDLDHPAVASNISRDRNAVSGAFESDEFQDTNDIEEEMIRAAIEASKKDVGQAYPSDPITTHTDLSNTRTQENLSHLDNFEFSPEASSVKPEEGFRRRGENIGGSKVEASKSTEVDVELRKVRGLNGRMETGSTSAQDEVEDLEEDNLVRHRSKRKSTGYVEPAKGDEVDVNLASSPKHSDTSNNPQRNGNIFPSDEWGGISSEEHDEAVMLEAAMFGGASEGSSFHFPSAPHEFMRNQGSYIQPAPRPPSPSLVAQRLIREQQDDEYLAALQADREKELKALEEAAAVREQERQREEESRQKLDAEKELERRLAEIEASLPTEPRTDDENAVTLLVRMPDGSRRGRRFLKTDKLQRLLDFIDIGRVVKPGSYRLVRPYPRKAFGDGEGSLTLNELGLNSKQEALYLELI >KGN59081 pep chromosome:ASM407v2:3:29331803:29333110:-1 gene:Csa_3G760510 transcript:KGN59081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDVDARNISLDPKSFCFSNLGSLWKLLGTCTIIVLLVLVGRAAFVFPLSAISNYFIKREDRSSSPSMISFKQQIIIWWAGLMRGAVSIALAFKQFTYSGVTVDPTHATMITNTTIVVLFTTVVFGFLTKPLIRCLLPPNQASSSTSNSRPKFTEEDITLPLLSMEESATTNVLRAKDSLSMLIERPVYTIHYFWRKFDDSYMRPLFGGSRHNQRPGGSGC >KGN57621 pep chromosome:ASM407v2:3:15072133:15072639:1 gene:Csa_3G232435 transcript:KGN57621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLWYFASIHLILLLSMPKNNVAQHIKMRCIQKERVALLSFKQTLVDEFDILSSWDTHINCDCCNWRGVECTNTNSTTHQHIITLDLHGSYSYERYLMGEVSSSLTQLSYLNFLDLSFNQFDRIVLKDIASLLNLNYLNLSYNFHVYTPIPPHLGNLSKLSVLDLRG >KGN57699 pep chromosome:ASM407v2:3:15859472:15863880:-1 gene:Csa_3G254050 transcript:KGN57699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIQEPKLSLLSAEAKESAANIEKRLQLGSKLSDVATCEEDVLELLSLFNKENYILSEHRGKYCVMLKESASPVDMLKAVFHVNYLHWLERNAGITARSASNDCRPGGRLQMSLEYVEREFKHVKYDGELAGWSTDGLIARPLTTRICECHVT >KGN58522 pep chromosome:ASM407v2:3:25897623:25901698:1 gene:Csa_3G656500 transcript:KGN58522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFGSKFFGLFGMVTAAPTIKHEQMIEVDLPKEHIVASVAEDREATCWGCGLRLLLPSGGPVFKCGWCGAITNRSAQKQEDKSLKWRWLRDRGFVCVLLVFILFVICGGVWAVYPVVFSINQFCGIFHSTVTLVFAVSTLSSFTLTAFRSPGAPPNVLWGSYPAVEKGDLENYTFCHYCLKPKSPRTHHCRSCGMCVLDMDHHCPFIGNCVGAGNHKHFILFLISAIVSTIYVSIMAVYSVLHMWPPLSIHEFVHLNGSGSMLGWNLLKDIIHAVLSSTTLLSIRGFTLIYLFISSFSVQIGLIVLLCQQLWYIYEGKTYLSHLSSQGDDGEKDCRNLLRFFGCPSVSFYWHLPIFRRSKKRHEK >KGN60066 pep chromosome:ASM407v2:3:36632871:36634208:-1 gene:Csa_3G875420 transcript:KGN60066 gene_biotype:protein_coding transcript_biotype:protein_coding description:24-sterol C-methyltransferase MDSLPFFLTGTLLAAGLYWFFFIYGSAERQGKLAVNLSGGSISSEKIQDKYKQYWSFFRRPEKIETTEKVPDYVDTFYNLVTDIYEWGWGQSFHFARPIPGKTYKEATRLHEEMVADLVKAKPGDRILDVGCGVGGPMRSIAAYSKANVVGITINDYQVQRARLHNRKAGLDSLCEVVCGNFLEMPFSDETFNGAYAIEATCHAPKLEDVYSEIYRVLKPGSLFVSLEWVSTDKYDTANPEHVKIIEEIARGNALPGVKLYSDVAKSAKKVGFEVLKEEDLAKPPALPWWERLKMGRVAYWRNHILVTILATLRIAPKGTLDVHEMLVEAADYLTRSGDAGIFSPMHLIVCRKPESPKTC >KGN57599 pep chromosome:ASM407v2:3:14764470:14764721:-1 gene:Csa_3G223300 transcript:KGN57599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELMSFSILTIYTTMDCPGMPYVSCLEESDSGDETSARVERVEKGMCGRSRPIFFRGVPTVVTKLFNIVELDVAVFEKKDYQQ >KGN59716 pep chromosome:ASM407v2:3:33767684:33770747:-1 gene:Csa_3G840420 transcript:KGN59716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFTATALSAFLVFHVFVALAAPDCNFPVIFNFGDSNSDTGAISAAFEPIRWPYGDVFFNKPSGRDSDGRLIIDFIAEKLRLPYLSAYLNSLGANFRHGANFATGGSTVRKPNETIYEYGISPFFLDMQVTQFEQFKARSNDLYNQAKNPYDREKLTRPEDYSKALYTFDIGQNDLAVGFRKLSIDQLRAALPDIANQFASAIQRIYKLGGRSFWIHNTGPFGCLPVNQFYNLNPPPGILDEHGCIKAQNDISMELNNQLKAKLDKLRAELPDAAITYVDVYAAKYALISNGKTQGFPEPLKVCCGYHVRYDHVWCGTKAPINGSLVFGDACENRAQYVSWDGVHYSEAANHFVASHILSGSLSSPPIPITQACHRN >KGN56221 pep chromosome:ASM407v2:3:5068439:5068624:1 gene:Csa_3G100810 transcript:KGN56221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIQDISFSPSQQHVVETLTHVAKVYRIVIDDVGRNVEESSLGILSAMQIMSMMGKLSRTH >KGN58226 pep chromosome:ASM407v2:3:22868468:22875044:-1 gene:Csa_3G597250 transcript:KGN58226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREFLLFVAIFLVGFVASDGWTNNSGMAAPRRIHTLFSVECQNYFDWQTVGLMHSFKKSKQPGPITRLLSCTDEEKKKYRGMHLAPTFEVPSMSRHPKTGDWYPAINKPAGVVHWLKHSKEAENVDWVVILDADMIIRGPIIPWELGAEKGRPVAAYYGYLVGCDNILAKLHTKHPELCDKVGGLLAMHIDDLRVFAPMWLSKTEEVREDRDHWATNITGDIYGKGWISEMYGYSFGAAEVGLRHKINENLMIYPGYIPRPDIEPILLHYGLPFSVGNWSFSKLNHHEDGIVYDCNRLFPEPPYPREIQQMESDSNKKRGLLINIECINLLNEGLLWQHKRNGCPKPQWSKYLSFLKSKTFTDLTKPKYPTPASLVMKEDCVQKQPVKVDRVQKQPVKVDRVQKQPVKVDRVQKQPVKVDRVQKQPVKVDRVQKQPVKVDRVQKQPVKEDLVQKQPVLDELQEPYPKIHTLFSTECTTYFDWQTVGLMHSFRLSGQPGNITRLLSCTDEDLKKYKGHNLAPTHYVPSMSRHPLTGDWYPAINKPAAVLHWLNHVNTDAEYIVILDADMIMRGSITPWEFKAARGRPVSTPYDYLIGCDNVLAKLHTSHPEACDKVGGVIIMHIDDLRKFSMLWLHKTEEVRADRAHYATNITGDIYQSGWISEMYGYSFGAAELQLRHIRSSEILLYPGYAPDPGVHYRVFHYGLEFKVGNWSFDKANWRETDLVNRCWAQFPAPPDPSTLDQSDKDGFARDLLSIECIRTLNEALYLHHKKRNCSDPNLLANPNLDDESEVGVSRKIGKLDESYTGKEDHLSTDSSQESSQAAKEDGIFGSLRLWIIALWVISGLVFLVVIISKFSGRKAKGVRGKHHRIKRRTASYSGFVDRNGQEKYVRDLDASL >KGN59815 pep chromosome:ASM407v2:3:34650942:34651175:-1 gene:Csa_3G848246 transcript:KGN59815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGPRRSRSQSVPWPARDDLLSPRKQVEQSTNSRRVRDWDPRAQPSEPILFPRLRIHFADFPYLHCSIDQRLFTLET >KGN58963 pep chromosome:ASM407v2:3:28593497:28595704:-1 gene:Csa_3G740070 transcript:KGN58963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASAASLTLPSLTLKTLSSSNPKFKLFSLNPSSSIRLLSNPISISSFLLPSHRVSPPFSSRFVRNVAVSSDYGQEEGTLEVDGDDSSYAPDLKLFVGNLPFTVDSAQLAGLFESAGQVERVEVIYDKTTGRSRGFGFVTMSTVGEVEAAAQQFNGYELDGRLLRVNYGPPPPKRDDSSFRGSRNASRFDNRNRVHVSNLAWGVDDLTLENLFREKGNVLEAKVVYDRDSGKSRGFGFVTYNSAEEVNEAIQSLDGVDLDGRPIRVTQAEARPPRRQF >KGN59528 pep chromosome:ASM407v2:3:32519135:32521721:1 gene:Csa_3G824730 transcript:KGN59528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEPPYDLVILGATGFTGKYVVREALKFLNISPLKSLALAGRNLTKLNESLKWAAHPLPPPPIPLLLADVTDPHSIRRLCSYTKLILNCVGPFRRYGKAVVEACVETGCDYLDICGEPEFMERMEAEYDKMAVEKGSLVVSGCGVQNVESKNGIVCNFGSFESAVLGVANAGELRQFRRSRPPRRSPLIVGRSTPKGPLLEYKKQFGVWSVRIPSADSSVIRRTLFTLAENPQGLLGSNETLDDIEQRKKFWSSVKPARFGVKIGMKSFLGILRFIVFGIFIGMLAKKAFGRWLLLTFPSIFSLGLLNKKSPSEDEVNNVSFKMWFVGHGFDDNGNDKEIVTRIMGPEPGYITTSIILIQCAFILLTKRQVLPKGGVLTPGIVFGPTHLQQKLQDNGISFDVISNN >KGN60277 pep chromosome:ASM407v2:3:38214295:38217558:-1 gene:Csa_3G892780 transcript:KGN60277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVASEETTSICNHCGRDIPSSNIDLHSVHCARNLEKCKICGDMVPKRHAEEHFLNTHAPVSCSLCSETMERDILAIHKGENCPQRIVTCEFCEFPLPAIDLAEHQEVCGNRTELCPLCRCYIRLRERYNHENNCKGIIPADNIAESSRDVGAAPERDHQARDRGARRRQPQEFSTRRLIFTIAITGIAVLLGSLFFPRKTESNGVH >KGN60199 pep chromosome:ASM407v2:3:37604615:37609033:-1 gene:Csa_3G888580 transcript:KGN60199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSHLSRINNFLFTSPIHRNFSLFAKTNKPIIAATGAPILTSTPFPVPKSTLTAAEPIPITEFTEYPEETQLPISPEKLFVPPDTDISGGGGARILKGSNIVLGRYARDAQVSQADFVKSSVRTEDCPSDGLPEFALVGRSNVGKSSLLNSLVRRKKLALTSKKPGKTQCINHFRINDSWYLVDLPGYGYAAAPQELRTDWNKFTRDYFLNRSTLVSVFLLIDASIPAKPIDLDYASWLGQNQIPMTIIFTKCDKRKKKKNGGKRPEENVSDFQELISGFFQTTPPWIMTSSVTHQGRDEILLHIAQLRNYWLKH >KGN58257 pep chromosome:ASM407v2:3:23170856:23172625:1 gene:Csa_3G599980 transcript:KGN58257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKNKEVIRLERESVIPVLKPKLIMTLANLIEHSSDRAEFLKLCKRIEYTIRAWYLLQFEDLMQLYSLFDPVHGAQKLEQQNLSSDEIEVLEQNFLSYLFQEDLCDSYSEHLPFAVFKLVPVIFCMTLTKYRAS >KGN57911 pep chromosome:ASM407v2:3:18947954:18948223:-1 gene:Csa_3G387080 transcript:KGN57911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSVSPRQASMDFHQSSASFSSKNEASLTCLSSWQPITLRHFSTKFSYTSASTESIGPSYIVAPKAFSRFIPYFISKSLDADPNVSIIF >KGN56463 pep chromosome:ASM407v2:3:6970201:6974672:-1 gene:Csa_3G120420 transcript:KGN56463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPREIITLQVGQCGNQIGMEFWKQLCLEHGISKDGILEDFATQGGDRKDVFFYQADDQHYIPRALLIDLEPRVINGIQNSEYRNLYNHENIFVSDHGGGAGNNWASGYHQGKGVEEDIMDMIDREADGSDSLEGFVLCHSIAGGTGSGMGSYLLETLNDRYSKKLVQTYSVFPNQMETSDVVVQPYNSLLTLKRLTLNADCVVVLDNTALNRIAVERLHLSNPTFAQTNSLVSTVMSASTTTLRYPGYMNNDLVGLLASLIPTPRCHFLMTGYTPLTVERQANVIRKTTVLDVMRRLLQTKNIMVSSYARTKEASQAKYISILNIIQGEVDPTQVHESLQRIRERKLVNFIEWGPASIQVALSRKSPYVQTAHRVSGLMLASHTSIRHLFSKCLSQYEKLRKKQAFLDNYRKFPMFADNDLSEFDESRDIIESLVDEYKACESPDYIKWGMEDPDQILTGEGNASGTADPSLAV >KGN57225 pep chromosome:ASM407v2:3:11491317:11492465:1 gene:Csa_3G171820 transcript:KGN57225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRIRGFKLGKHIRRISNWILHRRRRNRSGYSLLSSGRSTKPIAKLLRWGRRLRDGAKSICSSRRRLSYVPLDRDLKEKKSAAVPKGHLAVYVGQNDGEFHRVLVPVIYFNHPLFGELLREAEEEYGFEHEGGITIPCPYAEFENVQSRIKSGSSGRKAPWKKLGCYGD >KGN58473 pep chromosome:ASM407v2:3:25530142:25532909:1 gene:Csa_3G646600 transcript:KGN58473 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding protein MDLTSPKYFHSPPPPLFQSNGEPPLEGRRSFYGKTKDDPFSDTFHDPLCKLNLKETSEFVKSLPMANNVTCSVSTQRRRDGVNSVTQRRVIESPSTPGRPVFGFSVRNLSRKNVPSKWDEAEKWLVCSPCNDSPAHTLKPSEPSKILKQCDNFKAQTEVFAEKSRVIEEKVSKPIPSFLESPTLVQNSSNPLRDFNGVSDLHLKDKFMDNVEPILPTKEGFLFNNSPINKMKVADAIVEVQHRDIGTEMTPLGSSTTSRCPTPFKSTSPVRYNTPASRSGPLGLAGEGGDSATVDISQLQECHLAKLQLPSQYDSVTSNWSSREEEEEEISKSLRHFETGNECRKSISDSRAAAWEEEEKTKCCNRYQREESKIQAWVNLQNAKAEAQSKKLEVKIERMRSNLEEKLMKKMAVVHRKAEEWRETARQQHSQQIQKTTEQVKKLNWRHSYSLGHSSTTSCGCFPTHNNTH >KGN55645 pep chromosome:ASM407v2:3:411132:413885:1 gene:Csa_3G002650 transcript:KGN55645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVFTNDREPKKLKRRRRSKGSGGKYLKPGALAKLRCSKGSVAKSCTDLGRKRVAVLDAGKTKRNVVLEDKVSDRSPLMLSPVKLVMHINHIGTPKTPRVEDCESESRLESLPMDLLVKILCHLHHDQLRAVFHVSQRIRKAVLLARQFHFNYTTPDRSRQEMLRITTPRPTEHWPFVNKGDGKGFSLPSPHTPKAPRHGPRPPSRLKVSEMRQVAAVLFQESAFPPRCMVPSVISKPLCKSLASNRVLFYEDELCQAVAQNKLR >KGN57295 pep chromosome:ASM407v2:3:12047292:12049037:1 gene:Csa_3G177390 transcript:KGN57295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALETWLIKVKNAVSNKFDVVRASSTAPNFKPTSSKKSPNVAVLSFEIAGLMSKLLHLWNSLSDHNITRLRNQSISLEGVHKIVSNDDDFLLALACAEITENLRLLANSVSPLCIKCDHPDLRSFHRLFLEFADSGRDLHNWLLSEKEMECRNKRIERLVTLTANLHREMDELSIMETGLRKTVASLQLCQQEQSNSSTPPLEISLKEQKILDLQQKILWQRQEVKYLKEKSLWNRTFDTVISILARSIFTTLARIKLVFGLAHQFPSSLPRSLSASAAVHPLKNLNDNANDSDPTTTKNGFFESNLKLLKPPRTTLGAAGLALHYANLIIVMDKMIKSPQLVGVDARDDLYSMLPNSVRTSLRARLRGVGFTASDASLAGEWREAMGRILGWMSPLAQNMIKWQSERSFEQQNYMAPKTNVMLLQTLYFANKDKTEAAITELLVGLNYIWRFEREMTANALFACSNFITS >KGN56477 pep chromosome:ASM407v2:3:7028903:7029913:-1 gene:Csa_3G121045 transcript:KGN56477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPSSTYSFSRIGLGYSIAIALAFLVLLSALLLAFYVCCRASRSRHLRRPPPPSSRSDAGIILPQIIFVAEDDAAPDRDDDNGVVGLDQTVINSYPKFPFSRDKSMAGANCVCSICLCEYKDAEMLRMMPECRHYFHLCCIDAWLKLNGSCPVCRNSPLPTPLSTPLQEVVPLSQYMADRRRR >KGN57654 pep chromosome:ASM407v2:3:15326792:15329148:-1 gene:Csa_3G238200 transcript:KGN57654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPISTLSFASPSLPLRIEANPRISPALNFRPPFQLVARKQKSGEVSGRRVWRRRKLTKKDDMSIYKMDRIPFLEEQVRRVKEQGKLITMDIERLLLSEDNRFDFVNEVAAEAKEYVESNRDEYGGTKKAILHVLSNRVNDAGFYRPDAYAEDDPFKPGPHYLKQEFT >KGN57996 pep chromosome:ASM407v2:3:20118190:20124761:1 gene:Csa_3G426330 transcript:KGN57996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSVSDDSESLGRVRRSSPRRSPSRRGRSPTRHKSSHNGSSPARDKHSSRARSPKHGRSSSPRTRSPSPRTKRLRRAAEKAPEKSSDRDHERNRGRGSDKEAHQERVSDRELGGERKERSSRQEAKDGKSSRSRHGNSSSPSERHQRNRHRSPSPQPDAKNHDEGRNSRRPESRSDDDDSVAKMKAAEQALEAKQKDKPSFELSGKLAAETNRVRGITLLFNEPPDARKPDVRWRLYVFKAGEVLNEPLYIHRQSCYLFGRERRVADIPTDHPSCSKQHAVIQFRQVEKEQSDGTLSKHVRPYLMDLGSTNKTYINDAAIEPQRYYELFEKDTVRFGNSSREYVLLHEKSAG >KGN57568 pep chromosome:ASM407v2:3:14343243:14346188:1 gene:Csa_3G214570 transcript:KGN57568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPHLSSISHPFSSPITNPNLNFTHRFSLPLRSQTLRFISNSSSSPPPPPSPPPPDPIPEKRSFAVATGELFLGLAARLIKRSSDQTSKSVSMFDNRSGNNRNVYEERIGAVVEDEIQPGVLWEQRVKDVEAERERPLVTSPGFSFSAAGLLFPYHLGVAKFLLENAYIKETTPLAGASAGAIVCAVIASGASMQEALQATKILAEDCRSRGTAFRLGAVLREVLDKFLPDDVHIRCNGRVRVAVTQVFWRPRGLLVDQFDSKDDLIDAVFTSSFIPGYLAPRPVTVFRNRLCIDGGLTLFMPPTSASQTVRVCAFPASRLGFEGIGISPDCNPENRAGPRELFNWALEPAEDDILDQLFELGYQDAAVWGEDNPVEKLVEDERHD >KGN57098 pep chromosome:ASM407v2:3:10653784:10658708:1 gene:Csa_3G153720 transcript:KGN57098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVVQIKNQDTCCEDMSPDQSVSPQISSTWADFREPEAHPRIGDEYQAIIPPLVVKSDDLGLLKSEAGGLRDIYVGFPAPEAGIDDVEILKQKQHNGNDNIVLASNQSEHAAVSEMQDVPEAREVKSSDAMANKDLEYATNFLLQQEMKMKMKESNADNDQWLASDSLNDSSSDIEMASLLLGLYIFGKNLIQVKKFVGTKQMGDILSFYYGKFYGSDKYRRWTACRKARGKRCICGQKLFTGWRQQELSSRLLSSLSEEKKNTVVEVCRGFIEGKILLEEYVFSLKATVGLNALVEAVGIGKGKQDLTSTTMDPIKSNHAHPARPEIPVGKACSTLTPVEIVKFLTGDFRLSKARSSDLFWEAVWPRLLAKGWHSEQANNYGSTVGLKHALVFLIPGVKKYCRRKQVKGEHYFDSVSDVLNKVASDPGLLELDNVVEKQCSDKEECELSGKIKQDQEDFPSQQRYCYLKPRTPVHIMDTIKFMVVDTSLADGSTFKIRELQSLPVEITNKYVSKSHSEEDEQISSEISMDDTHSDNTMHFDKEVSDTSKGTRISLDKKVYIDEETCVGNSSNKESSNDGLDGLHSTSISMEVQEDKQSLLDNTQQSDIVLDQMSEGKPKSEIDSTDYTKPSWELNTCTEQVSCNVIKIFADPELKEEDSSSDHYDLNHNILLQVDSSKENLPWSSLSRSSTITSYGDVLNVVEVPQSRHVPHTFIDLNLPIPQDSDSHGSSTTETKGQKNIPNKCSESLDISDRDSTMISRRQSNRNRPPTTRALEAHALGLLDVKQKRKSKDVFLEENCILRPSQHAHSKARHTDKFGNGIVDFQLEDRESNVSDDNETLMTAVFSHPPRSSSVFHFITVAVLCGNSETKLLYPVNLN >KGN58502 pep chromosome:ASM407v2:3:25711809:25713614:1 gene:Csa_3G651860 transcript:KGN58502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSPKTCTSPFTATQWQELEHQALIFKHMVSGVPIPPDLIFSVKRSLDSSISARLFPHQPIGWGCFEMGFGRKTDPEPGRCRRTDGKKWRCSKEAYPDSKYCERHMHRGRNRSRKPVEISSTPPIQTPTPLILTSTRTLSSSSSTPTSSSYPLSTLSSSSSSLTSETQSYHQPPPPAYHESSIHPFLYSQSSSRTPCSDFSSNITNPQLFLYSGTHSQSDKDYRDISGSREGVGMQAFFPSVADSARSIAEVYSQPIGMDSYKGCSQYVSQNHQIYSSKQARQQQEQHCFVLGTDFNKSSRSAKTEEEDEDAETQKPLLHFFEDCPPKSSDSWLDLASNSSIQSGNFIGL >KGN58923 pep chromosome:ASM407v2:3:28360144:28360347:-1 gene:Csa_3G736710 transcript:KGN58923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVEQLPCGEQTKASVWNGDIQEPWFNVASACRFVFNRQFLWTALHCAAFSFYASKSFNFHFFIFCS >KGN55842 pep chromosome:ASM407v2:3:1873874:1874847:-1 gene:Csa_3G019330 transcript:KGN55842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGVELFADRTSETGRSLLRSIEVNRMAPSTADYEEEARMSKPSSTGSSVYGKWIEHEMNDEDVDGDGACSRRISDEKDGGTCRKRFRFTEDQSAVLKESSEEHDSLNPYGRFSGEKQRAIFVGKLMGCYSKFLQEQTLELAIQLGLRPRQVEVWFQRLSANVKINANHLSHEALNRNIERKDWQNRKRAKSKRQDIIGVARGSRTSNCYSKALNRNIERKDLVSEAAGVTNGPMKPQRRRDPF >KGN56772 pep chromosome:ASM407v2:3:8740779:8741816:1 gene:Csa_3G133230 transcript:KGN56772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSRSSGDLQSILDAISSSDVVESRVQLLKKLEDLDLSSKSDLISLVESLVVSALRLFSFFRFFVFLILVVPYSQQNVNTGIETSFSSQICTTILLVAVIRVGKDTADCLLQFLTLGVKASIWCRKHLKMTLMSIQESQEEEHSNLFFQVAYS >KGN59342 pep chromosome:ASM407v2:3:31179494:31186673:1 gene:Csa_3G812140 transcript:KGN59342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFAQKWNCSWSLGASIASIIGLVTVVHLFFFPLVPSLDNLRRFPNSGFAVNVSTEAYNNHAKEDPAPAIDLTHKFPPDSHNAVVYHGAPWKSHIGQWLSGCDANTKDLQIVELVGGSGCKNDCNGQGVCNYEFGQCRCFHGYSGEGCSEKVNLECNHPGSEGEPYGPWVVSICSAHCDTTRAMCFCGEGTKYPNRPVAEACGFQMRPPSEPNGSKVTDWTKADLDNIFTTNGSKSGWCNVDPAEAYASKVQFKEECDCKYDCSLGRFCELPVSCTCINQCSGHGHCMGGFCQCNEGWYGVDCSIPSVQTSVREWPQWLLPARIDIPDRLHITEKSFNLKPMVNKRRPLIYIYDLPPGFNSQLLQGRHWKFECVNRMYNERNATMWTDDLYGAEMAFYESILASPHRTLNGEEADFFFVPVLDSCIITRADDAPHLSLRDYMGLRSFLTLDFYKKAHDHIVEQYPYWNRSSGRDHIWFFSWDEGACYAPKEIWNSMMLVHWGNTNSKHNHSTTAYWGDNWDNIPSSKRGNHPCFDPEKDLVVPAWKRPDGSRLSKKLWARPREERKTFFFFNGNLGPAYERGRPESTYSMGIRQKVAEEFGSSPNKEGKLGKQHAADVIVTPLRSENYHEDLASSVFCGVMPGDGWSGRMEDSILQGCIPVIIQDGIFLPYENVLNYDSFAVRIGEDDIPNLINILRGFNESEIEFKLSNVRKIWQRFMYREAVMLEAQRQKAVYGIQEDWADEYSQLIDDDAVATVLQVLHHKLHSDPWRRHVKSNKEFGLPHECLIKNN >KGN58895 pep chromosome:ASM407v2:3:28200307:28203190:1 gene:Csa_3G734950 transcript:KGN58895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNLNVEEVFFSIAKDIKQRLSDSDSKSEPQTIKINQADNGAGASQTAQKSACCGS >KGN59695 pep chromosome:ASM407v2:3:33606400:33606717:-1 gene:Csa_3G838740 transcript:KGN59695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTPCADEVEVANEDGNVDYATTRDDQDNRKQEGNVDVDDPYDVECEYCGALYANQDGYKENLILESEEEDEEGVG >KGN60225 pep chromosome:ASM407v2:3:37785028:37787847:1 gene:Csa_3G889810 transcript:KGN60225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTSSPREEYVYMAKLAEQAERYEEMVEFMEKVSAAADDEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNDDHVSVIRGYRSKIETELSNICDGILKLLDSRLISSAASGDSKVFYLKMKGDYHRYLAEFKTGAERKEAAESTLTAYKSAQDIANSELAPTHPIRLGLALNFSVFYYEILNSPDRACSLAKQAFDEAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDMQDDGDEIKEAAPKRDDE >KGN57196 pep chromosome:ASM407v2:3:11342094:11345464:1 gene:Csa_3G171040 transcript:KGN57196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALDAEAAPAAELALSDTDINWNRLDKTKFHIIGAILFTVQSALLHPTAVVKTRMQVDGSGLSHMRGVSVFWNILKSDGISGLYRGFGTSAIGSLPGRVLALTSLEVSKDIMLKYTENLEMPEATRIGLANGVAGMISNLVSCIYYVPLDVVCQRLMVQGLPGTTYCNSPLDVVRKVMKAEGFRGLYRGFGLTAVTQSPASALWWGVYGAAQHIIWRSLGYRDSMEKKPSHMEMVTVQATAGMVAGACSSVITTPIDTVKTRLQVIDNYGIGRPSVLKTSRALLKEDGWLGFYRGFGPRFLNMSLYGTTMIVTYELIKRLSLKDIVTS >KGN55971 pep chromosome:ASM407v2:3:2976820:2980248:1 gene:Csa_3G042380 transcript:KGN55971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAAAENSSKRLSLIDSIQRLGLSHHFQEEINEVVLEQIEKLRKVNDEDADENLYLVALRFRLLRQQASHLRTKGENILDEALAFTTTHLKAMAMDSNSPFFEEAKYALKWPIYKAVPRFMARQYISLYHNNPLKNNNVLLTFAKLDYNSLQKLYHKELGEFSRWWKDHKLKELLPFARVRVVEIYVWALGIQYEPKYSNTRRILVKIITFISLLDDMYDVYATLDELQLFTNAIQRWDVSCIEKLPNYMKGLYETVLEVYEEIEQDISKDNNIPFAFDYAKEAMKSLCKAYFMEAKWFNEGYVPKVEEYMKVASISASYNVFASISFLSLGNVASKEVYEWAQTQPILLKATGVMGRLLNDIVSHKFEQERGHVASAVECYMKEYGVSEEEAIIELEKEVGTAWKDVTEDYTKFSLKLPNVILECVLNVARISDFFYKKVDGYTFADEETKHFIDLMLTHPVPT >KGN60304 pep chromosome:ASM407v2:3:38434054:38437709:1 gene:Csa_3G894520 transcript:KGN60304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVLGWWLMLVGSLRLASVWFGFFDIWALRLAVFSNATMTEVHGRTFIGWTLLTCTLCILCAFNLENKPIYLATFLSFIYALGHFLTEYLIYHTMSIANLTTVGIFAGTSIIWMLLQWNSHQRVHPKHS >KGN55747 pep chromosome:ASM407v2:3:1101106:1101291:1 gene:Csa_3G009530 transcript:KGN55747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNIEEVPSGEREAVDEVGMGPRERGKQIHVGVGAKLQVTNLHPHSQNSKLGLNGNGKCLF >KGN60091 pep chromosome:ASM407v2:3:36775582:36779734:-1 gene:Csa_3G877620 transcript:KGN60091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTQEAASQPVPSFEYELLDGDTDHVRTVVASSNYSSPRIEPSKVKLRHRIGRGVFGDVWLATHHQSTKDYDEYHEVAVKMLNPVKEDHMRVVLDKLEDRFYKCQAAKGVCRLYGVSIIGGKLCIIMKFYEGSIADKMARLKDGKLSPPDVLRYGINLAQGIFELHSKEILVLNMKPSNMLLTTKDQAILGDIGIPFLLHSVPIPNSDIVQRLGTPNYMAPEQWQPEVRGPISYETDSWGFACCIIEMLTGVQPWRGKSVDEIFHSVVRKQEKPCIPSGLPPLIENVLLGCFEYDLRSRPLMTDILNVFQSFQHVNGDWQAIGSSKVLNKSSATGHTEWFLSKDHLQVNDLVRSRKPLNSCKSDNMNIPEGKIVGLEGETEKDAFVLVRVRGIHDPVRVYASTLERVSFGLAAGDWIRLKEADKKHSPVGILHSIDRVGNVAVAFIGVETLWKGNSSQFQMAESFCVGQFVRIKASILRPRFEWLRKKGSVWATGKIWWILPNGCLMVKFPGILSFKEECNSYMADPAEVEVVNFSTCPGMVKKYQHLEDFHWSVRPILIAFGMFTAMKLGIAFGKVGRSKVKKGQSNLVYCESQHVEGQNTNNPAWIPPPVKNILFGDSVNTVAR >KGN60445 pep chromosome:ASM407v2:3:39433376:39480192:1 gene:Csa_3G912290 transcript:KGN60445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAHKFVQKSVESDQYLKKAKVKKSWWPFGWNNQSFKDEEEQFFSQEDWEQLNKFIGYKEDDNSLSIINVGKVDTLLTSLEVHMNRNASKLTDEAQHCLAELSCEDLNCSIKFFPETKVFHINLGSYQLSSPSGLLAVSAAVRDSLVGVFHYKPFDAKVDWSLVVKASPCYVTYLKDAIEQIMSFFESSTAVGQTVALETAAALQLTIDEVKRSAQHQVSRALKDRSRFLLDLDIAAPKITIPAEFHLDDINSIKLLIDLGNLLIRTQDDQENVSSQELDMYLQFDVVLSDVSAFLVDGDYNWNQIFGKDTHKSPRVTDINIMPVIDKCGIILKLQQIRLENPSYPSTRLAVRLPSLGFHFSPARYHRLLKILKIFQEDSTNSDVPQLWNQADFEGWLSVLIRKGVGNREAEWQRRYCCLVGPYLYLIESPGSKSYNQYLSLRGKQTIQLPAELVGEVQHVLAVYDASRSNNKIVEDTSALILRFDSDDSRKIWQNRLQGAIYRASASAPILGLSETSSNSEDSEIEPDESDGTMDSSIERVFLTGSLDELKVCFSSSNQHGQNFEKILLAEERNLIEFRAIGGQVELSMRSNDMFIGTILKSLEIEDLVCSKTNSRSCYLARSFVHGEETPLFSDYLNNHGSDNNDLTQVEGDDKFFEAPETLVDYADYQIQSPRKGLEYVKSQSSLQLKNFALPSFSRIAGLLPPGGSETHSVDNEQSVTLDSFIKAQIALYDQNSPRYYDVDKQVSVTLATLSFFCRRPTVLALIEFANAINLEEESCESFSDHSSSGIVKHDIQIEDEEQFPKNTEDGIVKGLLGKGKSRVVFNLELKMSRAQIFLVKENESNLASLFQDNLLANIKVFPSSFSIEAALGNLRISDDSLSSSHMYYWACDMRNPGGSSFVELFFSSFNVDDEDYNGYEYSLLGKLSEVRIVYLNRFVQEVVSYFVGLVPENAEGVVKLKDQVTNSEKWFTTTEIEGSPALKLDLSLSKPIILMPRRTDSLDYLKLDIVHITIQNTFQWISGSKTDMSAVHLETLTVLIDDINLNVAVGAELGDSIIEDVKGVSVIIRRSLRDLLRQIPSLEVGIQIGVLKAVLSNKEYQIITECAMSNISETANVVPPLKNISSASTDIIEPDTRQVLNGTEPETSEPFSVSMKLSVIIDLVQLCLRAGISGDASLATVQASNAWVLYNSNTNGEGFLSATLKGFTVLDDREGTELEFRRAIGVANSIGTAWLHIPTDKHNQLSSDASTIKENISQAVPAMLILDAKFTQWSTFVSLSVQKPQLLVALDFLLAVVEFFVPTVGNILSDEEDKSYLHVTDAVILDQSPYRQLSSKLHISPGKPLVADDENFDYFIYDGNGGVMHLTDRNGVDLSAPSKEAMIYVANGKKLQFKNITIKGGQFLDSCVFMGTNSSYSASKEDKVYLELGDNVVQRSSQDVQPQDITSNKSTEYTIELQAIGPELIFYNTSREVGESTILQNQLLHAQLDVYCRLLLKGDTTEFSANALGLTMESNGIRILEPFDSSVNYSNASGKTNIHLSVSDIFMNFSFSILRLFLAVEEDIVAFLRMTSKKMTVVCSEFDKVGTIKSLNSDQVYSFWRPNAPPGFAVFGDYVTPSEKPPTKGVLAVNTNFARLKRPVSFRLIWPPVASQDISSYHIDNYDSSPGDDSLGQEDCFYSIWFPEAPKGYVALGCVVSKGITQPPVSAVFCIASSLVSACSLRDCISISTSVPCHSDFALWRVDNAAGSFLPADPTTFSVRGTAYELRHTIFGFPEVSHEVPKSSDSHASPSQTETSHLEKSPIVTSGQRFEAVANFQLIWWNRGSNSKKKLSIWRPVVPQGKIYFGDVAIKGFEPPNTSIVLHHTGDEELYKSPLDFQLVGQIKNQRGMEDISFWLPQAPAGFVSLGCIACKHKPKLQDFSALGCMRMDMVTWDQLMEESAWDSSDAKLITEPFSLWIVGIELGTFVVQSGSKRPQRSFNLKLADSHVTSGSDNTVIDAEVRTLSIAVFDDYAGLMVPLFNISLSGLGFSLHGRKGYLNSVVNFFLAARSYNDKYESWEPLVEPVDGFLRYHYDQNAPGSASQLHLTTARDLNLNISASSINMLIQAYASWINLTHVEEHNKTRDSLFSTSGGKSIGDVHAKRDYFIIPQNKLGQDIYIRASEIRGLQNVIRMPSGDMKPLKVPVSKNMLNSHLEGKRFKKDRRMVTIIISDGQLPRVEGPAVHQYTVAVRLTPIQGVSTELQHQQSARTSRSSSDHSLSAEVDLVHWNEIFFFKVETPEKYMLELMVTDVGKGDATGFFSAPLTQIAQILEDEFHLHDHVNRISSIELAPPELGKTGKSSGRLNCTVLLSPKPEFENINQSQKRGRKSGSIQISPTRTGPWTTVRLNYATPAACWRLGNDVIASQVTVKDSSRYVTIRSLVSVQNNTDFILDVCLMSKHYKEGIHLLNETGNSDGSTTESNMVVIEEFYETEKYIPTAGWVSCLKLSQDFSEGIIPELTSRVELPSGWEWIDDWHLDKTSQTADDGWVYAPDVKSLKWPDSSDSKSVNHARQRRWVRNRRQIVNNIKKEVFIGQLKPGDTVPLPLSVLKHSGLYIFHFRPSTLNNCDEYSWSSVVDKPNKEDVNGPHIFSEICISTLSESEELLYCAQTSGTSSSSTHMLWFCLGIRALEIAKDIHSDPIQDWNLVIKAPLSIANYLPLVTEFSVLEKQKSGHFIDCCRAILHPGKTVKVYDADIRNPLFFSLFPQRGWLPVHEAVLISHPHGVPSRTLSLRSSITGRVVQVILEQNHNKEHPFLEKIIRFYAPYWFSISRCPPLTLHLVDRSGRKKSRKIYHRFKSNTNTDIFEEITEEEIHEGYTIASALNFNSLGLSVSINQSGTNKCVTVEDLSPLGDMDGSLDLYACDDDEGKRMQLFISTKPCPYQSVPTKVILVRPFMTFTNRLGHDIFIKLSDEDEPKVLHPHDSRVSFAFQKTGGHDKLQVRLEDTSWSLPLQIMKEDTIFLVLRRYDGIRRFLRMEIRGYEEGSRFIIVFRVGSADGPIRVENRTDNTISLRQSGFGEEAWIILPPLSTTNFCWEDPYNQHLIDTKISSDGSIGVWKLNTSTGLCSLEDGETQLCCYVAKEGDIKVIRFRDSQHFESDFHEEIGYLTAARNWRSQMQKPVQDSEAAPTELIVELGVVGISVIDHRPKELAYMYLERVFIAYSTGFDGGTTNRFEIIFGNLQFDNQLPLTLMPVLLAPEQTTDINHPAFRMTIEMQNENIVGIRVFPYICVQVTEKSWRLNIHEPLIWAVVELYNNLQLGRLPQSSSITQVDPEIRINLIDISEVKLKVVLEPAPAQRPHGVLGIWSPILSAVGNAFKIQVHLRRVMHKDRYMRESSILPAIGNRIWRDFIHNPLHLIFSLDVLGMASSTLASLSKGFAELSTDGQFLQLRSKQVWSRRITGVRDGIIQGTEALAQGVAFGVSGVVTKPVESARQNGLLGLAHGLGRAFLGFIVQPVSGALDFFSLTVDGIGASCSKCLEVFNKKVPFQRVRNPRAIHADSILREYCEREAIGQMVLHLAEGSTHFGCTEIFKEPSKFAFSDYYEEHFIVPYQRIVLVTNKRVMLLQCSDPGKLDKKPCKILWDVPWEELMALELAKVANSQPSHLIIHLRSFKRTENFARVIKCHIEEILGREPQAVRICSVVSKLFKEYQSDMKCLELKVPSSQRHVYFSCSEADGRDANILNKSIIRSRELLSSSFSNDEGRFVQHSMNFTKVWSSDLELRGRCILCKKQALEAGGICTIWRPICPDGYISIGDIAHLGSHPPNVAAIYRHVEGMFVPPVGYDLVWRNCQDDYITPVSIWHPRAPEGFVAPGCVAVADFAEPEPNLVYCVAESLAEETVFEEQKIWSAPDAYPWACHIYQIQSHALHFVALRQSKEESDWKPMRVIDKPPSPSPTSGNH >KGN57598 pep chromosome:ASM407v2:3:14757266:14758274:1 gene:Csa_3G222800 transcript:KGN57598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTTAITSPFPATSFIRRNPTGGVSFKPFPNMGESLYGLKHRGGRLAMKAYKVKLITPDGEKTVECDADLYILDAAEESGLDLPYSCRAGACSSCVGKVVSGKLDQSDQSFLDDEQVAEGWVLTCVARPESDLVIETHKEDSFAG >KGN60424 pep chromosome:ASM407v2:3:39243693:39249507:-1 gene:Csa_3G904130 transcript:KGN60424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACRGCFECLLKLLNFFLSLLGLAMVGYGIYLLVEYLQSHSDVPGPSLSGDHDLVQLGRPMLMAVSLSSSIFDNLPKAWFIYLFIATGVTIFVVSCFGCIGAATRSGCCLSCYSILLLLLILVQLGCGAFIFFDKNWRDEIPGDRTGNFDKIYELLESKWEIIRWVALGTIIFEALLFLLALVVRAANRPVDYDSDDEYIAPRQQIRQPLINRPVAPATGVPVAGTLDQRPSRNDAWSTRMREKYGLDTSEFTYNPSESHRFQQVAPQPAEEKSRCTIM >KGN58609 pep chromosome:ASM407v2:3:26552506:26552982:-1 gene:Csa_3G698500 transcript:KGN58609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEALRLYPAVPIAMRVCRQDCIIDGYDIPKDTMVAVNLFDIMRDPKIWENPNEFDPERFTGDVKYEIKGQQSFNFVPFGGGRRACPGSTLAFSFISNVIATMVQCFDWKIIGKPDNNEDGISKVDMEIGVAFTLPMANPLRCVPMVRFNPFNDTLNE >KGN56406 pep chromosome:ASM407v2:3:6669533:6669727:-1 gene:Csa_3G119395 transcript:KGN56406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKTSTSTLITSGRTPISEEYRPRWYIPVPAATATATAPRPGIKNLETAAKEDEATAAPTEET >KGN57480 pep chromosome:ASM407v2:3:13528883:13534701:-1 gene:Csa_3G197950 transcript:KGN57480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATATTISCANLSSTATNQCKWNQRLRPSSDLYRTVFFSNSFRNGSGSRSRSLIARAAANTDNKGKKKTKSKKAAVAADEEKVNEIVDSSEGFQQQQQQQQQPTQPKITLDDVNPVGLGRKSRQLFDEVWRKFSGLGQISRTTRMDDKETLDALLIREGPMCEFAIPGAQNTTVLVVGATSRIGRIVVRKLMLRGYSVKALVRKADEDVVDVLPRSVEIVIGDVGDANSLQAAVEGCNKIIYCATARSTITADLFRVDHQGVYNITKAFQDYNNKLAQLRAGKSSKSKLLLAKFKSEESLKGWEVRQGTYFQDVVAAKYDGGMDAKFEYTETGEALFSGYVFTRGGYVELSTKLSFPLGSTLDRYEGIILSVGGNGRSYVLILEAGPSADTTQSKLYFSRFSTKAGFCRVRIPFSSFRPVKPDDPPLDPFLVHTLTIRFEPRRQRPVEGPAAGVKQQDLRSFKLILEYIKALPTGQETDFILVSCTGSGVEPTRREQVLKAKRAGEDSLRRSGLGYTIIRPGPLKEEPGGQRALIFDQGNRITQSISCADVADICVKALHDSTARNKSFDVCYEYVAEQGRELYELVAHLPDKANNYLTPALSVLEKNT >KGN59519 pep chromosome:ASM407v2:3:32479088:32484985:1 gene:Csa_3G824160 transcript:KGN59519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGVYAERNGLGLGLVNQSRLRVATLIRPLQKNAMSSRLNQSSVPLFTKNSEPSRAGRGISVRRNFNETVVQEHDCKVDIQSFKDDPSKIEAMTVQKLRMTLRSLGLLAKGLKRDLVTALQSFVENETVVENHRTQQTERNSNVSASDGDTVKAETKILTPKERQSAESNKVSSGAIGSNPSSRKRKDSSDVVSSIVKQEDGVEGMQNEPWVVLAHKKPQKGWIPYNPRIMRPKPLSKDTKSVKILSWNVNGLRALLKGSSAVELAEREDFDVLCLQETKLQEKDILNITKSLVDGYHYTYWTCSVSKLGYSGTAIISRIKPISVRYGLGISEHDGEGRVVMVEFDSFFLLNVYVPNSGDGLKRLSYRITQWDPSLSNYIKELEKSKPVILTGDLNCAHQEIDLYNPAGNRKSAGFTNEERQSFETNFLQKGFVDTFRQKHPDVVGYTYWGYRHGGRKTNKGWRLDYFLVSERVAEKVHDSYILPDVGGSDHCPIGLVLKL >KGN56783 pep chromosome:ASM407v2:3:8792057:8792375:-1 gene:Csa_3G133340 transcript:KGN56783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQDIWVKIGYLESPHNEQTKISRHLPFYPQPCSSGSGSDQNLIDLPGLGKGEKGTNDLDLLSKILQIQNFRGH >KGN60224 pep chromosome:ASM407v2:3:37781529:37784014:1 gene:Csa_3G889800 transcript:KGN60224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGNDLRHWDELIPDALGLIFSKLSLQEKLTVIPRVCKSWAKAVLGPYCWQEIDIEEWSSQRQLDNVDRMLQMLINRSGGSLRKLCVTGLHNSSIFFSIADHAGSLQTLRLPRSNISDAVAEQIAGRLCAVTFLDLSYCDKISACGLESIGKNCKALVGMCRNLHPLHTAGISSPDDEAYTIAATMPKLKHLEMAYHPLSTKSLLTILSSCPDLEFLDLRGCGDVRLDDKFLAEKFPKLRVLGPLVRDVYERNEWDEGSDYSYISEDLAWDFSAGYFDDAGSFDDQWDDEGRLEGLELRFYEGVDEDNEAFGWPPSP >KGN58604 pep chromosome:ASM407v2:3:26527139:26528765:-1 gene:Csa_3G697970 transcript:KGN58604 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine rich repeat protein MPAMPDLTHSLQHCNLQANIYTTIMLLFRILLVGFFLLASVDACSPSDRAALLAFKAGLQEPYLGIFNSWTGNSCCGGWYGVSCEPETLKVTDITLRGESEDPIFEKAGRTGYMTGSISPEICKLDSLTILVVADWKGISGEIPKCLTKLSNLRVIDLVGNKISGEIPSDIGNLNRLTLLNLGENAISGSIPASIVNIGSLTQLDLRNNRITGEIPSDFGKLQMLSRALLGRNELTGSIPNSITKMSRLADLDLSMNGISGLIPPNIGKMPVLSTLNLDSNRISGQIPPTLMSNGGLGILNLSRNSLEGQIPDVFGKDSYFMALDLSFNALKGPIPNSLLSAKYVGHLDLSHNHLCGSIPIGSPFDHLEASSFTNNDCLCGNPLRTC >KGN59861 pep chromosome:ASM407v2:3:35042425:35043295:-1 gene:Csa_3G850650 transcript:KGN59861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPHNYLRNPTLEPDPQLPNIKIHHPASPRHPSAATPVATPTPTAGARRKVGVAVDLSEESAYAVHWAVQHYIRPGDAVILLHVSPTSVLFGADWGSIDITLDTVGDNPDDDGALNSENGQQQNHTERSKRKLEDDFDAFTASKAADLAKPLKDAQIPYKIHIVKDHDMRERLCLEVERLGLNALIMGSRGFGAAKRGNDGGLGSVSDYCVHHCVCPVVVVRFPDEKDVGVGLSSLAARKPDVDARKKPVAEPAESKG >KGN59367 pep chromosome:ASM407v2:3:31419311:31424624:1 gene:Csa_3G814340 transcript:KGN59367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHAKKFSTASLVPHKSQGAEQGASVAVLGGSTAKSPMPPGGGGKQRLRWTSDLHDRFVDAITQLGGPDRATPKGVLRVMGVPGLTIYHVKSHLQKYRLAKYLPESPADGSKDEKRSSESLSGTDSSSGLQINEALRMQMEVQKRLQEQLEVQRQLQMRIEAQAKYLQKIIEEQQKLGGESKDSEVVPSAEDNKQKTCQSESYGDVSAGPSSPRKKQRVDHGSTDDSAPSPSINLP >KGN59396 pep chromosome:ASM407v2:3:31595853:31604235:-1 gene:Csa_3G816090 transcript:KGN59396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSTSEQNNRDYAGLSISNSAVNGRPFVDRNGEAFFLSTVCICILIENQNKLSILLQHSTAAKQILILAEWLRSIFPGLNLPINASDEDLKACLLDANVLSQVLNKLKKPGSAKEGGYVIPNLASRAEKITRFLAAISSMGILKLDSADIEDGSMDSVYNCLWSIRARFMSNDVGDKPLGCNSPAKSENIRFDTSLHEPFSPMLGEERRKVLFESKFLRTLSSPIMSESLVGSNHQVGHKFHEVFQLKQGRYADIPAAKISEMMKSNSLDHLLLQNAPTQSLLSVVNGILDESLEKKNGEIPHRVACLLRKVVQEIERRISTQADHLRTQNNLFKAREEKFQSRIRVLEALASNINEENQQAEKTKAEEKKNSLNEDVSRLIKERDECKAEIVLLKQELETAKKTYELRCLQVEMEKGEDVSKLMKERDESKVEITMLKQELEIAKKTYELRCLQLKTEIGEDVARLIKERDESREKITMLKQELETTKEMYELRCLQVKTEKGEDVSRLIEEREENKAEITMLKQELETAKKTYELHCLQVDTEKGEDMSRLIKERDESKAEIAMLKQELEAAKKTYELRRLQVETKKGEDVTRLIEERDESREKITMLKQELETAKKTYELRCLQLETENDEGMTRLIKERDESKVKIVTLKQELEEARNAYELRCLQFEKEKDEDVTRLIKERDESKTETAVLKHELETTTKTYELRRLEVETETKSAQLMLEERIKELENLLEDSSNEVQELSTSFELKQKKWNEKANSYKHMIAFQYNLLQGVRCTSESVKEEVLRVKMDYANEVNQLGLKLKSLAHAAGNYHVLLTENRKLFNEIQDLKGNIRVYCRIRPFLTGQKDKRMTIEYIGENGEVVIANPTKPGKEGHKLFKFNKVYSPASTQGEVFSDIQPLVRSVLDGYNVCIFAYGQTGSGKTYTMTGPNGATKENWGVNYRALNDLFEISQNRNGAISYEVGILTHSQPFGLAVPDATLLPVNSTSDVIDLMDTGLKNRAVGATAMNERSSRSHSIVTIHVRGADLKGGSSLHGNLHLVDLAGSERVDRSEVTGDRLKEAQHINKSLSALGDVIFALAQKSSHVPYRNSKLTQVLQSSLGGQAKTVMFVQLNPDVNSYSESLSTLKFAERVSGVELGAARSTKEGRDVRELMDQVASLKDTISKRDEEIDRLQLLKDLKNNVYNGINTEKRSTATINKDVNGVVPRVQKPSGGKSIGGAMEKDGLDHDNASDHSDAQSEADSHHSMDDVKNRNEASRRLDIGQNIIEDAETLGFADPDYEERIMDVVDDLTVETENDATTESKNSTRATKPAERLEKPRSTATISRTLPKHSQTASTTPPGSKELSRVSSAPSLKKTVTGLKSGRRWQ >KGN56864 pep chromosome:ASM407v2:3:9197024:9197772:-1 gene:Csa_3G135120 transcript:KGN56864 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-responsive transcription factor 1B MDYSAFISPLSDFSSESSFGSPESSFTNLDHNFLPFNENDSEEMLLYGLISEGTYESFDTSIGTVQVKEEEVDSIGEESPKKERAYRGVRRRPWGKFAAEIRDSTRHGTRVWLGTFDSAEAAALAYDQAAFSMRGAAAILNFPVDRVRESLKEMNAGSGGSGDSLAEDGGSPVVALKRKHSIRRKAIGKKSKERDVRIQTVVVLEDLGTEYLEELLGSSQSDSPSCSF >KGN57473 pep chromosome:ASM407v2:3:13459113:13460620:-1 gene:Csa_3G194400 transcript:KGN57473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERVLFMVILLVVFPMCESRGWFSSSPSSDSTMNPSKHYTVAEYSMEGFDDRKGVRGIQNAKNKLTLLNSCWESAYRHLFSGCSEIFAADEKRDCFQKDSGRPPFPSCHPKSLMVECLKAHAFKHETERLVNELKRSSESAEAKLEIIEERSESLLQNSYHISDSLETTGIQIQQVAQTSRKLEDHMGAVLHHSEKVYEQSRRMETSQLELQEGQLKLRRSLEEGMEMLHNSYKNLGQEMDGLRDEAIDIEKEISKVGDAMSLKMTYLQTTAEDIGNMAGSKLQQLAEYGHRQQEELLQRQKHLQRFHDRLMENSQSILAAQQSFESRQANIFIALDKFFTLHNAMLLNLD >KGN56255 pep chromosome:ASM407v2:3:5417965:5418554:1 gene:Csa_3G110030 transcript:KGN56255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGYSKIKAASKFKSRSIDYSDLSSLPHSLTFSAAVSNPTRTNRPQEPPHGRLPEEDEEVNDDECDRPRGATTAAATLCRNSSVSSSVSGFQSAVKRALSMRRSSSVAERYCRIHDQFATFASPIEDDEMEGGDWKERGKIGGSEIRKKKKKKNAAEKIVRACKRIFGL >KGN57593 pep chromosome:ASM407v2:3:14701480:14722990:-1 gene:Csa_3G221760 transcript:KGN57593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNWLEHSKAHKDFSCQKKFLCSNFLFCLPEQKPSTTGSTDTGNLACQMQNLQRIQRSQVEKAWNALSNFQISCRHYAKPGNTRQVKDVFSDHPADTGRITSNSLSDANASSHHKKVHRNFSEYSVDTTKPSSFGSNLSASSNIQVMEGQNDVDGNNMARLRTINNSHFQRVDGSVIFATNQKNICSSFLEDEDDKIIENIDVDQIVEQYQSQSACTPQPSVSKLPPITPIIEKDNVARQEESNYPDELCTNCSHGFKIELCPEASSHLQELKDRLISISNDLLDNVNNLSPVQIDKLRQERVHLNKQIQLLERHISLDAVNEERRRSHLFATTVTPKTFHFETPPGVEFRTDAKLNTSYVHQDFEPRRIEPWNSMGSSYVDERFGMSSGPVEREPYIPKVIDVNYIEGSNDKKWSSRNFSWTKELEANNKKVFGNHSFRPNQREVINATMSGYDVFVLMPTGGGKSLTYQLPALICPGVTLVISPLVSLIQDQIMHLIQANISAAYLSANMEWSEQQEIFRDLSSDCSKYKLLYVTPEKVAKSDVLLRHLESLNARHLLARIVIDEAHCVSQWGHDFRPDYQGLGILKQKFPKIPVLALTATATASVKEDVVQALGLINCIIFRQSFNRPNLWYSVIPKTKKCVDDIDKFIKENHFDECGIVYCLSRMDCEKVAERLQECGHKAAFYHGSMDPAQRSFIQKQWSKDEINIICATVAFGMGINKPDVRFVIHHSLPKSIEGYHQECGRAGRDGLRSSCVLYYSYSDYIRVKHMISQGATEQSPLVSGYNRTNLGSSGRILETNTENLLRMVSYCENDVDCRRLLQLVHFGEKFDPGNCKKTCDNCLKSTNLIEKDVTDISKQLVDLVRSMGQQFSSAHILEVYRGSLSQFVKKHRHEKLSLHGTGKHLLKSEASRILHHLVIEDILVEEVRKSDIYGSVSSLLKVNETKVRSLLNGGQRIKLRFPSSTKTNKLSKFEMTPAKGSLVSGKMYPNIDTPAQPQSEVDVQLSAELYSSLRMLRTNLVKEAADGVMAYHIFGNATLQQISRRVPRSKEELLDINGIGKAKVSKYGDRILETIESTIKEFYGAGKNGSNSNDSNDSGKRRRGGNKDKDEYLDENDATKSFDRSKKRATNIQNKDPNVHNSSMPEHPDQFFDSELDFDDSHYEIRDLELNNNLDHGNDGRVLPSWS >KGN59864 pep chromosome:ASM407v2:3:35070400:35078681:-1 gene:Csa_3G851170 transcript:KGN59864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTKLFLRPQSLPLTAFSPKFIFPHKNSLPICFTSPFSSTTVHSQSQFSHQSLSYGPSLCKGIHPFPSVSRQSPIDHSPPLLKTLTGNLEREQLQDQENEGAILDEDAFTRIFDVAALRVPSRGCFELENRLRGHLLNWPRIRNIARVPGDEVEAEMLELVGEQNWSNSDDGDGTSIDLLHRRIYGKAEGDGEPLSPVLYREKLVKTFDSKGYVNFRHLAKMSRLKRRKEKKEEGRDEKMRRMGKSEFAIVEVVESEELEMDDMKGLLGDGFKGGKWRGSTRLLLLDEQYAAKGVEELPEAIKALLKGDTQERMKPTIELVRCRLTLFYDYWQMNEVLQALLPKDMIIPSAFETVGHIAHLNLRDEHLSYKKLIAKVILDKNKPKIQTVVNKSDAISNDYRTMQLEVLAGNHSLVTTVVENGLRFHLDLATVYWNSRLATERQRLLSVFTCNDVICDVFAGVGPISISAARIVKKVYANDLNPYAVEYLERNSVANKLERKIEVFNMEGRRFIRAMFASEKAPKITQVVMNLPNDAAEYLDAFKGILRDRSDKEFTLPIIHVYGFSKARDPEFDFHERIRIAITEVAVDVNVRRVRLVAPGKWMLCASFRLPRSVALSKPYTSHDM >KGN60133 pep chromosome:ASM407v2:3:37082440:37087051:1 gene:Csa_3G879510 transcript:KGN60133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRTEDILKLQVQDPPCLEFSAAHVKWEKVEGGRQGGADIAVVPFSRVEDFVKGESSNPESPARFRIESRRKRTAGSVSKPRVDGYLEYILYWCSYGPEDYRVSEAGVRSSSIIKPASGKGSRPGRRHMMRGCLCHFTVKRLYAQPHLALIIYNQRKHIDKSGAPCHGILDRDAVGTRAMYTQRISEELRQKIMSMLYVGIPIENIVQHHSEVVQRHGGPPNRDDFLSRIDVRNMERVIRNSSHELHTNDDCSVKIWVQRHRKVIFFFQESSDCERFVLGIQTDWQLQQMLRYGHNGSVASHSTLGSKKLRFPLCSLLVFDSSQNTIPVAWIIASSFVDQDIRKWLGLLVERLHAKDPTWKIDTFLLDNPSFEVSTIREVFQCQVLLCIWHVRRSWIRNILKKCPNLDVQREMFKQLGKVLYCTRIGLGFAYAVEQFKRRFSDQCVFVDYLTRTWLPDIELWVNSLRSHPVSTLEANAAIEAYHIRLKSKLFKEQSNSSSSRVDWLIHILTTQFHSSYWLDQYSLDTGYFGSFRDKSILTNAWNKALHIPDVDVIVDESNLQFAKVISQSKRNLEYTIWDPGSEFSLCDCPWSRMGNLCEHVIKVSLLCKRQQAARPLVAAQVYQDRVPNFQLNPVTFDHGMPLVNCVQRGKGLENLSDSGLDQPVHLDTNVQLKDNVLFYAQYK >KGN55887 pep chromosome:ASM407v2:3:2291216:2292037:1 gene:Csa_3G027210 transcript:KGN55887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKRISNATIFLVLNLVFFAFVNVCHACNDPKPKPTPKPYPNPNPTPVVKSCPRDALKLGVCTKLLNGPVSALVGSLPNTQCCSLLDGLIDLEAAVCLCTAIKANVLGININIPISLSLLVNVCGKKVPSEFQCA >KGN60382 pep chromosome:ASM407v2:3:38967970:38969012:-1 gene:Csa_3G902250 transcript:KGN60382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNPIQEQPYDPFQSFSTLCLNSSSSSAVDPSLCSSCFRPHSRSSATPMKRPSPTPPSSQQLSTVTTSKNLLLDPQQPNSIPFSKINLPIPFPPSVSPLRRSLSDPTDARNFSPPLQTQSPAKRLCLNSPLPPLPLRRTVSDPNPAPEKTSDSPIKIQKDSPESKRLKRIKDRLKEMNHWWNEVMSEEEEHNDEKEIKKEWFVNGVFEIQRDDEEEEEEEEEEKDDEETVGVERVGDSMTLKLKCSCGKRFDILLSGRNCFYKLL >KGN58545 pep chromosome:ASM407v2:3:26110459:26113378:-1 gene:Csa_3G680120 transcript:KGN58545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPELVHTAVAPVIKPPGGRHLPQRAYVTFLAGDGDYVKGVVGLAKGLRKVKSAYPLVVAVLPDVPEEHRRVLESQGCIVKEIEPVYPPENQTRFAMAYYVINYSKLRIWEFVEYNKMVYLDGDIQVYENIDELLELPNGYFYAVMDCFCEKTWSHTPQYRIGYCQQCPDKVQWPDDDLGLPPPPLYFNAGMFVFEPNVHTYHDLLNTLEVTPPTPFAEQDFLNMYFRDVYKPISSEFNLVLAMLWRHPENVDLNRVKVVHYCAAGSKPWRYTGKEENMQREDIKMLVKKWWDVYSDPSLDYKPPSTASTADNVHRFISALSEAGPVHFVTAPSAA >KGN59655 pep chromosome:ASM407v2:3:33346974:33350981:1 gene:Csa_3G836405 transcript:KGN59655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVYIYIIGLRGMWKRTRSHSIWDHATRLGPCHVGVKSIKFALVPCVEYLCSNSSQTNTLSSSNTSDIIQKRELYYFMRCKLQIRRVKHMGRVKWSFEILLLARRLWSCLRLKYWFEGNSRIFKEIVKDHIGGSKENLMNPNCCGIKTQSTVAEIKFQIRFYGNISRASSILIIDKLRVSHDPHLQQQFPPHKIHMKGLKALHSLSVLSLILFKRTMELLFFFFVS >KGN57546 pep chromosome:ASM407v2:3:14159442:14163041:-1 gene:Csa_3G207950 transcript:KGN57546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGAGVGGGSVYWGARNDPRNSRGLVVLFSWVSIQHRHLDKFVHLYASLGWNSLVCYADFLNIFDPERATSLAFLVINELVEELRLKLRPIVFVALSGASKACMCRVLQIIEGRCGSPLYMNECQMIRTCVSGHIYDSSPVELISDLGVRFAIHPTILKMPGSSQLISWLAKGVSSGLDALYLTRFDSQRDEYWRTLCSSVNIGAPFLIMCSEKDDRAPYDIICNFTKSIQELGADVQLVKFNGSPHLGHYKNYPAQYRAAVTIFLEKASSVYSHKILQFKGERRDMEGDEMPELICDLQNAAVNSNQSFRRVAVGPSDHFFLPSSADSQNGGEHPSSPDPKERASPLSSPPGISAHSVLGQFLFDVCVPKNVEGWDIKFHGSLNGQPLASARRHSPFPGTKFIRRSRL >KGN57891 pep chromosome:ASM407v2:3:18591655:18597850:-1 gene:Csa_3G374210 transcript:KGN57891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHVIAGKFKLGRKIGSGSFGELYLAVNVQTGEEVAVKLEPVKTKHPQLHYESKLYMLLQGGTGIPHLKWFGVESDYNIMVIDLLGPSLEDLFNYCNRKFTLKTVLMLADQLINRVEYMHSRGFLHRDIKPDNFLMGLGRKANQVYIIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKMSTPIEVLCKSHPPEFVSYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQFDYVFDWTILKYPQIGGSSRGRHSSGKAAAATPGPSAERPERTSVGKEIRERLSGAVEAFSRRNISSSSPHVDHSKQKTYEDVHHDSDRGRGSSSRYGSSSRRAIITNSKPTSSGDHSEGRRVVASTGRPSTTTQRLHSSYETKPSSFVRTSTRGGRDDPLRSFELLSIRK >KGN57900 pep chromosome:ASM407v2:3:18788014:18789256:-1 gene:Csa_3G383760 transcript:KGN57900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSSGSSHNIIINNNNNTINHQQPAPTSSSSSRYENQKRRDWKTFGQYLNNHRPPLALSRCSGAHVLEFLRYLDQFGKTKVHTAICPFYGLPNPPAPCPCPLRQAWGSLDALVGRLRAAFEENGGKPEANPFGARAVRLYLREVRDLQSKARGISYEKKRKRPPSQLPLTQQTTHGASS >KGN60227 pep chromosome:ASM407v2:3:37796754:37800512:1 gene:Csa_3G889830 transcript:KGN60227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIMIMGERGAIRHFHSFPPSHTFPKASTMGFQTLKLPAFPASSTSRLMVLPPTKTPSLTSLHPCVRTHRPPSRLLVRAFSLPSVEFDHPPSTIAGEEKVVKPQWKASIDFKWIRDNKDLVASNIQNRKSGANLELVLQLYDKMLNLQKEVEQLRAERNMVANKMKGKLEPSERQKLIEEGKNLKEGLVSLEENLLKLTDQLQQEAQCIPNTTHPDVPIGGEDCSIIRKMVGSPREFSFPVKDHLELGKQLDIFDFDAAAEVSGSKFYYLKNAAVTLELGLINWTLSEVMKRGFTPLITPEIVRSTVVEKCGFQPRGENTQVYSIEGSDQCLIGTAEIPVGGIHMDSILSESSLPLKYVAYSHCFRTEAGAAGAATRGLYRVHQFSKVEMFIFCRPEESESYHEELIRIEEDLFSSLGLHFKTLDMASADLGAPAYRKFDVEAWMPGLGRYGEISSASNCTDYQSRRLGIRYRPQEQVPSTLKKGKGNLAPTQFVHTLNATACAVPRMMVCLLENYQQEDGSVIIPEPLRPFMGGLDRISPASA >KGN56352 pep chromosome:ASM407v2:3:6372206:6374218:1 gene:Csa_3G116890 transcript:KGN56352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIVLNVHFTTVVFAVQEEDLRSGECSSTSLLGVKFISTTIELSSQQANDGYPFITGKETHPFLLVEIQNNFWKPFDGLSLLLWLMLGLDCKYVLQRWLSCGVIAVVCPRVLRSPTRLNVTIPQAWNRSQTREQQLLDMDSLPHQSFYNSLTGNLTHAISRSSNKLYSVSGKDGYLENEL >KGN56608 pep chromosome:ASM407v2:3:7767926:7772521:-1 gene:Csa_3G126230 transcript:KGN56608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQVSKICSGVRTPQFLHEIPKSQKPNSVNLFSVRSPFVGAQNFRGLKYGDEMRGNSSSGRVTAVVPLKVSASAVTTEKPPTVPEIVLQPIKEISGTIHLPGSKSLSNRILLLAALSEGTTVVDNLLSSDDIHYMLGALRTLGLDVEEDGANKRATVGGSGGLFPVAKESRDEEVQLFLGNAGTAMRPLAAAVTAAGGNLRYVLDGVPRMRERPIGDLVDGLKQLGADVDCFLGTNCPPVRVVGKGGLPGGKVKLSGSISSQYLTALLMAAPLALGDVEVEIIDKLISVPYVEMTLKLMERFGVYVEHNDSWDRFLVRGGQKYKSPGHAYVEGDASSASYFLAGAAVTGGTVTVEGCGTSSLQGDVKFAEVLEKMGAKVTWTDNSVTVTGPPRDSPRSKHLKAIDVNMNKMPDVAMTLAVVALYADGPTAIRDVASWRVKETERMIAICTELRKLGATVEEGPDYCIITPPEKLNVTAIDTYDDHRMAMAFSLAACQDVPVTIKDPGCTRKTFPDYFEVLQQFVKN >KGN57077 pep chromosome:ASM407v2:3:10470638:10472413:1 gene:Csa_3G152040 transcript:KGN57077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEIRHYLELETKGSEKDGCVMAMESHPLTNLFSLIVNYKTNQPTTSIPSNESTFRFFAVASHSISPLPVLKPGEIYSIFHPISSSSSSSSSSSLHFPLSMGCGESKLAIDTADGILHRKKSSASRSKSGRRAGDGSKTSTAHSSSADLKVPSLNKIDSSVKVESSENKVEQRDEKKIDDVAKIEPEVKTEQKIDAAVKIESEEKSDSIVVTEGVKTEERKEKEVAGDSEKKEKEVASSSEKKEKEVASSSEKKENEDGGNGGSVEKQVAGETKAEEKKEGSEVKVEQGVKGVEEKLLAGEVEKEGIKAVEKKEVAGETKTEKKEGGAVEEGIKAAEEKKLAEEPKVEKQNGEAVKLKEESEGKKEETQISAPTPLQDKNLKDPKENGGDLEVKNSTTEEKKIAPTN >KGN58543 pep chromosome:ASM407v2:3:26095900:26098283:-1 gene:Csa_3G674620 transcript:KGN58543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILRRPNFFQSPLRYFSPIPLSIFYSHPFSSSTDNQSLHQNIETVFRIITTSSSSTDMKHSLESSRVFLSNELIDGVLKRVRFSHGNPLQALEFFNYTAKRRGFYHTSFSVDTMLYILGRSRKFDKIWDVLLDVKFKDPSLISLRTVMVVLGRIAKVCSVRQTVESFRKFKKFVPEFDVTCFNALLRTLCQEKSMMDARNVYHGLKSMFRPNLQTFNILLSGWKSSEEAEGFFDEMIEMGVKPDVVSYNCLVDVYCKNREMDKAFKVVGKMRDEDIPADVITYTSIIGGLGLVGQPDKARNILKEMKEYGCYPDVAAYNATIRNFCIAKRLHEAFDLLDEMVNKGLSPNATTYNLFFRIFFWSNDLQSAWNLYRRMMDTGCLPNTQSCLFLVRLFKKYEKEEMALELWNDMIQKGFGSYILVSEELFDLLCDLGKLIEAESCFLQMVDKGHKPSYTSFKRIKVLMELANKHEALQNLSKKMDDIFWIKKMPPLEQ >KGN59531 pep chromosome:ASM407v2:3:32531299:32535757:-1 gene:Csa_3G824760 transcript:KGN59531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKVKIEEVQSTSKKQRVATHTHIKGLGLEASGKAMPLASGFVGQSEAREAAGLVVDMIRQKKMAGRALLLAGPPGTGKTALALGISQELGSKVPFCPMVGSEVYSSEVKKTEVLMENFRRSIGLRIKENKEVYEGEVTELSPEETESVTGGYGKSISHVIIGLKTIKGTKQLKLDPTIYDALIKEKVAVGDVIYIEANSGAVKRVGRSDAFATEFDLEAEEYVPLPKGEVHKRKEIVQDVTLHDLDAANARPQGGQDILSLMGQMMKPRKTEITDKLRQEINKVVNRYIDEGIAELVPGVLFIDEVHMLDMECFSYLNRALESSLSPIVIFATNRGICNVRGTDMNSPHGIPVDLLDRLVIIRTQTYGPAEMIQILAIRAQVEELVVDEESLAFLGEMGQKTSLRHAVQLLSPASVVAKMNGRDSICKGDLEEVCALYLDAKSSARLLQEQQEKYIS >KGN59220 pep chromosome:ASM407v2:3:30314930:30336577:1 gene:Csa_3G782670 transcript:KGN59220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPANTPLGKMLLEEITPVIMVLNTPLVEEACLKNGFSFIQMLSPFCSFNNIDVPVRTASDQPYRIKKFSSRLFYGSDIRQPNLEASKDRLKQVITQASEKDISELCSDPPQLKDVINSFESAILPSWFHLFNKELIRNVSFSEHEAFDHPVACLLVVSSRDDHPINKLSDLFNTSKLPSLLNDGTMDPKIMKHYLLLHDNQDGSSEKASKMLAEMRSTFGSNDCQLLCINSSHDGHIERQDDPWSLFKPDASIGKQLGCFLSNEDLIEIRELMQELSSKHIIPYMEQKIRELNQQVSATRKGFRNQIKNLWWRKGKDDAVDSPNGPTYTYNSIESQIRVLGDYAFLLRDYELALSNYRLISTDYKLDKAWKRYAGVQEMMGLAYFLLDQSRKEAEYCMENAFNTYLKMGPSGHLNATRCGLWSAEMLKAREQYREAAAVYFRICNEEPLHSAVMLEQASYCYLLSKPPLIRKYGFHLVLSGDRYKRMDQINHAIRTYRNAIAVFKGTEWSHIKDHVHFHIGQWYASLGLYDVAVTQMLEILDCNHQSKATQELFLKDFLKIIQKAGKTVKVLKLPLPKINISSLKVIFEDHRTYASTTAANVRESLWRSLEEDMIPSLSSGRTNWLELQSKIMSKKFKESSICVAGEPVKVDIVFKNPLQIPISISSVSLICDLSSKSDETESGTNNIIGGVQKNTEFKWSSDWDMGSDNTSYTLSEVHLSLEENEEKVVQLTVTPKIEGILQIVGIRWKLSDSVLGFHNFIDNPGQKNIAKGRQKAKCSLADNLKFVVIKSLPKLEGSILSLPQVAYAGDLQRPVLELKNQSKFSVKNLKMKISQSRFLKIGNQESTNKDFPACLEKPNNREQGVHPIPSTTPNDTFLFPQDTFIQGGTPLLLPLWFRAAVPGNISLYVSIYYEMEDVSNIMRYRILRLHYNIQVLPSLDLSFQINPCPSRLHEFLVRMDIINKTSSEIFQIHQLSSIGQSWELSLLQPVDTIFPSRALMPSQALSCFFVLKNINTSFSSEKKVSSVPVLLASDLKLGPQSSDEQLFDTASFPLAAFHYSERVYQATSNQDPNTVDFMLITRPLKNTTDPGISESSSLFSHHVCHCSTSSNSPIWWLLEGPRSSYHDFSTSFSEINLKMTIYNSSNSTASIRIKTSDSASTSEGNETAPQSPNSANLTGWHYASLTQDIKVTSDVLGTQIGKSSSLESVSPFIWSGTSSTTVQIEPKSMAEAPLQICIFSPGIYDLSNYILQWELLPTAGSENMETTTSSGTSRGYPHYLTVLQST >KGN59293 pep chromosome:ASM407v2:3:30844627:30846630:1 gene:Csa_3G806250 transcript:KGN59293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSSPMISFLFLATLAAFFGGTVVPIRCLQFDFPLFTDVSQKELILHNNARIFLNATQVTPDVRGDSITNKSGRAVYKDPFLIRHGGKIASFNTTFELNIKAQTQPGGEGLAFILAANHSVPGDSYGQWLGIVNATTNGTPEAGIIAVEFDTSKSYPEDIDSNHVGLDLNSIYSIEQTPMSEFGVVISSGMSFFSMIQFDGFNISVFVSTSNKTEDLLKNRVIFQPLNLSILPDEVYVGFSASTGNFTELNCVKSWQFNGTDIGDHKKKIRLWIWLTVAGIGALLIIGAIVAIIFHICTRDRRMNHQEESYEGLEHQLQDFSITPQAKKFEFKELKKATNNFDPKNRLGKGGFGTVYKGNLMNREVAVKRVSEDSRQGKQEFIAEVATIGSLHHKNLVKLLGWSYEKRDLLLVYEFMPNGSLDKLIFNNKMNGTQVNPNWEIRHNIICGVAEALDYLHNGCEKTVLHRDVKSSNIMLDSKFVAKLGDFGLARTMQRTEQTHHSTKEIAGTPGYMAPEIFLTSRATAETDVYAYGVLVLEVICGRKPGNPLDLGNYEGSIAHWVWEFHKDERLVEAVDESIEGQFVKEEIEYLLILGLSCCHPNPLARPSMRTVLAVLKGEANPPILPNERPSFVWPPMPPSFKEDTSDSSLKDSTHLVPFTELTGR >KGN56790 pep chromosome:ASM407v2:3:8810578:8817450:1 gene:Csa_3G133900 transcript:KGN56790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAIANLCSLPLSLPILCSSSSSSPNGKEPKQVKLRDDWRQRSRPIPPGGTYPAKEQCSRCGLCDTYYIAHVKDACAFLGDGMSRIEEMEPVVHGRGRKTDTLDETYFGVHEKLLYARKIKPVEGAQWTGIVTTIAVEMLKSGMVEAVVCVQSDPEDRLSPRPILARTPEEVLAARGVKPTLSPNLNTLALVEAAGVKRLLFCGVGCQVQALRSVEQHLNLEKLYVLGTNCVDNGTREGLDKFLKAASTEPETVLHYEFMQDYKVHLKHLDGHIEEVPYFCLPANDLVDVIAPSCYSCFDYTNALADLVVGYMGVPKYSGISMTQHPQYITVRNERGREMLGLVEQYLEITPTISNGNRRPLVMETVKADDDAKLGKGPSQPAPKFIGNIIAFFLNLIGPKGLEFARYSLDYHTIRNHLYVSRIWGKQRADKHEPTYAKKIVDLYNQKGEIDRILSNTK >KGN59786 pep chromosome:ASM407v2:3:34311405:34313771:-1 gene:Csa_3G846020 transcript:KGN59786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTESSSSARKVVVLLRATGDAPILKQTKFKMPGTDKFIKVIDYIRRSIQRDTLFVFVNSAFSPGPDETVIDLYNNFGIDGKLVVNYACSMAWG >KGN57839 pep chromosome:ASM407v2:3:18051400:18055372:1 gene:Csa_3G345890 transcript:KGN57839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKIDGIEDEEISKLEEGIVVSAVARFCPSNLVVIIQKVIAELIGTYFVIFGGCGAVVVNKIYGSVTFPGICVVWGLIVMVMVYSVGHVSGAHFNPAVTLTFALFRRFPFWQVPIYTGAQLMGSLLASCTLDLMFEVTPEAFFGTVPVGSNVQSLVIEIIITFLLMFVISGVSTDNRAVGELGGVMVGMTILLNVFVAGPISGASMNPARSLGPAIVKRQFKGLWVYMLGPLIGAVAGGFVYNLMRYTDKSLREITRSTSFLTGTHKS >KGN59608 pep chromosome:ASM407v2:3:33059938:33060252:1 gene:Csa_3G828970 transcript:KGN59608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQGYRVFIAIAMILGDGLYHVFFMPFQTFYSLAKQKFSNEKLKMLIHHSKLLTTMLNGELINFLKDQIPKWVAMVGYVVLAAIPVITVPLIFHKLKWYGILAH >KGN59421 pep chromosome:ASM407v2:3:31807167:31809989:1 gene:Csa_3G819780 transcript:KGN59421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLFFGHFFQNAMPYIAVIFLQFGYAGLNILSAIALNGGISHYVLVVYRQVFATAIMAPFALILERKFRPKITVKIFIQMFVLALLGLGEYIKGSILLIISVFVWAAFFVLQAITLRKYTAHLSLTALVCFLGTLQAIVATLAMERRLSVWTIGWDWNLLASAYAGIVTTGVAYYVQGMVMKRRGPVFVTAFGPMVVVIVAFMGHFILAEEIYVGGIIGTVVIVIGLYFVLWGMYKESKEKKEEVNGEIIVEAIIDEGIELAIDQKKEGHLAITTIPSLHGFT >KGN58528 pep chromosome:ASM407v2:3:25930676:25931003:1 gene:Csa_3G659040 transcript:KGN58528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVIFLLHTRIGASLEMISQLVVISDWLELNVRGSYIVRSGNHQSWSLEHVVDDDFCWSEVVVIVEVGRIELSNWKQ >KGN57475 pep chromosome:ASM407v2:3:13471454:13471700:1 gene:Csa_3G194420 transcript:KGN57475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVGCISWLGIILGTQSIRVHVDTEVHEQKRKHFRNPVNSNEDRLMVMVMVMRKDSDKLPDEFFSSSYSR >KGN57675 pep chromosome:ASM407v2:3:15460656:15463777:-1 gene:Csa_3G239880 transcript:KGN57675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYGRLNETDPGGPSHSSPASPPFQLSSHHPTPNRRTTRLLLISFLSLLLIVAAAVSATFLIGLRTASPARSDPNLPRKPTQAISKACSRTRFPTLCVNSLLDFPGSLNANEQDLVHISFNVTLQHLNKALYSSSEISSLQMDLRVRSAYDACLELLDDSIDAVSRSLQSVAPSSSSSTPQRLGSSEDIITWLSAALTNQDTCTDGFSELSGTVKNQMADKLHNLSELVSNCLALFSGSETSDFAGVPIQNKRRLMEEEGENEDSSGKFPRWMNRRERRLLTLPVGVLQADIVVSQNGNGTVKTIAEAIKKAPQYSSRRTIIYVMAGRYEEKNLKVGRKKTNLMFVGDGKGKTVISGSKSIFDNVTTFHTASFAATGAGIILRDMTFENWAGPGRHQAVALRVGADHAVVYRCNIIGYQDTLYVHSNRQFYRECDIYGTVDFIFGNAAVVFQNCSIYARKAMALQKNTITAQNRKDPNQNTGISIHACRILATSDLESSNTSNPTYLGRPWKLYSRTVVMLSYIGNHVHPRGWLEWNATFALDTLYYGEYMNYGPGGAVGQRVTWPGYRVITSTVEASKFTVAQFIYGSSWLPSTGVAFLGGLNV >KGN58347 pep chromosome:ASM407v2:3:24313001:24314023:1 gene:Csa_3G625620 transcript:KGN58347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEEGVVEGEGVVGEEVDMGTIKVDTETTKVDTVTTKVVMATTKVDRTTTKVDLDIIKIITVDTQVGEEEVGGVEAGPTVELDTKEAEEGAEVMAVDGGEWVVAQGAAEETRLRRNEILFVCSSYFLLELKCLPWPVG >KGN60027 pep chromosome:ASM407v2:3:36382767:36383038:-1 gene:Csa_3G872090 transcript:KGN60027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRETNIRINEEKENQKREKLSRKQAKEENRKLRVEDREKSAIGAAKMPRRTVGEAEEDGDKDGEAKERERERERVQSK >KGN56760 pep chromosome:ASM407v2:3:8664190:8671177:1 gene:Csa_3G133110 transcript:KGN56760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSSSSSYLNSTCALLAVLDFDEKHNESMKEMDTEWIVDVPDTPDRLAARQISGGQFVQTETGSSLSNRLRNPDFMMEKGINGMKGVGVLASENGHDSRLDRSSKNIPCEDFKGSKNTIILSPGENPHALQNSLLLRKGGREKYSFQGPKRFICPRRVDKGINISVDSPSKPPPCQENTAVPQMREHDLKYKPQTVDRHVAKDFKIENTSNEQSASYMPIASKKLNVNIKGKEKVVEESFQDVGLSMINRDGIEKSNNTNNRHEKQGLGPRQFVSSPRATGHKRLVRNGCISPHNIAIRAKSLSEQCEKSSREVDKSNLGNMPSSSPSCPIDINDIVAEDNFSNKDKGKGIMRQPSLSHDKDDVRVIFSSSSDTGKDVGANPGRTSRLGTSEHCEKVGVWRRTHNHLKNGIVLSNPSGNSFKKIDSVGRLSNGKTEIAMERQIPSRQELIAEADCGGSADTSQRASPKLDQTNGPIHAESKLNKKQKKHESTYQINSSRRIPDVVCLGTSGESSNSRSTRLKSKIVCDNLNEVIEVDELSPEMRHPVSQTGGSLNDDTSDVRARQLEADEILARELQEQLYQEIPIGGEEIDEHLAMALQQVEHGLLAPSRRSHNSQRGSLVAQANRRTRSQSLQNPSNRTRTRVTHSARMAQIRNQFFGGSHRVSTRQRNLNFPMHMDLDMRLDILEALEAAVGDMDDVRMNRDILHMQRDFNENDYEMLLSLDENNHRHAGASTNRINSLPQSTVQTDSTQEACAICLDTPTIGDVIRHLPCLHKFHKDCIDPWLQRRTSCPVCKCSIT >KGN60428 pep chromosome:ASM407v2:3:39283049:39283348:-1 gene:Csa_3G910650 transcript:KGN60428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLSWWKGQSPPSDSTSKPPQPPPKNLSQPAEVPGLNGALQVPRLDTSVTIFEFGSVSSSSDKVTLTGYCPVSDEFEPCRWEILPASDSNAPLFRVVF >KGN56439 pep chromosome:ASM407v2:3:6846501:6848741:-1 gene:Csa_3G119705 transcript:KGN56439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGCYRVCVTGGSGYVAASLVKNLLQNGHIVHATLRNLDDESKVGILKNLPNATTNLVLFEADIYKPHQFEAAITGTHFVFHLATPLRHTHGSQYGNTTEASVTXXXXXXXXXXXXXXXXXXAVRRLIYTASIVSMSPMKGDGSGFKEFFDESCWTPLNLSYPFSDSFLQGYVESKTITEKEFLKFGESEESKRLEVVSLVCGLVAGESPHPSAAITTMVTFSQFIHESEPFKFLRFLEELDGKVPLVHIDDVCEAHIFCMEQTSIHGRFLCASSFLSSSEIANYYHLHHSHLQQKHGKLDEVAKRNIKMNSKKLIERGFVYKYDGDMILEDAFHCCKNRFVG >KGN57582 pep chromosome:ASM407v2:3:14525373:14525667:-1 gene:Csa_3G219170 transcript:KGN57582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSRKTSLDVSSGLPFPRYAEVASDLFHYRRRLHIGMDYVENKEDVDILIRFAIFADDLWRQEFSDFL >KGN59682 pep chromosome:ASM407v2:3:33500517:33504453:1 gene:Csa_3G837630 transcript:KGN59682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYATRLHISLPHALLPTVSCWLSPPAVFRRLSGFSSTCKLFSHSSKFKAPSTLVYAQAKRGFSAKEDDVASSVDLEFEAPLKIVEYPDPILRAKNKRIGSFDDNLKKLVQEMFDVMYKTDGIGLSAPQVGVNVQLMVFNSVGERGEGEEIVLVNPKVYRYSKKTVLFNEGCLSFPMIYADVERPESIKIDARDISGTRFMVNLSGLSARVFQHEFDHLQGTLFFDRMTDEVLETIRPQLQALEKKYEDRTGLPSPERIENFRRKVGAGFGKS >KGN56027 pep chromosome:ASM407v2:3:3368080:3378181:1 gene:Csa_3G047880 transcript:KGN56027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGKDKNLHNMSESESEQEGVDKEGIEWIMNLPDVPMKLPPHVELQRTRVECKADAPIHTDTIQYSGAFASMGFDNSLRLDNFRNNFKIEVIDLKEDDIEFDMIGIDPALANAFRRILISEVPTMAIEKVLFANNTSIVQDEVLAHRLGLVPIRVDPRLFKYSDKDAPNENNTIVFRLHACCERGKPRLTVTSKALTWLPNGSEFPLVSDKSVSNSKPKTYTSFSCSQNTLSKEFSNNPIGPKDSDIILARLGPGQEIELEAHAVKGMGKTHAKWSPVATAWYRMLPEVVLMEEIEDELAEELEKKCPVNVFDIEDIAGKKRATVARPRDCTLCRECIRGDAWEKRVALRRVKDHFIFKIESTGALPPEVLFTEAVKILEDKCERLIAELS >KGN55652 pep chromosome:ASM407v2:3:457494:461735:-1 gene:Csa_3G002720 transcript:KGN55652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQNPYRNSLYPQVIHSNPDAPLSSFANPIPPSTSNLYPTLDMKDMVENLFPDDVGFLHPPSAPAPPSASAPPLAVEEVLIRIPGAILNLIDKEYSVELACGDLTIVHIRQGESVVAVFARVADDIQWPLAKDLAAVKLDGSHYFFSFQAPKERDSDSDSSDDEDKKKKKKKSGSDDYLSYGLTIVSKGQEGLLKELDGILQNYSSFTLQKVSESAKKVEVLDESLAKEMSPADLKTEKKKEEMEGKCAAYWTTLAPNVEDYNGLAAKLIAAGSGQLVKGVLWCGDVTVERLKQGNEAMQKRLDPCSNTEISPETLKRIRRVKRVTKMSEKVANGVLSGVIKVSGYFTSSVANSKVGKKFFGMLPGEIVLASLDGFGKVFDAVEVAGKNVMATSSTVTTELVTKRYGEQAANATNEGLDAAGHAVGTAWAALKIRKALNPKSALSPKALAKSAVKAAAADAKAKNSK >KGN56824 pep chromosome:ASM407v2:3:8984344:8986172:1 gene:Csa_3G134730 transcript:KGN56824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIGDSKDVNGCKEKTMDSAAAGEVGDHSNGEEYSESNALLPPRRGGMSRKLNKPRMKVRWNDSNGNNLAEILEFQPSDVSDSGDEEADSCSCIIM >KGN56138 pep chromosome:ASM407v2:3:4198311:4207384:-1 gene:Csa_3G077720 transcript:KGN56138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRPGIGGLQTAAAARDQYRQLGENVAKLRADMMKEQLSTFRSQLEDFARKHKKDISKNPAFRSQFHEMCAKVGVDPLASNKGFWAELLGIGDFYYEIGVQIVDICLSTRPHNGGLISLQELCTLLRQRRKSDREAVSEDDCLRAISKLKILGSGFEVITVGKKKLVRSVPTELNKDHNEILELAQAQGYATVDEVERRLSWTSGRAVDALDTLLDEGLAMIDDGHRDGRRRYWFPCVSPISSSLTTDA >KGN58749 pep chromosome:ASM407v2:3:27510910:27513727:-1 gene:Csa_3G731110 transcript:KGN58749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRTQMVLLGLPILLLFTDIVNLFTPPPPKPAANHPPPRVHYQPKSQPVIQEPPEFPTEKRSVIGGIGQGSVININFCVSCSYRSTAITMKNMLESSFPGVEVILANYPPPLPKRLLSKVVPAVQFGIIGIIMAGEHIFPRLGMVPPPWYYSLRANRFGSIASTWLFGNFIQSFLQSSGAFEVYCNGEMVFSKLKEQRFPGEIELRDLIGRKLSGLRFVDNSGGVWS >KGN58314 pep chromosome:ASM407v2:3:23786903:23787897:-1 gene:Csa_3G611390 transcript:KGN58314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIHLWDCEGNEIKAWRGSRMPKILDLAVTPDGKNLISIFLDKEIRILNLESNAERIISEGHTISSLSISGDGKFFIVNLNNQEIHMWDVAGEWQQPLKYTGHQQNKYVIRSCFGGVNSAFIASGSENSEVYIWSRHSSKPIEVLSGHSTTVNCVSWNPKRPKMLASASDDQTIRIWGPTSSKNVKPGQS >KGN59041 pep chromosome:ASM407v2:3:29036994:29039209:1 gene:Csa_3G748210 transcript:KGN59041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLKTELCRFSGAKIYPGKGIRFVRADSQVFLFANSKCKRYFHNRLKPSKLTWTAMYRKQHKKDIAQEAVKKRRRATKKPYSRSIVGATLEVIQKKRAEKPEVRDAAREAALREIKERIKKTKDEKKAKKAEVLAKSQKAPGKGNVAKGPASKGPKLGGGGGKR >KGN58230 pep chromosome:ASM407v2:3:22896908:22898746:-1 gene:Csa_3G597290 transcript:KGN58230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAIDTSTTHPWLLPSLCSSAGKANLPRRRLLYASKTIANLKENGQTNHESTTAAGNIRRQVLTPQERIKLNAYPDREFYTFPRFVTHVDDGFISTLTNLYRERLQPGIEVFDLMSSWVSHLPKEVKYKRVVGHGLNAQELAKNSQLDYFFVKDLNEDQKLELKSCSIDAVVCTVSVQYLQQPEKVFAEVFRVLKPGGVFIISFSNRMFYEKAVSAWRDGSAYSRLQLVVQYFQCVEGFTEPEIIRKMPASSGNAERNSPFNWVLRLLGLLSGSDPFYAVLAHKNFKPVYTTENT >KGN57908 pep chromosome:ASM407v2:3:18901334:18903344:-1 gene:Csa_3G386810 transcript:KGN57908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVKVLLAILLCFFACESLVNARDPSERRGFRFTTEAQLCKLDKIRVRPPSRRIESEGGITELWDEADEEFQCAGVGAIRNIIRPNSLSLPKFHNAPMLVYIEQGEAFFGMNYPGCAETYESQSAQSSRSTRRMGRRIGAGRTEEDQHQKIRRVRRGDMIVIPAGTVQWCYNDGGEDLIAVAFLDLNNDDNQLDLRVRGSFLAGGVPSESRREIRGSKSENLVNIFSGLDQEFLSEAYNIPSELVRRMQEERSSGLIVKCDEEMSFLTPEEEEEELSETSFSRRRGEDSNGIEETVCTARVQHNMNTQREADLFSREAGRVNILNQLKLPILRFLGMSAEKGHLFANAQHNLHWSMTDHRMVYVVDGEAEIQISDDYGNQVFNERVSRGNMFVIPQFYPALARAGQEGFEWVTFKTSNQPMKSPVAGYTSFFRALPLQVLEQSFQITTAEAQQLKQTRRQHTFLFPPTSGSSFSRSRRS >KGN56303 pep chromosome:ASM407v2:3:5919803:5922105:-1 gene:Csa_3G114430 transcript:KGN56303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGFLSTLRRLYLTLYNWTLFFGWVQVFYFCIKQLKESGHQHVYNAVERPLQFSQTAAVLEILHGLVGLVRSPVSATLPQIGSRLYVTWGILWSFPEVRNHILVTSLVISWSITEIIRYAFYGMKETFGFAPSLLIWLRYSTFLLLYVTGISSEIGLIYLALPYMKESGKYSYRMPNKWNFSFDYFYAAILVLAIYAPGSPHMYSYMLVQRSKALSKSKKE >KGN56481 pep chromosome:ASM407v2:3:7042599:7043391:-1 gene:Csa_3G121570 transcript:KGN56481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLICFVSFLGVALLFVEFITWLYPSNSSTCLWRKFAGGVLKFSLEQNSSAITIGSFRYNFTHMNFSTFTYLSFALQGLEQVLITGGIPPIGNGCTSDSVYRAAWL >KGN59793 pep chromosome:ASM407v2:3:34388870:34389505:-1 gene:Csa_3G846580 transcript:KGN59793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENHKEIMVQSSNSEEGGEIRIRIPPVGEENTRKFYEASKVALKKIIEEDKDMVQSVVNFCSSDIENPLHFNYDGPDELSPKVNALQQTPLHLASKNGDMEMVRVLLDKNRSACLVRDFNGLIPLHHAVIGGHVQMVKELIRARPRSMWIKLKNGQTVLHLCVEDNHLEVIKLLIEIALYHDEDFLDITDDAGNTILDMSLKLKRFEVRN >KGN58415 pep chromosome:ASM407v2:3:25041843:25045492:-1 gene:Csa_3G640570 transcript:KGN58415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLPFVSPLYLSTQKKAFNGHNPFCRVRREDSCRRTFQCLATVASQTTQTYNYGLETKKNDLLVAVQETQRGLVASSDQRCVIEEALVNVEAYSMGLPIDLMKLDGTWRLQYTSAPDVLILLEAADRLPFFQIGQIFQKFECQDSSNEGIVRNVVRWSIPSLLEEQEGATLLVSAKFSVVSLRNIYLEFEEISVQNIKISEQLQALIAPAILPRSFLSLQILQFLRSFQVGVPVRNSGSSRGVGGLYYLSYLDGNMLLGRAVGGGGVFVFTKAQPLQC >KGN55706 pep chromosome:ASM407v2:3:820472:820657:1 gene:Csa_3G006675 transcript:KGN55706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFTIITTISVTNAPSTYGNYEYQVKGDDGHLKDDSEIVTDYVDWSPNPIFGGGRAGLIPH >KGN56552 pep chromosome:ASM407v2:3:7430101:7432502:1 gene:Csa_3G124230 transcript:KGN56552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGANISDTSGRMSGLEAMPENCVALVLMHMDPTEICKLAGVNRLFRDAASADFIWESKLPCNYQFLMDKVFEFDEKKRAMVNLRKKDVYARLCRRNPLNGISKEFWLDKKTGGLSMAISWKALTITGIDDRRYWNHIFTEDSRFQTIAYLYQTWWLEVNGELKFQFPEGRYSVFFRLHLGKPSKRLGRRVCNTEQVHGWDIKPVRFQLTTSDNQHTESKCFLGSPGNWVNYYVGDFTIGSSGSNSLMKLKFSLTQIDCTHTKGGLCLDSVLIQPSSLANKAK >KGN56011 pep chromosome:ASM407v2:3:3265528:3266316:-1 gene:Csa_3G046230 transcript:KGN56011 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-methyltransferase-like protein MFESVPNGDAIFMKWILHDWSDDHCTKLLKNCYNAIPDDGKIIIVESVIPTKPEITNVTKATTQGDVLMMTQNPGGKERTRDEFKSLATKAGFKHVMFECFVANLWVIELLKN >KGN55733 pep chromosome:ASM407v2:3:1010554:1011624:-1 gene:Csa_3G008900 transcript:KGN55733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKENKRVPKKIGAVLGYKLEKGNGRGRDDEMDTNEPLNSRVDRHSFRPEGRPVMEIPTVPLAPFRINAGSFTRLIARVVHVYSTKYIDTINIHQTGALFVRATAIFLSSEATKTCPH >KGN55738 pep chromosome:ASM407v2:3:1040896:1046266:1 gene:Csa_3G009440 transcript:KGN55738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSVTIATVILSISLILSRILYVIYWSGRPLRNKSLGPVSTLIVLGSGGHTAEMLNVLSVLQKDLFSPRFYIAAATDNMSLQKARTYENQLADKNEAEVDKIAQFMQIYRSREVGQSYFTSVWTTLIATLHALWLMIKIRPQVILCNGPGTCIPLCLIAFIFKVLGIRWSSIFYVESIARVKRLSLSGLILYKLYVADQFFVQWPQLQRIYPRAHYVGCLM >KGN56484 pep chromosome:ASM407v2:3:7070420:7071491:-1 gene:Csa_3G121600 transcript:KGN56484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKVVLKLDLHDDKAKKKALKLVSTLAGIDSIAMDMKERKLTVIGAVDPVTIVSKLRKFWPAEIISVGPAVEPKKEEEKKEGEGKKEEEKKKEEGEGKKEEETKKKDQEGGEGGEKKNQTPNPNDPVLELVRAYRAYNPHLTTYYYVQSMEENPNSCAIC >KGN56002 pep chromosome:ASM407v2:3:3181367:3184239:-1 gene:Csa_3G045160 transcript:KGN56002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVSFFKKILKFKSKLIIDLYFLYKYLKQIYYFVEKNICNSRHMNVFGQNLRALVMKAMDLSPQGGWELVKVMPTPSFVVIGQEIHILHQFFFFYFYYARFFNIGYGELTDTFNSSRDNVGHGTHTLSIAGGNFVPGANVLGMGNGTVKGGSPRARVASYKVCWPDETNECVDPNTLAAFEAAIEDGVDVISISVGGEPKEFFSDALSVGAFHAVERGIVVVSSAGNVGPTPGTVSNVSPWILTVGASTIDRGFTNFVVLGNKKKFKGTSFSSKVLPVNKFYPLINAVDAKANNVSVSDAEVCDEGSLDPEKLAGKIVVCLRGGLPRVSKGYVAAKAGAVGMLVVNDEESGNAILTDSHVLPASHVTYDDSISIFQYINSTKTPMAYISSVMTELEITPSPVVADFSSRGPNTIEESILKPDIIAPGVNILAAYPDGIPLTEAPLDDRQSPFKVDSGTSMACPHIAGIVGLLKTLNPKWSPAAIKSAIMTTAKTTDNNFNPIVDYGGLEANPLAYGAGHVNPNSAMDPGLVYDITIDDYLNFLCARGYNTTQIKRISKKNFVCDKSFKVTDLNYPSISVTNLKMGPVAINRKLKNVGSPGTYVARVKTPLEVSIIVEPRILDFTAMDEEKSFKVLLNRSGKGKQEGYVFGELVWTDVNRHVRTPIVVNLGE >KGN59177 pep chromosome:ASM407v2:3:30034140:30037603:1 gene:Csa_3G778330 transcript:KGN59177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEEAYSTIGVELGLQSIQVKETHRLLFSAFLGGNTLVDGNFGEDFCSIFWVFCGFSGFVWKYENELEAGPNASQREPHISEREEAVKEKIILSQEKNIQRLNELVQSLRRQLLQCKGEHEAVNSTVKPMTELLTVFDQQPMLDD >KGN59412 pep chromosome:ASM407v2:3:31730633:31735624:1 gene:Csa_3G817720 transcript:KGN59412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESASFDPTPQGFNDKESMVDPFLVEALQNPRHRLTILRMELDIQKFLHNPDQQLFEFQHFPTSYLRLAAHRVAQHYGLQTMVQDSGIDGFGNRIVVRKMAENRLPSVRLSEIPAKQLDNEKHEQVKIVIRPRPNKMSGISANEGGHKQSSVRSVEERKEEYDRARARIFSSPSSPEIDDTISQIPSEGKYACSNRDETEGCRTLGGELEKFNGRDGMTSRVAIFKDREKDRSDPDYDRNYDRYIRNLPTNQNLSLAPFIMPKVQPPFVQYDSGYSLVGHMPGTQASVNYGPHPSPVVSPFCAMGLNQASRDASYEQWQSAAMMYAHSYNQFRHSAFQAPFCQQPLSFDYSQNH >KGN58760 pep chromosome:ASM407v2:3:27576416:27578489:-1 gene:Csa_3G731220 transcript:KGN58760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGPARPLFVLFGSSIVQFSFGDGGWGSILADLYSRQADILVRGYAGWNSREALGVLHHLFPKDSANQPSLVIVYFGGNDCMLPFPSSKNPYVPLSEYVENMKKIAIHLKSLSEKTRVIFLTAPPVSYNLIKEKMSEDHAERRTLESCRKYAEACKELCKKIDVKCIDLWSAIQKRDDWLTSCFTDGVHLTAEGSEIVAEEILKVLEEADWEPSLHWKTLPIEFDIPIELSP >KGN55805 pep chromosome:ASM407v2:3:1589050:1589637:1 gene:Csa_3G016990 transcript:KGN55805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSNPTAALTKPCFLPSSSAADDDMEPGISSHTFFSRTYRSRSSRFDDPLPLPHFLDSCFLCRKPLGNNTDIFMYRGDTPFCSEECRQEQIEIDEMKEKKWRRSSAAAVKALRNKKDQQRRRSTSPNKSSPPSEYPFCAGTVAAA >KGN60036 pep chromosome:ASM407v2:3:36430454:36433401:-1 gene:Csa_3G872180 transcript:KGN60036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKATKRPIQAVATWVRRQPPKIKAFLAVVSGMAALVFLRFVVHDHDNLFVAAEAVHAIGISVLIYKLMKERTCAGLSLKSQELTAIFLAVRLYCSFVMEYDIHTLLDTATLVTTLWVIYMIRFKLRSSYMEDKDNFPIYYVAVPCALLSFVIHPTTVHNIINRIAWAFCVYLEAVSVLPQLRVMQNTKIVEPFTAHYVFALGVARFLSCAHWVLQVLDTRGRLLTALGYGLWPSMVLLSEIVQTFILADFCYYYVKSLVGGQLVLRLPSGVV >KGN60477 pep chromosome:ASM407v2:3:39685914:39686416:-1 gene:Csa_3G914570 transcript:KGN60477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSASHHQLKSWPALNFMDARTVANIIKKENPDFQIFILLAGSPVTKDLKHGRVRLFTNMEEIVVIVPQEG >KGN56533 pep chromosome:ASM407v2:3:7336795:7337184:-1 gene:Csa_3G122570 transcript:KGN56533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQELGERAKKSKSKERNHPQKRRKTGQLKPQAVRSLVYQLINNATGQDGRLRRINYKSKFIHQEMLDFRTVNQKMDLLQFRRRWVVSEENKGGGGLVDHFKGTRRAFCENKNGGWRERERDESHLPSII >KGN59113 pep chromosome:ASM407v2:3:29683998:29688591:1 gene:Csa_3G775260 transcript:KGN59113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METRKLSLIIHTRSLSLSLSPFFYFSSAMGSLPLTLMAASSSKCSDGTTSSGLSSSSSSSSSSSSSSSMSSSMAKAADQMVKVEIEAAEALAGLAVLAVRETGTQPFQTKWGIKGKGKRARKEVKTESPTSGFADSLPARADLDLRIEQDRGVVKHQPSEKECTIQSQPEPETTGEVTKMDKEAESSKVSPACTTSYQFFGCRRSRRTLTEAEKEERRIRRILANRESARQTIRRRQALCEELTRKAADLAWENENLKREKEVALKEYQSLETTNKELKEQLAEAVKPKVEEIPGNHRSSHVQMPPLPTNCPLFLFSRLPYFWPSVVQSTSSYHELPNVVVVPSSINPPANNNASVSGSSQTQENFTNGTGSRAPLCILPPYSWLLPHHDFRNQQSPQIWFPAGNDQEGVYSKSQNSAITSKDVRAESRHSSLPSAEEENEAPDLNEAPSLDESSNPKDDTQNTVGVAVEGFDTNARAPVRKVLSPVRLECIEPSSAATLDNWNEDDHGVSSRTCDDLCYFAERRHEPEVVPCKKTVDAMAATEARRRRKELTKLKNLYARQCRMQS >KGN60268 pep chromosome:ASM407v2:3:38133443:38133812:-1 gene:Csa_3G892710 transcript:KGN60268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHRKLPINEATYVQATNESARKSTGCLVRSPAQIRKWNPMIMDAGGGISSGASSMATEDERKR >KGN58134 pep chromosome:ASM407v2:3:21766526:21768479:1 gene:Csa_3G536660 transcript:KGN58134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHYYSKEISLFIITTLVVLFCVKVSKALDDCELNYNDPHKVAYTIVVDKLGKGNFTTIQSAINSVPSQSTKWIRIQISPGIYTEKVTIPSEKSCIFLDGSGLQVTEIHWNDHETTAASPTFTASAQNLVVQGITFRNTYNARGSVMRREDIKPALAALIQGDKVIFHKCGFIGLQDTLWDGPGRHLFTQCYIEGVIDVISGFGQSIYKECVINIPVNAYAPLLNEGFITAQGKENPNESSGFVFLRCIVQGSGNVFLGRAYRPFSTVIFHLCFLPSCINPAGWNSWLQAGHESDLTYSETRCIGPGADTSSRVPWVNRLDAFHIRSFTDISYIDPQGYWTSRIPLLS >KGN59731 pep chromosome:ASM407v2:3:33882264:33883301:1 gene:Csa_3G842040 transcript:KGN59731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKTKLQELCHRKSYKLPEYSVVKQGQDHDPRFEATVTVDGKQFCSPTPSKSSKQAQNDAAKLAFDFFSLPSLPQPPEQLCPQPLLPQSSSPPKLIPSIPPFPPSLNLSSFPQPSLTSQLAKVQKRLSSLIYGRTALIKFAIGSLSPGMLD >KGN57562 pep chromosome:ASM407v2:3:14306202:14307045:-1 gene:Csa_3G214020 transcript:KGN57562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALISQFTFLSDQALHDKNFDPSTIEDLMKLFEIEAYKSWAAIELQHQNEVQDAEIAMQQAEDYLDSVMEDAMDEFRRFEEEFDRMAEAELQQLLDKSEKARKMGSLMEKAASVASKRYMEAAMNSATASMRSAWKAISSNKVHPS >KGN59297 pep chromosome:ASM407v2:3:30865483:30869971:-1 gene:Csa_3G806290 transcript:KGN59297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNGGGIKTALLNPHADCFILKTAFFHSTSVLDRKRRSYWDSRCNHYTKSFRRINTKQSLLRNVSAYAEFLFQSWRDEVEANGPSSSSGTSWFKSHSFRGSKRDRTNQKPRCSSRSFEFTEDDPDVETVFKSAFGGNRSYYWSFINEENPQWKSSTNNSNNYRRSWTWQHNSNTYGRSWSWQHRVDEDYDSSNEYENSEANLVSHRLALGLQASGPLKLEDVKNAYRACAMKWHPDRHQGSSKVMAEEKFKVCSVAYKSLCNKLAVN >KGN55781 pep chromosome:ASM407v2:3:1273335:1275917:1 gene:Csa_3G011820 transcript:KGN55781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPLSSSLDLKLKPTPIFFTSPLRRKNVTKRLTLLCSSSKSPRKPSSVSSQSVDNKNPSLSEQLKNLSTTTLSNAPNDETRLLSKPKSTWVNPTKPKRSVLSLQRQKRSSYSYNPKMRDLKSFAHKLNACDSSDDASFIAALEEIPHPPTKENALLILNSLRPWQKTHLFFNWIKSQNLFPMETIFYNVAMKSLRYGRQFQLIEDLANEMISAGIELDNITYSTIITCAKKCSRFDKAMEWFERMYKTGLMPDEVTYSAILDVYANLGKVEEVLSLYERGRASGWTPDPYTFSVLGKMFGEAGDYDGIMYVLQEMKSIEMQPNLVVYNTLLDAMGKAGKPGFARSLFDEMVESGITPNEKTLTALVKIYGKARWARDALDLWERMRSNGWPMDFILYNTLLNMCADLGLEEEAETLFEEMKKSKHSRPDSWSYTAMLNIYGSGGNVKRSMELFEEMLELGVEINVMCCTCLIQCLGKSGRIDDLVRVFNVSVQKGIKPDDRLCGCLLSVLSLCYNSEDINKVFTCLQQANPKLVSFINLLQQNDITFEVVKNEFRNILGETAPEARRPFCNCLIDICRNQNLRERAHELLYLGSLYGLYPGLHNKTETEWCLDVRSLSVGAAQTALEEWMITLSKIVQREEALPELLSAQTGAGTHRFSQGLANSFASHVDKLAAPFQLREDRAGWFVATREDLVTWVHSRVPSVAATA >KGN60207 pep chromosome:ASM407v2:3:37670313:37675846:1 gene:Csa_3G889150 transcript:KGN60207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDKTISTPSDNPEEAVRDQRIRALHGRTSGPTRRSTKGQWTPEEDEILRQAVDHFKGKNWKKIAGYFKDRTDVQCLHRWQKVLNPELVKGPWSKEEDEIIIDLVNKYGPKKWSTIATHLPGRIGKQCRERWHNHLNPNINKEAWTQEEELALIRAHQIYGNRWAELTKFLPGRTDNAIKNHWNSSVKKKLDSYFASGLLSQFQDPVPAGQPNKLPVSSSKVLGSGNDSGLKGMDTEEISECSQDATVSDSLMIDSACATLNIRKEFQLTEDLGLGKEQSASPISNSEPYYRPSMEVSTCPIAEFAQEMGHSSHSQQNLSNDCRTTSNREHQCDLNQFPNISSLQVAKEASQFQSMGHGMGESHGVGDSAQTSSMIKEAVASAQAECMFISDDECCRVLFSDTKSDRGHLTSNLKGPCVSEMCDYVVPVHSLGTPKVENNHTLTPQIYNHPSGTDVQEKNSFGQSGMLIPSMVSVNGDVILLGGTGSNLFVGAVEHGCVTSQQNRFVYKDGTSKPSYFDVADNPEMQEQPGGSEDLPKAICEDTFATAAAEADGTGTCTRLDETAKQNDKHLDSRALCYEPPRFPSLDVPFFSCDLIQSGSEMQEYSPLGIRQLMMSSLNSVTPFRLWDSPSRDTSPDAVLKSAAKTFTSTPSILKKRHRDLMSPLSERRTDKKLETDVTSSLTENFSRLDVVFNDGSDKASILSPSNLKKSIEDSADNKENMYCTFEDSNESQDIMISENGFPKRCSQDYTKQGTADTEMISVRSTSEIVPPGVLAEHDANDLLLHSVDQKALNSSTRIKKRHCLSKSEDASNADNVKQIDSTRPQTTATGIASLPGAGETPFKRSIESPSAWMSPWFFNSFLPGPRIDTEISIEDIGYFSSPKERSLDAIGLMKQVSERTAAACANAHEVLGNETPDTLLKGTRMKHLHCDEAVLAECRVLDFSECGSPGKVGTMGA >KGN59178 pep chromosome:ASM407v2:3:30050848:30051497:1 gene:Csa_3G778340 transcript:KGN59178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRSSGSVPKLELKLNLSPPRPHPLVSSPSRSATVSPTSPPSSCVSSELNQDDGGAGLRYSSSPEATSMVLVGCPRCLMYVMLSENEPKCPKCKSSVLLDFLHDGAASAPPSTTAARKI >KGN60278 pep chromosome:ASM407v2:3:38227995:38228355:-1 gene:Csa_3G892790 transcript:KGN60278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEETKKKMLMNFRRNCNSLFLDECGACWSQGKVRDQTESALFYGAFCTAPFMERDLLRPNVEASLGFYSSVATTTTYEDTLPNHITVFNIFLFLEVRVVREMDHRRVGM >KGN57062 pep chromosome:ASM407v2:3:10354954:10377132:-1 gene:Csa_3G151390 transcript:KGN57062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRNPISVLTCEACLDDHLLYTSRWQTQLHRLEGLVISSESNKSSPCSSSSAPNFFVDLVSGVVCRFFFSGVAILDKMAASQNVELEAAKFLHKLIQESRDEPAKLATKLYVILQHMKSSGKEHSMPYQVISRAMETVINQHGLDIEALRASRLPLTGGTQMGDSSTAQYAGSSSVVGAGKDSKMGISGSEMSKSSPLASSKPPVGPSSTDHDYYPGSATHRSGQSFDQESPSSLDSRSANSQSQEKHDSVNWAKQLNDKDGKKGSKKRKKVDTSVVEPPSDNTHQLDTRNSLVNSRNVKTNRVEPTAYLAKGGNIEQVKHGLTKATEKPIDPQLYSVNRGDGTSTSNEKVLESELPMPSTSSVDATKMIQGTWRNNGPEMSMLRNSVSREAGKLPVSQVPTPSQSRLPFKEQQLKQLRAQCLVFLAFRNGLMPKKLHLEIALGNNFPKEEGLRKDVDPRGISQSFNEARSSNEGMMPSGKLDAGRETGMVAPGAVSAGRTFEADSMKDIDNRRVEEKKVTSSDYSVQAEVRKAEAEGMREKTTAQTCLSSGSHPPDFSGTRGVLTANNPVEDLENSNLQATAAAGISKPLNPETVGWTGIGSTNEISRVSLPAFASQHELVVDRKNDVSAQLHIVRNNSGLGSQHIDSQSSFSMGERWKPISGTYDQYHAVMPSRDASVIPNIASHDDMHVPESESRCITEVQKVASIDEGKNGSLNTMEQEDNGKSMPSDLPMSPKNTMSEKWIMDRQKKKLLNEQNWLLKQQKTEKRIITCFDKLKETVSSSEDISAKTRSVIELKKLQLLQLQRRLRNDFLNDFFKPISTEMDRLKSFKKHKHGRRIKQLEKFEQRMKEERQKRIRERQKEFFGEIEVHKERLDDVFKVKRERWKGFNKYVKEFHKRKERIHREKIDRIQREKINLLKINDVEGYLRMVQDAKSDRVKQLLKETEKYLQKLGSKLQEAKSMASDMDDGGAVNVAEKSEAAIENEDEAKHYLESNEKYYMMAHSVKESIAEQPSCLQGGKLREYQMNGLRWLVSLYNNHLNGILADEMGLGKTVQVISLICYLMETKNDRGPFLVVVPSSVLPGWESEINFWAPSVLKIVYSGPPEERRKLFKERIVHQKFNVLLTTYEYLMNKHDRPKLSKIHWHYIIIDEGHRIKNASCKLNADLKHYQSSHRLLLTGTPLQNNLEELWALLNFLLPNIFNSSEDFSQWFNKPFESNGDNSADQALLSEEENLLIINRLHQVLRPFVLRRLKHKVENELPEKIERLVRCEASAYQKLLMRRVEDNLGSIGSTKVRSVHNSVMELRNICNHPYLSQLHAEEVDNLIPKHYLPPIVRLCGKLEMLDRILPKLKATDHRVLFFSTMTRLLDVMEEYLQWKQYRYLRLDGHTSGGDRGALIELFNRQNSPYFIFLLSIRAGGVGVNLQAADTVIIFDTDWNPQVDLQAQARAHRIGQKRDVLVLRFETVQTVEEQVRAAAEHKLGVANQSITAGFFDNNTSAEDRREYLESLLRECKKEEASPVLDDDALNDLLARSESEIDVFETVDKERQEHEMATWKKLVLGHGISEPVPSIPSRLVTDDDLKVFYETMKITEEVPKAGEASHAGVKRKSEYLGSLDTQHYGRGKRAREVRSYEEQWTEEEFEKMCKVDSPESPRSKEAVAGEPSASVSGSVEAAVLKTEEPASSPLAPAQPLAPVQPLAPVQPLAPVQPMPQHQTPPSKRGRGRPKRSTVDKLPAPVVPLPSLSITAKTETGLQGETISSISKTGCLDSLPGQGITGQIASGAAPNSLLTTPVPSIIPASESAPACSPAPIQAKGHGRKTQTGQEAPRRRGKKQGIVPPPVPCSQSSDLRQDDLSPGKLTNPVAGQVNVASEVVSNASATQPPTSFPGSTPSKPVTGPNDQPAIGVSSNLEPSAAMPSVSSTSQIAPNLIPKPVQPRGPYRKTQSAAGAPRRRGKKQAGPTPALPNTMAAASLSSNMNLQKNHMDSSSSKAVVSPKENIVNQATNIISEQLHQITGPGLESSKSTDNSNQGKETVSLSTSVSTVGPQGCTEQSQNTEHLSKSTGAAQDATISNNIVDETLKTHSLQDTPAVPVCGPPTTSLSSSVTVELSPKTVIDVAPETAPSSQSIHSLPSVASTLQPASQSPPPGFVQPKRQGRKTPRNRDEPPRRRGRKPAALAPVVPDGGSVSKEAKDLNVHVQPAQMGDSSSKDTCLKGKTGTENLESTSVQNVAGVTQIVDTVHSLGPKRKEQAPKTAQHKQLLASSTKSDATGTLDRTTISGRYQTANVNDVARVMKEVFSGTGLSKAKVGESSGKESKDAPAMPVLSKSSVEVIRNNQSEATLATVLNSNIPVGAHELESSVRPGSANASEECIIPTIDEENCASSKANAVNILVESREMSAACSIVASGSGISSNFNNADHNPPEGSTISSSLVDCNSSKTPKVTDDALKSETKPVSGELLKSSPDRSSYEVPSSLNNDGASHPAPTLPVASDSSHTNMITAPCTNQENNGCQSLSGHHENLDHSGITSFDESSDALMSGAEPDVQGCLSVATMDIERKNNEVNDVAPILEQMDPATKQDVDIVLGKSSGSVDHADTGASDMVKEASGNKLELASEGLPNFPSSDDGNAKVQEVCAGSPDDSNIAKSNRGSLEFDLVPPRVDAAECFIKTDVEEQKAPELNTSSKCILEENLNVPEKKEKVMENNAEFGEPKDVPEPIAPTQDNEDNKGDNHDACLMDVDVSRNDDLDESDAGGLIPADTSPSTSVRPNESKSSDAIVQTDMSDGEHVPEDTTATKVLPSSSQEGVENCSFTSVVDQVDSLGKLEEPKNIGVSANLQVIVSQDDVISENLVREDSNDTSIALQEGKIEGSGEINRGSPSEERQSDPQVEEVLVDRIEEDKSGNLAQPLSSTEVKEINMMVLPTSLDNSGDQTDLPESSSTMTDREIIGNSCKDDLQECTVTDSIKTLQDSRELPKELSQVSAVFASTNSNEESPQLDPPQLDPLSSPVSLERPSDNPMSSDHMVATHDNIVLSENVSSVLLVSEENKRQSSSEKSLSDSAEPLEDCKDSDNSSDRLVITQVNKEQLLSISENLVSVDSSNHMEVSTSDQINVPQTEESVPVNPVDTLNQPLPSTSTMEEDKSETSFDSGLIAVPLLLTDVLQTETEPMDTSQPGGILEDASGETILPSSSLLEEVGEPSLDKAVTASPSSLPVENESMERSPVSSPADNAIAVVETVVCDEANVSTENERCPPADCAGDLEMAICNEADVPPVSDTVQDPANSVTSVELAIRDEVDVPPVCDSANAPADTSTICDQADAAPPADTSTICDQADAAPPASELKQDPVDSTLACDQADVSPVSDSLPENKSPNLDLPPGSPPVKDEITVETQERSPEETPVDKSSVESETEDPKQSE >KGN57820 pep chromosome:ASM407v2:3:17709605:17709853:-1 gene:Csa_3G321310 transcript:KGN57820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQIAKIAEKVQLKSSGEKFFEFFKNKMDYFPRMFAGNVESYKFVEGNSFTHGSVSIWKYDIGFGEIRLLFFFSFSSSLLHN >KGN59576 pep chromosome:ASM407v2:3:32864550:32868753:1 gene:Csa_3G826700 transcript:KGN59576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSINHFSLWVSLSSLFFSLFSFLFRSFNEGKIVESSVVVHGGDDDVSLSPEDETKGGGVIESLEKERNEFSFSFKFQTYEEFSKSNKENICCEKLDWSGGSSSLGNRYEILPEKSTSHFVEEAEIPSYTVEVLNSCLNHGVLGNESEVSGKVLEHEIVSQEITECSTVDGTEEVSGKFFKFEAVEEEKPFTKFEDEEEEITERFRNEKEESSPKIQSEEEEEEEEDNDFLKETDFAGSDSDADVDIGGRFLSDTDFDLDFKTGGYEPDDEINVEESEKSAEGNGKGEEDSEELNGLETEWEHQELIEQLKMELKKVRATGLATIFEESESPKIMGELKPWKIDEKFQHGDLMEELHKFYRSYRERMRKLDILNYQKMYAMGVLQSKDPLNSFSSNDKSSSSSSIISAFTHNLRLYRRNKCQVDPMKDFIREVHCDLEMVYVGQLCLSWEFIQWQYEKALDLWESEPHGLHHYNEVAGEFQQFQVLLQRFLENEPFEGPRVENYVKHRCVARNLLQVPVIREDKRRDRRKGRRGKLEDGYEAITSDMLVEMLQESIRVIWQFIRADKDCHHSTNGSLKRPKKLQVELQEPADEQLLTHIQIDLQKKEKRLKEIVRSGHCILKKLKKNEENEETEGALCFFCEVDMKLVGRVLRMSRLTTDQLIWCSNKLSRISFSNRKIHVEPSFFLFPC >KGN55764 pep chromosome:ASM407v2:3:1181777:1184875:-1 gene:Csa_3G011660 transcript:KGN55764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDVAKDLTAGTVGGAAQLICGHPFDTIKVKLQSQPVPLPGQLPKFSGAMDAVKQTLAAEGPRGLYKGMGAPLATVAAFNAVLFSVRGQMETLLRSQPGVPLTVNQQVICGAGAGLAVSFLACPTELIKCRLQAQSALGQSGSAGLTVKYGGPMDVARHVLKSEGGARGLFKGLAPTLAREVPGNAAMFGVYELLKQKFAGGPDTSNLGRGSLIVAGGLAGGTFWFSVYPTDVVKSVLQVDDYKNPKYSGSMDAFRKILASEGVKGLYKGFGPAMARSVPANAACFLAYEITRSSLG >KGN58298 pep chromosome:ASM407v2:3:23645280:23646329:-1 gene:Csa_3G609260 transcript:KGN58298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARLIPDDITIEILQYFSLRSLAIANSVSKLWQSFIFTKSLHLHTPLSPPICHGFFFQSSHIPKNGAPIHFFPSSPTSLTKTNFPNLRLLASSNGLLLCSKPNQNPIIHYSVFNPSTTQLIPIPKPSNLISSVKIGFHSHDSVSFTILRFVNFGFKPMEIFASETGEWRRLDFYLDMDTMFFPFEGPSAVVLNGVFYWLEFNSFIYAFDLLRNEFYQVGFPSEETEYERNVLLRCLAIAGGRLVMASTDGKVVEIRVLEERDCLWGLKCRLRVESVVGINGNRLCEAAESERLVGIVGFQGRDSERIYMNTTEFVICCHVGSGKVEIVYRFDTVLESKDVSSFTFFSL >KGN58625 pep chromosome:ASM407v2:3:26678056:26682978:-1 gene:Csa_3G702630 transcript:KGN58625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPGSASEQPQFLVRAGDENFSHAPLIENPENDQIIVPDKESWKNLFAYMGPGFLVSIAYIDPGNFETDLQSGAKYKYGLLWVILVASFAALIIQSLAANLGVVTGKHLAEHCKAEYPKVQNFILWVLAEIAIVACDIPEVIGTAFALNMLFSIPVWCGVLLTGLSTLLLLALQQYGIRKLEFLIAFLVLTIAVCFFLELGYAKPDAGEIFYGLFVPQLKGSGATGLAISLLGAMVMPHNLFLHSALVLSRKIPRSLSGIKEACRFYMIESGFALMVAFLINVSVISVSGAVCSSPDLNKEDQMSCNDLDLNKASFLLRNVLGKWSSKLFAIALLASGQSSTITGTYAGQYVMQGFLDLKLTPWIRNILTRSLAIIPSLIVALIGGSSGAGKLIIIASMILSFELPFALVPLLKFTSSKAKMGPHVNSTAITVLTWIIGLLIMAINIYYLMGRFIDVLLHNDLHLAAVVLIGVLGFSGVALYLAGIAYLVLKKTKKISHLLAVTTVESRRLSNEPSKTSG >KGN60045 pep chromosome:ASM407v2:3:36489540:36494263:-1 gene:Csa_3G872760 transcript:KGN60045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRRPIDPFVGRLSSFFIFLFYASLCFANRDMEALLKIKSSMIGPGRSELGDWEPSPTSSPSAHCDFSGVTCDGDNRVVALNVSNLRLFSSIPPEIGMLEKIENLTLVSNNLTGKLPLEMAKLTSLKFLNLSNNAFRDNLTAEITVEMTELEVFDIYNNNFFGLLPVEFVKLKKLKHLDLGGCFFTGQIPAVYSEMQSLEFLSVRGNMLTGRIPASLGRLKNLRYLYAGYFNHYDGGIPAEFGSLSSLELIDLANCNLTGEIPPSLGNLKHLHSLFLQVNNLTGRIPSELSGLISLKSLDLSLNELTGEIPSSFVALQNLTLINLFNNKLHGPIPGFVGDFPHLEVLQLWNNNFTLELPENLGRNSKLFLLDVATNHLTGLIPPDLCNGRLKTLILLDNYFFGPIPEKLGRCDSLTKIRIAGNFFNGTVPAGFFNFPALEQLDISNNYFSGALPAQMSGEFLGSLLLSNNHITGDIPAAIKNLENLQVVSLEHNQFTGNLPKEIFQLNKLLRINISFNNISGEIPYSVVQCTSLTLVDLSENYLVGVIPRGISKLKILSVLNLSRNHLTGQIPNEIRSMMSLTTLDLSYNNFFGKIPSGGQFSVFNVSAFIGNPNLCFPNHGPCASLRKNSKYVKLIIPIVAIFIVLLCVLTALYLRKRKKIQKSKAWKLTAFQRLNFKAEDVLECLKDENIIGKGGAGVVYRGSMPDGSVVAIKLLLGSGRNDHGFSAEIQTLGRIKHRNIVRLLGYVSNRDTNLLLYEYMPNGSLDQSLHGVKGGHLHWDLRYKIAIEAAKGLCYLHHDCTPLIIHRDVKSNNILLDKLFEAHVSDFGLAKFLQNGGASECMSSIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELIAGRKPVGDFGEGVDIVRWVLKTTSELSQPSDAASVLAVVDSRLTEYPLQAVIHLFKIAMMCVEEDSSARPTMREVVHMLSNPPRSAPTLINL >KGN60086 pep chromosome:ASM407v2:3:36741795:36743179:1 gene:Csa_3G876580 transcript:KGN60086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMVMHSVADLESRNLSVELKTRCNFELQLSKVYTKEIFSKFQSEVEGMYSCFNTRQVNVNGPIVTYIVKERIEVEGNEKEVRCFEVLYETTEVDIRCICSLFNYKGYLCRHALNVFNYNGVEEIPARYILPRWCKDYKCRYPLDQRLSNTNVYSSTYQYSHILNFALPVVEEGAQSQERYKLALQELEELLHKLNLVEDDLNNDG >KGN57468 pep chromosome:ASM407v2:3:13354595:13358428:-1 gene:Csa_3G190380 transcript:KGN57468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTTVIIIGAGPSGLATAASLTLSSISYIILEREDCSIPLWRKHSYDRLRLHLPNRFCHLPAMPFPSSAPNYLPKVNFLDYLDRYADNFRIRPLYRRNVEAAEFDHPEGKWKVRARNLDKGEVEEFRSRFLVVATGETAEAYTPAVPGMEGFGGDLMHSTKFKSGKGFEGKNVLVVGSGNSGMEIALDLCLHAANTSVLVRSPVHFMSKGMMTLGLDMLKYNLPIWFVDSFIVMLSKLIYGDLTKYGIKRPLEGPLYMKVKYGKYPIIDGGALHKIKCGQIQVLGEEISSIKGNNNVVFNNGKCYQFDSIIFCTGFKRSTNLWLKGDEYLLNDDGLPKPCYPNHWKGKNGLYCVGLSRRGLYGASLDAQNVAKDISTQINKFGY >KGN57588 pep chromosome:ASM407v2:3:14611104:14613689:1 gene:Csa_3G219720 transcript:KGN57588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRRQQMIKILSTFLLLCLLSITSTSAATPIANPICPMDLNYILRIPWNATDCQNPFFQTTAQSAMNDTSKIPCCQTLLSLFGIALAQHLKETSLFNLPDLPTSNSCLRDYQSKLSSLSLPDDLVSHCFDPLQFVISANVCAGIQTTQDWVSKLGQNSPLDSGCKSELVGPGCDACLAAGLKVQTILTGIDGNKSHSMDCFYFAVLYAAGIVNESGPEGDPALDCIFNLPLNIEKDSKSKHSALVYGLTAAAIGILVVFVLMGIGFWFFKWKKLAEKSSLECDVELDEQGSRPHARPNTGSIWFKIQELEKATDNFSSKNFIGRGGFGLVYKGTLPDGSMVAVKKVIESDFQGNAEFCNEVEIISHLKHRNLVPLRGCCVIDGDDGYDERVSERYLVYDYMPNGNLDDYLFPIPFDQVGTVKKSLTWPQRKNIILDVAKGLAYLHYGVKPAIYHRDIKATNILLDADMRARVADFGLAKQSREGQSHLTTRVAGTHGYLAPEYALYGQLTEKSDVYSFGVVVLEIMCGRKALDFSLSSSPRAFLITDWAWSLVKAGKIGDTVDPSLLKDGDSSNSNPRAIMERFIAVGILCSHVMVALRPTIMEALKMLEGDIEVPQISDRPVPYGHPSFVGDGSNFSISPTLSGHQLHNGDMLR >KGN56887 pep chromosome:ASM407v2:3:9292290:9292762:1 gene:Csa_3G141830 transcript:KGN56887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDAERKTATTVAPTRLQSQAPASIEIKRALNWNVAIPLLSPLVSPSSCGNSAPEKMLSMAENNAREETKGLTFTKWQHPAAPFYYEPVPRANPFVPV >KGN57914 pep chromosome:ASM407v2:3:19005544:19007078:-1 gene:Csa_3G389840 transcript:KGN57914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNDQLQVLNALDVAKTKWYHFTANIITGMGFFTDAYDLFCISLVTKLLGRIYYHVDGALKPGTLPPNVAAAVNGVAFVGTLSGKLFFGWFGDKMGRKRVYGMTLKSLVLMVICAQDFPIVSEYSNKKNCGAFIAMVFAMKGFGILGGVLMVGALLAPLTCYWRMKMPETTSYTTPFARYNSSSFRYAKSSANGYWKAEAQKLAAEMSNENAGPSANDFVHFHFQFRIECKNLSPQQKSLLNRFCCNCHPISSTFGTLRAMVGAFGFLYLAQIQDKNKTDARYPPGIGVNNSLLLMGWT >KGN60021 pep chromosome:ASM407v2:3:36329947:36335862:1 gene:Csa_3G872030 transcript:KGN60021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRGWPWKKKSSEKAAEKANASESAGTQGDQDGYKKPSYVQISVETYSHLTGLEDQVKTRDEQIQTLEGEIKDLNEKLSAAQSEMTTKDNLVKQHAKVAEEAVSGWEKAEAEALALKNHLETVTLSKLTAEDRASHLDGALKECMRQIRNLKEEHEHKLQDVIFTKTKQWDKVKHELESKMADLDQELLRSAAESAALSRSLQERSNMLIKISEEKSQAEAEIELLKGNIESCEREINSLKYELHIVSKELEIRNEEKNMSMRSAEAANKQHMEGVKKITKLEAECQRLRGLVRKKLPGPAALAQMKLEVESLGREYGDTRVRKSPSRPPTPHMLSVPDFSLDNALKFQKENDFLTERMLAMEEETKMLKEALAKRNSELQTSRSMCAKTATKLQNLEAQLQNGNHQRSSPKSVVQYTADGFSCQNTSHPPSLTSMSEDGNEDGQSCADTLSIAATSDISHFREKKNEKLSKTESGSHLGLMDDFLEMEKLACQSNDSNEAILASNSTNNKDSEVVVHQESNGIQSEQHLDSSPSTEVVSSSVDLSTECADSNGLPLLKLRSRISMIFESISKDADTGKILEDIKCIVQDAHDALQQPTINCVSCVSEVQSPDTTCDRQANPDDAGLGVEREIAFSQPVAHNQPMSQELEAAISQIHEFVLFLGKEASRVHDTISPDGHGLGQKVEEFSSTFNKIVHANTSLVDFVVILSHVLSEASELRFSFIGCKDTDGDTNSPDCIDKVALPEHKVVQNDSIDERYTNGCSHISSPTSDLEVPYDGNLVSSYESNSRLPKFSSEDIEELKLAKENLSKDLARCTEDLEAAKRKLQETEQLLAESRSQLAFAQKSNSLSETQLKCMAESYRSLEARAEDLETELNLLRAKSETLENDLQDEKRNHHEALSKCQELQEQLQRNEVCCAICSSAIDGDPQKSQEIELTAAAEKLAECQETIFLLSKQLKSLRPQPDFSGSPFSERSHRGEEFIEDEPSKSGTNLLDLDRSEMDTATSTMTQIVGAESPCSASDGEGGSFLRSPINSKHPKHRPTKSSSSSSSSAPTPEKQTRGFSRFFSSKGKNNSH >KGN59001 pep chromosome:ASM407v2:3:28801786:28802258:1 gene:Csa_3G743380 transcript:KGN59001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPRIAMADGIRKYFRYIGSLTTPPCTEGVVWTVMEKVQTVSPDQVKLLKHAVVEEKNARRLQKVNGRVVFYFDPFSRRSVAAE >KGN59230 pep chromosome:ASM407v2:3:30385837:30389549:-1 gene:Csa_3G782770 transcript:KGN59230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVKMMKWRPWPPLVSRKYEVRLVVKRLEGLDPPKDGKGVDKLTVEVKWKGPKMALSPLRRTAVKRNYTKEADGLDQNGVTQWDEEFLSVCTLSAYKENVFHPWEIVFSAFNGLNQGSKNKVQVVGSASLNLSEYVSVAEQKELELKIPLNPSTNATEASHVLWISLNLLELRTAQVVSQPVQRSIAPAPSPPWPGENVPAEKDELSALKAGLRKVKIFTEFVSTRKAKKTCHEEEGSEGRCSAKSEDGESSYPFDSDSFDDIEEGETDEGKEDTNIRKSFSYGTLAYANYAGGSYYSDMKINGDDENLVYYSNRKSDVGCSSMEDSTASASEQPLPQSSKRGLLPWRKRKLSFRSPKAKGEPLLKKAYGEEGGDDIDHDRRQLSSDESLSIGWQKTEEDSSANRSSVSEFGDDNFAIGTWEQKEIVSRDGHMKLQTQVFFASIDQRSERAAGESACTALVAVIADWFHNSQNLMPIKSQFDSLIRDGSLEWRKLCENDIYREKFPDKHFDLETVVQAKIRPLSVVPRKSFIGFFHPEGVNEARFDFLHGAMSFDNIWDEISRTGSECPDNSEPQVYVVSWNDHFFILNVESDAYYIIDTLGERLYEGCNQAYILKFDNNTTICKMPETSQSAGEKTSNDQSTVAAIVEAKDQQVSGKEESSTLAYATSQPEEPLKEKDEVLCRGKESCKEYIKSFLAAIPIRELQADIKKGLMASTPLHHRLQIELHYTQILQPSPISQLPEDPNPTPQSPDTTLADVAATTT >KGN56829 pep chromosome:ASM407v2:3:9001135:9005902:-1 gene:Csa_3G134770 transcript:KGN56829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASAAEETGLDDYTKDGTVDLKGNPVLRSQRGRWKACSFIVVYEVFERMAYYGISTNLIIYLTKKLHQGTVTSANNVTNWSGTVWIMPILGAYVADAHLGRYRTFLVASAICLTGMGILTLAVSLPSLKPPPCSDVNKENCKEASTLQLAVFFGALYMLALGTGGTKPNISTMGADQFDDFHPKEKAQKLSFFNWWMFSIFFGTLFATTILVYIQDNVGWSLGYGLPTIGLAISIVIFLAGTPFYRHKIPNGSPFTTMVSVIVGAIRNWRIPVPNDPKELHELEFEEYSKQGTFRIDSTSSFRFLNKAAVRRGSWKLCTVTQVEETKQILKMIPILICTFIPSTMLAQTHTLFIKQGTTLDRSVGSHFKIPAASLASFVTISMLVSVVIYDRVFVKVMQRITKNPRGITLLQRMGIGMILHILIMTIASRIETHRLKVARENGSPQVLPLTIFTLLPQFMLMGTADAFMEVAKIEFFYDQAPETMKSLGTSFSMTSLGIGNFLSTFLLSTVSHITIKNGNGWILNNLNSSHLDYYYALIAVLSTINFFVFLIISKLYVYKAEVSTSIKVLADELKDKKFKG >KGN59767 pep chromosome:ASM407v2:3:34149664:34152711:1 gene:Csa_3G844850 transcript:KGN59767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHHQHRGKSIHSSERHMFLQGGGNGGGGDSGLVLSTDAKPRLKWTPDLHDRFVEAVNQLGGADKATPKTVMKIMGIPGLTLYHLKSHLQKYRLSKNLHGQANGGSGTNKTGTGWRVGTVAVSVDQRLGEANGAAAAARTSNIVVGPQPTSQSNKSLQISETIQMQIEVQKRLHEQLEVQRHLQLRIEAQGKYLQTVLEKAQETLGRQNLGTVGLEAAKVQLSELVSKVSTQCLTAAFPELHNQSQSQRVCAQQQSQPPDCSMDSCLTSSEGGSKDQQAQQQQHVLLHNSHLALRPYADRASSGAPDHSLHGLSMSIGLVQGEKAGPEGYNGYSTSEGQRLFGSKRTKDAVMEKETGFRYRMDLNNAGEDQLISSNNNNDHTSSTTCKMFDLNGFS >KGN58191 pep chromosome:ASM407v2:3:22553768:22554055:1 gene:Csa_3G588500 transcript:KGN58191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLACCRHVDLVSKACVRQNPLPTPSRLQACICGRIPTSCSVDISGSPVAYWVHDFHAKSCI >KGN57539 pep chromosome:ASM407v2:3:14096673:14097799:-1 gene:Csa_3G207390 transcript:KGN57539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSLKRSPSPSPSSQSPDSYHFSLPPPSISSDQELSVIVTALTSVVSGTSSHLHYSMADAFWRQNQTPLPSFHSDSFSPSATISSFTSSTTHPIFPPSVFETCTVCQIQGCLGCNFFPPSASSSSQLSSEKKTANKRSKKTYRGVRQRPWGKWAAEIRNPKLATRVWLGTFNTAEEAARAYDKAALEFRGPRAKLNFPFTDDSLRMMSSEREIQRTESEISRNSSNSAGIGIGNEDEIWGKIAKDEMDQWMSTLMTDHGGDSSDSASIGTWEFS >KGN59115 pep chromosome:ASM407v2:3:29692282:29692556:-1 gene:Csa_3G775280 transcript:KGN59115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLRFILTSIFLFVATVSSTNNADRQVKTNPTAALHGGFRHLPATAYVVYMGALPKLESHEVLSDHHHSLLANAVGE >KGN60043 pep chromosome:ASM407v2:3:36476328:36478270:1 gene:Csa_3G872740 transcript:KGN60043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTQISFLLYLLPILTSLSGVFSTTFSIVNQCDYTVWPGILSNAGVSPLPITGFVLAAGESKTISAPASWGGRFWGRTHCSQDATGKFSCLTGDCGSDKVECIGNGAKPPATLAEFTLDGAGGLDFFDVSLVDGYNIPMLVVPQGGTGEKCMSTGCVVDLNDACPQELKVTSDEGGDNVACKSACEAFNQPQYCCSGEFGSPDTCKPSAYSQVFKSACPRAYSYAYDDKTSTFTCADADYIITFCPSPNTSQKSTDNHNTNTPAINGGNGTMVYEGAAYDFSGASRTTLRRILGVVVATVGILQIRQLF >KGN56206 pep chromosome:ASM407v2:3:4954259:4957839:-1 gene:Csa_3G099670 transcript:KGN56206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDGNSIYTVHSPLLVNNNITNICFHQPNYLFNQPNPGRPENGGILKLSKTSNTIAFAFPLSSFPQHFMPPKMVHLNSNHYWAFLEEIEAPMWVDLSLEGKSYNQNIDDKWFYTHHQVHQSSSHDLKLVFAQLYDEKKTLDFELIKASSSPTLPDSVSRSRGKDFDGRKCKGNCRGFAMNKEVVVIGSSSEGKESVDSRTSSTIVSGIGHQQQHKPTEVTSQSLSSSSKLLLDMRRSLRKSCATRQASRLEVNNCRRQSSGRNSSISSSLNPSFGSKNITKTSEHHLKNSQCVGGLSRMTQASMNKSKVLSVLSSTLKVQLEGVSSNSRRVVGKLNNANPEASKPKILRPKASLLLQRDNQNSCLNLKKKEGLERTGRCKTVEAGKENAVGRIAVSQKCKGRVIPSTFSMVKDQKKTQHNVPLRLGGRSVFSLKQQAKICHPSEGKILENGSKRVYFR >KGN56422 pep chromosome:ASM407v2:3:6767123:6771604:1 gene:Csa_3G119550 transcript:KGN56422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLSPISSSRSPIVSNGYSLFSPRFSFPNSNKKNQFRIQAPTSRFCRYPSFYLPRCRRNLVVFANFSRPTRRSNSLRKKLTQEQQVRPIHIPSNPNSDFQLPERTSEHSESSGGVGSDVSGTSVETRPKGLGESVLWNKLDNWVDQYKKDIEFWGIGFGPIFTVFQESNGNVKWVSINEDEILTRSQVERVDSDDPKGVNYKISTAKMIAREMENGKNVLPRNSSVAKFVIQGDDESSFLKAAQGFSFRPEVFSKFAGVGGLVLCSFLLLFSLKKLFTFKKEEVEYTELEKEMMRRKIKFRKEKEVLDNGRVEIIQVPAEPPKVSFEKPRLDQQELMRTIAKEKSKVPITKLVLGESTGNLNSSVADLSNEIQEIRDMAHDVRRREAKEEPLSFSNENNLSSVNGSLPNEDEIIEPMDEGSCFLSDNLRHNKHVLEDVESGLLHNVASGETKDLQVSSNSNLEVPHGGNSITWDVEDCKTSLGIMDTRQSDTYCKTHKLETDSQQKKLKIIRSVKEAREYLCERRQKQTPEEKIQGRTTQEFSAAPRLPNDNVSEIETNKKADSKNVPIKSSFSFGATVSSPLVSGNVDSALGDKNSISVNDDCSKSSVEGYSVGGSANLHKSLNRDCNDSDTDTMPHGETKNWIEDNFDELEPFVRKIGVGFRDNYIVAREKGERLSDANSTLAQLQYENDNDEELEWMKDENLRDIVFKVRENELANRDPFYSMDPEDKLAFFNGLEKKVERQNEKLLKLHEWLHSNIENLDYGADGISIYDPPEKIIPRWKGPTFEKSPEFFNDFLEQRKVIFDRKADLPLSMNKDEQSSSKPNGSIENIDDPNMAIHNQERKKSMTIIESSDGSIRPGKKSGKEFWQHTKKWSRGFLECYNAETDPEVKSVMKDIGKDLDRWVTEEEVQQVADLMNKLPEKNKKFMEKKLNKFRREMEMFGPQAVASKYSEYAEEEEEDYLWWLDLRHVLCIELYTMEDEEQRIGFYSLEMATDLELEPKPCHVIAFEDASDCKNFCYIIQSHLEMLGTGIAFIVARPPKDAFREAKANGFGVTVIRKGELQLNVDQTLEEVEEEITEIGSKMYHDKIMKGRSVDISSLMEGVFGLRSTPTRRGRSKRKLMKLKEK >KGN56581 pep chromosome:ASM407v2:3:7592256:7595750:-1 gene:Csa_3G124990 transcript:KGN56581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVHPLQSFPSSETGRGLLRLRKADEVATAVMMMEVKKEVNQSENDEVSTPVLPSINLGLQIGTNSAGSRNERNSIVRRSRESILTAGQLQELEQQVLIHKYLAAGVRVPTHLLVPIWKSAARTLGSNINGIYESYRSFIGFSPVGFDYRSMMDPEPGRCRRTDGKKWRCSRNTVPHQKYCERHMHRGRQRSRKPVEASENESPSKRIQLNIPDRDARCTLDVPTSTICGNIKTKNHSSCNFTTAIPFLPVEAAVPGPKTVSDTRTKSVTETITGSMHGTAAIVTSCADATKTNRMVPASAPNTTATCITTVPSVNPNATNSISTGYIADLKDICNMNFRDNCSKSRNNAGRNDPNIQKIASPSLGFSPNSVLQVPGCSSSLCDSKINLELEPGRCRRTDGKKWRCRRDVIPDQKYCALHMHRGSKKHLKPIQNAPVLAPCVASYGTMLPLVTTPLTKLEPATPNTNLSMSIQVDNKQRPTKARSNASSSSETTITDTTITG >KGN58048 pep chromosome:ASM407v2:3:20823955:20831827:-1 gene:Csa_3G462690 transcript:KGN58048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSRRAVESYWRSRMIDAATSDEDKVTPVYKLEEICEVLRSSHVSIVKEFSEFILKRLEHKSPVVKQKALRLIKYAVGKSGVEFRREMQRNSVAVRQLFHYKGQPDPLKGDALNKAVRDTAHEAISSIFAEEDNKPAPSENLNRRIQGFGNSNYEPPPEDKKSFLSEVVGLGSASIKQGLSNFAQGHSSRKNGTSSHRGINLQRSLTTEMEYDNRYEPVEYGRETLGTSKSTTSGTWNQDSRVSNGSPSSGSSESKTREDRLLDTIATAGGVRLQPTRDSIQAFLVEAVKLDALALSNALETKLKSPSWQVRFKALCILESIVRRNDDDQFSIVTSYFSENQEAVIGCSESPQASLREKATKVMPLLDGGKGVPSINVYEKSLPSNTSSTIQMPDLIDTSDAGDFSGTNKSVEVENLSSTPLVDDLFGDGLNTVTSTSELKNDDDPFSDVSFHTIETRENPDDLFSGMNFDNNQVSNENKKAALEPKNEPGVFDIFGSSSEPAVQEHARKDVNDLMSGLSIHEDILKGKDKGDSKDSLSESLFSASAQPNHQNQVSQDSLNGIYSSPMAGSNMNAAFFPGMTYLPSGMVFNPAFSSQPMAYAATGNFFTQQQLLSAMSNYQQFGNPNLQSNSGGGGVGSGGYSSPFPDIFQPNLAAQSSTSVMNSSKKEDTRAFDFISDHVAAARDPKRVV >KGN55598 pep chromosome:ASM407v2:3:157909:170050:1 gene:Csa_3G000710 transcript:KGN55598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTIKPLPKSVRNSVRAGVILYDVTKVVEELVYNSLDAGASKISIFIGIGTSYVKVVDNGSGITRDGLVLLGERYVTSKFHDLIDTDNKGGTFGFRGEALASISDFSLVEIITRACGRANGYRKVLKGCKCLYLGIDDDMEDFGTTVIVRDLFYNQPVRRKHMQSSPKKVLHAVKKCVFRTALVHSKVSFKIVESESKSILLCTDPSPSPLSLLRSGFGSEVSRSLHELKIGGGDLKLSGYICSPFDNFSIKGVQYLYINSRFICKGQIHKLLNQLASRFTSLDPQTDLAFHRRKRGRSEANPAYVLNLECPVSFYDLTFESSKTIVQFKDWTPILTFVEEAIQQFWKEKYNCGKSAVHSTPIVGDESWKDEDNTISTKSNDILSVKKSRMQSCQASLIDSFSPSVTFTKHDDILSYKLCDKKACESSHTSSIELDDGDHHLAKMQFSNRADHFPKSWDTPLAKCSTTAFQNNYRYQSVPEFLFVSEDSFLDRRLNFPEGCDDVVEENIFCSDLKGQSSKMHINMITGSAESTPSSYIHEISYDDYIFMGNKPSLTGCSSMSSFQPYVQNDVIKRTQMQGSDDESDIMKLGAYIKGSDFCAGSSLHAETFLSSYQTRNSPNAHMTSNSILAREWDVDCLSVRDEVDRSWRSRDRIPFKEFVDDDEKGCQFDYDIMLSSSNKKNYKSSCNDSTMIIDDVFDTREDLSTFLKKCNDFEHSSPRSSPDMHSRQKYFSNWRLPERDCEKAYGSSEPEIGHQAFKQKYCSVERPRRGKSAPPFYKRKTSFYCLDQRKAERADAASFYCLNKRKADKSSASSFYCMDQGKVEKLKASVFLDSPPHLEPVELRDSEHISGTSNQYVKPFPVDDLLVETRSSRRDTTKMSAIMGNSEEKQGEISKQSQYDVKVTESAIELCSKETQESSDLWIKWKNCCPTTRNEDSHAFDDEVSILDISSGFLSLASNSLVPDSIDKNFLEDAKVLLQLDKKFIPVVSGGILAVIDQHAADERIRLEDLRQKLLSGEAKTTAYLDAEHELVLPEIGYQLLYNYADQVKEWGWICNIHAQDSKSFRSNLNILHKQETVIMLMAVPCILGVNLSDVDLLEFLHQLADTDGSATMPPSVLRVLNSKACRGAIMFGDSLLPSECSLLVEELKQTSLCFQCAHGRPTTVPLVNLEALHKQIKELEIHGRSGSNGTWNGLGRQELSIERMLQRLSSAEGL >KGN60187 pep chromosome:ASM407v2:3:37500316:37502790:1 gene:Csa_3G882980 transcript:KGN60187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSASLAALASLHSQQNLHTVFVYGSLMADEVLRILLKRTPQSSDAVLNGYQRLSIKKRVYPAIIPVDSKKVSGKILSGIKDFEMDILDAFEAVEYKRIAVEVSLKDSLEKLLAYAYVWDNEKDPNLYGDWDFEEWKRDYLEAYVKRVDKFMKEFEQQTTP >KGN55615 pep chromosome:ASM407v2:3:271350:272233:1 gene:Csa_3G002360 transcript:KGN55615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNIHMTKKKRAYGIKISTYRKWFMPKLSLVQSQFTNQPPACPNSRTNHLHANQRAKLEASPPNLTLFPLLSEYIPSKLCLRWE >KGN55636 pep chromosome:ASM407v2:3:360130:361807:1 gene:Csa_3G002560 transcript:KGN55636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFIANPFRFSSSSSPSFGNLFERVREICCYAVSAILGNILSAIFTFFFALVGTLLGAMTGALIGQETESGFVRGAAVGAISGAVFSIEVFESSLVLWQSDESGIGCLLYLIDVIASLLSGRLVRERIGPAILSAVQSQMGATETSFDDIPNIFDTSSAKGLPGDSVEKIPKIVVSKNNSVDAYGERVCCSVCLQDFQLGETVRSLPYCHHMFHLPCIDKWLLTHGSCPLCRRDL >KGN58824 pep chromosome:ASM407v2:3:27855590:27857127:-1 gene:Csa_3G733290 transcript:KGN58824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEKKPSDASSFSFTSDLFGIRDTSSLSSNHIFGPVFSSSFKPSGQHSEVGGKSPALSYFPKTEGNSKYKECKNGSTSSREMGSFYQEQRTNPCHLSSSIYYGGQDVYTQNPATGFNSPLKRDHGGEDDSGGASRGNWWQGSLYY >KGN57957 pep chromosome:ASM407v2:3:19648186:19654417:-1 gene:Csa_3G408550 transcript:KGN57957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFRQLMGVAKRRCLVVLVILICASLATCLKNHEEEELILSQLADPITGDVNTEMAELLLVKCNLDLFQLREAADGIDLCFEETPRSTNGINFECRMLTKEKTNRMLRAMHPQMKQTLLDCLRKKFHVSGKDYSSEAWYTRYLESLLIMPGSLRRKLSSRFLRSAKEGTAPPPKSSADEKPSRKASSTSGQKEKKSNNNQTVIIAVVVTATVTFIIVALLFLCYNKSGSRVKQNDENHERPLLSLSLSSSPKYSAFGNSLKDDKLMNQSSSLSHHQRAPSLDGSLHIGSDGERISMQGPPSFGAAGIANNSSFGSTNMAGSSNGLVPPPPGALPVTSEILPPLKPPPGRAVPLPPERPSSFKPPSTMASPPPPPPPAPPPPRPPGNSVRPPGPPPPPPPIPGKAGPRPPPPPKSGINAPPRPPPLAHKGANPPRPPRPFGSGDDELDESGVPKAKLKPFFWDKVLANPDHSMVWHQIKAGSFQFNEEMIETLFGYTPVDKTKTEGKKESSSQDPALQYIQIIDSKKSQNLSILLRALNVTKEEVCDALHEGTELPSELLENLLRMAPTPEEELKLRLFSGELSQLGNAERFLKSLVDIPFAFKRLESLLFIGTLQEDIAITKESFVNLEVACKELRSSRLFLKLLEAVLKTGNRMNDGTFRGGAQAFKLDTLLKLSDVKGKDGKTTLLHFVVQEIIRTEGIRAARNGTGSQSFSSTSSKNLLDETTNDTEEHYRTLGLQVVSGLSGELQNVKKAATIDADALTGTVSKLGHALLKTRDFVNKDMQGLGEESQFHETLKVFVQNAEADIMALLEEEKRIMELVKSTGDYFHGNAGKDEGLRLFVIVRDFLIMIDKTCREIKEVQRKQAKGHRKAVSSSDIHPPSSSSSTNINHHPPSSTDINHQPPSSTDINQPPSSTDISQPPSSTDISQPPSTTVSDLRHPPSPDLNQLIFPAITDRRMGNSSSDDEESP >KGN56832 pep chromosome:ASM407v2:3:9018952:9021905:1 gene:Csa_3G134800 transcript:KGN56832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSLLRAMTSASVSTSAARLSILPRRLFSSSSTLTHPTSPSSFTLRRRSLPLLSHAVRSIPSTSRFDSLRCFSSRPGNSSYSPLNSNSNFNERPPTEMAPLFPGCDYEHWLIVMDKPGGEGATKQQMIDCYIQTLAKIVGSEEEAKKRIYNVSCERYFGFGCELDEETSNKLEGLPGVLFVLPDSYVDPEYKDYGAELLVNGEIVQRSPERQRRVQPQPQRANDRPKYTDRTRYVRRRENMS >KGN57087 pep chromosome:ASM407v2:3:10544357:10548456:-1 gene:Csa_3G152140 transcript:KGN57087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPYGHSIEGSHSDPSPEWTVSGPDTTSHGDSLWPLGSRDRDSYPERPDEADCIYYLRTGFCGYGSRCRFNHPRERGSRPGGREYPERIGQPVCQYYMRTGMCKFGASCKYHHPQQERGSLSPVSLNFYGYPLRPGEKECSYYLKNGQCKFGATCKFHHPEPAGLQFPAPSPVQVAPIAGQVPAPSVYPPVQSPSAHSSQQYGVILARPSLLSNPYVPGPYGPMLVSPGVVQFPSWSPYPAPMSPVASPSAQPSVGSGPLYGMAHVSPSASGFAGSYQPMPSTGPSSTSQKEHSFPERPGQPECQYYMRTGDCKFGSSCRYHHPPELVTSRPSVVLSQLGLPLRPGAPPCTHFMQRGMCKFGPACKFDHSMDRLSYSPSASSLADMPVAPYPVGSVAGTLAPSSSSSELRPEHFSGSRKDSNPSRMSSSMSTSSGLVSSTTSRTEMHSLSSVQRSSQSSGPSVGSTSSTTSAEGRT >KGN57754 pep chromosome:ASM407v2:3:16630346:16632742:-1 gene:Csa_3G280930 transcript:KGN57754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHFIVQQNTFLSCEETRGFASISDLKDPVVCPKPRRLAILANNHIKQPLRWHQTEVCDSKAGADLLDIILKKGSEQSSAHVASSPPFFSGSPPSRASNPLIQDARFGDEKLSPMPALPAYSPSGLSSPSSASSAHKGGGCARMKFGLKPAAVRVEGFDCLSRDRQNSRIPAVA >KGN59414 pep chromosome:ASM407v2:3:31746254:31748824:1 gene:Csa_3G817740 transcript:KGN59414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKSKRRAAAENGETAEDLVLATLIGNGEDLGPIVRHAFEMGRPETLLHQLKNVVKKKEIEIEELCKTHYEEFIRAVDELRGVLVDAEELKAELSTDNFKLQEVGSVLLIRLEELLECYSIKRNVTEAIKMSQICVQVLDLCVKCNDHISKGQFYPALKTVDLIEKNYLCNISVKTLKLIIETRIPVIKSHIEKKVSTQFNEWLVHVRSSAKVIGQTAIGHAATARQRDEEMLERQRRAEEQNISGLGDFAFTLDVEDIDEDSILKFDLVPLYRAYHIHTCLGIKEQFREYYYRNRMLQLNSDLQISSSQPFIESYQTYLAQIAGYFIVEDHVMRTAEGLLSAEQVEAMLETAVSKVTSVLEVQFSLMDSATHLLLVKDYVTLLASTFRQYGYEVGPVLETLNKSRDKYHELLLEECRQQIVDVLANDSYEQMVLKKDSDYENNVLAFNLQTSDIIPAFPFIAPFSSTVPDVCRIVRSFIKGCVDYLTYSVHSNLFEVVKKYLDRLLIDVLNEAILNIINGASIGVSQAMQIAANITVLERACDYFIRHAGQLCGIPVRSVERPQSGFAAKVVLKTSRDAAYIALLTLVNNKLDEFMALTDNIGWTSEEVTANANDYINEVLIYLDTIMSTAQQILPMEALYKVGSGALDHISYSIVSAFLSDSVKRFNANAVISINNDLKMLEAFADERFHNTGLNEIYGGGSFRSCLIEARQLINLLQSSQPENFMNPVIRQKNYNMLDYKKVASICEKFRDSPDGIFGSLSSRNTKQNTRKKSMDVLKKRLKDFN >KGN56310 pep chromosome:ASM407v2:3:5985275:5990248:-1 gene:Csa_3G114500 transcript:KGN56310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVQVEIEDQTDQILQPEMEIGEIGKRKKEMEETEEGEEGMKVKGEEEFVCDENSPRGVFEIPIVGTDSDQSESSSGDGGGEDGEGTLTVAEKALLPGALLQEVGGIPWKAMIGCIKKKSVWRFSTIPLLAASYEISRKNFKRKLARIRSADEGITGDDIPFCKPSWRNYGFAELSAATNNFSPENLLGKGGQAEVYKGCLSDGQIVAVKRLMKKEKENEERTADFLSELGIIAHINHPNAARLLGFGIESGLYLVLEFIPYGSFASALFGAEPLEWNIRFKVALGVAEGLNYLHRECPRRIIHRDIKASNILLAENYEPLISDFGLAKWLPENWAHHVVFPIEGTFGYLAPEYFMHGIVDERTDVFAFGVLLLELITGRRAVDSSRQSLVIWAKPLLDNNSFKELTDPKLGDNYDQTEMGRTMLAASMCINHSSSMRPHMNRVVQLLKGEDGPLDQLKHKSMDGDRSLLLEACDLEDYTNNSSYLNDLNRHRELVLE >KGN56542 pep chromosome:ASM407v2:3:7380867:7381418:-1 gene:Csa_3G122660 transcript:KGN56542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTSFRSIEITVVSGEDLRIDRKPVKRKTFATVKFDRQSFGGGGGSTENIDERGGSYPLWNEKMGLEIPVDTVFLTIEVHYCSNSRNRIVGTANVPVSDFLGRYRPESYLHLLSYRLRDGNGERNGIVNISVRVKELESDSEPAIASKATVRVPVAETAAFCRSRGGVVIGVPIWSSCSYKS >KGN58569 pep chromosome:ASM407v2:3:26269849:26276641:-1 gene:Csa_3G686720 transcript:KGN58569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRNITNLSIPPPFLSRSPPTPNFIYILTSPTHTPPSLRLAATTSPLRPRRTLRISLAFASSDGTIPPGGHGGGGGGDGHGDGGGEEGEEDREKNRAEAFVVLAEAGRSTESLPKDLAGAIAAGRVPAVIVERFLELEKSAVLRWLMQFGGFKERVLADDLFLAKVAMECGVGIFTKTAAELEKRKDNFNKELDFVCADVIMAIVADFMLVWLPAPTVSLKPALAISAGPLTKFFYGCPENAFQVALAGTSFSFLQRVGAVVRNGAKLFAVGSGASVVGTGITNTLINIRKFFDKSYAMEAEDVPVLATSIGYGVYMSVSSNLRYQIIAGVIEQRILEPLLHKHKLALSAICFAVRTGNTFLGSLMWVDFARWTGIQRTRE >KGN57263 pep chromosome:ASM407v2:3:11832700:11833154:-1 gene:Csa_3G175640 transcript:KGN57263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARDILPFEFGGGGAGESERGGDIFFGKDDMKEYAWDGVYTEETVRFIETESAKKALRKRSRRKLEPFNCFEFLKIVWCFKF >KGN58103 pep chromosome:ASM407v2:3:21384274:21384671:1 gene:Csa_3G511960 transcript:KGN58103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKSEKKETTTLAGNVRDDTIRVVLTNLCRIKHKPTAGSRMVKELGQPGFLLEEKARQKSITEAKEKKEEEEKRAKKERLKKEKQKEEWKKIKEEVMGEEKHE >KGN59485 pep chromosome:ASM407v2:3:32245772:32249528:-1 gene:Csa_3G822360 transcript:KGN59485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIGTFVVQEVLKRIVKYGAEQIVVAWELENEVSLLKDKLHDADTILEDINRKKSHPGNSVKRWVEKLEDIVHEADDLLDELVYEHLRRTVEHTEKFSKVSDSISSSINSFLFRRKMAKKIKNITDTLNQHYCAASAFGLVGVETVTEIELALNQIRETTSILDFQVEGREAEVLELLKLAIDSTNEHHMSVISIVGMGGLGKTTLAKMIFNHREIEGHFDKTIWVCVSKPFIVTKILEKIFQGLTKTCSGLESNKEALLGRLRKEMQDKNYFLVLDDVWDNEKHLWDELRGCLKHIAGKPGNTIMVTTRNEEVATMVEPISIYRLKKLSNDQCWALFKESANANQLPMNSKLEIMKKELVRKMGGVPLVAKVLGGAVKFEETELEEEDHEISWMTKVESIVRNISLEDKDFVLSILKLSVDSLPNPVLKQCVAYCSNFSQDYDFQKDDLIKMWIAQGFIQPGQGRDKNLLMEDIGEQYFNFLLSRSIFQDVTRDANKRIVGFKMHDLMHDIACAISSHQNVESNPNNLSGKSVRKLRTLICNDEVINYLNQNDIVCLRVLKVIFQSHTDLWIPIDKLIHLRYLDISECSINKLLLESLSLLYNLQTLKLGQSGLPKNLRKLVNLRHLEFKMFGDTAMPSDMGNLIHLQSLSGFLVGFEKGCKIEELGPLKNLKGKLTLTNLWRVQNKDEAMAAKLVEKKNLRHLNLWFFETDKRGEDDEDGIVQVLEGLQPHKNLQSLEILGFRGKVLPTGIFVENLVKIRLGHFERCEVLPMLGQLPNLKELEIMYMESVRSIGNEFYGVDSSHQNSVAFPQLKKLSIYEMMNLEQWDEATVVLESNLFGCLKEVRIRRCNPLAKLPSGLEGCHSLEYLSIRGCFNLMLNVQNLHKLYHLEIDGLKRLPKGMDGLTRLKELKIGGCMQNYEFSSVIHLASQLVELELSGRYGSVDTQLPQQLQHLTNLQVLKITQFDCIEALPEWIGNLISLKTLKCSYCFKLKELPSREAILRLTKLENLDIFECPKLLVGEGDQERAKLSHLPSKCVHKSESFYSGLL >KGN58756 pep chromosome:ASM407v2:3:27558672:27560991:-1 gene:Csa_3G731180 transcript:KGN58756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVDYYKILQVDRNANDEDLKKVYRKLAMKWHPDKNPENKSDAEAKFKKISEAYYVLSDPQRRAVYDQLGEEGLNLKMGTPSPSGSCSSRTRHASSTGFSFDVKSGSNDLFMGLFGFPNPFGGMEHMADSRAAAYSFSDGLLGDNISPSLRHGVGLGSNYMRKGATIEKALLCSLEELYMGCVKKMKIARDAIDNTGRPTTVDKIITVNIRPGWKKGTKITFPELGDPHSRVIPSKLVLTLDEIPHRVFKRDGNDLIATQDITLVEALTGYTLHLTTLGGRNLTISIDSVVGPSYEEVVVGEGMPIPKEPSRNGNLRIKFNIKFPIKLTSEQKMGINQLLTSS >KGN56898 pep chromosome:ASM407v2:3:9381573:9383282:-1 gene:Csa_3G142920 transcript:KGN56898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIEYYLSIQTPRPNRLQVELWRETKLQTEERRLSRFNSHSPHLTIVNPILRIRNSDSSLYFLSMESSSITLIIVKGPREGETLDFPPGATIRIGRIVRGNSVAIKDAGISTKHLSIESESVSGNWMLRDLDSSNGTFVNDIKLPPHDAFALHDGDTIKCGELTSIFVRINSNEEPRSRRNPRRKAVEKCTSSDVVGSVAGTRGRRRKVVEEDSVVGGCNDAMVESGRCLRSRKGRGLKDEIDSQVPDCKKTEDKIDVGRESGNVNIAVNEPGPKIATRSTRRTKNTVSLATNSVLEIVPHVGGEVKAEAKKTRAGTRGRKKLQNEPPLDSSTVIKLEHIENVEEKSLGGNKPVDVGEGKKNANVDARCSGSSPQEVCDRDENQDVCIISEGCEEVADGRASHDEDFLCKAEKAPDLKKMTLGDWFDYLETHLPRQIIDATEEVISGMKIKSKQVQEYVVQQKIENCQGD >KGN59521 pep chromosome:ASM407v2:3:32489997:32491394:-1 gene:Csa_3G824180 transcript:KGN59521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSNIFIDYFYLFMSYFNARGEYLWTHGLISDSTYKLLNKVCNISEITRQSILHNVSTSCSFVDNSVSKEYSEFINLYSVNLDVCTSSTLSQAASSFLSKRTPRKTLPQYSEESGKIDVCIADEVSSYLNREDVQKALHAHLLGGLSNWSFCSFVLKYDKKNLLIPTIDTLGSLVHSGIRVLVYSGDEDAVIPLIGSRRLVNKLAKSLRLNTTLPYSPWFYNHQVGGWVETYGEKNSLSFATVRGGAHQAPYTAPQRSLTLITAFLQGTNP >KGN56362 pep chromosome:ASM407v2:3:6449008:6451337:-1 gene:Csa_3G117970 transcript:KGN56362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKQVNGYITIYPIILSPQTHLEKEKEKEKDLNSLLHAVSSSCLSAAPPSPPLSAAQCPLAAASLRFGAAGSVLILVFYLFYCWNKMNETMRQFQQSLIELEAEAERLLLARDELVENDRVRNGNREALTALRRRARTTKSSVPSPFESIMKEVEGAESRPLVKEICTTCGNHDSNERTWMMFPGTDVFARVPFHAAHTILETDQTKLDFEAKKLQSYVKDQSLLISEKGALADKISPGVLKAMVTLTDKPK >KGN57917 pep chromosome:ASM407v2:3:19061256:19062074:-1 gene:Csa_3G389870 transcript:KGN57917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCNPHVYGLCNFTPISLGPHLYVIGGSLFDTRSFPIGRPSSSSSAFRFDFHSSFWEPISSMLSPRGSFACAAIHDSSQILVAGGGSRHRLFAAAGSRMSSVERYDVERDEWVALDGLPTLRAGCVGFFVGNGEKREFWVMGGYGESRTISGMFPVDEYYRDAVVMELRNGRWRQIGDMWEEGERRRLGKIVVIENHRNRGKPGIFMLDGDEFLRLLLFSLLGYVFKIFIIVEKGFSFFHGLLELITF >KGN58377 pep chromosome:ASM407v2:3:24602869:24603419:1 gene:Csa_3G634290 transcript:KGN58377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICSIGSLAKDLQEDGVQNVTVKNVVFSRTQNGVRIKAWGKPSNGFARNILFQHIVMDNVQNPIVIDQNYCPSHKGCPEKASGVKINDVTYQDIHGTSATKVAVKFDCSPINPCVRIKLENVKLTYMNQTAQATCNNVGGIAAGLVQPTSCF >KGN59697 pep chromosome:ASM407v2:3:33618984:33620015:1 gene:Csa_3G838760 transcript:KGN59697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDVIKQHFSSLGDVCSVEFVSEDGVQISNASNNCSANVTFLTRHSAERAFEDGRSWQGQDLKFIWLSNDKDPHHTSSDTSRDADMEPENEEAETISLNETLSHKESQSPTRDDSDEPSETGKVSAVIERET >KGN56466 pep chromosome:ASM407v2:3:6982931:6984463:1 gene:Csa_3G120450 transcript:KGN56466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEGMGNENDFKDKQEMREDHNNGEDIVESSSSSSFLPDLNLLFVFLSLISPNTNEDSNDGNGVSMKMKEIEDSTTYNIAKSSIRKKDVIEAQHESRRKVPQRLHTTIKDHRHGRKM >KGN55869 pep chromosome:ASM407v2:3:2117181:2119000:1 gene:Csa_3G020080 transcript:KGN55869 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial small heat shock protein MASSIALRRLAASSATKLFNPVRSASVLPSSVLRSFNTNAQMTNYDDDDRSVDVDSRSDRSLSRSRDRYPGFGDVFDPFSPTRSLSQVLNLMDQFMEDPFLAASRGVGAGSRRGWDVKEDDNALYLRMDMPGLSKDDVRVSVEQNTLIIKGEGAKESEDEEDRRRFSSRLDLPANLYELNSIKAEC >KGN58227 pep chromosome:ASM407v2:3:22881250:22884675:-1 gene:Csa_3G597260 transcript:KGN58227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGNKFSLASRHQLAKGNKFLSAISDDNVMMKQILATHDPDDRDVDTRSLLRLVENILKRATLAADATGSYEQLESLEETGTHQAGLTTMLEALSYTIDRISSEISYKALEGIDPHATTLAIFNMLASYRWDAKLVLTLAAFALNYGEFWLLAQIYSQNQLAKAMAILKQLPGIFEHSIALKPKFDALKELVAAILDVTWCIIDLKELPSAYISQEVPAMSTAVAHIPTAVYWTIRSIVSSATQITSLTSMGYELALSTSTDAWELSTLAHKLKNICDHLKKKLVLCHQHIEEKKDIESFQMLINLFEMNHLDNMKVLKALIYPKDDLQPLVDGSTGQRVNLDVLKRKNVLLLISDLNISHDELSILDQLYNESRAQGMRVESQFEVVWIPIVDHSIKWNDSMQKRFEYLLSIMPWHIVHHPTLISKAVTRFIGEVWQFRNRPILVVLDPQGKVVSPNAIHMMWIWGSLAFPFTSVKEEVLWKEETWRLELLVDGIDPAVLNWIKEERYIFLYGGDDIEWIRKFTTTAKTVAQAARIPLEMVYVGKSSKRERVKKIITTITTEKLGYCWQDLTMIWFFWTRIESMLYSKIQLGKADDCDPLMQEIKKLLSYDKEGGWAVLSKGSNVILNGHSTTMLPTLGSFDSWKQEATDKGFDIAFKNHHDELQGITHPCCRFEFPHTSGRIPENFKCPGCDRQMEKLTTFLCCHDENSNE >KGN57037 pep chromosome:ASM407v2:3:10203094:10205101:1 gene:Csa_3G150170 transcript:KGN57037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQAQSAVSVLLVLNLVLYFIITVIASWAVNHALEKSFESASTLTLPARLFPIYFPFGNMATGFFVIFSLIAGVMGMASSATGITNVTKWDSSNIHTASVSSLATFAVTILAMGFAWKEIELGWTDSNLRTLEVITIITSATQLLCTGAVQIGVEEMVVAEKQVGGRV >KGN56280 pep chromosome:ASM407v2:3:5635816:5640675:1 gene:Csa_3G112250 transcript:KGN56280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMERQKMRDLKGENHPIPSDQDVSVDWRGRPSNPTSHGGMRAAVFVLGMQTFEIMAIAAVGNNLITYLINEMHFSLSKSANIVTNFVGTVFLLALLGGFLSDSYLGSFWTLLIFGFVELSGFILLSVQAHVPQLKPPQCNMLLIKNNNEHECVEAKGVKALIFFVALYLVAIGSGCVKPNLIAHGADQFSTSQNPSHSKSLSKYFNTAYLAFSIGELIALTLIVWIQTHSGMDVGFGVSAAVMAFGLIILVAGIFYYKNKPPQGPIFIPILQVFVAALLKRKQVCPSNSHTIHHKVALSSQSHSPNHIPTQKFRFLDKACIKSQDGETKESPWRLCTPNQVEQVKILVSLVPIFACTIIFNTILAQLQTFSVQQGSLMNTQLTKSFHIPPASLQSIPYIMLLFIVPLYDTFFVPFARKFTGHTSGISPLKRIGFGLFLSTFSMVAAALMEKRRRDLAVNSNEMISIFWITPQFLIFGLSEMFTAVGLIEFFYKQSVKGMQAFLTAMTYCSYSFGFYLSSLLVSLVNKITSSSSNGGWLHENNLNKDRLDLFYWLLAALSLLNFFNYLFWSTWFDEHPSLLEKLQHDDNQRDEEDHHIYNFNSSKNNVDDYVP >KGN59096 pep chromosome:ASM407v2:3:29586253:29591494:-1 gene:Csa_3G769620 transcript:KGN59096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFKLSRNGVRMIKGLFIGKSLLVTKASQVRGPFFKYLRTLPQAQVQVLQGFKWQEQRLYSTSGPQNGSNEENESKETISVTFVLKDGEEQQIRVPVGMSMLEAAHQNDIELEGACEGSLACSTCHVIVMDMDYYNKIEEPVDEENDMLDLAFGLTETSRLGCQVIAKPELDGIRLAIPAATRNFAVDGFTPKPH >KGN56227 pep chromosome:ASM407v2:3:5192552:5193860:1 gene:Csa_3G104320 transcript:KGN56227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLANHHTTCCWAWLLYFYPVPHTLALTQSSSHIFASFLYLPLICLIFHSPSPSIKFSRKLRRFENGKREMEMHETKHKIRSAAETQKAKETQSNICVAKNC >KGN57459 pep chromosome:ASM407v2:3:13233565:13247129:1 gene:Csa_3G188320 transcript:KGN57459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHLGTVLYLLGEEKDSEALIQDSIRILEEGGLGESILCIRRLRYLAKMHMKSNNLLETENVQRKILHIMELSKGWNSLETINSADGLASTLYANGCLKEAQELLERCLDARKSLLPKDHIQIAANMLHIARVVMLRSNELKATDVSKAVTATDRARELLNNSIRISRGILDKISKHGEKKKIHKDGESGKDGRTALIILLQSLDSLGNLEITMQEMQVSKKDPLLVEAENLLSECFSTYQKFKGSTFDTPEVKAEYCACLKRLSSLISDGKTRKQTGRVSLEDLKDEISRLQVELSPYRKQKS >KGN58207 pep chromosome:ASM407v2:3:22679403:22682127:-1 gene:Csa_3G592120 transcript:KGN58207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSEGSTASASESKPLGIANDADSFLSPRFKSAAAMAGWDEEALLIASLVVDDTPEREFQQKKRSVLQRKSPASGSRRKRRTLTSIISIPVAVLDLDETEPTVKDDGPKQEPKSAEAEAKKSDSMVEQKADASSLTSSTLPCMDKLREELSCAICLEICFEPSTTPCGHSFCKKCLRSAADKCGKRCPKCRQLISNGRSCTVNTVLWNTIQLLFPKEVEARKEAKECNSREKKIQDPEKAFYSSLQNYDTRPIGTSSRHASTRRRGEITVQEENGEWDSRVTQRAVSSNAESRVQSRMHRRSIRPMRMATRGVDVRSSRRGTPDQDQDAALALRLQREEFLEAFRDTTQVHTRSSLSLTRANIRAIASRAAINLRISGHQNL >KGN56231 pep chromosome:ASM407v2:3:5230118:5231028:-1 gene:Csa_3G104850 transcript:KGN56231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLKYFLLSPFLFLCLSYTFANGVFNYDDGLGFGSMSSPTPDPSAGPVDRGVSNFGIGPKAGPRAGLGVGGISNVDDGSDPGPKAGPGVKEEMSNVGAGPRVPKLGVSSIEAGPRAGPKGVDPIVTGLGVGVGVNLPPIFGGPKMGIRPGPGGWYGPGPIIQEPYNNCMLGYVCPTNRPWACGKVGYGLCESYNFRPLSASTELHDVKINWAKSKSVETAQHGESGPGIHIDSAH >KGN56731 pep chromosome:ASM407v2:3:8479069:8483903:-1 gene:Csa_3G130860 transcript:KGN56731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKVKKKTNRAPPKEKRVSSSSPRKVPQPSNTTVETADEEISIVKEKSQCGHLDKCFNLNELSSKLGSAEPISCEDCQDSSADRRGGRGKARHGKKKGGTSVDVKTDAKAIWICLQCGHYACGGIGLPTNSQSHAVRHVRQTRHPVVIQFENPQLRWCFSCNTLLPVEKTEENGEQKDSLSNVVKLIRDRSMESTHVDVENTRYTSSEVTAATKPESSVLSDLDRRNQYIVKGLINLGNTCFFNSILQNLLAIDMLRDHFVKLEECVGPLTIALKKIFIEARTESRMKSSINPRSVFGCISSKAPQFKGYEQHDSHELLRVLLDALSSEELTSRKMTNSKEERISGNPTPTFVDEMFGGQISSAVCCKECGHTSTVYEPFLDLSLPVPMKKPLAKKVQPVSRAKKTKVPPKRNGKTIPKTNKVSDIVPIQIASVPSSSNESSLPSEASASSTTTIMEKTSTSQNVSDAKESGKEISVENGGECASDNLTWMDFLEPELNADNCDISTTQDSENNIEVFISDNSQQSVSGLSMPVSSLHSEPNQRPDFSSVNSWNDEAPLQVQASEVLLLPYKEESSTAEVAKEDDQASSSILGCAQEDFDGFGLGDMFDEPEIPIGPIGRPSTSNEVAESSFNSESDPEEVDNTDAPVSVESCLTFFTKPELLSNENGYNCEKCSKRLQQQRLEMKKQSKVACKAVANGCQTAVGGDISSCNEDSSVEVKNKRNMNLTNGSISYSSGESSNLKKNVDCSSQDCSKPVNCQKSKTDPPVLDEDEAKVDKDMNPGLSRSSGCNNTRNQENSDDKSSCSLPNDEPAKTNIEHLSSHLAVGNQSEKSEDGEMDSDSTIVNVKRDATKRFLIHKAPPILTIHMKRFSPDARGRYSKLNGHVRFKETIDLKPYLDTRCADRDKCSYRLVGVVEHSGSMRGGHYVAYVRGGNRKRSSGEAEEDASVWYYASDAVVDEVTLDRVLGCEAYILFYEIT >KGN56577 pep chromosome:ASM407v2:3:7547072:7570569:-1 gene:Csa_3G124950 transcript:KGN56577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDEETKTAAENSENDSDNAVTSDAQKTSQAFQDDTNVDSDKVNIVNDGLVLGEVTTVTTVEDEDQFEQVCLKDQGKTVDELSGGLLDSERSSNSEDARLSSGAFQESSQYTTRTSGAESDDSTVGQLQYDSHSLSPGADKRLGHSIKPSTSSASFDSGYSPLGSPQKFKPKSVMPNVSPELLHLVDSAIMGKPESLDKLKNVVSGKETFGSSEEMEGVAFSVVDSLLATMGGVESFEEDEENNPPSVMLNSRAAIVAGELIPWLPCLGDNEMIMSPRTRMVRGLLAILQACTRNRAMCSMAGLLGVLLRSAETVFVQDVGSSDKLSWDGAPLCYCIQYLSGHSLNVSDLRAWFQVITSTLTTKWAAKLLLALEKALCGKESKGPASTFEFDGESSGLLGPGESRWPFSNGYAFATWIYIESFADTLNTATAAAAIAAAAAAKSGKSSAMSAAAAASALAGEGTAHMPRLFSFLSADNQGIEAYFHAQFLVVECGSGKGRKASLHFTHAFKPQCWYFIGLEHTCKQGLIGKIESELRLYIDGVLYESRPFEFPRISKPLAFCCIGTNPPPTMAGLQRRRRQCPLFAEMGPIYIFKESVGAERMTRLASRGGDALPSFGNGAGLPWLATNDYVHHMAGESSLLDADIAGCLHLLYHPSLLNGRFCPDASPLGAAGTLRRPAEVLGQVHVATRMRPVEALWALAYGGSMSLLPLVVSNVDEASLQPQEGSNPLSFATANLAASIFRIISMAVQHPKNNEEFSRVRGPEILSRILNYLLRTLSSLDPGKHDGVEDEELVAAIVSLCQSQKSNHILKVQLFSTLLLDLKIWCLCNYGLQKKLLSSLADMVFTESSVMREANAIQMLLDGCRRCYWTIYEKDSVNTFSLNEDQRPVGEVNALVDELLVVIELLIVAAPPSLASDDVRCLLGFMVDCPQPNQVARVLHLVYRLVVQPNTSRAQTFAEAFIACGGIETLLVLLQREVKAGDVSDPEVITTPETSFFHESGVDSGDGVPERILDGDIGAVEEEKLNVPEKDWQFESTEIGGVRHFGAASPGVRIERMLSISESSFVKNLGGISLSITADNARNNVYNVDKRDGIVVGIIGLVGALVASGHLKFDSFSPSDATTNILGSGLPDGGSSMFDDKVSLLLYALQKAFQAAPNKLMTNNVYTALMGASINASSTEDGLNFYDSGHRFEHLQLLLVLLRSLPYASRAFQSRALQDLLFLACSHPENRNSLTKMEEWPEWILEILISNHELGESKNSQTTSVGDVEDLIHNFLIIMLEHSMRQKDGWKDIEATIHCAEWLSIVGGSSTGDQRVRREESLPIFKRRLLGGLLDFSGRELQAQTQVIAAAAAGVAAEGLSPTDAKAEAENAAQLSVSLVENAIVILMLVEDHLRLQSKLSCASSVADGYTSPLSLVSPLNNRSNSLSSIGGREPQEITSVRGSISEPSGLPLDVLASMADANGQISSVVMERLTAAAAAEPYESVSCAFVSYGSYATDLADGWKYRSRLWYGVGLPSNKALFGGGGSGWESWRFLEKDNSGNWIELPLVKKSVAMLQALLLDESGLGGGLGIGGGSGTGMGGMSALYQLLDSDQPFLCMLRMVLLSMREDDNGEDGILMRNISIDDGIPEGRKPRSALLWSVLSPVLNMPISDSKRQRVLVASCVLYSEVWHSVGKDRNPLRKQYLESILPPFVAILRRWRPLLAGIHELATADGLNPLTVDDRALAADTLPIEAALGMIAPAWAAAFASPPAAMALAMIAAGASGGETTAPATTSQLRRDSSLLERKTTRLHTFSSFQKPLEVPNRPPSLPKDKAAAKAAALAAARDLERNAKIGSGRGLSAVAMATSAQRRNTGDTERVKRWNNSEAMAVAWMECLQPFDTKSVYGKDFNALSYKFIAVLVASFALARNIQRSEVDRRTQVDVIDHHRMCKGIRAWRKLVHYLIEMKCLFGPIGEHFSKPSRVFWKLDLMESSSRMRRCLRRNYRGSDHCGAAANYEDQVDLKNGEEALSSSNASILAADAIAIEAVNDDDEQMEIDSLDGRTDDVEQSAVDSSKLTETSEQNLQASAESSSTQIVNDQELIQGSSPVAPGYVPSELDERIILELPSTMVRPLRVIQGTFQVTTRRINFIVDSSDLNATTDSSCKPKDQEKDRTWMMSSLHQIHSRRYLLRRSALELFMVDRSNYFFDFGSTEGRKNAYRAIVQVRPPHLNDVYLATQRPEQLLKRTQLMERWARWEISNFEYLMHLNTLAGRSYNDITQYPVFPWILSDYTSESLDLSDPSSFRDLSKPVGALNADRLKKFQERYSSFEDPVIPKFHYGSHYSSAGTVLYYLFRVEPFTTLSIQLQGGKFDHADRMFLDISGTWNGVLEDMSDVKELVPELFYLPEILTNENSIDFGTTQLGQNLDFVKLPPWAKNPIDFIHKHRMALESEHVSAHLHEWIDLIFGYKQRGKEAISANNVFFYITYEGTVDIDKISDPAQQRATQDQIAYFGQTPSQLLTVPHLKKKPLADVLHLQTIFRNPKSVRSYPVPTPERCNLPAAAIHATSDTVVIVDINAPAAHVAQHKWQPNTPDGQGAPFLFQHGKSSLNSTSGTFMRMFKGQAGSTADEWQFPQAPAFAASGIRSSSIVSITWDKDIITGGHVDNSIKLISSDGGRTLETAYGHCAPVTCLSVSHDSNYLVTGSRDTTLLVWRIHRLSTPRSSSVSETSMGTGMSTSGSGSNLSSILADKSRKHRIEGPIHVLRGHHREIVCCCVNSDLGIVVSCSQSSDILIHSIRRGRLIRRLAGIEAHAVCLSSEGVILTWNESQCTLSTFTLNGNLIARAPFPFSSSISCMEISVDGESALIGINSSRQTNKTRSNSWDFKLKKPELDLTPDETLEDDRLDVPVPSVCFLDLHTLKVFHTLRLKEGQDITALALNKDNTNLLVSTADRQLIVFTDPALSLKVVDQMLKIGWEGEGLSPLIKS >KGN56494 pep chromosome:ASM407v2:3:7124676:7125530:1 gene:Csa_3G121700 transcript:KGN56494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPKGLDQSLGLSATIHCLFKHSLSNSKPLRAPSKTPLSYACFWIFIECVQGDVETRTKNLGAPVHSLKVLGVFVGYLYYIGMASMLGYFSPLCAESMTVVEGYVSKSPFKSAS >KGN60385 pep chromosome:ASM407v2:3:38990911:38991704:1 gene:Csa_3G902280 transcript:KGN60385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMNCLTCQALPRTQSDRENRGYETPSTRGKSCCLYVPRRWSTELTTPSSYDSIKIDDDHHISSSNVHKKARTRRVRSECGGNEPKLVRSSGMRRDWSFEDLGLRGQKKGRFH >KGN58159 pep chromosome:ASM407v2:3:22130610:22132244:1 gene:Csa_3G564310 transcript:KGN58159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGKDESDRTTIEGVFCDYIAFGGVAGCLLLPGLSALGKFLGALMISMYYKLPMRDAISLGLILNSQGALELMTFRMKKRDKLIDDDAFVVGCLYITFIVAIITPAIRYLLHPSRRYIVHKKRTMMHTRPELDLCVLLCIHDQEDVPSAINLLDALNNPMKQSQLVVYMLHLVELLGHAQPKLIHHRFTKVKASRSYSSEPIVNAFKYFGDSNNEIVVINPFTAISPFTTMHDDVCSLALNKKSCLIFVPFHKRFHSNGVMSSSKYKLKMVNDNILKNAPCSVALVVERGFLKVSKSIETNLYSFQIAVVFIGGEDDREAMFIGARMAGHTNINLTMIRVLESEKVGSDEDEERRVEDEAVDEFRRMTVDNYRVRYIEEVVKDGIGTICILRSMGSNFDLVMVGRRHSPCSALVQGLLLWNEHTELGAIGEVLATSDFMGNATILDP >KGN58746 pep chromosome:ASM407v2:3:27495126:27496487:1 gene:Csa_3G731080 transcript:KGN58746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRTGNGKSATGNSILGKKAFKSQKSSLGITRSSELRSCARNNGQIINVIDTPGMFDLSRGTDYITREIVKCIDLASNTGIHAVLLVFSTKNRFSQEEAATVKTLQNLFGFKIMDYAIVLFTGGDEFEFDDDDDDNIVTFEDYLRDIPVPLKDILIACNNRCLLFDNKTRSETKKNEQVNNLLAMVNEVIAQNGGHPFTHTLFHSTKLEEKLNEVKSKLESQIADEREARRKAEEKLQEMQKRFDDQIRDQNKLLVEVLRRPVEVKVVKECPIL >KGN58425 pep chromosome:ASM407v2:3:25128792:25129233:-1 gene:Csa_3G642660 transcript:KGN58425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRNAFTGPTATINRLPPLLFVSVPVLNFHEDFRKSLFLGASKLLKELERQRMVIKRKKKNLKGANQSDVRRRIRYGRWENGGAEVRDLSNRDR >KGN55725 pep chromosome:ASM407v2:3:945091:949449:-1 gene:Csa_3G008330 transcript:KGN55725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFPAQPDLSLQISPPNSSTNSKPSPNSNWRTQPISSISPQHPLDLMFWNNNNNNKSFPSHNSSSNSSNFDPSLSNSSNFLPHHFPPAVNGGGGAALFHRHLHDLHPQGLGFLRPIRGIPVYQNPPSSSTSSSSSSYPIFGGSGGGGGGGGSGGSQFSYNCLRSRFLARFPAKRSIRAPRMRWTTTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKTTDRAAAAASSGNSDDVYDQNGSSGDTTNEDATYENEKSSRMRLDAPIGDPLTHGRFRQTVVVGEDHHRSHKDLHALWSNSSREALFQGIPNDSSENQPSQLDQEAKCSSHERTSDGSSLTNLSAGTSPEKPNLEFTLGISI >KGN60073 pep chromosome:ASM407v2:3:36674518:36675139:-1 gene:Csa_3G875970 transcript:KGN60073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVASIKFVCVLFFFCLLSKGNCECVLNDIAISQTTTGSIVQGKQVWKATITNNCICGQSSLKLDCNGFNTVQAVDPSILAVSGSVCLVNGGQPIFQSTPISFTYASDNAFPFKPLSSQISCS >KGN55978 pep chromosome:ASM407v2:3:3039618:3040220:1 gene:Csa_3G043940 transcript:KGN55978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSDLEGHEEEKPTKCKCKVCNREFNSIKALYGHMRSHPDRSWKGIQPPITIASSSSSSSSSPLPSWSFTAKRGCKGIGCISTAAVAAPSSSSSRSSSLETEAKLESRFSDPDVVEDQRQVKKMKKINEIELNKVYKCNSCEKEYVSHQALGGHKSRHRKSKILLRTSSNVTNPTHQERKILNFDLNELPTMEDDNNKRS >KGN56509 pep chromosome:ASM407v2:3:7195571:7202530:-1 gene:Csa_3G122330 transcript:KGN56509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIGSLVSNRNLGSFVGSGKVCKTEKASSHHGVERSVIFAAQYGQPNLFSRKSIGLRLNSSSPKIACSTFLQSITRDGKLFKPLGVCTDETAGPRLPFIKSTITWPRRKCRCYPQCTSACILTNGPSWLQCQKSQYVKVDRTSANYKSNDFDMTKGDVDALALAEGSGDAFFMEENEQIVSPWWESFPKRWVIVLLCFFSFLLCNMDRVNMSIAILPMSKEFNWNSATVGLIQSSFFWGYLLTQIVGGIWADKIGGKLVLGFGVVWWSIATILTPIAAKIGLPFLLMMRAFMGIGEGVAMPAMNNIISKWIPVSERSRSLALVYSGMYLGSVTGLAFSPILIHKFGWPSVFYSFGSLGSIWFALWLTKAYSSPKEDPGLSAKEKKIIFDGSISKEPVKVIPWKLILSKAPVWALIISHFCHNWGTFILLTWMPTYYNQVLKFNLTESGLFCVLPWLTMAVFANIGGWIADTLVSRGFSITTVRKIMQSIGFLGPAFFLTQLSHVRTPAMAVLCMACSQGSDAFSQSGLYSNHQDIGPRYAGVLLGLSNTAGVLAGVFGTAATGFILQRGSWDDVFKVSVALYIIGTLVWNIFATGEKILD >KGN57285 pep chromosome:ASM407v2:3:11970750:11972369:-1 gene:Csa_3G176320 transcript:KGN57285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLLVPPTAISLLAALSLFLLLLSPAAAWRPWPHLAKSNVSDDPALVRDSKKYEGSSEFVHLKYHMGPVLTANITVHIIWYGTWQRDQKKIIREFINSISAHDSKSPSVFGWWRTVQLYTDQTGANISRTVRLGEEKNDRFYSHGKSLTRLSIQTVIKSAVTAKSRPLPINAKNGLYLLLTSDDVYVENFCGQVCGFHYFTFPSIVGYTLPYAWVGNSEKLCPGVCAYPFAVPSYIPGLKPMKSPNGDVGVDGMISVIAHEVAELASNPLVNAWYAGGDPIAPVEIADLCEGIYGTGGGGSYTGQLMDGRDGATYNMNGIRRRYLVQWVWNHVVNYCTGPNALDQ >KGN60297 pep chromosome:ASM407v2:3:38389692:38393295:1 gene:Csa_3G893960 transcript:KGN60297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALCYYFFLLHFLVSLAFGTDQSLFFSLMQKGVVGNSLPSDWTGNSFCNFTGITCNEKGLVVGVDLSGRAVSGRFPADVCSYLPELRVLRLGRSGLRGTFPGGVTNCSVLEELDMSSLSLMGTLPDFSSLKTLRILDLSYNNFTGDFPLSVFSLTNLESLNFNEDNNFKTWQLPENVSGLTKLKSMVLTTCMLEGRIPATIGNMTALVDLELSGNFLTGKIPKEIGNLKNLRALELYYNSLVGEIPEELGNLTELVDLDMSVNKLTGKLPESICRLPKLEVLQLYNNSLTGEIPISISNSTTLTMLSLYDNYMTGQVPSNLGQFSPMVVLDLSENYFSGPLPTDVCGQGKLMYFLVLENKFSGQIPPSYGTCQSLLRFRVSSNNLEGPVPVGLLGLPHVSIIDFGNNNLSGEIPNSFVKARNLSELFMQSNKISGVLPPEISKATNLVKIDLSNNLLSGPIPSEIGNLRKLNLLLLQGNHLNSSIPTSLSDLKSLNVLDLSDNRLTGNIPESLCELLPNSINFSNNQLSGPIPLSLIKGGLVESFSGNPGLCVSVYLDASDQKFPICSQNNNKKRLNSIWAIGISAFIILIGAALYLRRRLSREKSVMEQDETLSSSFFSYDVKSFHRISFDPREIIESMVDKNIVGHGGSGTVYKIELSSGEMVAVKRLWSRKGKDTSSDQEQLYLDKELKTEVETLGSIRHKNIVKLYCYFSSLDCSLLVYEYMPNGNLWDALHKGWIHLDWPTRHQIALGIAQGLAYLHHDLLPSIIHRDIKTTNILLDVNYHPKVADFGIAKVLQARTGKDSTTTVIAGTYGYLAPEYAYSSKATTKCDVYSFGIVLMELITGKKPVEAEFGENKNIIYWVSNKVDTKEGAMEVLDKRVSCSFKDEMIEVLRIAIRCTYKNPALRPTMKEVVQLLIEADPCKFDSHNKSSKHTTTKINNPFDL >KGN58607 pep chromosome:ASM407v2:3:26542286:26542593:-1 gene:Csa_3G698480 transcript:KGN58607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKLVEEEDIPQHPYLQAVVKETLRLYPSVPINIRECCQSCKIGGYDVPQETTVAINLFAINYERHSSVE >KGN56503 pep chromosome:ASM407v2:3:7160365:7163136:1 gene:Csa_3G121780 transcript:KGN56503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCCCSTRNSHMNGTPTYYYCPIAMEERMTSEINSGSSSMLLNAGHDHLNLDFSIPSTYRSPPIPLPYDVVLTYPHQKDPNSAKERICECSLKTTSAVKSVGELDRKSQESGPPGKLEHSKSKGNSMTTPVTEEDQDDCPICLEEYDSVHPEIITKCKHHFHLACLLEWTERSDVCPICDKEMIFELH >KGN55845 pep chromosome:ASM407v2:3:1889451:1891396:1 gene:Csa_3G019360 transcript:KGN55845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESDVLTPPQNHSTPSPSKFNTHLLYKLITAIFFLLILPLVPSQAPEFVNQTLLTRSWELLHLLFVGIAVSYGLFSRRSDEKEDEISVSKFDNVQSYVSGLLHVSSVFDDEPETPSANDDENKVQTWNNRYFRNESVVVAEERPVDNEQRVRSEKPLLLPVRSLKSRVVVDDEFRSKKRVSSRRLLSNLKRSSNVEFGGVNNLDEIDDKLNENFVLPSPVPWRSRSGRMEKQEEADNPSMEDSESNRIGSRSPKPQTSKSSRASAIPQRLSPSPSPSPRKPSPSHNVSPELQAKSAEDLVRKKSFYRSPPPPPPPPPPRVRRTSSMKPSSWVNEDDVPHQKELRRSYTSKPRTITRDTGDDTDMMIGANSSGETQPRHYVDGLSMGKSVRTIRAGEAVNEPPRRGREFSVNDQLKGKTMMNENTHVQDFEENPLESPDEDKEELVEKLTMDTDVDEDDDDDMESEVEGNSMVGKFIREDNGEPFDVKRRNREDERGSSNEEEEEEEAGSSSNIGNDGGPDVDKKADEFIAKFREQIRLQRIESFKRSSGQIRKNTTKQS >KGN56673 pep chromosome:ASM407v2:3:8182357:8183541:1 gene:Csa_3G128830 transcript:KGN56673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCKKHHSDFTSTVGVCASCLRERLLSIIAAQAQAEKNQSQLTYGGIRSADDPLPPPLLFIHSVSPHATKSDEDLWSNLDREGNRRFLHQRFYSTPQIGPNGRTNNSANTTFVTTGSFDRKQRSKKFSLWSKLFRSRSDKFEKNHKSPSRESHGPGSSSSSPSWFSTIFHGHRTKRQSSLSPVEESISVAERRHCHAIERGMSPVRVSDSDEECEGPDRSPISQKFQLSPMAAPGSAKRGRLGHNQNVSGFAFCLSPLMRASPNRNWNQKAIPPETAFSGNIKVPAKPHLCANRSRKIADFGRVNHNR >KGN57213 pep chromosome:ASM407v2:3:11424863:11428453:-1 gene:Csa_3G171210 transcript:KGN57213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFTAINPSPDLHFHSLRSLTDTHHLPLPSLLLRHPRRPLSSLSRCRLLHLRASSTSGIPDLPTSVPEQAGGKLVVELIGAFNELTDRMNLTSTSSSVILFVTLKLSIPILQSLPLLPDGRSPLSKALSVALILADLQMDAEVISTGILREVMEAGGISLQEVKNQIGISTAHLLHESLRVKHIPSRVDIFDDDSSAALRKFCLTYYDIRALILDLALKLDMMRNLQSLPRYQQQMVSLEVLKIHAPLAFAVGANFLSLQLEDLSFRYLFPCSYFYVDSWLRSHESGSKSLIETYKDQLAQSLKNDPILTNMVEDFSVKGRYKSRSSTMKKLLKDGRKLEEVNDILGLRVILKPKAGTDTSESGERACYRASEIIKSQWKEIPHRTKDYIARPKPNGYKSLHMAVDVSNESQTKPLMEIQIRTTEMDKLAAGGTASHSLYKGGLTDPEEFKTDVDICQCIHTNQRGRVFGLLDKNGDGRISIEELVDVMEDLGVGAPGEDAREMMQLLDSNSDGSLSSDEFDFFQKQVEFIRSLENRDDQYKVILNHKLQNDDDTGLIQVYSEELGNRLAT >KGN57238 pep chromosome:ASM407v2:3:11563685:11567467:1 gene:Csa_3G172920 transcript:KGN57238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDFEFPSASNVDEEMGLPEDEAESPVLNVGQEKEIGKNGLKKKLVKEGEGWETPDTGDEVEVHYTGTLLDGTQFDSSRDRGTPFKFKLGEGQVIKGWDEGIRTMKKGENAVFTIPPELAYGESGSPPTIPPNATLQFDVELLSWHSVKDICQDGGILKKILVKGDGWEKPKDLDEVLVRYEARLENGTLISKSDGVEFTVEKGYFCPALATAVKTMKKGEKALLTVRPQYGFGESGRPVSGEEGAVPPNGTLQITLQLDSLKTVTEITKDKKVLKKTQKEGEGYEQPNEGAVVQVKLTGKLGDGTIFTKKGDDERTFEFKIDEEQVIEGLDLAVRKMKKGEIALVTIHPQYAFGSSDSSQDLAVVPANSTVYYEVELVSFVKEKESWDLNKAEEKIEAAGKKKEEGNVLFKAGKYERASRRYEKAVRYIEYDTSFSDEEKQQSKALKISCNLNNAACKLKVKDYKQAEKLCTKVLELDSRNVKALYRRAQAYIQLVDLDLAERDIKKALEIDPDNRDVKIEYRQLEDKVREYNKRDAQFYGNIFAKMNKLEHAKSANSGGKQEAVPMTIDSKA >KGN55968 pep chromosome:ASM407v2:3:2950095:2951217:-1 gene:Csa_3G040870 transcript:KGN55968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMKQVEEMTEAVRSMFGDAKKCSEKLSLIDLIQRLGLYYYFEDEINEVLGLMHNASNLDEEDVDLYTMALRFRLLRQKGFFVSCEIFNKYTNESGDFKESITKDEVGLLSLYEASHLRMKGENILDKALAFTTTQLQAIAMDSNSPFSQEVKFSLKWPIYKAMPRFMSRHYISLYQNNPLKDNVLLTFAKLDYNSLQKLYQKELGEFSRWWKDMMLREQLCFARDRAVECYTWAMGVYYEPKYSSGRILLAKVIAFLSILDDMYDAYATFEELQLFTHSIERFCSSTISFSTTFFFF >KGN56633 pep chromosome:ASM407v2:3:7899279:7902386:1 gene:Csa_3G126960 transcript:KGN56633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQAMGIFGGKDWRKNQLKKITDRIFKIFSKGSDKMSCQALKEATLHVYNDINKHWPGPHFSPPMTEDFDQIVEKVLKDSDKNKDQVINSDEFLEFILHLTTYAFVTVTGKVPFVTLVVAPTVALVTKKSTEGIPGVGKLVQKMPSSAYAFLVTLVVVAFQNSKQRLLLK >KGN58168 pep chromosome:ASM407v2:3:22317768:22321483:-1 gene:Csa_3G576330 transcript:KGN58168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLILQASFISMTVSPQYVVHNAFTLRRFLTAPTEYQTFKPSCYFRYHSASILPNVVHHRNSRKLPCLAVSSKSNSEHDPEPSADSKVKPKPISRIKRSKTSSEKEEENGAGIFPTTIPRKPRRGRRSEAAALEDYVRNTLEQTFASIRQQNAEVMENKENVFKEKVDNEVESESSDDEDDADEEEDVEQNDGAGRNKKKKMVVEEEDPNWPLDADVGWGVRASEYFEQHPIKNVVGDDGVEIDWEGEIDDSWVQEINCLEWESFAFHPSPLVVLVFERYNRATDNWKTLKELETALKVYWNAKDRLPPRSVKIDINIERDLAYALKVRECPQILFLRGNKVLYREKDFRTADELVQMIAFFYYKAKKPSWINDKALARPF >KGN57441 pep chromosome:ASM407v2:3:13085610:13098022:-1 gene:Csa_3G186680 transcript:KGN57441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFCRATKRSIGSLISNGLLNNATRNGFSSCATNKIFVVEGVQYGSSVPDVHEANSAIYSRLSLLRRFSVETPPGSNQMSLIKQLRERTSAPIKDVKAALIDCNWDIEAAQTELRKRGKVLALKKSARTAAEGLLALAQNETKAVVIELNCETDFVARNEIFQYLALSLARQALLTESLSHNDLGTFPFGPEQLEGIKLNLEHPKINGETTAVNAVTEVAAIMGENIKLRRGFLMSASPSGVISTYLHTSPQPGLGRIAGILSLEVEGDNSQPDALQRVGSELAMHVVAAKPLFLTKELVASDALENEREILKSQAETTGKSQMAIEKMVEGRLRKYMEEVVLMEQKFIINDSINVKTMLDNLSKEVGSPVKIGNFLRVGVGEGIDRLETSDSPEPVAQAA >KGN59771 pep chromosome:ASM407v2:3:34169390:34170858:1 gene:Csa_3G844890 transcript:KGN59771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNGTEFNGKRPKLAVEEQVSDTDMSNLVENEQCETIIKGSEEMESNIACLLEKIESFTQLVSELLESGKTAFKDLSNEFEERIIAIHKDHVEKWQDEIKELRLIDSSNEEASTILCNARNLLQNGQIQF >KGN56713 pep chromosome:ASM407v2:3:8368761:8370568:-1 gene:Csa_3G129700 transcript:KGN56713 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone protein dnaJ 20, chloroplast MIERKIDGEKQREMQCSDLTFSGSDSRFYIPSNPTTTARRPISGYRSRVCFPHTPPFNSTRLPSLSIRAKASFNEGFVSSEVAEGSFYDLLGISKSGSLEEIKRAYKQLARKYHPDVSPPGCVEENTKRFIRVQEAYETLADPRRRALYDRDMIGGLQVAFSARRRYDADEEVAQKSGWRNSWEAQISELKRRSMEKDLRPNMSWGARMRRQMNEQS >KGN57641 pep chromosome:ASM407v2:3:15242087:15247599:-1 gene:Csa_3G236580 transcript:KGN57641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPPSNGGPAFRFTASEVAEMEAILQGHNNTMPAREVLVALADKFSESVERKGKIAVQMKQNRRYAIRAKTSKAPGKLAVSPVVQIESTPVRNVPQTVVVPAPAPVGSAKGAPENPLSEFEAKSGRDGAWYDVATFLSHRSVESGDPEVLVRFSGFGSEEDEWVNIRRNIRPRSLPCESSECVAVLPGDLILCFQEGKEQALYFDAHVLDTQRRRHDVRGCRCRFLVRYDHDQSEEIVQLRKICRRPETDYRLQQLHAVNEAASIEPSKSGMDSVLLSGQRINFETSQNPLSKDAALVIPNANPHINAHAQTSTQEARNTETNTAPTTFNSANLAGSSAFSSGIVTNTVSAGSADNVSDGKLLS >KGN59881 pep chromosome:ASM407v2:3:35195884:35201322:-1 gene:Csa_3G851810 transcript:KGN59881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGLSFSILSHPSPNFTASLLVPAATLRSRTSLPLNFHPKPYTSDTPFSPEVVRAVDSLQYEFRAVDDLVARNSAKVLKAFQNVRLGSHHFGGSTGYGHDEAGGREALDNAFAEIVGAESAMVRSQFFSGTHAITCALFALLRPGDELLAVAGAPYDTLEEVIGKRDSQGLGSLKDFGVEYREVPLAEDGGLDWEELARALKPQTKCALIQRSCGYSWRRSLSVDEIGKAIRLIKMQNPDCLVMVDNCYGEFVETTEPPTVGADLIAGSLIKNPGGTLAPCGGYIAGRAKWVKAAAARLSAPGLGVDSGSTPGDIMRTFFQGLFLSPQMVGEAVKGMILIAEVMQSKGYKVQPLPRAPRHDTVQAVQLGSREVLLAFCEAVQRSSPVASFTKPVPGTTPGYASEVIFADGTFIDGSTSELSCDGPLREPFAVFCQGGSHWTQWGLVLGEVLKSL >KGN58818 pep chromosome:ASM407v2:3:27834376:27835341:1 gene:Csa_3G733230 transcript:KGN58818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEIKRMFEYIFSEKDSSDSGKCPEGKKERYRQNYCRQFPNKDEVRAHSFVPNSPINPETEWLETPHEYFFKLDLAGLKKHEVKLEIDDYNKVLCISRDFGAEREKITGHRSRLKRDKGTVYWRLVDTDNVRAEMDNGVLTVSVPKCVPMMKCEYRKHKARLVQIKSKNSGH >KGN58431 pep chromosome:ASM407v2:3:25176300:25177798:-1 gene:Csa_3G642720 transcript:KGN58431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLIASQSLPLRRPLLPPNLRRPPTYPLLLPLSTVKSPNLGLRVLASSSPSSYSPKLSHQSQEIPISSLLTGPTRILATILSVSLAFSTVIVQLVQNVWPILIPQCLINNPCSGLGALQPAGSLFFAAVRNRTALNTPLTVVAVGLAKWLDIYSGVLMVRVLLSWFPNVPWERQPLSAIRDLCDPYLNLFRNIIPPIFDTLDVSPLLAFAVLGALGAIMGSSTRVY >KGN56932 pep chromosome:ASM407v2:3:9595309:9598432:-1 gene:Csa_3G144220 transcript:KGN56932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNEMGNNNTSEFREEEKEKAEGPEFFLLEGEKNEEEGDEVAGFSQKEARLSSGAADKVNGNHHVNEKEEEKNKVCNIEEFQVVSENLHDRTIEDNVVEVKSKENKDVEFNSEENRSDGNEHEKQASNQKEEEEKRGSNLNAAVLSLNEPNLEKTEEKCKDALESSSKNTCHSADCAVPDSEENTNMDPVIDTDRDTNKENDGEKGNDSIIDMITHASEDEKSEKTSDVNIDQVVDNDRDTDKENDGERGRGSSLNTTHAPKDPKSETNSNFDSDQVIDTDKENDEERRKGSNFEMINSSENPKSEKTSNLDTNQVVRTDWDADKGNDEQRGNSSNFDMLIDASKNPNSENSSDLRSIQHEPPETNAESLTGSSDDGDTDMEKKKGDLVEPRQCHGYTVPAAKNVDTKDKGTVTDLTCHNTSCSLAEECLVIESPNSSVQIPEVENKEEFQLREHLGTETVDEDNIPTQSKISNEVEEEFNTTESHSENNAEEAEVSPEFVTENRNEAPVEDCEDSDGEYLEISEQGMDILNLSIGDCKHKNEEMGETTELSTNNEHEVERREPDESLFEPILGFQPQTQQKETTIAFQTAESTDESISAPRQETDTETEKSKSNPSDSPSYTQTASSTPTETEPSTNPIDEQSLATLPFSTFGGEDQDSPGRTSNESISENSIGHIEMRKSPSFNIDIQIEGKTGETEKIPLLYQIKTIEDLPNLQEISFPNPMEKRVVKLGRSDSEKSRPSFPGFVKEKEESRMEIKAIDQNNFVSEKKEAKNLPPPSPIRKGKRRTKSLIFGTCICCATAIN >KGN58124 pep chromosome:ASM407v2:3:21629423:21630290:1 gene:Csa_3G529590 transcript:KGN58124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNEKQKIKTKIVATSLENEHPVNVLQKLNFISQNPSAIHTLNLSSLQFLTKSSLVGLPSTQKVIEATLQILKPKPFVGANVFLSRNLVAPEMFDAFHDTFKQNGAEVFLCCDPAQNAPDDYHVISSANHVRNVSSISCSIYMATSDLQCVY >KGN58668 pep chromosome:ASM407v2:3:27073605:27074686:-1 gene:Csa_3G717880 transcript:KGN58668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLAAPVASGQTVCVTGAGGFIASWLVELLLQKGYIVRGTVRNPDDRKNDHLKELDGAEQRLTLYGADLLDFESLKAAVNGCDGVFHTASPVTDDPTNGKNQPKFGW >KGN56398 pep chromosome:ASM407v2:3:6636677:6638755:-1 gene:Csa_3G119320 transcript:KGN56398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITLSLPKLPHKTPISSSKLPIPSIPTNLVLSQNPKCSNNLFIQTVQNLKPYTIPLTALTLPFFLHPQDALAVGGEFGILEGRSFALIHPLVMGGLFVYTLWAGYLGWQWRRVRTVQNEINELKKQVAPAAVTPDGKPVEAPPSPTELKIQQLTEERKELIKGSFRDRHFNAGSILLGFGVLEAIGGGVNTWFRTGKLFPGPHLFAGAGITVLWALAAALVPAMQKGNETARNLHIALNTLNVLLFIWQIPTGIDIVLKVFEFTKWP >KGN57646 pep chromosome:ASM407v2:3:15280320:15282434:-1 gene:Csa_3G238120 transcript:KGN57646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFASLPLTSSSLHPVVQFSPLVFSSKVVYDPSSYCFTVRSIRYGNQKFSSQSNPRSLIILGAATKQAKTPAEEDWKVKRELLLQKRVRSVDANEALRLQKENNFVILDVRPEAEFKEGHPPGAINVQIYRLIKEWTAWDIARRAAFAFFGIFSGTEENPEFLQSVESKIDKDAKIIVACSSGGTMKPTQNLPEGQQSRSLIAAYLLVLNGYANVFHLEGGLYNWFKEGLPVVSEE >KGN58413 pep chromosome:ASM407v2:3:25033322:25035337:-1 gene:Csa_3G640550 transcript:KGN58413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMGFGSKHYINFVFEKTPKIHPSPSSLSPNLFILCLSPASPLNNFSSSWLRTLKFLLLLLLLLLLDGN >KGN60442 pep chromosome:ASM407v2:3:39400792:39401663:1 gene:Csa_3G911280 transcript:KGN60442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSATARRPYFIDEDDGLVSLVDVEAGISGNHHNNNNNHYPHPFILRPKIICTHGGAQRRTTRNLSFSSSRIISPRFYDARFEDHHHHFLKACFLCKKPLSDNKDIFMYRGDTPFCSEECRQRQIDMDEAKEKKMNLSSSIKAMRKKDQRKSTSPGKSTADHDCPGTVAAA >KGN56282 pep chromosome:ASM407v2:3:5668236:5677057:-1 gene:Csa_3G112760 transcript:KGN56282 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tip120 MANLAMTGILEKMTGKDKDYRYMATSDLLNELNKETFKADTDLEIKLSNIIIQQLDDAAGDVSGLAVKCLAPLVKKVSETRVVEMTNKLCDKLLNGKDQHRDVASIALKTVVAEVSVSSLAQSILSSLSPQLIKGITTAGMSTEIKCESLDILCDVLHKFGNLMANDHELLLSALLSQLGSNQASVRKKTVSCIASLSSSLSDDLLAKATTEVVRCLRIKSAKAEMTRTNIQMIGALR >KGN58739 pep chromosome:ASM407v2:3:27456333:27460938:1 gene:Csa_3G731010 transcript:KGN58739 gene_biotype:protein_coding transcript_biotype:protein_coding description:EBP1 MMSDEEREEKELDLTSPEVVTKYKSAAEIANKALQLVISECKPKAKIVDICEKGDSFIREQTGNMYKNVKKKIERGVAFPTCISVNNTICHFSPLSSDETVLEEGDMVKIDLGCHIDGFIAVVAHTHVLQEGPVTGRAADVIAAANTAAEVALRLVRPGKKNKDVTEAIQKVAASYDCKIVEGVLSHQLKQFVIDGNKVVLSVANPETRVDEAEFEENEVYSIDIVTSTGEGKPKLLDEKQTTIYKRAVDRNYHLKMKASRFIFSEITQKYPIMPFTARALEEKRARLGLVECVNHDLLQPYPVLHEKPGDFVAHIKFTVLLMPNGSDRVTSHPLQDLQPTKTIDDPEIKAWLSLGIKTKKKGGGKKKKGKKGDKTEDAEPMDTTTNGAASQE >KGN57573 pep chromosome:ASM407v2:3:14406591:14417234:1 gene:Csa_3G215610 transcript:KGN57573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSCSWLLSLSDRCVWQKPNLEKSRREEQLQQCGDWFLAIPFILQEEWLLYLVASFLGTDSHWVSFVCRYFSLSTSTHQSLWILYLGFNILPMASTLLSRTLLVNRFAHSISFPSGKTQILPSVFSKKLFQFRPNSASVAYNPTRRFSSFMASSVAGAKAHFSSKSLSTDDPIVSPDWLHSNLKEPDLKVLDASWYMPDEQRNPIQEYQVAHIPGALFFDVDGVSDRTSKLPHMLPSEEAFAAVVSALGISNKDGVVVYDGKGLFSAARVWWMFRVFGHDRIWVLDGGLPKWRTLGYDVESSASGDAILKATAASEAIEKIYQGQAVGPITFQTKFQPHLVWDLEKVQENITERTHQLIDARSKARFDGAVLEPRKGIRSGHVPGSKCIPFPQMLDSSQSLLPADQLKKRFEQEGISLENPIVTSCGTGVTACILALGLHRLGKHDVPVYDGSWTEWGAHSDTPVDTAS >KGN55757 pep chromosome:ASM407v2:3:1145402:1151811:-1 gene:Csa_3G011600 transcript:KGN55757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFDSFSDKNLVFRKLKAKSDNKMCFDCNAKNPTWASVTYGIFLCIDCSAVHRSLGVHVSFVRSTNLDSWSVEQLKTMSFGGNNRAQVFFKQHGWTDGGKIEAKYTSRAAELYRQLLSKEVAKSMAEEPALPSSPVTSQSDLVTNGPPDIKTNETAKDHVSGKQEAPEISASPKASQTVFSSTVKKPIGGKKPGKTGGLGARKLTTKPSENLYDQKPEEPTVPVSSSTAPKTAATGSSFASRFEYVENVQSSDVNSSGSHIEESDEARKKFSNAKSISSAQYFGDQNRADADAQASLQKFSGSASISSADLFGNQRDNPSADLTATEFINRLSIQAQQDLSSLKNIAGETGKKLSSLASTLITDLQDRII >KGN57704 pep chromosome:ASM407v2:3:15944932:15946883:-1 gene:Csa_3G257090 transcript:KGN57704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFEQKQSQKSHNLHFQLKHVFHFLFFLIGFSLGIILCLYFKSSFFLINPTPNSSSSFSAPPPSSLFETVSSPPPQPLPDDQPHPHPHPLLVLSNPRNNGSSSSNSSKLVVSLEEHKSLVHNMNDEELFWTASMVPRIVESNYKTVPKKVAFMFLTSGPLPLATLWEKFFEGNNGLYSIYVHSHPSYVDEIPQTSVFYGRRIPSQAVYWGTASMIDAERRLLANALLDLSNHRFVLLSDSCIPLFNFNTIYNHLITSKLSFISSFYDPRKSCGGRYNPQMSPQINITNWRKGSQWFEVHRELALRIVSDTKYYPIFKNYCLPPCYMDEHYIPTLVHMLQPELNSNRSITWVDWSRGGPHPSKFGWKDIGDEFLNKIRFESTCNNETYDQNYSTSSICFLFARKFLPNTLEPLLRVAPLLLGIDP >KGN57802 pep chromosome:ASM407v2:3:17416923:17418457:-1 gene:Csa_3G308190 transcript:KGN57802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFSWRKNKLGFLTKLKKELPGVDSGSEIAIPSHYMCPISLDLMKDPVILSTGITYDRESIEKWIDGGNFSCPVTKQDLTVFDLIPNHALRRLIQDWCVANRSYGIERIPTPRIPVSPYEVKEICSRISIATQRSDSKRCSELMGKIRNWAKESERNRRCIVNGGTGDVLAASFEHFAGVSIEKHVGLLEEILLVLTCVYPVAIEGLSKLGSADSLKCLVSFLVGKDLSPKQSAIFVLKELLAADRRYVNSLAAIEGVSEALVSIIRDPLCPSATKSSLTAIFYMILPSDIGEKMALKFVELGLVSQLLEFLVDAEKSLCEKALGILDGICDYKQGREKLYNNALTIPLLVKKILRVSELATEYSLSILLKLCKSGEKGENEVRVEAAQLGAFQKILVLLQVGCGGDMKDKVTEMLKLLNLYKDRLDCIDSSMHFKYLKKSF >KGN56042 pep chromosome:ASM407v2:3:3477892:3482946:-1 gene:Csa_3G055970 transcript:KGN56042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTAARPTWAPAKGGNEQGGTRIFGPSQKYSSRDIASHTTLKPRKEGQDTHDELQRRNLRDELEDRERRHFSSKNKSYDDRDHRKGSQLLLEGGKRDIEDRIIPRSLDADDSDVDVKSDDESDDDDDDEDDTEALLAELEQIKKERAEEKLRKERQEREEELKVKEAELLRGNPLLNEQPSSFSVKRRWDDDVVFKNQARGESKTPKRFINDTIRNDFHRKFLQKYMK >KGN55885 pep chromosome:ASM407v2:3:2275034:2275393:1 gene:Csa_3G027190 transcript:KGN55885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSNKSFSLALFFCLNLILFSSLAIAQPIVPASSPTPNCSRNVRVCASVLNIVNLTIGQNLGPCCQLIQGLAAAEVDICIQTAISNELRASLSGLPPVNLSVESFVLRILLSRCNRAT >KGN57140 pep chromosome:ASM407v2:3:10876613:10878005:1 gene:Csa_3G165080 transcript:KGN57140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPHSDFKSHLASEISSFSSLTVSCPHRLFSPPFIDWYRLFGVQQDAPIDFIRSRYLKLALQLHPDKNSHPKAEIAFKLVSEGYGCLSDNVKRRAFDLDRKDKFCADCNTIPYRNYTSATNLNALNVLGSFHNNIHGRGLRDVKERLREEANVIEYCLRANNSSTTTETSLFNPPGCSMFHHRNYKETPIFNPSDYVCQGYPHFRTRIHPKPQSFRCFRSGNALKYEQGRGKCEYPVFEISSNRSESFLLQKQSAFVYSNVNKSSHW >KGN57862 pep chromosome:ASM407v2:3:18284051:18285124:1 gene:Csa_3G355050 transcript:KGN57862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVNLVENNEMKEEIVQLLIENNVLAVEKKAESEAELWKGTSPNGSSPNPTVSFGQGKNVRSEITPKVLVDEFCRLPVISLIGAPG >KGN55595 pep chromosome:ASM407v2:3:140226:142044:-1 gene:Csa_3G000190 transcript:KGN55595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNICDVNHLNSDVLLPPRKRLLAGLRKQGGDGDGTFNLPPVASSSCSPPPSPSYGFTSIEFNIRLNSLLSAHSNSNLSPEEIVQASRSAAAAAVKAAEAARAAAEEKAAIAARAVTVAKSAMDLVASISEEAAYKEINLRKNKLKKHVPVQLLYTKYQPLENTKTDEELARKLHRAINSSPRILKNSSGSDVRSHKHKKLKSSTSSEKIRVSNCGISQDLDPTTTCNGHAKPNEVDSECSFQEVYKLKPDEKTSKYEKSNPSLTDNGEETSQKEKMCDDISVTIKKRGRVKLKKLPLSICSFRDKTTLKEDMNNGSSPILTVQNRGSPTSEKVILHSVDSPTEGVMPIDSTSVWKCQEFKAPLSVKQNKVVQS >KGN56096 pep chromosome:ASM407v2:3:3898879:3905088:-1 gene:Csa_3G073870 transcript:KGN56096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFTSSFSSTLLNHLPWRPSLSSPSSISASFSKTHLLHSNNLRFFPPPFHLLHPLQISTTSRRFAASPSSPSSVDLGPKIDKLPADLDISETEEPNSSVRLSVGVPPAVCEDCHRRVMAEFMKQVKIPGFRPGKVPESILVSYVGKDHMQKATVESILKRTLPHAMSSVAGRALKDSVRIASKFSDLEQTFSSQGSLRYDIIVDVAPEVRWVPENGYKNLKLVVEIDNEIDAQKTSEQELKRRHKFLGTLRIVTDRGLQMGDVAVIDISAITIGQDESGGQKIPSAESKGYRFDTEDGDKLLPGFLDSLIGIQRGETKSFPLVFPESWNQEDLRGVHAQFTVECKELFYRELPQLDDSLADKLLPGSTTLEQVKEALLQRCLEVEQTAKDQATDNAILDQLCKMVEVDIPHSIFEEQGRQLYGAKLLQIQANMKLNEQQLATLSSPKAVKEYLENQRDNITHVIKQNLAVGDIFKRENLQVDTEELMKEVENSVAEFKRSKQEYDEDRVQEQVQDILEGAKVLEWLREHAEIKYITG >KGN60241 pep chromosome:ASM407v2:3:37914669:37918327:1 gene:Csa_3G889970 transcript:KGN60241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKKRKGSETDGPLNLQDNVTESHESRSSKLKAHYSLEDYTRLNKRCKEDVGIEPVLSCKSRFAGIATAPPSGSSSLILPGRGLKRKIGCIDVATQIGRKHNIENDYVSGKTIGHGKFGSVWLCKCKVSGAEYACKTLKKGEETVHREVEIMQHLSGYPGVVTLLAVYEDSECFHLVMELCGGGRLVEQMGSEGQYSEHRAANILKEVMLVIKYCHDMGVVHRDIKPENILLTTSGKIKLADFGLATRISYGQSLTGLAGSPAYVAPEVLTGKYSEKVDIWSAGVLLHALLVGTLPFQGDSLESVFEAIKNSKLDFHSGMWESISKPARDLIGRMLTRDISARITAEEVLRHPWILFYTERTLKALPIKLKLKNQESSQQSPIAAKIKSDRNRIDSAANMTSLNEVSNLSSSESCNADGDDVDDCFLVDALATAISHVRISEPKRSRLCVPTGPIEQHSSSNMKANNLCKAF >KGN57312 pep chromosome:ASM407v2:3:12138415:12138669:1 gene:Csa_3G178530 transcript:KGN57312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEVLESGLGCLRGKVKKRLFGVGTVTFAVTVIYPIANTTSLIQPLLSRHVSLSFFVSKTHEYYTILYYTPSSNHLLFYLIFFL >KGN57571 pep chromosome:ASM407v2:3:14384639:14386189:-1 gene:Csa_3G215590 transcript:KGN57571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGRGSAMVCVVMVCMLLMLQYSHMAHAAVYTVGGAQGWTFNVASWPKGKRFRAGDTLVFNYSPSAHNVVGVNRLGYSRCITPRGSKVFQTGKDQIKLVKGQNFFICNIPGHCQGGMKIAVNAI >KGN58700 pep chromosome:ASM407v2:3:27261629:27271414:-1 gene:Csa_3G730150 transcript:KGN58700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPPILSLLLSLLSLLPILLAYRPGDIVPMSKMGQYHSSRTVWHDMIGRHCPIYGVNREVLVPIPKPVGYTGADPYKISFQVGKEKFLVPWLLVINRKSAEVPMIDVHLRYSGSDLHGVTAKVVDMPHIYIDTHPHISKQFWDQQHWPKHILVRYTWEEQSEIDVTSGLYVLFGSGLTLSFILSVYILQSSKDKLARFVRETVVESSIPGVGVAKVE >KGN59742 pep chromosome:ASM407v2:3:33966530:33975146:-1 gene:Csa_3G842640 transcript:KGN59742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFRSKIKWIALFVLVLSLASLLLHLSIAKSSSVSLVYYTQMASMLNTSIIGGRGYRSKKLWGAVKPLASLQPYTNSRSNYHAPSEQSNGFIYAKVFGGFANIRSSICDLVAIARLLNATLVLPEIQESTRAKGISDRFKSFSYLYNEEQFISYLKNDVLVMKSLPDNLKTSRKRNEFPIFKPKSSASPSYYLQKVLPSLKSAKVIGLVLYDGGCLQSTLPSGMSELQRLRCRVAFHALNFRPEVQMLGKKIVQRLRAWGQPFLAFHPGLIRDILAYHGCAELFQDVHTELIQYRRAQMIKKGIIREELSVDSRKQRDNGACPLMPEEVGVLLRAMGYPPKTIVYVAGSETFGGQRLLIPLRAMFNNLVDHTSFCSEEELASIFGPETTLPLDFYRPPPSKSEQQLKDEWNKAGPRPRPLPPPPDRPIYRHEKEGWYGWITETDKEPDPSPMDMRLQAHRLLLDALDYMVCLEADAFFPGFNNDGSSWPDFSSLVMGQRLYESSSSRTYRPDRKFLATVLNITRDNLYHPMHNWTHTVQEHLNKSLGEEGLIKQAIFSKPTSFLSHPLPECFCRLSSGGEASVHLVKQKDKTVYGDEEKCPDWMSDGQQIESLDSAEEGDNKWDEEIESSEQAESNYDSGKSYLDNLIDQDEEMDPND >KGN56010 pep chromosome:ASM407v2:3:3254203:3254397:1 gene:Csa_3G046225 transcript:KGN56010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRLKPALAARALNSSLVLSLPPSYKVISTISHWATAFVALVVSGNVGSIESITITFPSSGIAL >KGN55686 pep chromosome:ASM407v2:3:667522:667866:-1 gene:Csa_3G004520 transcript:KGN55686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRLEETLNKLKAFLRLCLLLRRRSIYRTRNVLEDDQTARNLERDSSSRYESCEEFEDDVDHRAEIFIENFRRQLRLERQISLQLRLYGVNNNSFETDYEEILPPPPPPPPIV >KGN56706 pep chromosome:ASM407v2:3:8324757:8327231:1 gene:Csa_3G129630 transcript:KGN56706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRERNSNNPSTPFLRRSRRQILEKRKRTKKRVEQSQSRRTSPVDYTPKSISHHLRHLPPPNLHLQFHFTRFRPSIHIPIRRSSHLMEAAAAGGGTGGAGPAPFLIKTYDMVDDSSTDEIVSWTSSKKSFVVWNPPEFARLLLPTFFKHSNFSSFIRQLNTYGFRKIDSEKWEFANEDFIKDQKHLLKNIHRRKPIHSHSNPQGSHIDPERAAFEDEIERLAREKTTLETNISRFKQQKSTAKLQLQDLTVKVESMEKRQKNLLAFLEKAVQNPSFVEHLARRVESMDFTAFKKKRRLPSADLSQPVVENSFLDNHSSSRSESGNIFHQDFSQKLRLETSCASDINLISRSTQSSNEEGGSSQRQLSKFDTRAVQENIHFAVETLDLSDTGTSFILRRDSSLSGKSHNDDSPCLHSLQPSVSSKEDGESHISCQLNLTLASSSLRINDTACSVRMPQLGQNVRKFPDSKVNSNGKESDVRLFTKNINLDEGSTPVCPQETSNNNHGPPAASIRANDVFWERLLTERPGCPESEEASSNYRANPFKEPDDGTVH >KGN57525 pep chromosome:ASM407v2:3:13977403:13982164:-1 gene:Csa_3G205280 transcript:KGN57525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLHFFLALLLFHFLFHSSSALKEGRTCIVNGNCGAGLHCETCFADGNVRPRCTRIQPISPISKVKDLPFNHYTWLTTHNSFAKLGAKSATGSLILAPTNQQDSITSQLNNGVRGFMLDMYDFENDIWLCHSYGGQCYNYTAFQPAINVLKEFQAFLEANPFEIVTIIIEDYVTSPKGLTNVFGAAGLRKFWFPVWRMPKNGGNWPTVSDMIQKNQRLLVFTSKAAKEASEGIAYVWRYIVENQYGDGGMKAGSCPNRGESLPMNTTSRSLVIVNFFRDAANFPEACKDNSAPLLNMVNTCHNAAGNRWPNFIAVDFYKRSDGGGAAKAVDVTNGHSVCGCPNISSCKENMRYGACELPEAIDATRTSSAAAAAAAAAGDVQSSSAQSKCRSAQLSALTDTLLAVAALFLLSLQEFHV >KGN59240 pep chromosome:ASM407v2:3:30469831:30472673:-1 gene:Csa_3G783860 transcript:KGN59240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEKDSENRLTSAAAFVEGGIQEACDDACSICLEDFCESDPSTVTACKHEFHLQCILEWCQRSSQCPMCWQSISLKDPTSQELLEAVERERNIRATPVRNTAVFHHPALGNFELQHLPLGVNNAELEERIIQHLAAAAAMGRTHHIGRREGRSRSSSHGRPHFLVFSTHPGGPPEHVSASGVHTEPAGITVASPSSPLTPRGDEPSQHIAQFPSIQTDHVSRSASGSNFSASRRGASSGIRISTSDSPSPNLDRAGPSEFQSFSESLKSRLNAVSTRYKESISKSTRGWKERLFSRNTSMSELGSEVRREVNAGIASVSRMMQRLETREDGVTNQGSNEQGGHNRRESNPENSLTDSSAPTSCAATSAER >KGN59839 pep chromosome:ASM407v2:3:34882339:34890794:-1 gene:Csa_3G849950 transcript:KGN59839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPVGGLGMNTSMDDMNLIQQAQRHHLVVRELGEEIDLEIGHGDDDPSFASTPIIGGPVREPSAEDHDESKHVVLVSQLSNDDQDMSKTQPAKRKKKVVKRWREEWADTYKWAYVDVKDGTARIFCSVCKEYGRKHRRNPYGNEGSRNMQMSALEEHNNSLLHKEALRLQMASKDKIIVDKPIYVKALMSKTAGSIIEAALKRDPNEVEFIQAVQEAVHALERVIAKNSHYVNIMERLLEPERMVLFRVPWVDDRGETHVNRGFRVQFNQALGPCRGGLRFHPSMNLSITKFLGFEQTLKNALSPYKLGGAAGGSDFDPKGKSDNEIMRFCQSFINEIYRYLGPDKDLPSEEMGVGTREMGYLFGQYRRLAGHFEGSFTGPRIFWSGSSLRTEATGYGLVFFAQLILADMNKELKGLRCAVSGSGKIAMHVLEKLIAYGALPITVSDSKGYLVDEDGFDYMKISFLRDIKAQQRSLRDYSKTYARSKYYDEGKPWNERCDVAFPCAYHNEIDQADAINLISSGCRILIEGSNMPCTPEAVDVLRKANILIAPAMAAGAGGVVAGELELNHACNLMHWSPEDFESKLQEAMKQTYQRALKAAADFGYQKESPEALVHGAVISAFLSVAQAMTDQGCV >KGN57116 pep chromosome:ASM407v2:3:10743327:10745515:1 gene:Csa_3G154380 transcript:KGN57116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQVMVIGVDESEHSFYALDWTLQHFFRPNATPYKLTIVNATLPSIPHGAAFLGSPNLMPTIDADLKKLTNRTVQRAKDICIEHNVQSVETEVVEGDARNVLCDSVEKFHASILIVGSHDYGVVKKMGLGSVSDYCAQHAHCSVMIVKRPPKPMT >KGN55633 pep chromosome:ASM407v2:3:350564:351918:-1 gene:Csa_3G002530 transcript:KGN55633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNICRYSSSGRSVWWLPTILLARHDMTMTPGPHGVKNAYCLLSLEDSNMTDHTSPSVVGNHEVMIFGTFANIRPVNKLLDGEVGPKTITFPLERNLPSLMLPCGSSQDWAAKGPMLLGVKAVIAKSFEHTHRSNLVGMGIITLCFKQGEDTESLGLSGHKCCNIDLPNSIKDLKPFPDITGTTEEAETRKSFQCTLRVNTEVYQIS >KGN56700 pep chromosome:ASM407v2:3:8298191:8298412:1 gene:Csa_3G129570 transcript:KGN56700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPTNLTLTYSFGQTSSDRSGAEWQSTGSERRGLKDCTAGLGSANVGRAGRKHPRAAAEEHGLGVGCVVEGGE >KGN56038 pep chromosome:ASM407v2:3:3458401:3459120:1 gene:Csa_3G049440 transcript:KGN56038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEGNEYGYFYVYVILMNLNLKYLCRYSGLNTMLTPNNPTSINSNPNTNQHLSNGGTSIGNSSATSPLSVAATANNNEQNQQCLVREQDQYMPMANVIRIMKRILPSHAKISDDTKETIQECVSEYISFITSEANERCQREQRKTVTAEDVLWAMGKLGFDDYIEPLTVFLNRYRESESIRTEPMLRRNVDYGAQVGMISPYGQAFQIGQVPAGMFDAMGGYYGGGGSGGPSAGNNSQL >KGN55679 pep chromosome:ASM407v2:3:622968:624548:1 gene:Csa_3G003470 transcript:KGN55679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEMLKYLVGSAGASGYGSKSTAEEVTQSSTHLNSITAIVTGATSGIGEETARVLAKGGARIVIPARNLKAAEEAKARIVSELECFDHSRITVMVLDLSSLNSVMNFVSEFESLNLPLNLLMSFSLDETSVEQDDRNGRIDGNPRPNSECDVQHPQLVFRRRIRISQPDKPEQQQVQVRLILLSLCINGAPIIVILIIIQSREYDATRAYAFSKLANLLHTVQLAHRLQEMKANVTVNCVHPGVVRTNLNRDREGFIKDLIFFMASKSKLLKTIPQSAATSCYVATHRKVENVNGKYFADCNEQGSCESNTKSAHLAERLWSTSEIIVSNLTGKPQP >KGN56005 pep chromosome:ASM407v2:3:3210532:3214821:1 gene:Csa_3G045190 transcript:KGN56005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDSFAYKSMKIVIFTNKLNFLMPFGPIAILVDELAGHNSWVFLLSLLGIIPLAERLGYATEQLAFYTGPTAGGLLNATFGNATELIISIFALRSQMIRVVQLSLLGSILSNMLLVLGCAFFCGGIVFNKKEQTFNKATAVVNSGLLLMAVMGLLFPALLHYTHSEAHFGKSELALSRFSSCIMLLAYAAYLFFQLMSQMNLYSSVYEEETHSEESSDEDEAPEISKWESVIWLSILTVWISVLSKYLVDAIEIASESLKIPVSFISVILLPIVGNAAEHASAIMFAMKDKLDISLGVAIGSSTQISMFGIPFCVVTGWIMGQPMDLNFQLFETATLFITVIVVAFLLQEGTSNYFKGLMLILCYLIVAASFFVHVDPPLSENKLESLKAE >KGN59852 pep chromosome:ASM407v2:3:34953926:34963217:-1 gene:Csa_3G850570 transcript:KGN59852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNSHSTHHLNPMGTLSFNALLLAFSLLHLHLLHAQPSQNGFISIDCGVNSSYTAATTGIKYVPDSNMVEAGMVNVVATDYRLDSLLKQLWTLRSFPEGIRNCYKIPVKIGTKYLIRASFLYANYDGKSSVPQFDLYFGPNFWVTVNLAKEQTIDNEEIIHITTSNEVQICLVNTGNGVPFISSIELRPLPNTTYVPVSGSFTTFLRLDIGAPNDTFIRFPDDIYDRIWGPPAPLPNWSSLSTSLTINNQDEAGFIVPSKVLSTASTVKNASAPMEFFWRDSDPSTEYYVYMYFAEIQVLTSNQSRLFKIYLNDNLWTKDDILFEYLTENVVRSLLPLPISSTYDFKLIMSQGSTLPPILNAVEIFKVINFLQLTTQQQDVDAIGSIKKFYGITKDWQGDPCAPKTFAWEGLNCSYDASNPPSITGLDLSSSGLSGEISSSIPNLANLALLDLSNNSLSGPVPDFLVQMPLLTFLNLSGNNLSGQIPSALLDKKKEGSLLFSFDGNPNLQETSPSEKKKNNIVVPIVAAIAGAVVILVLVLVSIYFIRKKRNSEGPRIVDPHSPINSQVELQSPSRKFSYSDILKFTSNFSKLLGEGGFGKVYYGLMGNTEVAVKMLSPKSAQGYREFQAEVDLLLRVHHRNLTGLVGYCNEGETKMGLVYEYMAKGNLGSILLDGRGEVLRWEDRLQIALDSAQGLEYLHHGCRPPIVHRDIKSSNILLNEYLQAKLADFGLSRAFPLEGGATHVTTKVVGTPGYLDPEYYTTYKLTEKSDVYSFGIVILELVTGRPVLVKTSEKSHIIQWVDSNINQGDIYSIIDPKIKGECNTNSVWKAVEVGMSCTAINPMNRPTMSQVVSELKECLNLELNHRAPQMDSTTSISSTFHSELGPVAR >KGN59234 pep chromosome:ASM407v2:3:30426718:30431520:1 gene:Csa_3G782810 transcript:KGN59234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARLMPSTLMKKFLKGVVPNSCLSPILSGIHVDTFLENNQNCPRLKQSTQFLSDKSVSNNSVNSVKGLDYDKNLNSEVEIFKSLAIQKGIFQSVQTYYETILKLGLDGNIEEMEMTCRDLVNEGCSGVEEVIVTLVNTLVRRGRVREALRVLPHISLVGLRPSVETFNVVLAVLVEEDRDIQEVLFVYKEMVKAGIVPNVDTLNFLLAALFHAEQIKTAMNQFRRMRKKGCSPNSKTFEVLVNGLITKNLVDEAVLVLGIMYKIRCELHLSFYTCAISLFCREDRIDVGSWLFTMMKASNIVPGTLIYSTLIQSLCKSLSLDKALFLLEEMVENGLIPEESVYVSIVEVFFELGKTDEAIKFVEDRCAFYTSPHNALLEGCTNAGKILLANCILGKMSKMNIDDCKSWNIVIGWLCNNAKIGNAFEFLGKMIVLSFVPNEDTYAALIVGNCKSRRYEAALQLMNEVHSRCWILNAGCYSELIEGLCQANRTLEAAEVFCHMSKNRHPLHPSLFDTLIKGMCDLGHVDEALVLLQLASYAGTSCKSVTYASIIHELSKSNKAETALLVLSQMLVLGCNLDLETYYILIHSFSSINRVKESILLFNHMVNEALLPDSERLYDLFLCIANHSQLHMISTTIDKLVTHTDLVNTATYNLLINGLWKEDRKYEACKLLDSMLEKGWVPDATTHGLLIGSLFQEKTGDKVLISENSAIEDNVSSILVEGLGNS >KGN56053 pep chromosome:ASM407v2:3:3551925:3558620:-1 gene:Csa_3G061560 transcript:KGN56053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPTDSDLPDPSNASSGAKYKLLSPAKLPISRSPCITIPPGLSPTSFLDSPVLLTNLKVEPSPTTGSFTKLPMAHDSSGSAIYPMTSMAFSNTNASDEGRSNYFEFKPYVGPNMVPADLSHRKGEQFSEVQGQPQPFTAPPMTKMEISVMSNDLSRSTQMDTHTVASGVSVPEANGDEINHSLNTNSRVQAPQSDPKGSGIPVVSDRLSDDGYNWRKYGQKHVKGSEFPRSYYKCTHPNCEVKKLFERSHDGQITDIIYKGTHDHPKPQPSRRYSASASMNVQEDGTDKPSSLPGQDDRSCSMYAQTMHTIEPNGTTDPSMPANDRITEGAGTTLPCKNHDEVDDDDIYLKRRKMELGGFDVCPMVKPIREPRVVVQTLSEVDILDDGYRWRKYGQKVVRGNPNPRSYYKCTNVGCPVRKHVERASHDPKAVITTYEGKHNHDVPTAKTSSHDVTGPSTIPSSRYRLEESDTISLDLGVGIGTGGENRSNEYRQALHSQLVENRAPSGNFNFEVVQENSAPTYFGVLNRSVIN >KGN56763 pep chromosome:ASM407v2:3:8675531:8679060:-1 gene:Csa_3G133140 transcript:KGN56763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKAINRQSILLHHLRPSSSAYTNESSLSASVCAAGDSASYQRTSVFGDDVVIVAAYRTAICKSKRGGFKDTYPDDLLAPVLKALIEKTNLNPSEVGDIVVGSVLAPGSQRASECRMAAFYAGFPETVPVRTVNRQCSSGLQAVADVAAAIRAGFYDIGIGAGLESMTTNPMAWEGSVNPRVKSIENAQNCLLPMGVTSENVAQRFGVSREKQDQAAIESHRKAAAATASGKFKDEIIPVSTKIIDPKTGQEKPVTISVDDGIRPNTTQADLGKLKAVFKKDGTTTAGNSSQVSDGAGAVLLMKRSVAMRKGLPILGVFRTFSAVGVDPAIMGVGPAVAIPAAVKAAGLELNDIDLFEINEAFASQFVYCRNKLGLDPEKINVNGGAIAIGHPLGATGARCVATLLHEMKRRGKDCRFGVISMCIGTGMGAAAVFERGDCVDELCNAKKVEGGVNLLSKDAR >KGN57959 pep chromosome:ASM407v2:3:19681531:19681909:1 gene:Csa_3G414050 transcript:KGN57959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHYRDPARFTSSHNHFSSLGPRILPSLEPGTHLNQHLASFLGYPPVAHKLFLTTWVMGLSLHLDLEFILNQHLMSFLGYLSLFHKSFIAS >KGN57383 pep chromosome:ASM407v2:3:12650584:12651024:1 gene:Csa_3G182190 transcript:KGN57383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMGWVWNEVLRLYPSAPNIQRQAKGDIDLGDVKIPKGTNIWIDIVAMHHDPTLWGDDVNEFNPKRFQHDTIHGGCNHKMGYLPFGFGGRMCIGRNLSSMEYKIVLTLILSTFSLSLSPSYTHSPATLLSLRPAHGIPLILTPLHP >KGN60334 pep chromosome:ASM407v2:3:38635066:38638700:1 gene:Csa_3G895800 transcript:KGN60334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYDYDDSRANYDDPRHAPVSAPAGQDIGYDPNFVPDSVKSFVVHLYRHIREKNVYETHQMYETSFQSLSDRLFKDTPWPSVDAVAHFVDNDHVFCLLYREMWFRHLYARLSPTLKQRIDSWDNYCSLFQVVLHGVVNMQLPNQWLWDMVDEFVYQFQSFCQYRAKMKNKTEQEIALLRQFDQAWNVYGVLNYLQAFVEKSSIIHILEEEKEGLEQFTATDGYDYSGGSSNVLKVLGYFSMVGLLRVHCLLGDYHTGLKCLLPIDISQQGVFTSVIGSHITTIYHYGFANLMLRRYVDAIHEFNRILLYIYKTKQYHQKSPQYEQILKKNEQMYALLAICVSLCPQGKLVDETVNSQLREKYGEKMIRMQRYDEEAFAIYDELFSYACPKFITPSAPSFEEPLVNYNQDAYRLQLKLFLYEVKQQQLLSGVRTFLKVYSTISLGQLAIYMEVDESTLRTILLTYKHKTHAVDSAGKIMSNADVDFFIDDDVIHVVESKPVNRYGDFFLRQIVKLEGMINDLDRVKLD >KGN59360 pep chromosome:ASM407v2:3:31309464:31328188:1 gene:Csa_3G813290 transcript:KGN59360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGRSITVLNVAEKPSVAKSVATILSRNQGLRVRDGRSRYNKIFEFNYAIRGQSCNMIVTSVTGHLMELAFEERYRKWHSCDPVQLFHAPVHKFVPEDKLDIKRTLEQESRRAQWLVLWLDCDREGENIAFEVVEVCTAVNHHLNIKRAHFSALIERDIHDAVQNLVQPNKWFADAVDARQEIDLRIGASFTRFQTLLLKDAFNIDSVTDDRNIVLSYGPCQFPTLGFVVERYWEIQSHEPEEFWTINCSHNSDDGISTFSWTRGNLFDYTCAVVFYEMCVQESTATVVNVRRQETLKYPPHPLNTIELEKRASRYFRMSSEHTMKVAEDLYQAGFISYPRTETDCFSQRTDLHGIVREQQEHQIWGAYAQRLLDPESRLWRNPSGGGHDDKAHPPIHPTKFSAGERGWSQDHHRLYELVVRHFLACVSQPAVGAVTTVEIDIAGELFSTSGRMILARNYLDVYRFESWGGSTIPTYVSGQQFVPTTLTLDSGVTRPPPLLSEADLLSCMDKEGIGTDATMHDHIKKLLDRFYATKDANTRFTPTNLGEALVMGYDDMGYNLWKPNLRAIMEHEMKAVSEGTKRKDEVLASCLQQMKTCFLDARVNKQKLLEAMEVFFERSNGSGGDENRAVGEVVQLCGLCQDSDMVLKRNRDGNYMVGCLGFPQCRNVVWLPGSIAEATVTSDRCTLCTPGPVYLIQFKFRQLEIPPNYNANHLGCVGGCDDILRQLTEICGTGSRVSARGRGTSSSNVQRDNPGQSVCIFCQQVGHSSNDCPSQSVGSRSAQRRQSGESSVSCSSCGSPCVLRTANTANNRGRKFYKCQSQECNFFVWEDNVDNGNGGNSVQRSNVRSSGSAPSNLNPGQNTGRGRGRGRGRGRDRDRDRGQGQIAATFVSATGEPISDRRCFVCGDPSHFANVCPNRGA >KGN59534 pep chromosome:ASM407v2:3:32548470:32553352:-1 gene:Csa_3G824790 transcript:KGN59534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIILDTDRCLLTSPLLCSSVVALPQHRRRFRRGLLARFEKATCSQAPVSFIFILNALCFRLLILLHLPINQLIEEFWNPLKSKALGMDPKHTGEILKHLEKQGEFLMDAYRSMSHELHKLQVEEEMLMRKFYEIMSAHGLVKKNEDDRNSSGSARNGDNNIE >KGN58940 pep chromosome:ASM407v2:3:28462209:28466108:1 gene:Csa_3G736880 transcript:KGN58940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEISSSWSEEFAGLWEDSGIRYVAEPIGISSPSIENTGSVFPVESGNYEESVESESLKNQVKGFALAWGEILLELGRGCRDIVQQNLITEDSYIQKLRGPCASVTSRLSFLNEFLPEDRDPVYAWPVIFFVSILAFTVICVNNRQESFSRPIMKVRDHLPSASLMLLPDGRHMAYDVYGVSADRARFSILAPHSFLSSRLAGIPGVKMSLLEEFGVRLVAYDLPGFGESDPHPHRNLNSSAFDMLHLADAISINGKFWVLGYSEGAMHAWAALRYIPDRIAGAIMVAPMINPYEKGMTREELRRTWENWGPRKRLLYFLARRFPRFLSYFYRRNFLSGRHEEIERQLSLSLRKKDEVLIEDPKFKEFWYRNVEESIRQKNVKPFVEETMLLVSNWGFSLADLRVQRKCQRSSILHWLKSLYSQEQCELAGFVGPIHIWQGIDDQAVPLSMTDYIGRILPAAVLHKLSNEGHFSFFYFCDECHRQIFSTIFGPPKGPVDRKERIEASPLEGNIDLTVK >KGN55872 pep chromosome:ASM407v2:3:2130525:2132974:-1 gene:Csa_3G020600 transcript:KGN55872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRKMGKGELEFSAAFSSSSPICSGFAEKWVKKGEEQQEQELDEEQEGFSYFALPNNEPTSVLHMRSPSPPTSASTLSSSFGGGGGGGCVPSLPPETPAVEPVAGAGVGNVIFPGGLERCGVGLEDLESMWSETAGPEPSFLRWFAGDVEDPSLGSKSVLANGNIPFDLDGNAGIGIVDQGSEFDTGSGNVLANINPNLSFPLAACAGFSDVNGNNKSFNRTTCGGVVNYKSSSLGSNNRHGNFNVQNPIFTGSLENLVVPVSGMIYPQQLQPFESPDEKPQNLNTQVLLNQHQQPQNPSFFVPLTFGQQEQQLQPQLKRRNSSGGLDPNPNGQILKVPFMDPGNEIFLRNHQLQVLQQQQQQQLGYPPGLQFLPQQKAMSPKPKVVGLGDEMSYHNPPQQQHQHALLDQLYKAAELVGTGNFSHAQGILARLNHQLSPVGKPLQRAAFYFKEALQLLLLMNNPVNPPPPRCPTPFDVIFKMGAYKVFSEISPLIQFVNFTCNQALLEALDDIDRIHIVDFDIGFGAQWASFMQELSLRNRGAPSLKITAFASPSTHHPIELGLMRENLTQFANDIGISFEFEVVNFDSLNQNSFSLPFPRSSENEAVAVNFPLWCSSNQPAMLPSLLRFIKQLSPKIVVSLDRGCDRSDLPFPQHMLQALQSYINLLESLDAINMNSDAVNKIERFLLQPRIESTVLGRLRAPERMPPWKTLFASAGFTPVTFSNFTETQAECVAKRTSVRGFHVEKRQASLVLCWQRRELISASAWRC >KGN57684 pep chromosome:ASM407v2:3:15665582:15671493:1 gene:Csa_3G251940 transcript:KGN57684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEWVIGAVINLFGSLAINFGTNLLKLGHNEREKHSMLENNGSIGKTPMKPIIYFQTWRVGITFFIIGNCLNFISFGYAAQSLLAALGSVQFVSNIAFAYFVLHKMVTVKVMVATAFIVLGNVFLVAFGNHQSPVYTPEQLVEKYGNITFLLYCVILILVVALHHSIYRRGELLLSVSGQDLRPYWHMLLPFSYAIVSGAIGSCSVLFAKSLSILLRLAMSSDYQLHSWFTYSLLLLFLSTAGFWIRFRLRFGKITNRGDGEFKDNSSLVSGTSTSFTSEEERLIVSSKELETRDAKSFSQGVLLKITDVVAKAKIGNPRGVSLSAAFSIRIS >KGN60006 pep chromosome:ASM407v2:3:36186080:36186601:1 gene:Csa_3G865410 transcript:KGN60006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSASEITILQMESPGNGSTGYEENSGASSPGDGDGIFSAVETMLVKFHAGYFRIGMSVGCQTLLWKILAVENDDLLHPMVLIVLWSMGFFLLFCLSVFYLLRCFFHFRLVQCEFLHHVGVNYLFAPWISWFLLLQSAPFLPPRATLYKVLFLSSFLLLSSFLLSNLLLFPF >KGN58288 pep chromosome:ASM407v2:3:23572089:23576946:-1 gene:Csa_3G608180 transcript:KGN58288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDEGKLFIGGIAWDTSEEKLRDYFSQFGEVIQAVIMRDKTTGRPRGFGFVVFSDPSLLDQVLQDKHTIDGRQVEAKRALSREEQQTSTRSGINNSGRSSGGSGYFRTKKIFVGGLPSALTEDGFRQYFESYGQVTDVVIMYDQNTQRPRGFGFITFDNEDAVDRVLYKSFHELNGKLVEVKRALPKDANPGSGGRAGYQNYGASGTNSNSFDGRSDGNRYMQPQSTAGGFPPYSGYSVSGYGYGGPNSGVPYGSYGSYGVGMYGGANAGFGGPAGPFGNPGAVNAGYLSGAPGAMKSSWTNQAPGYGGPGYGASPGFGAAAPWNASGTGAPPSAPRGQSPSGASGYGNQGYYGGNDGTYGGYGASGGRAASAPNSNVGTGQSGEQHGVSGGYMGSGYGDTNGNSGYSSGGWRSDASQTPGGYGGGYGGNQSRHG >KGN58838 pep chromosome:ASM407v2:3:27930759:27935724:1 gene:Csa_3G733920 transcript:KGN58838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSRVFTKNARRIVVKVGTAVVTRGDGRLALGRLGALCEQLKELNSRGYEVILVTSGAVGLGRQRLRYRRLANSSFADLQNPQGDFDGKACAAVGQSSLMALYDTMFSQLDVTSSQLLVTDSVFSDTGFRQQLSETVNALLDLRVIPIFNENDAVSTRKTPYEDSSGIFWDNDSLAGLLALELKADLLVLLSDVEGLYSGPPSDPNSKLIQTFVKEKHLGQITFGAKSRVGRGGMTAKVNAAVYASCAGIPVVITSGFATDNIIKVLQGEMKGTLFHKDAHLWTLVKEVSAREMAISARESSRRLQALKSEDRRKILMDVADALEDNENMILAENSADIKAAEEAGYEKPLISRLALKPGKVKLLANSVRKLADMEEPIGRILKRSELARDLVLESVSCSLGVLLVVFESRPDALVQIASLAIRSGNGLLLKGGKEARRSNAALHKVITSAIPDTVGEKLIGLVTSREEIPDLLKLDNVIDLVIPRGSNKLVSQIKESTKIPVLGHSDGICHVYVDKSADIEMAKRIVSDAKVDYPAACNAMETLLVHEDLANNGGLIKLITELRKEGVTLYGGKRASELLKINEAHSFHHEYNSLTCTVEIVDDVFAAIDHINEHGSSHTDCIITEDQKVAEIFLNQVDSAAVFHNASTRFCDGARFGLGAEVGISTSRIHARGPVGVEGLLTTRWILRGTGQVVNGDNGVAYIHKDLALKN >KGN57266 pep chromosome:ASM407v2:3:11841493:11847534:-1 gene:Csa_3G175670 transcript:KGN57266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLDLGPFSGENFDPKKWINSACQTRHPQESLDKHLVDLEMKLQMVSEEIAASLEELSANALLRVPRATRDVIRLRDDAVSLRSAVSGILLKLKKAEGSSAESIAALARVDTVKQRMEAAYETLQDAAGLAQLSSTVEDVFASGDLPRAAETLANMRHCLSAVGEVAEFANVRKQLEVLEDRLDAMVQPRLTDALTNRKVDVAQDLRVILLRIGRFKSLEQNYTKVHLKPIKQLWEDFDSKQRAHKIANEKNEFERPTTNNDFQSSFPSVSFTSWLPSFYDELLLYLEQEWKWCMIAFPDDYKALVPKLLIEIMAVVGSSFISRLNYATADVVPGTLGKGILDVLSGDMPKGVKIQTKHLEALIDLHNMTGSFARNVQHLFSESNLNILTNTLKAVYFPFETFKQRYGQMERAILSAEIAEVDLRGAVTRGVGAQGIELSETVRRMEESIPQVILFLEAAVERCISFTGGSEADEILLALDDVMLQYISSLQETLKSLRVVCGIDQSSDGVGSKKETGLDKKDGTRKVDLMSNEEEWSIVQGTLQMLTVADCLTSRSSVFEASLRATLARLSTTLSVSVFGSSLDQNQSHIVGDYSNREVTMGGRAALDMAAIRLVDVPEKAKKLFNLLDQSKDPRFHALPLASQRVSAFADKVNELVYDVLISKVRQRLSDVSRLPIWSSVEEHSALPLPTFSSYPQSYVTSVGEYLLTLPQQLEPLAEGISNSNANNDEAQFFAAEWMCKVAEGTAALYTEQLRGIQHVTDRGAQQLSVDIEYLTNVLSALSMEIPPALATFLTCLSTSREQLKDLLKSDSGRELDLPTANLVCKMRRVNLD >KGN59420 pep chromosome:ASM407v2:3:31799139:31801498:1 gene:Csa_3G819280 transcript:KGN59420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLFFGHFFQNAMPYIAVIFLQFGYAGLNILSAIALNGGISHYVLVVYRHVFATAIMVPFALILERKFRPKITVKIFIQMFVLALLGPLLDQNLYYMGLKLTSPTIACAIGNMLPSMTFAMAVICKIEKLDLKRVRCRAKLLGTIVTLGGAMLMTFYKGSVVNFLGTKHGPQPNIPSTAVLKHHNKGEYIKGSILLIISNLAWAAFFVLQAITLRKYTAHLSLTALVCFLGTLQAIVATLAMERRLSVWTIGWDWNLLASAYAGIVTTGVAYYVQGLVMKRRGPVFVTAFGPMVVVIVAFMGHFILAEEIYVGGIIGTVVIVIGLYFVLWGMYKESKEKKEEVNGEIIVEAIIGEGIELAIDQKKEGLTITTIPSMASPNYMEKEH >KGN56995 pep chromosome:ASM407v2:3:9941285:9942458:-1 gene:Csa_3G147780 transcript:KGN56995 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psaD description:hypothetical protein MAMATQATLFTPSLSTPKSTGISIPWKQSSTLSFLTSKPHLKAASSSRSFKVSAEAETSVEAPAGFSPPELDPSTPSPIFAGSTGGLLRKAQVEEFYVITWESPKEQIFEMPTGGAAIMREGPNLLKLARKEQCLALGTRLRSKYKIKYQFYRVFPNGEVQYLHPKDGVYPEKVNPGREGVGQNFRSIGKNVSPIEVKFTGKQVYDL >KGN57102 pep chromosome:ASM407v2:3:10689987:10691499:1 gene:Csa_3G154260 transcript:KGN57102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVCSEAAPSQPLRAQVWVLKVSIHCEGCKRKVKKVLQSIDGVYTTIIDSDQQKVTVTGNVSLETLTKRLGKAGKHAEIWPEKQAGKEKQSIKMLETNKGKDQENVRSPGTNKASAKKVEFKVSPVKKNHEEQNNKSKNIGSSPKKPPAREATPASGSKGSTTGQEGCSPDKSSEKRKMKGHPGNNISDKSSSIPQNGHGLFNEDLEVGLKNGNPMTHQIYTGPKGYIFPPPILGLNYNAPHLGKGPEFFYHVPPIPYSYSNDPTDNYEDQAKPQTYLDYFSEENAHGCFIM >KGN57709 pep chromosome:ASM407v2:3:15988242:15989197:1 gene:Csa_3G258110 transcript:KGN57709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHKFPHLPFHSIDSRSLLLHQNSAADHPISLHLTPEPFSMERGPRYRAYAELRESKLRLRNAMYRHDEHPEKSTPPPKKQVKFLGSETVRKRSATVAQSVPDFSAVLRKENRKPPPGMLSPVMEMTPPGKTWGKNIGGLSTTSRGSKSASAGEKRGGGLTAVRKSYAGFEELKGFSTAAANAINGENRKGGRRGKTVLGVRQI >KGN59294 pep chromosome:ASM407v2:3:30848525:30850526:1 gene:Csa_3G806260 transcript:KGN59294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCCKAIIRILLFFATFFRCTINPTLCFDFDFPFFDDDSDTELILSNNVRIFDRALRVTPDIGGASVSNEYGRAVYKRPFKLKNNGKVNSFATSFEFDVSPQTLPEGGEGLAFILTAEPSPPASSYGQWLGIVNASTNGTSNAKIVAIEFDTRKNFPQDIDSNHVGLNVNSVYSIAQQPLLGFGVNLSSANFLYGTIVFDGNNVSIYVTTSIFKEDQLKNLVIFQPLDLSILPDDIFVGFSASTGNYTQLNGVKSWKFFSEDSRQGKETPAWVWLIVAGVGCGVALAFFVWVQRPRVNHLEEPYGSDIEHQLQLLSIAPRAKKFEFRELQKITDNFDPKNMLGKGGFGTVYKGNLLDKEVAIKRISKDSRQGKQEFIAEVATIGSLHHKNLVKLTGWCYEERDLLLIYEYMPNGSLDKLIFGYSEMNGMDPAPNWETRRNIIYGVAEALNYLHNECEKTVLHRDIKASNVMLDSKFEAKLGDFGLARTICRTEQTHHSTRAIAGTPGYMAPEILLTSRATRETDVYSFGVLILEVICGRRPGNPSELGGYNGSLAHWAWEFHREGKIVEVVDERIEGQFVKEEIEFPLILGIACCQPNPIQRPTMKIALQVLKGEANPPILPNEWPSFVWPPIPPSFKGDANNLPEEAPLTLTELTGR >KGN58137 pep chromosome:ASM407v2:3:21826472:21827803:1 gene:Csa_3G539670 transcript:KGN58137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTESSPPPEPPLNFWGDQPEEEFYASQGVRNTKSFFETSHGKLFTQSFIPLDFPDLKGTVYMTHGYGSDTGWMFQKICLSYASWGYAVFAADLLGHGRSDGLRCYLGDMDKIAAASLSFFLHTRRSEPYRHLPAFLFGESMGCAATMLMYLQSDPDTWTGLIFSAPLFVIPENMKPSKLRLFLYGLLFGVADTWAAMPDNKMVGKAIKDPQKLKIIAANPRRYTGPPRVGTMRELVRVTQYIRDNFSRVTAPFLTVHGTADGVTCPSSSELLYEKATSVDKTLKLYDGMYHSLIQGEPDENVEIVLRDMREWIDERALRYGPKK >KGN57808 pep chromosome:ASM407v2:3:17498801:17499897:-1 gene:Csa_3G313230 transcript:KGN57808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRRLTTFSLEISVNILIEEVRQSGRDGGVVGGGCRKGGNRARGWQKTDQRKGEADQLLLGSVSSGRNGSGGNVSDVGGSSEVIANGQEFCLLGPVVVSILQEAMNGCSSLVTEISPGLLLKDAAYGAAAYVYYELSNYLTFKDWFNGALSLEISNDHPNMRIIRRKVALILGQWVSEVLS >KGN59057 pep chromosome:ASM407v2:3:29127592:29136084:1 gene:Csa_3G749850 transcript:KGN59057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMPGRRLNYTLLSQIPDDQYSGGVAGASTSFIETSSGEGKNDRRKLERGLDWEVAGDHRAGQQQQVNWIGNMYSAFGLQRQSSGSSFGESSISGEYYAPTPSTTVANETDVFGCTHDDVLRVGGDSRAQAGEMAAGAGGSSAKTWAQQTEESYQLQLALALRLSSVATCADDPNFLNPFHDDSALRRLIGSAESVSHRFWVNGCLSYLDKVPDGFYLIHGVDPYVWTVCTSLPDNDHMPSIESLKSVDPSTDSSIEVVLIDRCTDPNLKDLQIWVQNISCSSITTEEVVDQLAKLVCRSLGGSVSGEDALVSIWKECSDNLKETLGSVVIPLGGLSVGLCRHRALLFKVLADTIDLPCRVAKGCKYCSCHDSSSCLVQFGLDKEYLVDLIGNPGCLYEPDSLLNGPSSILISSPLRFPRLNPVEPATDFRLLAKQYFSDCQLLNVVFDEASSCNHSEITVDGEDGALPLYPKQFDRKFTNRSNQMLVTGDSDEKSILLHPKTSQPNSHDRDFQLYKPRDNSHSVIQPTVLVEDSIPLKYIPHNNRGSMQSLLDMSQPRMDSTMDVRFAAGGQLIPSNRSNTLPLGAEDLDIPWGDLVLKERIGAGSFGTVHRADWHGSEVAVKILTEQDFHPERVNEFLREVAIMKSLRHPNIVLFMGAVTKPPNLSIVTEYLSRGSLYRLLHKSGVKDIDETRRINMAFDVAKGMNYLHRRDPPIVHRDLKSPNLLVDKKYTVKARTFLSSKSAAGTPEWMAPEVLRDEPSNEKSDVYSFGVILWELATLQQPWCNLNPAQVVAAVGFKGKRLDIPRDVNPKLASLIVACWADEPWKRPSFSSIMETLKPMTKQAPPQQSRTDTLSVM >KGN59887 pep chromosome:ASM407v2:3:35225570:35228074:-1 gene:Csa_3G851870 transcript:KGN59887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMVLSVVLFMCIGSYATFTPIDNYLISCGSTQNITFQGRTFVPDSGHSLLSNDGESSVVVNSKGTTAPSPIYQSARVFTSIASYKFEIRKQGRHWVRLYFYPIPKSERNLASASITVVTEKFVLLNNFTFKNYNGSFLFKEYAINVTSDSLILTFIPSNGSVSFVNAIEVVSVPDELIPDQALALNPSAPFSGISELAFETVYRLNMGGPLLTSQNDTLGRSWENDMKYLHVNSSAVNVSASPGSIKYHAGVTPETAPNWVYATADAMGDPNVPNVNFNLTWVFSVEPSFQYFVRVHFCDIMSKALNNLVFNLYINSDNALGSFDLSSLTGDLGVPYYKDFISNSADSSTLTVSVGPDTMADITNATMNGLEIMKISNQAGSLDGTSSVASLFPDAPSKKNNIAIIVGSVLGAVVGLALIVFCYCCFVGRKSKTTQPAHPWLPLPLYGNSQTITKVSTTSQKSGTASFISLASSSLGRFFTFQEILDATNKFDENLLLGVGGFGRVYKGTLEDGMKVAVKRGNPRSEQGLAEFRTEIEMLSKLRHRHLVSLIGYCDERSEMILVYEYMANGPLRSHLYGTDLPPRQQLTEKSDVYSFGVVLMEVLCTRPALNPVLPREQVNIAEWAMTWQKKGMLDHIMDPNLVGKVNPASLKKFGETAEKCLAEYGVDRPSMGDVLWNLEYALQLEETSSALMEPEDNSTNHIPTIQLTPLEPFDNSVSMIDGGNSGTDDDAEDAATSAVFSQLVNPRGR >KGN59447 pep chromosome:ASM407v2:3:31945938:31947273:-1 gene:Csa_3G821020 transcript:KGN59447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMYDSDSDSKPVNEEGDHPWKEAINKGYGTTGLSKMRLRALPKAFIQPPEKRSSSMIRASSQASGDTIPIIDMSKAEAAELICEAAEKWGFFQVINHGVPAVLMSDVMHAARRFLGQAAEEKRRFLKENTSCSNVVYMTSFFAEAEKGLEWSDYLSMNFVSEEEAYAFWPAISKDATIEYLKSSNTLIKRVLKILMNKLNANELDETNQTRRIQFNYYPISPTPDLSVGVRSHSDVSLLTVLLQDDVGGLHVRREIDSKGDEWVQVKPVAESLVINIGDFLEIMSNGIYKSVEHCVVSNGNIDRMSIPIFVGLQNSPTIGPLPEVLATGKKAEYKEVVFSDYIKYFLNKPLDGKSTLKLAKI >KGN59709 pep chromosome:ASM407v2:3:33741668:33743663:-1 gene:Csa_3G840360 transcript:KGN59709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSYGITKGKPDNYTIPIVLKACAGLQALEFGKMVHGFVEKIEKTDVDMFVGASLIELYSKCGKMDEAFQVFLGFSQPDVVMWTSMITGYEQNGNAEKAVDFFSQMVIIEHLNPDPITLVSLASACTQLSDSKLGSSIHGFMIRRNLDYDLSLANSLLNLYAKTGSVNAAAKLFEKMPTKDVISWSSLIACYSHNGLAAEALNLFNEMIDRKIKFNSVTVVAALQACAVACNLEEGKRIHELVARKGLELDISVSTALIDMYMKCFSPEEALDVFEKMPKKDVVSWAALLSGYSTNGMSFKSMGTFNTMRLNNIKPDAVAMVKILVSCSDLGILQQALCLHDYVIKTGFTNNIFVGASLIELYSKCGNIVNAMKVFEGLKVKDIVTWSAIIAGYGIQGQGREALKLFNKMIETSEVMPNEVTFLSLLSACSHAGLIEEGIKIFNMMLHKYRIKPMMEHYSIIVDLLGRTGELDRALNFVEKMPIPAGPHVWGALLGAACVHHKSELGEIAAKNLFKLDPNNAGYHILLSKIYAVEKNWDNVGKLRDMVKEKRLKKMLGESVIEAGNEVHSFVANDRLHSESDQIYRLLRNLNVNMKDESHSSNSRSYLQGSVEVV >KGN58577 pep chromosome:ASM407v2:3:26320935:26324741:-1 gene:Csa_3G689270 transcript:KGN58577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKTGALDLASGLGGKLEKNEVLSAVEKYEKYHVCYGGEEEERKANYTDMVNKYYDLVTSFYEFGWGESFHFAPRWKGESLRESIKRHEHFLALQLDLKPGYKVLDVGCGIGGPLREIARFSYTSVTGLNNNEYQISRGKELNRVAKVDRTCDFVKAEIEIGDGLPDIRMTGKCLEALKQAGFEVIWEKDLAENSPLPWYLPLDKSHFSLSSFRLTALGRFITKNMVKALEFIRLAPKGSQRVQDFLEKAAEGLVEGGKKEIFTPMYFFLARKPLSATE >KGN58655 pep chromosome:ASM407v2:3:26913152:26913313:-1 gene:Csa_3G710820 transcript:KGN58655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKKGMPWSTMQYAQRPNQRTSDREISQHSRGHGNVVMGEGHLAQKFNSAPPC >KGN58656 pep chromosome:ASM407v2:3:26919089:26930425:1 gene:Csa_3G710830 transcript:KGN58656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFLLRKLFTGDVVEYHEWLSTSSLLAVWIFTSIFANCSNFEHIFNNKMLCLWWIVRAIFGIFIFVSTYADFEILKTVNSSFVVLLDVLFGTLAFIISSEHAKSSSMEDSLLYVDVDLEDSHMGNNKEDKQSCWNLLTFNSVTSVMDDGAKKQLNFEDLLQLPNEMDPSFCHNKLSFCWKDQCSRNCLNPSFFWAICCAYGWSYVSLGLLKVLNDCINFVGPMLLNRLIHYLQQGSGTSDGYVLAISLGLTSIFKSFLDTQYTFHLSKLKLKLRSSVMTVIYQKCLSISIAERSQFSSGEIQTFMSVDTDRTVNLCNSFHDMWSLPLQIGVALYLLYTQVKFAFLSGIAITILLIPVCQCFICISILTSSYILTSE >KGN59818 pep chromosome:ASM407v2:3:34687170:34688646:1 gene:Csa_3G848270 transcript:KGN59818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFPGGGNWTDAIENGTRDQQSDALVNDLNNHFEKCRQLLNSISGSLSSKAMTVEGQKKKLEEHEQLLSHRRELIGKYKNSVEELVKGEP >KGN58631 pep chromosome:ASM407v2:3:26730191:26731092:1 gene:Csa_3G705670 transcript:KGN58631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKQHFSHPHPLAAATLVEDDGTLCSACEFPLSGAAFKCSKPKCEFHLHDLCFALPPEIHHPSHPKHPLILAGSPPYVGGEFACDGCGDVGSGFIYRCPRCQFDLHIHCAALPETVVGKNHDHPLRLGFESKGKGFCCGVCEEGFGNGGWVYYCGVCDFGVHVHCFVADDEDEDEEIVG >KGN56505 pep chromosome:ASM407v2:3:7168797:7170439:1 gene:Csa_3G121800 transcript:KGN56505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPSKRREMDLMKLMMSDYKVEMINDGVQEFYVDFHGPSESPYHGGLWRIRVELPDAYPYKSPSIGFLNKIYHPNVDEMSGSVCLDVINQTWSPMFDLVNVFEVFLPQLLLYPNPSDPLNGEAAALMMRDRVAYEQKKSRQVHAMPESIAENKENSTFGDYL >KGN60311 pep chromosome:ASM407v2:3:38471785:38479249:-1 gene:Csa_3G895080 transcript:KGN60311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRASPLLRASHLPFLSGIHMALALHLPLYPNYKTSTSSRSSSHLVSVCQTNCYDRISGKINFSQFVRNFYALSGSYNKVRAPCGRIFCGQREYRKVRRRVPKRKIKELELNVSICIEEELPDDPEVLSIAEMLRLNVPKALKFALNNLKDSEYKTRDNSIDDVGLFDSVELSVLLCNDDFICKLNKEWRDEDHATDVLSMSQHVPELKLPILMLGDIVISVETAARQAEERGHTLLDEIRILLVHGLLHLLGFDHEISEEAEVEMEKEEELLLTSLEWRGKGLIQSAIDAQTNSDSAAVVSDDRKKEGSLRFYRPRFSYIFCDMDGTLLNSKSQITPTTVKALKEVLSRGVKVVIATGKARPAVISILEKAGLAGKDGAVSEFSPGVFLQGLLVYGRQGKEIYRRNLDQDVCKEACLYSLEKKVPLIAFGEGRCLTLFDHPLTDALHTVYHEPKAEVMPSVENLLAAAEIQKLLFLDTAEGVSHILRPHWSEAAGEHASVVQAVPDMLEIVPRGTSKGSGVRMLLNHLGVSAEEVMAIGDGENDIEMLELASLGIALSNGSEKTKAVANLIGPSNDEDGVAEVIYRYAF >KGN56281 pep chromosome:ASM407v2:3:5642993:5661979:-1 gene:Csa_3G112260 transcript:KGN56281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINISWHHSRAVGYRFGPHLGDTFPVLINYCTSASESDEELREYSLQALESFLLRCPRDISSYCDDILHLTLEYLSYDPNFTDNMEEDTDDEIHEEEEEDESANEYTDDEDLSWKVRRAAAKCLSALIVSRPEMLSRLYEEACPKLIDRFKEREENVKMDVFSTFIELLRQTGNVTKGQVDMNELSPRWLLNQEVPKVVKSINRQLREKSIKTKVGAFSVLKELVVVLPDCLADHIGSLIPGIEKALSDKSATSNLKIEALIFTRLVLASNSPSVFHPYIKDLSSPVLSAVGERYYKVTAEALRVCGELVRVVRPGIEGQGFDFKQYVHPIYNAIMSRLTNQDQDQEVKECAISCMGLVVSTFGDNLKAELATCLPVLVDRMGNEITRLTAVKAFAVIAAFPLQIDLSCVLEHVISELTAFLRKANRALRQATLGTLNSLIAAYGDKIGPSAYEVIIVELSTLISDSDLHMTALALELCCTLMGDRRSGSSIGLAVRNKVLPQALLLIKSSLLQGQALMALQSFFAALVFSENTSFDALLDSLLSCAKPSPQSGGVAKQALFSIAQCVAVLCLSAGDQKYSSTVKMLTEILKDDSSTNSAKQHLALLCLGEIGRRKDLSSHAHIENIVIESFQSPFEEIKSAASYALGNIAVIVRQSVDKAEFQDSSVEKILNLLFNHCESEEEGVRNVVAECLGKIALIEPGKLVPALKVRTTSPAAFTRATVVIAVKYSIVERPEKIDEIIYPEISSFLMLIKDHDRHVRRAAVLALSTFAHNKPNLVKGLLPKLLPLLYDQTIVKQELIRTVDLGPFKHVVDDGLELRKAAFECVDTLLDSCLDQVNPSSFIVPYLKSGLDDHYDVKMPCHLILSKLADKCPSAVLAVLDSLVDPLQKTINFKPKQDAVKQEVDRNEDMIRSALRAIASLNRISGGDCSLKFKNLMNEISKSPALSEKYYSIRNE >KGN57210 pep chromosome:ASM407v2:3:11409589:11413688:-1 gene:Csa_3G171180 transcript:KGN57210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKGKSNAVKNSTTMSSRGGRVSLKAMESPKRVVSVSAVESTPQSGVKKQSSKVSRSLTPNGPKKGRDGENVGVSARTVNRGGLKQVLHRRSLSGAGSCVNVEDCNGVKSGLQEKLCFAEDLIKDLQSQLVELKEELHKSQSLNFELQSQNDLLVRDLAAAEAKFASVSNNDKRKSVSEESQRSAEDNQKLENGKLETQPSSSCRNVRDLDCKTPPPRAPPPPPPPPPLPVQSMPRAAATQKSPDLVRLFHSLRKKEGKRDPPLLGKPAAINAHNSIVGEIQNRSAHLLAIKADIETKGEFINGLIDKVLVAAHTDIEDILKFVDWLDSQLSSLADERAVLKHFKWPEKKADAMREAAIEYRALKLLENEISFYKDDTNSPCEAALKKMASLLDKSERGIQRLITLRSTVMHSYQNLKLPTNWMLDSGIMSKIKQASMNLAKMYMKRVKTELDSVRSSDKESNHESLLLQGIHFAYRTHQFAGGLDSETLCAFEEIKQWVPRRMVGRSHAQGLIVGIQSS >KGN56443 pep chromosome:ASM407v2:3:6861481:6863389:-1 gene:Csa_3G119730 transcript:KGN56443 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucose:glucosyltransferase MEAHPSIPHLAILPSPGMGHLIPLIEFAKRLLSHHRLTFTFIIASDGPPSQPQQALLNSLPSGIHHLFLPAVTFDDLPPNSKIETIITLTISRSLPSLRNVLKSMVSQSNLVGLVVDLFGTDGFDIAREFDISSYIFFPSTAMFLSFALFLPKLDESIVGEFRDHPEPIKIPGCIPIQGKDLLDPVQDRKNEAYKWTLHNARRYALADGIFLNSFPELEPGAIKYLQEEEAGKPLVYPIGPLVKIDADEKEERAECLKWLDEQPHGSVLFVSFGSGGTLSSAQIDELALGLEMSGQRFIWVVRSPSDKAADATYFSVHSQSDPLDFLPEGFVERTKNRGMVVPSWAPQAQILSHGSTGGFLTHCGWNSTLESVVNGIPLIAWPLYAEQRMNAVILTEEINVALKPKRNDNKGIVEKEEISKVVKSLLEGEEGKKLRRKMKELEEASKKAVGEDGSSTKIVTDLVNNWKAKIST >KGN57079 pep chromosome:ASM407v2:3:10478515:10478905:1 gene:Csa_3G152060 transcript:KGN57079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPSKEQPKFERQIAAIEFNSQNQNHAEDYAVRPMIATLKHRRRHRLQSRKKECRVMRAEGARRVQSVLAGEGKGNLLQTNGGSLAKRRTTENDLRSR >KGN59261 pep chromosome:ASM407v2:3:30630265:30635333:1 gene:Csa_3G791520 transcript:KGN59261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHSMFQSVSLRTPAVNSTLKPSLPHTKLLVRPAATPPSTLLPSFTLHMQPRWPRSCRRNVLTKSWKRRTSIQACTQVGAAGPDPLVNNISAFRDACWRFLRPHTIRGTALGSVSLVTRALIENSHLIKWSLVFKALSGLFALICGNGYIVGINQIYDIGIDKVNKPYLPIAAGDLSVKSAWLLVIFFAVAGLLVVGLNFGPFITSLYSLGLFLGTIYSVPPFRMKRFPVAAFLIIATVRGFLLNFGVYYATRAALGLTFEWSSPVAFITTFVTLFALVIAITKDLPDVEGDRKFQISTLATKLGVRNISFLGSGLLLLNYVAAIAAAIYMPQAFNRFIMIPVHAILALSLIFQSWLLERANYSQEAISTFYRFIWNLFYAEYIIFPLI >KGN59596 pep chromosome:ASM407v2:3:32989995:32991475:1 gene:Csa_3G827380 transcript:KGN59596 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin h MGVLLSRIGALLSNWFGPPTATAEAASSDSSSVHSFHSSARWQLHFNSLQETPKLMVVDFSATWCGPCRLMEPAFNAMASKYTDVEFAKIDVDELSDVAQHFGVQAMPTFVFLKRGKVVDTVVGARKEELEKKIEKHRSVSGST >KGN57630 pep chromosome:ASM407v2:3:15138757:15142066:-1 gene:Csa_3G234000 transcript:KGN57630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEIKAIGVVGGGQMGSGIAQLAATYGHQVWLIDSDSAALAKATDSISSSIQRLVSRNLLSREIGANALQRLKFSTDLKELHSADVIIEAILESEDVKRKLFVELDKIAKRSSILASNTSSIPITRLASATTRPEQVIGMHFMNPPPVMKLVEIVRGADTSNETFDAIKILAERLGKTVICSQDYSGFIVNRILMPMINEAFFALYTGVATKEDIDTGMKLGTNHPMGPLELADFIGLDVCLSIMKVLHSGLGDNKYAPCPLLVQYVDAGRLGRKRRIGVYDYRKLPEPDKSKSRL >KGN57243 pep chromosome:ASM407v2:3:11620417:11623270:1 gene:Csa_3G172980 transcript:KGN57243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEFFMNDGGCSFYGMEIQPNELNSSGGLLNPNWENSMDHSDLFESTLSSIVSSPANSHIIGGGGGGGGGDNLMMRELIGRLGSICNSGEISPHSYIGGTNNNSTNTSCYNTPLNSPPKLNLSSIMESQIRGNLIPHHQNLAPFSTDPGFAERAARFSCFGNRNLGGLNGQLGSNETQELSNRSMAGAGVESGKLSRVSSNKSFNIGGVGSPQMVVQEGDQSPVQKGNSMPIPNKKVSNRFSRSSTPENAGDSREGSSVSEQNPIGESGLKGKAETNTRKRKSVQTGQAKDVKAAVENHEPNGKKIKPDEVTKKEIDGAKGKAEAKSSGDANQKQNNDSSKPPEPPKDYIHVRARRGQATDSHSLAERVRREKISKRMKFLQDLVPGCNKVTGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPRMDFNMETLVPKDIFKGPGSSSHTVYPMDSSVPQFAYDYQSMHVTPLHSGIPNGTEKQFSVASANDVMQRNLSGQMTNGYNEVVNGIQISKFWEDELHTVVQMGYGQNQLQNANDEMKSEL >KGN56442 pep chromosome:ASM407v2:3:6859680:6859925:-1 gene:Csa_3G119720 transcript:KGN56442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAVMLTEEIKVGLRPKRNENKGIVEKEEISKVVKSLLEGEEWKKPHGKMKEAAEKAVGEDGSSTKIMNDLVNNWKAKISS >KGN56433 pep chromosome:ASM407v2:3:6816872:6817708:1 gene:Csa_3G119660 transcript:KGN56433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTLANANPNTLFSSRISTPHKSRHRPHFPVITASLPIHRRHIATTLALVVGLQAATPLVALAQSWGTHSFIKERYFEPGLSAEEAVARIKQTAEGLHSIREMLETTSWRYVIFYIRLKSAYLSQDLKTAMTTLPQARRNDFVKTANELVDNMAELDYYVRTPKVYESYLYYEKTLKSIDDLVALLA >KGN57655 pep chromosome:ASM407v2:3:15329853:15335474:-1 gene:Csa_3G238210 transcript:KGN57655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSRSKRNYYYDHQDFDSDSMGRTRPRYNNNHYPNNNYRHRGNAVRPSKPQDQSLMVTTTYRILCHDAKAGGVIGKSGSIIKSIRQHTGAWINVHELVPGDEERIIEISDTRRRDPEGRMPSFSPAQEALFLIHERILESEMSPGFNGMGYGPEDEEDDYGGVRGGGGGGCGGRVATRLVVSRMHVGCLLGKGGKIIEQMRMETKTQIRILPRDHNLPRCISMSEEIVQIVGDTNAVKKAIAIVSSRLRESQHRDRSHFHGRLHSPERIFPPEDDYVPHNARRLPMDGRPFRPRMSASNTRGNDYSSRQSNFMVEPGAAPVNDNMMPFYGEDLVFKILCPIEKVDSVIGESNGIIELLRNDVGVDIKVSDPVTGSNEQILIISSDEGPDDELFPAQEALLHIQTRIVDLVPDKENIVTTRLLVPSSDIGCLEGRDGSLLEMKRLTGANVHIVPREDLPMFVSGADELVQIIGDIKAARDALVELTSRLRNCLYKEPFQKDASPQVSVQSTMVSLGLEESSSNNNAAAREVHSGNDSASTAFQNVQPFGTAQLLKETGGSSNETGTQNENDRREDLPSGLNRIPVPLVTRSTLEVVIPEPAVPKLITKSKNKLAQISELSGANVTLVEDRPDVTQKIIQISGTPEQAERAQSLLQGFILSLQEDGP >KGN57701 pep chromosome:ASM407v2:3:15912992:15920823:-1 gene:Csa_3G257060 transcript:KGN57701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIFQATSEDLWRGTIYGSWGTVFVLLFLAICHLFCSKKNVSSLLSRFPTSSLLADRHTSSLLADRHTSSPSCECPSPSRIMEAISDTDLKSLLDNLDGRINENEKWERVVEKSNDYLSYSAKCCKPKDGPLKYSSVTIFENCCPKLLRDFYMDNDYRKQWDSTVLMHEQLQMDGTSGIEVGRTLKKFPLLTPREYILSWRLWEGKDETFYCFTKECEHPSAPQQKKYVRVTFFRSGWRIRRVSGRNACEITMLHQEDAGLNVEMAKLVFAKGIWSFVCKMDKALRKYSLINNYPSSSLVSAVTLIKKVPDGFEDMDGIISEENMVETESCGQVSSEERKLSRASKKLLTNGLLLIGGVICLSRGHSSLGAKVVMAYILTKLSKRVDAPQGQMASVEQ >KGN58146 pep chromosome:ASM407v2:3:21993132:21994352:1 gene:Csa_3G560210 transcript:KGN58146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNISTFVLNGGSIRLPVGYRFCPTDQELVSHYLKRKVFHLPLPASIIPDVDIFLTDPWALPGDLKEKRFFFSKQKSFFRRSAGCGIWKSIGKEKLILSQEPMNQLVGFRKTLVFSESKFCERTSATRWVMHEYRLPPSASPTTPNSTQIEMGDWAVYSLFQKRRRPKRQGVEEKQRLSVAKEASVLDLRVEDGWEFPQPSSSSSSGVTEVSSNATDQEESSSSLGLGLGFSSYFSQAHVRGV >KGN59225 pep chromosome:ASM407v2:3:30365069:30366232:1 gene:Csa_3G782720 transcript:KGN59225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRKKVKLVWIASDNARKASFKKRRLGLLKKVSELTTLCGVYAFAVVTGPDEDHPVIWPSLSAAQHLYRRFHSLPEVERQKKMTNQETYLKERTTKTQDLLKKHIKKNQELELDLLMHQLHQGRQIYQLTNGELLGLFWMIEERIRDCRKRIEYHHQVHRLPPPPGLVTSNPALLETENNEMDLVDNGRNLMDQWFIDMVMNTNDKTGGSSSSMAGELGFVQSEGNGVDMMTNGGGNSMMEASEIGGTGTIIVEGDGEENNLLSEWNFGGNDDCGMSEIEKLVNDIGGVGHAELNASSMDLSHAQADFGIDCNVGGPMGSLFTDGVDVNDDGEMMLSGLFENEITENENVNQNGNDQNNEEEDEEVEDEDDEDDILSKEWSNNFSSP >KGN56686 pep chromosome:ASM407v2:3:8232506:8233060:1 gene:Csa_3G128940 transcript:KGN56686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPIFLILKNWAEIVSTAQLADELLNRAQFSAQIQLSKAHFRNNMPICQNVVFLLDHTKQSPVRSSFPPLSPAFTLQLLAVRRVIADHTARWNGIR >KGN58494 pep chromosome:ASM407v2:3:25676268:25676423:1 gene:Csa_3G651790 transcript:KGN58494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNEGGIQRDGGEEHNVKKTKVVVRVGHDKHEGCDGDGGGNGCLKKRGRAV >KGN60379 pep chromosome:ASM407v2:3:38953751:38955023:1 gene:Csa_3G902220 transcript:KGN60379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHKFSSFVLFFLLLGIGVSYAARTLLTYGGGPVNIPAFAYGAGNGGGGGSGGGYGPLGGGGGGYGSGGGGSYSSVGVQYGVGGYGSGGGGGSGGGEGYGPSGGYGGGGGGGSGGGSAYGHGGSAYGGGGGSGGGGGYGPGGGGYGGGGGNGGGASYGPGEGSGYGGVGYGGGGGSGGGVGYGPGGGGYGGGGGNGGGAGYGLGGAGYGGGGGNGGGAGYGHEGAGYGGGGGNGGGASYGPGEGSGYGGVGGGNGGGHESGGYGGNGGNGGSHESGGYGGSGGNSGGAGYGGEHGAGYGGGGGNGGGGGGGAGSGSGGEYGSGYGSGAGGGHGAGGGNNGGGSGGGGGGGSGYGGGSAHGGAYGGHEEGNGYGGGGGSGQGGGHGGYAP >KGN60412 pep chromosome:ASM407v2:3:39166660:39168986:-1 gene:Csa_3G903520 transcript:KGN60412 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine cleavage system h protein MALRMWASSTANALKLSSSSKTHLSPSFSLSRCFSTVLDGLKYASSHEWVKHEGSVATVGITDHAQDHLGEVVFVDLPESGSSVSQGKSFGAVESVKATSDINSPISGEIVEVNPQLKESPGLINSSPYEGGWMIKVKPSNPGELDSLLGPKEYTKFCEEEDAAH >KGN58359 pep chromosome:ASM407v2:3:24425505:24427886:-1 gene:Csa_3G627700 transcript:KGN58359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKYFKKSKLTLMELSPHSSPPLRTRAAKTLALQRLNKSSLSSSSSSSSSSYLQLRSRRLQKPPILRQKLHPDAECCRARLILQSSSEKRSLGLGQSQTGNVWDVEDCSREFGGDNWGTAGRIHSSVARDSSTHETSHSTRMETISSSTKSTVEMELLKSFPTASDIEEFFAHEELWHQRTFVQKYNFDFASDRPLQGRYEWVKVVP >KGN56322 pep chromosome:ASM407v2:3:6149263:6149589:1 gene:Csa_3G115110 transcript:KGN56322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLFRKKKSLKMSTSKSTSKMPKHHLVAWLPNSYLITLNKVHSAYSHGSQCVMSPTFSCGPYSRALPWPHFVARPTYLPQLAKPQLPYLTMPLPPNFSSRLAHHQNV >KGN59191 pep chromosome:ASM407v2:3:30155793:30156440:-1 gene:Csa_3G778960 transcript:KGN59191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTFLLQSNSIPNEILSLHHMSMASLWCLLISVIVAFGVSFGFTVLILWLVFITHKIKFNVTDATLTQFNFTNNDTQLQYNLGIYFTIRNPNERVGIYYDTIEATAMYKDQNFDTRLLTPFYQTPKTTSLLRGRFEGQRAVVIGNNKVSELNSEKLAGVYSIDVKFRLSLRLKFGIYKIIRVRPKVECGFQVPLNFTGTSSFPWFQDAIGCHVDY >KGN55651 pep chromosome:ASM407v2:3:445965:452757:-1 gene:Csa_3G002710 transcript:KGN55651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPLRKVFCRISGLATSLRRSTAPHSRRIFHRDVEQQEFQYASSHCLSSYYSVFVARLAIMVMLAILIGLLTILTWHFTKSYTAQSLDSLAYGLRHELLQRPILRMWSVLNSTSEITTAQVKLSEYVMKKYSKPVNQAEQVELYESMRDVTWAMFASRKALNAITINYKNGFVQAFHRDHRSNNTFYIYSDLANYSISATESHDINRLSSGEGWSEPSIHGNVSAKWFREPLDPISGEKIGKARQIPPEDLINIAGISQVADGVASWHVTVSKYMDTPLLSAALPVSDASNESIVAVVGVTTALYSVGQLMKELVEFHSGHIYLTSQEGYLLATSANAPLLRNTSRGPKLTMAVDSQDDVIRLGAEWLQKTYGNNFPPGHEVHEENVKLGDRQYYIDSFFLNLKRLPIVGVVIIPRQYIMGKVDERAYKTLVILISASLCILVIGCLCILILTKGVSKEMKLRAELISHLDARRRAEASSNYKSQFLANMSHELRTPMAAVIGLLDILMCDDCLNNEQFATVTQIRKCSTALLRLLNNILDLSKVESGKLVLEDAEFDLGRELEGLVDMFSVQCINHNVETVLDLSDDMPKFVRGDSGRVVQIFTNLISNSIKFTTTGHIILRGWCETSNTLKEMGKFCIDQKKSRFPNRTKMKQHGEHAKNAFKKDNKMTLWFELDDTGCGIDSSKWESVFESFEQADPSTTRTHGGTGLGLCIVRTLVNKMGGEIKVVKKNGPGTLMRFYLVLSTPVDSTDHHCQFDFAKHNAVVLLALRGSMSRLITSQWLRKVGLFTLEASEWNELTLILQELFQPRSNENKKGFSPQDSLGETLRAELMRIKDMKSQVFIIVADIGILDLSTDIWKDQLYFLDKYSGKAKFAWMLNHDTSNAIKMELRGKGHIIMNNKPLYRSKMIHILEAVMKDRNLELQRKNALRNVSKEGDYHECLEIDSTQFETASSDDSDVFELGNSNSQCAIQVRDGQEETTMKPCQSSFLPIKNSLVELTHVHSIEGNVKTSDQYDVRQNSSMSQCQSSESNEQNLGSNYPKSQGESHSNNVQGKKSLEGLRILLAEDTPVLQRVTTIMLERMGAKVIAFGDGLQAVEALTAMLSAEEQRREFPTRYDLILMDCQMPKMDGYEATKAIRKLEVGTSLHIPIVALTAHAMSSDEAKCLEVGMDAYLTKPIDYKLMVSTILSLTQRRV >KGN58758 pep chromosome:ASM407v2:3:27565669:27569790:1 gene:Csa_3G731200 transcript:KGN58758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVQLSYLYGVPIRQRPLLLSPLTRRRTAVSQVRAVAKNAQELLKSGEVEAIRPKEAATAIDSEGFKLLDIRPAWEWEKARVKESVHVALFVKDEDYGPIGLLKKWVHFGYIGLWTGQNLTTLNPDFIKEVEAAVPDKNTKLLVACGEGLRSLIAVSKLHKEGYKNLGWLAGGFNRTDETDFPSVEGPEKLQYATIGGVSYYFLQLLILLQAVGKNN >KGN60019 pep chromosome:ASM407v2:3:36285417:36287852:1 gene:Csa_3G866520 transcript:KGN60019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLKVSDANMVVYVHPSKSKKISQAVLRELGAMLLKFDEKFEGVLLAYEAKIIDKNAKILSGVHPYFGVTIKAKLLLFSPKPNMLLEGKVVKLRQESIHVIVLGFASAVITYEDIRDEFKHRTKHGEEMFVSRAHKHHIIKVGTMIRLLVKSFDEEILHISGSLVPSHTGSIHWLEKNSVEGSVTMSKRKMRENEGGVTLQDSVGTDVNAVILNNDHQPKTKKQKPTRIS >KGN57501 pep chromosome:ASM407v2:3:13758051:13761163:1 gene:Csa_3G199630 transcript:KGN57501 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-3-phosphoglycerate dehydrogenase MAASASPTLRTPSLRNSLFSSLSSKLPLPSAFSVNLRPRSVAAPRFVVLVSASLNAKPTVLVAEKLGEAGLDLLKEFANVDCSYNLSPEELCTKISLCDALIVRSGTKVSREVFESSGGRLKVVGRAGVGIDNVDLAAATEHGCLVVNAPTANTVAAAEHGIALLTAMARNVAQADASVKAGKWQRNKYVGVSLVGKTLAVMGFGKVGSEVARRAKGLGMHVIAHDPYAPADRARAIGVELVGFEEAIATADFISLHMPLTPATSKILNDENFAKMKKGVRIVNVARGGVIDEEALVRALDAGIVAQAALDVFTVEPPPKDSKLIQHENVTVTPHLGASTMEAQEGVAVEIAEAVVGALKGELAATAVNAPMVPAEVLTELKPFVELAEKLGRLAVQLVAGGSGVKTVKVTYASSRAPDDLDTRLLRAMITKGLIEPISSVFVNLVNADFTAKQRGLRITEERVIIDGSPEKPLEYIQVQIANVESKFASAISDSGEIKVEGLVKDGVPHLTKVGSFEVDVSLEGSIILCRQVDQPGMIGKVGSILGEENVNVSFMSVGRIAPRKQAVMAIGVDEQPSKESLKRIGDVPAIEEFVFLKL >KGN58748 pep chromosome:ASM407v2:3:27500408:27509078:1 gene:Csa_3G731100 transcript:KGN58748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAKRLLQKAIHHSQHAVQRGSLTPEDLKLRVTVHYGIPSTASILAFDSIQRLLALATLDGRIKVIGGGGIEGLLMSPNQLPYKYLEFITNQGYLVSISNDNEIQVWSLDSRSIACCLQWESNITAFSIVGGSHFMYVGDENGLLSVVKFDPEDEKLMLLPYRISATSIGGNVCCFIQLDTLVLYENSGEQLNEQNVAGFSFPDDQPSPIIGVLQHSSIGNSVLIAYANGLFLLWDVSRGQVLFVGGGKDLQLNDKLDEPSRRVDDNVPIDALENSLADKEISALCWASSNGSILAVGYVDGDILFWKTSITASGRGQQGSPSSKNIVRLQLSSSEKRLPVIVLHWSGNSRAPNNCDGQLFIYGGDEIGSEEVLTVLTIEWSPGLEVLRCVGRTELKLHGSFADMILLSSPGSAGDDPKVDLFVLTNPGKLHFYEKTTLSAIIGKSKTDSKLPVSPLEFPAMIPAAEPPMTTSKFIKLPIGGFSTKILSELASMKLSSTEIQGTSANWPLTGGVPYPLPTTKDDKVERVYLAGYQDGSIRVLDATHPVFSFICHLNGELEGIKVAGLSAPVSKLDFCCATTSLAVGNECGLVRVYDLKGGADEKNFHFVTDSRREVHTLPQGKGPQCRAVFSLLNSPVQALQFSKCGVKLGVGYGSGRIAVLDVSSSSVLLFTEAISNSSFPIITMIWKEHSAATHGPLESPRHSGAKSAINYAEELLLILTKDAKINVYDGSAGNVINPRSWHLKKESIAISMYVIESGISVSGSPNEKHTQESSQNPTTKSESNPGSGATGSNLHESQHHSSAEETRSTEKFLDSYVLLCCEDSLRLYSVNSIIQGNNKPTRKVKQSKCCWTTTFKIKERDFGLVLLFQSGVIEIRSLPDLELLKESSLQSILMWNFKANMDKISSSSEQGQIVLTNGGEVAFLSVLSNENEFRIPESLPSFHDKVLAAAADAAFSVSYYQKKNQLPSAGILGSIVKGFKGGKMTPTMDFCSTRESYCAHLEKIFSKTPFSDSSSPALKNAEELTIDDIEIDDEPPAAASTSSEEVKEEKRTERQRLFGDGNDDWKPRTRTTEEILTTYKFSGDASLAAAHARNKLMERQEKLEKLSKRTEELRNGAEDFASLANELVKTMEKRKWWHI >KGN58670 pep chromosome:ASM407v2:3:27088180:27090232:-1 gene:Csa_3G722890 transcript:KGN58670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLLLSTHTHCLPITQKPNHAYHRHPPFNNLPHVRTMTVENYANLCVAHQVFDDIPIWDTFAWNNLIQTHLTNGDLGHVISTYRQMLFRGVRPDKHTLPRIICATRQYGDLQVGKQLHAQAFKLGFSSNLYVLTSLIELYGILDSADTAKWLHDKSTCRNSVSWTVLAKLYLREDKPSLALDLFYQMVELADDIDAVALATAIGACGALKMLHHGRNIHHLARVHGLEFNILVSNSLLKMYIDCDSIKDARGFFDQMPSKDIISWTELIHMYVKKGGINEAFKLFRQMNMDGELKPDPRTISSILPACGRMAAHKHGKEIHGYVVKNAFDENLIVQNALVDMYVKSGCIQSASKTFSMMKEKDMVSWSIMTLGYSLHGQGKLGVSLFREMEKNFKMRRDEITYTAVLHACTTANMVDEGDSYFSCITKPTVAHIALKVALLARAGRLDEARTFVEKKKLDKHPEILRALLDGCRNHRQQKLGKRIIEQLCDLEPLNAENYILLSNWYACNEKWDMVEKLRETIRDMGLRPKKAYSWIEFCNKIHVFGTGDVSHPRSQNIYWNLQCLMKEMEEDGSKPNPDFSLHDVDEERECVPIGHSELLAISFGLISTEAGRTIRITKNLRMVAALVKTFVNFIDGRCYSLETTALIWV >KGN56348 pep chromosome:ASM407v2:3:6329137:6330087:-1 gene:Csa_3G116850 transcript:KGN56348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCMLKGAGAGAREEEVNKIVKVVTVGGGIMELYTPITAECITGEYPGHAIFKTRSIFSDALHHKEELEGGQVYYLLPLNQYIPNHNHSVLSTPYRMSTAESQTKPDHVMFPKYNNAGVWKVNLVICPQQLSQILSQNNRTQELIDNVRTVAKCGNALESASNSDHSSVAGSWKDKPHYGFK >KGN58759 pep chromosome:ASM407v2:3:27568637:27569563:-1 gene:Csa_3G731210 transcript:KGN58759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSGVVAVYGNGALTETKQSPFSVKVGLAQMLRGGVIMDVVNAEQARVAEEAGACAVMALERVPADIRAQGGVARMSDPQLIKEIKQAVTIPVMAKARIGHFVEAQILEAIGIDYVDESEVLTLADEENHINKHNFRIPFVCGCRNLGEALRRIREGAAMIRTKGEAGTGNIIEAVRHVRSVMGDIRLLRNMDDDEVFTFAKKIAAPYDLVLQTKQLGRLPVVQFAAGGVATPADAALMMQLGCDGVFVGSGVFKSGDPARRARAIVQAVTHYNDPEVLAEVSCGLGEAMVGLNLNEKVERFANRSE >KGN57656 pep chromosome:ASM407v2:3:15335440:15335946:1 gene:Csa_3G238220 transcript:KGN57656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKMKTRKKRKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKMMMMMRSYDYNRNENPLDQLHQFPWFLLT >KGN59941 pep chromosome:ASM407v2:3:35680771:35682190:-1 gene:Csa_3G855350 transcript:KGN59941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYILAVSVLLFLTIFIFKFVYANFWVPWRIQIHFRKQGITGPRYCPIIGNATDMRRMYMEAQAKTIPLTHDILCRVLPYIHQWSTEYGKMFVYWFGPKPRLAISDPVMIKEVLTNTGGPFRKVGFTPVSKLLFGEGLVGLEDKQWVIHRRIANQAFTIDRVKGWLPEITLSVRNVLEKWEEMKEGMEEFEVDVHKQLRLLTADVISRTAFGSNFEEGKRIFNLQEQQMNHFLQAVSSVYIPGYRFLPTKMNRERDRLEKETRASIKALVESEKNNKEKENSSNLLSLLLSSYKNQNGEIEKLGVDEVVNECKTFYFAGMETTANLLTWALLLLAEHQEWQDRAREEVINVCGQKTPPTSDNLTELKLVSIKSQYRLKSLNKNKRQ >KGN56238 pep chromosome:ASM407v2:3:5254118:5254542:1 gene:Csa_3G104910 transcript:KGN56238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRLGNEAKRLRRFVHFTRVKPTIDAKTEAKGSLASNLPPLDSPCRALHNAQWLWSGKRRSDAAATLHLKRTIWKMRRRAPGGHCTPVSMCLRLTPCCPVCLPTSQF >KGN59533 pep chromosome:ASM407v2:3:32538087:32547100:1 gene:Csa_3G824780 transcript:KGN59533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSKPQRSPEEVEDIILRKVFLISLTDTSDSDSRIVYLEQTAAELLSEGKPLRISRDVMERIIIDRLSAHVPSAEPPFQYLIGCYRRAHDETKKIASMKDKTLRSDMEIALKQAKKLTISYCRIHLGNPELFSSGADLGTNSNTSPLLPLIFSEVGGSSMDGFGASTSVGGAYQCPPGFLEEFLRDSDFDTLEPILKGLYEDLRGSVLKVSALGNFQQPLRALRFLVSFPVGAKSLVNHPWWIPTGKYSNGRVIEMTSILGPFFHVSALPDHAIFKSQPDVGQQCFSEASTRRPADLLSSFTTIKTVMNNLYDGLSEVLLSLLKNTETRENVLEYLAEVINRNSSRAHIQVDPLSCASSGMFVNLSAIMLRLCEPFLDANLTKRDKIDPKYVCYSNRLELRGLTALHASSEEVTEWINNGTQLRTDNPGQSSDSESRLLQSQEASSSGSNATIGSSTAKARSSSDKTRYPFICECFFMTARVLNLGLLKAFSDFKHLVQDISRCEDTLSTLKAMQGQGPAPQLEMDIARLEKEIELYSQEKLCYEAQILRDGTLIQQALTFYRLMVIWLVGLVGGFKMPLPSACPMEFASMPEHFVEDAMELLIFASRIPKALDGINLDDFMNFIIMFMASPEYIRNPYLRAKMVEVLNCWIPRRSGSSVTATLFEGHQLSLEYLVRNLLKLYVDIEFTGSHTQFYDKFNIRHNIAELLEYLWQVPSHRNAWRMIAKEEEKGVYLNFLNFLINDSIYLLDESLNKILELKELEAEMSNTAEWERRPAQERQERTRLFHSQENIIRIDMKLANEDVSMLAFTSEQITAPFLLPEMVERVASMLNYFLLQLVGPQRKSLSLKDPEKYEFRPRELLKQIVQIYVHLARGDTENIFPAAISKDGRSYNEQLFTAAADVLIRRIREDSRIIQEFTDLGNKAKDAASEAMDAEATLGDIPDEFLDPIQYTLMKDPVILPSSRITVDRPVIQRHLLSDSTDPFNRSHLTADMLIPNEELKARIKEFIRSQELKKQLDGGVAMQSSKATIQPTSGEMLID >KGN56765 pep chromosome:ASM407v2:3:8687749:8692048:-1 gene:Csa_3G133160 transcript:KGN56765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYKNLGRSGLKVSQLSYGAWVSFGNQLDVREAKSLLQCCRDHGVNFFDNAEVYANGRAEEIMGQAIRELGWKRSDIVVSTKIFWGGPGPNDKGLSRKHIVEGTKASLKRLDMEYVDVIYCHRPDSSTPIEETVRAMNYVIDKGWAFYWGTSEWSAQQITEAWGIADRLDLVGPIVEQPEYNLLSRHKVESEFLPLYSNYGIGLTTWSPLASGVLTGKYNKGSIPPDSRFALENYKNLANRSLVDDVLRKVNGLKPIADELGVPLSQLAIAWCAANPNVSSVITGATKESQIQENMKAVDVIPLLTPAVMEKIEAVVQSKPKRPESFR >KGN56952 pep chromosome:ASM407v2:3:9739218:9747342:-1 gene:Csa_3G146380 transcript:KGN56952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLMHSESRRLYSWWWDSHISPKNSKWLQENLTDMDAKVKAMIKLIEEDADSFARRAEMYYKKRPELMKLVEEFYRAYRALAERYDHATVELRHAHKAMAQAFDNQMPPFMFSDESSVSEAESHTPEIHLPNHALHAKDDLHKESGSSSSTNQHPLRMKGDGAGESNSCVSKGGLKQLNEMFASRKNGPETLEVSEGSIGTQSVFHEGESDPSQLSRQINDHDSQVLCESVSESDEKLDAEIQNLRKRLNQMEAEKEAFFLKYQNSLEKLSSLEKELSSAQKDAGGLDERASKAEIEIKILKEALLDLKAEKNSGLLQYNQCLQKISSLEKLLAVTQQDAEGQNERAAKAEIEAQNLEQQLSRLESEKEVSLLQYEQCLKKISALENKISLSEDYARMLDEQMNSSEAEVKALKRSLDELNEEKEIASRNYEQCLEKIAKMETEISYAQDDAKRLKGELVMTNAKLETTEERCAHLEKSNHSLQFEADKLVQKIAIKDRELAEKQDELKKLHNLMNEEQSRFVQVEKTLHTLQKLHCQSQEEQRALTLELKNGLMMLKDLDICKHGMEEELQRVKDENKMLNELHFSSNTSMKNLEDQLSGLKEIKEKLEEVVSQKEEQSNLLEKEIYHLREEIKGLSGRYQGIMRQLEAVGLDPHSLESSVKEFQEENAKLREACEKDRNKIEALYEKLSYMDALAKENSNLKVSLAELNAELEKIREKVKESQEVSQFTQGEKTALVAEKSSLLSQLQNVTENMMKLLEKNTLLEASLSSANKELEGLRAKTKGLEEFCQLLKDERSNLLNERGALVAQLENIELRLGNLEKRFTNLEEKYADLENDKDSALHQVEELRFSLLIEEQEHTSYKQSTEARLAGLENNVHKLREESRVSKEEIEELLDKAVNAQVEIYILQKFVEDLEEKNLSLIIECEQYEEASKLSDKLITELEGENLEQQVEVEFMYNEIDKLRAGICKVLMALQMDQDCGQGNVKEERIMIVDILARIEDLKASVFKNKDKKQQLLVQNSVLLTLLKQLSLESEELLSEKENIVQELKIMKGQLAMHENDKHELLKMKNQLMMQVSQWEQHELLLKAEIETLNEKLINLQGACLMLEKENFNVAEEKKTLLKKFLDLEEDKNIIQQEQHNLIIQEVIAFNILSSIFESFKTEKFLEIEKLVKDICHLQVVNSDSREEFGKLAEKFQLKEVENLHLNGSVEKLSKEVHEAEDLNDELNYQILLGNDFLRLKAQELSEAEAELKNSQNVNMKLSGTVEELKMEGKESMKIRHGLQNENFQLSEKCLSQENDIQSLCEVNKNLKSEVDLLNEEVGKCKIREECLSLELQERRDEFELWEAEATTFYFDLQISSIREVLYEHKVHELAQACENAGDENAAKTMEIEQLRERVSFLETEIREMESQLSAYKPAIASLREDVESLKHIVLPQTRDTCRGFIGEEGEETTIHVDHRICNGHKYEILDLQKIGAMIKAVEKAVIKEKEKLSKEATDKHIKDFKSEGAPRQKVTMKEKKDLVDGITSNLKTRKKKPDNGILMKDIPLDHVSDSSFQRRSKRESSETNDQMLKLWETDEQDCDQNLVDSSPPQSPPDPQIEYPHLEIVEHKSPDFSSELQAEKELSVDRLELSPSIRERIRRGRKGKILERLDSDVVQLTGLLTSVQDLKKRIEVNTLEMARNNEYDTVEKHIKEVEEAIYQQVNMNGQLKQNLERSPSSFERRPSVELEATGNIPLSKLTEQAQRGSEKIGKLQFEVQNIQRVVLKLEAEKKRKGKNKFSKSKPGVILRDFICRSGKRSERRKKSCSCGCTRPTTHGD >KGN60352 pep chromosome:ASM407v2:3:38746578:38752686:-1 gene:Csa_3G900970 transcript:KGN60352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFILSAVSSSSSSSSSVPSSLPPFSLSPSISLSFSRVSLPPSSSSSSSSLKLFLPLSLHFTPPKLSSPHSSLRFSASRAMAELIQDKESAHTPSTTDVTRNDPPHSRAFLDLRSEEELLSCIRRETEAGKLPSNVAAGMEELYQNYRNAVFESGNPKADEIVLSNMTVALDRILLDVEDPFMFSPHHKAIREPFDYYTFGQNYVRPLIDFENSFVGNLSLFKDIEEKLHQGHNVVLISNHQTEADPAIISLLLEKTNPYIAENMIYVAGDRVIADPLCKPFSIGRNLICVYSKKHMLDIPELAETKRKANTRSLKEMALLLRGGSQLIWIAPSGGRDRPDPSTGEWYPAPFDASSVDNMRRLLQHSGAPGHLYPLALLCYDIMPPPSQVEIEIGEKRVISFNGTGLSVGPEISFDEIAASRDNPDEVREAYSKALYDSVAKQYNVLKAAIDGKQELEASVADVSLSQPWI >KGN59299 pep chromosome:ASM407v2:3:30873000:30876825:1 gene:Csa_3G806800 transcript:KGN59299 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin 3 MADSEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTTAEREIVRDMKEKLAYIALDYEQELETSKTSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >KGN56090 pep chromosome:ASM407v2:3:3859067:3864940:-1 gene:Csa_3G073820 transcript:KGN56090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVADTQIPLQGETTCGTLLQKLQEIWDEVGETDEERDKMLLQIDQECLDVYKRKVELADKSRAKLLQSLSDAKHELSSLLSALGEKSFSEFPEKASGTIKEQLAAIAPALEKLWKQKEQRVKEFFDVQSEIQKICGEIAGSLNLSENPVVDESQLSVEKLDEYHCQLQELQKEKSDRLHKVLEFVSTVHDLCAVLGIDFFATVTEVHPSLNDATGVQSKSISNDTLSKLAKMVLALKEDKKQRLHKLQELATQLIDLWNLMDTPSEERSLFDHVTCNISASVDEVTVPGALALDLIEQAEVEVERLDQLKASRMKEIAFKKQSELEEIFSHAHIEIDPEAAREKIMALIDSGNVEPAELLDDMDDQITKAKEEVLSRKEILDKVEKWMLACEEESWLEDYNRDDNRYNASRGAHLNLKRAEKARILVNKIPALVDTLIAKTRAWEEDRGISFIYDGVPLLAMLDEYTMLRHEREEEKRRLRDHKKFHDQQTTDPEIITNSRPSPVRTIATKKAGGARANGAANGTPSSRRLSLNQNGSRSMNKDSKRDSMRPMTPVKYDAISKDDSGSHISGTEPVSASP >KGN57303 pep chromosome:ASM407v2:3:12091802:12093502:1 gene:Csa_3G177960 transcript:KGN57303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTPPSFLMLLLLLSFLAVVCSAVVPTPITLDPDVPPSSLPIDYIQEVGSCSYEVTVETSCASPSSITSEIGVLFGDTYGNQIIEKKLGTGDKVFGSCKTDSFVLKDRPCIIQISYMYIYKDGADDWLPNSVEISGSGINPLLFIFKSSIPTNTWFGFDLRQYTFPPPPSVFPAPPPPSHPLVPPPEPIFSSPPPPPKPILPPPPPPPQPTPPSSSSKISGQKWGMVSVILGLLTALL >KGN60273 pep chromosome:ASM407v2:3:38186054:38187744:-1 gene:Csa_3G892740 transcript:KGN60273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSSDVLHVAVFPWLAFGHLIPFARLAICLAQKGFKVSFISTPRNLRRILKISPHLSSVVSLVGVSLPPVDGLPVAAEASSDVPYNKQQLLKKAFDSLEPQLADLLRDLNPDWIIYDYASHWISPLAAELGISSVFFSLFTAGFLAFLGPPSELSNGGGSRSTVEDFMNDPEWMPHGSNLRFRYHELKTSLDGAIGNESGTTDSVRFGVSIEESVAVAVRSSPELEPESFDLLTKLYQKPVIPIGFLPPLMEDVEELSEDIEEWLKKQKANSVLYVSFGTEAFLRQEDVTELAYGLEQSEIPFLWILRTSHRNESEMLPAGFKERVSGRGLVHEGWISQVKVLSHNSVGGCLTHCGWNSVIEGLGFGRVVIMCPVVNDQGLNARIMEKKMVGIEIERNERDGSFTRDSVSESVRSAMAEGSGGGKLLRERAMEMKGLFGNGDKNERHLDKLVDFLETNRKKWQSRGDF >KGN59185 pep chromosome:ASM407v2:3:30091530:30094873:1 gene:Csa_3G778410 transcript:KGN59185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSAASTALISSNPSSFPAKSNPPIASAPFSRTLALPKSFLGLRKSFQPSAPRSFSSSSSRGTRSRPSFSVRASSELPLVGNVAPDFEAEAVFDQEFIKVKLSEYIGKKYVILFFYPLDFTFVCPTEITAFSDRYDEFKQLNTEVLGVSIDSVFSHLAWVQTDRKSGGLGDLQYPLVSDVTKSISKSYGVLIPDQGIALRGLFIIDKEGIIQHSTINNLAIGRSVDETKRTLQALQYVQENPDEVCPAGWKPGEKSMKPDPKGSKEYFSAVA >KGN58936 pep chromosome:ASM407v2:3:28441973:28443672:-1 gene:Csa_3G736840 transcript:KGN58936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGRKLKSHRRRQRWADKAYKKSHLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLIKNGKKIAAFVPNDGCLNYIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPRS >KGN58231 pep chromosome:ASM407v2:3:22899767:22900094:1 gene:Csa_3G597300 transcript:KGN58231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPSEVLVRWERRSHECPRRPRAKGPAARSSKGLDELKVEDHAFKGEFLSLRQ >KGN58844 pep chromosome:ASM407v2:3:27956438:27957457:1 gene:Csa_3G733980 transcript:KGN58844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRKQKEEEEEEDREREKDDLDDVNFEGSKTKTSFQYEDYSLLSWEEQAFAEDAARLGPCVWPPTSYSCSFCRREFRSAQALGGHMNVHRRDRAMLNQSSNNNNDPNFTTTTNSLSLFQSPSSSSSSHHNLSTLPNPNPSSAVTASCGGRSDSKALGKDVIGSSCSRVRDKHHVGIGVLDEGLNFGGFGPSQPTTSSLFYHDDDQDDPGVVAGCKRRKTDDETSTIQFYVKSSNLVENHHHQHIQSEVIGLSSTSLHDLDLELRLGGLSKG >KGN57757 pep chromosome:ASM407v2:3:16656620:16661052:-1 gene:Csa_3G280960 transcript:KGN57757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQKSRRLKWRERRNRRRTWRRREVQSLQPSNSILPKMMSPRPMTEDRGSSPHFRSSLFSFSQCLASLLFPYCASSSLFFPSQGMLRVTIWMPWFSSLSYHQLDAAGFWNAAIIFLTLQKPWKGKPLSNTQVVPSVINGAITALYFILWGKGLKACGPLRAILAEYSGAVLGVLSAVLYGRRGFVWKKVGGLIAMLVSFYFLSQGWAMATHFPFYILWKFNWNYGFDSKDQTEQVLGLKEMLIPIFAGILSALRRVIARRVSLKNQLKRRLHAITMASATCFLFPIAMWDMIIGSTSDNGRELPFSTWAFLSTIIFGVILIFYVDSIAEERLHMVFSSPRHLMVAGGSIILLEIVYKMDFSLVGFVICCSILGFGIYEATSLDRSRKDSSRYHDTSNDLLDNQDQMPSLPT >KGN60057 pep chromosome:ASM407v2:3:36591528:36592669:-1 gene:Csa_3G874350 transcript:KGN60057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESDVQNGVEKTLSFKAFKPQLLLQAPKATDAVDFYKAAFAAEELNRTLHSKRKAEQETPAILSVELRVSDFSLLVSNVFDDSASAEKVAESRVVLFLETEDIEAAVSKAVSAGAVVESKIAEGDGPYVGNRVAKLKDPFGFTWLIGTPAKESPVGEV >KGN58141 pep chromosome:ASM407v2:3:21907638:21910123:1 gene:Csa_3G550690 transcript:KGN58141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKLFQWLLLDLAATLACLSFCIGNNVSYDSNAIIINGERRIIFSGSIHYPRSTEEMWPDLIQKAKDGGLDAIETYIFWDRHEPHRRKYDFSGHLNFIKYFQLIQEAGLYVVMRIGPYVCAEWNYGGFPLWLHNMPGIQLRTNNQVYKNEMQTFTTKIVNMCKQANLFASQGGPIILAQIENEYGNVMTPYGEAGKTYINWCAQMAESLNIGIPWIMCQQSDAPQPIINTCNGFYCDNFTPNNPNSPKMFTENWVGWFKKWGDKDPHRTAEDVAFSVARFFQSGGILNNYYMYHGGTNFGRTSGGPFITTSYDYDAPLDEYGNLNQPKWGHLKQLHASIKSNWERRFSLTAPAQTRTLVALNADENNDAIVDMLGDRKYFLPAWSVSILDGCNKEIFNTAKVSSQTSLFFKKQNEKENAKLSWNWASEPMRDTLQGYGTFKANLLLEQKGATIDSSDYLWYMTNVNSNTTSSLQNLTLQVNTKGHVLHAFINRRYIGSQWGSNGQSFVFEKPIQLKLGTNTITLLSATVGLKNYDAFYDTVPTGIDGGPIYLIGDGNVTTDLSSNLWSYKVGLNGERKQLYNPMFSNRTKWSTLNKKSIGRRMTWFKATFKTPSGTDPVVLDMQGMGKGQAWVNGRSIGRFWPSFIASNDSCSETCDYKGSYNPNKCVRNCGNSSQRWYHIPRSFMNDSINTLILFEEIGGNPQMVSVQTITIGTICGNANEGSTLELSCQGGHVISEIQFASYGHPEGKCGSFQSGLWDVTKSTTIIVEKACIGMKNCSIDISPNLFKLSKVAYPYAKLAVQALCSHD >KGN56147 pep chromosome:ASM407v2:3:4282284:4287319:1 gene:Csa_3G078290 transcript:KGN56147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNTGAPADSFYEVRPECTDVPKTRFKIRAGKTLSVRKWQAAFNPEGQLDISKTLNRIHRGGIHPSIRGEVWEFLLGCYDPMSTFEEREAIRQRRRIEYATWKEDCRQMFPVVGSGRYITAPVITEDGQPIHDPLVLLETNPDKGPAVPQDTSTADGNPDGSRSTPNNNLETVKDPKIIQWMLTLHQIGLDVVRTDRTLVFYEKQENLSKLWDILAVYAWIDKDVGYCQGMSDLCSPMIMLLEDEGDAFWCFERLMRRLRGNFRCTDSSVGVETQLNNLAAITQVIDPKLHQHLETLGGGDYLFAFRMLMVLFRREFSFCDSLYLWEMMWALEYDPDLCVLYEEPDIGNEKGEGSKGKAKSIRQCGKYERENLKAKNSEAPLPISVFLVASVLKDKSTKLLTEARGLDDVVKILNDMTGNLDAKKACTGAMKLHKKYLKKKVNIICINIPLRNP >KGN58661 pep chromosome:ASM407v2:3:27021713:27022080:-1 gene:Csa_3G713870 transcript:KGN58661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGAAQVRNVLFRKYEMGRLLGKGTFAKVPFPKSRPISYFLKRTFLTWAAPPAMSDFCSTKGKNRVWKKELEHWYNKIGSECMSEESGRDRGIG >KGN59440 pep chromosome:ASM407v2:3:31907937:31908467:1 gene:Csa_3G819970 transcript:KGN59440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGICGTKIPLIYFTSFDMNLKKQVPGRPLFSPSPAFGAEHHLSPPFSPTAAAQAPCVFVFSPASTTLFGETPHEQLLGNTWTRAQTKRKRTAEASQSSAIPSTRVWDDGLSPQRKRVLTTIATFYAVASGVQQ >KGN56121 pep chromosome:ASM407v2:3:4070772:4071116:1 gene:Csa_3G076570 transcript:KGN56121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCVSSNCLCGKPTRILSDEEALEMMKRRIMAYDETPRSSKAATTTEVKIKITKKELEMLLGNYTVVEVKDELPSAHFFSQPIVELQPIDIDDAFEMQPRSWRPSLQSIPEMD >KGN56638 pep chromosome:ASM407v2:3:7939301:7942981:-1 gene:Csa_3G127010 transcript:KGN56638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRDIVICYFVIQVKCAAIGFARALFTAGRRFLRRSSASIARRGMAKLSSTLRLFDAHCHLQDPRIFDKAPQLISAAVDSGVVRFAVNGISEKDWHLVKQLSELHPCIVPSFGLHPWYVPGRTPGWLEKLKEFFEAVPSAAVGEIGLDKGSRGREINFTDQIEVFQQQLELAKSLEKPASIHCVRAFGDLLNIMKSMGPFPAGFILHSYLGSAEMVPEFSKLGAYFSFSGFLMSMEAKKAKKMLKMVPSDRILLETDAPDALPKSKSNSLYLVEGDPSLTEFLKAQEQSAPTGSQQSTLLCSSTDASTLPRETLNHPANIQNVLDYVASLLGISKEELAELSYRNAEHLFSFNGVQTS >KGN57041 pep chromosome:ASM407v2:3:10222347:10225670:1 gene:Csa_3G150210 transcript:KGN57041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVIGKCCTSSHYPPSTDDDISQFRDAPPCSLHGKHILTGRSLESVRVPSHKYNLEYSLLTQRGYYPDSPDKENQDNLCVSTQIQGNPNVHIFGVFDGHGQFGMQCSNFVKDRVVQLLYNDSRLLEDPVKAYNSAFLTANDELHNSEIDDSMSGTTAITVLVIGNTLYVANVGDSRAVVAVKNGNRIVAEDMSHDQTPFRKDECERVKLAGARVLTIDQIDGFRDPDIQVWGDEESEGNDPPRLWFPNSLYPGTAFTRSVGDSTAEKIGVTAVPEVSVVQLTPNHLFFVIASDGVFEFLTSQAVVDMAARYNDPRDACSAIAGESYKIWLEHENRTDDITIIIVHIKGLSNSGGSGSVGLNGVRIRHSNSERGTSEISATTGTSEAFRSFRSDFSDLHVSQHVGLMNKSTAIVVPSPAQHRPLEVEVG >KGN59572 pep chromosome:ASM407v2:3:32796840:32797911:-1 gene:Csa_3G826660 transcript:KGN59572 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gip1-like protein MAMPKFAALLLLALIAISMLQTAVMAGRGGRNRNPYGPGSVKAFQCPNRCATRCGRTQYHKPCMFFCQKCCRKCLCVPPGYYGNKAVCPCYNNWKTKEGGPKCP >KGN57114 pep chromosome:ASM407v2:3:10735351:10741444:1 gene:Csa_3G154370 transcript:KGN57114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHMETQYSASHSYGSAMKMTIPPSQHADNDRSTTELRALDCNLTSLCDHIQIEGFNSGAFSDIVVHAMGSTYHLHRLILSRSSYFRNMLHGPWKEASAPVLTLHVDDKNVNGEAIAMALAYLYGHHPKLNDNNAFRVLAAASFLDLQDLCAICTDFIIAELWTSNFLAYQIFAESQDYGIHGERVRIACWGYLCQSGAIELKEVLPKLSSQTLYALLTTDELWVPSEERRFELALYAFLAKGALCKDEPSEPGCSSSEIEISKAQETCSIDSTNERLESELGHLSLKDGLEVHKSAHNHLHQLPDCVVDFQTGASNSKQKMQEVTYSQSNVKPPFLCNVEGSSTLNNSFSDTNGVLSSCSYINLPITVGVSGLGASGVAMEGPSEEGCYQLDNNTWLGTNQTSHCSTVNSSTNGLPSNDWGRCGMPAVSWGGRVVGRRQLKSYAKGNFSARGEDYDVFDSLFEGGSLLYCNMTFEALLNMRKQLEELGFPCKAVNDGLWLQMLLRQRVQEIVADTCKNCCLTSLACACRQPFAFARGVNASGYYINEHDQNSSPGSVGNIYVAESSQGDGNGPFKPVRVHVRGPVEGLAGIGRGATFVPATAWPPTRFVFSRVPIGVGNRNCHQSLANDDSEARADHNADLSGDGLTALVGLSQGGGSSMNAQGESTERGYDMELQSRISACMAGPSATGIPVQMLQSPDHALGIEWENGNSTIVLDMKTPLSHFPPFRFGVQFEDVHRLNDGQVKHSPEFFYAGSLWKVSAQAFNDEDPQGRRTLGLFLHRRKAEISDSLRKVHMFVDSREKVTARYQLICPSKREVMVFGNPKQTGTLLPKAPKGWGWRTALLFDELADFLQHGALRVAAVVQLV >KGN56676 pep chromosome:ASM407v2:3:8195284:8197332:1 gene:Csa_3G128860 transcript:KGN56676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRAAVLRHLRVPVQFYALARRECQPTLCSGGSLRLFSSHDDHLTKDEVIERVLSVIKCHPKVDPSKVSPDVHFEKDLGLDSLDTVEIVMALEEEFKLEIPDKEADKIDSCNLAIEYVYNHPMAS >KGN57533 pep chromosome:ASM407v2:3:14060786:14061947:-1 gene:Csa_3G207340 transcript:KGN57533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPRISFSNDFVETKQPLKLENIYREAPVSSDFEFSVKDRCMISADEIFFQGKLLPLKDSLRNHVLVKTTLRDELQVNEEEDDTSFPKFTKISSGSCWKEKFGFRKSHFVAKKQSRNEVVLKTVEEEDRTSVFLHEDLINIARKNGKCYLKKANSQR >KGN58612 pep chromosome:ASM407v2:3:26561449:26564888:-1 gene:Csa_3G698530 transcript:KGN58612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLPVIDLASYLTASSELAAGSPIDFSPQLTSLCEVVSRTLKETGALLVKDPRCSAEDNDRFIDMMERFFEKPTEFKRLQARPHLHYQVGVTPEGVEIPKSLVDDEMQENIRAMPKEFQPLLPKGPDPKWRYMWRVGPRPSNTRFKELNAEPVIPEGFPEWKDTMDAWGVKMISAIEAVAEMAAIGFGLPRDAFTSLMKQGPHLLAPTGSDLDRHGQEGTVFAGYHYDLNFLTIHGRSRFPGLYIWLRNGQKVEVKVPIGCLLIQIGKQIEWLTAGDCIAGMHEVVVTKRTRDAVKLASEQNRSLWRVSSTLFAHIASDAVLKPLGHFAESPHANKYPSMLAGEYVEKELAVINLKGQKSESS >KGN60459 pep chromosome:ASM407v2:3:39569302:39571342:1 gene:Csa_3G912910 transcript:KGN60459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSTKCGFLGYLFLLLVLLPVMCYSQDNFVYSRATYYGSPDCYGTPSGACGFGEFGRTVNDGNVAAVSYLYRNGSGCGACYQVRCTNPKYCSGSGAIVVVTDHGEGDYTDFILSPRAYAKMAHPNTALQLFSYGVVDVEFRRVSCQYPYYSTLKFKVHEHSRFPDYLAILIIYVAGKNDITAVELWQEDCKEWKGMRRSHGAVWDMANPPKDNIKLRFQVSGSMGYGNWVVANNALPNYWKPGVAYDTDINLY >KGN55867 pep chromosome:ASM407v2:3:2103223:2104961:1 gene:Csa_3G020060 transcript:KGN55867 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pepsin A MAVSPVFIFLLCFLLSSPVFSSQIFLLPLSHSLSSSISDFNNTHNLLKSTATRSSARFHRHRHNHLSLPLSPGGDYTLSFNLGSESHKISLYMDTGSDLVWFPCSPFECILCEGKPKIQSPLPKIANNKSVSCSAAACSAAHGGSLSASHLCAISRCPLESIEISECSSFSCPPFYYAYGDGSLVARLYRDSLSLPTPAPSPPINVRNFTFGCAHTTLGEPVGVAGFGRGVLSMPSQLATFSPQLGNRFSYCLVSHSFAADRVRRPSPLILGRYYTGETEFIYTSLLENPKHPYFYSVGLAGISVGNIRIPAPEFLTKVDEGGSGGVVVDSGTTFTMLPAGLYESVVAEFENRTGKVANRARRIEENTGLSPCYYYENSVGVPRVVLHFVGEKSNVVLPRKNYFYEFLDGGDGVVGRKRKVGCLMLMNGGDEAELAGGPGATLGNYQQQGFEVVYDLEKNRVGFARRQCSTLWDNLNRSK >KGN57340 pep chromosome:ASM407v2:3:12362560:12366566:1 gene:Csa_3G180280 transcript:KGN57340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNLCFFFNGSCKKHYISAERLDYVLSLEVVVAGIMYTLDTGEPDQKLKSNMFSLSGDGFLGAVVRSINLGGQTALALRLLLAVFSSKISSDVNRPFGDEFRAARKASEEVGAQIVLGDRPIEITLERAWNALIWTEKLSLVSSVIRGITSESDFSQNIDEESDGNGSSLQLYEKLGFSYPSLLQPLIHERDTYLAWSLKRSKAVNKSKRVVGVIGRGHMNGVIYAITSDQGNLRFRDLAGKKAGEGNSNGFVSSLLKDLVRDTIIGVIVWVLYEQLKQLHIIP >KGN59676 pep chromosome:ASM407v2:3:33461838:33462802:-1 gene:Csa_3G837570 transcript:KGN59676 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L12-C MSSTLSTASLRSPSSSSSSYPSNFSHSLKPTPLRFPFSFAPTNLSLRLIHHRPISAVAVPEKVSEIGDVISKLTLEEARTLVDYLQEKLGVSAASFAPAPATVAPGTAAGGDDAAAAVEEKTEFDVVIEEVPSNARIAVIKSVRALTSLALKEAKELIEGLPKKFKEGISKEEAEDAKKQLEEAGAKISIV >KGN60102 pep chromosome:ASM407v2:3:36861171:36864734:1 gene:Csa_3G878220 transcript:KGN60102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLAVLIANSVGNILVERFHGVPAEERLHWRSFLVKLGADNLKGAKNEELFVASHKSVYIVYTALGDVAVYVVGKDEYDELALAEVIFVITSAIKDACGKAPTERLFLDKYGKICLCLDEIVWTGILENTEKDRIKRLIRLKPPNEF >KGN55668 pep chromosome:ASM407v2:3:540151:540351:1 gene:Csa_3G002870 transcript:KGN55668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGKEEYDKDSEGEEEDGYLRKTREIRGRKRKARGEWLFGKEYENKRKKNVNSRGQMEKEKEIEEK >KGN58554 pep chromosome:ASM407v2:3:26164342:26168774:1 gene:Csa_3G681670 transcript:KGN58554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLFQKFQEAVKVLAKNPLFTKNPLFARDPRRLQFEADMNRLFLFTSYNRLGMDATEADAEEIIDMASKASFADQQKQVQENIHSQVKSFCMHMDGILLPDEPAESPEKSKDAVRKSGLSFAVGRTSPPTDMADTIPTTRPLGRSELSQQLKDEIGYTLDIKPSRIPHKHAGQGLFIDGEADVGSIIAIYPGVVYSPAHYQYIPGYPRVDAQNPYLITRYDGTVINAQPWGLGADTREVWHGLTVPNSNPTKQGDEKSDRLWRMLSKPLEAKRVQHGRDAIERRNPLAFAHFANHPAKDMVPNVMLCPYDFPLTEKDMRVYIPNVPVANEEVGMKRFGSFWFKSGRSRINGSDTPILKTIVLVATRALCNEEVLLNYRLSNSKRRPSWYTPVDEGEDRRRWS >KGN57012 pep chromosome:ASM407v2:3:10065141:10069333:-1 gene:Csa_3G149920 transcript:KGN57012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSVVQSSRSRDLDKLLLRPGNLIGANFEPGSQLRDDLQQYVKVLVIGAGGLGCELLKDLALSGFRNLEVIDMDRIEVTNLNRQFLFRLEDVGKPKAEVAAKRVMERVSGVNILPHFCRIEDKEIEFYNDFHIIALGLDSIEARSYINSVACSFLEYDSDDNPLEETIKPMVDGGTEGFKGHARVILPGVTPCFECTIWLFPPQVKFPLCTLAETPRTAAHCIEYAHLIKWDEVHSGKSFDPDDSEHMKWVYSEALKRAELFGIPGVTYSLTQGVVKNIIPAIASTNAIISAACALETLKIVSGCSKTLSNYLTYNGAEGLHTKVTEFVKDKDCLVCGPGVLIELDSSITLQKFIDLLEDHPKLLLSKASVTHRGKNLYMQAPPVLEEMTRSNLGVPLFDLMGKVLKDVVHVTGVASKNDKKTSCLRKLRVAFKGVDGVTDMDTASGA >KGN57910 pep chromosome:ASM407v2:3:18944049:18946409:-1 gene:Csa_3G386830 transcript:KGN57910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRAPCCEKMGLKKGPWTPEEDQTLINYINLYGHGNWRALPKQAGLLRCGKSCRLRWTNYLRPDIKRGNFTAEEEETIINLHQMLGNSESSSIITAENGESWTNNLPEADENFWREVLGSDQMATVGNQQGFEGETSERQLGNLTNEQPTHETTDFWTIFFQEEGIYLS >KGN60184 pep chromosome:ASM407v2:3:37482397:37483278:-1 gene:Csa_3G882950 transcript:KGN60184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHKVDLLLLILFLVWCCNTSSSTSPEAEILIKFKSSLSHNPALDNWNVSINICDDDAKTKGFWTGVTCKDGALFGLRLENMSLSGFIDIDVLMNLTSLRSLSFMNNSFHGSMPPVRKLGALRALYLAYNKFSGTIPDDAFQDMRSLKTVRLEENAFKGGIPSSLSSLPALVELSLEGNRFEGRIPDFIPRDWKLFDLSNNQLEGSIPSGLANIDPIAFAGE >KGN60244 pep chromosome:ASM407v2:3:37940452:37940949:1 gene:Csa_3G890000 transcript:KGN60244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMTSESPRSQEIWMASNADFWKFNLDASWNDVEEITFILAGCARVEVKWPKEVLEALARIRGLSVHSDYFGHEYVLIWLWNLIVAHHPSRIAVWGLEEFFFSRHNDMVRILCNI >KGN56639 pep chromosome:ASM407v2:3:7943904:7946889:1 gene:Csa_3G127020 transcript:KGN56639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLQTSVHHRTVSLPSPISYSRKFLPLIQSQPFFHNVNYRRLPLSVTCSISQVHSYGTVDFERRPMFKWNAIYRRISLMENPELGSASVLNQWENEGKNITKWELSRVVKELRKYKRFERALEIYDWMSNREERFRLTTSDAAIQLDLISKVRGIKSAEEYFLRLPNHLKDRRIYGALLNAYAKGRQREKAENLLEKMRTKGFTTHPLPFNVMMTLYMNVKEYEKVESLVSEMTENSIQLDIYSYNIWLSSCGLQGSTEKMEEVYEQMKQDRTINANWTTFSTMATMYIKMGLMEKAEECLRRVESRIVGRDRIPYHYLISLYGSVGNKEEMYRVWNIYKNVFPTIPNLGYHAIISALIRVGDVEGAEKIYEEWLTVKSTYDPRIANLFIGWYVKEGNTSKAESFFDHMVEVGGKPNSSTWEILVDRHTKEGRVSDALASWKEAFSAEGSKSWRPKPYNVLAYFDLCEKEGDIASKEVLVGLLRQPKYLQDKTYASLIGLLDETIDNNEVSEKGSNINDEIDKTEYESDDSEMFLKL >KGN58788 pep chromosome:ASM407v2:3:27712862:27718618:1 gene:Csa_3G732460 transcript:KGN58788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTSTSLSINLSLSPPPFSTSAAVATTISFYEAPSSLRFPHFRSLTSNPTSSFCDISRFTCLKSSFRSRGRGILSVKANKMTIAEVRPEDGEEDSPPLLDPETNSKPRRIALFVEPSPFAYVSGYKNRFQNFIRYLREMGDEVMVVTTHEGVPKEFYGAKLIGSRSFPCPLYQKVPLSLALSPRIISEVARFKPDIIHASSPGIMVFGALIIAKLLSVPLVMSYHTHVPVYIPRYTFSWLVKPMWLVIKFLHRAADLTLVPSAAIGKDLEAERVTAANKIRLWNKGVDSVSFHPRFRSHEMRLRLSGGEPDKPLIVHVGRIGVEKSLDFLKRIMDRLPEARIAIVGDGPYREELEKIFTGMPAVFTGMLSGEELSQAYASGDVFVMPSESETLGLVVLEAMSSGIPVIGARAGGVPDIIPPEQDGKIGYLYTPGDVDDCLSKLKPLLENRELRETMGKAAREEMEKYDWKAATRTIRNEQYNAAIWFWRKKRAQFLRPFQWLFKRIFPSSEVSYQ >KGN60129 pep chromosome:ASM407v2:3:37044963:37050725:-1 gene:Csa_3G879470 transcript:KGN60129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVKLDSSFFGTQLHSSLHCIKNGKFVYLGQGRLSKRDSKKYVCAEHNDWNARVDRFSRFFGQHLRSLSIKLKPRHESLMKCANEPFVQTKSLSSLLRPVWNEGLFLIRCSAFVAVVSGICLLVWYGQTKAKGFVEAKLLPSVCKAVSDCIQRDLDFGKVRSISPLSITLESCSVSPDGEEFSCGEVPTMKLRVLPFTSLRRGRVIIDVVLSHPSVVVVQKRDYTWLGLPFPSEGTLQRHSSSEEGIDNRTKIRRIARENAAALWSKDRDDAAREAAEMGFVVFDRSSGLYDTSDYKEVVGPTVDIGNSKTFFFKDENVHSREHHCMDTDVDYKTRHAKSEKYFDVKSPDTRLKFSSRAMKTPIKGQSKRNASGDDVYVNSFAAKRRILRRSTLAAQDYFKGASEGKFGEPSQLHKSFNNVNLDSYLIKRGNETNADSSITDTDVQYGKQSLDARLNSLREKRDIDIPNHIDDQTSTVTGLGNKDRRSFSVTPSIDESNVRKEDVVGSDHIPDGISDQMLNTSQTPTSTGHEHQHGTSWPISFWGLSSESALSYFPKDVGKKLLYHISLYIQNLKFGIVQHARGIIDGGDVMKNKGANTMLPVTIDSVHFKGGTLMLLAYGDREPREMENVNGHVKFQNHYGNVHVHLSGNCKSWRSEFVSGDGGWLSADVFVDIFEQEWHSNLKITNIFVPLFERILDIPITWSKGRATGEVHLCMSRGDTFPNFQGQLDVTGLAFKIFDAPSSFTEIVATLCFRGQRIFVQNASGWFGSAPLEASGDFGINPDEGEFHLMCQQVQEPLFKILNERYMRSLEDKCGVVIFWRFGSRFVWPPYGFNHTSFATSFSA >KGN55898 pep chromosome:ASM407v2:3:2428760:2429140:1 gene:Csa_3G033795 transcript:KGN55898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPARIPSKVDLRVGVSPGGCKQKVACNIGLKYLTGSCSHIPLEQSTTSHNFEYRLASPLRPLRELVTSSSSLALWHSIRMLRRILGVAEMPPPPANNIKVERLDRLTLCNQDSKNARLGGWNEVG >KGN58349 pep chromosome:ASM407v2:3:24329703:24333249:1 gene:Csa_3G625640 transcript:KGN58349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLMLWHLSHTHGLTSLIDCKSLNPFSHSFNTVRGLFSQPFLPFFQHCTWALLSTLSPILSTLYVGSSLNPFSHSFNTVRGLFSQPFLPFFQHCTWALLSTLSPILSTLYVGSSLNPFSHSFNTVRGLFSQPFLPFFQHCTWALLSTLSPILSTLYVGSSLNPFSHSFNTSPMARKENQTIIITDKYQMRTWARTMRSLSNTIALVPTMGFLHDGHLSLIQEAHNHSQLVVVSIYVNPSQFAPSEDLSTYPSDFDGDIRKLMDVPGGVDVVFHPHNLYDYGVESAMDCAGMPDVSCLEESGSGHETWVRVERLEKGMCGRSRPVFFRGVATVVAKLFNIVDPDVAVFGKKDYQQWRIIRRMVRDLDFSINVVGFEIVRDADGLAMSSRNCKAYWLYPKSAAERGELNCKRLKNLVADEIQEAGGELDYAEIVEQESLEVMEEIKSPVVILIAAWFGKVRLIDNIEINI >KGN57051 pep chromosome:ASM407v2:3:10276377:10277237:-1 gene:Csa_3G150800 transcript:KGN57051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKSTTITPKSIKSRPFQLMASSPTEKTLTGVGNLIRLLPTGTVFLFQFLSPIPTNSGHCEPINKSFSIILIILCGLSCFLSSFTDSYTGDDGALHWGFATASGMWPAPESKAVDLSPYKLRAGDFVHATFSALVFGALVVLDSDTMECFFPSFAAADKLLVQVLPPVVGAVSSVVFVMFPNTRHGIGYYDSSKGTSGAAVQRKA >KGN57937 pep chromosome:ASM407v2:3:19391976:19394867:1 gene:Csa_3G398950 transcript:KGN57937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNARRELRNNRVALFDGIEEGGVRASPSYSSHEIEEHDNEAALEGLQDRVLLLKRLTGDINEEVESHNRMLDRMGNDMDSSRGVLSGTMDRFKTVFDPKSRPKMFSLVALFVTIFFIVYYLTR >KGN59769 pep chromosome:ASM407v2:3:34158872:34164823:-1 gene:Csa_3G844870 transcript:KGN59769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSHFYLSLSLLFLAAVCTFHHQQVYAEEQVLKFKLDADILQESIVRHVNEHPQAGWKATMNPRFSNYSVSQFKYLLGVKQTPEKDLKSTPVLSHPKSLKLPKSFDAREAWPQCISIGTILDQGHCGSCWAFGAVESLSDRFCIHFDMNITLSVNDLLACCGFMCGDGCDGGYPISAWRYFVRHGVVTEQCDPYFDTTGCSHPGCEPAYPTPRCVRHCVDKNQIWRKTKHYGVSAYRVKRDPNDIMAEVYKNGPVEVSFTVYEDFAHYKSGVYKHITGDVMGGHAVKLIGWGTTDDGEDYWLLANQWNRGWGDDGYFKIRRGTNECGIEEDVVAGLPSTKNIAREAAI >KGN56715 pep chromosome:ASM407v2:3:8381962:8386082:1 gene:Csa_3G129720 transcript:KGN56715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRNLVVAKPIWMKQAEEAKLKSEAEKDAAAKAAFEATFKGVDKIPAKEAASSDSDFEDNEDLERKPIGPVDPARCTAAGAGIAGGAACVPASFTVVTKDVDGRKVPHGGALIKVKVAPGVGVGGTEQDGIVKDMNDGTYTITYVVPKRGNYMVNIECNGRPIMGSPFPVFFSAGTSSGGLLGLAPASSFPNLVNQNMPNMPNYSGSVSGAFPGLMGMIPGIVAGASGGAILPGIGASLGEVCREYLNGQCAKTDCKLNHPPHNLLMTAIAATTSMGTISQVPMAPSAAAMAAAQAIVAAQALQAHAAQVQAQQAQSAKDSSGSSDKSGKAADALKRTLQVSNLSPLLTVEQLKQLFSFCGTVVECTITDSKHFAYIEYSKPEEATAALALNNMDVGGRPLNVEMAKSLPQKPAAANPSLASSSLPMMMQQAVAMQQMQFQQALLMQQTMTAQQAANRAATMKSATELAAARAAEISKKLKVDGIGNEETETKEKSRSPSLPRERSKSKSKSPIKYRSRRRSPTYSPPYRHSRDHRSRSPVRSRHYSRYEDDRRGYRESREASERSRRRDLDRSRSRRSPISRKNRSRSISPRRRKSYRAGSDSPSHQRERSPQRGRKSDHSDLRSPIRHHGKSRSSPRKDDSDKLKHRRRSRSKSVETKHHSDEKINEMQHGKLKNRERRRSRSASLEDKHSKRRPSPRSLDKNISKHRRRSRSNSREKVDDKYHGRRRSRSSSSDSKHLPDSKVDSTRYEKLKNRSRRRSRSKSVDGKHRRREKSDRSRDKRLRHRDRRSSRSISPEAGHQRVTRLSPTSSDETKSKRRRRSLSPEDKPSDIDNGCIAENPKNLGRQQSRSNSISGENGESNLSPSTEENEFKHGEQSILEPLGGHGSSLSKVIEDIPGDDKGREGLTSQYSNVEEPNKTEVAGVEQVDLAGS >KGN57824 pep chromosome:ASM407v2:3:17803935:17806526:-1 gene:Csa_3G331340 transcript:KGN57824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMKNEQDLKLNFKMAQIAKISEQVQLKCSGHKFYDFFTNKMDSVIQMFPHIVTSYKILEGNGFAHGSVIHLKYNIGGPAEIKERLAFDDANKSIAFEVFEGDLFRDFEVFKMKMQVINEKGSNGSSVNWSIEFVKENEDVAAPHHYLTIAAQTSKTLDDYLCNN >KGN58632 pep chromosome:ASM407v2:3:26738326:26738773:-1 gene:Csa_3G706170 transcript:KGN58632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKTVKEPPSIASSLYKKPSKPPFSVSNFTPIFFIHRLINVSSRIFSSSILHYDADFRYRRRRDVRQNRHKVRSLSFKPARSVSIRRFLAALSDLPPGGELSPSTKVSTPSSARLDRSDDGGRL >KGN58128 pep chromosome:ASM407v2:3:21682792:21688554:1 gene:Csa_3G535120 transcript:KGN58128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRFSVKVEEGREGKDGKPSVGPVYRNVLAEKEFPSPYEDLSTSWDLFSVSANKYAERRMLGWRKFVDGKPGPYIWKTYKEVYGDVLNIGSALRAIGAEPGSRIGIYGANCPQWIVAMEACNAHSLICVPLYDTLGHGAVNFIIDHAEIDIVFIQDKKAKELLNSGCTIPERLKVFICFTSLKEEEKISATNNGMKPYSWDEFLRLGKENPSEISPPRPLDICTIMYTSGTSGTPKGVVLTQETITTFIRGVDIQMEQFEDKMTEDDVYLSFLPLAHILDRTVEEYFFRKGASVGYYHGDLDAIKDDLMELKPTLLVGVPRVFEKIHEGIKQAVQVLNPVRRKAFDLLYKYKLSWMNSGYKQKDASPLADLLAFRKVKARLGGRLRLIISGGAALSTEVEEFLRVTCCAFFVQGYGLTETCGPTTIGFPDEMCMLGNVGGVTLFNELCLEEVPDMGYNPLGDRPCGEICVRGKTVFTEYYKDPELTKESIKDGWFHTGDIGEMFPNGVMKIIDRKKNLIKLSQGEYIALEYLENVYSITPIVEDIWIYGNSFKSMLVAVVVLHEENTKKWANSNGFLCSFSELCPLEQLRHYVLSELTSTAERNKLKRFEYIKGVVLELRPFDIEKDLVTATLKKKRNNLLKHYEVQINAEYKNLEKKI >KGN60486 pep chromosome:ASM407v2:3:39749381:39759248:1 gene:Csa_3G915150 transcript:KGN60486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWAEILCGFLAFRVLRRFFFPRDEMEIETIDSSALFAVASRLEKLYGGKAFVGLRIPDADTGLRQSIDMVLVTKGEAVVICVKNLSGFVSMSADGSWICEGDGRQKSEILPDPVAETRRWVSILESYFEQRGVALPEGYLSWKVLLPNPKFRTIHSNYFPSEVIMYDQWIHLKPEPKSMFSGWIKSAWLGGKKEMQDSMDQKLNFVLNTAPMWDRLELNGNNIVLGEFLEFKVKKEDMQALREIRRSKVSCILIQKIRRIGFVPSRFRVLYSTRDYRNEGASASEWKEVTVGSSTMVVFQMEYSSKVRKVKISSIVSLSLSA >KGN57218 pep chromosome:ASM407v2:3:11457183:11457496:1 gene:Csa_3G171750 transcript:KGN57218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGMGNHKNGRWEMGDGVGAETEEIYAFVYGLRLAIREMGFVGFPLEYCDALGLSSNEDVGYAHISVSGIQNIKGSGH >KGN60104 pep chromosome:ASM407v2:3:36866440:36867975:1 gene:Csa_3G878730 transcript:KGN60104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTARLLYDVVVGAYVQLLQDKVANVGDSNEMLMKVGRDIVDFHGEMVLLENYSALNYTGLVKILKKYDKRSGELIRVPFIKKVLRQPFYSTEVLEKLLKECEVMLDLLFFKKDMSTAAAATAINEEERGCSEAKTSATTNGKEKVLNIPEDLAEIEYMESMYMKLTLSALNVLNEIRGGSSTIDVFSWL >KGN59315 pep chromosome:ASM407v2:3:30985575:30991777:1 gene:Csa_3G809420 transcript:KGN59315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMDCSSLTESGGSSNSSPPNSSAESLNGLKFGQKIYFEDVGIGELPKSGGGSFSSSAVIASTPTKKPRGGVVQAAQPPRCQVEGCRVDLTDAKAYYSRHKVCTMHSKSPKVIVAGLEQRFCQQCSRFHQLPEFDQGKRSCRRRLAGHNERRRKPPPGSLLSTRYGRFSSSIFENSNRVGSFLMDFSAYPKLAGKDVWSTGTKASERVPGNPNVSSMGKYVPHPWQSNSENNQPELLLPVSAGGTSFSGPGNPSGGECINSVTDSSCALSLLSNESWGSRNRTTTIEVNALLNAEGTLVAQTTADAATNHFPTMSWGFKGNEAPTSSFRDLTSDLGLNHQVSHALTSSFSGDVQFPHLARRPYMELGHSGAFDSTQHLHWSL >KGN56491 pep chromosome:ASM407v2:3:7106821:7107095:-1 gene:Csa_3G121670 transcript:KGN56491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEWKEKKTTDYRAISKAYGLFNGQGDTVRMQHSVLELYSELRNCRLAFRANGSNLINKTL >KGN59160 pep chromosome:ASM407v2:3:29945037:29946396:-1 gene:Csa_3G777680 transcript:KGN59160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNQTFSPLAFPSADVDAASTSISDIHPDILRTHILTRLDGPALASAACTSSELHRLTAEPKLWSAICHSTWPSTTTPRLHALISEFPDGPRSFFSDSLPRGSNHSETSTSSPTSPATYCHRRHLPPELISAVDIYYRGEMIFSKVVETETVSGWFRCSPFRIDMLDPKDVVPTPIKFPIGEGNACRELGEDLTLSWIVIDGAGRRAMNLSSYRAVKVEQHWLSGEVHVEFGTVLGGEKGTAAEWVKCGIAVTCGGWEGGNLQVREVNLQVEDMDGTFLDGKDSLVILERAMEGKKGRKGRKRLNEFMEKKRERKEMKVRREGTLDMMCLAFGFFGFATLCLCFLFR >KGN55683 pep chromosome:ASM407v2:3:652379:653692:1 gene:Csa_3G004490 transcript:KGN55683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMSYSLLALLLSFPFLLGTGNSATFTILNQCAYTVWPGLSSGADSPQLSTTGFVLEVGKSNAITIPSGWSGRIWARTYCSQDSTGRFTCATADCGSRTIDCKGKGATPPATFAEITLNGLDGLDFYDISLVDGYNLPISITPQNGTEIGGGNCPTVECAKDINSHCPAKLRLTDRDGSGKGVACKSACEAFGDAKYCCSDEFGSPDTCKPSSYSQFFKSACPRAYTYAYEDGNTFGCNGANYLITFCPSPHWQPPHIVDTSRAERSRILSMFVALISIMMAALSRQFY >KGN55914 pep chromosome:ASM407v2:3:2529682:2530184:1 gene:Csa_3G036400 transcript:KGN55914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVLLLNSCTRASSPYLLPSPSTISKCQSFHFFPSLFLTFFKLAKSKMEDRVNQIVNWSEIKEKVRIAKHRENKLRRKESNMKWEVIYRIKIEN >KGN56200 pep chromosome:ASM407v2:3:4909918:4911180:1 gene:Csa_3G099610 transcript:KGN56200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCLESRDWSTLEYDVLGMILNKMVSLYDYLQFSSVCKSWNFIALRYKHHRSLITSNLPQLPMLIVPSEYDSGKQHCLYDLINNEIRPVDFVCSFNKRCCGSSFGWLIMLEDTLDITLFNPFNGNVIHIPPITIHDEPGYSPIAIHKAILTKDPSIYPQGFTIVAIYSSFRRLCLMEAKDKRWIYHNLNRNNGFDDVNVVDDILYVLDWRIGLWIVKVEDSSIYLKKVIFSFNFNEADIAYLIVSSKRELLLVSRFVVTQWEGQVPNEILKFTRTSKFIVHKVTHECEDGIMRVVEVESLDGDVMFIGDNQSICVSTKDFPKCLPDRIYFTDNCHHFAFPYLHGHQDAGIYRVEDKSFDRHYIVNRAHRNLPPPIWIIPTISYKHLEDPLPNILKRSLYWNIVFILSWCLGIMFLLCGWF >KGN58922 pep chromosome:ASM407v2:3:28355434:28357885:-1 gene:Csa_3G736700 transcript:KGN58922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSVVRSLSSNELQSSRVITKPGSCLVRIVGRLICIERFLADRRPFINRFNDPVRLYINQLSGKLKTISSFSETSKGGGSEPIKAQIFSFRELAAATRNFRADCLLGEGGFGRVYKGKLESINQVVAIKQLDRNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLIYEYMPLGSLDDHLHDISPGTKFIDWNTRMRIAAGAARGLEYLHDKASPPVIYRDLKSSNILLDKGYHPKLSDFGLAKLGPVGDNTHVSTRVMGTYGYCAPEYAMTGQLTLKSDVYSFGVVLLEIITGRKAIDDSKAAGEQNLVSWARPLFKDRLRFAQMADPMLRGQYPSRGLYQALAIAAMCVQEQPNMRPVIADVVTALSYLASQRYDPGIILPNDSPGSAYTPPRANRDSDRKLNGGRRYDRNPTKRL >KGN56340 pep chromosome:ASM407v2:3:6302973:6307794:1 gene:Csa_3G116770 transcript:KGN56340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEKPKQALGFQSPLLRCTGHCFLVPNSKNRTNRTMQSPSLRFPPTKLHIFHHFVGLHFTSIPTKSFFPSSLFISNFRSIPIQSQSKPHNRNSSPKPKHGTTRLKGNKENVWSLDNELAKPQKQGDRATRRNPKGRRVIKRKRNKGGTILVSGAMLMEVETVLQTQEPVIKPNWNTFVSSVSGIWKGVGAVFSPITAEMEPIEIGSNNENLYDCYTLSCVDNERLLSGEQTNHIKRRVNWVTLNPYGETPLNPGDVSSTSETMVGRSPKSYRLPSFESFNFDKSDVLEEDVMGNEPGLVFFEDGSYSRGPVNIPVGDVDDTNYYINPTLKFEQCLVKGCHKRLRIVHTIEFSGGGSDIQILRVAVYEEQWVSPSNMSDMSDVEFDLKPFSQRKRTDPSELSGSWKVFEVSGTPIFGEESNAGSYVYLCTETLKKRRLPENPVYFGEEEVMDMQDVTMLWLPGGVTAYVDVKNDGILCIGVGWYSDEGINLVMERDYGSDGNLKEVRWKSELKRRWPDPIPL >KGN55741 pep chromosome:ASM407v2:3:1055224:1056845:1 gene:Csa_3G009470 transcript:KGN55741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTFAMSIGTDRILTESISPNWLNSLAKAFFFTFNGKFPTNTVLKSLLSSSNPSFVTNISEGSSNAFLFFPTTFPPEPSTSSSATSDTPYFLASYSLSISEPKTVPFFLLLSFNPPCDSKPLSPSSSTLSNSRSRDFFFSFFLDFLISDEVLTPSVESKSIPTLLSLPFFLLISAGGGGTESEAFLRKGLSVEKIETGGFSTPALPKRVSKTLKEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEDDDDEI >KGN55753 pep chromosome:ASM407v2:3:1131276:1131947:1 gene:Csa_3G011070 transcript:KGN55753 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine-rich protein-1 MAILRALCLAFLLFVRLGLASATRTLLDYDPRTLQYGYDRPSPRVGYDPGHGDESYDKAYGGSSSRGHGIGDSALGGSGYEGGGRHDYGYGDRHNDRGVGYGNYGGGYGGVGGHGDGYGNNGGGSYGDRYGPSLGGSGYGSGGKGSGNGYDDHSRGNGARDNSYGDSHGHGGRDSGYGSGGGVTGGYGNGGVHGGEYDNSKGSGEEGGYDGGYAVKNSISKGN >KGN57248 pep chromosome:ASM407v2:3:11665769:11670784:-1 gene:Csa_3G173030 transcript:KGN57248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKEKPQSHGGGGGGFLHQSSRYSGFSSAESSFNGKSEATSSSMSFPPLAPSTNSDWGQSGRGLSNDSTRFSNDISRMPENPRRNVGHRRAHSEILTLPDDICFDSDLGIIGGADGPSLSDDTEEDLLSMYLDMDKFNSSTATSANQVGDSSSPLVEAAATSTDDIAVGLKERPRVRHQHSQSMDGLTNIKPEMLVSGSDEASAADAKKAMSAAKLAELALIDPKRAKRIWANRQSAARSKERKMRYIAELERKVQTLQTEATSLSTQLTLLQRDANGITAENSELKLRLQTMEQQVHLQDALNEALKEEIQHLKVLTGQAMPNGRSITNFASFGAGQQFYAPNNQAVHTLLTAQQFQQLQIQSQQQQQQHFQYQQLHQLQQQQAGDIRMKGSVSPQSSKDSASDTKPPTTC >KGN57906 pep chromosome:ASM407v2:3:18892634:18893921:-1 gene:Csa_3G386300 transcript:KGN57906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPPPSRRKPPHLLLHKEYPRCHASRQPPRSWSPVRAFTHRRHIVPRILVNEFLASSSLNSQFVSLLNVSALVYLFLSTGDSSCSRPSSRRRSRCRTRLPQPSGSSFVDAGVLIGCACLHPQSPTTDCHIFPPSVRISPILFPWRVKSVEDPTSSSSNPRAKVRQADVC >KGN58598 pep chromosome:ASM407v2:3:26499549:26499731:1 gene:Csa_3G697910 transcript:KGN58598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMKKIACAVLFAAATVTAVVAADEALAPAPGPSSGAGIGVPAIGSLVGASLLSFAAYYL >KGN56717 pep chromosome:ASM407v2:3:8391057:8391452:-1 gene:Csa_3G129740 transcript:KGN56717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFEAGDRRRNESDRKANGNETEGKRRREREKGNVGIGSAKWRTLRLAIFVIYCNETERNYDPVSLLSIIWVGIQTSSTT >KGN56025 pep chromosome:ASM407v2:3:3352480:3355761:1 gene:Csa_3G047860 transcript:KGN56025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLDSLFGKDSRKFIKRKDSDAGEAGRALEEIRGSLYNELRTSDGAKRQQQRYCGPVVAMTFNFMVAVGIIMANKLVMGRVGFNFPIFLTLIHYTIAWFLLAIFKALSLLPVSPPTKTTPFSSLFSLGVVMAFASGLANTSLKHNSVGFYQMAKIAVTPTIVFSEFILFKKTISFKKVLALSVVSIGVAIATVTDLEFNLFGALIAIAWIIPSAINKILWSNLQQQASWTALALMWKTTPITIFFLLALMPWLDPPGVLSFKWDLGSSTAILISALLGFLLQWSGALALGATSATSHVVLGQFKTCVILLGSYFVFGSDPGFVSICGAVTALGGMSAYTSLNLQQQLDNKQQLPKHNLAIPKPENNVVVEDAPKSDVQNSDVAV >KGN57088 pep chromosome:ASM407v2:3:10556344:10556532:1 gene:Csa_3G152640 transcript:KGN57088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAPVVGSTRKSAPRVGKRTRKPEQEVSRWSKSGFLFHLEDGWPQVRRGSLVIKSLVSNWFA >KGN59760 pep chromosome:ASM407v2:3:34091431:34093698:1 gene:Csa_3G843790 transcript:KGN59760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLVCLPLPKPNLIRASSSSSSSTSFPSTVDSLNDKFGRKGFHFHDSNGVPTLDLTVRNGSSLRLRISDAHLTSYKPKVYWKDDGFEEVLFTTPTAKGGIALVIDDASDSSSKSSLLPSSDWTVKDVDSDAIDALQVELSCSSKQMEITYIVTLYSESLATAVIVKNTGLKPLTLKSAILSHFKFKRRDGAAIQGLQGCSYCSHPPLSSPFEILSPSEAMKVEESDWFSFGSEPQGKAGSWSLQDVPFTMLKNKFSRVYAAPPAERLKPIYHTTPSKYETLDQGRELFFRVIRMGFEDIYVSSPGSLSNKFGKDHFICTGPASMLVPVTVKPGEQWRGAQVIEHDNL >KGN58339 pep chromosome:ASM407v2:3:24223626:24228739:1 gene:Csa_3G624070 transcript:KGN58339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKAFNRQKVLLQHLQPSSSSESASLSTSVCVAGESASNSYHRTAAFGDDIVIVAANRTAICRAKKGGFKDTPAEDLLASVLKAVIEKTKLNPIEVGDIVVGTVLAPGSIRASECRMAAFCAGFPDTVSIRTVNRQCSSGLQAVADVAAAIKVGYYDIGIGAGLECMSINDIEFAVSDNPNLNGIPQARDCMLPMGITSENVAQQYGVTREEQDQAAVESHRRAAAASASGKFKDEIIPVYTKIIDPKSGVAKPVIVSVDDGIRPSTNMEILGKLKPAFKKNGCTTAGNSSQVSDGAAAVLLMKRSLAIQKGLPILGVFRSFSVVGVDPAVMGIGPAVAIPAAVKSAGLTLQDIDLFEINEAFASQYVYCCKKLNLDTEKVNVNGGAIALGHPLGTTGARCVATLLSEMKRRGRDSRFGVISMCIGTGMGAAAVIERGDYVDELRNARPSFH >KGN60432 pep chromosome:ASM407v2:3:39303267:39307680:-1 gene:Csa_3G910690 transcript:KGN60432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTSALAKYKLVFLGDQSVGKTSIITRFMYDKFDNTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVYDVASRQTFLNTSKWIEEVRTERGSDVIIVLVGNKTDLVEKRQVSIEEGEAKARELNVMFIETSAKAGFNIKALFRKIAAALPGMETLSSTKQEDMVDVNLKSTGSGAAQSQPQSSGCAC >KGN59016 pep chromosome:ASM407v2:3:28887454:28888942:-1 gene:Csa_3G745000 transcript:KGN59016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPPHFLLFPFMAQGHVIPMIDLAKLLAHRGVIITIVVTPTNAARNHSVLDRAIRSGLQIRMIQLPFPSKEGGLPEGCDNLDLLPSFKFASKFFRATSFLYQPSEDLFHQLKPRPICIISDTYLPWTFQLSQKFQVPRLVYSTFSCFCFLCIHCLMTNPALSISDSDSVIFSDFTDPVEFRKSELPKSTDEDILKFTSEIIQTDAQSYGVIFNTFVEMEYNYITDYRKTRQKSPEKVWCVGPVSLYNDDKLDLLERGGKTSINQQECINWLDEQQPSSVIYVSLGSLCNLVTAQLIELGLGLEASNKPFIWSIREANLTEELMKWLEEYDLEGKTKGKGLVICGWAPQVLILTHSAIGCFLTHCGWNSSIEGISAGVPMITWPLFGDQIFNYKLIVDVLKVGVSVGVETLVNWGEEDEKGVYVKREMVREAIEMVLEGEKREEMRERSKKLAEIAKRGMEEGGSSYKDITMVIEDIIGNGGC >KGN56562 pep chromosome:ASM407v2:3:7484088:7487051:-1 gene:Csa_3G124820 transcript:KGN56562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGGNGIGLRIEIGERVIPTENLKPKLDEGRCYCYRLQFLLPFFFFTWLNTKDSFQVLCRRFCHSQSHHHRLPRANDVFLPAVFFSRRNLDLRSRRPLLLIICLDWLTWMVFDAFIGGAMNRKGRRERYGVTTKFNNNVNLWGIDYQDKMVSIVAILQSNNV >KGN58034 pep chromosome:ASM407v2:3:20625910:20631231:-1 gene:Csa_3G444620 transcript:KGN58034 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malic enzyme MISLNRTSFLTQMGVSGPCSTPSGTGNRRPMALKVVSMDSNGRAGDRSGSVLVENNTVKEIAKPPSPVVEVEPKSTAGAWPQDAYVEDSAPAMNEQIMTPWSLSVASGYNLLRDPRHNKGLAFTEKERDAHYLRGLLPPTIVAQNLQVKKMLHNIRQYQVPLQKYMAMMDLQERNEKLFYKLLIDHVEELLPVVYTPTVGEACQKYGSIFRQPQGLYISLREKGRILEVLRNWPEKNIQVIVVTDGERILGLGDLGCQGMGIPVGKLSLYTALGGVRPSACLPVTIDVGTNNEELLNDEFYIGLRQKRATGQEYAELMDEFMTAVKQCYGEKLLIQFEDFANHNAFDLLAKYGPTHLVFNDDIQGTASVVLAGLISALKVVGGSLADHRFLFLGAGEAGTGIAELIALEISKQTNAPLEETRKKVWLVDSKGLIVSSRKDTLQHFKKPWAHEHRPIKKLIDAVKEIKPTVLIGTSGVGRTFTKEVVETMASINEQPIILSLSNPTSQSECTAEEAYKWSQGRAIFASGSPFDPVKFKGKMFVPGQANNAYIFPGFGLGLIMSGTIRVHDDMLLAASEALASQVSQEDFDKGLIYPPFTNIRKISAHIAASVAAKAYELGLATRLPQPKDLVKYAESCMYSPSYQNYR >KGN58121 pep chromosome:ASM407v2:3:21594036:21599685:1 gene:Csa_3G523580 transcript:KGN58121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENNNNNNNISMVEAKLPPGFRFHPRDEELVCDYLMKKIGSNSSSSSSLLIEVDLNKCEPWDIPREACVGGKEWYFFSQRDRKYATGLRTNRATASGYWKATGKDRPVFHKANQLVGMRKTLVFYQGRAPKGRKTEWVMHEFRLEGPFSPITDPSPKEDWVLCRLFCKQKEVTPQPSTGSSSCYNDTIGSSSSLPALMDSYISFDQNPNSHLNEYEQVPCFSIFSHNQTIPTLTNLIQMEANTGNNIKNLSTMFGGGMPNSTTCSSNIDPFTCDSKVLKVVLNNITKMETNGSSFIGQTSMGEGSSDSYLSEVGVGDDIASLWNR >KGN58165 pep chromosome:ASM407v2:3:22275377:22277440:1 gene:Csa_3G567830 transcript:KGN58165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWGWGKFSGEPIFPENKQNPPSTFSDSIGSNKGSSQESSSSHGSSKRTRLLHANQNQTCLVDGCDTDLTNCKDYHRRHRVCDSHSKTPVVMVRGEEKRFCQQCSRFHSLGEFDEVKRSCRKRLDGHNRRRRKPQPESLFMSSSDFLSNCKGPIVLQFSDQQIHHVSEEIGRSLWPVRTEGEKKSSMVPSNSSAYFSYGRGDNKELPFFLHKNGKRQSKQIVSSQLSFNQQLPNVISQGSEVDNQKPVTTDTSPEDSGCALYLLSSRTVQAHSDAGLSSLVQSHLSVPVQTHETELHFSSLSDFSGSFDSKDKPVSSQLGLEMEEESDGLFQSEAPHKFPISWE >KGN60256 pep chromosome:ASM407v2:3:38039463:38040393:-1 gene:Csa_3G890120 transcript:KGN60256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPKPHHQPPSHSQPFPRSFPVTATPPPRDSPDDDSPAAPSPLTHAIVLKEPPSSADGASIEVARRPRGRPPGSKNKPKPAAVVVANRDAEPPMSPYVLEVPGGSDIVEAISRFCRRRNTGLCILNAYGTVGDVTLRQPASSPVGTVTFHGRFDILSVCATFVPQTTSFPIPNGFTITLAGPQGQIFGGLVAGSLIGVGTVYVIAASFNNPSYQRLPSEDEVRKLTFSDVEEGHSPISGGKDSENTAAGGAQETCGLLPMYSTHSSSDVIWTPAARQPAHHHRQY >KGN55654 pep chromosome:ASM407v2:3:466457:470782:-1 gene:Csa_3G002740 transcript:KGN55654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEGRSLAVTPTWAFATVVTLMVSLGFFFQGTLKRTKKWLNRTKRKSLLAALEKIKEELMLFGLLSLLMGHWIVFVARICVKSSVLSSRFYPCALETDLKRVRHIFIATQSLNSSVPREHNNDGIREYCPEGRESFASYESLEQLHRLIFVLGVTHVSYSFIAIALAMIKIYGWRTWENEAKALAIRNAEVLSITTALLLKLSPALAIIELIILKRLTKLHNQLCFSRQFWSSINRADYMALRLGFISTHELPISYDFHNYMLRSMDDEFRDMVGISVPLWIYAIACIFLNFHGSNIYIWLSFVPAILILLIGTKLHRVVVKLAVEVVDSSPRGYYCFNLRDELFWFGKPKLLLWLIQFISFQNAFEMATFIWSLWEIKEPSCFMDNETYVGIRLAFGVITQFWCSFITFPLYVIVTQMGSKVKKSLVSENVRNSLHQWKRRVKARPGASSTVTLAGATSLSSSVFTMDDEGEVTDDFTTNCSEGSTSNAAQCTHFPQLIQPVLSDDTEVEISVSSNSPHISSNNRSEGNGDG >KGN58046 pep chromosome:ASM407v2:3:20804345:20807395:-1 gene:Csa_3G462670 transcript:KGN58046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGQKIETGHQDTIHDVAMDYYGKRVASASSDQTIKITGVSNSATQHLATLTGHQGPVWQVAWAHPKFGPLLASCSYDGRVIIWKEGNQNEWSQAHIFDDHKSSVNSIAWAPHEVGLCLACGSSDGNISVFTARADGGWDTSRIDQAHPVGVTSVSWAPSTTPGALVGSALLDPVQKLCSGGCDNTVKVWKLYNGVWKMDCFPALQMHSDWVRDVSWAPNLGLPKSTIASASQDGKVVIWTVVKEGDQWEGKVLKDFKTPVWRVSWSLTGNILAVADGNNNVSLWKEAVDGDWQQVSTVEP >KGN59374 pep chromosome:ASM407v2:3:31457702:31460578:-1 gene:Csa_3G814400 transcript:KGN59374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYCIYYRAENILSELKNLPNYPRRIEYTMLSLKSILMDAEEKQEQSRGLQNWLEELQNVFSQIEGFIDEHKEEAYEGIGKQVLAPFSCSSNQIARTWKMEKLFDHLNEVAAKMYEFNLTERHTGAIKTETTNSFLTATEVSTRLMKPSWKVLYPLTNAPKFYQDERYRKILNDFKNPTLGFFHIVGEAGIGKSTLAKFIYNDPEVEGMFPSRLWVCVKEEFDTQRLMKEILNFSYSPATCDNLTTKLCPTDQYLRERTFLLVFQDLSIKNLDNCSLFTSLLMMGKPGSKIIVTTQNEEIANAIELTMIYKVGQQSEQNRSQTALDTVTKETANVNNADQFVQANPLGKIDQSIPSQTIFKVKRLSEKDSLSLFKDYASTYEGNEKDIMKTLKKCNGIPLAIKCLGSMLSLGPPATKWMEDNERQKGDNESSSTFSILKLCYNEMPSHLKRCFLYCSQLPNDSILSSNDVIQLWMANGLLRSRQENYLSLEDIGEIYFKELCSRCFLQDVEEYGLGYWFKMHPLIRELARLVQKRTKDLISIKPVTNVTSIAFPVRDEVPSSSFLAEKCISKFQHLRLLYLGHTDLQEIPNTIETLNHLTYLDLQGNKNIKRLPNAICNLQHLQTLILASCSALEELPKDICKLSNLRYLWVTSNKLRLHKNGVGTMTSLRFLAIGGCDKLQDLFERPSCLVRLETLMIYDCNSLQLLPNEMGSLISLQNLVIWSCKQLTLKGLEKVDFSLQRFTIRELPEVNKLPEWLQRSTETLRVLEIIDCPIKVEEEGIKMYKAVESKIIQGAVDITGNLVRRSPMVTKKVQMTGNFY >KGN59477 pep chromosome:ASM407v2:3:32181798:32184231:1 gene:Csa_3G822290 transcript:KGN59477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLITLEPSNLIPIRIDPPHKCSGDLTLRNVMYTMPVAFRLQPLIKSRYTFKPQSGIIPPLSTLTVEILYHLPHGVSLPDSYPYSDDSFLLHSVVVPGAAIKDSSSSFDSVPSDWFTTRKKQVFIDSGIKVMFVGSAVLARLVADGAMDEIREVLERSDPSWRAVDSVDEKGRTLLHLAIGQGRADLVQLLLEFNPDVGKVGESGMTAVEAAAGAGQALIVELLLARKASTERGEGSVFGAIHLAAAGGHVEVLRLLLVKGACVDALSKSGDTALHLAVQERRRDCARLLLANGSKPDVRSAEQGDTALHMAARIGDEQIVKLLIQKGANKDIRNWVGKRPYDVAFDHSHTRLFDVLRLADKLATAARKGDVRSIQLLVDSGAAINSRDQNGWTALHRAAFKGHTDASRALIDIGIDVNAKDDDGYTALHCAVEAAHDNVVQVLVERGADVEAVTNKGLSAMQIAQSMQYTRTMRILMHSGVSKDHIDVPPTRTTSWQGLAKKKQQSKGRGRIKSLRSTDFDKSVALSVV >KGN57046 pep chromosome:ASM407v2:3:10262183:10264859:1 gene:Csa_3G150750 transcript:KGN57046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIESYVVVHNIAKRHNVGTMARSATAFGVSELILVGRRDFNAFGSHGSTSHLRFRHFHSLLDAQTFLKEKDCDICGVEITDNAVAVNQHPFKRSTAFLLGNEGTGLSAKECAICDFFVYIPQYGVGTASLNVTVAASIVLHHFGVWAGFPERCRDGSKFIVAERPVRQVKRNYCTETEESIMEERKSRRENASKGFFDEGMIDESASNLLDTLFIDQ >KGN59791 pep chromosome:ASM407v2:3:34372038:34379978:1 gene:Csa_3G846560 transcript:KGN59791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLQQSIHSKPSANGFGRRRGDRDVGTKFENKFQPGKSNTNRLTNTRTLAGSKDGSFGSSSHDRLVYLTACFIGHHVDVQVKNGSVYSGIFHSSNTDKDFGIILKMARLTKDTSSRGQKTIGDSSIKAPSKTLVIPAKDLVQVIAKDVTVTKDGLSNEVHNENNELLIDCIISQSRQHDAERELKPWIPDDDDPQFPELDNIFDSPWNRSWDQFEVNEKLFGVKSTFDEEIYTTKLDRGPQTRELEKEASRIAREIEGEDTEDLHLAEERGIDIHDKFDIDEETRFSSVFRGKAADDSGFDENEDISFNSRNMETFGGPSDTDIRFADTFSGKCSDVMSVSSSSSLDQAQPSQINIGVDLSRSTPINYARQLASETSSKSCSTLQTESRIQDIQHEENDADVPEEKDRQAVNDSQFAQCDDLQPLKKDGSDEGTMPNVALHTPSKHNEKLKPSELSDDPESGKSHGEVQMLNSSGRPGCSVSLNSECAAGTSSGPALSPSSSVGSLSSEKSTLNPRAKEFKLNPNAKSFTPSQAPVRSPSPASSSDGSFYYQSNIPAVPHMHGMPYGVGIGPSFTGHQPVVFNPMVGPMQSPHGYVPPNGPQYAQPMLLGHPRHAMYMPGYQPEMPYKGRDY >KGN57589 pep chromosome:ASM407v2:3:14616892:14643722:-1 gene:Csa_3G219730 transcript:KGN57589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTIPLTDHAVFPSTAESSPSSPSLRGSLWKLHTLGNLRSPIHHPVSFEPINGNGIFAEIRKPKNVQVAVQAKWSGTSVLLEAGELLAKEQKDLYWEFIEVWLREEGNDADADADAPTAKACLKKILKHGRSLLNEPLASLYEFSLVLRSASPRLVLYQQLADESLSSFPLPEENNSNIVGEGNESIERRISDTSVVGLKPKTPDGKCCWVDTGGSLFFDVPELLTWLQNPAESVGDSIQPPDLYDFDHVHFGSSSGSRLAILYGALGTYCFKQFHDTLVNAAKEGKVKYVVRPVIPSGCELKINSCGAVGARGSLNLGGYGVELALKNMEYKAMDDSAIKKGVTLEDPRTEDLSQEVRGFIFSKILERKPELTSEVMAFRDYLLSSTVSDTLNVWELKDLGHQTAQRIVQASDPLQSMQEISQNFPSIVSSLSRMKLNDSVKDEITANQRMIPPGKSLMALNGALINIEDVDLYLLIDMIHQDLLLADQFTKLKIPHHTIRKLLSSLPPADSDLLRVDFRSSHVHFLNNLEEDAMYKRWRSNINEILMPVFPGQLRYIRKNLFHAVYVLDPATVCGLQTIDTILSFYENNFPIRFGVLLFSSKFIKQTESKDGELNKSEADTSSLMIQLFIYLKENQGIQTAFQFLSNVNKLRLEADGLSDDAPEMHHVEGAFVETLLPKSKSPPQDVLLKLEKEQTFKDLAEESSMFTFSLGLSKSECSLLMNGLVFDSSEESLINAMNEELPRIQEQVYYGHISSRTNVLEKLLSDSGLSRYNPQIIAEGKPRIVSLFPSTHGAESLLNDLNYLHSPGTMDDLKPVTHLLIIDAASKKGIKLLKEGLLYLMRGSKNARVGLLFTTSNHTSESSLLLAKVFQISASLHSHKMKVLNFLDQLCSVYSQKFIHESSVAVDSPQEFIEKACELAEANELPPKAYRIALSDSFFDELKKHFSQVEHLLSGQLGLESIFNAVITNGRVTLVTDAISFLSHDLHLLEAIEFKRRIKHIVEIVEEVKWDDFDPDRLTSNFLSDVIMFVSSSMAQRERSSESARFEVLNAEYSAIVVDNENASIHIDAVIDPLSPSGQKLSSILRVLSKYIQPNMRIILNPLSSLVDLPLKNYYRYVLPSVDDFSSTDATINGPKAFFANMPLSKTLTMNLDVPEPWLVEPVIAVHDLDNILLENIGDTRTLQAVFELEALVLTGHCSEKNQEPPRGLQLILGTKSTPHLVDTLVMANLGYWQMKVSPGVWYLQLAPGRSSELYLLKQGGGKSQDTLSKRIIIDDLRGKVVHMEVEKKKGKENEKLLVPDGGDDLLENKKESHNSWNSNFLKWATGFIGSNDKSKNTKSTSVDQGKGGRYGKAINIFSIASGHLYERFLKIMILSVLKNTHRPVKFWFIKNYLSPQFKDVIPLMAEEYGFDFELITYKWPTWLHKQKEKQRIIWAYKILFLDVIFPLSLEKVIFVDADQIVRTDMGELYDMDIKGKPLAYTPFCDNNKDMDGYRFWRQGFWKEHLRGKPYHISALYVVDLKKFRETAAGDNLRVFYESLSKDPNSLSNLDQDLPNYAQHTVPIFSLPQEWLWCESWCGNVTKSKAKTIDLCNNPMTKEPKLQGARRIVPEWPDLDLEARTFTAKILGDINPQTPALSTDQDDGSANKKPIDEDVESKAEL >KGN60265 pep chromosome:ASM407v2:3:38093924:38095130:1 gene:Csa_3G892190 transcript:KGN60265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLVTQHYCPLLILATDFGSVDPSFDRLKVFCVRTSSRRVVSSKMDFEQGAFVHRSGVANARNFYAWNYRTYLLAFFRFSPSRLIAAVCMYILKANEQTRGEELDDTTDMIDTNFKSYSVLHNRSALLAKLLSKKASGKGVVLGEEYELVHQTIFTDPDDRSGWFYHLWLLDQTVKTHLHHLVSSWPPRSLNVALSRTGCLDDHTLSHLVVSFRIQENYSSFFTLINLFKESTHLQ >KGN59009 pep chromosome:ASM407v2:3:28847581:28848992:1 gene:Csa_3G743950 transcript:KGN59009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANHAFLLSSFFFFLLHFGVEAAKMTVKNNCGISIWPATLTSGPGQPQLSTTGFKLAPGESKSFNVPAPWTGRVWARTRCSNNGRFTCMTGDCGRGLSCNGAGGVPPVTLAEFTIAPDGGQDFYDVSLVDGFNLPVTITIQGGKGPCRSSNCRADVNKVCPAELQVKSGNEVIACKSACLAFNKPEYCCTGEFNDPKKCKPTNYSMIFERQCPEAYSYAYDDKNSTFTCNNRPNYLITFCG >KGN56448 pep chromosome:ASM407v2:3:6888258:6889514:1 gene:Csa_3G119780 transcript:KGN56448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVAGDWVPKNPPATPMHVLEINLISAQDLKIPSNHFNPKHTYAVAWVHPSHRLRTRLDTIGGENPTWNDKFLFRVSPEFLARETSGVSIEIYSLGRFCDTLVGTVRFLIGNVIAPNDCSTTPSFTAVQVRRPSGRFHGVLNVAVMVNGNSDFASLNGVSAIGYRDLMGESLNRKQRTRSKVWGSETSLDSHDLESSEMSDGSESSSSSACSPSRNPNVLRDLNAIRNNLGGTKTLKPSKSSGFLCGLMMQKKTTMTTPDLPQSEKSFEVSRESPDMER >KGN59745 pep chromosome:ASM407v2:3:33981001:33992283:-1 gene:Csa_3G842670 transcript:KGN59745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKGEDRGRTPRYGGLLKAQDLNVILRHFGMLSRWKDLSQLFEWMQETGKTNVSSYSSYIKFMGRGLNPLKALEVYNNIEEVSIKNSIFICNSILNCLVRNGKFDTSVKLFHQMKNDGLCPDTVTYSTMLTGCIRVKHGYAKAMELLKELQDNGLCMDCVSYGTLIAICASHNRLEDAERFFNQMRAEGHSPNMFHYGSLLNAYSINGDYKKADELIEDMKLTGLVPNKVILTTLLKVYVRGGLFEKSRKLLSELESLGYGENEMPYCLLMDGLAKAGSIREAKTVFDEMKAKNVKTDGYAHSIMISAFCRGGLLEEAKLLAKDFEATYDRYDIVILNTMLCAYCRAGEMESVMQMLRKMDDLAISPDYNTFHILIKYFFKEKLYLLCYRTLEDMHRKGHQPEEELCSSLILSLGNIRAYSEAFSVYNILKYSKRTMCKALHEKILHILIAGRLLKDAYVVVKDNAGVISKPAIRKFAFGFMKFGNVNLINDVMKAIHGSGYKIDQDLFMIATSRYIELPEKKDLFIQLLKWMPGQGYVVDSSTRNLILKNAHLFGRQLIAEILSKHSLLSKSTKSREKTFLLK >KGN56799 pep chromosome:ASM407v2:3:8867516:8870604:1 gene:Csa_3G133990 transcript:KGN56799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSLISPNFPFPSLKSNFARPKTSLSFHKPVLCFHHRNPNIFENPSKPIAIFIKTVLESNPDRVLFREVKKREGNGGRRGVSLVGSNQMLLLCGLGYWVQGFRCFPWLALNFHMAHYLNLHPSLLQLVQNSGNLPMVAKPLYGILSDALYIGGARRVPYISIGVGLRIQTLHLQRVVLSWGSLALIPVAGEALPALMACVLLSNLGASITEVAKDALVAEYGQTNKICGLQSYTFMALAVGGVLGNFIGGYNLLSSPPRKMFLLFSILLSLQLSVSLATREESLGLLQLPEPDVQGKSIMENVRNQISELVTVISDPSVSRPLAWIVASIAIVPLLSGSIFCYQTQCLNLDPSIIGMSRVVSQLVLLSVTVLYDRHWKRVPMKKLIGMVQILYALSFLLDIVLMKQINLKLGISNELFALCFSGLAETIAQFKLLPFSVLFASLSPKGCEGSLISFFASAVCLSSIVSGFLGIGLASLIGIGSGNYSSLPVGILIQFIAALFPLTCIHFVPMKSPVLEREKKRVMSRRSRKNRRVGRVMYSGSVCAYRRERESEIQG >KGN58025 pep chromosome:ASM407v2:3:20510692:20512584:-1 gene:Csa_3G435550 transcript:KGN58025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKATRWLRALLGMKREKNSDENSYLPAGDKKEKNRWSFSKSGKEFTGKVQMLPPPPPRKAVADADWQRSYPAESEEDRNDHAIAVAAASAVAADAAVAAAQAAVAVVRLTNQTRGSALLNGGKEIMGVVKIQSVFRGFLARKALRALRGLVKLQALVRGFLVRKRAAATLQSMQALIRAQTTVRSQRARRRSYNKENKSQPEKSPENDIRSLYSDETEHPKIVEMDTMFKRPKSRSRRFNSLVSELGEERPSPYLWTMASPARISGGEWCLGGGEEYGRMSTGTAQSTPRGGRCRWGAVATPGRSVYGEGYYRGYGNYYPNYMASTKSSKAKLRSRSAPKQRPEIWTKKRVALNEIMGARNSISSVRMQRSCNGIEGEEGFDEF >KGN60275 pep chromosome:ASM407v2:3:38196867:38203667:-1 gene:Csa_3G892760 transcript:KGN60275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSHAVSTAAPPPPPLQLHILDQHVVMDNGIIKVNLSNPKGMVNGIEYEGIENLLSLDNDEDNRGYWDIVWNLKGSSGTKGIFDRLEATDFKVIVENEEQIELSFSRTYNNNSSTGGNLIPLNIDKRFVMLRNSSGFYTYAIYEHLKEWPAFNLDNTRIAFKPRKDKFHYMAVADKRQRLMPLPDDRKPPRGQALAYPEAVLLVDPIEPDFKGEVDDKYQYGCESKDSRVHGWISTDPPIGFWQIAPTEEFRSGGPLKQFLTSHVGPTTLTVFHSTHYAGEDMVIKFGDNEPWKKVYGPIFIHLNSLPEGEDPLRLWQNAKQQMMAEVQSWPYSFIASEDFPKSDQRGTVSGKILIRERYVSDESVPASGAYVGLALPGELGSWQNESKGYQFWTRADQNGSFSLNNVRSGNYSLYGWVPNFIGNYQYSAFIVVTPGSDIKVGELVFEPPRDGPTLWEIGIPDRTAAEFYIPDPNPKYINKLYVNHSDRFRQYGLWERYAELYPDEDLVYTVGLSDYRKDWFFSQVTRKIGDNKYAGTTWKIKFQLDSPDTNGTYRLRLALATAHGAELQVRLNDAQALPPLFTTGLIGKDNTVARHGIHGLYRLYTVDIPGGELVVGNNTILLTQASSNSPFVGIMYDYIRLERPLTSKM >KGN57619 pep chromosome:ASM407v2:3:15049918:15050748:-1 gene:Csa_3G231930 transcript:KGN57619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGQRFHRKKSSNAMSIVELLVHMDCNGCEGRIRRAVSKIEGVHSLEIDMNKQKVTVTGYVEERKVLKMVRGTGRKAELWPFPYDDEYYPYASQYYDESTYASTYNYYRHGFNEGVHGYFPDPLYSTVSDNTVHLFSEDNVHAYCSIM >KGN57033 pep chromosome:ASM407v2:3:10184572:10185665:1 gene:Csa_3G150130 transcript:KGN57033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRRFLGIKCHNPIPFPNLLDPISPKFKPSQLTPPFFSSISQLDDARRPFSSTIPPPDEVDRSELRSVKVPLNSLFRSGTLVLNPRPLAFVITGPSGVGKGTVIKRLREVRERLHFAVTVTSRPMRPGEVDGKDYYFVSKEEFLAMVERKELLEYAFVYGDYKGIPKAQIHEFMAKGYDIVLRVDIQGAETLKRILRESAVSVFLMAESEVELVERLIDKRTETKESLLVRVATAREEVKHVKNFDYVVVNADGKLESAVKLVESIIDAEKAKVLRRNAVV >KGN57321 pep chromosome:ASM407v2:3:12223931:12225611:1 gene:Csa_3G179110 transcript:KGN57321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATECMMNSGRDSTQRVEFGCSEESMVPEKFVWPDEFKPKEGLPELQVPHIDLQKFLSGNQSDIEETIRLVDEACKKHGFFLLVNHGVDMELVKKLHECMEEFFTFPFDVKQKAQRKFGENFGYANSFIGRFSNKLPWKETLSVPYVADHQKSTAHDFIFQIYGNELSHHGKVYQECGEVLSELGLKIVELLGLCLGVPKEKFRKIYEDNESIMRLNYYPPCEKPELTLGTGPHCDPTSITILHQDHVSGLQVYVDDEWHTIPPTTDSFVINIGDTFMALTNGVYKSCLHRAVVNCKESRKSMAFFLNPAADKVVRAPDEVVEKNPPRKFPDFTWPMLLELTQKFYRSDSNTLKAFIPWLEEQQKLAKDTNTAPPL >KGN56106 pep chromosome:ASM407v2:3:3954967:3955283:-1 gene:Csa_3G073970 transcript:KGN56106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILSDLPKKPLNLAGRSPKKFELAELGLKTIWLVKGAMKVVRSGVRETSQIRGSTEKECSASIYVVDYWSQWQRIVVVDVGSKSRRRKAE >KGN57736 pep chromosome:ASM407v2:3:16372595:16374470:-1 gene:Csa_3G270800 transcript:KGN57736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQQTNASKLRWGELEDDDGDLDFLLPPKQIIGPDENGLKKIVEYQFNEDGNKVKITTTTRTRKLAHARLSKHAVERRSWAKFGDAVHEDVGSRLTMVSTEEILLERPRAPGSKPEEPKVAGDPLAQLGKGGAVLMVCRTCGKKGDHWTSRCPYKDLAPQADGLDKPVAPETTAAAPGATKGAYVPPGMRAGAERTGTDMRRRNDENSVRVTNLSEDTREPDLLELFRPFGAVSRVYVAVDQKNGMSRGFGFVNFVNREDAQRSINKLNGYGYDNLILRVEWATPRAT >KGN60072 pep chromosome:ASM407v2:3:36666562:36667821:-1 gene:Csa_3G875960 transcript:KGN60072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAAIIILLLSFINQGSATGSCSLDTINIGTQRSGREIGGQPEWNVQVINNCDCPQKQILLSCQGFQTIEPVDPSILLKKNDNCLLINGGTVQPGSSVSFSYAYDPPVIMLPRFSVSLCPT >KGN59280 pep chromosome:ASM407v2:3:30776312:30778884:-1 gene:Csa_3G799640 transcript:KGN59280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPVKVTQKQLLNRGTRRRHLSPNSEECCRLNAMDRKDRATASLLKRVLVSCASQAKQYGGCVAAKVPKVERDMCLKEFIALKSCMQNTLRGK >KGN58396 pep chromosome:ASM407v2:3:24829782:24833897:1 gene:Csa_3G636940 transcript:KGN58396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYKDGKPGYNPDKNARVLPMTILLFVLCGFSFYLGGIFCSEKEGFNVNTSMDVGDSVASARDTAVSPLQLKPVTFQECSSDYQDYTPCTDPRKWKKYGLHRLTFMERHCPPVFERKECLIPPPDGYKPPIRWPKSKDECWYRNVPYDWINKQKSNQNWLRKEGEKFLFPGGGTMFPHGVSAYVDLMTDLIPEMKDGTVRTAIDTGCGVASWGGDLLDRGILTVSLAPRDNHEAQVQFALERGIPAILGIISTQRLPFPSSSFDMAHCSRCLIPWTEFGGIYLLEINRILRPGGFWVLSGPPVNYENRWRGWNTTVEEQRSDYEKLQELLTSMCFTLYNKKDDIAVWQKSSDPNCFNKIAVDAYPPKCDDSLEPDSAWYSPLRSCVVAPNPKLKRTSLMAVPKWPDRLHTSPERVSDVYGGSTGTFKHDDSKWKVRAKHYKKLLPAIGTEKIRNVMDMNTVYGGFAAAIIDDPLWVMNVVSSYAANTLPVVYDRGLIGTYHDWCEAFSTYPRTYDLLHLDGLFTAEGHRCEMKYVLLEMDRILRPNGYAIIRESSYYADAVASMAKGMRWGCRKEETEYSTEKEKILICQKKLWYSSNRKSR >KGN56028 pep chromosome:ASM407v2:3:3385380:3390512:1 gene:Csa_3G047890 transcript:KGN56028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIANREAMKQFQLLMEEVDGSLKNTFEIMHQGHPAETLERFLKARDWNLAKAHKMLIDCLHWRIQNEIDNILAKPIIPTELYRAVRDSQLVGLSGYSKEGLPVIAVGVGQSTFDKASVHYYVQSHIQMNEYRDRVVLPAATKKHGRHISTCLKVLDMTGLKLSALNQIKLLTVISTIDDLNYPEKTDTYYIVNVPYVFSACWKVVKPLLQERTRKKIQVLQNCGRDELLKIMDYASLPHFCRKERSGSSRRVENGNAENCFSFDTAFHQQLYNYVQQQGAVREPIVPIKQGSFHVDFPEPDPRDVEIAKTIETEFHKLENHNALNYSMNGLQVNGE >KGN56015 pep chromosome:ASM407v2:3:3305595:3305993:-1 gene:Csa_3G047760 transcript:KGN56015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDDGSVRICGRVNPRTFLKVIEKSGKHAEVRSIRFDGEAGDRRYYPSFGDDASNHSSYSNPYQSYNEQSHWFDRCYPNLQRPQPYPWQLMLPQPQPQPVSWPMMWPGWPQPDNQFLDGNQNNFQRCCTVM >KGN57401 pep chromosome:ASM407v2:3:12778548:12779911:-1 gene:Csa_3G183350 transcript:KGN57401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRYELELKIQSAKDLKNINWKYGTLKPYAVVWIDPKQKSSTKVDNQGDTSPFWDETLVIPFFSSIEDSTLHIDVVHVVGADEDTKPLIGSARLPLAELIDDVGLGESSQRTLQLKRPSGRPQGKIEVKVTVREPRYRSSDSYYTPPYGVPPPGSARDYSAPPPPYVAPYSAPPNPYYSAAPPSGYPYGGYGAPAPPPTAPPYGQSNYGQPSYGQGSYGQPAYGEHTYYGQPEEKKKSKFGMGTGLAVGAAAGILGGLAIGEGVEYVEDQIAEDAAQKVEDDLGYGDEDF >KGN58652 pep chromosome:ASM407v2:3:26897124:26898835:1 gene:Csa_3G710790 transcript:KGN58652 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone protein dnaJ 8 MASVATAGFIAPSSSSSSCFSHTNRPNKNPTSTNQFRVSCSYSSSSLADPYRTLRIQPGSSESEVKKAFRRLALKYHPDVCKGRNCGVQFEQINEAYVIVMNNLRGIATPIEETYETKYYEGTDEPTRKYGEPDWDSYEEWMGYEGAWMGDYSSQY >KGN56464 pep chromosome:ASM407v2:3:6975910:6982472:1 gene:Csa_3G120430 transcript:KGN56464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQFRQLGEALGSVKALMLFKDSVHINQRQCCLLLDVLSFAYDSVAEEMKQNLRFEEKHTRWKVLDQPLRDLNRVFKEAEWYIRQCLETKDWWAKVIMLYQNTDCIEFHIHNLLYSITVVVEAIEMAGESSGSDHDELLKKKLINSIKYRREHKDLKIFKWKFGKQYLVTQDFCNRIEAVWNEDRWFLLNKIREKKLMASSKYEQRLTDHLLKNINGSESFNGKLLPSLMLVGSKDYQVRRRLGVGSQYKEILWLGESFAMRHFFGEIESLIPEISMLLSLSHPNITRFLCGFTDEEKKECFLIMELMSRDLSGYVKEICGPRKRIPFTLPVALDLMLQIARGMEYLHSKKVYHGDLNPCNILVKPRAYSTDGYVHGQVSGFGLPAVKFKNSSNQNESLPFIWYAPEVLEEQDQSGSAESCKYTEKSDVYSFGMVCFEVLTGKVPFEDSHLQGDKMSRNIRAGERPLFPHSMPKYVTNLTKRCWQTDPNQRPSFTSICRILRYTKRFVAMNPDYNSQTDPAMPTVDYCDIESGLLRRLPSCGISDAASPITDIPFQMFAYRVVEKERAGATFKDTSESGSDASACGDETASSIDDPFPTPVERKLPAPREGSRRLSLTKNNSDVRLNKLPGTPKGRFSRPPQISPRGRSMRMNSESQLMAISPKIRRLSGHASDSELP >KGN56259 pep chromosome:ASM407v2:3:5453392:5453962:1 gene:Csa_3G110070 transcript:KGN56259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFSLTAFADTLTFNILNCGAKPDGSIAASLTFNVVDYGAKPDNTKFDSAKAFQSAWTHACSSSKAATIYVPKAKFYISSATFNGPCKNNAITLKMDGTLVAPSNFQLTAQSKNWILFRQVNGVTVSGGVIDGQGSGLWACKQSGKTCPRGTTDNQEGQSQLYDEL >KGN58552 pep chromosome:ASM407v2:3:26158861:26161188:1 gene:Csa_3G681160 transcript:KGN58552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHSLNFLPLFFSLLLSTAAVFSSEFDDGDYYSARREADRVVDLPNQPPVEFRHYAGYIKLRASEEKALFYWFFEAQNDVAHKPLVLWLNGGPGCSSIAYGAAQELGPFLVQSNGTLKLNPFSWNKAANMLFLESPVGVGFSYTNKSTDLEKLGDKITAQDTYAFLIGFLSLLSCYLREEEEEKS >KGN56003 pep chromosome:ASM407v2:3:3187384:3187772:-1 gene:Csa_3G045170 transcript:KGN56003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCCVGKVCMLCTCLILVVVAIGLLFGFGVFKDGFHKIKDKVDFCDGGPCGGGGHGSFSPAPPPPPF >KGN60101 pep chromosome:ASM407v2:3:36853984:36855647:1 gene:Csa_3G878210 transcript:KGN60101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYSRKFRGVRQRQWGSWVSEIRHPLLKRRVWLGTFDTAEDAARAYDQAAILMNGQNAKTNFPASKDHSEEASHGHGSSPMSPKALSELLSTKLRKCCKNPSPSLTCLRLDCDNAHIGVWQKRAGTRATSNWVMRIELGKKEVPSPESTSQEADGDGDGDGDDHQNEIDEEDRIAMQMIEELLNWNCPLPSTSS >KGN59027 pep chromosome:ASM407v2:3:28943535:28949070:-1 gene:Csa_3G746590 transcript:KGN59027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKFWGSQEEQVRPPPDVSTQSWYPPSVSSSRPGTPGSTSSNHSSSQRLSDRPQSPSHVSPAEAAGIISALKDKSVDELRKLLSDKDTYNQFFLSLDQVKIQNNLRDELRKETLQLASENLEKEPRIMELRNQCSIIRTTELAAAKEKLNELDQKKEEILKLCSPASLLRRLQESMKETEEESDNLHRQLLDREIDLSSFTHKYKKLRISYHQRALIQLAARTT >KGN59713 pep chromosome:ASM407v2:3:33754898:33758094:1 gene:Csa_3G840390 transcript:KGN59713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGNGFYSTSEFNLDAKWLIDPKHLFVGPKIGEGAHAKVYEGKYKNQIVAIKMVGKGDTPERMARREARFAREVAMLSKVRHKNLVKFIGACKEPMMVIVTELLTGGTLRKFLLNLRPRSLELDVAIGFALDIARAMECLHSHGIIHRDLKPENLILTADHKTIKLADFGLAREESVTEMMTAETGTYRWMAPELYSTVTLKHGDKKHYNHKVDAYSFAIVLWELILNRLPFEGMSNLQAAYAAAFKNMRPSAENLPEDLALIVTSCWREDPNTRPNFSQIIQMLLQSLSRISPRSPVIPPRICASENVVMPPESPCTNSLMAVRHGSGEAPHGMIEETPTTSFFCFNKCY >KGN58062 pep chromosome:ASM407v2:3:21013287:21017256:1 gene:Csa_3G481220 transcript:KGN58062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCSQSKIENEEAIARCKERKIHMKDAVTARNAFAAAHSAYSMSLKNTGAALSDYAHGEVQNPQFVSVSTQSNPAVASSAAAAASVTAPFESFPPPPPPLPPSNFSTPLQRAATMPQMNVYNPDLKPGSPIMEEEEEIDNEGSVGALRRSRNKSKGDDGSSRIRNSELNEDLTGASPPPSENRHIPPPPQQNSTYDYFFSVDNIPVSTLSEVEQVQINKEEIERKSFDQKSKGVENDVIEERRISGKAEKVEAVLEEPVEPPPAPPEVAEPVVVAKSSKKMKQAASMGSIEGKRMVKANFNLLQIFIDIDDHFLKASESAHEVSKMLEATRLHYHSNFADNRGHIDHSARVMRVITWNRSFRGLANMDDGKDDFYAEEQETHATVLDKLLAWEKKLYDEVKAGELMKFEYQKKVATLNRLKKRDSNAEALEKAKAAVSHLHTRYIVDMQSLDSTVSEISRLRDEQLYPKLVQLVNGMAMMWNTMRAHHEAQLKIVSALRAMDLSQSPKETSTHHYERTVQLCGVVREWHSQFEKLVRCQKEYIKSLNSWLKLNLIPIESSLKEKVSLSSPPRVQNPPIQKLLLAWHDQLERLPDEHLRTAIFTFGAVINTIMLQQDEERKLKLKWEETEKELERKQRHFDEWHYKYQQRRMPDDVDPERSEAGMQDAAVTEKLIAVESLKKRLEEEKETHGKQCLHVREKSLVSLKNQLPELFRALSEFSFASSEMYKSLSSICQV >KGN58248 pep chromosome:ASM407v2:3:23085084:23089181:-1 gene:Csa_3G599430 transcript:KGN58248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSLKPASSNNGVGRRLTLLHLVCAAALFSFLVFVIQSSFFAGYHQPLVDLNREEVRILSDFQSNVQQCVANRGLGLTAHIIDHCKLILKFPEGTNSTWYNEQFKIYEPLEYPYDVCEAILLWEQYRNMTTVLTREYLDARPDGWFDYAAKRIAQLGADKCYNRSLCEEHLNLILPSKPPFHPRQFKTCAVVGNSGDLLKTEFGDEIDSHDAVIRDNEAPVNEKYAKYVGLKRDFRLVVRGAARNMIAILNGSDDEVLVIKSVIHRDFNAMIKLIPNPVYLFQGIVLRRGAKGTGMKSIELALSMCDIVDIYGFTVDPGYTEWTRYFSTPRKGHNPLQGRAYYQLLECLGVIRIHSPMRSERKQDWSDVPDREMIRMAHAAALSLKKSQSGQGGDLGQFGSCKVWGNVEPGTEGPISGSPDMSDSRKHSSYSKWELTPFNSLRKEAQDHYKQMEGVSLYKMDGNKLDDLVCVKHSLDSVV >KGN60326 pep chromosome:ASM407v2:3:38584584:38585169:-1 gene:Csa_3G895720 transcript:KGN60326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPNLDLLALACLYGSFIALILLLIIFFLLIVLFLSSSLALFSVFVSDLFDAYSVMSLYYEDVKAEIELGLSLIVFGVMGFGVDVVLYTKSLLRQQNLRLKNIRQQQMKFKENWRLGFGMLEEL >KGN56606 pep chromosome:ASM407v2:3:7759232:7762077:1 gene:Csa_3G126210 transcript:KGN56606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFCTERRRFLFFLPLVFFLPNLLSLLELHHDLASTQPDKRSKKFDHLILGPATGQGLSDRLQCSGTKALNNTHLPDTSNSADSGDSIHFVTVFTIYNASQDSKVIGRSTDVVKVGDASYNKVERSMAVLNVFINFIQVSMPQSNVVILTDPASDLPVRRNRVAVFPIQGEYSRDTLMLQRIRSYISFLDAKLDEQRQGTTHINHYIFTDSDMAVVGDLGEIFHKHPKFHLALTFRNNKAQPLNSGFIAVRGTEDGIRRAKTFLEEVLKIYSSRFMKASRMLGDQLALAWVVRSNPSFDARKFSKPETFVEEINGASVLFLPCALYNWTPPEGAGQFHGMPLNVKVVHFKGSRKRLMLESWNFFQSSSSISDMLCLILSSGRTKYDF >KGN60473 pep chromosome:ASM407v2:3:39654382:39655163:-1 gene:Csa_3G914040 transcript:KGN60473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMILGVDSIAADLKDQKLTVIGSMDAVAIVKKLKKVGKVDIISVGPAKEEKKEEKKEEKKDEKKDEKKEEPKKEEPKKEEKK >KGN55746 pep chromosome:ASM407v2:3:1094216:1095138:1 gene:Csa_3G009520 transcript:KGN55746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFYGDEDDLWKCPKHPSKRRRIGICPLCLRDRLVTLCPDCANVRPCNCCATTNTTTTSSSSSSSSSFSRFSSADLGSVGRLSNLIDGEPAFRRSRSLAAIPFLRSRFVADSGDDCSSSGNSARTSSFWSIFKSKSKKRNDGGRMEAAVEIDFRRRAKEVAEVEEAMRRKLMIRSRSVAVADSGGRIVRPPVKAKTWYFPSPIKAFRQSKLPKPVLTERSPLHRG >KGN56732 pep chromosome:ASM407v2:3:8483755:8484152:1 gene:Csa_3G130870 transcript:KGN56732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRTKTTDGNLKRTEQSHERLRLKKSRMNEALINLEDEEGEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEDGSKALRLVRAFEER >KGN56610 pep chromosome:ASM407v2:3:7780923:7785027:-1 gene:Csa_3G126250 transcript:KGN56610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGRLPISATLRGKLISSGYTTLSSLASVSPSDLARELEISNNEAFDVLKLASHGRGLDRSDGSGAIVNGAETAWDMLHKEQFIPRITTSCADLDNLLGGGINVSEVTEIGGVPGIGKTQLGIQLAVNVQIPGAFGGVGGKAVYIDTEGSFMVERALQIAEACIEDMSDYSVLLKKNAIPHQIQIEPKDILENIFYFRVCSYTEQIALINYLDKFITEHKDVKVVIVDSVTFHFRQNFDDLALRTRLLSEMALKFMKLAKKFSLAVVLFNQVTTKFAEGSFQLTLALGDSWSHSCTNRIILYWNGDERYAYLEKSPSLQSASAPYSVTCRGIRNCTSSNKRTKMM >KGN57124 pep chromosome:ASM407v2:3:10785845:10787491:1 gene:Csa_3G159440 transcript:KGN57124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQIPNAADDDDMDDGMKCTYHPYRTNQGGICALCLQEKLGKLVSSSPLPLPPSSSSSYSSASFRSDFAAARHSALSSLQFPPQNRNHCHDHAAPARTRIPFLSKKKKKQPEIGFRRSKSTTTPARGKFLDPYHAEDYSPKNRGWIWSLFDLSTKSHSTRKIDHGGLRESSKIASLPTAATSEKLKGKCTQTSKDFCNTGTAEDDDGGGDDSPYSSQASASVSSFERKVSRSRSVGCGSRSFSGDFFERITGFGDCTLRRVESHREGKPKINPTAVATVPDGNDCLKERVKCGGIFGGFMIQTSSSSSSASSSYWVSSSSGEEGRFQQATYGQAGRSKNKGWAFASPMRAFTKPSSSSSEGKRESSEKNSTPNLDAIPSLLAVRI >KGN58079 pep chromosome:ASM407v2:3:21136734:21141362:1 gene:Csa_3G485850 transcript:KGN58079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRHVVEVSSTYKRGATKDHINAYDKQEGSVRHTGYPSWRRPFPHVVVATLASFLFGYHLGVVNETLESISLDLAFSGSTLAEGLVVSTCLGGAFLGSLFSGWIADGVGRRRALQLCALPMIIGASMSATTKNLWGMLLGRLFVGTGMGLGPAVAALYVSEVSPAYVRGTFGSFTQISSCLGLLGSLFMGLQAKGIVGWWRACFWVSVIPAALLALLMEFSAESPHWLFKSGRTAEAEAEFEKLLGGADVKYAYAELSKSDKGEDSGAVKLSELLHGRHHRVVFIGSTLFALQQLSGINAVFYFSSSVFKSFGVPSDRANICIGVANFLGSIVAMILMDKLGRRVLLLGSFSGMVVSMGLQVFGASSFPSSTEAFYLSAGGMLLFVLTFSLGAGPVPSLLLSEIFPSRIRAKAMAFCMSVHWVINFFVGLLFLPLLEQIGAQILYTVFGAFCLISVIFVKRNVVETKGKSLQEIEMALLPVE >KGN57636 pep chromosome:ASM407v2:3:15212072:15214618:1 gene:Csa_3G236040 transcript:KGN57636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFSSAHHPNHIMAFSEEILMATPVPSYPFPHFSPSLSSLFGQTPPISMDPINPSLHQSSPSTDEALDLLELFWFFDNLLLRRNPKMLISRSDPCLSKLPHQVFVETPPTNLCSSPLDAALSLHNNGGAVRRNLLRTPSLPSRMYRGQGIPEERNDSRPLLEHCVLVETPVHNVCSSSLDMDVSTANLLRTPSLPPRVDQEEGNGSGPLSEHGVFAEPPADHACLSTLDMPFSPGNSGDKRRSLRRMPSLPSRVEREQGIQEKGNGSKPLIEHALLQKPAKPPSVERKEEGIRSKESGSTRRSKSARKPPQSNLLRTPSLPPCIGREREFGEREAAARIRNSIQPNLSEFFPTRQEFLEKKFSLPMCRIPTSSDEMWHQFLIQMRKRRSQSELESEELQGFKDLGFTFDKKDINPTVVDIIPGLREKKEEELESERTRRPYLSEAWMLQTHLLPPIPKWDNRKSAEDMKQQIKFWARAVASNVH >KGN60084 pep chromosome:ASM407v2:3:36739804:36740016:-1 gene:Csa_3G876560 transcript:KGN60084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVILQNRRRGTGVVRNSPEIRSPIDLPSLARLRRRTTHGGAHRGLGKWGFFQLTELTRGLLLHCHPIYF >KGN60457 pep chromosome:ASM407v2:3:39543559:39551250:1 gene:Csa_3G912890 transcript:KGN60457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVGWGRELHLHYSTNRTDVFDRFSAQIAYFHITTSYCYSLPWRSLSTVNLQPRALIFPPPSEKQALAKSPLKELQSNPMVQFHEHIITELLEDSNGGLVIISSGLNLAKLVSSLLFLHSPSQGTLLLVSPSSHSQLSLKSQILFYLNRHQSDPLTFPSEISADLPAHHRLSLYSSGSSFFVTPRILIVDLLTHKLPTSNIAGLIILNAHSLSETSTEAFIVRIIRSHNRNAYVRVFSDKPHAMVSGFAKAERIMKCLYVRRLHLWPRFQVNVSEELERNPPDVVDIRVPMTKYMVGIQKAIIEVMDACLKEMRKTNKVDVEDLTVENGLFKSFDEIVRRQLDPIWHTLGKRTKQLVSDLKTLRKLLDYLVRYDAVTFLKYLDTLRVSESFRSVWIFAESSYKIFEYAKKRVYRFVRADGSKIIEQGKGVVGKRKKSKGDDNTEEEGTTSGIVLTEVLEEAPKWKVLREILEEIEEERQKRLSEGEENLLESDKDSSGIVLVACKDERSCMQLEECIMNNPQMVLREEWENYLLNKIQLRDMKPHNKKKHKDPKGFGVLDGVVPITPAQNAEASSFNKQERNALLAAASEIRNRAKNDSAVVEDQQNDMDSTEQATGKRKGRSRKGASKTNNSLDKTPVDNQKVAIDDHQPDVDNIGYAKGKKKVLNKKGSVDVGDSNNSKDKNVGNQKAPVNDKVEASVSGCEDQMNEINPGALDGFSEATCSTPPSEPGERKQRQQTKLLPPVQFYALESDQPILDTLEPSIIIAYHPDVTFVRQIEVYKAENPTKHLKVYFLFYDDSTEVQKFQASIRRENSAFESLIRQKSLMMIPVDQNGYCLGLNSSVEPPATTQNSTRKAGGRKDVEKDMQVIVDMREFMSSLPNVLHQKGMRIIPITLEVGDYILSPLICVERKSIQDLFMSFASGRLYHQVETMVRYYRIPVLLIEFSQDKSFSFQSASDIGDDVTPTNVMSKLSLLVLHFPRLRILWSRSLHATAEIFASLKANQDEPDETKAVRVGVPSEEGIVENDVRAENYNTSAVEFLRRLPGVTDSNYRAIMDGCKSLAELSLLPIQKLATLMGSQQAARTLRDFLDAKYPTLL >KGN60074 pep chromosome:ASM407v2:3:36677506:36680896:-1 gene:Csa_3G875980 transcript:KGN60074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHHKKINVKVSNNNPKNRLRSNFRQFLSNFGPVSPVMLSVGDSSSGDLEFLSDDDAWYNATVKLEGDVLRVSHCEFSKEHDNVFDADHFQSLLELSVFEARFRPLSRQLQDYECPNVHPGMPVCASYSSRADDVRFYDARLEGHGPNSGNLTIASIANMCQIQFDKINDTVLATFFRNVREKIETRMNRGDICSEDRLPTHNGGGACQKDDCSLKLKHRLSFFERMDQETRRAKRSSGDVEPWEDRQSLSSRKSDVIEQDTDIGGVKYQYMILLENLDKGFSPVKLAKFLYEETLISPRVHIFPSLTFELYARGAVVMNCRRKLKRPLVVTGRIARHETFGTLAAGAMVLDSGNKFGNEKDGRAWELKVVKVGTNEYLNAKHMKELFMEFLSHQRGLHQRLAMEESKIYCNGALQ >KGN57148 pep chromosome:ASM407v2:3:10943370:10946221:-1 gene:Csa_3G165650 transcript:KGN57148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGGQFVLIFLVAFIPIIYGKNVTMGKIVVDGTIRKAQTDENYICMTIDYWPFNECSTLPCLWDGNASALILNLSLPTLTKAVQAFKTLRIRVGGSLQDKLIYDVGSFKGNCPQFARNSSALFQISDGCLSMERWDDLNQFFNKTGAIVTFGLNALLGRHHTTGLQWEGDWNYTNAEAFIQYTIEKNYRINSWEFGNEMVGHNSIGANVTSAQYEKDLIKLREIIDRLYNNSQQKASIAAPSAFFYAPWYKDFVNGTGPGIVDILTHHIYNMGAGDDPKVINNFVDPNYLSKESKDFQQLKNIVENDAPWSVAWVGEAGGTFHGGSPYISNTFVDGFWYIDQLAMAALYNTKVYCRQTLVGGFYGILLPHTLAPSPDYYGALLFHRLMGSGVLKVDNNVSSYLRTYAHCSKERSGVTMLFINLSNETEFTVDIENNMMSTSLADKASQREEYHLIPNNGLVRSSTVLLNGNLLETTEDGDLPDLTPIYRDSNSSITIATWSIVFVVIPHFEASACK >KGN58337 pep chromosome:ASM407v2:3:24174065:24179718:-1 gene:Csa_3G624050 transcript:KGN58337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFDLLLKQSCSGCGSTTELYGSNCKHMTLCLTCGKTMAENKGKCYDCGATVTRLIREYNVRASASNDKNYFIGRFMSGLPNFSKKRNAENKWSLYKEGLQGRQLSDALREKYKNRPWLLEDETGQFQFQGHLEGSQSATYYLLVLQGKEFTAIPAGSWYNFNKVAQYKQLTLEEAEEKMKNRKKTADGYERWMMKAASNGPAAFGEVEKFDDKETVGTSGRGRRKTTGDENEGNVSDRGEEDEEEEAARKNRLGLSKKGGGDDDDEEGPRGGDHDLDDDDIEKGDDWEHEEIFTDDDETTAPDREEREDLAPEVPAPPEIKQDEEDEDEENEGEGGLSKSGKELKKLLRRTGGLNDSDGEDDDDDDDVDEDTGGTPVLPSKQKDTVKEEAAANSPSKPTPSGSAKGTPSTAKTAKGKRKNGEDVKPSSAPPKKMKTETESKATDEGVPTSKSTASKGAPASVKTEPSSHSGPVTEEEIRAVLRQRTPVTTQDLVAKFKARLRTPEDKNAFAEILRRISRIQKTNGGPSYVVLRKPED >KGN58505 pep chromosome:ASM407v2:3:25736776:25740282:-1 gene:Csa_3G652380 transcript:KGN58505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLRSDGTLCISAPHDWRSYENEEESPKLEDFLGNCYSNSLGNDQSHSNNAAGFIQPNNNSNNNEDDDNYNYQADQSLTPNNTNAIDKSWLGPTSQNGCGSFQSLSLGMSPANSQSDIASFTSPPSPSPPHMAADSRKRPMAVAKAFIKEPVPRKSIDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCRKEGQTRKGRQVYLGGYDKEEKAARAYDLAALKYWGSTTHINFPLSTYESELDEMKNMTRQEFVANLRRKSSGFSRGASMYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGTSAVTNFDISRYDVKRICSSSTLIAGDLAKRSPLKDGTPSTTEDYTTCASPSSSSQPLLAITDGSAESHHELANMVWCDNAVADDVNQHHENVAKMDNDLSLMGSSNRTIDPSTKCSPVQNNEEFGIGVGGGEYSQGYFSMQEEKYEEGDQNRQMSVTLGHHHAPMFALWNQ >KGN58095 pep chromosome:ASM407v2:3:21296226:21302532:1 gene:Csa_3G509420 transcript:KGN58095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATGACVFHGLLFSAFPRDRTSFTASFKSSPLAIHNAPRFAALPTTSMDQVASSSLPEDIASTSSVGDLFVNARFDKTYKHATTAILGAGAENGTRFNSGSEHTEVRRSLNDYFEQSVDLIRSDNGPPRWFSPLESGSRIHNSPLLLFLPGIDGVGLGLIKHHQRLGKIFDVWCLHIPVRDRTPFTELLKLVEKTVKDEHRRSPKKPIYLAGESFGACLALSVAARNPHIDIILILSNPATSFSKSPLQPVVSLLEFMPESLQVSLPYILNLLKGDASRLSLAGVGDILQRIVSELSQDLGAVSSHLSVLADILPVETLIWKLNMLKSASADSNSRLHAIKAQTLILCSGRDQLLPSMEEGERLRQFLPKCEIRRFSNNGHFLFLEDGLDLATTIRGASFYRRSQYLDYVSDFIPPSPAEVRKIFEDYSLVNFATSPVLLSTLEDGKIVRGLAGIPEEGPVLFVGYHMLLGLELAPMVGQFFKEKNIILRGMAHPLMFIKIKEGRLPDLASYDSFRMMGAVPVTAKNFYKLLSTKSHVLLYPGGMREALHRKGEAYKLFWPEQSEFIRMAARFGAKIVPFGVVGEDDISEMVFDYEDQMKVPLFKKQIEELTSEAVKLRAHMNGEVANQDVHFPGIIPKLPGRFYYYFGKPFETEGRKEELRNREKAHELYLQVKGEVENCLAYLTNKRESDPYRQLWPRLAYQAKHGFTAEVPTFEI >KGN58857 pep chromosome:ASM407v2:3:28005732:28006869:1 gene:Csa_3G734100 transcript:KGN58857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLCSFPRISSTEPIKQSPAVAPFPPSNHSIKPSTLSLRQSSRNHKRISTVVAAVGDVSSDGTTYLIAGAIAVALVGTAFPILFSRKDLCPECDGAGFVRRSESALRANAARKDQTQIVCARCNGLGKLNQVDK >KGN59587 pep chromosome:ASM407v2:3:32945064:32948652:1 gene:Csa_3G827290 transcript:KGN59587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGFEALTFNIHGGYLEAIVRGHRAGLLTAADYNNLCQCETLDDIKMHLSATEYGPYLQNEPSPLHTTTIVEKCTLKLVDEYKHMLCQATEPLSTFLEYITYGHMIDNVVLIVTGTLHERDVQELLEKCHPLGMFDSIATLAVAQNMRELYRLVLVDTPLAPYFSECITSEDLDDMNIEIMRNTLYKAYLEDFYRFCQKLGGATAEIMSDLLAFEADRRAVNITINSIGTELTRDDRRKLYSNFGLLYPYGHEELAICEDIDQVLIVNLSRNTVRGAMEKYPPYQSIFSKLSYGESQMLDKAFYEEEVKRLCLAFEQQFHYGVFFAYMRLREQEIRNLMWISECVAQNQKSRVHDSVVFIF >KGN56733 pep chromosome:ASM407v2:3:8486572:8490256:-1 gene:Csa_3G130880 transcript:KGN56733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACEAIKLWTFNGLVAAFLDLGIAFLLLSASSLVFFTSKFLALFGLCLPCPCDGLFGNLSSDHCFQKLLVDRSSRKISSVVHSTREKFPLDSLLDGPKCCSKSMLVHERNVKGDRVELEGEASGSSSFKIRSPQAMVYGDYPSVNELHCGDGGDRRKVISASSYVISQADVELEDLSRSPSSFSGFGNDNTEDDGFFSVDSGDEREDSSDNSDQYKVFPDLELDDSCDEKICAEMCEASVAEAGNSCRRELRLDGNESDTIKQLEQALEEEQSVRAALYLELEKERSAAATAADEAMAMILRLQEEKASIEMDARQYQRMIEEKTAYDAEEMSILKEILVRREREMHFLEKEIEALRTSFFEYDGVGVDMLDSEVTPPRAPSFTYPTEDPCINIFNKKHSLQHEIPSVGSQKLTFEFGEESPSIGADETADAAKARGMLLLQVPDIYKGSEEIDYELQGKDMVEDENLYVVPGKVTELEPYLQSNESNALGKVEKCTELIADEQEVHEVSYDGLAFAKTTLPCHEKNGDHQRTRDLYSVNNTDPHLHDIHVVEDEAKTSNEAVDNASEEPLVNGTSNIPGKCDSPSFSLLQNELDFTRSSSDASGRFPPIARSRSHSMRSQLRRNSMSAVDYERSKIGNEVEWLRGRLKIVQEGREKLKFSVEHKEKESNQFQLLENITNQHREIRQLTDPGKASLQAPLPPSSKDVSKKRCWRSSSLSVHRSS >KGN59998 pep chromosome:ASM407v2:3:36113285:36118877:1 gene:Csa_3G865330 transcript:KGN59998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METFSVSSFLFFVFLAALLGFRSTQCTTVTYDKKAILINGQRRILISGSIHYPRSTPEMWDDLMQKAKDGGLDVVDTYVFWNVHEPSPGNYDFEGRYDLVRFIKTAQRVGLYVHLRIGPYVCAEWNFGGFPVWLKYVPGISFRTDNGPFKMAMQGFTQKIVQMMKSEKLFASQGGPIILSQINSCNGFYCDYFSPNKPYKPTLWTEAWSGWFTEFGGPVYGRPVQDLAFAVARFVQKGGSLFNYYMYHGGTNFGRTAGGPFITTSYDYDAPLDEYGMLRQPKYGHLKNLHRAIKLCEHALVSSDPTVTSLGAYEQAHVFSSGPGRCAAFLANYHTNSAATVVFNNMRYALPAWSISILPDCKRVVFNTAQVGVHIAQTQMLPTISKLSWETYNEDTYSLGGSSRMTVAGLLEQINVTRDTSDYLWYMTSVGISSSEAFLRGGQKPTLSVRSAGHAVHVFINGQFSGSAYGSREHPAFTYTGPINLRAGMNKIALLSIAVGLPNVGLHFEKWQTGILGPISISGLNGGKKDLTWQKWSYQVGLKGEAMNLVSPTEATSVDWIKGSLLQGQRPLTWYKVKSLRKVETAYPKMVTLYTRIKFYSLYEYHVPRSWLKPTNNVLVLFEELGGDASKISLMRRSVTGLCGEAVEYHAKNDSYIIESNEELDSLHLQCNPGQVISAIKFASFGTPSGTCGSYQKGTCHAPDSHAIIEKKCIGLKSCSVSTTRDNFGVDPCPNELKQLLVEVDCGITDINGHGS >KGN56160 pep chromosome:ASM407v2:3:4420853:4421101:-1 gene:Csa_3G081365 transcript:KGN56160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGITEKSRAVTKNPSPCDVEALKKCLQENNGDRVKCESQIQAFMFSCSLKKSNPSLQSGRNDFDTGV >KGN58153 pep chromosome:ASM407v2:3:22049526:22052129:-1 gene:Csa_3G560770 transcript:KGN58153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIVMESEDIVAYMNGDIRHNAFKNLSTICTFANRVHCTSVFNGANPLDFSVSLLLFQLGISSGTILLFSQLLKRLGLPLIVSQILVIAPLFLFRTNNLGGVVLGSFGLGHLEKFKEKVFPLRGFICLDVVSALAHIFYFFLIGLQTDISILKEIDIKAFGIGSCSTIFSVILISIYSMFLSTIVDVKYLQHIFELASLQSFISYPMVVSLLYELHLINSKFGRISLSASMASSLLKICLPILSAIRSTNGETENLASSKVVSLVMLIFLIVYVIRPATLWMAKENPIGQPLKEYFVITLILGVLVIAFCCQTFGLRIYFASFLLGFVIPSEPPIGSTLIERLEFITTWIFMPIFFVRIGLVIDNIYTIKLANLLSVSFIIFISALGKFLGSLIISMYYKFPMRDAISLGLILNSQGAFELTMFKVMKKEKLIDDEAFVVASISIMIILAIITPIIRYLLRPSKRYIVHKRRTVMHSRPEFDLCVLVCIHDQEDVPSVINLLDALNPTRRSHLIVYMLHLVELLGRAQPKLIHHKHKMVRNLRSSSSEPIINAFKYFEDSKSNIIAVNLFTAISHSTTMHDDVCSLALDKSTSLILVPFHKRYHSNGLVSFSKHKLKIFNHHILEKAPCSVALIVERGFLRVSKSIETNLQYFQIVLIFIGGPDDREAMFIGARMVGHVNINLTMIRLLDNGNVPKDDVKERRLDDEAVAEFRQILSNNYRVRYKEEVVKDGTKTISVLRSMGSNFDLIMVGRRHSPFLSPVQGLVLWNERTELGAIGEVLATSDFMGNAMILVVQQHTRVANEDQENPPETIPMDETK >KGN59366 pep chromosome:ASM407v2:3:31413300:31416084:-1 gene:Csa_3G814330 transcript:KGN59366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEKKNKVIVPSTIKNKEKRAEVHANLKHQKKLEKRKRAKARDAAEKQALELGEELPPRKIPRTIENTREVDETVCKPDDEELFAGNDADEFSSIIKRDILPKILITTCRFNSTIGPAFISELLSVIPNAHYYKRGTNDLKKIVEYANAKDFTSLMVVHTSRRKLDGLLIIGLPEGPTAHFKISNVVLRKEIKNHGNPTGHQPELVLTNFTTRLGLRVGRLIQSLFPQDPNFRGRRVVTFHNQRDFIFFRHHRYIFESKENKKEADSKKKSKGTKGEKVPQQKVIARLQECGPRFTMKLISLQHGTFDSKGGEYEWVHKPEMDTSRRRFFL >KGN56417 pep chromosome:ASM407v2:3:6736736:6739380:1 gene:Csa_3G119500 transcript:KGN56417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCSVLNENLFMAVRNDENLQNKLSDLVERPNASNFSWNYAIFWQLSHSKSGEWVLGWGDGSCRDPRDGDETEATQILSLQLEDESQQRMKKRALQKLHTLFGGSDEDNYALGLDRVTDTEMFFLASMYFSFPRGEGGPGKCLASGKHIWNLDVLNSPSEYCVRSYLAKSAGIQTVVLVPTDVGVVELGSVRSVNESLELVQLIRSLFSSQLSLDRVRSSAAMSMIAERKDESTSFASWGIAERGEGGGGGGIPKVFGQTLNSGNMGRSHFREKLAIRKMDERSWEACANGGRIQFQSPRNGIRSPSLAHVHGLKQGNHSPAEIYVSPTPPVNNNHEQLVSGVRDEFGLNPYQSQKLAQMQIDFSVATSRPSVINRVGADSEHSDVEPQCKEEGPGTDERRPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNISKMDKASLLGDAIAYINELQEKVKVMEFEREKSSLTSSEATPSEGNPEIETKDQFLDVDIDVEAAHDEVIVKVSCPLESHPASRVIKAMRDAQINVIDSKLSEANDKVLHTFVIKSPGSEQLTKEKLIAAFSQDSTSLHPLPTVG >KGN59933 pep chromosome:ASM407v2:3:35646587:35648784:-1 gene:Csa_3G855270 transcript:KGN59933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEAVESSVNGAFSHLHSSGDSSEEELSVLPRHTKVVVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLTNGIEVKLQRNALSVIEAPTGNEDDDDLEFENLHWNGSDMASDDTLKSHRPRQRTHKSSGSSLKTISRSFSYESQSKGSISTPRGSMKVDLGKLEMSALWRYWRHFNLVDAFPNPSKEQLVDVVQRHFMSQQLDELQVIVGFVHAAKRLKTTVCK >KGN58289 pep chromosome:ASM407v2:3:23582998:23584975:1 gene:Csa_3G608680 transcript:KGN58289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFIACFRSSTDLNKRRKQRRRKVLPRQQTANAVSQLVQVSPSTLDTASDRSISPILKARDRREEQLNPSTRKRVTFDSNVKTYELEDVEVEAEAEAEAKAGGDTFFGTDGNKEEKCLAEIPQSQCKSYSGEGSTVSSISSYPPNHRYQNCRDSDDEDELDYADSDLVDTDVDDDDDVVDEEYDNDFDDEDELIESSDKNSSDQVFADEVDSCLSVCGCPGKTEPQIGLRRTARDRNACVHSVLKPVENISQWKAVKVKDKLRSNPPSCKENMALNGAARSSVTEPSFKKSSFGYKSKSCQPKSSDQDIAVDASLSNWLSSSEFTPPSKISTGISLLPTPESQGSNSPKSEEDRPILGALTMEELKQFSTTPSPRRSPNRGADDMPIIGTVGTYWSHSDSVEDSGLASSFKRVPNTSSNFREMRVK >KGN56594 pep chromosome:ASM407v2:3:7674349:7674678:1 gene:Csa_3G126095 transcript:KGN56594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPLTTQQHTFVDGVPKFIFTDQIQDPHSQKETSHQLLLPVTLQNPTKLAVRRVQLQLTFCTDCLHYTKTRNPTKTKGKRETESKYRRGINPTKPNQHHNHKRIYTPKS >KGN60013 pep chromosome:ASM407v2:3:36253669:36257911:1 gene:Csa_3G866460 transcript:KGN60013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSTSCFKIIACGGDSSDKDDIDISESKRLNDKQGWSFRKRSNRQRVLSNTVIAEIPSPGNKETFETVNINFQPPTNGSILEKDPGLQCASEKPQLQSTENLKESEVVDVIQKESKVDVDIEEHSVIIIQAVVRGWLARGELLKVKNVVKLQAAIRGHLVRKHAVETLRCIQAIIKLQALVRARCAHLALERSNSEELDSNSYKTLEKEKLRKSRETSVSIEKLLSKSFVRQLLKSTSTTEPINISYHQFKSETTWKWLERWTSFSSVDVLEIKEAQFLTEEQGKEKKETLCASEVIFGTESNVLCKSDDSRTCIGESVVHSESEDNLITYDMDSAQFQPRQLTSSEMESLDQAWLEENTDVSNVKVTLMEANSHLDQRIELVADSQLQCNTHIEKLEKEFQQNKTSTGMFTSEQPEVKEKKTIFGSRRASNPAFIAAQSKFQELSSVENSGRSINSSYQETGAESCIGAMSSASGTAPRTEGLSTTEDYITNQSTTVRVGGSDCGTELSITSTLDSPDLSEAGAFEYEHETNVTEICVHDRSSNKSTEIDVGSAPSSLVSNLCQPRLGSPEKSSVVSSKSINKITMNSTQNEVKPDANASDQQREQDAETGNYRSSPSASPRSHATFLESQGTPSSQISIKSNKRKTDASRSNLKRKSLTAGKKSPSKLHRNVDLPNHFEPLPKDEKIEKRRNSFGSARSDHIEEESRESSSNQSIPHFMRATESARAKVQLNNSPRSSPDVQDAEIYIKKRHSLPGANGRQGSPRIQRSTSQAQKSGKGNERKWQR >KGN58807 pep chromosome:ASM407v2:3:27789590:27789826:-1 gene:Csa_3G732635 transcript:KGN58807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLHRYHYKQCEKLRSHVIVQPESDSNVQFPYLNEKASKRFQRQLILNNSIELLYKKSLTRLLRLSRSICKQSSRGRR >KGN59728 pep chromosome:ASM407v2:3:33869912:33872104:1 gene:Csa_3G842010 transcript:KGN59728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYLLISFLSFILLSKSFSYKRLLPWEVKMSLLTALLVSWFHKSKLALSFFLVLKVSDPSLKSIRCSTKLGNLEEKRGTSLLDLPELALESILDRLSPSELCRMANVCTYLRDVCEDDYFWEKHMKQKWGKLMGNSASKEWHLHIARQRRSKLTSSPQKKGFFSSYSGSWSFLLTRPKPESRGNIRSPLPIDSMKAWYQSLENGKLWFPAQVYNRESGHAGFMLSCYDAQISYDWQTDMFKARYPPHGRRAIEENIFWNRLRAPPVDIPPHLLHASECLADLKPGDHVEIQWRKSKEFSYGWWYGVVGHLEACDGNANKCQCCWNDMVLLEFSQYGVESRWRKTVINRKSHKEVGNGADGYYGGVRKLYKEEEITRWKCLWPNRVLE >KGN55761 pep chromosome:ASM407v2:3:1167955:1168963:-1 gene:Csa_3G011630 transcript:KGN55761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRRNKRKASNQSRLLPPERYGTAVTDRSHLVVRHAPKPSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSVWTETEVPRPASLRGIELIGGIVSLDLPVTVSLGIRSL >KGN57334 pep chromosome:ASM407v2:3:12333516:12336930:1 gene:Csa_3G180230 transcript:KGN57334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAELGSKFHWLWRRDLYENGETECHFGFYGGAPTARLPSDAPRFLYFIFQSKAGFFGDTENLLSVMQIPRSFCRLFSTFSKSQRAISNSTSLNSIKELHAQLVRAQMHIDPSSISEVIKHYALSPQSLPKAHFVFNQIQRPTLLVWNHMIHGLSKSDRPNDAIHFYNTMYYKGIQGSHLTFIFLFKSCARVSDVRQGQMVRVHSMKLGFESYLFVSNALIHMYVCFGELAMAQKVFDGMLERDVVSWNSIICGYYQFNRFKKVLDLFREMQAINVRADSVTMMKAISATCFLSEWEMGDYLVKYIDEHGVVVDLYLGNTLIDMYGRRGMIDFAGRVFYQMKEKNIVSWNAMIMGYAKVGNLVAAKKLFNEMPSRDVISWTSMIIGYSLAKQHAEAVKLFQEMMVSMVKPDEITVATALSACAHLGSLDAGEAVHDYIRKHDIKSDVFVGNSLIDMYCKCGVVEKALQVFNDMKTRDSVSWTSIISGLAVNGFAESALNVFDQMLKEGICPTHGTFVGVLLACAHVGLVDKGVEHFKSMENTYRLAPEMKHYGCVVDLLCRSGYLDMAYNFIKKMPIVPDVVIWRILLSACKLHGNLVLAEIVSKKLLVLDPSNNGNYVLSSSTYAGSDRWDDVIKIRKLMEVTNLQKPCAYSSIEVRI >KGN58560 pep chromosome:ASM407v2:3:26193012:26193317:1 gene:Csa_3G684420 transcript:KGN58560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKYHNFGLFLIFMLKFEHLSIVNPSIELQNCSPSGSFVNLLQHERINDSRCCILFSSKAFGMFNNLGHPHIFKHLRLGKIVTFDDSSFVITSFHCSKLII >KGN59642 pep chromosome:ASM407v2:3:33254736:33264092:-1 gene:Csa_3G835790 transcript:KGN59642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRHRLERQNSTVKVGKLASVINTQELPAIGGNDELKTEASRSRGLWELLAPVGRRVSVETLAGKKLAIDASIWMVQFIKAMRDDRGEMVRNAHLLGFFRRICKLLFLRTKPVFVFDGATPALKRRTLIARRRQRENAQAKVRKTAEKLLLNHIKVMRLKELAEDLQNQKQQRKQNLPKKSTLPSHKEKFDGTSTSERLGASIMAEEKGIFLSSASSFAGATHDKEDSDEQSTVNQKYNKDSKGKELLSDETHVVGSDSERMDLASRSAHQQNLDEMLAASIAAEEARSLNEKASTSAVTNLDGEDTDDEDEEFILPEMHGVVDPSVLAALPPSVQLDLLVQMRERLMAENRQKYQRVKKDPAKFSELQIQAYLKTVAFRRDIDQVQKAAAGRGVGGVQTSRIASEANREFIFSSSFTGDKQALASGRAEKNGDKDLQAPIVQQPLSSLKGTEIPSTSNSLARSTPDKSEVYEENIETFLDERGRVRVSRVRAMGMHMTRDLERNLDLMKEIEKNTSAKKATNPDFMQNIQICDQQSFSFQSQVLDTSDEGVGGSINKLDERGTGSMLNEETAIEILLEDEGGKSFDGDDDLFSNLVAENPIGMASFDISTQKLSLDSREEALDGKTYSPKTVGVDDHSFKEGTISDESEVDWEDGVCDLVNPVPFEADLAKSVSKGSLEEEADLQEAIRRSLEDKGYTKTGPLSSDHQQPQPVIVGKKAEHYTSALKENMIGLGKLDSDDGMSSLNFNDSSGTKGTTESLSQKMQCSEPVVLLDTQTHTLSKQLDASYNDATFSPKELNENNDTLEPLSEEASGAVQVGDMINNTVVNSPCHMVEMEGFYTPGNVSSPKSFACDNHLKQNLPVNKHSNDVLLDAKDAKIPTVGKISSAEITEDELIHRISVLEQERLNLGDEQKRLERNAESVNSEMFAECQELLQMFGLPYIIAPMEAEAQCAYMELANLVDGVVTDDSDVFLFGARSVYKNIFDDRKYVETYFMKDIEHELGLNRDKLIQMALLLGSDYTEGVSGIGIVNAVEVMNAFPEEDGLHKFKEWIESPDPSILGPLGAKTGLNAHKRGSKASENDTTCSNSSGSASEENISKDLKENMAVKQSFMNKHRNVSKNWHIPSEFPSETVISAYICPQVDKSAEPFSWGKPDHFVLRRLCWEKFGWENSKADELLLPVLNEYSKHETQLRLEAFYTFNERFAKIRSKRIKKAVKSITGSRSAVLMDDAVRDASVNNQRELSVEPKENISEKCSSEIQGACSNEEDRENRLQKPSRKRQLDGEQSQFGKGKKLRKKEKGKRSVSEGSHSKRGRGRGRLASRGKTPMTDLAETSSSDDDGEFDNQKFDLENLQEPQERRRSSRIRKSASYAIDDADQPSDHSADRFSNDKVEKDVVVQDQYTYPKTIISQSQNTESSSRTPKQSLQNDYLETGGGFCLVEDEKSRQEMCQNKDSAMEANNSEDYLTIGGGFCLDDNDECVDPVAHSDQATILEAQKDDFENDLIPDQSTFSTEEHIVEKDTDARIESLHGLGNSNPVSNRNSSQVGENVEEEPKDRSVRALGGGLSAMPNLRRKRRKY >KGN57762 pep chromosome:ASM407v2:3:16727986:16728742:1 gene:Csa_3G282990 transcript:KGN57762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFTGGMLWSSYNHIKTTLEAMTSNSQEWRDDEFGSRNESIGSRRGRGRIDEGSEGNVTPHDRDACPMSKETIAYVKHDPYSNAYNEGWRDHLNFRWGGQDQNHNPFSNLTYHILQHLLHRQWKHWFKNICKGMIPFCIVKPHPLKIWSCKWDNWPMTYMGDKKEPSLVIQKYQIMEEA >KGN59688 pep chromosome:ASM407v2:3:33558672:33563433:1 gene:Csa_3G838670 transcript:KGN59688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFEGTGDATTLSYWLNWWALSCEIWVFASFTFALWMIWNYEVKDRLGHSRRGTQQDKNKLRGCEAWTPCLIQIHPICLLAFRVCAFGMMLASLIVKALVNGASMFYYYTQWAFTLLTIYFACGSLISIYGVFLCNRKRTEGLCAQVNENGMEEGQQVPLLSGKPSNLIGGNIVSYSKDQSFSSTAVNIWCYIFEVLFQINAGAVVLTDCTYWFVIFPFLTIKDYNLSFMTINMHTLNLVLLLGETALNSLTLPTFRISFFFLWTGIYVISQWIVHAFVSIGWPYPFLDLSAPYSPLWYMLMGSIHIPSYGIFMLIIKLKHKLIMKWFPQPYHC >KGN57592 pep chromosome:ASM407v2:3:14692511:14700646:-1 gene:Csa_3G221750 transcript:KGN57592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSNSQSNISRGRVSPPHRTQIADDSILLHLDSSRRGPTYSAPPVPLQLMEPQTENHRDENGDAKRDDDECDDRDVEEFRILGHSMCLKRRRDGESSSLLGSTKRVSCEFDMETRRSSVRSWGNQTLRAADPKLYGIMEKEKQRQINGIELIASENYVCRAVMEALGSHLTNKYSEGMPGARYYGGNQYIDEIEILCRERALAAFDLNPHSWGVNVQPYSCTSANFAVYTGLLLPGDRIMALDSPSGGNPSHGYCTRNGKKVAGASIFFESFPYKVNPQTGYIDYDKLEERALDFRPKLLICGGSAYPRELDYARFRQIADKCGAVLMCDMAQISGLVAAKECVSPFEYCDVVTSTTHKSLRGPRGGIIFYKKGYKPRGRAIVLYQGNDVKEYDFEERINFAVFPSLQGGPHNNHIAALAVALKQVATLEYKGYMQQVKKNAQALASALLRRNYKLVTGGTDNHMVLWDLRSLGLSGKNLEQLCEMCHITLNKIIISGDNGVITTGGVRIGTPAMTSRGCLESDFELIVEFLHTAAQIAICIQREYGKMPNAFLTGLQSNKEVVELGNRVESFSAKFSMPGVET >KGN59244 pep chromosome:ASM407v2:3:30493746:30501013:-1 gene:Csa_3G784390 transcript:KGN59244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTSSLGLARTKSDQLLEKVAAAFKSPMSSTEANGVVGESGSTTLSRKSSKQTLTAPSPGRGSGSGGGRNTHIRKSRSAQLKLDLDDLGSGAALSRASSASLGLSFSFTGFTLPPDEIGDFKPFSDEDIPEDVEAGTCKTRFQTEPTMPIHLKFKDVTYKVIIKGLRTNVEKEILNGITGLVNPGEVLALMGPSGSGKTTLLNLLGGRIIRSTAGGSVTYNDQPYNKFLKSRIGFVMQEDVLFPHLTVKETLRYAALLRLPNTLTKEQKEKRAIDVIYELGLERCQDTMIGGSFVRGVSGGERRRVSIGNEIIINPSLLFLDEPTSGLDSTTALRIVQILHEIAEAGKTVVTTIHQPSSRLFHKFDKLILLGKGSLIYYGKAAEAMNYFASIGCSPLIAMNPAEFLLDLANGNLSDVSVPSELEDKVQMENSEADSRQDRPSPILVQEYLVEAYETRVAEKEKRKMLTPLTLDEELKSKVSNSRRQWGASWWEQYSILFRRGIKERRHEYFSWLRITQVLATAVILGLLWWQSESKSPKGLQDQAGLLFFIAVFWGFFPVFTAIFTFPQERAMLSKERAADMYRLSAYFLARTTSDLPLDLLLPILFLLVVYFMAGLRLSAAPFFLTMVTVFLSIVAAQGLGLAIGATLMDVKKATTLASVTVMTFMLAGGFFVQKVPVFVAWIRYVSFNYHTYKLLLKVQYNNIIPAVNGMKMDNGVVEVTALIAMVFGYRLLAYISLRRMRLHSGS >KGN59434 pep chromosome:ASM407v2:3:31869474:31872858:1 gene:Csa_3G819910 transcript:KGN59434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWALRRASTPLRNQGYRVRTSYVFGKLEVPYFWEGNVAGFGTAAALSDRFIYFDRNNLTTWPSSEVYISSHGLSTQAGAENSGEEGNVEDGCSELDETLPSTSPLEDSKTADDNEEELTSGSEIDDDNDVVDDGTELDLPEGETGLVEKISIKRAPSELLNVIWKAPGLTVSSALDKWVSEGKELSRDDISSAMLNLRKCRMYGKALQFSEWLEANGKLDFVEKDYASRLDLIGKLRGLRMAENYIAKIPKSFQGEVVYRTLLANCVIACNVQKAEEVFNKMKDLEFPITAFACNQLLLLYKRTDKRKVADILLLMEKENVKPSRFTYRILIDTKGLSNDITGMEQVVDTMKAEGIELDVSTLSVLAKHYISGGLKDKAKAILKEMEEINSEGSRWPCRILLPLYGELQMEDEVRRLWEICGSNPHIEECMAAIVAWGKLKNIQEAEKIFDRVVKTGEKLSARHYSTMLNVYREDSKMLTKGKEVVKQMAESGSRMDPVTLDAVVKLYVEAGEGEKADSFLVKTVLQYKKKPMFTTYITLMDRYASRGDVPNAEKIFGMMRKYGYVGRLSHFQTLIQAYVNAKAPAYGMRERMKADSVFPNKALAGKLAQVDSLKMREVSDLLD >KGN58200 pep chromosome:ASM407v2:3:22621334:22622258:1 gene:Csa_3G589580 transcript:KGN58200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METHLNPLPFFFSIFFTIYVIAYFLLFRNWSPKIRPEAASCAISFAHGTPAVFLASGAILSDAGRGFASPNSDLQNLVLDYSIAYFLMDLLHYLIFFPSDLLFIAHHLVTLFVFVTCRYLVSHGAYAILVLLILAEVTSFCQNVWTLARARRADVEFADRVYNILSPPFYVLYSIVRGFVGPYFLYKMGEFFFNGGAETVIPTWVWMSWIFVVAAAISVSILWITNLWVELYRERSSKLEKKGR >KGN57859 pep chromosome:ASM407v2:3:18269402:18269766:-1 gene:Csa_3G354530 transcript:KGN57859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVEKTSSGDFLPTTLTSVKKRVRQPSKGSNATYQSKNLGHFVLDFHMKKLKAILNTIIDIPIAVYRAISES >KGN56379 pep chromosome:ASM407v2:3:6538785:6541638:1 gene:Csa_3G118140 transcript:KGN56379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFNIANPTTGCQKKLEIDDDQKLRAFFDKRISQEVAGDSLGEEFKGYVFKIMGGCDKQGFPMKQGVLTPGRVRLLLHRGTPCFRGYGRRNGERRRKSVRGCIVSQDLSVLNLVIVKKGDNDLPGLTDTEKPRMRGPKRASKIRKLFNLSKEDDVRKYVNTYRRSFTTKSGKKVSKAPKIQRLVTPLTLQRKRGRIAEKKKRIAKAKSEAAEYQKLLASRLKEQRERRSESLAKKRSRLSAASKPSIAAA >KGN59350 pep chromosome:ASM407v2:3:31227704:31231942:-1 gene:Csa_3G812220 transcript:KGN59350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGINIIIIISLLPLFLLISILGGTHGVDNRYDFPSDFIFGSGTTAFQVEGAAKEDGRTPSIWDTFAQSGQQTEDIDVGCNQYHKYKEDVKLMADVGLDAYRFSISWSRLIPNGRGPLNPKGLEYYNNLINELLLHGIQPHVTLYNYDLPQALEDEYGGWISPKIVEDFSAYAEVCFREFGDRVLYWTTVNEPNVFVLGGYDLGFLPPERCSFPFGQYKSCSKGNSTTEPYLALHHSVLAHASAANLYKTKYKHKQHGHIGISIYGISFAPSTNSKEDAHVAQIARQFLFDWVLRPLMVGDYSSMMKKIVGSKLPIFTKDEGNLVKGSYDFIGITYYGDLSCKYLPSNSSVEYRDVYADLQVQMRFLSRAEKSLTSAKSLKGVLEYLIQDFANPPIIIYENGFETERNSSLHDVPRVKYTMEHIQVVFDALRNGSNISGYFTWSFIDVYELLTGYETSYGLFYVDLDDPDRKRYPKLSAKWYSNFLKGKASTSLDFDPTTEELLFYS >KGN59524 pep chromosome:ASM407v2:3:32499988:32502402:-1 gene:Csa_3G824210 transcript:KGN59524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDVSYNKLLYFMGLWRDLSSKNFIVCLGRKEKKRMGIGRGGSGRIRFIIRKKMVMVCTGWLLLIMVTIGKGEELHKVGNSQGWTPNQNYTHWSSSHHFYLGDWLYFVFDKRYYNVLEVNKRSFEDCNEKDFIKNITRGGRDVFQLTELHPYFFIGGGGYCFQGMKLAVYMSTVDHSAPAPSPAGSNKSGGAFLSNNPTLCCSILNALLLFVAIFFLGG >KGN60340 pep chromosome:ASM407v2:3:38664659:38668345:-1 gene:Csa_3G895860 transcript:KGN60340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPETVTLILVNLAGVMERADESLLPGVYKEVGAALHTDPTGLGSLTLFRSIVQAACYPLAAYLAVRHNRAHVIALGAFLWASATFLVAFSSTFLQVAISRGLNGIGLALVAPAIQSLVADSTDDSNRGLAFGWLQTTGNLGSIIGGLCSIVIAPITFMGIPGWRIAFHLVGLISVIVGILVRLFAQDPHFLDDGIKIGNDVPPQSSFWSEVKVLAREAKSVMKIPSFQIIVAQGVTGSFPWSALSFATMWLELKGFSHQKTAFLMGMFVIGNSLGGLFGGKMGDILSTRFPNSGRIILAQISSGSGIPLAAVLLLFLPDGPSTAVIHGLVLIIVGFFISWNAPATNNPIFAEIVPEKSRTSVYALDRSFESILSSFAPPVVGILAQHVYGYKPVQKGSSESEEIATDRENAASLARALYTAIGIPLALCCFIYSFLYCTYPRDRERARMEVLIESEMQQIESERSPSGAGYSQVHLAGSDDLYTTDRTVIDMDYEDDLDFDDDEKTALYRQLTFSNFVKQ >KGN56235 pep chromosome:ASM407v2:3:5238860:5241785:-1 gene:Csa_3G104880 transcript:KGN56235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARDRNGELSTKRGSSDNDEPGEQVGEDNSAPSKRISGKDSEKDRENSGPDADEGRKRTKSRRKSRETPDSDEKHGKRRGRSSRRKGKSRRRYSTSEEDSDSENTESDSSMYDSDSGHSDSESASSSSGSEEDSESEGERRKRKRKERRRRREKERERKRRRKEKEKKRMKKEKEEEKRRKEKKKKEKKERGKKGAVTNSWGKFGIIKETDMWYVTIEFHGCFCLLTAQILVNLESLANWEEKQMFKEFMEDHNTATFPSKKYYNLDAYYQRKIQKDMKKGQKKVVAGERTVFDDEEQRRQELLIEREKHKEEQVEVLKRSMQTGMAQAMKEQARLREEMAYQYKLGNFEAAAAIQRRLDPDVAL >KGN58582 pep chromosome:ASM407v2:3:26367197:26367800:1 gene:Csa_3G690300 transcript:KGN58582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALSAGHRHFFYSQSGSATLLFRNRSISPRSISVLCLHNQDPSDPTDCSEEKTKKKSQERKEMVHGFVQRFEKMGIQLKEKLSPQRKGDWKDLTLMSLSFAVYVYISQKIVCAYFLWMTMPKPLW >KGN58712 pep chromosome:ASM407v2:3:27343770:27345448:-1 gene:Csa_3G730760 transcript:KGN58712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQAVVTSQVQDAERYFTLVSGHRIPAVGLGTWRSGSRANESVFNALVEAGYRHIDTAAEYGVHEEVGFGLQAAIKTGIRREDIFVTTKLWCSDLSPNRVRIGLNNALQELQVDYLDLLLIHWPFHLKEGASRPPKEGEVLDLDMEGVWREMEKLVKENLVRDIGISNFTLKKLDNLLSFAQTMPSVCQMEMHPGWRNDKMLEACKKNGIHVTAYSPLGSSEGGRDLIHNETVERIAKKLNKTPGQILVKWALQRGTSAIPKSTHKERIKENIGVFGWEIPVEDFQALCRIPTQKRVLSGEELFVNKEAGPLRSVADVWDHED >KGN58308 pep chromosome:ASM407v2:3:23724122:23726762:-1 gene:Csa_3G611330 transcript:KGN58308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRPVVLAPLEHMERGAGKNQNSRLCFLALLSAFFWILLLYFHFVVLGGHSVDESIRLSPEDGPVNLPVVRKFAPSRVVDAPKIDVKSIREPPKPVDREPKSTAKPEIQTFPFVKALKTVENKSDPCGGRYIFVHDLPSRFNEDMLKECKSLSLWTNMCKFTTNAGLGPPLENVEGVFSDTGWYATNQFAVDVIFSNRMKQYDCLTKDSSIAAAFFVPFYAGFDIARYLWGYNISTRDRASLDLVNWLEKRPEWGIMGGRDHFLVAGRITWDFRRLSEEEKDWGNKLLFLPAAKNMSMLVVESSPWNANDFGIPYPTYFHPAKDSDVFIWQDRMRKLERKWVFSFAGAPRPDNPKSIRGQIIDQCKSSKVCKLLECDFGESKCHSPSSIMQMFQSSLFCLQPQGDSYTRRSAFDAMLAGCIPVFFHPGSAYTQYTWHLPKNFTRYSVFIPEDDIRKRNISIEERLAQISPEQLKLMQEEVISMIPRLVYADPRSKLETLKDAFDVSVQAIINKVTKLRKDIIEGHTDDNFIEENSWKYALLEDGQREVGPHEWDPFFSKPKDGDSGDTSAKAAKNSWKNEQRDKS >KGN60135 pep chromosome:ASM407v2:3:37097671:37098009:-1 gene:Csa_3G880020 transcript:KGN60135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRKQELRTTENFVNRITNFVQSANTQACGGDEPESQKENQLKNWSSEQLRDWQRRRRGFERLRNARFSMNESDAVELPMSLSG >KGN58671 pep chromosome:ASM407v2:3:27093880:27095657:-1 gene:Csa_3G727890 transcript:KGN58671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASHSMLGITASVLMLQMVCIIRLRWRPCLCPHLVRGYNLQRSEISVELKLPFKWNSRLKSLPEFQGPAIQLNLCGSNILTIIRNMH >KGN56078 pep chromosome:ASM407v2:3:3748047:3754475:-1 gene:Csa_3G066750 transcript:KGN56078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMAAAEGRRWILGGECSFSFLLNFPFFFCFNRLFGPRSSSVLLSFFIYICCRIFYSVSNMGTRLKLFIAVLFICFFMFPMVFCASNDGKVRIGLKRRKFGQNNRVASKIATKEGISLKNSVEKYQPSANLGDSDDFDIVGLKNYLNAQYFGEIGIGTPPQKFAVIFDTGSSNLWVPSSKCFSVACLLHSKYKSKRSSTYKKNGKSASIKYGTGAISGYFSEDNVKVGDLIVKKQDFIEATREPSLTFVLAQFDGILGLGFKEISVGDAVPVWYNMVDQNLVKEPVFSFWFNRNADEEQGGEIVFGGVDPDHYKGEHTYVPVTKKGYWQFDMGDVLINGSTTGFCSGGCSAIADSGTSLLAGPTDQPKKICSTLGLCAFDGERGVSMGIESVVDNTTQKSSNGLRDVMCNACEMAVVWAQSQLKEEKTQDQILNYIDGLCEKLPSPMGESVIDCDSLSTLPSISFTIGGKVFELKPEQYVLKVTEGPVTECISGFAALDVPPPRGPLWILGDVFMGSYHTVFDYGNSRVGFAEAA >KGN57351 pep chromosome:ASM407v2:3:12453695:12454323:1 gene:Csa_3G180390 transcript:KGN57351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIKSLGQQQVVILSLLALILAQAQAQPQGCSTQLSNLNSCAPFVLPGATNPSPECCGALGAVQQDCLCSTLRIASTLPSLCQLPTLSCGSN >KGN59877 pep chromosome:ASM407v2:3:35183281:35183493:-1 gene:Csa_3G851775 transcript:KGN59877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGSFFLLSPSPSIHLCAALRKKKEHPSYEQRWGLTFAKLFNRLFTKPEMRILMVGLDAAGKTTTIFYKL >KGN55742 pep chromosome:ASM407v2:3:1057696:1063415:1 gene:Csa_3G009480 transcript:KGN55742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDISVRNSLNPNKLLKEEFVSNLTGSSMIEIAALSAIIPILVLLRHSFSSTNVVDHTAANASLKKSDGLVIRTKSLKRYLAAIAVDFLIIVIPTLLFFTVLADWSCLCAILLTLLLLLLIAAKGMLNHSPTWEAGNQSLRANISSFRVVVMITTCLCILAVDFRIFPRRYAKTETYGTSLMDLGVGSFVLANSLVSRQARNVLSTQWKGALKSVFPLLVLGFIRLITTSGVDYQVHVGEYGVHWNFFFTLSAVSILTTVINIPPQYSGIFGSIILVGYQYWLIYGGLNTYLLSNQRGSDIISQNKEGLFSIFGYWSIYLIGVQLGNSLFFGKNSTATLKSKRRARIIVWILAVFFWMTTLFLDSYVERVSRRMCNLAYVTLVLAQNLQVLAILMLSGYVAGNETSALEEALNSNLLAAFLLANLLTGLVNLSVDTLSTSSISALFILLVYAFILSIAMGLAYFNGIRLKFW >KGN59634 pep chromosome:ASM407v2:3:33207284:33210710:1 gene:Csa_3G829240 transcript:KGN59634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFSSSHLGEKSHHNRLSQREKWGWFTPLLWSFLGMTAIVSFFFFSFSSLSPPNPFLVLRPKLLGLQSVVHDPIASPPKEKQRCNLFKGNWVKDVKGAVTYTNWSCPTIPESKNCFKQGRKDAGFVNWRWKPDECELPRFDPMAFLHLLRGKKLAFIGDSVARNHMESLLCILSQVETPEDVYKDSEDRFRRWYFPKSEVTLMVLWTKFLVAGEERFVNGTGTGVFDLQFDKLDDGWTRHLPDIDYAIISNGHWFFRVLYLHEEDAKIANCIYCSDPNVTNYDPDFALKMAFRAALKYINNCKSCGKLVTFVRTFSPAHFENGVWNTGGYCNRTRPSSAKDMNLESFDWKMREVQIEEVEKAKQEAGEAGRRFEVIDVTTAMMMRADGHPGEFWGNKWMKGYNDCVHWCLPGPIDAWNDLLMALITKEAAMD >KGN60203 pep chromosome:ASM407v2:3:37634678:37639502:-1 gene:Csa_3G889110 transcript:KGN60203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLQLQQQLLHGGRISKPPTLCNNQMPLTSISFQSSFTLTSLRFSSPFLHSLPQFTHVLPLHSRFFCMKPIATCKMNDADLISQLELGKPLQNRKTEKRVNGIFWIILLNIGIYVADHVFQVRNIGALYLYHSRPAWYQFFTATFCHANWNHLSSNLFFLYIFGKLVEEEEGNFALWLSYILTGVGANIVSWLILPRNAVSVGASGAVFGLFTISVLVKMSWDWRKILEVLILGQFVIEKVMEAAQASTALSGSFRGYSLQNVNHVAHLSGALVGVLLVWLLSRVPSQSSDQDASALHRKGR >KGN59243 pep chromosome:ASM407v2:3:30487979:30494564:1 gene:Csa_3G784380 transcript:KGN59243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLRSLSISGLEFNSPSAVYNSHFSTGYKLSDLDLGCKTSVLSHLGNAQIVDRNNPRSQKPKLISNKIYRKLYVTDSASNRKNLGFFKTLQSRNMKPRSLGAKFVDTGCSGANLRTEKFIVQSKSALGVDEIVEIDEAAGGGEEKSLYDAIVIGSGIGGLVASTQLAVKGAKVLVLEKYVIPGGSSGYYQKDGYTFDVGSSVMFGFSDKGNLNLITQALSAVGCEMQVIPDPTTVHFHLPTNLSVRIHREYNEFIEELVSNFPHEKEGILKFYGDCWKIFNALNSLELKSLEEPIYLFGQFFQKPLECLTLAYYLPQNAGDLARKYIKDPRLLSFIDAECFIVSTVNALQTPMINAAMVLCDRHFGGINYPIGGVGGIAKSLAKGLVDHGSSIMYKANVTKIITENGKAVGVKLSDGREFFAKTIVSNATRWDTFGKLLKGVDLPKEEENFQKLYVKAPSFLSIHMGVKAEVLPPDTDCHHFVLESDWRRLEEPYGSIFLSIPTVLDPSLAPDGRHILHIFTTSSMEDWEGLSREEYEAKKALIADEIITRLEKKLFPGLKSSIDFMEVGTPRTHRRFLARNNGTYGPMPRGTPKGLLGMPFNTTSIDGLYCVGDSCFPGQGVIAVAFSGVMCAHRVAADIGLEKKSPILDAALLRLLGWLRTLA >KGN59263 pep chromosome:ASM407v2:3:30644653:30647926:1 gene:Csa_3G791540 transcript:KGN59263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATYPPPPPFYKLYKDYLQDPKSAPEPPPPIEGTYMCFGSNYTTDDVLPSLEEQGVRQLYPRGPNVDYKKELRSLNRELQLHILELADILVERPSQYARRVEEISLIFKNLHHLLNSLRPHQARATLIHILELQIERRRQAVEDIKRRREEAQRLLMESLETLDGH >KGN56851 pep chromosome:ASM407v2:3:9120212:9124559:-1 gene:Csa_3G134990 transcript:KGN56851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQQQSKDELLYQQVSYGNTEGIKALCRDGAGLEWIDKEAKTPLIVACMNPELHNVARTLIELGANVNAYRPGRHNGTPLHHAAKRGLENNVKLLLSNGANPLIMNDDCQSPLDVARAKGHSNVVRTIESHICLFSGWLREFYGPGFLELLAPQLVSRKVWAVILPCGARNLSKPFKLELAIYTSLQDAQPRTVVQLWKADLDQSKLQHSDPSVMIVDNAAKTRLKLASGNENDKEQLQWFCNACKGITSMMHPTFMSGNHGPGVSATAPPDSEDVELAMAINASIQSVIHGRPPFPDPNPSSEASTSSSHTGPVGQTTHSTKLGTNESEMNEAGQSITANEHPQIQTNVTPPDAVPSAPLAADEILDNGAIHYPSIDSSPIDLSSQTAHNAPLQAGEGKDEMSSSSCVICLDAPVQGACIPCGHMAGCMNCLTEIKSKKWGCPVCRAKIDQVVRLYAV >KGN57191 pep chromosome:ASM407v2:3:11278645:11278876:1 gene:Csa_3G169510 transcript:KGN57191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSCDRFLDFLRRSNEVSPTVALETATALQVKFEKVTRRAQEQFQTALEEQSR >KGN59410 pep chromosome:ASM407v2:3:31715439:31719090:1 gene:Csa_3G817210 transcript:KGN59410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKSGSLDSWRNYFRNANSDIFGIIDYAILVAASDCPKEFRLRRDRIAEQLFSCRLTRCLGCDRVELAVAGDIDGDDGETGFKSDFVRDGCEFEAGGSKESKVYSSRDDIGEMNCNRVSNFSFGEAEALTDEIEQESQIVGEVLRIKEILNNFEDESDPVLFESLRRLELMALSVDTLQATEIGKAVNCLRKHGSKRIRHLARVLIMEWKEMVDLWVQATAAVQGAEVTPDSKNKSAAVKEEDDYEEEGLPSPPLDEAAFFTTQPTSMELSQFFDGMDDDGNPRNSGDFVKNRNNVRKTSNGNQNPTRCNQQTTREVNVLSKENKTQMKEQVVKPNNKPSSNTNSGLLRAQKLSTEQKDNHEKFQRKLEKPTIPKRNQQDNFKCSDEVAVQVKLEATKRKLQESYQQAENAKKQRTIQVMELHDLPKQGIGHRNPHLKSGGFNRNWANGRR >KGN55644 pep chromosome:ASM407v2:3:399227:403297:-1 gene:Csa_3G002640 transcript:KGN55644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSWETLGEIANVAQLTGIDAVRLISMIVKSASTARMHKKNCRQFAQHLKLIGNLLEQLKISELKRYPETREPLEQLEEALRRSYILVNSCQDRSYLYLLAMGWNIVYQFRKAQNEIDRYLRLVPLITLVDNARVRERLEDIEKDQREYTLDDDDKRIHEVILNPEPSKDEAMVLKKSLSCSYPNLPFNKALQKENEKLQLELQRSQANLNVGECEVIQRLIDVTESVAATSLPEKNSPEKSHKVVEKTYVDANNGDSSDEHFYKNTDARTTSRKSSSVSSGHDLLSTRGSDRYGEWHTDLLDCCSEPSLCLKTFFYPCGTLSRIATVATSRHTSPAEACNDLMAYTLILSCCCYTCCVRRKLRKMLNITGGFVDDFLSHLMCCCCALVQEWREVEIRGVYGPEKTKTSPPPSQYMET >KGN56012 pep chromosome:ASM407v2:3:3292377:3293126:1 gene:Csa_3G047730 transcript:KGN56012 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-methyltransferase-like protein MFESVPNGDAIFMKWILHDWSDDHCTKLLKNCYNAIPDDGKIIIVDSVIPMEPETTNVTKATAQADVLMMTQNPGGKERTRDEFKSLATKAGFKHVIFQCFVSNLWVIEFLKN >KGN58937 pep chromosome:ASM407v2:3:28444777:28449543:-1 gene:Csa_3G736850 transcript:KGN58937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTPKAKTGSSEVPQRKSPRTPRTARQLKTPSTDPDSVSTSPLAASKTPKERSPRVVTDRKSPRCLATESKGHSKVAELGLQLSQLQEELKKTSDRLSASESHKKQAQQEAEEAKKQLSDMSAKLEQSQQQVLELSASEEDRVQELHKISQDRDRAWQSELEAVQKQHSMDAAALASAINEVQRLKVQLEMVSDSELNRSKLAESSQTEMDDLRTQLSETLSLVEKLKDELSYCRESETQALEVARKSKNQFETAKAAVEKLQSDAIKAVEAYNSLSLELEQSKARIESLEGQISENQKGLVDSTSNDLGPEENNGKDEIDVIKTELTSMRLEADRSKSALAAAETRHEEEYVRSALQIRIAHELVEQMKVESRQKEAELKAELKEARADLEHLKVDIKEKETQLCSVVEENKELNSKMSQIIPVDRGSELAMELKKLEADMGELKNRLLEKETELQSTTQENDALKMEIEKIKVETNKINEEAVTLAETTKAAEQEALMKLKHATEEADNSNRRVARVAEQLDAAQAANSEMEAELRRLKVQADQWRKAAEAAAAILSTGNNGKIVDRIVSLDNNYPLGSPYSEDLDDESPKKKNGNMLKKIGVLWKKNQK >KGN59256 pep chromosome:ASM407v2:3:30578824:30595161:-1 gene:Csa_3G790980 transcript:KGN59256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMMTPPPLDQEDEEMLVPHSDVVEGPQPMEAQVEPSGTVENQQVEDPPPIKFTWRIENFSRLNMKKYYSDSFSVGGYKWRILVFPKGNNVDHLSMYLDVADSGTLPYGWSRYAQFSLAVVNQVHKKYSIRKDTKHQFNARESDWGFTSFMPLSDLYDPSRGYLVNDTCIVEAEVLVRKVLDYWSYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQFNASSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIQQLFEGHHMNYIECINVDYKSTRKESFYDLQLDVKGCNDVYASFDKYVEVERLEGDNKYHAEEHGLQEAKKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDRENGKYLSPEADRSVRNLYTLHSVLVHSGGVHGGHYYAFIRPTLSDQWYKFDDERVTKEDTKRALEEQYGGEEELPQTNPGFNNTPFKFTKYSNAYMLVYIRESDKDKIICNVDEKDIAEHLRIRLKKEQEEKEDKRKYKAQAHLYAIIKVARDVDLQEQIGKDIYFDLVDHDKVRSFRIQKQVPFNLFKEEVAKEYGIPVQFQRFWIWAKRQNHTYRPNRPLTPQEEAQSVGQLREASNKANNAELKLFLEVELGLDLHPIVPPEKNKDDILLFFKLYDPEKGELRYVGRLFVKSSTKPIEILEKLNKMAGFDPDQEIELFEEIKFEPCVMCEHLDKRTSFRLSQIEDGDIICFQKSPIDSEECRYPDVPSFLEYVHNRQVVHFRTLEKPKEDDFCLELSKVHTYDDVVEKVAQRIGLDDPSKIRLTAHNCYSQQPKPQPIKYRGVDHLSDMLVHYNQMSDILYYEVLDIPLPELQGLKNLKVAFHHATKDEVVIHNIRLPKQSTVGDVINLLKTKVELSHPDAELRLLEVFYHKIYKIFPQNERIENINDQYWTLRVEEIPEEEKNLGPQDRLIHVYHFSKETAQNQMQVQNFGEPFFLVIHEGETLADVKVRIQKKLQVPDEEFSKWKFAFFSLGRPEYLQDSDIVSNRFQRRDIYGAWEQYLGLEHSDTTPKRSYAVNHNRATYEKPVKIYN >KGN58342 pep chromosome:ASM407v2:3:24272024:24273578:-1 gene:Csa_3G625080 transcript:KGN58342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRYQKVEKPKPESPINENEIRITSQGAIRNYITYASTLLQEKCVREIVLKAMGQAISKAVAIAEILKKRISRLHQETAISSVSITDVWEPIEEGLVPVEMTRHVSMISITLSNRELNKNSPG >KGN57850 pep chromosome:ASM407v2:3:18207511:18208793:1 gene:Csa_3G353950 transcript:KGN57850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRWHGRAPVPDAYGRVNGHLGLPLGYLKGKENKGKKKALIQWTQARHHVATWLLLFGASASKSHHFLSLSSYFSLLLSCLSPFLPCATLFCPDSSIFYLIAGLDGMQLQYKECDCSGWTYRNNQ >KGN56615 pep chromosome:ASM407v2:3:7815300:7817226:-1 gene:Csa_3G126790 transcript:KGN56615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFPFTTFLLLLFLPFVASSNFSLPALDSVRLAQTGVREAITEIGNLKRRQFGDWNLQAALRDCATLYEEAEWRLAGMLVGENKYRAEDGRMWVSAAMANHRSCLDGLEEVHDVAAVDGNNLTVMLTGALHLYDKIAAVEKRNGRKRLGKRKWRENRGTNLATWNPATSKANYVVAKDGSGTHRTINRAVAALARSGRTRRGGRIVIYVKAGVYRENVEIGIQLKNVMLVGDGIDKTIVTGSRNVPDGATTYNSATFGVSGDGFWVRDITFENTAGPGKQQAVALRLNSDLAVVYRCAIKGYQDTLFLHSLRQFYRDCKIYGTIDFIFGNSAAVLQNCEIFVRQPILHQANMITAQGRDDPAEATGFSILNSRVQPAPEFSPVKAQYRTYLGRPWKRFSRTVVIATDLDGLIDPKGWGEWTGDFAISTLFYAEFKNRGDGSSTRFRVNWPGFHILRSAEEARPFTVAEFLHGGDWIPATGVPFEAGV >KGN59303 pep chromosome:ASM407v2:3:30899203:30901257:1 gene:Csa_3G807330 transcript:KGN59303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASVDPLVVGRVIGDVVDMFVPTATMSVYFNSKHVTNGCDIKPSLAVNPPRLVISGHPCDLYTLVMTDPDAPSPSEPHMREWVHWIIVDIPGGANLTQGKEIVPYSGPRPPIGIHRYILLLFKQKGPIGMIDQPASRANFNTRLFARHFNLDLPVAATYFNSQKEPATKKYALAA >KGN56587 pep chromosome:ASM407v2:3:7631228:7631743:1 gene:Csa_3G125540 transcript:KGN56587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGIPFGVATGTRKLKSMFPQARAIALNTGHLEQLTENKTFTDNQASSRTKQLPDSIPDEKAKKTPRKMRGSALKSENDDGDSCDCSGSHDSGRSSSGDGAWKGAGGEVHVIDFEWRNAFDWSRGRAMKALKFGGRILMEVSRKGWSMARARVWNLLDGLLILGKVKWAFA >KGN58498 pep chromosome:ASM407v2:3:25689217:25691110:-1 gene:Csa_3G651820 transcript:KGN58498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLFLKTQDLNFASRPKTTAGKYTTYNHSNITWSQYGPYWGQLRKMCLMELFSARRLDSYEYIRKEEMNGLIREIYKSCGEVIKVKDYLFAVSLNVISRMVLGKKYTDEPSESGIVSPDEFRKMMDELFLLNGVLNIGDSIPWMDFLDLQGYVKRMKGLSKKLDRFLEHVLDEHKERRKGVENYVAKDMVDVLLQLADDPDLEVKLERHGVKAFTQDLLGGGIESSTVTVEWTISELLKTPEILNKATEELNKVIGKERWVEEKDMINLPYINAIAKETMRLHPVAPMLVPRMAREDCQVAGYDIAKGTRVLVNVWTIGRDQPVWKNPHAFDPDRFMENNCIDVKGEDFELLPFGSGRRMCPGYSLGLKVILSTLANLLHGFNWKLPGDMEKEDLNMEESFGLSTPKKYPLDAVAEPRLPPHLYSLL >KGN60469 pep chromosome:ASM407v2:3:39623389:39626734:1 gene:Csa_3G914000 transcript:KGN60469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAVKAFPLTVVSHVVGIVAMIMVLVWTIHFRGGLAWEAVNKNLIFNIHPVLMLLGLIIIGGEAIMSYKSLPLRKEVKKVIHLVLHGVALGLGIVGIIAAFKNHNESGIANLYSLHSWIGIGVISLYGLQWVYGFATFFYPGGSGDLRRVSLPWHVVFGLAVYVLAVGNSALGFLEKLTFLESGGIPKYGTEAFLVNFTAITTIIFAVFVILSVISQPCAQQEDDHGAYSAI >KGN56264 pep chromosome:ASM407v2:3:5490696:5492103:-1 gene:Csa_3G110610 transcript:KGN56264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLSLKHPLAFSLVFVFQQLGFPTFNFFSSIFLLLPLSSFFFLPSFFLLPPTPSISSHNPILSLTHIDMSRNSSPRPSDFLSFSASLSLLFVSALSDDSSKKDSTHSGNHPSSRSSTGSMVAIILLGILVVVLLSFFLFKLWQKKRREEQYARLLKLFEEDDELEVELGLRD >KGN56243 pep chromosome:ASM407v2:3:5282898:5284024:1 gene:Csa_3G105950 transcript:KGN56243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTCGNCDCSDKTQCVKQGSSYGAVITENENSSFDAIVMDFPAAEHNDKCSCNPCSCPDCGCNN >KGN55710 pep chromosome:ASM407v2:3:838820:841281:1 gene:Csa_3G006710 transcript:KGN55710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGIFSRFSSSRTGHRRAQSALDGREGLPPNPESTGVSATSATASHGIEVAVEFKPVEHPIEPLDNDQPIQCPLPEPSILNDGRIWKERVSSMRKLPDLPVMKEAEVGETRPRPTRTILPSISAPEHNILNLLEESGI >KGN58031 pep chromosome:ASM407v2:3:20610506:20615661:1 gene:Csa_3G444590 transcript:KGN58031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFWSMNPVRISTFFFLLYFAVLLQDHSLCSSYPMPLNCTDTTRLCTSFLAFKAQPNQTLSVIQSMFDVLPEDVTVEGNGQDYVFIRKNCSCASGLKKYITNTTFTIKSNRGRVYDIVMEAYDGLALLPNTTRMARNGAVVSLRLFCACSSGLWNYLLSYVMRDGDTIESLASRFGVSMDSIESVNGIENPGNVTAGALYYIPLNSVPGDPYPLETNIFPAPTPAPSYTPNNFSDNAESPKRHPPYIWIVGSLGIILVLILVGIVGYACFRWLKCFSRSRSSHSKDPIGKVSHKFHILGKSSFCCASGRYICCSSADWKQASRESSDNQSAIPKAIENNVFDVDKPVVFSCEEIVSSTDSFSDSSLLGHGTYGSVYYGILRDQEVAIKRMTATKTKEFMAEMKVLCKVHHANLVELIGYAASEDELFLIYEYAQKGPLKSHLHDPLNKGHTPLSWIMRLQIALDAARGLEYIHEHTKTHYVHRDIKTSNILLDGSFRAKISDFGLAKLVGKTNEGEATVTKVVGTYGYLAPEYLSNGLATTKSDVYAYGVVLFELITGKEAIIRTEGTTMKNPERRSLASIMLAVLRNAPDPMNMASLKDQVDPSMMDLYPHDCLFKVAMLAKQCVDEDSILRPDMKQVVISLSQILLSSIEWEATLAGNSQVFSGLVQGR >KGN56936 pep chromosome:ASM407v2:3:9637009:9637716:1 gene:Csa_3G145240 transcript:KGN56936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGDGKRAKQQQKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKRKVKIMLAASTDSGSP >KGN56178 pep chromosome:ASM407v2:3:4684568:4686528:1 gene:Csa_3G088980 transcript:KGN56178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHYKILFISITFFTLLSNNVSANPIIKWCKTTPHPRVCVSLMSPIKPPPQNRREFRQMAIQTTLEKAAEARAYTARFGPTCKTSRQRTAWTDCFKLYNDVVLQLNRTLHCVVTDEAIHRRSCTDFDAQTWLSSALTDIDLCNSGAADLNVTDFITPIKCLNVSKMISNCLAINGGFLEEEGVKYDDGRNGSFPMWVSEGDRKLLESRPGRVRANLVVAKDGSGTFRRVQAAIDAAARRRGRGRFIIYVKRGVYRENIEVGNDNGNIMLVGDGMRFTVITSGRSVAAGFTTFSSATAGIQGPGFIARDIRFVNTAGPRMGQAVALRSSSDLSVFHRCSFEGYQDTLMVLSQRQFYKQCYVYGTIDFIFGNAAVVLQNCMIYVRRPLKGQVNVITAQGREDPFQNSGISIHNSQIRAAADLRPMVGSVKTYLGRPWKKYSRTVIMRSYIDWLVSPAGWLAWQSSKFAQATLYYGEYRNIGPRASTRFRVKWPGFHVIKSPNVASKFSVQRLIAGQTWLPATGVPFKLGV >KGN58585 pep chromosome:ASM407v2:3:26381591:26388116:1 gene:Csa_3G690820 transcript:KGN58585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKFAGCSVTASSTPVQSVIQNSHPRLSSSILQKNLSKPISWSSGNQILRQGPEAEMVAGRYSPPWFSVAPMMECTDNHYRTLARLISKHAWLYTEMLAAETIVYQKDNLDRFLAFSPDQHPIVLQIGGNNLDNIAKAIELANPYGYDEINLNCGCPSPKVAGHGCFGVRLMLDPKFVGEAMSVIAAKTDAPVSVKCRIGVDDHDSYNELCDFIYKVSSLSPTKHFVIHSRKALLNGISPAENRTIPPLKYEYFYALMRDFPDLRFTINGGINTVDEANAALRLGAHGVMMGRAAYQNPWRTLGHVDTAIYGAPSSGITRRQVLEQYQVYGDSVLGRYGNKPNIRDVVKPLLHLFYTDPGNGPWKRKADAAFMHCKTIKSFFEETIVAIPDYVLDAPVAEPPSGREDLFANTLSLMPPPYEDREQKVVLEA >KGN60393 pep chromosome:ASM407v2:3:39019602:39020927:-1 gene:Csa_3G902350 transcript:KGN60393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYKDKRKDSGSLQPNKGCYENVAPCTVRSSISALPHFSLFQIAKQLNRSAKCVSLIWEMWRKILLLEPLGEQLY >KGN58297 pep chromosome:ASM407v2:3:23644232:23644615:-1 gene:Csa_3G609250 transcript:KGN58297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVIQNIIGECSPPFVKQLMKTDVTESQGRLALHKEFVTRNLIPMFNRGENLKNGISVTVYDSEGREYDMIFKFWTSKLYVLTKSWNKFYKSNNLTRPGEFISVWMFRHVVNRKLCFAIMRGDAEQR >KGN59193 pep chromosome:ASM407v2:3:30160145:30160630:-1 gene:Csa_3G778980 transcript:KGN59193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMTRKLKFQVNNANLTQFNLTDDSQLLFQLSLNMTVKNPNRGFGVFFDSIEVAVLYQGIKFSNVSLSPFYQGQEGKSSLNFRFDGQQLMNLDAKQLAVFTLEQLVDIFSIKVELRLHMRVKIGFIRIKLNPKVRCGLNLPLISHERSLFRFRIIGCFVTY >KGN59966 pep chromosome:ASM407v2:3:35860890:35864895:1 gene:Csa_3G857070 transcript:KGN59966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEQTQVQVQTQTQSQPQPQSQAQNTFHESSNSTTPIAQATVMLSEVMNAPSQISSPPSKMPLRPRKIRKLSPEESDPNSSHVVAIPDGPKPIATVKSNKSKTAHQRAAFASATVPPARSLSCEGEVEIALRHLRNADPLLAQLIDLHQRPTFDSFQTPFLALTRSILYQQLAYKAGTSIYTRFIALCGGEAGVLPETVLALNPQQLRQIGISGRKSSYLHDLARKYQNGILSDPAIVNMDDKSLFTMLTMVNGIGSWSVHMFMIFSLHRPDVLPINDLNVRKGVQLLYNLEELPRPSQMDQLCEKWRPYRSVGSWYMWRLAEAKGASSSAAAVAAGASLQLQHQDHHQEHQHPQHPQHPQQPQLLDPLNSILNLGACAWGQ >KGN57226 pep chromosome:ASM407v2:3:11497588:11502405:1 gene:Csa_3G171830 transcript:KGN57226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENSSTPAFGNFRLFGSAEDEKPNLGFSLGFLDSQQPSLPPPPPSLEVLSSEVSSSVKYNVEPVSVGGLTLFKGRVRTQEVFSLSNSDLVPGTYEGGLKLWEGALDLVKALCEELENGHLSFAGKRVLELGCGHGLPGIYACLKDAAAVHFQDFNAEVLRCLTIPNVAANLSKKYESLPSNPTESDAGSAVRYFAGDWSEMYKILPFASNNEENLSGNCDGYDIVLMAETVYALSTLKTLYKLITQCLSRPHGVVYLAGKKHYFGVGGGTRRFLSVLEKEGEMVSKLIVEVADGSSNVREVWKLSLK >KGN58962 pep chromosome:ASM407v2:3:28576552:28580182:-1 gene:Csa_3G739570 transcript:KGN58962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLIMNHEMKPLSKFRRICVFCGSSQGKKRSYQDSAIELGKELVSRNIDLVYGGGSIGLMGLVSQAVHDGGRHVIGVIPKTLMPRELTGETVGEVKAVADMHQRKAEMAKHSDAFIALPGGYGTLEELLEVITWAQLGIHDKPVGLLNVDGYYNSLLSFIDKAVEEGFVSPSARQIIVSAPTAKELMKKLEEYVPCHERVASQLSWEIEQLGYPQNCDISR >KGN59632 pep chromosome:ASM407v2:3:33195285:33195824:-1 gene:Csa_3G829220 transcript:KGN59632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKGMKLWGKRSKKKKWVPKILSEELAVRDLEREMGFEKTKMANESEYVGLGPNFLQRVEGVVEKDNTNPNDVVLASSLLFNNYKK >KGN59906 pep chromosome:ASM407v2:3:35456215:35460691:-1 gene:Csa_3G852550 transcript:KGN59906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEDINGLDSHLVFQNESLPFNCSSTPHRRLPDPPPKTRELCGFMDDKLFPIVDRDRFFSQQGEDFRRNVFGHNRNWNGSARTGSGKGSDEEDDDDDDDDEDEVDDGDDGVKGLVSLDDVNKCSKTANPIDSNNDRSDQSSEGLKNGINKLGNGKLKQHSSFCGTLLVKDAFVGSSGATQSENNPQGRLGNYPNAVTVAEPDSEAYYSQYLQSNEGSASGQKNLSMENGCGFGRRDVSFSGEPGESLRSILTDPVTGALMNDAMILPCGHSFGSGGIQHVLKVRSCYSCSQSVSEDSIAQNLSLQAAVQAFCREEELLFHRSPKRRRERFEQDKGGYGDLTLMDTQRGRGVQFPFAVTDRVIIKGNKRTPQRFVGREAVVTTQCLNGWYVVKTLDNAESVKLQYRSLAKVPNDATTKTITRKITPNWL >KGN56052 pep chromosome:ASM407v2:3:3549160:3551920:1 gene:Csa_3G061550 transcript:KGN56052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEPSRKKVPNQSYGFCEQPLQEPGSCYWPPINHGGGLYSDDVLDQNHLPSESFKQYCNVESSSGTSSYPHQNSSSTASLTSIGSPSSHQECHSYPIDPYYSPDNNCGSPISRSCLTDDAADDLRHKIRELETAMLGPDADGLDVYSITEPVHPLLPMQDAGWKDVTEIISRRDLKEMLCACARAIDENDMMTGEWLVSELRGMVSVSGEPIQRLGAYLLEALVARTACSGSSIYKALRCKEPIGAELLSYMHVLYEICPYFKFGYLSANGAIAEAIKGENRVHIIDFQIAQGNQWITLLQALANRPGGPPKVTITGIDDSTSAFARGGGLEIVRKRLLILAESLKIPFEFHGIAGSASEIQREDLKVQPGEAIAVSFSLVLHHMPDENVGSQNHRDRILQLVKSLSPKVVTVVELESNNNTAPFLSRFLQTLKYYTAVFESIDVTLPRDHKERISVEQHCLARDIVNLVACEGTERVERHELFRKWRSRLFMAGFKPHPLSPFVNATIEALLKNYCDKYTLEEKDGALYLGWLNQNLVTSSAWI >KGN56958 pep chromosome:ASM407v2:3:9784259:9791647:-1 gene:Csa_3G146440 transcript:KGN56958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYCRLLFSPGGFSALPGFVGALNRDFQLRFKPSCCRLYSISRSNFDFTDLTCPHSWYPNARKKHRKIFLHMGPTNSGKTHNALKRLESSDSGVYCGPLRLLAWEVAKRLNNAKIPCDLITGQEREEVDGAKHKAVTVEMADVTSSYSCAVIDEIQMLGCKTRGYSFTRALLGLCADEIHLCGDAAVVPLIQEILKVTGDDIEVQYYERLSPLIPLNIPLGSYSNIRKGDCIVTFSRRRIYGYKKEIERQGGHLCSIVYGSLPPETRTRQAMMFNDTTSEFDVLVASDAIGMGLNLNISRIIFSTMEKFDGFEMRELTVPEIKQIAGRAGRYGSKFPIGEVTCISGDDLPLLHSSLKSASPTIERAGLFPTFELMYLYSRLHPEHGLRQILEHFVENAKLSENYFIVDCEVMLKVAAVLDEMPLSLHDKYLFCISPADMDDEITSQGLTQFVQGYANKGIVRLREIFKEGTLKVPETPAALKELESIHKVLDLYVWLSFRLEESFPDRERADSQKSFCSMLIEEFLGRSGMQIPTRRKLKSNIRSRSLNSLLSVVAKRRL >KGN57332 pep chromosome:ASM407v2:3:12324935:12326583:1 gene:Csa_3G180210 transcript:KGN57332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFYATPPTHGSLANEVVHPADLCFKLPENVSFEEGALCEPLGVGIHACRRVNVGPETNVLIMGAGPIGLVNLMAARAFGAPRIVIVDVDDYRLSLAKDLGADEVVKVSTDIQDVDEDVTQIQKAMKGEIDVTLDCAGFEKTMSTALKASRPGGKVCLIGLGHIEMTVPLGPAAAREVDIIGVFRYKNTYPVCLEFIRSGKIDVKSMITHRFGFSQKEVEEAFETSARGGKAIKVMFNL >KGN58896 pep chromosome:ASM407v2:3:28203479:28208285:-1 gene:Csa_3G734960 transcript:KGN58896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDSAVSVGVDDDGAMGMKRGKIFKSSWPRVVARKWLSFPADKEGFPSNFVAQERRRSCSDQDRCAVVPEEDIGDESWRIEKTSNESLDLRMLVGTWNVGGKAPKEGLNLRNWLNSPTPIDIYVLGFQEIVPLNAGNVLGAEDSGPAAQWVSLIHQALNTHDNQRQAPQKPRHSFSDLLALEDDTGNGESRVFPTQRRYCLAASKQMVGIFLCVWVRADLYKHVSNLKVSNVGRGVMGFLGNKGSVSISMTLNQTTFCFVCTHLTSGEKEGDEVKRNSDVSEILKKTRFSHSCKATTGAQPPPPETILDHDKVIWLGDLNYRLSTGCGETDELLRKKDWQALLEKDQLKLEQRAGRVFKGWEEGRIEFAPTYKYITNSDHYVALTSNLKPSKEKRRTPAWCDRILWRGVGMKQMWYVRGECRFSDHRPVYSLFSVQVDLANKNLATANSNIAAPAKPAIDTPLSSLCAAKIQAEELLLREETIDTSPFSNCN >KGN57192 pep chromosome:ASM407v2:3:11280749:11307553:1 gene:Csa_3G170010 transcript:KGN57192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHPKAPLMTIRRRSILLKSFLLTSMLILQKWMMTLPFTFQLRLSPGYLWIRAIMTKLWKFARILMQWKLKVDINNKVEYSLDSAADPDGDQPCQGVSTSREEKVSSLKIGLVNVARKIPKNAHAHFILGLMYQRSGEPQKAVLAYEKAEEILLQSDVEIHRPEFLSLVQIHHAQCLLLESVGDNTSNEELEQEELDEVCSKLKHSLQSDVSQAAGNTLVLLLLTTGRVKSAISVLSSLGNMELSEKCFQELILTDQNHLAALVYYAAFLLCKYGSTVASAGANAGEGGVDEKVVGMNVAKECLLAALKVDPKAAVAWENLANAYFVTGDHRSSAKCLEKGAKQEPNCMSKNAERSQDHTWAGFSMVHKIQHEIAAGFRTDLSELREKEDHAVYNDAVQWHQFGLHSLCTREFKTSQRYLKAAIARFKKCSFAWSNLGISLQLPKNPTEAEEVYRKALSLVATEQAHTVFCNLGNLYRQQKQYERAKAMFSKTLGLQLGYAPAFNNLGLVFIAEGQWEEAKYCFEKALEADPLLDSANSNLLKTVAVHRLCNSLSSCHNLHDIFLQGNVDQYLLDEDASWRFLHKLTSLLKPICQSVINIIGSIRFALDIDLDAPKVRVPIRSCGSSKCDSHFLLDFGHFMLRTMGSQSDERRHSLYSRFFISGRDIAALFRDCGPECQKCSDYSNQPIVSPLLKEESHNVYPLLDQCGMAVIVDQIKVPHPSYPSTRISIQVPNLGIHISPARYCKLMELLNTIYGKMETYSQPSDTGGNFQPVLPPWGPVDLTADARILVWRGIGNSVAQWKPCYIVLSGLYIYVLESGKSQIYQRYLSVAGKQVHEIPSTSVGGSLFCVALSSRGMDIQKALESSSTWVIEFQNEEEKSLWTKRLLQATYLASAPASIDILGETGDDASQLIERHTPNMKAANLVINGALMEAKLLIYGKTGDEVDNRLDEILILELLASGGKVHIILGDDDLSVKTKLHSLHIKDELQGHLSTNSQYLARSVLSNEKLSSSPETFDPDGIQTASAITEEDDSFKDALPDFLSLSDVGNYESSGRESTETIFNENDIGKGKGISSDIFYEAEDSEDSNFVAVTFLTRGSDSPDYDGIDTQMSIRMSKMEFYCNRPTLVALIGFGLDISSSNYVEANTDLPSSLEDKLVMDKEKAEEKGRVKGLLGYGKSRVVFYLNMNVDSVSIYLNMEDGSQLAMLVQESFLLDLKVHPSSLSIEGTLGNFRLCDMSLGEDHCWSWLCDIRNPGVESLIKFKFHSYCADDDDYKGYDYSLHGRLSAVRIVFLYRFVQEITVYFMGLATPHTEEAVKLVDKVGDFEWLIQKYEIDGAAAFKLDLSLDTPIIIVPKNSNSQDFIQLDLGQLRVKNEFSWHGCPEKDASAVHIDVLHAEILGVNMLVGINGCIGKPMIQEGQGLEVYVRRSLRDVFRKVPTFSLEIVVGLLHGMMSDKEYKVIVDCLYMNLYEQPILPPSFRGKKSESEDTMRLLVDKVNTNSQILLSRTVTIVSVVVNKALLELCNGIQEESPLALIELEGLWVLYRMTSFLETDLYLTIPKFSILDIRPVTKPEMRLMLGSSTDTSKQAPLENFPFPKKNSFGKAYSEGNLDMDIPVATMFVLDYRWRKESQSFVLRVQQPRVLVVPDFLLAVVEFFVPALRSITGREETMDPKNDPIGKNNSIVLSGSIHRQSEDVILLSPSRQLVADALGVDDYTYDGCGNTIRLVEETDGKGPHSGRSQPIIVIGRSKRLRFVNLKIENGSLLRKYTYLGNDSSYSVSKEDGVDIILDTLSSDEEKKNTASIHETSDTSNISSSLESDQSTLRSFTFETQVVSPEFTFYDGTKSSLDDLSYGEKLLRAKLDMSFMYASKENDTWIRALVKDFTIEAGSGLVILDPVDVSGGYTSVKDKTNISLVTTDICIHLSLSAISLILNLQSQAVEAMMFGNAVPLIACTNFDKLWVSPRENGSSHNLTFWRPRAPSNYVILGDCVTSR >KGN56873 pep chromosome:ASM407v2:3:9245003:9247360:-1 gene:Csa_3G135700 transcript:KGN56873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLTPFSKLDKTFDRASELKAFDQTKAGVKGLVDSGVAEIPGIFYCPPKECSSSIPEEETHLSVPVVDLEDIDKDPFKRRQVVDKIREASETGGFFQVINHGVPVSVQEAIIDGVRRFFEQDSEVKKQYYTRDYTKPFIYNCNFDLFTAPVANWRDTIVTFMAPNPPNPQDLPQVCRDILPEYSKQIMKLGELIFGLLSEGLGLKSTHLLDLDCNEGLRVICHYYPSSPQPELCIGTTEHSDDSFITVLLQDNMGGLQVRQQNKWVDVTPVPGAFVINVGNLLQLITNDRFVSSEHKVLANREGPRVSVASFFSTGRLPTSKLYGPIKELLSEQNPPKYKEITVREYNIYFNEKGLDGTPALPHFKI >KGN59030 pep chromosome:ASM407v2:3:28963433:28965343:1 gene:Csa_3G746620 transcript:KGN59030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTHCINLHLHRHIFHLPPSFSTLRYRPTASPSPLPFSPITPKLLLKRRSNLQFTSPMASATANPPEDTQNEDSGKEDLRPTTLEQRTLSWPEMALLWIGLIVGVPSYYLAGSLVDLGMSWWQGIATVVAANAVTLIALILNGHPGTKYGISFPVFARSSFGIHGAHIPTLLRALIGCGWYGIETWIAGEAISLLLPQSIKKSAFSQTLPWLGTSPLAFTCFLGFWVIQLASVWKGMDGIRKLETFSAPILVFLTVCLLIWSCVKAGGFGHMLSLTSKLSFSEFWALFFPSLTANISFWATLALNIPDFTRYAKSQKDQIIGQLGLPIFMGAFTFVGVAVTSSTGVIFGHIISNPIDLLDHIGGFATKVLAIFGIILATVTTNVAANVVAPANALVNLSPSYFTFTRGALLTAVIGILFQPWRLLSSSESFVYTWLVGYSALLGPIGGVMMTDYYLIRGKELSVEDLYSTSPTAAYYYSGGFNLVAVVALVVGVLPVIPGFLEKVELVSKVPKVFSVIYGSAWFVSTFLAGFCYWGLSVLLKRRKASLRFGPPLL >KGN58245 pep chromosome:ASM407v2:3:23067780:23069770:-1 gene:Csa_3G598910 transcript:KGN58245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIQISKPYLQHIIKITMQAVLSIAVPSLLPSSTLSSNKPSHFPLITFSSHSSRRSSIKATASVVYDTSVVDYSSAISVFPAEACETIGGEACGWENMFPEVRLQQASLNNQNPVASSEEIDREYLDYADSKTVFPGEACDDLGGEFCEPEFLNGVF >KGN60243 pep chromosome:ASM407v2:3:37925939:37933391:1 gene:Csa_3G889990 transcript:KGN60243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPFLRKTHLMTRLFFTHHSFSNSTLIIPQASRSFQIPLFNIPHGVFRPNPSSGIRWFSSKSRVEAMQNLEAISYLSQREAAEVDEILMGSLGFSIDQLMELAGLSVATSVAEVFKASEYKRVLVICGPGNNGGDGLVAARHLFHFGYKPSICYPKKTAKPLYAGLVTQLESLAVPFLTVEDLPLDLSKDFDIIIDAIFGFSFHGAPRPPFDDLIQRLSSLNVYKEADQRSPAIVSVDIPSGWHVEEGDISDSSFKPDMLVSLTAPKLCAKKFNGPHHFLGGRFVPPSIVNKYNLHLPPYPGTAMCVRIGKPPQVDIAALRENYISPEFLEETVDADPMRQFLKWFDDAVAANLREPNAMSLSTATSDGKPSSRMVLLKGVDEDGFVWYTNYESQKAHNLAENPRASLLFFWEGLNRQVRVEGPVQKVSEEESEQYFHSRPRGSQLGAIVSPQSSVVPGRHFLIDKYKELEDRFSNGSLIPKPKHWGGYRLKPELFEFWQGQPSRLHDRLQYSPCDIDGKKAWKVERLAP >KGN58358 pep chromosome:ASM407v2:3:24420513:24424366:1 gene:Csa_3G627690 transcript:KGN58358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSSWFRRRLLRENGQFTRASIPLQQSHHEKEEQFLGVTDRLIEFVKSFTFDTFKNFPLLDEGEAAHGDENLSDWQERHAVLVLSKVKEISQLRYKLCPGHLKEQQFWKIYFALVKSLVFEYELRAIQLDKLKRMALRNEQPSNNTPYEVEMSETNTACHVTSVCPTSP >KGN59370 pep chromosome:ASM407v2:3:31442436:31444280:1 gene:Csa_3G814370 transcript:KGN59370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRATSKMSLINRGLSEKTVFGYNNNNDMKEKRETANTNSIPSYITHSDHQHHHFLARCTIVDDSSELSIFDAKKYFNEVSANNNINKVSPIMNIDSMSMSMSEQCMDHSVASSQDQDSEKDYDLSKSKPWTCGVAHPSSGVVSKFSPTTASTIDGHHRRSYRARSFHSATPTASSEASWNSQTGLLSNPPGAISVSVLRGDSDHHSGRKTRKPPSSSSLAARWIFRSSKCPCTGKKSVQVQESKVVLDPKTSPPYINNTTVGGHSQSQSESPPSEKTSGENTMLLQHPDKDVVWGSQRRFPPNLLLQGHTTQRVIASTGFTFPILKNNNNNNGDIPTRAIGHVLIEDPPRDSLEVFNPSSARDSGNGGCSSLKSRILASVAASGGNATIVNDIDDVASDASSDLFEIESFSTQTASTTTASYPAMFHRRDSMELEARRLGLTSAATRRSLDEPMTPSTDWYEPSEASIDWSVTTAEGFDRASIANMSEAEESWTEKNNNNNNNNRRRSSSGNGLLSCRSEKAVSVGPQPVMKHVSSRPPLGKKPPLARSNSAHLSLTFAA >KGN56724 pep chromosome:ASM407v2:3:8430363:8430898:-1 gene:Csa_3G130300 transcript:KGN56724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGVFNHLFSLQLEEDVNEVLFALPSDLCIKEDHLFNEASLQLEKLLNLKHLEMRQSIVDATTKIRCLK >KGN58674 pep chromosome:ASM407v2:3:27128284:27140748:-1 gene:Csa_3G727920 transcript:KGN58674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRVRFNSQGTKRSPCDSGRKKVQSSRRESWCPRSLPRKGKKIKLISLQNESVEQQSPLSPPRSATAVVTVNSTTTTTIATSAHQPSLPPSALLHHSPNHNFSHTKLSGVEMGNCGTREESAVVSNAQVQQLHTSSSLAVKNANNNATDKRHIHNRSISDLSDPSTPRNLEDFRKNSVLYTHVIAFTLYELETITKSFRSDYILGEGGFGTVYKGYIDENVRVGLKSLPVAVKVLNKEGLQGHREWLTEVNFLGQLRHPNLVKLIGYCCEDDHRLLVYEFMFRGSLENHLFRKTTVPLPWARRMMIALGAAKGLAFLHNAERPVIYRDFKTSNILLDSDYTAKLSDFGLAKAGPQGDETHVSTRVMGTYGYAAPEYVMTGHLTARSDVYSFGVVLLELLTGRKSVDKTKPSKEQNLVDWARPKLNDKRKLLQIIDPRLESQYSIRAAQKACSLAYYCLSQNPKARPLMSDVVETLEPLQSGNDAIEYSSMGNTPFVRGGVPDYRMRQRFINNVGSGASCRSPNPNCSPNGPAACRVR >KGN55808 pep chromosome:ASM407v2:3:1608078:1610538:1 gene:Csa_3G017020 transcript:KGN55808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLTLRSSTSFINLKDTKIIKAPLLEDLHGIISFKQAKPLSRLRIRSSLQETRIERGGEKLEKLHSLSSNHHTNDLRVPVFVMLPLDTVTLGGSLNKPRAMNASLMALKSAGVEGVMVDAWWGLVEKDGPMKYNWEGYAELVQMVQKHGLKLQVVMSFHQCGGNVGDSCSIPLPPWVLEEISKNPDLVYTDRSGRRNPEYISLGCDSLPVLRGRTPIQVYADYMRSFRDRFRDYLGEVITEVQVGAGPCGELRYPSYPESNGTWRFPGIGEFQCYDKYMRASLEAAAEAIGKRDWGSSGGPHDSGQYNQFPEDTGFFKKEGTWKTEYGEFFLAWYSSKLLQHGDSILAAAKGIFRGTGAKLSAKVAGIHWHYGTRSHAAELTAGYYNTRHRDGYSPIAKMLAKHGVVFNFTCMEMRDGQQPGHANCSPEGLVRQVKMATRDAKVELAGENALERYDGAAYEQILATSRSDSGNGLAAFTYLRMNKNLFEPNNWRNLVEFVKSMSEGGRNRRLPESDCCGSDLHVGFIKEKKIKEVAAV >KGN58912 pep chromosome:ASM407v2:3:28297060:28297516:-1 gene:Csa_3G736600 transcript:KGN58912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFVSWKLKTEVKWSQLGMGFTNLIITVSGIEIFLTVGIIIEISEASNAVANGERSSQSSQNRYPVKISNS >KGN59123 pep chromosome:ASM407v2:3:29747841:29750529:-1 gene:Csa_3G776350 transcript:KGN59123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSSAMSSEPLVVGRVIGDVLDSFTQSMKMSVFYSNNKQVFNGHEFFPSAVAAKPRAEIHGGDLRSFFTLIMTDPDVPGPSDPYLREHLHWIVTDIPGTTDATFGREVVSYETPKPNIGIHRFVFVLFKQKRRQSVNPPSSRERFNTRAFAVDNDLGLPVAAVYFNAQRETAARRR >KGN55879 pep chromosome:ASM407v2:3:2211335:2212541:1 gene:Csa_3G026150 transcript:KGN55879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGSKASAMFFILSIFMALSLPPIYACTPCTQPHPPQPPYHRPSHPKIPHPKPHPPHHGGGGGGGGGGGGSRGGGGGGGGGSRGGGGGGGGGGGGHHPHPPIVIPPPVISPPVITPPVITPPVITPSPPSTTYPPYTSPPPSGGGGGGGGGGGGGGGGGGGPGSGQYPPPAPPVQPTCPIDALKLGLCVDVLGGLVHIGLGNPVENACCPVLGGLLELEAAVCLCTTLRIKLLNLNIFIPLALQALITCGKNPPPGFVCPPL >KGN56883 pep chromosome:ASM407v2:3:9282337:9282813:-1 gene:Csa_3G141790 transcript:KGN56883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQLFKPLLAHLLCLPIPTIAALPGHAAAGGLVLALAHDYLVMRSDRGVLYMSELDLGATLPDYFIALAKSKIGSSSVRRDVFLRGMKVRGETAVKMGLAESAHQGEDGVMEAAVRLGEELAARNWDGDVYAEIRKSLYPEISGLLGLTTKVITISKL >KGN57635 pep chromosome:ASM407v2:3:15203143:15205499:1 gene:Csa_3G236030 transcript:KGN57635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDRKKGGASLDEHLLIAKEEESSSSPSSSSSSSSSSSSFHHYTHPQNLKMVKGKETQNLHILMFPWFATGHITPFLHISNHLASKNHRITFLLPNNPSSLFSSLNLYPDLISFHFLSLPSVPGLPPSAHSASDIPLSLTPLLASALDLTRPQVDRIIHSLRPDFVFFDFAHWIPDITAPLQIRSICFTVVSAASVAVTVFPGRRVSLDHPLTDEDFREPPVGYPSSTVVFHGSRESRSLLFLSMPFGQGITFHERFMTSYKKSDAIAMRTCQEIEGDFCDFLSNQFQKKILLTGPLMAAPSSKIKATTLDKEWEKWLGQFQQKTVIFCAFGSQVILEKQQLEELVLGIEQTGLPFLVALKPPMGYDSMEEALPKGFEERVKERGIVYGGWVQQPLILNHSSIGCFVSHCGFGSMWESLMSDAQIVLIPTLGDQILNTRLLAQELKVGVEVKREEDGSFTRQSVRQAIELVMVDDKNNNRSGVGEIVKKNHAKWKDLLTKPGFLETYIDNFVKKLQEPWSS >KGN59792 pep chromosome:ASM407v2:3:34387603:34388310:-1 gene:Csa_3G846570 transcript:KGN59792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRGKMSMKDAMAAPNVIKRSKNWNIQQSKRREGSSKKKRKGQWQIWKKNLKYKGDWLQEVQGTLMLVATVIATVTFQGAINPPGGTWQQDQELTSCRWKQEGPVHMEIQDVGTAIMACKSLQIYTSYFISNSISFFASVSVILLIVSGFPLKNKIFRWLLTVAMTIAVVFLTFAYINGTAMVFRNKTAQSYLLDLLHVWYGLVGLVILGCIILPFFIWLLKKFLRILVVFGYIN >KGN58601 pep chromosome:ASM407v2:3:26515063:26516176:1 gene:Csa_3G697940 transcript:KGN58601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQRTEKEETELKVPETITLCVNNCGFTGNPTTNNMCQKCFNATTATATTTATSSSNTGISSSRKLSGEKSSRSRSRSPVLMDSVRDSSRNISMDRFKSEESAKRQVNRCSGCRKRVGLTGFRCRCGELFCAEHRYSDRHDCSFDYKAAGRDAIARENPVVKAPKIVRV >KGN58454 pep chromosome:ASM407v2:3:25341099:25342282:-1 gene:Csa_3G645920 transcript:KGN58454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPHKQFLWRFFPFSTPLMFHMLPFSSRQSIESFATLGSVSLSSSQVLPAYSSNFLLESVDYVKLNNLLNVYCKCGDTRSAGKLFDTMSKSNIVTQLGGDSYWGAICETENSYFFHFSRNSIDLCTSKVLQTYPTINQNFWKEPLTIRIRSVTIAPSVSLIFRLPSSPSHF >KGN59079 pep chromosome:ASM407v2:3:29320640:29320840:-1 gene:Csa_3G760000 transcript:KGN59079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIPLKDSVPAAQNKVKIEKVGEFKMVFVETPNMSEIHWVPGSINSNKYVKESVLSPYSRIFPPIR >KGN60105 pep chromosome:ASM407v2:3:36868670:36870909:-1 gene:Csa_3G878740 transcript:KGN60105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSFSPSANCFSSTTTLSAIIRDCSNQKLNLIHNGFHDYSSPARFPHLILKAAKNDRNTKPNSVICGDCDGNGAVVCSQCKGKGVNAVDFFNGQFKAGESCWLCGGRKEMLCGNCNGAGFIGGFLSTYDQ >KGN56297 pep chromosome:ASM407v2:3:5842080:5844502:1 gene:Csa_3G113390 transcript:KGN56297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSKEKEPVCVTGANGFIGSWVVRALLESGYTTIHTSIFPGSDSSHLLNLLPSTNPNANLRIFEADLMDGEAVARAVEGCKGVFHIASPCTLEDPVDPQKELVEPAVQGTVNVLNAAKKFGVRRVVLTSSISALVPNPGWPSHMPFDESSWTDLEYCISRKKWYPVSKTLAEKSAWEFAENHGLDVVSILPATCLGPLLQPTLNASCAVLQQLLHGSQDTQEYHWLGAVHVKDVAKAQILLFESPTSGRYLCTNGIYQFSEFADKVAKICPQFPVHRFVGETQPGLVACKDAAKKLIDLGLVFTPLEVAIQDTVESLRSKGFLNQ >KGN58176 pep chromosome:ASM407v2:3:22440709:22442492:-1 gene:Csa_3G585880 transcript:KGN58176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKASQLAVYYTCLSCKLQVKVSSLLHVTMAFWLPSSSSSSNHSWLLPEILLFLLVSTGVTSREFLKNADFESPPSNFPENSNKTSVALKENNTFPGWTFQGAVEYITVDQIKNISLPDKGHAILLGEDGKINQTFTADADILTYLLTFALAPGGHNCSLTAPLQISAPDSDALFSFSQHYGKQPWEVHGVYLGSWGDRESVNLEIMSQSNDSTPTCWPAVDSLHIKTMGIVMPDGDNLVVNGGFEYGPDFLESSEGGVLLDSVPTTFFSPLIQWAILGKVRYINSKHFFVPQGNTAVELVSGVSSGLQAVPKLQAGSSYTLSFTLGDANDSCKATFLVGAQAGLTSRNFTLESNGTGSAAKFSMTFTAGPDVNTITLLSYTTSQTKDGDFCGPVIDDVILRVSRGLRISVPWKSLISLCLITIVCFF >KGN59175 pep chromosome:ASM407v2:3:30029115:30029911:1 gene:Csa_3G778310 transcript:KGN59175 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S17 MGRVRTKTVKKSSRQVIERYYSRMTIDFHTNKKILEEVAIIPSKRLRNKIAGFSTHLMKRIQKGPVRGISLKLQEEERERRMDFVPDVSAIKTEQIEVDKETLDMLSALGMNDIPGLVQVDPTVPQQVGFGLGGRGRRY >KGN58846 pep chromosome:ASM407v2:3:27963960:27964400:1 gene:Csa_3G734000 transcript:KGN58846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIQNSLKPFKFFVLRPIDVFSPLLKAVLVLSVVASFSLFFYLTFSDQNSTCRGCYNAHRYSNHRKMKAFDAGEQPTNISHLVFGIGGSVKTWNERRHYCELWWKKNVTRGFVWIEEKPEFSWPESSPPYRVSDDTSKFNYTCWYD >KGN60048 pep chromosome:ASM407v2:3:36521672:36533681:1 gene:Csa_3G873280 transcript:KGN60048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSVGIEDEEKWLAEGIAGIQHNAFYMHQAMDANSLREGLKYSAQMLSELRTSKLSPHRYYELYMRAFDELRMLEIFFKDESRHGVTVVDLYELVQHAGNILPRLYLLCTVGSVYMKSKEVPAKEVLKDLVEMCRGVQHPIRGLFLRHYLAQVSRDILLDINSEGEGDADTVMEAVEFVLQNFTEMNKLWVRIQLQGPARLREKQEKERSELRDLVGKNLHVLSQIEGVNLEMYKQTVLPRVLEQVVNCKDELAQYYLMECIIQVFPDEYHLQTLETLLGVCPQLQATVDIRMVLSQLMDRLSNYAVSNADVIPEFLHVEAFAKLSNAIGKVIEAQVDMPIVGAITLYVSLLTFTLRVHPDRLDYVDQILGACVKKLSSQPKIEDARATKQIVMLLSAPLEKYNGIVTALTLSNYPRVMDHLDNATNKVMAMVIIQSIMKNNSCISTADKVDVLFELIKGLIKDLEEASVDELDEEDFKEEQNSVARLLHMLHNDDPGEMLKIICTVKKHILCGGPNRLPFTVPPLIFSALNLIRQLQNQDGDVVGEEQHEVGDEAEEMHATPKKIFQILNQLIEALSSVPAPELALRLYLECAEAANDCDLEPVAYEFFTQAFIIYEEDIADSKAQVTAIHLIIGTLQRMNVFGVENRDTLTHKATGYSAKLLKKPDQCRAVYACSHLFWVDDPEGIKDGERVLLCLKRALRIANAAQQMANVTRGSSGPVTLFVEILNKYLYYFEKGNQQITNAAIQGLIELITTELQTDSPSANPAPAPDAFFTSTLRYIQFQKQKGGVMGERYDSINV >KGN56994 pep chromosome:ASM407v2:3:9936989:9939775:1 gene:Csa_3G147770 transcript:KGN56994 gene_biotype:protein_coding transcript_biotype:protein_coding description:Spermine synthase MADVSSANLVNNGNELIEKGHSLNGYRKSCWYEEEIEENLRWSFALNSILHTGASQYQDIALLDTKPFGKALVIDGKLQSAETDEFIYHECLVHPALLHHPNPKSIFIMGGGEGSTARELLRHKTVEKVVMCDIDEEVVDFCKSYLIVNKEAFCDPRLELIINDARAELENRTELYDVIVGDLADPIEGGPCYKLYTKSFYEYTVKPRLKQDGIFITQAGPAGIFSHTEVFSCIYNTLKQVFKYVVPYSAHIPSFADTWGWVMASDTPFNLNADDLDQRIKQRITGENKYFDGKTFSSASTLSKAVRKSLDNETQVYTEETARFIYGYGAEACKLNQV >KGN56716 pep chromosome:ASM407v2:3:8386488:8387309:1 gene:Csa_3G129730 transcript:KGN56716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVCFKRLKYCCPFVYETRHPESVSSFFYYLMLFGVIVIGGLSGLIFSCLHEGKGIIKGRLKPDVAEINCIKISSFWV >KGN56656 pep chromosome:ASM407v2:3:8042734:8046100:1 gene:Csa_3G127190 transcript:KGN56656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKDDEEMRGEMEERLINEEYKIWKKNTPFLYDLVITHALEWPSLTVEWLPDREEPPGKDYSVQKMILGTHTSENEPNYLMLAQVQLPLEDSENDARHYDDDRADAGGFGCANGKVQIIQQINHDGEVNRARYMPQNPFIIATKTVSAEVFVFDYSKHPSKPPLDGTCNPDLRLRGHNTEGYGLSWSKFKQGHLLSGSDDAQICLWDINATPKNKTLEAMQIFKVHEGVVEDVAWHLRHEYLFGSVGDDQYLLVWDLRTPSANKPVQSVVAHQSEVNCLAFNPFNEWVVATGSTDKTVKLFDLRKISTALHTFDCHKEEVFQVGWNPKNETILASCCLGRRLMVWDLSRIDEEQTPEDAEDGPPELLFIHGGHTSKISDFSWNPCEDWVVASVAEDNILQIWQMAENIYHDEDDLPEEPPKAS >KGN59228 pep chromosome:ASM407v2:3:30377840:30381002:1 gene:Csa_3G782750 transcript:KGN59228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVSFASAQLLFIIFWNFIIIPFARLRRRRDFSHSPMAIESFYLKKEHFHGTHFSDEADFPISSLVVLFSTLHFVALLTPFNLSHLSECYVFEDETAKYDITVKGVEISPNPVVRGHPTNMIFNLIIGKPIIAGKMVVDISYFGWHIYSDSHDICVETSCPYLSGDFALPPLRTPLAFFLPGSYHMQITIVDGDDNKLTCFGFDYELVIASLFGDS >KGN58764 pep chromosome:ASM407v2:3:27598760:27599077:1 gene:Csa_3G731750 transcript:KGN58764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEGVTSICPHQIQFFILKIGVFKMIRRTIVIENLEFDFLFSLSFLDLMHFRYSAARNCAADYREFSLVSWDDSCVIDFAFVYGHSILFPLLTRKIGCQQLTIL >KGN57924 pep chromosome:ASM407v2:3:19129946:19130244:1 gene:Csa_3G392400 transcript:KGN57924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQPKQKLSENSSELEEKLRGTKIKQRQVRSRRDQIRDEQMDLMINTPTIGILDKELRGVAADEQTKSLTKNRDDRRNLHEE >KGN56541 pep chromosome:ASM407v2:3:7375272:7380721:-1 gene:Csa_3G122650 transcript:KGN56541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASLSQLSFLSNPSPRSLFVPSLRPTTPFRRIHGDGSRFRCSAGQTGFFTRLGRLLKEKAKSDVEKVFSGFSKTRDNLAVIDELLLYWNLAETDRVLDELEEALLVSDFGPRITIKIVDSLRDDILAGKLKSGSEIKEALKKNVLELLKGKGSKTDLQLGFRKPAVIMIVGVNGGGKTTSLGKLAFRLKNEGAKILMAAGDTFRAAASDQLEIWADRTGCEIVVAEKENAKASSVLSQAVKRGKEGGFDIVLCDTSGRLHTNYSLMEELIACKKAVAKVIPGAPNEILQVLDGTTGLNMLPQAREFNDVVGITGLILTKLDGSARGGCVVSVVDELGIPVKFVGVGEGLEDLQPFDPEAFVDAIFS >KGN58516 pep chromosome:ASM407v2:3:25821803:25822378:-1 gene:Csa_3G653970 transcript:KGN58516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPKINDSFNSEIGLKERVGVDGGGIQRGARVKSQAVESRKLLEKEGTNQGDDQGKDHGIHKGVVSRRSLADEVNVEIL >KGN59681 pep chromosome:ASM407v2:3:33490383:33496392:1 gene:Csa_3G837620 transcript:KGN59681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTAPGQLNVNESPSWGSRSVDCFEKLEQIGEGTYGQVYMARELKTGEIVALKKIRMDNEREGFPITAIREIKILKKLHHENVIKLKEIVTSPGPEQDEQGKPDGNKYKGGIYMVFEYMDHDLTGLADRPGMRFSVPQIKCYMRQLLTGLHYCHVNQVLHRDIKGSNLLIDNDGNLKLADFGLARSFSNDHNANLTNRVITLWYRPPELLLGSTKYGPAVDMWSVGCIFAELLHGKPIFPGKDEPEQLNKIFELCGAPDEVNWPGVSKIPWYNNFKPTRPMKRRLREVFRHFDRHALELLEKMLTLDPSQRIAAKDALDAEYFWTDPLPCDPKSLPKYEASHEFQTKKKRQQQRQHEETAKRQKLQHAQHGRLPPIQQSGQAHAQMRPVANQPIHGSQPPIAAGPSHHFGGKPRGPPGPGRYPSGGNPPGYNHPSRGSQGGGGYSNTQYAQGRGAPYGSGNMSSAGPRGGAGGGGYGVGALIYLQFGP >KGN56412 pep chromosome:ASM407v2:3:6697733:6699430:-1 gene:Csa_3G119450 transcript:KGN56412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAVAPWKSLLLSALESNGHFKHSKFLQLATIGTNGRPSNRTVVFRGFEEGTDRIHIYTDSRNRKIEELKNCPFAEICWYFTESWEQFRISGRMEVVDASCVDATKFKQRAEAWSSISPKSRMQYLGPSPGLPHLAEQPAKEPFLDSCSGPVDTFCLLVFDPDQVDYLNVRSDERNSFKAITSFGGEKLWETERINL >KGN60472 pep chromosome:ASM407v2:3:39650235:39651882:1 gene:Csa_3G914030 transcript:KGN60472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSAVAGKGQHQDVGIGTGTTRMMHNEPPPARREITSRPSAVDTGFTSIHNTTANYKVDDSNVAPNTTMSLSPWKLEDDPHAPKDPHAPHISEVKVHDPSNRGSEEAAGRSQVFDSFARMKVDDKEPNRTGSPRGLVQEQGGEDQTNYAQKISAVGSAVSGKAVAAKDFVASKLGYGETTEETTTNKSSSSSPLEYGKKIALTVTEKLKPGEEDRALSEVISEAWTRRKDEVVKVGESAFGRPPSKGEVTESEELTRRLGKEDKEATEKSSVARAAAATGRSVVGMVKDTVGSWLGNAGEQSVPSQQSLGTSQGVEGFVDSSSSRRQAEHGGKGAEVRRLQGSAN >KGN55647 pep chromosome:ASM407v2:3:427318:431972:-1 gene:Csa_3G002670 transcript:KGN55647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASRFIKCVTVGDGAVGKTCLLISYTSNTFPTDYVPTVFDNFSANVVVNGSTVNLGLWDTAGQEDYNRLRPLSYRGADVFILAFSLISKASYENVSKKWIPELKHYAPGVPIVLVGTKLDLRDDKQFFIDHPGAVPISTAQGEELRKLIGAPAYIECSSKTQQNVKGVFDAAIRVVLQPPKQKKKKSKAQKACSIL >KGN59520 pep chromosome:ASM407v2:3:32486465:32488506:-1 gene:Csa_3G824170 transcript:KGN59520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNWRRRYGSEIPHHQLLKSPRNPSPDNWHAGVPSWEKKFCSSVGLISWKKLLDTKKCMYLYDNVVKWNDSAGEEAFHNAKSRFWAEINGLPCDISLPDPDIYIDEIDWNCNVDPDLMLDLEREQIAPVREGDVVIASLYEALGLYQSYTCVGWGEAKEDLQKPKAEPGNCDQKVDDGQNSWEMSSATKNNVGLRDEYLNNSVGWNDWGNNHTHNPSYNQNHNHKESDNRFTNRIWRTCDVNCKNSGGNWYHSSTFKGSRFHYDGYQKENGWRNSRRGGRKRVNFAHEPNNSPECWKNSNPNRPVNQQWSSNGINGVRRNQFCEY >KGN57563 pep chromosome:ASM407v2:3:14314137:14317108:1 gene:Csa_3G214030 transcript:KGN57563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWEVVLPLFLLCFFATDLLRTAHSIWLNLPPSGTKCVSEEIQTNVVVLADYVVVADHSHSPTISIKVTSPYGNNLHHSENVTHGQFAFTTTEAGNYLVCFWLSDHKHEAGNEISVNLDWRTGIAAKDWESVAKKEKIEGVELELRKLEGAVEAIHENLLYLKDREADMRTVSERTNARVAWYSVMSLGVCIAASTLQIWYLKRFFQKKKLI >KGN57894 pep chromosome:ASM407v2:3:18627323:18629711:-1 gene:Csa_3G375730 transcript:KGN57894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFEEDDETFEHTLLVVREVSVYKIPPRTTSGGCKCGEWLQSDKIWSGRLRVVSCKDRCEIRLEDPNSGELFAACFVNPGQRESSVETVLDSSRYFVLKIEDGRGKHAFVGLGFAERNEAFDFNVALSDHEKYVRRDLEKDPTSGGNSSGSGGDANEESQIDIHPAVNHRLKEGETIRINVKHKPSSGTGMLSAAGLSGSGSGKSKTLTLAPPPTGARKISTPLPPPPNDPAARKLSASTCRGVSHEGKEDNVSNSSDPLSDLSQLERNLPSAPGSTKAAASGWAAF >KGN57163 pep chromosome:ASM407v2:3:11041450:11043773:-1 gene:Csa_3G166280 transcript:KGN57163 gene_biotype:protein_coding transcript_biotype:protein_coding description:Universal stress protein MDGERRVGVAVDFSACSIKALKWAIDNVIRKGDFLVLIAVRPEGDYEDGEMQLWQTTGSPLIPLVEFSDPNTMRKYGIKPDAETLDIVSTAAAQKEINVLLKIYWGDAREKICEAIDHIPITCLIIGNRGLGKLKRAILGSVSNYVVNNGSCPVTVVKKADHEN >KGN57738 pep chromosome:ASM407v2:3:16399101:16407304:-1 gene:Csa_3G271310 transcript:KGN57738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEEHEEDHGLANTILSCPNRIPGCPIEGMESVVVTVSGYHGTERFNLIKMISYTGASYVGAMSRSITHLICWELQGRKFDLAEKFRTIIVNHRWLEDCIKHGKRVPEGPYILQSGQSIGPLSMKLPLADKGYVSAKKYNLLSEKLHNYGNVEDQSIKDICSFGDSILPRSSLLDKDLSSDFRKSDDTAHKRKHKVRKRISKLEDPSSSSSRNRFEEPTSAGLFAIECGSPSSLARDERKGESSNQDSTVKSSRRRRLLVSNNSREDHNKPDISNFDPELYRLGTRNSLTVPSVLWDAETDIEVVNIGGTSDREQLCDERGLASVRFEGVEACENQSTSKDTNLLVDNAPRVLSITSEDELHNMNDLQKNIEDPVIELDASLPSTSTELSCVICWTDFSSTRGVLPCGHRFCYSCIQNWADHMALSRKISTCPLCKASFLSITKVEYAATSDQKIYSQTIPCGSSLLDIYLLSDERTLNNVVQPSVAAVCSACRCREPEDLLMSCHLCQIRQIHSYCLDPPLLPWTCIHCKDLQTLYHRSH >KGN58548 pep chromosome:ASM407v2:3:26134749:26137941:1 gene:Csa_3G681130 transcript:KGN58548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRETVKKAEQLVEIAMGCNDASHDPSHVSRVRDLALSLAQEEGLSSTTDSMEIVELAALLHDIGDYKYLRDSSEEKIVENFLTEEGIEENKKQKILAIIKGMGFKEEIAGLSKVEYSPEFGVVQDADRLDAIGAIGIARCFTFGGSKKRVLHDPAISPRTCLSKEAYMNKEEQTTVNHFHEKLLKIKDLMKTKAGQRRAEKRHKFMEEFLKEFYDEWDGKA >KGN55744 pep chromosome:ASM407v2:3:1067458:1068976:1 gene:Csa_3G009500 transcript:KGN55744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSNNVIAAINFIALILSIPVIGAGIWLATEPDNACVQVLQWPVIILGIVIFLVALAGFIGAFWRVSWLLMFYLIAMLVLIILLGSLIIFVFSVTMRGSGHAEPSRAYLEYHLDEFSLWLQRRVRSSHKWERIRNCLSSSTTCAELNQNYRFAQDFFNAHITPFQSGCCKPPTDCGFTFVNPTYWISPISNGASMDCSKWSNEQTELCYGCDSCKAGLLANLKEEWRNADIILLVSFVGLIFVYLLAFIALKNANSEQLFRRHKQGYV >KGN59117 pep chromosome:ASM407v2:3:29703063:29705008:-1 gene:Csa_3G775300 transcript:KGN59117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISFLLHPILLFFFFLIFIFIILFLLRPKFLCNCEICQAYLASSWSKDFHNLCDWYSHLLRQSPTKTIHIHVLRNTITANPDNVEYILKTKFENYPKGKIFSSILGDFLGRGIFNVDGDLWRFQKKMAIIELGQQSIRSYCFEIVSQEIHSRLLPLLSSVADGGSGGVLDLQDVFRRFAFDSICKFSFGLDPMCLELSLPISDIAVAFDLASKLSAERAMAVPPLIWKIKRMLNLGREKELKKAIKLINVLAHEVIRQRRKLGFSTHRDLLSQFMRTVSDETFLRDIIVSFLLAGRDTIASALTSFFWVISTHPAVESAIQLEADRVIGPTSNPTSFDQIRNLHYLQAAIFESMRLYPPIQFDSKFCQNDDILPDGTFVRRGTRVSYHPYAMGRMEQIWGTNCLEFNPERWLKNNIFCPENPFKYPIFQGGFRFCLGKEMALFELKIVALSVIRHFRIQSTTPSSSVAPRFSPGLTATFCGGFKVIVSKKRKWE >KGN58327 pep chromosome:ASM407v2:3:24051276:24054783:1 gene:Csa_3G623950 transcript:KGN58327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQGTPGGLNRQAPGDRKSDADKKKDKKYEPAAPPTRVGRKQRKQKGPEAAARLPTVTPLTKCRLRLLKLERVKDYLLMEEEFVTNQERLKPQEEKAEEDRSKVDDLRGSPMSVGNLEELIDENHAIVSSSVGPEYYVGIMSFVDKDQLEPGCAILMHNKVLSVVGLLQDEVDPMVSVMKVEKAPLESYADIGGLDAQIQEIKEAVELPLTHPELYEDIGIRPPKGVILYGEPGTGKTLLAKAVANSTSATFLRVVGSELIQKYLGDGPKLVRELFRVADDLSPSIVFIDEIDAVGTKRYDAHSGGEREIQRTMLELLNQLDGFDSRGDVKVILATNRIESLDPALLRPGRIDRKIEFPLPDIKTRRRIFQIHTSRMTLADDVNLEEFVMTKDEFSGADIKAICTEAGLLALRERRMKVTHADFKKAKEKVMYKKKEGVPEGLYM >KGN57676 pep chromosome:ASM407v2:3:15514261:15517626:1 gene:Csa_3G247880 transcript:KGN57676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFADNGVLFPHFQNFSQVQQLEDFCKTHQSCSSSTISSICEYDMVGEGDLFEAPQPQPYIDETFIGLDPVMAAISMISCADEVISPEGLKVADFQSLQNDQLLNEVYYECEKDLLEKAALERPLPEDLNIEIPVLNPDENQISENKPFLEASIQKSTSLECLSSMDLMQGPTIKPSFIDFSDMDFSSVYGMRRAFSEGDIKTLGSDKLGMIHSPLHRPMFGNFTSGERLEKLSRYRNKKTKRNFGRKIKYACRKALADSQPRIRGRFAKTDESEVKSSSSISMLVL >KGN59702 pep chromosome:ASM407v2:3:33664978:33670192:1 gene:Csa_3G839800 transcript:KGN59702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLEELKKKLTPLFDAEKGLSMDSPVDPSDSYTFSDNGTVNLLSRSYGVYNFNELGLQKCTSWLADDSGSSERTYRCASREMRIFGAIGSGASSVVQRAIHIPAHRIMALKKINIFEKEKRQQLLTEIRTLCEAPCSEGLVEFHGAFYTPDSGQISIALEYMDGGSLADVLRLKKCIPEPVLSTMFQKLLRGLSYLHGVRHLVHRDIKPANLLVNLKGEAKITDFGISAGLENSMAMCATFVGTVTYMSPERIRNESYSYPADIWSLGLALFECGTGEFPYSATEGLVNLMLQILDDPSPSPSKHKFSSEFCSFVDACLQKDADARPTAEQLLSHPFIKKYENEQVDLAAFVQNVFDPTQRMKDLADMLTIHYYLLFDGPDDFWHHTKALFHESSTLSFSGKQFSGPNDIFGKLSEIRSTLAGDWPLEKLVHVVEKLQCRAHGRDGVAIRVSGSFILGNQFLICGDGVQVEGLPNFKDLSIDMESKKMGSFREQFIIEPSNLIGRYFIAKQELYIIQ >KGN56893 pep chromosome:ASM407v2:3:9345196:9350489:-1 gene:Csa_3G141890 transcript:KGN56893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSEAWQGAYVTHLRPLQTLINRAQILLHSIALLLLIFYRLSFFFLNQQPKHFFPWLLVFISELLLAFIWLLGRAFRWRPQITKHVLLPPDKLRPQLPLPAIDVFICTADPEKEPTLEVMNTLISAMTLDYPPDKLHIYFSDDAGSPVTLHGVREARRFSRWWVPFCRKYGITQPCPMAYFSHAPEDRRRDIPRDDEFVEQKLIKEKYEEFKNGIRDGTKKWAGDAAVSSRVDHPALVQIIKCNNDDSDDGEEKSRNEIELPLLVYVAREKKPSHPHHFKAGALNVLLRVSGAMSNSPYILVLDCDMYCNDSTSARQAMQFHLHPHFSNSLSFVQFPQKFYNATRNDIYDSQLRSFFTVEWSGMNNLQGPVLSGTCFYIKRFSLYGTSPHDKDSSKHIRDFEASNKFIKSMNENNRSRDIAVEEAQHLASCTYETGSKWGQKVGFFYDALVEDFLTGLALHSQGWRSVFSNPERPQFLGSGTTNLNQVLLQETRWSSGLLEVATSRFCPLFYGSQRSMMSLLQRMCYAQLSFFPLYYSFPIWILATIPHLCLLHGIPIFPKVSSPFFLVYCFIFISATFSHLHEVLISEGSVKKWLNEQRIWMIKGITARSYGSLDILMKKFGARNVSFVPTNKVTDDDQMQRYEMDVYDFQASILFLAPMAGLVVLNLVALAVGLGRIVASLENWEETFGQLFLCFYILLMSFPIIEAMVLRTDKACFPFKVTLGSSLVVLLFLYLLSV >KGN57518 pep chromosome:ASM407v2:3:13902780:13903121:1 gene:Csa_3G203250 transcript:KGN57518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLKVESPYLTSFHPTRIPSLLSSLVPCLSRSRKSFLSLEGYPVVIASLDRRPMMFPDFTLAVMAISLFFIQSSPLYA >KGN56996 pep chromosome:ASM407v2:3:9943784:9947648:1 gene:Csa_3G147790 transcript:KGN56996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMKKAKNGGLNAIETYVFWNAHEPQRGQYDFSGNNDLVQFIKAVQKERLYAILRIGPYVCAEWNYGGFPVWLHNLPGIKFRTNNQVYKIENEFGNVEGSYGQEGKEYVKWCAELAQSYNLSEPWIMCQQGDAPQPIINTCNGFYCDQFKPNNKNSPKMWTESWAGWFKGWGERDPYRTAEDLAFAVARFFQYGGSLHNYYMYHGGTNFGRSAGGPYITTSYDYNAPLDEYGNMNQPKWGHLKQLHELIRSMEKVLTYGDVKHIDTGHSTTATSYTYKGKSSCFFGNPENSDREITFQERKYTVPGWSVTVLPDCKTEVYNTAKVNTQTTIREMVPSLVGKHKKPLKWQWRNEKIEHLTHEGDISGSAITANSLIDQKMVTNDSSDYLWYLTGFHLNGNDPLFGKRVTLRVKTRGHILHAFVNNKHIGTQFGPYGKYSFTLEKKVRNLRHGFNQIALLSATVGLPNYGAYYENVEVGIYGPVELIADGKTIRDLSTNEWIYKVGLDGEKYEFFDPDHKFRKPWLSNNLPLNQNFTWYKTSFSTPKGREGVVVDLMGMGKGQAWVNGKSIGRYWPSYLATENGCSSSCDYRGAYYGSKCATNCGKPTQRWYHIPRSYMNDGKENTLILFEEFGGMPLNIEIKTTRVKKVCAKVDLGSKLELTCHDRTVKRIIFVGFGNPKGNCNNFHKGSCHSSEAFSVIEKECLWKRKCSIEVTKDKLGLTGCKNPKDNWLAVQVSC >KGN59909 pep chromosome:ASM407v2:3:35469898:35472285:1 gene:Csa_3G852580 transcript:KGN59909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADHIISPYGINISIVGLLFLFPILIFSYYIILILKNKATTLPHKLRSQPPEVAGGWPIIGHLLLLRTHSMLPHETFGALADKYGPIFLIRLGVHPTLVINNWEIAKECYTTLDSIVSSRPKTSIQKELSYNFAGFGFRPKYDAFYRNMRKMAVSEVLSNSRLEIQRDMRVYEVNRGVKEIYNSWTEYRNEDLIAVDLDEWIGNINLNVILRMVCGKRMAEGSEMERCRKAMRGFFELAGQVTVGDAIPFLKFLDLGGYLKTTKEVFKELDCIMEEWLEEHRQKKRDAGASAGAAEKGLMGVMPLLLKGMELDGYDADTVVKATCLTLISGGTDTMTVTITWAISLLLNNQDALRRVQEELDIHVGNKRLVNESDINKLVYLQAVIKETLRLYPAGPLSGAREVTEDCTIGGYNVASGTHLITNIWKIQRDPRVWTEPSKFKPERFLSSHNYDYMDVKGQHFELSPFGYGRRVCPGLGISLMMTPLVLASLIHSFDVTTYDDEPVDMAANLGLTMRRVKPLHVLVKPRLLATAYA >KGN56501 pep chromosome:ASM407v2:3:7146429:7150449:-1 gene:Csa_3G121760 transcript:KGN56501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNLGGASISQTDDFETFTPTTDVEPLKRAWRNEKAAPEILPYEASLVGRIKEQIQAMEDTIEEYSRSGIDPLIVSLYQMDLDRVQFLLRSYIRSRLQKIEKYMLYILKSDELFGRLSREEITFTDRCRHDMKKHFDESVLSKLPNNYQDILKQSITSEEDDMVPEPPLDTFVVCKSKEYLEHIQLEDEEERASSRIEEPFEMEANVLHIIRYRPIKSLVESGRIDLL >KGN56361 pep chromosome:ASM407v2:3:6445761:6448246:1 gene:Csa_3G117960 transcript:KGN56361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEICGNLMGHSNPNAATNISWPNNTITNNNNNNNIIWELNSQHPHFLYASGEHNPTTHFHPDPHLMCLNLGKRHYFEDSNAPSLILGKRAKPPFYATTVPRCQVEGCHVALVNAKDYHRRHRVCEMHSKAPKVVVLGLDQRFCQQCSRFHVISEFDDSKRSCRRRLAGHNERRRKSSHESARNCTQAENKSMTNGIAYVPSPTGRALSLLSSKNESWANSSELSQRSSAALRELIAENRAAILARQLILDRDWHPNHGGTDDFGGGGGVGFQQQGLYCEQQHSWERMNENGGSHVTLDLMQAPSSAFGLLSVRGKSKEEDEECCELWNSFNDHDPHVV >KGN58637 pep chromosome:ASM407v2:3:26771582:26772083:-1 gene:Csa_3G708180 transcript:KGN58637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSYKCNRFSFQVSLSKLKDDQILFNGLLFCLGRMVVEKGENLPLWRTNGVVIAALLHAGPVEFLYYWFHRALHHHFLYSRYHSHHHSSIATEPITCKLYLSSFNFQVSIYQFFMT >KGN59151 pep chromosome:ASM407v2:3:29912527:29914516:1 gene:Csa_3G777590 transcript:KGN59151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRKTREPKEETVTLGPAVREGEHVFGVAHIFASFNDTFIHVTDLSGRETLVRITGGMKVKADRDESSPYAAMLAAQDVSQRCKELGITALHIKLRATGGNKTKTPGPGAQSALRALARSGMRIGRIEDVTPIPTDSTRRKGGRRGRRL >KGN60090 pep chromosome:ASM407v2:3:36771043:36774880:1 gene:Csa_3G877610 transcript:KGN60090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPAATHLNFSTSSSISQSQRSSLRFGRNRTNFFYSTNQKRRSHSLKVVQSVLNNCKSNLNDNGANEEAKLLLERLYAQTQRLEEHVSKDPHFPQDVWLGLSLENLESDLQAALAVLKKKEEDLQDAERTILLERSQLNNAREKLEKQEEEITVAYRKQQELEDELKEANLNLVSQTRLIDELKLQIMEKDEGIAAVESALALKEDELKRMRADLAMKSEEAFKTNCELKSKSQLLTEANEVVKRQEVELQMLKKTVVEKEKEFELSVKLQKLEVERLEVVEKNLEKRTMEWLLAQEELKKTKKEASKKTVEMNKTVNDFNRVKKLLADVKSELVSSQKSLVSSRKKIEEQEDILERQMAELEEQKKGINAYMSSLKDAQIEVESERVKLRFIEAHNKELEGDLVKEKELTDELQQQLEREKSFLQQATEEKSLLQNELEHKRIEFEKTHKLLQDKASALVEAKLEIQHLKSKQVSLQLLLEEKDLEILDAQKKIQNLNQEIIELQTLMSSKEAQLDQTTAMLKEKDERVETMQNELNDTKLKISEAEAAVEHIVDLTNKLVISIKDGDEYDVLKLNENLSLNLQQQLFKKPTDNIRLQKKQLETELELTKESLRRKEMEILAAERALTVKDEELKTVQERLDGKEKEFEKMKEEMDEEGKHLREQYTLAQDNVGGDLAIERLQFEAAQLEVEAATSALQKLTDMSRDLLNKAGRSLEADIGSRSIRIQQHDDDNNGVNGIDNNNSRFNEVKVEVSRLSSLTEQLLKEAGIFLDAD >KGN57713 pep chromosome:ASM407v2:3:16024445:16034283:-1 gene:Csa_3G258150 transcript:KGN57713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESICRALRDGALQGELAPALTIKDNINSPFGFHAFSHVLTQLSSNILAGKSQSRGLVLLSFSRSPAYYVQLLKKRGLDVGSSAKWIQILDCYTDPLGWKQRFMEGENVSNVDQEVSNLSHLCTNVGDMDKLFSSIIALGKGFVGEGTVRFCVAVDSVTNMLRHSSISALAGLLSSLRSNDSVSSTLWLVHEDLHEEKVIAAFEYMSSIVATLEALTPSPYVSRSNMDNSYLEHRSTKGRFHVRMKRRNGRVRVISEDFNVEQSGIKFTSISSEDAVINQSLIPKVQFNLQLSEKERNDRARVVLPFEHQGTGKPIQIYDGRRSFSESKDDNTPLMTNEKGNDDGSGKGEIVYFRDSDDEMPDSDEDPDDDLDI >KGN55616 pep chromosome:ASM407v2:3:274051:275888:1 gene:Csa_3G002370 transcript:KGN55616 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoserine aminotransferase MATTTSPHSLLLQNPNRHHLKPSISTSHFNALPLPSPSPKRFSISCSAASTTSPLELQNPPPSHSSSNDRVFNFAAGPATLPESVLKKAESELINWRGSGMSVMEMSHRGKDFTSIIQKAESDLRSLLDIPSDYAVLFLQGGATTQFAAIPLNLCKPDDTVDYVVTGSWGDKAFKEAQKYCKPKVIWSGKAEKYTKIPAFEDLEQSPNAKYLHICANETIHGVEFKNYPNPKNLLVADMSSNFCSKPVDISKFGIIYAGAQKNVGPSGVTIVIIRKDLIGGAQDITPVMLDFKIHHENNSLYNTPPCYGIYMCGLVFEDLLQQGGLKEVEKKNKKKADILYEAIDQSNGFFRCPVERSVRSLMNVPFTLEKAELEGEFIKEAAKEKMVQLKGHRSVGGMRASIYNAMPLAGVEKLVAFMKDFQARHA >KGN59444 pep chromosome:ASM407v2:3:31925517:31933295:-1 gene:Csa_3G820500 transcript:KGN59444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKKNNQDSELFLDQPRYNNDGQDEDEDDDIEMNNNGLLESRSVSSCGSFSDGDDDSTTTVAAINKCVRRGCKSTSTSQQWPQSFREASDSYAITTSPTFGILRIPNIIKSSFQNGIVESDDMDVEVDAKAPLLNSYYGHEGHNLEYKISSSQPLSTPHGCTFSQTIFNGMNFMAGVGLLSTPYTVKEAGWGSLGVLLVFAIVCFFTAMLMKYCFEKTTSQFNIITFPDLGEAAFGTFGRLFVSVLLYMELYCCCVEFIILEEDNLSSLFPDTWLNFVGIHLDSIYLFGIITALLVLPTVWLRDLRWISYLSAGGVLATTVVILTIAYLGTVGGIGFHEAEAAELVNWNGIPFAIGAYGFCFSGHTLFPNLYHSMADKTKFTKALLICFVFCVLIYGGVAIMGFLMFGQSILSQITLNMPQHALASNVAKWTTNCTCYLFPLCCSTSPVLWSCDGFNWLPSLHTHCNNNTSLLFFENHGEGSFQNTDNFMQGGNRVGHHSSNPWNILFVFTISS >KGN56744 pep chromosome:ASM407v2:3:8553604:8562095:-1 gene:Csa_3G131970 transcript:KGN56744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSNWEADKMLDVYIYDYLVKKKLHATAKSFMNEGKVAPDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEAAAAYIEAQQIKQKEQQLQMQQLQLMRQAQLQRRDGTHPSLGGTLNAVNSEGMLGQPTASALAARMYEERMKNPSLVDPETSQPLLDARMGLKPAANHPGQIGNPGSVNAALQQIQVRGQQPTDIKPEVSIGGTQRSLPMDPSSVYGPGLIQSKPGIGNAGLNAGVNNLPLKGWPLAGLEQIRPGLGAQVQKPFLQSPNQFQLLPQQQQQILAQVQAQGSLGSSGMYSDMDPQRFRGLPRNNLNAKDGQPIANDGSIGSPMQSTSSKMNIPQMQQSSSQQQDGLHPQQVQQNRKRKGPSSSGAANSTGTGNTIGPNSQPSTPSTHTPGDGAVIAPNMQNVTSMPKNMLLYGNDGTGGLASSTNQLEDIEHLGDIASLDDNVESFLSHDDGDGRDLFGTLKRIPSEHAAENSKGPSFSEVGSMRKSNSKVVCCHFSSDGKLLASAGHDKRVVIWNMETLQTETTPEEHTLIISDVRFKPNSTQLATSSFDATIRLWDAAQPNYCLRAYTGHTSQVQSLDFHPKKSEIFCSCDANNEIRYWHVSQGSTHISKGGNGSKQVRFQPRTGQYLAATSDSVVSIIDFESDRPTLSLKGHASDVHSLCWDTNGDYLASVSRDSVRVWSIASGECIHELVSSGNLFQSCVFHPSYSSLLVIGSYQSLELWNMVENKCMTMPAHECVISSLAQSPVTGMVASASHDRSVKIWK >KGN57981 pep chromosome:ASM407v2:3:19896195:19901071:1 gene:Csa_3G418720 transcript:KGN57981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDFCFTIPYGLILVGGGIFGYLRKGSIVSLAGGVGTGLALILAGYLSLGAFKKKKNSYLALILETVCSGALTWVMGQRYLQTSKIMPAGVVAGISSLMTLFYLYKLATGGNHISPKAE >KGN59313 pep chromosome:ASM407v2:3:30969823:30970356:1 gene:Csa_3G809400 transcript:KGN59313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSYTSSSSSSLQVLPSPSSSTLRLAIKFKALLQTLILSLARAISRAKTTAFQSANTALKRNKKKLLYGSFRLHYNWCSVSSNKYSHVTPAVLTCDHGIGGGGGGGDQLGGYLQWLEERDVNKKSNHNSNVEDDHEDQSVNEIDKLAEIFIARCHEKFKLEKQESYRRFQDMMARSF >KGN59677 pep chromosome:ASM407v2:3:33471068:33471265:-1 gene:Csa_3G837580 transcript:KGN59677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNEQAASSSSCQVSYTMDPMETYSPPTYSQNIDAFSVPLPPTDNSNDNYWSMEDLWSMQLLNAE >KGN59907 pep chromosome:ASM407v2:3:35463256:35466070:1 gene:Csa_3G852560 transcript:KGN59907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRSFIQGHHYATLSLFSFHFFSTSGEGGYHSDPINVDCIALSHSSVLVRLSIHEIEMEHPEIPSIGVISSYLYSFGAGFFLLVLSFLFVLKKATARKRKEPPEVAGGWPIIGHLRLLKSDSQLPHQTLGALADKYGPIFRIRVGAQPTLIISSSELAKECHTTLDSIVSSHPKSVAGKLLGYNYAAFGTRPYDSFYRRMRKIVASEVLSNRRLELQRDVRVSEVKKALKEVFNQWTKREEGSNHILVDVEELIGNINLKVVLMMVSGKRFLGGSGEVEEMKRYRKVMRDFLDLLGKFVVGDSIPFLRWLDVGGYEKAMKITSKELDSLLEEWLEDHRRKRNSGAIDGEHGDLMDVLLSNLEGMDLGGYDANTVNKATCTSIITGGTDTVTISLAWAVSLLLNNREVLRRAQEELDIHVGNKRLVDELDISKLVYLQAVVNETLRLYPPGPLSGVRVFSEDCIVGGYNIAGGTHLITNLWKIHTNPEVWAEPLEFKPERFLNRNKQLDVKGQRFEFLPFGCGRRSCPGMNLGIQMTQLMLASLIHSFELNTRSDEPVDMAASFGIAMYRTNPLEVLVKPRLLASAYV >KGN58210 pep chromosome:ASM407v2:3:22707526:22710928:-1 gene:Csa_3G592150 transcript:KGN58210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRSIRVALLIFSLLLSLRPHTVSSTLPLQSLNQGSNSKDSASESTPKQDWNNAHEVHCSRERSRAAWKVIEEYLMPFVEKKKYKISTKCRLHPDNDMFRDQEQHKSHLDFNDWKCGYCRKRFYEEKYIDQHFDNRHYNLLNVSRNRCLADLCGALHCDHVIDAVSQKSKCNPAAAARNKHMCEGLADSCFPVDEGALASHLHEFFLHQFCDAHTCSGKPKPFSRGRQVRRSVFYIVISVLTILFVMFFYVFFYLYNRGMRTRPQVLKRLSQSGRKKKPS >KGN58906 pep chromosome:ASM407v2:3:28275884:28276689:-1 gene:Csa_3G735550 transcript:KGN58906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAVCTTKFCISDARSVRTPRAVYINLNNWTESENEIVKSAIPKARRRVQVVDGVSCRQMYLRSYTFSRKKESVGEKTKKCLEKVKEKLGQRKRRSLGENRNLSLNLNMNLNGKRKKRNEKCLIWKKMKKFSCSFIMFGIFRRVLSCAATIDVVEQSCGRN >KGN57309 pep chromosome:ASM407v2:3:12123789:12125210:1 gene:Csa_3G178510 transcript:KGN57309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSPNHFLLRYSAQLPHTPFIILIPILTSIEAYLYFSHAWHPLFHIFPLSFLVVLIIFNLASPNSVYLVDFSCLKPPSFFRVPFSTFLENATLMDIFDSESISFMARTLKSSGQSEQTCLPPALHFIPPKTHIQESINEVHIVLFPVMNDLLTKTHLSPSDIDILIVNCSGFCQSPSLSSIVINKYSMRNDIKSFNLSGMGCSASAIAIHLAENLLRVHKNSNAVVLSTEILSNGWYAGKERSKLILNCLFRMGSAAILLSNKKQAKESSKYKLIKTLRTQRAFDDKSYLSALREEDRYGKLGVALTRDLPHVAGETLRSNITILGASVLPLSEKLRHAVSRLRKRFLDKSQDIYIPNFKTVIQHFCLPVSGGAVIREIGKVLKLNDKDVEAALATLHRFGNQSSSSLWYELAYLEAKRRVEKGERVWQIGMGTGPKCVSLIWECIRPISGESNNEPWADVIDRYPNLGPPT >KGN59163 pep chromosome:ASM407v2:3:29964907:29968445:-1 gene:Csa_3G778200 transcript:KGN59163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSINNFSPDFHQPPLFSTSQLPIMLNSKSSLSVAPADHLPMFEKPLTPSDVGKLNRLVIPKQYAEKYFPLSPSAAVATAAAAAAAGDSADKGLLLSFEDESGKIWRFRYSYWNSSQSYVLTKGWSRFVKEKRLDAGDVVVFERHRRDGDRLFIGWKKRSAPSPTDSAVATGSGGVGGGGGWTRMFCSANSYPNSHRHLPSPPYQSSSDTPHAESSVQSQTVSIGNSKILRLFGVDLECQTDMSEPEPPSLLNIGSSLTLSGRDPTRHTFYPHYSSPLPHHMDFTFSQDVNQTKYQG >KGN57245 pep chromosome:ASM407v2:3:11640423:11640650:1 gene:Csa_3G173000 transcript:KGN57245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLLALAHNFERSYRSPNSRRRPLAQSSKPCPFAIATSNFRLNLHSHFFFLFSIEHATISNSIYAGFSGPIFSE >KGN56300 pep chromosome:ASM407v2:3:5890946:5891364:1 gene:Csa_3G113910 transcript:KGN56300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRGLLNDLNTYKIKGVVPVADDKCCWLLNYASKFGRLREMIKRLSVLDFNMLGVQVSGVGIVVFYEFGSMVMTSIGHVLSSSSPLGKVGM >KGN60330 pep chromosome:ASM407v2:3:38610328:38610830:1 gene:Csa_3G895760 transcript:KGN60330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHISLARTADTCPAPFAPKWSPKSPLDQRNLKPIRIRRYPAPALPFTQFPPSQRVKHELFFAIFVHFAPPYEFRIPENQLSELDLIAYLHQKSFRARVL >KGN55841 pep chromosome:ASM407v2:3:1870182:1872016:1 gene:Csa_3G019320 transcript:KGN55841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRISILSFFFFFLISPISTNSQFPSSSTTHCNHGCPKIQFPFDFNLSCSSNTTRIHFKTYDSLSIKSISYDQKRLDLLDLNRCVHAAFLNLDLSLTPFRYFYVVKDYLYLNCTTRLVSSSSTSIPCLSRDGEYYVYVVKPPLMGSGIPRFCKEVKRVKIPFEYSPYLDDGSFGLALTWGFDDQTKTKSQIECFFKATSFQVVGISLLVAMVAMVAILTMVMMKKKYYESKNKNYSKEEGEKKMFEHYSYEPLKTASNDPLL >KGN57644 pep chromosome:ASM407v2:3:15268822:15272703:-1 gene:Csa_3G238100 transcript:KGN57644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSNIEVQEIETAESIDEEKTHDEAEDVKRIAPWTRQITIRGVIASIVIGIMYSVIVMKLNLTTGLVPNLNVSAALIAYVFIKTWTTLLEKAGIVSTPFTRQENSVIQTCAVACYSIAVGGGFGSYLFGLSKKTYEQAGVDTEGNAPGSTKELGIGWITAFLSVSSFVGLLALVPLRKIMILDYKLTYPSGTATAVLINGFHTPKGDKMAKKQVRGFAKYFSFSFLWALFQWFYSGGEKCGFSQFPTFGLKAWKNSFYFDFSMTYIGAGMICSHLVNLSLLFGAILSWGIMWPLMKGLKGDWYPGSLSESSMKSLNGYKVFVSIALILGDGLYHFLKILYFTGSSMYAKATNKKLKTFPDDSVQAFDDQRRNEVFLRDGIPIWVAIIGYIFFSIVSIIVIPIMFPEVKWYYIVVAYTLAPSLSFCNAYGAGLTDMNMAYNYGKVALFVLAAMAGKNDGVVAGLVGCGLIKSIVSISSELIHDFKTGHLTLTSPRSMLLGQAIGTAIGCIVAPVTFFMFYKAFDLADPDGEYKVPYAIIYRNMAILGVEGFSALPQHCLQLCYGFFSFAIAANLLRDLTPKKFGKWIPLPMAMAVPFLVGAYFAIDMCIGSLVVFVWHYLNRQKAGLMVPAVASGLICGEGLWILPSSILALAKVHPPICMNFFSSKS >KGN57042 pep chromosome:ASM407v2:3:10227059:10237563:-1 gene:Csa_3G150220 transcript:KGN57042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYQGFGKASGPSAPPKLQLSFGNPPPPDSVSPLRDSSRTVSPSPTVEDQPKVQGILPNVQAYQVRSTSKQSYDLESNILTEFGNVQAPKRTKSPERLRSAQTNLPRPSTSPPRSFSRANAHEVMSSMRTTDAESVASVLVPKRTRSPTLPSSDQVSGPNFYPTHDDTERERLAKAKRLARFKVELDDVTQNKMGGVDVMDNTNRNECSTTERDKFMSSQSLDSSRNLAHGNSIPDNDVESSSIIIGLCPDMCPESERGERERKGDLDHYERLDGDRNQTSKLLAVKKYTRTAEREAILIRPMPVLLKTIDYLLDLLSQPYDEKFLGIYNFLWDRMRAIRMDLRMQHLFNENAITMLEQMIRLHIIAMHELCEFSKGEGFAEGFDAHLNIEQMNKTSVELFQMYDDHRKRGIIVPSEKEFRGYYALLKLDKHPGYKVEPAELSLDLAKMTPEMRQTAEVKFARDVARACRTSNFIAFFRLVRKASYLQACLMHAHFAKLRTQALASLHSGVQNNQGLPIAHVCKWIGMEEEDIEGLLEYHGFSIKVFEEPYMVREGPFLNGDKDFPTKCSKLVHMKRSRMIVNDVLPKSKTECLINGATKMIPLTRKSKNEHLIPDATKQIPLTRTKKEPKTFAFEKISSPRPISTKKESSIHEIDEEMTEFDDQLIPVDHKQVQPKIETLEVRQLHENKYNHEENGGLLQSSPRSCEPLRTEVQFVGNQGYDGLFMTSPVGSNSARMGLPLPLVSDAPLQMISASGYKQAPLQKISAFGYNNNTIRSVEPQIIVNNVMEDEEILNATQESKIDIITDSCPDEEIANARLKLILRLWKRRALKRKQLREQRLLAAKAAFDILSVGPPIQLNSHKIRSNGIFDIDHIVSERWKRQKMSCSVVNVSEVVASILSRRNVDGKCVCWKLVVCSQGTRDSHFVAGSWLLSKLMPSEANDLVFSSSFLSIWKSWLSGITGLDLSCFLSIVRHANFDNLPETVHGAGAILFVATESIPLDLQRVQLHELVASISSGSCLPLLILSDFDDEISASLANKLDLYNIDKSRIHSFQIVSLLDNPHLRHLGFFSDEKLKEGLKWLANESPTQPVLHRVRVLDLIISHLDSSMKVLDSMNEKDVSPNHCISAFNLALDQSVADITAAVKANPSNWPCPEIALLESCSEPAFVTDALPPVGWSFVENVEPLKQALMDLKLPTFLDISWLTKVSNTIKEIPTVRDNLESCLRCYLTKTSEIMVQQLALEEAHIMLQKCAKLEHHNFNYSIVPRWVTIFRRIFNWRLRCFPSRSSYAHIVNCCHGASVSSSTRLESREPPLYRPNQPLLDEVIEVAFSSLLINQERDFPEAHQPPVTTTSNGRPHEVVVATINFPNDNGYRTQQMGFGSPNSVANSDRELNCAGKEVVVSDRGYSEAERLKELLDQCNKRQNAIEKMLSVYF >KGN56086 pep chromosome:ASM407v2:3:3827685:3831194:1 gene:Csa_3G073290 transcript:KGN56086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPLVEGAAVAALRSVMFRARQAAERSGRNFDQVRVVAVSKTKPVSLIRQVYDAAHRCFGENYVQELIDKAPLLPQDIEWHFIGHLQSNKVKSLLAGVPNLAMVQGVDNEKLANHLDRAVSNLGRDPLKVLVQVNTSGEISKSGIEPSGCIELAKHVKLRCSHLQFSGLMTIGMPDYTSTPENFKTLLKCRAEVCKALEMAEERCELSMGMSNDFELAIEMGSTNVRIGSTIFGPREYAKKQAD >KGN57764 pep chromosome:ASM407v2:3:16739460:16739990:-1 gene:Csa_3G283010 transcript:KGN57764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVTKREKEKAREEDEHLRQLSTKKRKSVTFLGDAKSKLAEVRAAKQGKHDTLADLSEQVNRVAAVNQTAKQHEIDHLDIVVEELSREIERMSPTESPGQIEDPGLLSTKGLLKRQTKAGTSKQKGGKKGLLSFNGLLPDFLHRSIKALKWEKLLLSEARVKLDLVEMFYAVKFHP >KGN59354 pep chromosome:ASM407v2:3:31276596:31278106:-1 gene:Csa_3G812750 transcript:KGN59354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLHYSFNNHALQPPSMLLNVPFIPPPPPILGDGHHPFPTSWEIGKGDDHQSHSKEEERDDDNDNDGNVVVTYRNDDGPKNNGARRHTKLCARGHWRPAEDTKLKELVAHYGPQNWNLIAENLPGRSGKSCRLRWFNQLDPKINRKAFNEEEEERLLTAHRLYGNKWAMIARLFPGRTDNAVKNHWHVIMARKHRQHSNLYRRSTRKPLPLPPPPPPLLHDPSGLNVNENLDVKALTKNNVSSESSISSTVDESAASPSTATHLSLSNASSLYIALHPTPRWCSKTGSETGKLRYRTDKSGNSESNSAESVISTNLSLGSSWENNSNGQHHHHHMKMQFIDFLGVGAS >KGN56908 pep chromosome:ASM407v2:3:9421602:9425794:1 gene:Csa_3G143000 transcript:KGN56908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETFFDLVEFLKKPSIAETFVDILLCAVPIWLAVMIGLVIGWSWRPRWTGLVFLGFRSKFRLLWTAPPGFGARRLWLAFTALSAFSVCRTLWSNFYGKRKAPPVPPTPDSPVSSTLVHSAEGSGDVIANKEEGKEQDIITENDLDHLLQLLEGRHGEAEWQSMMQRSTPNFAYEAWRLEPENRPTVYRSRTVFEDATPEMVRDFFWDDEFRTKWDPMLTYFKILDECPHTGTMIVHWIKKGVQYPSLPRRDKPRRVDLCFSSWIIRAVESRKGDGLRSACEVILVHYEDMGIPNDVAKLGVRHGMWGTVKKIHSGFRAYQNARKSDISVSRSALMARITTKISFDRNMDSSEPESGEVRTQVMRRKLQNDAGIDWKWIVIGGTVAVVCGLRTGAIGKALLLGAGQRMARR >KGN60098 pep chromosome:ASM407v2:3:36815787:36818482:1 gene:Csa_3G878180 transcript:KGN60098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASNFGGFICLLAWLLFLFFFIQCEVCFASIRSFGEIFPGFQGSQMNWIDNNGLFLMSNNSKFGFGFVTTQDVTMFLLAVIHTSSLRVVWSANRAFPVANSDEFTFDEKGNAMLKKGSVVVWSTNSSDKGVSSLELQNSGNLVLRANNSDNEIVWESFSHPTDTLLSGQDFVEGMRLVSDLSNNNNMSYFLEMKSGDMTLSAGFQSPQTYWSMAKENRKTVNKNGGAVYSATLDTNSWKFYDRSKVLLWQFIFSNVANENATWIAVLGDDGFVSFYNLQDSGAASTTRIPEDSCSTPEPCGPYFICYSGNKCQCPSVLSTNPSCQPGIVSPCHQSNGSIKLAYATGVKYFALEFLPSTSTTDLNGCKNACMSNCSCRALFFENLTGNCFLLDDVGSFQNSNEDSNFVSYIKVSNNGGSGDNNGGSRNGGMNSHIVAIIIVFTGFVICGLLYLAFCYYKRKKKLPGTPHETSEDDNFLDGLTGAPIQTSEKCHFPSYAFKMMEEGKLENILDSNLAIKNGDERVFTAIKVALWCIQEDMHLRPPMTRVVQMLEGLCAVPPPPTSSPLGSRLFSSFFKSISEGGTSSWPSDCNSDAYLSAMKLSGPR >KGN56335 pep chromosome:ASM407v2:3:6253335:6253954:-1 gene:Csa_3G116720 transcript:KGN56335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSWRESDVLDSIRQHLLEENLNKSGDGICSIIQDHHYKGAAVENGVQFKGVRRRPWGKYAAEIRDPKRNGARTWLGTFETALEAALAYDRAAFKIRGAKAKLNFPHLIDSDSTHSTSASTSSANPPPSPTHAHHQTSADNYAC >KGN59600 pep chromosome:ASM407v2:3:33016275:33019851:1 gene:Csa_3G827420 transcript:KGN59600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLRTYYMHLRHPPNMERRWIFPLAIGSMVSLFLLFLSMVASPGGTPLFPFYKSVAVSSSFFVESKLHPVPISSLPPPPRFAYLISGSVGEGNMLKRTLEALYHPINRYVLHLDLESPPAERLDLQKYVQNHPIFKKFGNVKVITKANLVTYRGPTMVANTLHAAAILLREGGDWDWFINLSASDYPLVTQDDLLHTFSYLPRDLNFIDHTSNIGWKESQRAKPVIIDPGLYMSKKADVFWITQRRSVPTAFKLFTGSAWMALSRPFIDYCIWGWENLPRIVLMYYANFISSPEGYFHTVVCNAQQFQNTTVNSDLHFISWDNPPKQHPHHLNVNDMQRMVDSNAPFARKFVGEDPVLDEIDKQLLHKRPNMVVAGGWCIGSHENGTDPCSIAGSTNVLKPGPGAKRLETLINSLLSEEKFRPRQCK >KGN58991 pep chromosome:ASM407v2:3:28745501:28745707:1 gene:Csa_3G740830 transcript:KGN58991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGLKVNVKTQYWVPTDEEEEEEEEEEEEEEENMECGGFGNPTFWVSCFNGISVLYFIEDTDYDDVLV >KGN56865 pep chromosome:ASM407v2:3:9208852:9209583:1 gene:Csa_3G135620 transcript:KGN56865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDHRKGKEQQKHGDDGIKYRGVRRRPWGKYAAEIRDPSKNGARQWLGTYETAEDAARAYDQRAFQLKGHLASLNFPSEYYARVMGSPPHPPNLFSSTSINSGFDSGGVGGGSSTSNIDPHKVIVFEYVDGRPSLILWRGLISLFKMNDDGSDHIFLN >KGN55986 pep chromosome:ASM407v2:3:3086476:3091195:-1 gene:Csa_3G044510 transcript:KGN55986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRTDDNIAIIGDWVPPSPSPRTFFSAMQMLGEDIGSKPSMDTTTISDHKTEELFLRPREQTVSENAFARGGIPGVNSGDRGMEFSTFSEQKFRGGLVERIAARAGFNAPRLNTESIRSTDHSLNSEVKSPYLTIPPGLSPTTLLDSPVFLSNSLAQQSPTTGKFPFLPNVCISRSSTMMSEANNKGNNNLFDDNNTSFAFRPSVESGSSFFLNAASKTASATILPQSCPRIEVPVPRSENSFQSHRVEPSLSLPQNRIGHHPQVGLSTTYVEKDNGGKAVSEEQRPFDSLGGGSGGSGEHSSPLDEQLDEGEQRGSGDSMAGGACGTPSEDGYNWRKYGQKQVKGSEYPRSYYKCTHPNCQVKKKVERSHEGHITEIIYKGTHNHPKPSPNRRGAIGSSDSHMNMQLDIPAQAGQQSADVPLWEDSQKRVPSGAPDWMHENLELKMGVILKQKLDAYVTEMSGATRAIREPRVVVQTTSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTNPGCTVRKHVERASHDLKSVITTYEGKHNHDVPAARNSSHISSGTSSPVTGQNSTAAIQTHVHRPGPSQPQNTIPRFERPAFGFAGRQQMGPAHGFAFGMNQPGLGNLTMAAVGQPKLPVLPMHAYLGQAHHVNEMGFLLPKGEPNVEPTSDLGFSSGSTVYQQIMSRLPLGPEM >KGN57990 pep chromosome:ASM407v2:3:20006178:20008994:-1 gene:Csa_3G422290 transcript:KGN57990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPISSCDYDGALKLSMPIYAGNALCTVRYTGADPCMLTIRSTSFPVPARSVELASNKSAISQVDLSMLGGDSIGKSRYVKQTSPEAERPDLANARVVVTGGRGLKTAENFKMIEKLAEKLGAAVGATRAAVDAGFVPNDLQVGQTGKIVAPELYMAFGVSGAIQHLAGIRDSKVIVAVNKDADAPIFKVADYGVVGDLFEVIPELLEKLPESK >KGN55730 pep chromosome:ASM407v2:3:1002057:1002660:1 gene:Csa_3G008870 transcript:KGN55730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLNNTKVFIMVGKRTITSNETVRNYPKSKQTSSTISRELGTSLLDPRVCFSTIRGLKRGMLMYEDHKSERLRRRRSPVIVLLVDVEIRNTDTTLAIQQLPAFISLLVSDYPPFPFCPSYYRLRVSWAAEESPIFVDD >KGN57343 pep chromosome:ASM407v2:3:12395726:12397443:1 gene:Csa_3G180310 transcript:KGN57343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRIRCCSNSTKLCNCQVSYLNSNSINHSDQNPTNTNTNPNPNPNPIPNPNSNSNSIMHHLTNPLIPPLPTSQHFQLPTKQTTNTHFSLAIQEAFSISQIAFPMVLTSLLLYSRSLISMLFLGRLGELPLAGGSLAIGFANITGYSILSGLAMGMEPICGQAFGAKKFTLLGLALQRTILLLSLTSIPIAFLWFNVKNILLLCKQDPSIASQAQLFLLYSLPDLFAQSLIHPLRIYLRSQSITLPLTFCAAFSILLHIPINYFLVSYLNFGIRGVAIAGVWTNFNLVASLILYILVFRVHKDTWGGFSLQCFKEWGDLLNLAIPSCISVCLEWWWYEIMILLCGLLLNPKATVASMGILIQTTALIYIFPSSLSFSVSTRVGNELGAEQPKKARLAAIVGLFCSFVLGICALFFAVSIRKIWASMFTDDKDIIGLTSMVLPIIGLCELGNCPQTTGCGVLRGTARPKIGANINLGCFYLVGMPVAVGLSFYGGFDFRGLWLGLLAAQGCCAAAMLVVLGFTDWEFEAIRARKLTGGCEQVVEAESLMPKNKQDCC >KGN58927 pep chromosome:ASM407v2:3:28380550:28382841:1 gene:Csa_3G736750 transcript:KGN58927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAARPLVAVQVLEGDMATDSSPTVPLPDVMKASIRPDIVSFVHSNISKNSRQPYAVSKRAGHQTSAESWGTGRAVSRIPRVPGGGTHRAGQGAFGNMCRGGRMFAPTKIWRRWHRKINVNQKRYAVVSAIAASAIPSLVLARGHRIESVPELPLVISDSAEGIEKTSSAIKVLKQVGALPDAEKAKDSHAIRTGKGKMRNRRYISRKGPLIVYGTEGAKLVKAFRNIPGIDIVNVERLNLLKLAPGGHLGRFVIWTKTAFEKLDSIYGSFEKSSEKKKGYVLPRSKMVNADLARIINSDEVQSVVKPIKKGVKRPSLKKNPLKNLNAMLKLNPYAKTARRMSLLAEAQRVKAKKEKLDKKRGIIPKEDAAAVKAAGKAWYQTMISDSDYTEFDNFSKWLGVSQ >KGN58614 pep chromosome:ASM407v2:3:26591450:26594649:1 gene:Csa_3G698550 transcript:KGN58614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQLVVEVIDAHDLMPKDGEGSASPFVEVDFQNHISRTKTVPKSLDPIWNQKLSFDFDETQNHQYQTIDISVYHEKRLIEGRSFLGRVRISCSNIAKEGEETYQRFHLENNWFLSAVKGEIGLKIYISPPKKSPINPREPPISNPPPTRVVSNPPISSALAAVTKADGVPVSDIQEEPKKDVLKISPSKDSNSTLPVVEFRIEDPAKEPKEEIEEPIEARQETTQLHKQQTMQRPRIVVQRRPQGASSSMNRSIPPTMNTSNSEANSSNQDDYEIRDTNPQLGEQWPNGGGYGGRGWLSGERHTSTYDLVEQMFYLYVRVMKARDLPSSSITGGCDPYVEVKLGNYKGRTKHFDKKQNPEWNQVFAFSKERIQSSALEVFVKDKEMLGRDDYLGRVVFDLNEVPTRVPPDSPLAPQWYRLEDRRGTGKVRGEIMVAVWMGTQADEAFPEAWHSDAASVFGEGVHNVRSKVYVSPKLWYLRLNVIEAQDVIPNDRNRLPDLFVKVQVGNQVLRTKISSTSTTNPVWNEDLVFVVAEPFEEQLVITIEDRVHPSKEDVLGQISLPLDTFDKRLDYRPVHSRWFNLEKYGFGVLEADRRKELKFSSRIHLRASLEGGYHVLDESTLYISDQRPTAKQLWKPPVGILEVGILSAQGLLPMKMKDGRGSTDAYCIAKYGQKWVRTRTILNTFSPKWNEQYTWEVYDPCTVITLGVFDNCHLGGGEKHNGSNGAKDSRIGKVRIRLSTLEAHKLYTHSYPLLVLHPNGVKKMGELQLAVRFTTLSLANMIYIYGNPLLPKMHYLQPFTVNQIENLRYQAMNIVATRLSRAEPPLRKEVIEYMLDVDSHVWSMRRSKANFFRIMSLLSGMISVTRWFREVCNWRNPITSVLVHILFLILIWYPELILPTVFLYMFLIGLWNYRFRPRHPPHMDTKLSWAEAVNPDELDEEFDTFPTSKPNDLVRLRYDRLRSVAGRIQTVVGDIATQGERVQSLLSWRDPRATSLFIVFCLCTAAVLYATPFRVVALVAGLYCLRHPKFRSKLPSVPGNFFKRLPPQTDSLL >KGN58334 pep chromosome:ASM407v2:3:24129895:24134910:-1 gene:Csa_3G624020 transcript:KGN58334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSVTLQTSTSLLLLLLLIFFFLHSSFAEDERLHSFNSSSMPYRYIENEHAVDNPEEIASMVDLSIRNSTERRNLGFFSCGTGNPIDDCWRCDPRWQLRRKHLANCGIGFGRNAVGGRDGRYYVVSDPGDDDPINPRPGTLRHAVIQDRPLWIVFKRDMVITLKQELIMNSFKTIDGRGANVHIAYGACITIQFITNVIIHGLHIHDCKPTGNAMVRSSPSHYGWRTMADGDGISIFGSSHIWIDHNSLSSCADGLIDAVMGSTAITISNNYFTHHNEVMLLGHSDSYVRDKQMQVTIAYNHFGEGLIQRMPRCRHGYFHVVNNDYTHWVMYAIGGSADPTINSQGNRYLAPVNPFAKEVTKRVETHNGIWKHWNWRSEGDLMLNGAYFTPSGAGAAASYARASSLGAKSSSLVGSITSNAGALACRRGYRC >KGN58152 pep chromosome:ASM407v2:3:22040139:22044265:-1 gene:Csa_3G560760 transcript:KGN58152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVVPPPQRSDPLSGSSSASTDLRVYQAWKGSNIFFLQGRFIFGPDVRSLVLTIFLIVAPVSIFCVFVAKKLMDDFSGDWGISIMVVAVAFTVFILVLLLLTSGRDPGIIPRNAHPPEPEPFEGSVDTGSAQTPQLRLPRIKEVEVNGITVKIKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGLRNYRFFFMFVFSTTLLCIYVFSFCWVYIRRIMSAEETSIWKAMIKTPASIVLIVYTFISMWFVGGLTAFHLYLISTNQTTYENFRYRYDRRANPYNKGVLDNFKEIFCSSIPASKNNFRATVPKEPVLPPTRLGTGGFMSPNVGKGVEDIEMGRKTVWGDINSGADPFDGQQPQISERLNIKEGELGEVSPDIRAAVEEGSERGGLHPRLSSWGRKSGSWDMSPEVVALAARGGENRVGGSSSSGNLTVDNRETRDH >KGN58293 pep chromosome:ASM407v2:3:23612907:23613555:-1 gene:Csa_3G608720 transcript:KGN58293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFLGFSLWKWQFMKLGNRIANSGSSSSSIPYVVSDTQKPPCFCCSSSSPSFKHLILKLKSQWKHHNLRWQNSTVRYSYDLQSYSLNFDDGLLH >KGN55771 pep chromosome:ASM407v2:3:1215751:1216747:-1 gene:Csa_3G011730 transcript:KGN55771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLDLGTRYLTHLQPQVDGGHFSSGDHQDDDDPHQTLGGTGDVVGRRPRGRPPGSKNKPKPPVIITRESANTLRVHILEVGSGCDVFDCVASYARRRQRGICILSGSGNVTNVGLRQPAAAGVLTLQGRFEILSLSGSFLPPPAPPGATSLTIFLAGGQGQVVGGTVAGELTAAGPVILIAASFTNVAYERLPLDEEDQQQTPAGGGGSGGGVGNNNNNNPFQDPSNSGLPFLNLPLHMQNVQLPPF >KGN57278 pep chromosome:ASM407v2:3:11925586:11926966:1 gene:Csa_3G176250 transcript:KGN57278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQILKSSLTLLIFFFIILTTFTPSAVSSSSSTVRPVQPHIRKACKPTPYPRLCETALSLYASQTKRNQQELCRAAMVSSLKAAQNATSIISKLSRRKMSAYEAEVIGDCIDNLKDSVDELRRASTAIKSLSRSKDVDFQLNSIKTWMSAAQTDVITCTDGLSGGSGWKVSKMLKKDVKNCSINVVRQISNALFLINNFNYK >KGN57614 pep chromosome:ASM407v2:3:14989422:14997040:-1 gene:Csa_3G229400 transcript:KGN57614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRKKQQQQPKQKQNNRKSNSTPSTSDARPSSSGPKLNISAENETRLRRLLLSSGRSTPSVTPADDSLSKVQKVKKLKAVYEKLSCEGFTNDQIELALSAIGDGATFEAALDWLCLNLPSSELPLKFSSGTSLHTHVGGSVRILQTAQKDCPSMVVQSSKDEDGNKDVPIILSRGKDDDKLDRFQSSQAEWIKQYVEQQEEDDYGIWEDNMADEGSSKKASQARSYDVIAEEYYAARLDAAKAKEEGDKKRQETAGNIIRKLKQELLAQGNI >KGN57429 pep chromosome:ASM407v2:3:12982132:12983659:1 gene:Csa_3G185090 transcript:KGN57429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPNLHCYHSSAPFISLHSKFLVLRSDALNRTSKLSSNSPKILTIRCSANSNNQLTLRTCRNCKAQFDPSLNHPRACRFHTSHFGGETKRKFESVHSGGTMDTPDSGKVFQYWHCCGSEDPFDPGCTASPHSSYDDD >KGN59942 pep chromosome:ASM407v2:3:35690430:35691806:-1 gene:Csa_3G855360 transcript:KGN59942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTLGVFVLLSFTIFIFKFVYSNFWVPWRIQTHFQKQGITGPRYLPIIGNATDMRRMYMEAQAKTIPLTHDIICRVLPYIHQWSMEYGKMFVYWFGPKPRLTISDPVMIKEILTNTGGPFRKVGFTPVSKLLFGEGLVGLEDEQWVVHRRIANQAFTIDRVKGWLPEITLSVRNVLDKWEEMKEGMEEFEVDVHKQLRLLTADVISRTAFGSNFEEGKRIFNLQEQQMNHFLQAVSSVYIPGYRFLPTKMNRERDRLEKETRASIKALVESEKNRKERENSTNLLSLLLSSYKNQNGEIENLEVDEVVNECKTFYFAGMETTANLLTWALLLLAEHQEWQDRAREEVINVCGQKTPPTADNLTELKLVSIKSQ >KGN57445 pep chromosome:ASM407v2:3:13117047:13117250:1 gene:Csa_3G186715 transcript:KGN57445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGETQNKTSLIAEKLTQNPPGRRLDRGKIGAAGLGVGGEARLAHGVRPGERDGAAHSVETSSLTAT >KGN57664 pep chromosome:ASM407v2:3:15376763:15380559:-1 gene:Csa_3G239280 transcript:KGN57664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKGTGWFSTVKKVFKSNNTPSSKDYSPHSLLNKKESANLEKWQHNAPEVISFEQFPTEISTEITNDESVQSTPKIIEGRDHAIVVAAATAAAAEAAVAAAEAAAKVVRLAGYGWQSREDRAATLIQAYYRGYLARRALRALKGLVRLQALVRGHNVRKQAQMTMRCMQALVRVQARVRARRLQLANQNYNKRIVEQDNDNEDEEEKLLQNKLKKYEMESWDGRVLSVEKIKENSSRKRDALMKRERALAYAYSYQQHQRRQDEEGVLQLGEDVNDLGFRHEKGEYGWNWLEHWMSSQPYNNVRQSTTRESYITPTTVTTATDDMSEKTVEMDPTQLNLDSFDLGQVGGPYSSRQSISKNVPSYMASTQSAKAKVRNQGVVKHQGPKWNKAMRRGSVFGSGCDSSSSGGGTMTYQGQRSPIPMNNGPRLSPIHVMGCGPDYPGGEDWALPPLGVNSRWRAGFA >KGN59037 pep chromosome:ASM407v2:3:29012523:29017266:-1 gene:Csa_3G748170 transcript:KGN59037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSLLLPSYKQNPTIFHKPLNPSAIIGKRRPSLFPCRCSLSSSQEESSEQAKESLGCEGRRALIGSLLSTATGIYFCNVAEAVSTSRRALRASKIPESEFTTLPNGLKYYDLKVGGGTKAVNGSRVAVHYVAKWRGITFMTSRQGLGVGGGTPYGFDVGQSERGTVLKGLDLGVQGMRVGGQRLLIVPPELAYGSKGVQEIPPNATIELDVELLSIKQSPFGTPVKIVEG >KGN57188 pep chromosome:ASM407v2:3:11252560:11255090:1 gene:Csa_3G169480 transcript:KGN57188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHITRIEHYFFLPSNFRQLTAGKRQLPITGIILLLHCSSAFELRRRIQFEWLLHIANTYPISESFCWKLEIRMFLK >KGN58888 pep chromosome:ASM407v2:3:28172774:28173016:-1 gene:Csa_3G734895 transcript:KGN58888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQRPSRHQRRPSQGVFMPADYLSDPPPPVGPGSTVESGGPHSSTLLTRPTQQSRSADPVAARPPAPPAVANNDAPNPAS >KGN55787 pep chromosome:ASM407v2:3:1344892:1345200:-1 gene:Csa_3G013360 transcript:KGN55787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELMINWLLLIVPCVGFVLGFGVLKRLNNLYYALKLGKKWDELPPGDLSWPLIGSTLSFLKYFTFGPPERFIGEFSRRYISLISCIHPYFYTCIERQIMIFK >KGN56313 pep chromosome:ASM407v2:3:6044626:6049611:-1 gene:Csa_3G115020 transcript:KGN56313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALKHPFQLASSSSSPLCSLRASLLTLAVLTLLSFTYLSFTSLHSSPPSSSSQLPVKLGALNDAADAEISDVYHSPQVFRLNYADMESKFKVYIYPDGDPNTFYQTPRKLTGKYASEGYFFQNIRESRFRTEDPDQAHLFFIPISCHKMRGKGTSYENMTVIVQNYVEGLISKYPYWNRTLGADHFFVTCHDVGVRASEGLPFLIKNAIRVVCSPSYDVGFIPHKDVALPQVLQPFALPAGGNDTENRTTLGFWAGHRNSKIRVILARVWENDTELDISNNRISRATGHLLYQKRFYKTKFCICPGGSQVNSARIADSIHYGCVPGENSLLLVI >KGN56911 pep chromosome:ASM407v2:3:9454419:9456404:1 gene:Csa_3G143520 transcript:KGN56911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLLEWFNFLILMLPLIFCFRFRRGLTRKPMALIKKLRKAKREAPPGEKPEPVRTHLRNMIIVPEMIGSIIGVYNGKTFNQVEIKPEMIGHYLAEFSISYKPVKHGRPGIGATHSSRFIPLK >KGN58594 pep chromosome:ASM407v2:3:26474336:26477082:-1 gene:Csa_3G696890 transcript:KGN58594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFASRIKSTISVLTKLSRTYTAASGRNEGKVKVPIALFGGSGNYASALYPAAIKANSLDKVEFELVNLVQAVKKSPTFSQFTMDLSIPAKTRVKALSGLRVVLAENGRLRYVDSIAKKFLELTMAHRGEVKAIVTTVIPIPAQEEKELKETMQDIIGQGKKVKLEQKIDPNILGGLVVEFGEKVFDMSIKTRALQIKVLAPTCNS >KGN57354 pep chromosome:ASM407v2:3:12467582:12470652:1 gene:Csa_3G180420 transcript:KGN57354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFPHLHSLRTVLRKPLKPFIVNTSVKYISNLRPNDVSGFILDSSSNPSSISYPKLLLQFTASKDVSSGMAIHARIIRLGLLGLRNRLVNLYSKCQCFRVARKLVIDSSEPDLVSWSALISGYVQNGRGEEALLTYYEMYLLGAKGNEFTFSSVLKGCSLTRNLELGKQIHRVALVTGFESDVFVANTLVVMYAKCGEFGDSKKLFEAIPERNVVSWNALFSCYVQIDFFGEAINLFQEMISTGISPNEFSLSTVLNACAGLEDENYGMKVHGYLIKLGYDSDPFSANALLDMYAKSGCPEAAIAVFYEIPKPDIVSWNAVIAGCVLHEKNDLALKLLGKMGSYRVAPSMFTLSSALKACAAIGLVKLGRQLHSALMKMDMEPDSFVGVGLIDMYSKCGLLQDARMVFDLMPKKDVIVWNSIISGYSNCGYDIEAMSLFTNMYKEGLEFNQTTLSTILKSTAGSQANGFCEQVHTISIKSGYQYDGYVANSLLDSYGKCCLLEDAAKVFEVCPAEDLVAYTSMITAYSQYGLGEEALKMYLRMQDRDIKPDAFIFSSLFNACANLSAYEQGKQIHVHVLKCGLLSDVFAGNSLVNMYAKCGSIDDASCIFNEISWRGIVSWSAMIGGLAQHGHGRKALQLFYQMLKNGILPNHITLVSVLSACNHAGLVTEARRFFGLMEKLFGITPTQEHYACMVDILGRVGRLDEAMVLVKEMPFQASAAVWGALLGAARIHKNIELGRHAAEMLLTLEPEKSGTHILLANIYASTGMWDNVAKVRRSMKNSLVKKEPGMSWIELKDKVYTFIVGDRSHPRSKEIYVKLDDLRERLTSAGYVPMIETDLHDVEQIEKEQLLWHHSEKLAVAFGLIATPPGAPIRVKKNLRVCIDCHTAFKFISKVASREIIVRDINRFHHFRDGSCSCGDYW >KGN57674 pep chromosome:ASM407v2:3:15451034:15453883:1 gene:Csa_3G239870 transcript:KGN57674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCYHSKKPKSQGYEDPTVLAAETPFTVSEVEALYELFKKLSSSIINDGLIHREEFQLALFRNRNMKNLFADRIFDLFDVKRNGVIEFGEFVRSLGIFHPNASIKDKITFAFRLYDLRQTGFIEQEELKEMVLALLHESELTLSDDVIETIVEKVFTQCCTASHTYPIDQEEWREFVMKYPSLIKNMTLPYLKDITMAFPSFVASTEVEDSEI >KGN58966 pep chromosome:ASM407v2:3:28606651:28606987:1 gene:Csa_3G740100 transcript:KGN58966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYRVLSSGLDSLGLLESSETNLDSAVLKSEGTMHKPSALEWNRIVHAKPKPSQASVAPRHAALEAF >KGN56870 pep chromosome:ASM407v2:3:9235380:9236552:-1 gene:Csa_3G135670 transcript:KGN56870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDFPGTPGTVTGLILRILQCVFAAASVASMASTAYFFKFTAFCYLIASLGLQITWSFMLALIDAYALVRNKALQSTVLVSLFVVGDWITATLTLAAASSSSGVAILYFNDLKSCNFIGECQKYQLSVALAFLSWITIATSSLIMVWILASS >KGN59504 pep chromosome:ASM407v2:3:32396591:32400741:1 gene:Csa_3G823030 transcript:KGN59504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSPFSNFLNHKNFLLFKISMILFINWAIYRPNLCFTKCFGEPTDDPAIQSSSKVLSSLETINLDGYFSFKNNQEAAKDFGNRYNMLPLAVLHPHSVHDISITLKHIFQMGSGTELTVAARGHGHSLQGQAQALRGIVINMESLKEPAMQVHSGNLSWVDVHGGELWINILHETLKYGLTPKSWTDYLHLSVGGTLSNAGISGQAFRHGPQISNVHQLEVVTGRGEVVTCSEQENSDLFYSVLGGLGQFGIITRARISLELAPKMVKWIRTLYSDFAIFSKDQEHLISSKYSFDYIEGFVLINRTGILNNWRSSFDPKDPVQASQFVTDRTTLYCLEMAMYFNSEEKETMNKRVEKLLLQLSYIPATLFLSEVPYEEFLDRVHISEKKLRAKGLDWRY >KGN60231 pep chromosome:ASM407v2:3:37821484:37822053:-1 gene:Csa_3G889870 transcript:KGN60231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANFHSSKSDVDHRRTRNHRHHHCQRHPNHHQSPGVCSLCLTEKLSRIIAPAASSRNKISNSLSSSSSSSYYSSCSSASSSSSSSPKLYGYYNSDNHHPRRKTAFVFSASSIFKKSKTMARLKRGITTTESDCGKKSNNTDLGFWSRFLNRHRLKRMEFQEVLMRSRTVVQRNNVHG >KGN55775 pep chromosome:ASM407v2:3:1254527:1254817:-1 gene:Csa_3G011770 transcript:KGN55775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTTQVEKASVKTAEERKIIEERRKAATAEAKRELHEAKARHAAQKLRNRKSHVLGGHRHHQSPMEGGAATHLGGATNVPAYPITSPEGYHPGHKI >KGN59544 pep chromosome:ASM407v2:3:32599524:32601166:-1 gene:Csa_3G824890 transcript:KGN59544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYYSRDDEAVDDFDEYDPTPYGGGFDLFLTYGRPLSPSEETCYPHSAGNDDDIDYERPQFESYAEPSAYGDDALQAEYSSYSRPKPHSYGAPSGEQGYGSSRPQPAYGFQPSGSEYGSEGYRRKPEYGEQEYGSGGYGRKQESESYGSGEYGSGGYRRKQESESYGSQEYGSGGYGGRQESDSYGSGGYGGRKESDSYGSGGYGRRQEEGESEGYRRQSESEEYGSGRKQKYGEEGYEGSGYKREEYDRPSYGDEPRRPSYGRSEEEDYRKPSYERRDEDEERRYGYGEEGYGRKKYGGEDSGSDEEKHSRRHHHRRNYDDE >KGN57294 pep chromosome:ASM407v2:3:12033519:12037790:1 gene:Csa_3G177380 transcript:KGN57294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATRFNSLSFSPLPLSLPSQSNCHSLEHKPPLFPLSPLIQLKLAIKRSNATHPVLKFSRRATTATVSFSLPASKPEGVPPEKLPKWSARAIKSFAMGELEARKLKYPNTGTEALLMGILIEGTSTAAKFLRANGITLFKVREETVKLLGKADMYFCSPEHPPLTEPAQKALDWAVAEKLKSGQSGEITTGHLLLGIWSEESAGRKILATLGFDDEKAKEIAKTVDKDATFSYK >KGN57028 pep chromosome:ASM407v2:3:10139030:10141673:-1 gene:Csa_3G150080 transcript:KGN57028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEKGLLPVTSNPWLLRSSPLVHSRFGVLTALILFSMLAIWSIDGGHIKIFIKAWSSPQDFVSVSSNFTDTHDFNFTPVISYKPEINYQESVLNEPVPPQNAPVEPRRKQSKPAVRHDSFSDWFSAELEPNFTSHLLAQWLAPGGEPCRDLKTTDIAISGMESPAIVTLSTGDVHEFRFQALDESGNPRCLGGDYFETDLSGNLWKSRPFVKDFGNGTYSFWLQVHPDFAGDYNLTVILLFRHFEGLRFSPTRFAYDRELRRIKVRFVKNSVVLPKIKMCRSSDFSRDIWTGRWTRHGRNDRCKISDDGRYRCFAPDYPCQSPWCNGPLGLLESNGWVYSAHCSFTMFSSSSAWDCLKGRWIFFWGDSNHVDTIRNLLNFVLDLPEIPAVPRRFDRNFSNPKNPSQTVRITSIFNGHWNDTQNYEGLNSLRNEGFRSLLQKYFSEETVPDTIIMNSGLHDGVHWLNIRSFSVGATYAASFWKQVLDSIKQRGLTVPKVFYRTTVATGGYARTLAFNPNKMEIFNWVVLEKLKEAGITHGVIDNFDMTFPWHFDNRCNDGVHYGRAPAKLKWRDGEIGHQYFLDLMLAHILLNALCT >KGN56699 pep chromosome:ASM407v2:3:8292978:8298144:-1 gene:Csa_3G129560 transcript:KGN56699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSRARALFCRSFSTFASHPTHICVVGSGPAGFYTAEKLLKALPNAQVDIIDRLPTPFGLVRSGVAPDHPETKIVVNQFTRVAQHQRCSFFGNIKLGSSISLLDLRELYHTVVLAYGAESDRSLKIPGEDLCGIQSAREFVWWYNGHPDCRNLNPGLKATDTAVILGQGNVALDVARILLRPTSELASTDIASHALEALQESSIRKVLLVGRRGPVQAACTAKELREVLGIKNLHIHIQEADLLKSPTDEEEVKNSRIQRRVYELLAKAAASGPPHSGTGLRELHFVFFRKPEEFLSSVDKKDHVAGVRFEKTVLEGSSPGKQIARGTGEFEDLDCQMVLKSIGYKSVPIDGLPFDHQKGIVPNISGRVVSNVSGDAIQLEEGLYVCGWLKRGPSGIIATNLYCAEETVASICEDLDKTASESFFSSPKPGREGLIRALDDQNVRYVPFSAWEKIDSVEKRLGSLENKPREKLTTWEELLKVAME >KGN59192 pep chromosome:ASM407v2:3:30157904:30158479:-1 gene:Csa_3G778970 transcript:KGN59192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSIWCLLISVIIAFAISFGGSLLILWLIFITNKIKFDVTDAILTQFNFTNNDTQLHYNLGVFFTIRNPNKQIGIYYDTIEATAMYKGQNFDTRLLTPFYQGSRTTSLLKGRFQGQRVVVIPNNTVSELKSEKLSGVYSIEVKFRLSWRLKLGLYKFIRVRPKVGCGFQVPLTSSGTSSFQNAIGCDVDY >KGN59891 pep chromosome:ASM407v2:3:35273048:35274762:1 gene:Csa_3G851910 transcript:KGN59891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKTMATSSRDWNQIYAIYGVDQWQTIVFLLLHALFFTALSIFYLSYFESICSFFELLFSGGSARFAAGFTGCVTAISAFCLFFAAANIFYSSLPLHYEMALRMVNAVSDWSTVKHALDLGCGRGILLNAVATQLKKEGSSGRVVGLDRSKRTTLATLRTAKMEGVGEYVTCREGDVRRLPFGDNYFDVVVSGVFVHTVGKEHGARTAEAAAERIRVVGELVRVLKPGGTGVVWDLLHVPEYVRRLQELKMEDIRVSEGVTAFMVSSHIVSFTKPRHHFVGSGEVRLDWRC >KGN59711 pep chromosome:ASM407v2:3:33743790:33747888:1 gene:Csa_3G840370 transcript:KGN59711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKAKFERAHLFARTLNGLRRQATRRRLPKDEAMRLWRPHRSLSFAGKTPRESLLFFRSTNLSSSHRIQSPILLHFSESRRFSTFSIADDEKHGFSRPEMYRSNLAGTVSAYERHVFLCYRSPEVWPTRVEDSDADLLPKLLSSAIKAHKNEISFRTKLTICEAGEGTECSDGDVLIFPEMVKYRGLKDKDVEMFVEDVLLNGKLWDSGVYDVLAGSYIFVCAHGSRDKRCGVCGPVLVSKLKEEIELRGLKDQTYVYPCSHIGGHKYAGNLIIYSPDSDGRIMGHWYGYVTPDDVPELLDKHIGKGEIVERLWRGRMERTCDEEGKKEDEDKLPSTEIYKETTNKP >KGN58252 pep chromosome:ASM407v2:3:23113147:23117701:-1 gene:Csa_3G599470 transcript:KGN58252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAIGSMALHISGFAFFPLPFDKPRTISYGIILLRKLIKCYNHGAKHSSVRRSRDASLPANNSLDVIDDRRSESVPEFCIDACSTGNIARFINHSCEPNLFVQCVLSAHHDIKLARVVLFAAENIPPLQELTYDYGYALDSVYGPDGKIKQMPCFCGATECRKRLF >KGN57691 pep chromosome:ASM407v2:3:15736092:15737760:-1 gene:Csa_3G253490 transcript:KGN57691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASSDPNQDASDEQQKRSEIYTYEAPWHIYAMNWSVRRDKKYRLAIASLLEQYPNRVEIVQLDDSSGEIRSDPNLSFEHPYPPTKTIFIPDKECQRPDLLATSSDFLRVWRISDDPSSVELKSLLNGNKNSEFCGPLTSFDWNDAEPKRIGTSSIDTTCTIWDIERETVDTQLIAHDKEVYDIAWGGVGVFASVSADGSVRVFDLRDKEHSTIIYESSEPDTPLVRLGWNKQDPRYMATIIMDSAKVVVLDIRFPTLPVVELQRHQASVNAIAWAPHSSCHICTAGDDSQALIWDLSSMGQPVEGGLDPILAYTAGAEIEQLQWSSSQPDWVAIAFSTKLQILRV >KGN57695 pep chromosome:ASM407v2:3:15787686:15805091:-1 gene:Csa_3G253520 transcript:KGN57695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIVELLVDVCQILRSSRFMEKLFFSGWTNGNVPIPWKEVESKLFALNVVAEVVLQEGQSFDFSVITQLVTMLAARPSNEIKGLMCLVYRSLAEVVGSYFRSISAFHTDARPLLLFLATGITESVCSHACAFALRKICEDATAVIFELPNLEILIWIGESLEKLHLPLEDEEEVVSAVSLILGSVPNKELKSNLLARLLSSSYEAIEKLVDEDNALSLRQNPATYTKILTSAVRGLYRMGTVFSHLATSLSTEPTLDDPMFSLLIVFWPMLEKLLRCEHMENGNLSAAACRALSLAIQSSASVIVEEYGHQEKFGHLFITTFERFTYAASVSAINSSYICDQEPDLVEAYTNFASIFLRCSHKEILAAAGSLLEVSFQKAAICCTAMHRGAALAAMSYLSCFLDVSLASILEFASTNSEGSFNSMVIHVLSHSGEGLVSNILYALLGVSAMSRVSF >KGN53632 pep chromosome:ASM407v2:4:6088595:6089669:-1 gene:Csa_4G092960 transcript:KGN53632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLISSDNLVLWLGFVAIFGKVMGSRFIDTIDTTWYEAHATFYGDISGYETMQGACGYGNLFQQGYGLATAALSTALFNNGGICGACFEIMCVNDEHNWCIPNAGTIKITATNFCPPNYTKTVGVWCNPPQRHFDLSLYMFIKMAPYRAGIIPVRYRRMLCQKQGGLRFELKGNPYWLLVLLYNVGGAGDVVDVKIKGSSTGWLQMSRNWGQNWQVGTFLVGQGLSFRVTTSDRKTIEFDNVVPSSWQFGQNFEGNSNF >KGN54608 pep chromosome:ASM407v2:4:15109568:15113552:-1 gene:Csa_4G377710 transcript:KGN54608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIAKQRSVLLSNPLFTFYASFLLLLSLTVNLSNASIHFYHKQVFIDVGNAFLLSGGSEGLAASLSADSNTPSLRNGQSSIQFYNITFWRSKAAADQHTDMEHSTGLIQVIIFEAADRDNIGGSAYGGQRAICCTPDLAKLEGCKQGEVIRRPSSSDTKWPVVLNVQFSGNYLYTHMEDMEVPITKTGMYNLFFVTCDPNLKGLVMSGRTKWKNPYGYLPGRMFSLMRFYVFMSAAYLLLTVIWLSQYVRFWRDILQLQHCITIVIALGLFEMVLWYFEYVNFNDTGIRPVVITTWVVTVGAVRKTVTRLLILSISMGYGVVRPTLGGLTSKVLLLGLTYFLASELLDITEYVGTINDVSGRARLFLVLPNAFLDAFLILWIFTSLSRTLEQLQMKRSSVKLEIYRKFSNALVVTVIASVGWIVYEVYFKATDPFNERWQSAWIITAFWNILAFALLCVICYLWAPSQSSQRYAYSDEIGAQSDDEESQFLNRGKPESELSSVKQERNEKDSGNSMELDEEDDREEDKRE >KGN54438 pep chromosome:ASM407v2:4:13309694:13313729:1 gene:Csa_4G331070 transcript:KGN54438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGETGGAAFRAEVDTSAPFESVKEAVSRFGGSGFWKPSHSHTPTHTQSYTHLSEPEDDKDEVDIDATILEKQAMNMESELIVKERETLEVLKELEATKRIVEDLKLKLRKEAFEVSVTQDTKRDDINATAAQEAVKENLRPTDSQGQNEQISPPAPGLILMELEQAKLNLTRTPSNIADLRASVESFNKKLEKERTGLEKIQERLAQNSSNISALEKELNQTKLKLRVVKDAEAKGFPDNPLEISKELHELSEEAEKFKKMREAARLEVSRMEIEIEQNKAMLKTAQVKLVAARKMKEAARAAEAVALSEIMILTKHGNPSSDFSLTHGGERVTLSSEEYFTLTLKACEAKEQCRKRVIDVMQLVDAANTSKMDILNQAERASEELKTSKIALEAALSRVDDANQGKLAVEELLRKWRSDHGQKKRTVQNSTKFKNACPSHLKRDSRLLDVNGVNMVSDEPTPVLKPTLSIGQILSQKLLPSEEFETVTLPEKSSVGRKMSLGQMLSKQSGDASSFKKVEKDISQKQTFGKRKKSGFARFTLLLAKQSKKKKKPAVGKR >KGN53701 pep chromosome:ASM407v2:4:6881851:6884812:-1 gene:Csa_4G107430 transcript:KGN53701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQRPFACQLLKVIDKKCLSPSIVLRFLQCKWRRVSLFKPSFQACSLYSATAAPKYLDGVENEKREIDFNRIFLYCTKVHLAKQLHALLVVSGKTQSIFLSAKLINRYAFLGDIPHARLTFDQIQTKDVYTWNSMISAYARIGHFHAAVDCFNEFLSTSFLQSDHYTFPPVIRACGNLDDGRKVHCLVLKLGFECDVYIAASFIHFYSRFGFVSLACNLFDNMMIRDIGTWNAMISGFYLNGKVAEALEVFDEMRFKSVSMDSVTISSLLPICVQLDDIISGVLIHVYAIKLGLEFDLFVCNALINMYAKFGELRSAETIFNQMKVRDIVSWNSLLAAFEQNKKPVIALGVYNKMHSIGVVPDLLTLVSLASVAAELGNFLSSRSIHGFVTRRCWFLHDIALGNAIIDMYAKLGFIDSARKVFEGLPVKDVISWNSLITGYSQNGLANEAIDVYSSMRYYSGAVPNQGTWVSILTAHSQLGALKQGMKAHGQLIKNFLYFDIFVSTCLVDMYGKCGKLADALSLFYEVPHQSSVSWNAIISCHGLHGYGLKAVKLFKEMQSEGVKPDHITFVSLLSACSHSGLVDEGQWCFQLMQETYGIRPSLKHYGCMVDLFGRAGHLEKAFNFVKNMPVRPDVSVWGALLGACRIHENVELVRTVSDHLLKVESENVGYYVLLSNIYAKLGHWEGVDEVRSLARDRGLKKTPGWSSIEVDKKIDVFYTGNQTHPKCEEIYSELRNLTAKMKSIGYVPDYNFVLQDVEDDEKENILTSHSERLAMAFGIISTPPKTTLQIFKNLRVCGDCHNATKFISKITEREIIVRDSNRFHHFKDGVCSCGDYW >KGN53808 pep chromosome:ASM407v2:4:8030467:8032421:-1 gene:Csa_4G132700 transcript:KGN53808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCSSSSDPHDSAVTLLPFSFSSSRPDLPRAVQLSATIHFPHSDETLRSGSFLAEDKNPVLKPFQTRFKRTIDALDKNGRGSVFTKRLEMIKRRFYRLEHGDNDNASDSSVSSSDSEPDAYVEESQDDVEVQEDDDESCSTSSGYESEDSSVNEVDVDSSGFSFLSIKSLLL >KGN53435 pep chromosome:ASM407v2:4:4560751:4564021:-1 gene:Csa_4G054810 transcript:KGN53435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLVLARTVIGIIGNIIALFLFLSPLPTFVTIWKRGSVEQYSPIPYLATLVNCLVWVLYGLPVVHPGSILVITINAAGTLIELVYIILFFVFSDRKKRMKVLLVLLIELVFITVLTLLVLFIFHTHSKRSMVVGTICILFNIGMYASPLAVMKLVIKTKSVEYMPLSLSVASFANGVAWTIYALLPLDPYILIPNGLGTLFGLAQLILYASFYKSTKLQKEEREGKGQVVLSDQLVTNGKECWKNDNIESGNPRAEVHGA >KGN54924 pep chromosome:ASM407v2:4:19262760:19263056:1 gene:Csa_4G605530 transcript:KGN54924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPISQSDPIESSNPVLESSPNPSVPTSTLFLLSNICNLVPIRLDSMNYVLWKYQVSSFLKAHSLFGHIDDSLPCPPKKLSSSTAGTNPEINPEYLQ >KGN54947 pep chromosome:ASM407v2:4:19472146:19472364:-1 gene:Csa_4G614205 transcript:KGN54947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNGEFQQKNTQNVERQEKESWAPWKWSLGLVSCSSLLGPPQMGFFFDHFSLRDLFNFGIDVTVLEFLGQLL >KGN54528 pep chromosome:ASM407v2:4:14478045:14482033:-1 gene:Csa_4G358660 transcript:KGN54528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGEEGGGIRLSKRFSDKAGSGEVDYKTKAGTAWSHSYLNQKPWHPLSYPNQRRKWIAEQTHSQREKRTEEVAREYAQEQEFFRQTALVSKKEKEKLEMMKAVSFMYVRPPGYNAESAKAAEIADDRKKQEGDNPSQDLPKDSSGNARPPESSSTVGREPGKKPRPKDVFGRALPTEEEFEVLKNAPRMDTGVFARVKPFGVEVRNVKCVRCGIFGHQSGDRECPLKDAIMPNEESRLKRDDPLTTILANAETSEPLKWELKQKPGISPPRGGFNPDDPNQQIVAEDIFDEYGGFLSCGGIVPELLSNFSSKPKKNKFSRQSSRKKLQSSSSRKEKDLEDDERISKKKHKSKRKKQVNGESSSETSESDRRDRRNKHKISHLSDDSDSKMHHKTKKHRRKHLNTSDVSETSESNSDRRRNKHKISYLSDDSASKTHRRSKKHRRKRLNTSDVSNFERSHITDRYCPEPSPSDISDSERHDKGRKHRDFYPYKKLDSELEHRSGRKDQSHSSEDSGFERHPISDKTRSKHSSSKDLHLDSYRSSSKTRPRKAYLSDDSESNRHRRSKGWKNYNSSDDSDKNKHDRVKKRRH >KGN54631 pep chromosome:ASM407v2:4:15425139:15426485:-1 gene:Csa_4G410840 transcript:KGN54631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNNFLTFFSSSLFLIILNISPSFCTYKDYCSAVFNCGEIVDVGFPFWGKDRPPSCGVPDLKLTCDNNVVRIDIMQVKYRVLQVDERTKTLRIARDDYFEGICPEDHLKNTTLDPNLFEISNGYVNLTLLYGCHSSLLVVPSHLRFGCPIHGDGFVKLGEEMGLWGCKASVVVPVRGDEGILVGVLKMEEAIREGFELKWKVDDGGCGSDCTDSGGFCGYDLKLRRGVCLCESGFSSSPVEVCRRDGGVATHDSSAFAANSGLVLPLLSSTARL >KGN53704 pep chromosome:ASM407v2:4:6900020:6901273:-1 gene:Csa_4G107460 transcript:KGN53704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRVGNRAIATIRNQSRIVKYSSTQKKKKRKEKKKTSLSKSKTSSSCNLTEETTHLGLIFAFVFAVPFLLRFRIVGFFSFKTFGFSDYNFGIQIYCWASL >KGN55384 pep chromosome:ASM407v2:4:22234946:22240824:-1 gene:Csa_4G648550 transcript:KGN55384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSHLSRNMGLLCSRNRHYNEQDAEEKTQAAEIERRIEQETEAEKHIQKLLLLGAGESGKSTIFKQIKLLFQTGFDEAELKSYIPVIHANVYQTIKVLHDGSKELAQNDKEFTKYVLSSENKDIGEKLSDIGGRLDYPRLTRERAQDIETLWKDAAIQETYSRGNELQVPDCTQYFMENLQRLSDANYIPTKEDVLYARVRTTGVVEIQFSPVGENKKSGEVYRLFDVGGQRNERRKWIHLFEGVTAVIFCAAISEYDQTLFEDEQKNRMMETKELFEWVLKQECFEKTSFMLFLNKFDIFEKKVLKVPLSVCEWFNDYQPVSTGKQEIEHAYEFVKKKFEELYFKSTAPDRVDRVFKVYRTTALDQKLVKKTFKLVDETLRRRNLFEAGLL >KGN54832 pep chromosome:ASM407v2:4:18019336:18019746:-1 gene:Csa_4G525540 transcript:KGN54832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLELTLTHSLVSSSKGMSMEGMSLDSKNGHQQYVYNADNNYGYSHSSYSRLSGMVFVVILTGATHRFTHEDHNFHMKVVKTQTQSPYIIYILRSILIWMEHGLIQGILQLVASGLMLRLMDGILPIILRTSSIL >KGN53669 pep chromosome:ASM407v2:4:6489370:6490733:-1 gene:Csa_4G099230 transcript:KGN53669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLISLSLSMVNTKKLSSLIGLIKDKASQSKAALLAKPNILSFQLALLRATTHDLHAPPSDKHLSALLSLGKTSRATAAPAVEVLMDRLQTTHNSAVALKCLIAVHHIFKDGDFILQDQLSVFPFTGGRNYLKLSDFRDSSNPISWDLSSWVRWYAQYIETVLSISRILGFFVGSSRSNEEKERKTEQISGILNSDLLKETESLVGLIEEISKMPHCLHLNRNRLVDKIYSFVGDDYLSAMKEISIRVTEFHHRLGWLSFAESVELVCALKRLEDCKEKQSMGIFAKYEVLIDGLWGSIRSIQETKNLTGESKEHREGGKLCKTKRRVSDSGRFMERPNASSYRDLLRFGSERFVLTYDGFQ >KGN53942 pep chromosome:ASM407v2:4:9496051:9503210:-1 gene:Csa_4G192140 transcript:KGN53942 gene_biotype:protein_coding transcript_biotype:protein_coding description:Casein kinase MPELRSGARRSRRLDDLQPCTQPLDQGENLAVPAPNRTRRRVAGGRGRGGNAQAVAKGPSVAIPARPTAARRGRGIRLIDLDPEPCEVLPEAGAIGAAEPVFNRVEAVANKDMAIEGGSADKVMGVEEEAGTAPVPDRVQVGNSPVYKVEKKLGKGGFGQVFVGRRVSGGTDQTGPDAIEVALKFEHHNSKGCSYGPPYEWQVYSALNGCYGIPWVHFKGRQGDFYILVMDMLGPSLWDVWNTLGQSMSPPMVACIAVEAISILEKLHMKGFVHGDVKPENFLLGQAGTADEKKLYLIDLGLASKWKDIASGQHVEYDQRPDIFRGTIRYASVHAHLGRTGSRRDDLESLAYTLIFLIKGRLPWQGYQGDNKSFLVCKKKMATSPELMCCFCPAPFKQFLEAVINMKFDEEPNYSKLISFFEGLIDPCIPLRPIRIDGALKVGQKRGRLLINLEEDEQPKKKVRLGSPATQWISVYNARRPMKQRYHYNVADSRLRQHIEKGNEDGLLISCVASASNLWALIMDAGTGFSSQVYELSSVFLHKDWIMEQWEKNFYISSIAGAANGSSLVVMSKGTPYTQQSYKVSESFPFKWINKKWKEGFHVTSMTTAGSRWGVVMSRNAGFSDQVVELDFLYPSEGIHRRWESGYRITCMAATADQAAFILSIPKRKLMDETQETLRTSAFPSTHVKEKWSKNLYIASICYGRTVC >KGN54207 pep chromosome:ASM407v2:4:11613549:11618765:1 gene:Csa_4G293170 transcript:KGN54207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVVGRVGNLISQGVLAIAMPFHPFGGAIDVIVVQQRDGSFRSTPWHVQFGKFQGVLKGAEKVRITVNGVEADFHMYLDSSGEAYFRSEVDSCEGSDGIMNNSILDDQTNGDCKNNGNQDVLDSQIQEHSRDDINVEMQNEPSTLSSERSESDGERRLCFPDEQSPLDNLVEILDDRSNEDMDIKIVKSYDQKSEVLGSVDGHASNYFSASEKVTERVQLTPLQNQYAIGDRMDISEGNEKFDYGEDSRPCNCNNLNASKRDVDFYNICSANNDTEDFEYQLEVCEGDEEHIFHSQNHVDITSGGDVDRVSNSCMELPECGRLYSENAASSLVADISEVERIENAPRVDGTVEEHGVKFINIESSQLSVCDALSSCSSPDLPITGIPTEKMTNSKHMDQTDPSVYFDSDNTEVKNHQIEKIDQEDGGFGRSVLDDEYECELPKFCSLEGRIDCLQTTKFEISLCGSKLYSGMGLSAAAEAFEAHRVSAQKFGSSATSIIQNENLVIRFRGRYWHWNKMAPVLLGMATFGMDLPLDPNDSIPVEQDDSTRSENVEAENISTPSGNRWRLWSSRFGRVKEIQLNGDDTSNEEVFLDTESEFNSPTLTSQHDIDTPRKRILRTYIPTTEQIASLKLKEGQNRIKFTFPTKVLGVQKVDAHIYLWKWNARIVISDVDGTITKSDVLGQFMPLVGMDWTQSGVARLFSAIKDNGYQLLFLSARAIVQAYLTRSFLLNLKQDGEALPDGPVVISPDGLFPSLYREVIRRTPHEFKIACLEEIRRLFPSDHNPFYAGFGNRDTDELSYLKMGIPKGKIFIINPKGEVMNSHSNNPKSYKSLLALVNEIFPPASSVEQEDFNEWNYWKMPLPDVVA >KGN52897 pep chromosome:ASM407v2:4:891406:892063:-1 gene:Csa_4G006040 transcript:KGN52897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNHLNQLMGSSSSIGANRVRNVCIAFRANSEQNNRAGCLRALEVLEHEYCYLKSKLHELFQIEQQRVLGAGVRYPMQQN >KGN53060 pep chromosome:ASM407v2:4:1941418:1960690:1 gene:Csa_4G013540 transcript:KGN53060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVCEGKDFSFPKHEEGVLEYWSDIKAFETQLERTRDLPEYIFYDGPPFATGLPHYGHILAGTIKDIVTRYQVMTGHHVTRRFGWDCHGLPVENEIDQKLGIKRRDDVLKMGIDKYNEECRSIVTRYVGEWEKIITRTGRWIDFKNDYKTMDLKFMESVWWVFSQLYQKGLVYKGFKVMPYSTGCKTPLSNFEAGQSYKDVPDPEIMVAFPVLGDPQNAAFVAWTTTPWTLPSNLALCVNANFVYVKVRNKVSGKVYVVAKSRLSALPVEKQKVNAANANDGINKSNPKKKGSSNSKTENSVEDSFEILEEVLGASLVGKKYVPLFDYFKEFSDVAFRVVADSYVTDDSGTGIVHCAPAFGEDDYRVCIENQVINKGENLIVAVDDDGCFISKITDFSGRYVKDADKDIIEAVKAQGRLVKSGSFTHSYPFCWRSDTPLIYRAVPSWFVRVEKLKENLLENNEKTYWVPDFVKEKRFHNWLENARDWAVSRSRFWGTPLPVWVSEDGEEILVMDSIEKLEKLSGVKVFDLHRHKIDHITIPSRRGPEYGVLRRVDDVFDCWFESGSMPYAYIHYPFENVELFEKNFPGHFVAEGLDQTRGWFYTLMVLSTALFGKPAFRNLICNGLVLAEDGKKMSKRLKNYPSPMEIINDYGADALRLYLINSPVVRAETLRFKKEGVFGVVRDVFLPWYNAYRFLVQNAKRLEIEGFAPFSPVDQATLQKSFNVLDQWINSATQSLVYFVRKEMDGYRLYTVVPYLLKFLDNLTNIYVRFNRKRLKGRTGEEDCRIALSTLYHVLLTSCKVMAPFTPFFTEVLYQNLRKVSDGSEESIHYCSYPQEGGKRGERIEESVTRMMTIIDLARNIRERHNKPLKAPLREMIVVHPDKDFLDDIAGKLREYVLEELNIRSLIPCNDTLKYASLRAEPDFSMLGKRLGKAMGVVAKEVKAMSQEDILAFEKVGEVTIATHCLKLSEIKVVRDFKRPDGMTDKEIDAAGDGDVLVILDLRPDESLFEAGVAREIVNRIQKLRKKAALEPTDTVEVYFRSQDEDTSVAQRVLQAQELYISEAIGSPLLPSTVLPSYAVTLAEESFHNVAGISFSIILARPAPVFNSDAITALYEGNEKFARSLEVYLLSRDLSNLKSEFSNGNGKIRVDFIDGQPSVEVVLAEHVFLTAGDQYLKANSS >KGN54299 pep chromosome:ASM407v2:4:12210436:12213428:1 gene:Csa_4G297490 transcript:KGN54299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGNLLHASLDASRNKETRGGWRAVRFILGNETFEKLASMSLIGNLVLYLHTMYNLDNVASAYVFQIWGGTTNFAPLAGAFLADAYLGRFYTLLFGSVASLLGMGVLTLGAGLPQMRPPPCISGESNCPQPLPWQLGFLYLGLGLIVIGAGDMAKVVVATCRKWQIPKTTQFDQLHNPPMNSSKLAHTNRFLIFDKAATVVDSSVELDEEGKSKNEWRLCSVHQVEQFKCVVGIFPVWLAGIPCFMSMQQMSSFGILQAIQMNRSIGPHFQIPPAWMSLTPMIALSIWIYIYEKYVEHMKKKTQSNKRFSMKTRIEIGIVMSVLCMVVAGVLEKFRRDAAVENKSFISPLHVWVLIPEFALSGLTEAFGAIAVMELLTTHLPESLRTVAGAIFFFSLSLASYLSSVLTGIVRGVEREWLGGNDLNKNRLDYFFSVVGVIAALNFFYFRFFAVAFLPKPDVDPSHKAHQMQSLESLRFVLSLRYIGVKDKEKTRFEF >KGN54967 pep chromosome:ASM407v2:4:19640464:19640901:1 gene:Csa_4G617345 transcript:KGN54967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLGCRYYATGWLTEKSDVYSFGVLVLEIVTSRPVLMIDRASSQKYHISQWVMQLMKIGDIRSIVDQKVRENFDLSSAWKAVEIAMKCLSLNSIDRPNMKEVVSELSECLALEKARKRKNADTDMRKSNPVSRNFRESEVTPFAR >KGN54077 pep chromosome:ASM407v2:4:10908459:10912017:1 gene:Csa_4G280540 transcript:KGN54077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNESVEPSSRAQRGIRIQAPLVDSVSCYCKVDSGLKTVVGARKFVPGSKICIQPDINPNAHRSKASRRERTRVQPPLLPGLPDDLAIACLIRVPRVEHRKLRLVCKRWYRLLMGNFYYSLRKSLGMAEEWVYVIKRERDRRISWHAFDPTYQLWQSLPPVPVEYSEALGFGCAVLSGCHLYLFGGKDPIKGSMRRVIFYSARTNKWHRAPDMLRKRHCFGSCVINNCLYVAGGECEGIQRTLRSAEVYDPNKNRWSFISDMSSAMVPFIGVVHDGLWFLKGLGTRREVMSEAYSPETNTWTTVSDGMVSGWRNPSISLNGQLYALDCQDGCKLRVYDSATDSWNRFIDSKLHFGSSRALEAAALVSLNGKLCIIRNNMSISLVDVSSPDKRVESNPHLWENIAGRGHLRTLVTNLLSSIAGRNGLKSHIVHCQVLQA >KGN55424 pep chromosome:ASM407v2:4:22517229:22517531:1 gene:Csa_4G651900 transcript:KGN55424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNEEWRKNADTHKMKPEDVKAAGVEASKRPPGHHPGTVLHQRRSLPYSYTTMTVAGLLIVGTIGYLTLYTLKKPEASAKDVAKVATNVAEPEETKPRK >KGN54457 pep chromosome:ASM407v2:4:13566934:13567348:1 gene:Csa_4G334680 transcript:KGN54457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPAPKFLAGTIFFFFFFFPISFGFWSLSFRPSAIVSHSSLSFFSPSSVSFHKEIPGFLFNFIWVLMLFPI >KGN52994 pep chromosome:ASM407v2:4:1540247:1544352:-1 gene:Csa_4G010930 transcript:KGN52994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCGGEAGKQWTCAKAGAASLQKVGLIVRDIGEPCLSQSPIKVVITVNRMLKPEKWLAAFDSDGKALGFQKTLKSIVLGVCVVLP >KGN55020 pep chromosome:ASM407v2:4:19990836:19992476:1 gene:Csa_4G622790 transcript:KGN55020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMKKTSFKLPLALIVSFGCNNGRSLPFPLSQPFTILSPHFYPKSFCSQSVNGKSRNSTGHRETNDTQMKTQKPISPFRLSSLLRLQKDPTLALQLFLNPNPSSSEPPKPFRYSLLSYDLIISKLGRAKMFDEMEEILQQLKQETRFAPHEVIFCNVIAFYGRAHLPDRAFQVFERIPSFRCKRTVKSVNSLLAALLKNRQLEKMTQVFVDISNYGSPDACTFNILIHAACLCGDLDALKEALRLKEDMVKVYMIKPNASIYTTLIKGFCGVGELNFAFKLKEEMVTSNVKLVSAVYSTLISALFKHGRKEEVSDILREMGENGCKPDTVTYNAIINGHCKENDLESAHRVMDEMVEKGCKPDVFSFNTIIGWLCKEGKLDKAMDLLEDMPRRGCPPDVLSYRIIFDGLCEMMQLKEATSILDEMIFKGYVPRNESINKLVDRLCQECNMELLWMILNSLGRGNRMNMDMWARVVAFVYKENLSESSNLIDSLIS >KGN55055 pep chromosome:ASM407v2:4:20233362:20236969:1 gene:Csa_4G626080 transcript:KGN55055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCAGSSQAKGDGSVKKIRKPKPWKNPQPITKTDLVRMRDEFWDTAPHYGGRKEIWDALRAAAEADLTLAQAIVDSAGIIVQSADLTICYDERGAKYELPKYVLSEPTNLV >KGN53444 pep chromosome:ASM407v2:4:4617571:4618840:-1 gene:Csa_4G055390 transcript:KGN53444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVATISIFFHLILLLISFSQTTIINGDNGFTTSLFHRDSLLSPLEFSSLSHYDRLTNAFRRSLSRSATLLNRAATNGALDLQAPLTPGSGEYLMSVSIGTPPVDYIGMADTGSDLMWAQCLPCLKCYKQSRPIFDPLKSTSFSHVPCNSQNCKAIDDSHCGAQGVCDYSYTYGDRTYSKGDLGFEKITIGSSSVKSVIGCGHESGGGFGFASGVIGLGGGANPPVLPTLLSHANGKINFGQNAVVSGPGVVSTPLISKNPVTYYYVTLEAISIGNERHMASAKQGNVIIDSGTTLSFLPKELYDGVVSSLLKVVKAKRVKDPGNFWDLCFDDGINVATSSGIPIITAQFSGGANVNLLPVNTFQKVANNVNCLTLTPASPTDEFGIIGNLALANFLIGYDLEAKRLSFKPTVCT >KGN52890 pep chromosome:ASM407v2:4:855100:858682:1 gene:Csa_4G004990 transcript:KGN52890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNIANQSSGGNSSINTNQSQGDSSESNVPTAFVNHGLLLWNQNRQHWVQNRKSGNKRQVIREPKLHTHCLCMPKSFWLCSWSATYDSLLGSNKPFPQPVPLGEMVDFLVDIWEQEGLYD >KGN55125 pep chromosome:ASM407v2:4:20744740:20746545:1 gene:Csa_4G637160 transcript:KGN55125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHLSLEKKWLFPLGISSVICIFLLATSFNMGLISSVHTINSIFSMFPSPMATNQTDPALFAERKIGRLPQSPPPPNPAFMIPRFAYLVSGSKGDLEKLWRTLKAVYHPLNQYVVHLDLESPATERLELASRVANESIFAEIGNVFMITKANMVTYRGPTMVANTLHACAILLKRSNDWDWFINLSASDYPLITQDDLLHTFSPLDRNLNFIEHTSKLGWKA >KGN54756 pep chromosome:ASM407v2:4:16886945:16896264:-1 gene:Csa_4G454150 transcript:KGN54756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTINNVLSDGSLTPQMKRIYRTCLMLNKNLSDKTLAEKLKTFDFGFSKQTCTKYMSMLNIILSDGSTSTTSKLLKQICNRDSMLSDGRPTLQLNRTICKKDQLILDNVNTLSVSDGSRTSTPELKLKLQPKPNTNVGSPTYTAPEVLSGRSCDGKTADVWSCGVTLHAMLFAAYPFDDPDDSENSEKTFNRIMSEGYKIPDCVHISKDCQHLLSRIFVRNPSKRISVKEIMNHPWFSKNIQSEASEELFFQRDNPTLSHQSVEEIMKIVREARKQLAPLSTTIMGYESNTEEDHDPMLKEEEED >KGN53805 pep chromosome:ASM407v2:4:7986911:7988943:1 gene:Csa_4G132680 transcript:KGN53805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPADKHDERHNMIKNSKEENSPPPKLAAGSSSSSATPWLRLKDPRIVRVSRAFGGKDRHSKVCTIRGLRDRRVRLSVSTAIQLYDLQDRLGLNQPSKVVDWLLNAAKDEIDELPPLPIPSASLGLHYQSMIPTTTTVVHPHRSEFKIIDKAGVEDETEQKQHKSNSNPSHPNSSFSALLNNVSAPPFGFYWDHNPPSSSSTTTNNNLPFLTSQAGDNNNNNNLHTFNHLNLSLPPSPLSLSTASQFLEFNHLHQNFFINNNSNNNNPSFHPNVRPFHFSMATKFLPHQDKNNNNVDPPSKDHGFASHQ >KGN53308 pep chromosome:ASM407v2:4:3645966:3647188:1 gene:Csa_4G046710 transcript:KGN53308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNLKKLSCLPFFFLIFFFFVTISSAELCNPNDKKVLLKIKKAFNNPYILTSWDPQTDCCHWYCVKCNRTTHRIISLTIFADDRLTGQIPPEVGDLPFLQTLMLHKLPNLTGPIQPTIAKLRNLVFLDLSWNGLSGEIPDSLSTLKNLFILTLSFNKLTGEIPSSLSELPNLGGLRLDRNQLTGQIPESFGYFSGEQAPDLILSHNQLSGKIPSSLSRLNSTSIDLSRNKLEGDASMFFGANKMIQILDLSRNLLEFNLSEVVFPQSLTSLDLNHNKVYGGLPPVMTELKLQYLNVSYNRLCGPIPVGGDMQSFDLYSYFHNKCLCGAPLGDCK >KGN53734 pep chromosome:ASM407v2:4:7271707:7272771:-1 gene:Csa_4G113170 transcript:KGN53734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGTDNSRLDFEHFAWQLHNYNSMNASIETKQQESCQTSINHENCIFSKCMGRMQRFAIPPLPSFEVEQLNVIQGSRHCLSPHFQNSRGTFISYQNEKESMHYAHAGPSGMPVSKSNNGSYPKGFLIFDQSGNQKRLMYAPMCPVYFPSIVTENKCCGWLEEKGAVRDINSVKYSPNTLSNENYVADGESSEMHENTEEIDALLYSDYDGTGCSSDDEVTSTGHSPEMINEHCEKEEQCQETTTEVASSDVPRKRQRLHDGGYIKSLPIATGSCARVESQNYANDAESSCGMVHKEEAGADIDFCYCSCKKDRIEETLRVLESLVPGAKGKDPLLVIDEAINYFEVLKTRSLLL >KGN54732 pep chromosome:ASM407v2:4:16568441:16582772:-1 gene:Csa_4G437520 transcript:KGN54732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVELSSAPLLSTCTQHLRPFQSRTNIHFHFPSLPIPLSLTTFPFSSSTATTRGKVLCQTAGAHFFDAQNAVEAGQNRLLKVPISHIRNFSIIAHIDHGKSTLADKLLQMTGTVQTREMKDQFLDNMDLERERGITIKLQAARMRYMYKNEAYCLNLIDTPGHVDFSYEVSRSLAACEGALLVVDASQGVEAQTLANVYLALDNNLEIIPLFTSISGIPGRLRIGARIWTESRDSREVVVQNCWTEKKNGVAGDGERKEEREGRKLTCAQSLSCFDKKLVLIK >KGN54825 pep chromosome:ASM407v2:4:17848839:17886248:1 gene:Csa_4G508530 transcript:KGN54825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIGECSASNSSMENWAKLIPTDKMYADIEINSDETVIFSETKSTSVEKHEWCKITRNSDLNTATLQNRSSNAIIVDETLVQKDETTFIKCGSEIVSGPVRDGNLSFKFEMLSDSKLCKGLKISVDVEHAKCSICLNIWHDVVTAAPCFHNFCNGCFSEWLKRSQEKHTNVLCPQCRAVVQFVGRNHYLLNIAEDILQADSSLRRSVEEIATLDSCSLIQSNLVIGSVKKRNQKRPHFLLGLEESGGLELPCPQCGTEYAGFRCNPHMVHLQCHECGGMMPSRFDTGIPQHCLGCDRAFCGAYWEAQSLQRSDIYATCSPETFKPISRRTISRIPQLTHENNRHEQDITGRCISQMGKTLQDVISEWIVRFSNREIGRFFPTLFFIYLFLESRMLLYIATDSKVVWNSTLKLKNIYIYCMKEFHLDYRAKGPKS >KGN54697 pep chromosome:ASM407v2:4:16063702:16063899:-1 gene:Csa_4G429310 transcript:KGN54697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIASGKVTNNVGKWSPTIISHVEMNVEEPMSKDPFPNETYNTCWVCRSPDPHCADNVIVSKNKDD >KGN53563 pep chromosome:ASM407v2:4:5523789:5527969:1 gene:Csa_4G082400 transcript:KGN53563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSNLPRRIIKETQRLLSEPAPGISASPSEDNMRYFNVMILGPTQSPYEGGVFKLELFLPEEYPMAAPKVRFLTKIYHPNIDKLGRICLDILKDKWSPALQIRTVLLSIQALLSAPNPDDPLSENIAKHWKTNEAEAVETAKEWTRLYASGA >KGN53997 pep chromosome:ASM407v2:4:10261148:10262912:1 gene:Csa_4G247410 transcript:KGN53997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMKSKISQVKRENHEFSTTNLQHSFLYFQNHDLNTSSSSSSSSSSFRLLLLQPAMESPSSSSFVISFSVVAILTLASFASCMAAEFNRTKKEDLKLNAKLCFLPESEAFKLGIGGLLCLIMAQIIGTTLICHSYWPKEHRKSCSVKKPLLSIALLISWVSFVIAVIMVSGATSMSRRQEYAKGWVEGECYLVKDGIFVSAAVLVLINGGSTIASAAIGMRRWRTNHVIKPPNQIHAQIG >KGN53167 pep chromosome:ASM407v2:4:2595006:2595755:-1 gene:Csa_4G023020 transcript:KGN53167 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding protein MDSSDEFLTIEFITQFLLGDFSDHQTDSPFLHPIKLEDFFFDSPIPPLPPPPEISGNDTKPGKVVDPSTLPDHRPDMSTQACGAETKVAVVEASGGKGRRHFRGVRRRPWGKFAAEIRDPTRKGSRVWLGTYDSDIDAAKAYDCAAFRLRGRKAILNFPLEAGEPDPPAAADRKRGRGQKWRNIPKALMATNEK >KGN53355 pep chromosome:ASM407v2:4:3952110:3953437:1 gene:Csa_4G050120 transcript:KGN53355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDWGLQAIVKGCNGIPIGSSTTAATTRLMEDSNWYSFLRSDQEEDEFFSSCVYNSSYNNPQISSSSIFHDEFEGLFGRNSSNNSAAASISHLLRDFKEPADQKLHHKNQIIQPTKQKQSKKSRQNRVVKEVKADKVCSDSWGWRKYGQKPIKGSPYPRSYYRCSSSKGCSARKQVERSLSDPEVFIVTYTAEHNHAEPTRRNALAGTTRKKFPALENPNLDMILSPNNSTSVASIEEDQHPMEGVTDGEVLIDMPFEFFTGLEDLLFG >KGN53120 pep chromosome:ASM407v2:4:2254885:2261100:-1 gene:Csa_4G017080 transcript:KGN53120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNNVEAQPAAPKPPASSQPNPFGNAFYGAGSGLIRGGLGAYGEKIFGSSSEYVQSNISRYFSDPQYYFQVNDQYVRNKLKVVLFPFLHRGHWTRITDPIGGRLSYKPPIYDINAPDLYIPFMAFGTYVVLAGFSLGLQGKFTPEALSWLFIKGLLGWFMQVMLLKVTLLSLGSGEAPLLDIVAYAGYAFTGLCLAAIGRISLKYSYYFLMPWMALCMGIFLVKTMKRVLFAEVRTYDSSRHHYLLLFIALAQFPLFTWLGNVSVNWLI >KGN53206 pep chromosome:ASM407v2:4:2840026:2841645:-1 gene:Csa_4G026830 transcript:KGN53206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADADEPSSIPNSIPSDPSDANLQKKHASVIERLANRNQTRLENSITRRSESDSSTSSTSSFLDRFSDSKRAIESALAQCRLTPPDPAQLRSHLDGISTSISDLEKLVAESSYSLPSYEVRASLKSISELKQALDNLNSELLPKKKFSFKNKATKKDQKSESKDPGLENADSMLMNKQQQASYSARDSPGIRDKDGEILVKNFKGSDVGEFTISGLSSCEVKLIGSVRALFIHKLRNCKVYTGPVMGSILIDDVEECTFAMASHQIRIHNAKKSDFYLRVRSRPIIEDSSSVRFAPYRVSYEGIEEDLTDATLGVETGNWENVDDFRWLRAVPSPNWSILPEDERIDTIKISPTEG >KGN55505 pep chromosome:ASM407v2:4:23032604:23033289:1 gene:Csa_4G663640 transcript:KGN55505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLCGQEGSSKTLPRVLVWHRNIPLGFLSFLWIILLHSGFCESLSIVAVQSTDSTLGWDGILNPWGHFEGVLVLCGSLSLSSTAQIECSDSKFMSFSIW >KGN53231 pep chromosome:ASM407v2:4:3068637:3071850:-1 gene:Csa_4G031020 transcript:KGN53231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLLFNDIFKVKCVNPDGKKYDKVSRIEARSEKLSMHMLLDVNTEIYPIDEGEKLLMVLSPTLNYDGSPVTSYKDQEGKKSLADKFEYIMHGKTYKLSDEGSGSDLKVEVYASFGGLQMLLKGDPIHCAKFRVDQNMFLLLRKLT >KGN54082 pep chromosome:ASM407v2:4:10931676:10940783:-1 gene:Csa_4G280590 transcript:KGN54082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSDPDEPDKKRPHLSSLTPAMARNSTTSQPHNNSVDATVLHFQNQKLVQETDSQKHELQDLEAKIYELKKKQSFYDESLIAINQLWNQLVDDLVFLGLQAGGGGEILQNLGQAGHSQGSIPSCPAEDMFLCRLLLRDSIEVRHDEQIVKYVKEALTSRHASTMELFKYLEDILDTQREKTANIVSAWNVEQSPEDAIVHLSKIDEMMKEEATNLGEIIKILHLKHKAYADEIQTYACSHLMDQTEIKRLSEELDESMAELEECRRKLVSLMMQKDVTIAMHVPTLGVVNGNLSPQKPAERTIGFRELKESIEETKILAADRLSEFQDAWEDNLTLSSQLQDLENDWMDEKYVHSSRLYILLNDQLQHLTAEVDRYKSLTEALQTDRSHVIRREKDLNAKLESVDVARSSMDNNCSRIEELEHQLQKILVEKNDLEIEMEEAVQDSAREDIKGEFHVMASALSKEMGMMESQLKRWKDTAHEAVSIREKVQALETSLAMKTKEKKGLTDICAQQMMEIKSLKSLVEKLLEDKLELELFLDMYGQETYDERDLVEIKESERRACSQADVLRIALDEHSLELRVKAANETEAACQQRLSATEIEITELRSNLDSAERDILELTEAIKIKDGEAEAYISEIETIGQAYEDMQTQNQHLLQQVTERDDLNIKLVSESVKSKQVQSLLQSEKQALGKQLQQINASLESLKTKIALTEDQMKASLTDVIRSTREERHLTISLEIAKGDLADAEKELKWLKTAVASSEKEYEQTQQQITDIEAELESERSSREKLEEELKELNSKVAKLTSETGEAAIKKLQDEINACKTILKCSICNDHPKEVVIVKCYHLFCSSCIQQRIERRNRKCPACGTAFGQNDVRAVKI >KGN54628 pep chromosome:ASM407v2:4:15396124:15397758:-1 gene:Csa_4G410810 transcript:KGN54628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKGLKSKCQSTSHQLLKERAKNCVNDLKGIFTNLQNARKESRTTDITILEERVHHMLREWNVELNEPSPASSFVVSFFFQLSFPFAKDGFVFHHFCVI >KGN55514 pep chromosome:ASM407v2:4:23067894:23071121:1 gene:Csa_4G663730 transcript:KGN55514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFGASRRLIPYQLRACFLGLIASGRYHYPLIHSPSPALSYLFSTLDEPSNLFDDGLSGNGDRNQRCIDERFVISELSDLLLVNPYGSVYNTLKENSIEKQMPVRAVDGFLLPEEKLRGVFLQKLNGKTAIEHALANTDVILSQDVVSKVLNTGSLGSEAMVTFFYWAIKQPSIPKDASSYNIILKALGRRGFFDSMMDVLYNMTREGVEATLEMVSIVVDSLVKGHQVSKALQFFRNLKEIGLKCDTETLNILLQCMCRRSHVGAANSFFNLTKGNIPFNVMTYNIVIGGWSRYGRHGEVEQMLKAMELDGFSPDCLTHTYLIECLGRANQIDDAVKIFDKMDENGCTPDVDAYNAMISNFICIGDFDQCLTYYERMLSNRCEPDMNTYSNLITGFLKAKKVADALEMFDEMVARIIPTTGAITSFIQLSCSYGPPHAAMLIYKKARKVGCRISKNAYKLLLMRLSLFGKFGMLLNIWNEMQESGYDPDVETYEHAIDCLCKTGQLENAVLVMEECLRQGFFPSRRTRSKLNNKLLACNRTEMAYKLWLKIKVARHQENLQRCWRAKGWHY >KGN54333 pep chromosome:ASM407v2:4:12416097:12420574:-1 gene:Csa_4G304790 transcript:KGN54333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINRPLVLTYLYLFIYILLSSGVILYNKWVLSPKYFNFPLPITLTMIHMGFSGAVAFFLVRVFKVVSPVKMTFEIYATCVIPISAFFASSLWFGNTAYLHISVAFIQMLKALMPVATFLMAVVCGTDKLRCDVFLNMLLVSVGVVISSYGEIHFNVVGTVYQVTGIFAEALRLVLTQVLLQKKGLTLNPITSLYYIAPCSFVFLFVPWYLLEKPEMQVAQIQFNFWIFFSNALCALALNFSIFLVIGRTGAVTIRVAGVLKDWILIALSTVIFPESTITGLNIIGYAIALCGVLMYNYIKVKDVRASQLSSESITDRIAKDWKLEKKSTDIFTSNSNDGNGGNGSSDINVDEEAPLLSSRLSHVGRMQVGNHNQ >KGN55379 pep chromosome:ASM407v2:4:22197648:22198226:-1 gene:Csa_4G648010 transcript:KGN55379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGVGAMSRRSRFSSYDRWLAVGLGLLAVVSPLYIDRRPSIEELEEEESSIHLGFWLPALLMILIFIIAGVLHLEQRCARFDPYWIHRVGGSSCGIFIILLLLAFVLKCKASLMFWES >KGN53973 pep chromosome:ASM407v2:4:9862420:9862638:-1 gene:Csa_4G214840 transcript:KGN53973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSDAQTEDFVIGGFHGGGSGGKSEKRRSGDEQRFLEEDRSGLELRGQRERKTEGRSALYLGRDRSGLEMV >KGN54939 pep chromosome:ASM407v2:4:19400503:19409521:1 gene:Csa_4G608150 transcript:KGN54939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKARDCLLKTFRRTFFTLQNPKPQMEDLPERYSYNPVLIWNPQVEEYFVKAYGAEHFSHISKALTRPSCYSCIRVNTLKSTTDTVIEKLSTIVKEMGCSNSENKTLVGGDVDANGIDLMQSDSRRASMKIEDEKKPVTEKFQHPSISRCQVPGLDYVVFVRGSGPHTIDYGYANGRPPKEVIVSRKCAEAVLRGAQVYVPGVMACSAHVEKGDAVAVSVAVEQRGVDGGWSLGITRGTVVQGLPTDPYHSERNGLYIGQGTTAMSRAGIFRVSGGIAVDMNNRVFKLPSFYDVLEGEIFLQNLPSIVTAHALDPQKGERILDMCAAPGGKTTAIAILMKDEGEVIAADRSHNKVMDIQKLAAEMGLSCIATYKLDALKSVNRNVDSCKGTASVCIDANDGVVNNSSNSMNLDNVEPSSVTEVSEVDKTKMQNAKQKMNGWRPRNGPGRNQCMGGRAENSRGFAPNSFDRVLLDAPCSALGLRPRIFAGEETIASLRNHAKYQRRMFDQAVQLVRPGGVIVYSTCTINPGENEALVRYALDKYKFLTLAPQHPRIGGPGLVGHFEFADHFVEEWLRPGEEHLVQRFDPSSPLDTIGFFIAKFSVGTKDLEE >KGN54938 pep chromosome:ASM407v2:4:19396590:19398052:-1 gene:Csa_4G608140 transcript:KGN54938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKNNLSLWVKENPKITLSKFPNLGLNPKPYSLQPSPILNGTINKLGFIFSLLSFHSAVEEAEALIPIRTLQFSSSGLPSLSVIMVRVSVLNDALKSMYNAEKRGKRQVMIRPSSKVIIKFLLVMQKHGYIGEFEFVDDHRSGKIVVELNGRLNKCGVISPRFDVGVKEIEGWTARLLPSRQFGFIVLTTSAGIMDHEEARRKNVGGKVLGFFY >KGN55382 pep chromosome:ASM407v2:4:22223708:22226072:-1 gene:Csa_4G648530 transcript:KGN55382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSITLDRVRQVDDKRSGFSPEDLGYGSVFQRLVTHDVDRVVEGFQKEEADESNTCSSASTSSSSSIGRNSDQSDDEDNGENDEVQSSYKGPLDMMDSLEEVLPVRKGISKFYSGKSKSFTSLADASSVNSMKEIAKPENAYSKKRRNLMAYNLVWEKNRSFPLKNNGGGISKRPISSSKSSLALAVAMSSSESNSSEDSNCSSYSSSPPPRPPLHPQSRPSNNNFPSMVPPQKTFSTWRSYSLADLQECATFANKANLTNLN >KGN53451 pep chromosome:ASM407v2:4:4639790:4642582:-1 gene:Csa_4G055450 transcript:KGN53451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQSRKWLVLVATIWIQAFTGTNFDFSAYSSKLKSVLGISQVQLNYLATASDLGKVFGWSSGLALLHLPLPMAMFIAAFLGFIGYGFQWLLIVDFISLPYFLVFFLCLLAGCSICWFNTVCFVLCIRNFSANRPLALSLTVSFNGVSAAFYTLAGNAINPSSPPIYLLLNALIPLLISIVVFLPVLHQPPLHSLSLPSDAVHRDSLIFLILNFLAIIVGIYLLLFGSVTSADPMIARLLFIGAIVLLILPLCIPGIVYANDWFHRTVNSSFRLDGSNFILVHDEDLEFHKELLLSLESNGSFGNGESPLLSESASLIDGETEPSKGCLRKLIEIDQLAMLGEEHSSSRLVKRLDFWLYFIAYICGGTIGLVYSNNIGQIAQSLGLSSRTKAIVTLYSSFSFFGRLLSAVPDYIRAKLYFARTGWLSIALIPTPIAFFLLSASSTAMAVYIGTALIGLSSGFIFAAAVSITAELFGPNSLGVNHNILITNIPIGSLLYGMLAAVVYDSQGKSSDNGEAIVCMGRRCYFLTFVFCGCISVVGLVSSVLLFLRTRHAYDRFESSRISSSTNRLY >KGN53633 pep chromosome:ASM407v2:4:6094941:6099570:1 gene:Csa_4G092970 transcript:KGN53633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPLSASASSSASTSSNRGIGLSNTIHSEVAPCLPLPSLPVFFGASDPHLRLSDHPDATYATSTSDLLPHSRKIADLLLATDVSYLNLREDAKVLQEGPVEPFELYEEVLRHDGDAFSYTAPGPIMDHVSSSTAPDRKVFEQRLPIRNQVEGDSRTTQSHKTEPETMPTNDTQISSSRKVKTKKKGRDETSSGRTDSSELQDNTLANTCEFLEDFCGRAEIVDDDRDESEWLALPLTDLRMLVNEIMSIRSKKLLHLVPLDMLTRLLKVLDNQIHRAEGLSVEECEHIFSKMGSWKDMALELPPIRSPW >KGN54074 pep chromosome:ASM407v2:4:10886418:10889145:1 gene:Csa_4G280510 transcript:KGN54074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSCVCVTPKPLMFIGECKGKVLPKRFKIIRNGGGVTAAPRSSETLKFQTLSKQGIALGHLKVEEIVERQSEGNHFLREEGCKRKMKFQASFLEEAYESCRKICAEYAKTFYLGTLLMTKERQRAIWAIYVWCRRTDELVDGPNAMYMNPKVLDRWEERLEDIFEGCPYDLLDAALSHTVSKFPIDMKPFRDMIEGMRMDTKKCRYENFEELYLYCYYVAGTVGLMSVPVMGIAPDSSLSTHTVYSAALHLGIGNQLTNILRDVGEDAMRGRVYLPQDELTQFGLCDEDILRMRVSDEWREFMKEQIKRARFYFKLAEEGASQLDKASRWPVWSSLMLYREILEAIEENDYNNFTKRAYVGRSKKFLALPLAYTKSISPPTLVFN >KGN55126 pep chromosome:ASM407v2:4:20746608:20747443:1 gene:Csa_4G637170 transcript:KGN55126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSRSFVEYLIWGWDNLPRTLLMYYTNFVSSPEGYFHTVICNEPEFAKTAVNHDLHYISWDVPPRQHPHALTINDTEKMIASGAAFARKFRQDNPVLDKIDQELLGRYDKGSFTPGGWCSGKPKCTKVGNPLKIKPGPGAKRLRRLTTKLTLAAKLGQDQCK >KGN52731 pep chromosome:ASM407v2:4:11765:13728:-1 gene:Csa_4G000030 transcript:KGN52731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPCPFLRILVGNLALKFPVAARPSFSAVHPSTSPCYCKIKLNDFPTQFVTIPLLVDGETSGAATTSSTSSSSSSSSVSTQSHSSISASFSLNKSQIEKLVKRKDPSVKIEVYTGRLGPASCSGDVFGSSAKLLGRITVPVTGSGLSETKPCVFQNGWTGIGEGKKGYSSAQLHLTVRSEPDPRFVFRFDGEPECSPQVFQVQGSVQQPVFTCKFGFRNERDWDRSRSSITEQSSTSKSWLPKIRSERDQSAKERKGWSITIHDLSGSPVAAASMVTPFVPSPGSHRVSRSNPGAWLILRPVDGSWRPWGRLEAWRESGGSDSIGYRFELLPATSAAATLANSTISSGSGGKFTIDMTGSASPAISPNGSFDLGSGTGSRPGSGDFGYLTGYQYKGFVMSTMVEGMKKKSRRPEVEVAVQHVTCTEDAAVFVALAAAVDLSMDACRLFSQKLRKELRQ >KGN52978 pep chromosome:ASM407v2:4:1392039:1399650:-1 gene:Csa_4G008810 transcript:KGN52978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAFSATGLAQRIPYTRSPLLHCIRKARVRSSVTIESVAESGILCKEEDKDCISFALRRKEIKQSDSSLPQWKALSCEDLGIDSSMISKPTRLVLNGLRKQGYEVYLVGGCVRDLILKRIPKDFDVITSAQLKEVRRIFSQCLVVGKRFPICHVNVLGTIVEVSSFSTSRSRNGFNNYINKPSNLSEPDYIRWENCSQRDFTINSLMYDPYKKVVYDYLGAMEDIRKSKVRTVKPANLSFTEDCARILRGVRLAARLEFRFSKDIALSIKELSCSVLKLDKGRLLMEMNYMLAFGSAEASLRLLWRFGLLEILLPIQASYFVSQGFRRRDARSNMLLILFSNLDKFVAPNRPCHSSLWIALLAFHKALVDQPQDPVVVAAFSLAIHSGGSLYEAVEIAQNISQPHVSFHEIVESNHKESDYSLVEQVIDLADSVNSVLWKMTNSQYVSRAMIKYPQAPWSDLVFISQSLSISVCKIFDCVRRGNETGSVPKRSRRINHDSLAMGNLSEVRHVFARIVFDTVYPRNQNGQFNNVEPNER >KGN54887 pep chromosome:ASM407v2:4:18811331:18827880:1 gene:Csa_4G572320 transcript:KGN54887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSELAARDPYAVAMSLGKHVQAGGALLDVLHLHDVMARVSLARLCHSISRARALDERPDIKSQFNSVLYQLLLDPSERVCFEAILCVLGKSDNTDRTEERAAGWYRLTREFLKIPEAPSKETSKDKSQKIRRPQPLIKLVMRRLESSFRSFSRPVLHAAARVVQEMGRSRAAAFSLGLQDIDEGAFVNSFSEAADSQDLDANESSHPESIRRTASVANGRGEKDTIASLLASLMEVVRTTVACECVYVRAMVIKALIWMQSPHDSFDELESIIASELSDPAWPAGLLNDILLTLHARFKATPDMAVTLLQIARVFATKVPGKIDADVLQLLWKTCLVGAGPDWKHTALEAVTLVLDLPPPQPGSMTSITSVDRVAASDPKSALALQRLVQAAVWFLGENANYAASEYAWESATPPGTALMMLDADKMVAAAGSRNPTLAGALTRLQRSAFSGSWEIRLVAAQALTTVAIRSGEPYRLQIYDFLHSLAQGGIQSQFSEMHLSNGEDQGASGTGLGVLISPMIKVLDEMYRAQDDLIKDIRYHDNAKKEWTDEELKKLYETHERLLDLVSLFCYVPRAKYLPLGPISAKLIDIYRTRHNISASTGLSDPAVATGISDLIYESKPATNEPDALDDDLVNAWAANLGDDGLLGSSAPAMSRVNEFLAGAGTDAPDVDEENIISRPSVSYDDMWAKTLLETSELEEDDARSSGTSSPESTGSVETSISSHFGGMSYPSLFSSRPSYGGTQTSERSGASRFSNPNPSIQEGFDSPIREDPPPYSPPHMQRYESFENPLAGRGSQSFGSQEERASSGNPQRGSALYDFTAGGDDELSLTAGEEVDIEYEVDGWFYVKKKRPGRDGKMAGLVPVLYVNQS >KGN54962 pep chromosome:ASM407v2:4:19590340:19600582:-1 gene:Csa_4G617300 transcript:KGN54962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKIHNEIRRVDAGILAAVRQQSNSGTKAKEDLAAATSAVEELMSKIREIKTKAEQSETMVQEICRDIKKLDFAKKHITTTITALHRLTMLVSAVEQLQVMASKRQYKEAAAQLEAVNQLCSHFEAYRDNPKITELREKFKNIKQILKSHVFSDFSSLGTGKEKEETNLLQQLSDACFVVDALEPSVREELVNNFCSRELTSYEQIFEGAELAKLDKTERRYAWIKRRIRTNEEIWKIFPPSWHVPYRLCIQFCKKTRKQLEDILDNLKEKPDVATLLLALQRTLEFEDELAEKFGGGARGKESGNGIEEFGREDSNSQNVSDIRKKYEKKLAVHQGPENDEKNGIKDMSVPGAGFNFRGIVSSCFEPHLTVYIELEEKTLMENLEKLVQEETWDIDEGSQSNVLSSSMQLFLIIKRSLKRCSALTKNQTLLNLFKVFQRVLKAYATKLFARLPKGGTGFVAAATGMDGQIKTSDKDEKVICYIVNSAEYCHKTSGELAESVQKIIDSQLVDGVDMSEVQDEFSAVITKALVTLVHGLETKFDSEMAAMTRVPWGTLESVGDQSEYVPSPFFITQFKICLVLKKKKNCRTFQLLPNKMGSLKNYT >KGN53273 pep chromosome:ASM407v2:4:3394536:3395860:-1 gene:Csa_4G043890 transcript:KGN53273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMECVRLSPQLCFNPQNVVSSDDFFVDQLLDLSDHDEFLQDQTPDDDDDDDKPSVSLSNLVSAQEIHQDSIVSDFPSLPTSELTVPADDLEDLEWLSHFVEDSFSGFSAPFPSPMKSSKEIATSEEQLVEDDGSVSPPEPCFKTPIPAKARSKRRRTSGRVWCLRSPSLTDSSSCSTTSSSSSSPASPWLIISDRFEPEIPATKKRRRKSPSEKSRITIGAQPPRRCSHCGVQKTPQWRTGPLGAKTLCNACGVRFKSGRLLPEYRPACSPNFSSELHSNHHRKVLEMRRKKEVTAPDEFLSVEKN >KGN54580 pep chromosome:ASM407v2:4:14907700:14910084:-1 gene:Csa_4G371070 transcript:KGN54580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGQKSPLKLTRTHSSLLRSSPTFRSSIHSLSSITEGELIAVHQEDDDEEKQRPKRPRRSGSSPRTGVNRFANRVLATALFLCFTFFSLLFAFFFLRKDGLLPSENLLLALIFIAITLVFVSKNKGLILHIHSFIKHSWHRNTTRFCFSRTDSTPVQWYIGDSNETEDDAEKQRKIIREGVEFYSNGDFYEGEFHNGSSNGSGVYNYFLSGRYEGDWVDGRYDGYGVESWAKGSRYRGQYRQGLRHGFGVYKFFTGDSYAGEWCNGQSHGVGFQTCADGSCYVGEFKRGVKHGLGCYYFRNGDRYAGEYFGDKVHGFGIYHFANGHCYEGSWHEGQRQGYGTYTFRNSEAKCGEWDGGSFKHPLSPLNDTVLQAVQASRKAARNAINLPRLDEEVNKAVVAANRAATAARVAAIKAVQNRMDGKFCDSDV >KGN53611 pep chromosome:ASM407v2:4:5879027:5891853:-1 gene:Csa_4G090300 transcript:KGN53611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKSVVLRALLIANMLMASRIILAASIHPLLVRRTHSLSISISSPHRLPKSFPCPLWSSSFSFCLHNRRKSVTSSSIHYFSSRSSHSAPSMAAFGGIDRTPQSNPLLQDFYFPPFDAVEANHVRPGILALLTKLEGDLEELERTVEPSWPKLVEPLEKIVDRLNVVWGIVNHLKSVKDSADLRSAIEEVQPEKVKFQLRLGQSKPIYNAFKAIRESPEWNTLNDARKRIVESQIKEALLHGVTLEGDKRDNFNKIQQELERLSHKFDENVLDATKKFEKLIVDKHEVDGLPATALGMAAQTAVSKGHENATAENGPWIITLDAPCYLSVMQHAKNRSLRKEVYYAYITRASSGEMDNTPIIDQILKLRLEKAKILNFNNYAEVSMETKMATVEKAEELLEKLRSASWNAAVQDVEDLQDFAKKQGAPEANDLNHWDMSFWSERLRESKFDINEETNLLIRSRKIELEMVQIFLESEGVHGKASLLAGINSYYNFKKDIDRPLGTAAVHCGIGRTTIVDRFCHLPAKLPLLQSSIPDPVNLHALVSKSITCPADKSSDPISLLHRCMHRPTDHLLPNAAITCSNCRRLRSVPPTHHGAAGVVHAHVT >KGN54876 pep chromosome:ASM407v2:4:18690600:18690791:1 gene:Csa_4G571230 transcript:KGN54876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLRIENGWNRNVDTSRRNANKVLASTEETTYCGSVFRQRNEENVDVSLDWDSSDGNASAQVG >KGN55240 pep chromosome:ASM407v2:4:21446922:21447188:1 gene:Csa_4G641720 transcript:KGN55240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMKLSTKSICFVVGVLLMMASTHFLIVEGRVLRSEEEKLADMKERMEGVKPIVLVDEANSLSSSSRSSFRSLSFVLASGPSKKGPGH >KGN53933 pep chromosome:ASM407v2:4:9437610:9438481:1 gene:Csa_4G192050 transcript:KGN53933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFALFVYFLALCPFGFVSSSSLSRSSICPKESDFFLYGVRSQCPFSAVPSSPLQVLLSLFSVVFLFSCLNLTFWEAVDVCEAFFSWDSLVNWIVRRNVNGFSCVELLIVLYMMNIQVRVDEKVNRNDLEFFIQTLHSMLGRVRMDFDFNTTT >KGN54536 pep chromosome:ASM407v2:4:14512737:14513109:1 gene:Csa_4G358740 transcript:KGN54536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRDSRHISPASLSESLKAFFGHVLGSESFLPKFELLQVPKLRTEACVQVARSLSEADKLIYNTIMDPNNRYPDPTACKASSKPDKKDFGNITIEI >KGN54568 pep chromosome:ASM407v2:4:14795383:14796318:1 gene:Csa_4G363990 transcript:KGN54568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFAVFLTKHFRNRRLRDPEFVLDFEEIYVIDSKTKSITRAKVLVTVPGGRDRDRRSDLLVVWDNGNSFKIIHSSERDDPTTVIEKEEWTKTRQDMERHLRKSEDKKCDFKVLSMIWMTDLPVMMILSCEFSTYLLPVLLGSVLSSILASLHLQ >KGN54509 pep chromosome:ASM407v2:4:14192190:14196139:1 gene:Csa_4G343070 transcript:KGN54509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADYDLTPRIAPNLDRHLVFPLLEFLQERQLYPDEQILKAKIELLNKTNMVDYAMDIHKSLYHTEDVPQDMVDRRAEVVARLKALEESAAPLVAFLQNPAAVQELRADKQYNLQMLNDRYQIGPDQIEALYQYAKFQFECGNYSGAADYLYQYRALCTNSERSLSALWGKLAAEVLMQNWDIAVEELNRLKEIIDSKNFSSPMNQVQSRIWLMHWSLFIFFNHDNGRTQIIDLFNQDKYLNAIQTNAPHLLRYLATAFIVNKRRRPQFKDFIKVIQQEQNSYKDPITEFLTCVYVNYDFDGAQKKMRECEEVILNDPFLGKRLEEGNLSTVPLRDEFLENARLFIFETYCRIHQRIDMNVLAEKLNLNYEEAERWIVNLVRTSKLDAKIDSKTGTVLMEPVQPNVYEELIDHTKSLSGRTYKLVTQLLEHAQAQATR >KGN52874 pep chromosome:ASM407v2:4:784441:787025:-1 gene:Csa_4G004850 transcript:KGN52874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFQLLSSQSCISAYVNTRRIQLQWRLNPVQALPPSTLLHTDESEHFPESKKTSGFSSVLKTNKDSTGILLSQSNTVGILGGSSVNSTVNFLGKLVKWSTKDGESQSGLPFVLCSDPTLSNELQVFDKSSHPVLNYKSEDLGLDSELVVESLKSKRTFLENSGARCIVMPCHISHLWYEDVSKGCPVTFLHMADCVARELKEAKLKPLEAGSPLRIGVLATNAILSAGYYQEKLQNEGFEVVLPDKATMEHTVIPAIEALNRKDIEGARNLLRIALQVLLVRAVNSVILASDDIKDILPLDDPLLRRCIDPMDALARSTINWAQCAE >KGN54193 pep chromosome:ASM407v2:4:11546568:11548712:1 gene:Csa_4G293030 transcript:KGN54193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALIRYSKSSAVSSLSSTSLLRRCFSSTVSSELASPSTASPSPFTLNHNLPRKDPKDRNVQWVFLGCPGVGKGTYASRLCNLLGIPHIATGDLVREELASSTPLSRQLSDIVNQGKLVSDEIIINLLSKRLQAGEAKGESGFILDGFPRTIRQAEILEEVIDIDMVVNLKLREDVLLEKCLGRRICGQCGKNFNIASINVKGENGNPGMSMPPLLPPTHCMTKLITRADDTEAVVKERLRVYYEKSQPVEEFYRSRGKLMEFNLPGGISESWPKLLQVLNLDDFEEKLSAAA >KGN54893 pep chromosome:ASM407v2:4:18882371:18886704:-1 gene:Csa_4G573860 transcript:KGN54893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLPLNDSSSFDDDGHPKRTGTLWTASAHIITTVIGSGVLSLAWAIAQLGWIVGPSVMLLFAFIGHYTSCLLADCYRSGDPLTGKRNPTYMHAVRSLLGEAHMVACGVMQNINLMGITIGYQIASSISMMAIKRSNCFHSSGGKNPCHISSNPFMMSFGVVEIILSQIPNFDQIWWLSTLAAIMSFTYSFIGLSLGIAKVAESGRFKGTISGVSVGSISKTEKKLRSFQALGDIAFAYSFAIVLIEIQDTIKCPPSEAKTMKKATRFSIILTTLFYILCGCSGYAAFGNNAPGNLLTGFGFYNPFWLIDIANVAIVVHLVGAYQVLSQPIFAFVEKKAAQAWPESPFITKEYKLSISSSHSYNINLFRLIWRSLFVCFTTTIAMLIPFFNDIVGIIGALQFWPLTVYFPIQMYIVQKKIRQWSVKWICVQTMSMGCLLVSLAAAVGSISGVMLDLKVYKPFKTMY >KGN54047 pep chromosome:ASM407v2:4:10723681:10732241:-1 gene:Csa_4G269780 transcript:KGN54047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSGVNQPKVKRLVGKYEMGRTIGEGTFAKVKFAKNSETGEHVAIKILDKEKVLKHKMAEQIKREIATMKLIQHPHVVQLFEVMGSKTKIFIVLEFVTGGELFDKIVNHGRMSENEARRYFQQLINAVDYCHSRGVYHRDLKPENLLLDAYGNLKVSDFGLSALSQQVKDDGLLHTTCGTPNYVAPEVLNDRGYDGATADLWSCGVILFVLLAGYLPFDDSNLMNLYKKISAAEFTCPPWLSLDAMKLIARILDPNPMTRITIPEILEDEWFKKDYKPPVFEEQKIANLDDVEAVFKDSEEHHVTEKKEEHPAAMNAFELISMSKGLNLANLFGTEQEFKRETRFTSKCSANEIVNKIEEAAKPLGFDVQKKNYKMRLENVKAGRKGNLNVATEILQVAPSVHVVEMRKAKGDTLEFHKFYKNLSTSLEDVVWKTEEDMQETK >KGN55400 pep chromosome:ASM407v2:4:22338955:22341011:-1 gene:Csa_4G650190 transcript:KGN55400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELVDARGGDLGVTRKRKRNDGENNTLSNFSLDDLNQDILERVLSRLPTSAFFRLSSVCKRWKSVAASSSFKSACSDISARDPWFFMVDPHLNRSIVFDSTEKNWKKLNYPNLLQNHHLDSMPVAASGGLICFRNSLGNFVVSNPLTGSCSELPPVDLDQKDQSLHAIVMSEDPDGCKGSYKLVLVYGQVPKLRFKVYSSTTGCWDEDVALSRKVDDSIDFNFNDDTVVYFLSRTGNVVSTNMQRSPSKQYSSVVTNRNGEDTVYFISSSGTIMACNLNKKCFVEYPRLLPVFSEYSIDVVECQGEMLVVMLSEFLETASLRVWRYDEEARTWHQIAALPPAMSHEWYGKKVDINCVGAGDQILICMNSNDLYTYLLCDLVENQWTELPKCYMNGEAVEFMSAFSFEPRIEATV >KGN54165 pep chromosome:ASM407v2:4:11327941:11330986:-1 gene:Csa_4G290800 transcript:KGN54165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSMNSNNWLSFPLSPTNPSLPPHLQTTTHHSHHFSLGNLENDHNMDIPFQTHEWNLISNQSGGEVPKIADFLGVSKAENETDLIGFNEIHHQSNDTDYLFPITRLVPLHQQQQQQQQQTLTPPPPPSNINLDSSSSSNFDLQDNSNCLQSLTLSMGSGKPSTCETTSTPDNNTTSNNSNNNSNTTLDVTPRRTLDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQSRKGRQVYLGGYDKEEKAARAYDLAALKYWGTSTTTNFPISNYEKEVEEMKHMTRQEFVAAIRRKSSGFSRGASMYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTEEEAAEAYDIAAIKFRGLNAVTNFDMSRYDVKSILESNTLPIGGGAAKRLKEAQAVESSRKRDEMIALGSSSSSSSCFQYGTSSSSTTNSSHYPNLLQQPNLNIDHHHLQTQPLLSLQNHHDISHYSTHHPSSFHNPSSSYIHHSSDHSSYPNNNNNHPFYGAGYLHNHPALLHGMINMSGGGGGAGAGGGGGGGGASSLDTNNNTNALSHFESNSHGGGGYLGNAFGIGSASGSTAEEYALVKVDYDMPNSGGYGGWTGDSVQGSNAGVFSMWND >KGN55094 pep chromosome:ASM407v2:4:20495142:20498943:-1 gene:Csa_4G628910 transcript:KGN55094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIRESTRVPCHTPPAMDMELDQPSLLPELSFSSQGAFSRWSSHSMVTASRNSMNPDVQHLPEHANGAILYGVSQHNGSRVQCAQDLQVTTAANPCSYLTSPYGNYNQHFPSPRNGLIGNPADTYARNSHFIEGGFPYKRRFSEGFPVSFQGPNSSASFESLNAPFNSIHGHARSHFIRGDVMVQHQQPANNALWLDHPVNLNFEDRNTWSWNLAPGGFPIHGDSGIRGFSESFNSGIHSFSEMSGMSSPNFQHPPSTIIQHSSHRVPLPQAHLQGQRGQNISLHPQAAATTPRVPLSSAYGIMHQYSELEPRHTRDLAFSDHITYNLHQSSVDPETMFRRRSTYQLRVPEEDEFSVRGARVSASASDSNDIGGFADTYGDMRLDIENMSYEELLELEERIGYVGTGLSEKIITSLLKTRISVPSARDVNLEVGATSMNEETNSCTICLDVIDDGTKIGILDCKHYYHADCLKQWLLIKNVCPVCKSEALKR >KGN53715 pep chromosome:ASM407v2:4:7036045:7043297:-1 gene:Csa_4G109040 transcript:KGN53715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKAGSRRVVFVVVVVIGIVVVVVDGGGFTVGKEQREFDYFILALQWPSTSCTNVTVCCTTNACCRRAVSPTEFTIHGLWPKYEGKGWPSCCTNETFDETQIRSLFEDVDKYWPTYRCGLVSSCDNRKGSFWAHQYEKHGTCGSPVILQEYDYFLTTLTLFYKYNVTEALENAEIVASDTKKYPIQDVLNAVHSAFKVNPKLACVKKGIIKEIYLCFDKQFKLRDCDATKSCPKSVKLPKFHKPHGKLNRSKLIGTRRELKMSSMKPKRNPNEKSHRRRTDKEDPSLLRGAHKRRTY >KGN54249 pep chromosome:ASM407v2:4:11882784:11883311:-1 gene:Csa_4G295530 transcript:KGN54249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEFQEISPGPLPLPDHQISLIRLDHIDFLFDSFSSISTPPNSLPSPSRSVQDQPPVSISAVDDDDEHNDGFTTPISSHHKIPVIPHCPPAPSRPKPISLSRTLSPRASGVRRGFLVYISDQIVDSIFSDDLLHQKSKKKARKDDDNGGE >KGN54920 pep chromosome:ASM407v2:4:19215641:19217289:-1 gene:Csa_4G598020 transcript:KGN54920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQTLVWLPRNKAANPKEKYTKISGGSTGKQLYLEPISSFDDFPRNLEVLRLCSEIEVMEFGPGHEIRSRTNWTRIHQSWVLWDSMDDGQENGLSSISI >KGN53303 pep chromosome:ASM407v2:4:3628290:3630302:1 gene:Csa_4G046660 transcript:KGN53303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNLVAAQRPLLHALMKMAGVVPYSVDIEPGTIMNFWVPIESLPKTKKGQPPKPPQKPTKPVVILIHGFAAEGIVTWQFQVGALSKQYSVYVPDLLFFGDSITDKTERSPAFQAECLAIGLRKLGIEKCSVVGFSYGGMVAFKLVELRPELVDAMVVSGSILAMTDSISDETLHRLGFRSSSDLLLPTSVKGLKALLSVAAHKKLWFPDRLHKDFLEVMFNNRKDRAELLQGLVISNKDTIVPKFTQKIHLLWGENDQIFNVDLARKLQQELGDNATFRGIPKAGHLVHLERPCVYNRCLKQFLATLHSDAQTK >KGN54152 pep chromosome:ASM407v2:4:11255716:11258606:-1 gene:Csa_4G290190 transcript:KGN54152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKQRETNAKRWGTLEELLLASAVNRHGTASWESVAMEIHNRCSHQSSSSLTPQDCRNKFYDLKRRFLSQNVLDSDSDPTTLMPMLDELRKIRVQELRRDVERRDVLIVSLEMKVKRLEEERDRSSNQSPEGSDLDTDKAKTNLHLQNPAASGDDSDDRENRSLNESNSTSKKDDVRQNGVVEDNPIIESVNMSKMEETGPPKTGDEPGREWSFESAGQEPEPEPDPEAKPEREKKSSGGYKRREKERNWGNLKAAVVDSNEAWESVSESKQDGKEGAVSKQQSSDVQSSGSLSQRKRCRNSSGEEPEVSPAKPKPKALAVKTEPLLKLLDIIRSHQLGSTFERRLRSQESDRYKNLIRQHIDLRTIRCRVVKGAYADSIHRFFRDLLLLFNNAIIFFHRSSPENGAALKLRALVLKDMKDHIDKPQPIVLKSKPKQETDLSLPSSKPTTKPSTTTIVGCRKRDSVATDCKKVDKNSRDIEVKPKVSDSSEIKIYEKGTWKKGLNSKERLRPTSTPTPANSGQRSSRTSSTSKNNGEVKHEYGGNELSSHDGMEVRMEKKERVTKKKQGAVSFLKRMKQNSPNEAAEEDGDASENECIKEEEEEEEEEEGKRKVKRQKEGKRERVRRSGGGGGGGGRGKKAVGRPPKKTETVTVKRQQREEVSNSKPQKRSRR >KGN54470 pep chromosome:ASM407v2:4:13688416:13694589:-1 gene:Csa_4G337270 transcript:KGN54470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGMYSTNFSPARTASPHIRTTPDVDSQYLSELLAEHQKFGPFMQVLPICGRLLNQEILRVSGMMSNQGFCDLDRLRHRSPSPMASSNLMTNVSSTGLSGWNGLPQERLSRAPGMTMDWQSAPASPSSLTVKRILRLEIPVDTYPNFNFVGRLLGPRGNSLKRVEVTTGCRVYIRGKGSIKDPDKEEKLRGRPGYEHLNEPLHILIEADLPANVVDIRLRQAQEIIEELLKPVDESHDYIKRQQLRELAMLNSSFREESPGPGGSVSPFNSSGMKRAKTGR >KGN52740 pep chromosome:ASM407v2:4:64247:79742:1 gene:Csa_4G000610 transcript:KGN52740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNPQYSGLQPLRPPVVGPMDQGRSFVPPMTAQFRPAVPGPHSQQFVPLPSPHFQPLGQGVPLMNAGMPPPPPQPQQSQFSQPVAHLPLRPCEPVHGTLPPQTIPLPVAQQNRQYTPELQQAQPLTQPAAIGMPGPGGSGTSLSASYSYGPPQNYNTTIVQPVPQSHAPVVSSGGQLGSLVSVTPLNHSREQPYATSSVTSAANVLLMPSATAASSEWREHTSPDGRRYYYNKKTKISSWEKPFELMTAIERADASTNWKEFTSPEGRKYYYNKMTKESKWIIPEELKLARERVEKSSTLGTEKEPVPLELPSVSTLEAPSTTADTQTTAKELASNALSVAAADLQTDKDASPGAVSSVETNGGVQSPVNIVPSSCAISENDDSAGVVEVTTVEPRNDLNQSSAQDTENLTDGVSAQELEETKKDTSDEKVEFTLEERAIDQDTSAYPNKQEAKNAFKALLESANVGSDWTWDRAMRIIINDKRYGALKTLGERKQAFNEFLGQRKKQEVEERRTKQKKAREEFRKMLEESTELTSSMRWGKAESIFENDERFQAVERDRDRRDLFESFLEELKNKERAKAQEERSRNILEYRKFLESCDFIKASSQWRKVQDRLEVDERCSRLEKIDRLEIFQEYLRDLEKEEEEQRKIQKEELRKAERKNRDEFRKMMEEHIAAGLLTPKIHWRDYCMKVKELPAYLAVAANTSGSTPKDLFEDVAEELQKQYRDDKTRIKDAVKLRKVAISLSWTLDDFKAAISKDIGNPPVPDTNLKLVFDELLERAREKEEREAKKRKRLGDDFFNLLCSFKEISVYSNWEDSKSSFEGSQEYSAIEDEKLCKEIFEEYIAQLKEHTKENENKRKEEKARKEREREERERRKEKHKKGEREKEDHFKKDGVDNENVDVSDTLELKENRRLDKERSKKQRKRRYSDEEYSDEDEAGHDRSKKSQSHKDRKKSRRHGSAHESDGESRHRRHKRDHRNGSYKNLDHEELEDGECGDDGASR >KGN53522 pep chromosome:ASM407v2:4:5150715:5158029:1 gene:Csa_4G064050 transcript:KGN53522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDIGLFKQGWKWFQSQKHTYSRARTAFFSFRDKVGMFIERHWPTVCRGCAWMGSLLRLVVLQWWDCIIKGFRSLIGLGSAALLLIMWSCFLSLTSMSCLVYVLLSMGAAGVAVQYLGYTPGLFIVGLFAILVLWMYANFWITGTLFIVGGYLFSLNHARLVVLMATVYAIYCVKVRVGWPGVFLSINLAFLSNDALNYLLQWCDKASESSHFEEQKQSETVSGDEFSGECEYSIPTSESEKVHPCKSASPTVVTSVVDNQKEASCSKVTKDQTDSVDEMKRILDSGDHYEALGFTRHKKIDVIVLKKEYRKKAVLVHPDKNMGSPLASESFKKLQCAYEVLSDSVKKRDYDEQLRKEESKTKSVCQRSQSYGTSQQMNSDYCSEESRRIQCSKCGHSHIWVCTNRNKTKARWCQDCCQYHQAKDGDGWVEYKGSLVFDKPQKMDIPRAFVCAESKIFDVSEWAICQGMACRPNTHRPSFHVNMVGLGKTTQRSKSSRFPWELDAEMMDEDEEEFELWLQQALASGLFCETSKRRKSWSPFKLGQKIGSKQWRRTSC >KGN55011 pep chromosome:ASM407v2:4:19938716:19939392:1 gene:Csa_4G621720 transcript:KGN55011 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 monooxygenase CYP72A59 MWIGTVPRVHIMDPEQLKTVFSFINDFQRPTMNPLLKLFTNGLFSHEGQKWVKHRKIISPAFHLKKLKVSTHEVK >KGN54513 pep chromosome:ASM407v2:4:14251113:14252818:-1 gene:Csa_4G344090 transcript:KGN54513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVGLVIVIFAGLVIVIFVVIVIVIVIVIVIVIVIVIVIVIVIVIVIVIVIVIVIVIVIVIVIVIVIVIVIVIVIVIVIVIVIVIVIVIVIVIVIVIFDIMCMVNLSKGTGQTVKVVVFTQGEKLDEAKNAGADLVGGKDLIEQIKGGFMEFDKLIASPDMMPKVWFLNLFTAVHCGGFPKVLSISNNIVHSNEVVFTCTLKLSTKYVQFRFSCMQYYR >KGN54597 pep chromosome:ASM407v2:4:15022204:15022557:1 gene:Csa_4G374890 transcript:KGN54597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSRFLIIAFTATLALSSINFGHAARHLSQTTPPLVPNLPAIPTLIPPLPGLPNPTLPKLPQPTLPKINFPPLPIGLALPPLPTMTLPKFPPIPTIPISFPSIPFLSPPPATSSSP >KGN54841 pep chromosome:ASM407v2:4:18138013:18147142:1 gene:Csa_4G538580 transcript:KGN54841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYLLGAFKPSCNVSIAFSDGKTRKQVPLKKENGQTVLVPLFQSQENITGKITIDPLQGKKVDHNGVKIELLGQIEMYFDRGNFYDFTSLVRELDVPGEIYERKTYPFEFSTVEMPYETYNGVNVRLRYVLKVTISRGYAGSIVEYQDFVVRNYSPLPSINNGIKMEVGIEDCLHIEFEYNKSKYHLKDVIIGKIYFLLVRIKIKNMDLEIRRRESTGSGANTHVETETLAKYELMDGAPVRGESIPVRLFLSPYELTPTHRNINNKFSVKYYLNLVLVDEEDRRYFKQQEITIYRLQETT >KGN53651 pep chromosome:ASM407v2:4:6293091:6295335:-1 gene:Csa_4G096100 transcript:KGN53651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDCGSRRWDELFPDALGLIFKNLSLQELLVVIPRVCKSWRETVLGPYCWQEIDIMDWSLLRDPVSVITMLQLLISRSSGYLHKLCISSLPNDSSLSLIAKHGKALHTLRVPRSEITNSTVEQVADKLSAVTFLDLSFCKNIGAPALEAIGKHCVNLIGLRRVMCPFEAIDRSSQDDEAIAIASTMHKVKQLEIAYLLINTESVLKILENCPQLEYLDVQGCWHVILDERFKKFSKVKLIGPLEEFSETIRWDGYSDSSSYLVWEFVADELDDQFDMLEFLGEDYTSVDDEEHVYPDWLEESS >KGN53747 pep chromosome:ASM407v2:4:7376461:7381202:-1 gene:Csa_4G119270 transcript:KGN53747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGKGEMVFKSRMKWVGLVGIVLSAFSLFTHFFLARFTQDSISEFQSSITIFSWRPVFEHADFSPTSPLFRRLWGPVRRLETLHPDANPRQHYPDPSLQSNGFIFVRIQGGFHEIRNSICDVVVIARLLNATLVVPEIQSTTSSKGISSQFKSFSYLYNEDQFIAALTRDIKVVKTLPRNLKGARRKKEIPRFKAPYLASPYFYRHNVLPVLKKHSVVELVVSDGGCLQAILSPDLEEYQRLRCRVAFHALQFRVEVQDLATKILHRLRAPGRPFIAYYPGMTREALAYYGCAELFQDVHNELIQHKRLWMRRRGFVKGKLSVNSEDQRLNGSCPLTPEEVGILLRARGYSWDTIIYVSGGEVFGGQRTLIPLHATFENVVDRTSLSTPWELNRLYGREINVGGNYPRSPPSILKESKPDAWNTDGPRPRPLPPPPARPKYPHNIEGWWGWVAESDIEPESTVMELRTNAHKLLWEAIDYFISVEADVFIPGFDRDGKGHPNFASLVMGHRLYQSASLKTYRPDRKQMVTLLAETREHLYQANYTWLRSIRQHLRKSLVDGLIEASTLSKSISFLSHPVPECACSRQVSDQSQAQVGGLGVVHHCPTWMQSELGFRSKDKQTEEEDDEDESTSESFFGYKSENRVEGSGEMNSKEAILLNDQEELDGGDR >KGN53373 pep chromosome:ASM407v2:4:4086335:4090631:-1 gene:Csa_4G050280 transcript:KGN53373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNTTAPGGCPAVMKATSNGVFQGDNPLDFALPLAILQICLVVILTRLLGFAFRPLRQPRVIAEIVGGILLGPSALGRSQEFLHTVFPARSLSVLDTLANLGLLFFLFLVGLELDLKSLRRTGKGAMAIAVAGITLPFVLGIGTSYVLRSTISKGVSGPPFLVFMGVALSITAFPVLARILAELKLLTTNVGRMAMSAAAVNDIAAWILLALAIALSGTGRSPLVSLWVFLCGAGFVLFCFFALPPVFQWISRRCSDGEPVSELYICAILSTVLAAGFVTDLIGIHALFGAFVVGVLVPKDGPLAGALVEKVEDLVSGLFLPLYFVSSGLKTNIATIKGAQSWGLLVLVVFTACFGKIIGTISVALCFKMPFQESVALGFLMNTKGLVELIVLNIGKDRKVLNDQTFAILVLMAIITTFITTPIVMAVYKPAKRKSKSEYINRTIERDEPNSELRVLACFHSVNNIPSILNLIEVSRGKEGRGRRLCVYAMHLMELTERSSAIVMVNRARKNGLPFWNKGGKSDSDQIIVAFEAFQQLSRVSIRPMTAISPFSDMHEDVCNSAERKRAAIIILPFHKHQRFDGSLETTRTDFRWVNQKVLEQPPCSVGILVDRGLGGGSHICASNVSSTITVFFFGGRDDREALAYGRRMVEHPGITLNIVHILPSSDMATESTVIDMHSKDDTNTSTLMDQKVLMEFNVKKIDDESIRYEERTVTKYNDTIEVIREFSRCNLILVGRAPEGQVIESLHFKGGDCPELGPIGNLLTSTEISTSASVLVVQQFRGPLLPSSSTSTATVLPEEVTE >KGN53809 pep chromosome:ASM407v2:4:8043516:8043971:1 gene:Csa_4G133200 transcript:KGN53809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLDYSKAYKLEPCDDVPDKNLDMVENEVVISCENASPSKNPVLAVPAAGKETRKRKKKINKDVGQKKPKTGKATCVTGTSKKLRCKIGASSPGNSKSVRKQKHVSHEKIPTSSLKEEVGTKNSDLEGKDEVLLMLLLFHLCFLGYLMHE >KGN53507 pep chromosome:ASM407v2:4:5015589:5019917:-1 gene:Csa_4G062920 transcript:KGN53507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGAKSNSELRTEICYPKPQTQQVRSSPSVIVIGGGMAGVAAARALHDASFQVTLLESRDRLGGRIHTDYSFGFPVDLGASWLHGACEENPLAPLIGRLGLPLYRTSEDNSVLYDHDLESYALFDTDGSQVPPELVTKVGITFETILKETETIREEEIEDMSILRAISIVFERRPELRLEGLAQKVLQWYLCRMEGWFSADANTISLKGWDQEELLPGGHGLMVRGYLPVIHTLAKGIDIRLGHRVTKISRQYTGVKITVENGKTFKADAAIIAVPLGVLKANVIKFEPKLPDWKEAAIAEVGVGLENKIILHFETAFWPNVEFLGVVADTSKNCSYFLNLHKATSHPVLVYMPSGKLARDIEKMSDQEAANFAFMQLKKVVPDAPAPIQYLVSRWGSDVNSLGSYSYNIVGKPHHLFERLRIPVDNLFFAGEATSIHYPGSVHGAYSTGLMAAEDCRMRFLERYGDVDLLQAVMVDEAPLSAPLLISRM >KGN54737 pep chromosome:ASM407v2:4:16637611:16645689:1 gene:Csa_4G438550 transcript:KGN54737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLPTAAVIANVAKTATNARFFFFFSSSLSHNFDHYLPSFAPPRTFSRFTPPLRTDNVAGRISRNGTQFPFSFCTTASTEPVTSDASIALSSTSLDKDDDDPEKNIKDAADMLDIRVGRIIKAWRHLEADTLYVEEVDVGEPEPRLICSGLVKYIPLDQLLDRRVIVLANLKPRNMRGIKSCGMLMAASDSLHENVELLLPPEGSIPGERIWFGSEDEKGNQPDAAAPNQIQKKKIWEMVQPHLKTDGCCTAVLGSHPMRTSAGVVTSTSLKHANIS >KGN53673 pep chromosome:ASM407v2:4:6547616:6551415:-1 gene:Csa_4G099760 transcript:KGN53673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSSSFSVIKWFSLTRPKSPILLSKLFLLLCILFFFQTHVVYGDSDKSVLLQFKNALSDPSALLSSWIPTDSNYCLWFGVSCDFNSRVVSLNISGNGGVSGNFNSFSCSESSKFPLYGLGIRRGCVGNRGSLIGKLPPVIGNLTHLRVLSLPFHGFQGELPGEIFGLENLEVLDLEGNSVTGLLRNDFSRLSNLRVLNLAFNRVTGEIPSSLLGCASLEILNLAGNQLNGTIPEFVGQMRGVYLSFNFLTGSIPSELGNNCGKLEHLDLSGNFLVSGIPSNLGNCTQLQTLLLYSNMLEEAIPAGIGKLQKLEVLDLSRNSLSGPIPVELGNCSQLSVLVLSNLFDPIPKINYTGDDSPTEELSDDSFNYFAGGIPETITTLPKLRILWAPSANLNGRFPSQWGQCESLEMINLAGNYLFGELPSGFTGCKKLQVLDLSSNRLSGELNKNLPVPYMTLFDLSHNQFFGEIPSFCGNECSQVKFGLNGYVDFNDASSRYLSFFATIIRDASPFEFVGNGDLIIHNFGDNNFTGNLLSLPFPREKLGSKTVYAYLVGGNKLTGPFPDSLFEKCDNLGGLMFNISSNKISGPFSVTIGKKCGSLKFLDVSGNQMIGQVPASFGELLSLNHLNLSRNKFQYQIPTSLGQMANLKYLCLAGNNFNGSIPPALGKLQSLELLDLSYNDLSGEIPMDLVNLRGLKVLLLNNNSLSGQVPSGLANVTTLSAFNVSFNNLSGSLPSNNNMIKCSGAIGNPYLRPCHMYSLAVPSSEMQGSVGDPSGFAASPSGVAPQTSGGGSFNSIEIASITSASAIVSVLIALIILFLYTRKWNSRSKVLGSMRKEVTVFTDIGVSLTFENVVRATSNFNASNCIGSGGFGATYKAEISSGVLVAIKRLAVGRFQGVQQFDAEIKTLGRLRHPNLVTLIGYHASETEMFLIYNYLPGGNLEKFIQERSTRAVDWRILHKIALDIARALAYLHDQCVPRVLHRDVKPSNILLDDDFNAYLSDFGLARLLGTSETHATTGVAGTFGYVAPEYAMTCRVSDKADVYSYGVVLLELLSDKKALDPSFSSYGNGFNIVAWACMLLRQGRAKEFFTAGLWEVGPHDDLVEVLHLAVVCTVDSLSTRPTMKQVVRRLKQLQPPSC >KGN53743 pep chromosome:ASM407v2:4:7323258:7330977:-1 gene:Csa_4G114240 transcript:KGN53743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGHTVGAGTNPLEGAALGMSLLESFAKSGAALTIATTHHGELKTLKYSNEVFENACMEFDEVNLKPTYKILWGIPGRSNAINIAERLGLPSSVVDDARELYGAGSAQIDEVITDMECIKKKYGDLLQEAQNNLTESKNLYEKLLLARRNIIEHGRRQRLRKVQEVANAATTARSNLHQKGRELRASTIEYTSPSAIDRMQRAGINSNNRTTAGKKDLMALRRQISSTSDISQPQSEEPLFPTVGDTVYVSSFGKKATVLGVEPSKDEVIVRVGSIKLKLKFTDIMR >KGN52855 pep chromosome:ASM407v2:4:683606:686023:1 gene:Csa_4G003690 transcript:KGN52855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERQRSFIVKPTRFLVFTFTISSFIIIISFFSIWIVKNSPARPASFLLFNRTTTPVAVSFFRPVNLQTVTTFGRNFSRTDAVTTHFVDAHLRKSENLSTHLKKPDNVTGYGGIPVSGEERRENDMEEGRNDGGADGNTTEVRVSGGESLIKGIEVELPISDRIEKKDLKVSLEKLESSNEIVRKESHQCDLTKGKWVYDESYPIYSNSSCPFIDEGFDCEGNGRLDSNYKKLKWQPQDCGAYRFNATKMLELIRGKRLVFVGDSINRNQWESMLCMLFVAIKDPRKVYETHGRRITKKKGNYSFKFVDYKCTVEFYVSHFLVHEGKARLGRRRIQTLQIDTIDRGSSRWRGADVLVFNSAHWWSHFKTKSGINYYQERDQVLPKLDVNTAFRRALTTWASWVDKYIDTKKTRVFFRSSAPSHFRGGQWNSGGHCREATEPLNETSSLNYPEKNVIVEDVINQMKTPVTLLNITGLSDYRIDGHPSMYGKSFLNRKFSRGGEDCSHWCLPGVPDTWNELLYFHLKYKDMVK >KGN54518 pep chromosome:ASM407v2:4:14346229:14347575:1 gene:Csa_4G351110 transcript:KGN54518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCSASKPVAATAATRSTPNSEPFYSPSSAGSSPALGRAFSLPTPLVHHPPVNKGDTHHLVSLTSTTYGSLLLIDRPTNSNRSPAPPFHVNAEKPIYFSDQISLSPDSVINTWELMDGLDDDSDSDHNSLPAKPTSDNGFKGLVKTIPGKIEEEVGLIPTWSPKKPLWKHISEESLLAKLDPNVASTYTRALSSRQLNSDQATTRRSSSFSSHWQPNFGDTKNRAIVIYFTSLRGIRKTYEDCCFVRTIFRGFRVLVDERDISMDSLFRKEMQEKLGGGTASASLPQVFMGGKHIGGAEEIRQMNESGELAGMLKGFPAAEVRSVCGRCGDARFVPCVNCNGSRKLFGEDGGLRRCPKCNENGLIRCPFCCCL >KGN54126 pep chromosome:ASM407v2:4:11141205:11142492:1 gene:Csa_4G286990 transcript:KGN54126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYTGTVVVTMKPKKDEQFRWVEIIYLKFASVELDSNEQPKEFIKCEAQLQEVDELVEYKKYIGEIVVAEGFGEIGAVIVELQEGVNERFIDTISVEAEEPPISITFSCKSWVQPKGLIDHRRIFFSSNKIQHQRKGLKNGFIFHETKNFQR >KGN54623 pep chromosome:ASM407v2:4:15303413:15304075:-1 gene:Csa_4G385790 transcript:KGN54623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGEEELKTKAENHEVEIQERGEIFFLYRPKVGKQEVHGPDEVQRLYIILRPQSGEKTVEEKQCSYGGQSTHTQEVNIEEQPLLRFIIMGRKSLPHPSHRSRPYWGFVDMVTTNVQDIKTALQGGTSH >KGN55160 pep chromosome:ASM407v2:4:20962695:20971043:1 gene:Csa_4G638500 transcript:KGN55160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENVKVLTISDLIQCRLPLTGTYNFHQSSSFNSLPTELLQSNPRPVPSNSSSPAESNPNPEVLTSLKYPTILIGTLTLPFDAPRSSILKPFCSCPTNNCFQFTDGSGTVCCDILDIDIRMFGKEIRVLSWNFIPLRSAGGFLEIIKWEFLSPSWVLRQCSDVDPVLLDIGTFSTPTDKLKVRHCVCGLLQSVGPITIVPCTLGQRNLQINGKSDSSAVSKKLRGFMAHIMICECRSCTSKEPMSLPDNSVRELNTHSFVNPTIVYLCGSASSWHPVLSKFVGLGFINFWGLKKKLVSIGKAESCLMYVTSEKSSLHLSRLSRTRLPCKKSVIKGKGECGSYTGIIKGVYMQGMLVELDNEVWVLLTDHFLSPPHSIRVGAIISVRNAHFVNPRFPWSKLLLLGTCAKTSIFVQLFSPLETKCHVLSQSRSMLGKFIPTLPFSTRLWVLFLISSFRKMFAGNLSEKEILGSKHNEGLVQMYAKLHLPMSMYRYQHGSMMKLYEHDSCGCASEPCNINLETVVPVSVLIFYCNSTCMRTMSLKNEKVVQYEYNQLDHFRLLPRGGKSSHDTPRKIYRSEDIGFVLVGSLKISTYSGRLQLVDATGGIDVMVPDLPSTWNVNGIYEVSKYIVVIEGIPQMEKYLINQSFSCRRFFQSVSSERDLSTTIYVYFQYRNASCKKLPSYSCNDNASDLVIFESGTYDLLEVTHKFPMSQKFQGKHLAPNTSSMFVEAVLHPWNLFLTESEKKYSTKVSLKQQREDAGTANDPKDVNKRLKIDDPSRRVEGSSIACDSDQSSCGFSGCCACYKVPNEEQKCCNLSLHRISCIATIRSSDHRSQYIGFLQNTRTEPNSGGGSRLSAQKILLEIRPENFSKYQFLQIGSFYITKRNNNHSLFNMEESNCVNSQKFLITSCTQLWCISFTFGNDILHGTESNNTQFSDFPICDGGVISGDQIDLHCRSLSDIYLHLPANAKDSLVFDLEKQEENSTKLVIKPEEAGKPCYRDGISSDMQTSGFHGTDCLFPEGNLSSVKGHVVAVHDLHQSCIDSNLECQSIKGGLCRFPVGGKSTCIHLLMEDQIVKIFGYLKNHALPVGFGPGVSATFHRVLELGDLRRLMLTPLSFIDINSFSVLDHSFTEKYPDIVSYSDTISLQLFSQLINSSHCKLTKFRCRVVAVNFLVLEKNIDHVNLQVEISPRQPLVKIPLAGFILDDGSSRCNCWASGERAAALLRLHDPLPQLAFKNIDRVFKWTGMNHYSPGTASYHLSKVLKNHGRIIVRSCGSILNSYQDLDISLASDDALSSANESFIKFIIVNSCISAIWTLIGSKLDSDAVRNLLKEHTLEPWLMESHNIWVTDVHRTNALKEAKNAILELANG >KGN55296 pep chromosome:ASM407v2:4:21717104:21718106:1 gene:Csa_4G644730 transcript:KGN55296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTRMDNNNDQCRGRKIFVGGLSAELTEEEFRSYFENFGQITDVVVMHDSVTNRPRGFGFVTFESLESVDSVLQRNFHELNGRRVEVKRAIPKKGNYNGVHIPNARAAKSPLLNGNRNVPFPYPYYGSGYPIYPGFTHFPHYGAAVDSYGSNFYGNWFPPGSANIASDGWWHGPNFFSVGMLPPLCRNSLMHPSKGIYIGSRNGMANTRNGEIKQNTAGKRIMSADAAPFQIKDRTS >KGN55312 pep chromosome:ASM407v2:4:21843755:21844468:-1 gene:Csa_4G645860 transcript:KGN55312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDKLDWVYIGHGFVHTNPSVTLGAVLDLMSLTDGQQFSLSVGISQNPRSKNWWLRLKVQGRPVGSNLRKVPHTGMAMGCGDYAGGLKTFACQVAQSRIVDISLQLKYPPKLGTWADEANCYSAYIY >KGN55155 pep chromosome:ASM407v2:4:20926503:20929512:1 gene:Csa_4G638440 transcript:KGN55155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIPNPTPFFFLLLQLQAFLFLNSLSIASSLNHSISNDDDNAHLLQDVLNDLAAKQKWDLEGIKILELDVESLRFGFAESYEIRLGLGKTRLLAKFSDEVSSWKKPSSANQTRFGSLINGIGSMAAIRTFKIVGPFDLMVEGEARLSVSLPNATHVGVKRILVGEGITVEVSEAEEVSVFYSSDLSKLLNETRRSNGKIRTYPFRLPFCSPLLPLRVLGSATLSAYRTQNPDDYIRTRFLSKDSIELLPNKCYGRNTHIENSPLLGSLKPQFHMLDTVFQRYLRNWILQNGLLAFVKVKMRACVVVRFQLELENTFGTNSSLYARLAEWRTKPTVERASFEVLARLDTVRLKPLAVKKLKPLIVADSTEWRNLLPNISFTKFPSLLVSPEALTLDVKW >KGN52906 pep chromosome:ASM407v2:4:929912:932466:1 gene:Csa_4G006130 transcript:KGN52906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTVQKPKAMGKSPRSFKRRKYTKLDKIIFFSLFIVHIACIFAPFHFTWPAFCVAFALYFITGLCISVSYHRNLAHKSFKLPKSMEYFLAYCAAHALQGDPIDWVSTHRCHHQFVDTEKDPHSPIEGFWFSHITWLFDSYNLTKKVCPNYFTDFQKVDRSIFIWFSKHGRPDNVRDLEKQTFYRFIHKTYFLHHILLAILLYQVGGLPFLIWGTCVRIVVLMHVTFMVNSVCHIWGKRRWNTKDLSRNNWLVGLLAFGEGWHNNHHAFEYSARFGLQWWQIDFGWYTIRVLQAIGVAKNVKLPSTIHKQRLFIDY >KGN53854 pep chromosome:ASM407v2:4:8653474:8659384:-1 gene:Csa_4G166980 transcript:KGN53854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVDTNPGKPSKQIGGGQVCQICSDSVGTTADGEPFVACDVCAFPVCRPCYEYERKDGNQSCPQCKTKYKWHKGSPPVTGEAVEDGDGNGVGGAQERHHKMPERTLSWDTNYDKEGSFNHIPLLTTGRSVSGELSAASPERLSMASPESGSRANYRIMDQSRDSGSARFGNVAWKERIDSWKVKQDKSVPPMSVSHAPSEGRGGADFDASTDVVIDDSLLNDEARQPLSRKVSIPSSRINPYRMVIVLRLIILCIFLHYRITNPVPNAFALWLISVICEIWFAISWILDQFPKWLPVNRETYLDRLALRYDREGEPSQLAAVDIFVSTVDPLKEPPLVTANTVLSILSVDYPVDKVSCYVSDDGAAMLTFEALSETSEFARKWVPFCKKYSIEPRAPEWYFAQKIDYLKDKVQPSFVKERRAMKREYEEFKIRVNGLVAKAQKIPDEGWVMQDGTPWPGNNTRDHPGMIQVFLGHSGGLDTDGNELPRLVYVSREKRPGFQHHKKAGAMNALVRVSAVLTNGPFLLNLDCDHYINNSKALREAMCFMMDPNLGKSVCYVQFPQRFDGIDRNDRYANRNTVFFDINLRGLDGIQGPVYVGTGCVFNRTALYGYEPPLKPKHKKAGVFSSCFGKSKKKSSKSKRKDSDKKQSSKNVDPTVPIFNLEDIEEGVEGAGFDDEKSLLMSQMSLEKRFGQSAVFVASTLMENGGVPQSATPESLLKEAIHVISCGYEDKTDWGSEIGWIYGSVTEDILTGFKMHARGWRSIYCIPDRPAFKGSAPINLSDRLNQVLRWALGSVEILFSRHCPVWYGYGGRLKWLERFAYVNTTIYPITSIPLLAYCTLPAICLLTGKFIIPQISNLASIWFISLFLSIFATGILEMRWSGVGIDEWWRNEQFWVIGGVSAHLFAVFQGLLKVLAGIDTNFTVTSKASDEDGDFAELYMFKWTTLLIPPTTLLIINIVGVVAGISYAINSGYQSWGPLFGKLFFAFWVIIHLYPFLKGLMGRQNRTPTIVVVWSILLASIFSLLWVRIDPFTTRVTGPDVEQCGINC >KGN55079 pep chromosome:ASM407v2:4:20386496:20387064:-1 gene:Csa_4G627780 transcript:KGN55079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCSVNENEIKSFRVYKNNPKKWGMAEWVVTQKWGKTRVGKGKEKEKKGREETVLVREKASTCRWVLPFVVLEGTVPFLKAILLSWLGDQP >KGN53416 pep chromosome:ASM407v2:4:4408704:4409792:1 gene:Csa_4G052660 transcript:KGN53416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYALRLGLPFVPSYLGHVGAVEDMFQGVLELSSPGDPNVQNLYPAWDFNQFLAVTIRQEVKNLYYMNGRRIVVMGLTPIGCAPFYLWQYLGENAACTEEINDMVIKFNFFMRYMVEELSMELPDSNIIFSDLLRGLMDILKNHICALQGSMDLNRTSPCRTLR >KGN53503 pep chromosome:ASM407v2:4:4980310:4989153:1 gene:Csa_4G062390 transcript:KGN53503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQSDVNDLRDSAENSSANSLFDASRYEFFGQNVVGEVELGGLEEDEDAPLFGSTDEEYRLFVREESAGLGSLSEMDDLASTFAKLNKVVTGPRHPGVIGDRGSGSFSRESSSATDWAQDGDFCNWLEQHVFDPECAQEEKKWSSQPQSSVRLPDPKPLYRTSSYPQQQPTQHHFSSEPIIVPKSSFTSFPPPGSRSQHGSPRHLKSIQSLADGSQLPFSAPNITSLSKSNLQLAGMHHGLHYGGNMHQYTTPGLSFSSRPQNQWINNAGLLHGDHSNLFNSILQQQLSHQNGLLSPQLLSAHQQLQQHRLHHPVQPSLAHFAALQSQLYNAHSPSSHRAMLGLSDVREQKPKSQRGKHNMRSSQQGSETGSQKSDSGSIQFRSKHMTADEIESILKMQHAATHSNDPYIDDYYHQARVAKKATGSRLKNAFCPSRLRELPSRSRSGSDQHSHSTPDSLGKIPLASIRRPRPLLEVDPPLSGSCDGGSEQTISERPLEQEPMLAARITIEDGLCLLLDIDDIDRLLQHNKPQDGGVQLRRRRQMLLEGLAASLQLVDPLGKSSHGVGPSPKDDIVFLRLVSLPKGRKLLSKFLKLLFPGSELARIVCMAIFRHLRFLFGGLPSDPGAAETTSNLSKTVSTCVNGMDLRALSACLVAVVCSSEQPPLRPLGSSAGDGASIVLKSILERATELLTDPHAASNCSMPNRALWQASFDEFFSLLTKYCVSKYETIVQSLFSQTPSSTDVIGSEAARAISREMPVELLRASLPHTNEPQRKLLMDFAQRSMPVSGFSAHGGSSGQMSSESVRG >KGN54955 pep chromosome:ASM407v2:4:19535019:19540574:1 gene:Csa_4G615750 transcript:KGN54955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGSRSNSQLRKAVCHSGPEKGQVRSPSVIVIGGGIAGVAAARALHDASFQVILLEARERLGGRIYTNYSFGFPVDLGASWLHGVCKENPLAPLIGKLGLPLYRTSEDNSVLYDHDLESYALFDMEGKQVPQELVTKVGQVFEAVLEEADKIRDEYTEDMTITRAFSIIFERRPELKMDGLAHKVLQWYLCRMEGWFAADANTISLKCWDQEELLPGGHGLMVRGYLPVINTLAKGLDIRLGHRVSKVVRRYNEIKVTVENGTTFVADAAIVAVPLGVLKANTIEFEPKLPDWKESAISDLGVGVENKIILHFEQVFWPNVEFLGVVAETTYECSYFLNLHKATGHSVLVYMPAGQLAEDIEKLSDEAAANFAFTQLKKILPDASDPINFLVSRWGTDVDTLGSYSYDIVGKPHDLYEKLRIPIDNIFFAGEATSTSFPGSVHGAFATGVMAAEDCRMRVLERYGELNIFQPVLAEEPVSVPLLISRL >KGN53720 pep chromosome:ASM407v2:4:7095480:7099961:-1 gene:Csa_4G110070 transcript:KGN53720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLEIAKTQEERRKMEQQLASLNSVTFDTDLYGGNDKAGYVTSIPVNEDDENLESQVNVVGRKLASYTAPKSLLKEMPRGVDEDEDLGYKKPQRIIDREDDYRKRRLNRVISPERHDAFAAGEKTPDPSVRTYAEVMREEALKREREETLRAIAKKKEEEEAAKASGEKPKEPLASAAAPQKRRNRWDQSQDDGGAKKAKTSDWDLPDTTPGRWDATPGRVGDATPGVGRRNRWDETPTPGRLADLDATPAGGVTPGATPAGMTWDATPKLAGMATPTPKRQRSRWDETPATMGSATPMPGATPAAAFTPGVTPVGGVELATPTPGAINLRGPMTPEQYNLMRWERDIEERNRPLTDEELDAMFPQEGYKILDPPASYVPIRTPARKLLATPTPMGTPLYAIPEENRGQQFDVPKEAPGGLPFMKPEDYQYFGALLNEEDEEELSPEEQKERKIMKLLLKVKNGTPPQRKTALRQLTDKAREFGAGPLFNRILPLLMQPTLEDQERHLLVKVIDRVLYKLDELVRPYVHKILVVIEPLLIDEDYYARVEGREIISNLSKAAGLATMIAAMRPDIDNIDEYVRNTTARAFSVVASALGIPALLPFLKAVCQSKKSWQARHTGIKIVQQIAILIGCAVLPHLRSLVEIIEHGLNDENQKVRTITALSLAALAEAAAPYGIESFDSVLKPLWKGIRSHRGKVLAAFLKAIGFIIPLMDALYACYYTKEVMYILIREFQSPDEEMKKIVLKVVKQCVSTEGVEADYIRNDILPEFFRNFWVRRMALDRRNYKQLVDTTVEIANKVGVADIVGRVVEDLKDESEPYRRMVMETIEKVVANLGASDIDARLEELLIDGILYAFQEQTSDDANVMLNGFGAVVNSLGQRVKPYLPQICGTIKWRLNNKSAKVRQQAADLISRIAVVMKQCQEEQLMGHLGVVLYEYLGEEYPEVLGSILGALKAIVNVIGMTKMTPPIKDLLPRLTPILKNRHEKVQENCIDLVGRIADRGAEFVPAREWMRICFELLEMLKAHKKGIRRATVNTFGYIAKAIGPQDVLATLLNNLKVQERQNRVCTTVAIAIVAETCSPFTVLPALMNEYRVPELNVQNGVLKSLSFLFEYIGEMGKDYIYAVTPLLEDALMDRDLVHRQTAASAVKHMALGVAGLGCEDALVHLLNYVWPNIFETSPHVINAVMEAIEGMRVALGAAVVLNYCLQGLFHPARKVREVYWKIYNSLYIGAQDALVASYPALEDGENNVYSRPELAMFI >KGN54365 pep chromosome:ASM407v2:4:12677524:12679132:1 gene:Csa_4G308550 transcript:KGN54365 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll A/B binding protein MATAAATSSFLGTRLAEIVPSSGRVQARFGFGKKKSPPKKSPSSKVISDRPLWFPGAKAPEWLDGSLVGDYGFDPFGLGKPAEYLQYDLDSLDQNLAKNVAGDIIGTRFESAEVKSTPFQPYTEVFGLQRFRECELIHGRWAMLATLGALSVEWLTGVTWQDAGKVELVEGSSYLGQPLPFSITTLIWIEVLVIGYIEFQRNAELDPEKRLYPGGKFFDPLGLAEDPEKKAVLQLAEIKHARLAMVAFLGFAVQAAVTGKGPLNNWATHLSDPLHTTIIDNFSS >KGN52899 pep chromosome:ASM407v2:4:906424:908374:1 gene:Csa_4G006060 transcript:KGN52899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEKEVKPHEGHKPLVMDESKQEEPKKMVKPPRSFWRRNWTTLDKRVAYIILFVHLLCIFAPFQFNWSAFRVTVALIVITGLFGMTISYHRNLAHKSFQLPKWLEYSFAYCGVHCLQGDPLDWVSTHRCHHQFVDSEKDPHSPINGFWISHMMWLFDSYTLTNKVCPKYSSDLKKIERNMFVIFTKHGKPDNVGDLEKQRFYRFIHKTYMLHHLALAIILYSIGGVPFFIWGMCVRIVAVFHSTFMVNSVCHIWGKQPWRTGDLSRNNWLVGLTSFGEGWHNNHHAFSYSARLGLEWWQLDAGWYVIKFLQVIGLATNVKLPSPTHMQSLAMDHKPKDKLF >KGN53044 pep chromosome:ASM407v2:4:1856436:1858873:1 gene:Csa_4G012400 transcript:KGN53044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELTLPLLEPSANAVVSICFSPKPGVVPKHGGTYFLSLTSLTPPNFNLTRMDPDAVAKAFVDHYYSTFDANRANLGNLYQDNSMLTFEGQKIQGSPNIVAKLSSLPFQQCKHSVSTVDCQPSGPTGGMLVFVSGNLQLAGEQHALKFSQMFHLMPTPQGSFFVQNDIFRLNYA >KGN53144 pep chromosome:ASM407v2:4:2405815:2406250:-1 gene:Csa_4G022310 transcript:KGN53144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSNQVINLNCGVKLPIFGFGTFAYQIDPNAIESAVHMAIKMGYRHFDTATIYGSEGAVGKALNDEFLNYRTLGREDIFLTSKVWGSDHHDPVSALKTTLRYCYIYIALPQ >KGN54124 pep chromosome:ASM407v2:4:11133291:11135365:1 gene:Csa_4G286970 transcript:KGN54124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVRKIVSVDVIPKINEKFPSDDTLFLKFASSDLDSDGQEKALIEGVAKIHKKSDQKYNYAAKIEVPEGFGEIGAVIVELKEKSTERFIDTIFVTNLGGSTIVQGETDGLPGLIRRIYTYLVVLFSIIRLVFDSVIRCTKLWFRAPPQQASTTVGGLGSPLHANCSTSQNSVTFSCNSWVQPKNLIPDQRRIFFSTKIQVQNEELREAFMFQETKHSQR >KGN54538 pep chromosome:ASM407v2:4:14520121:14523701:1 gene:Csa_4G358760 transcript:KGN54538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLYHHPYSLDSQKVRLALEEQSIDYTSYHVNPITAKNMDSSFFKINPSAKLPVFQNGSHIIFDTIEIIQYIERIAVVSSGTDEIMPSSREVVEWMHKIQEWNPKYFTLAHIPEKYRLTVTRFIRRVVISRMAETPEMAAAYHRKLREAYETEDKLKNKSVVKQSTDHLVALLDEIELKLSETSYIAGEEFTMADAVFIPVLARLELLKLADEYIGSRPNISEYWILVQQRPSYKKVIGKYFKGWRKHKTLLKTWCFVHIRTLLRRY >KGN53824 pep chromosome:ASM407v2:4:8254250:8257505:1 gene:Csa_4G152290 transcript:KGN53824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSSAQIFPFQICPKFRLLSNQLHLLPSHFSSPLLTDRRLPPIHRHFFFWNTPFKPTGISRSIMSEARDRLERQVDYAEVFARRRSEGILDEQEMGSNLIGTPIARATTTNTAQQRPTNPGPGGGGTNLRRTFGSPISGGIGRNRFLYRTPVLSRENPSAGSSRRSRSRGRNSVLPIWYPRTPLRDITAVVRAIERTRARLRENEGQGSDSSPAPSDERALEYSVSVASDHQEPIISLLTPKPTVGKVPKILRGIANENTVGAEILTPQKKLLNSIDKVEKVVMEELQKLKRTPSAKKAEREKRVRTLMSFR >KGN53571 pep chromosome:ASM407v2:4:5570928:5574250:-1 gene:Csa_4G082970 transcript:KGN53571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSVKPSAVSSKKSKLARTFAKVLHIRMLSGVSAVDGVEKGKRMPVVKNNGVADSESDSFDCSDEDQQERGAMEAFLAKLFANITALKAAYAQLQYAQCPFDVDGIQLADRSIVSELKSLSELKRCFVKKQFDLLLPETAMLSAELVEQKSVVKLYEISVKKLNSQVRLKDSEIIFLKEKLEEAKSNTKVLEKRMNQSGPLENLQLSAINSNHMARVLRHTVKTIRSFVQLLIDEMKCCGWDIGEAASAIEPHIVYFKEEHKCYAFEAFVCRVMFEGFHFPNFALPNESLPPDKNQQKKLYLRRFAETKSLKSKELIGHGQKPNSTFAKFCRVKYLQLIHPKMESSLFGNLNQRSLVSAGKIPETAFFATFADMARWVWLLHCLAFSFEPEASIFQVNKGCRFTDVYMKAVTEEIFFLSTQPDLGVAFTVVPGFFIGKTIIQCQVYLSQSQQQHHHHHNPVQTKQR >KGN54789 pep chromosome:ASM407v2:4:17391827:17392024:1 gene:Csa_4G498030 transcript:KGN54789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMKNKWIDQGDRSDQFSRRDGRRCLKNGEEKVWSIVSKVLAFWLAADMDDAHTKKSETNEQKEN >KGN54054 pep chromosome:ASM407v2:4:10759363:10766209:-1 gene:Csa_4G279830 transcript:KGN54054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMKPLVNAFSLLELDAEDDQIPALSTSSKDDATVSYPSPTGKRKKEVNISGDILTGERQKQGQPNAVAEDYKFPLVWIDLEMTGLNVETDRILEIACIITNGNLTKLVEGPDLVIHQSKECLDKMGEWCQSHHAASGLTKKVLRSTISEREAEKQVIQFVKKHVCTDKLHLAGNSVYMDFIFLKKYMPDLAGLFSHVLVDVSSIKTLCDRWYPRDRKKAPPKENKHRAMDDIRESIMELKYYKENIFKNSKK >KGN53233 pep chromosome:ASM407v2:4:3081034:3086508:1 gene:Csa_4G031040 transcript:KGN53233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKSKYSILDNRPIDQWKVTELKEELKRRKLTIKGLKEDLVKRLDEAVRMEREENAEETNGVDGDPPVTNSDNNQEHASIVSGTAKETNEDTNITDNVDDVGVQVEKDDSNAAVKEGGIQDGAGLNGSPRVEEGSSVRVSTVETKTTVTETVVSEVAIGVGVEGLQNTESKDNEDRLELDSEDSKPQLDSEESKPHMVSEESKPQLVSEGSKPQLDSEDSKPLLDDVNMELQVENEYLKSQQADLVHDSSAPDDQVSEVSPVLGSQVRTDSISTASVTINEMIELKENMSADHVKLELDVKQEMVEPSSSIIVPDAGESHPMDVEEPHVNKNVQESLANRDVLESPENKDVMDSIVKEDVEKKEDVKDIISELHEKHDGVDVGFSEKLNLDRSSGDDSIEDTTENKTDSVNNLEEMGEKNVKNEGLMSQEEKVVDIAMRGSTGDRKSIGIENDVTSLPAEKRRLHDQAVVGNESVKRQRRWNSENLKIPEPQNAAHTSTSNSKDIHQSTAPKRNFSRSDSTASEDPSKERVVPPSPKPPTNSLRIDRFLRPFTLKAVQELLGKTGNVTSFWMDHIKTHCYVTYSSVEEALKTRDAVYNLQWPPNGGRLLIAEFVDPQEVKTRVEAPQTPTPAVVAPPVSNVPPPVQPEPSPRQPRQQHAPPPSLPPPPPTPTPTPTPTPTPTPTPTPTPTPTPTPTPTPTPTPTPTPTPTPTPTPTPTPTPTPTPTNNVAQAREQLPLPPPPALPDKVDTPIVTLDDLFRKTKATPRIYYLPLSDEQVQIKHTASARGKDIKQ >KGN53499 pep chromosome:ASM407v2:4:4965994:4966825:-1 gene:Csa_4G061860 transcript:KGN53499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKFLKPNKAVIVLQGRYAGRKAVIVRAFDDGTRDRAYGHCLVAGIKKYPAKVIRKDSAKKTAKKSRVKAFVKLVNYRHLMPTRYTLDVDLKDVVTIDSLQSKDKKVTAAKETKKRFEERFKTGKNRWFFTKLRF >KGN55021 pep chromosome:ASM407v2:4:19992930:19994435:-1 gene:Csa_4G622800 transcript:KGN55021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTMAARSLNLSFLPTGFISTSKTQQSFNFVSFSSPPSFRAIKNKKLSSSSTRVMGCSSSSSQKPDGIKEAGTVNYKSLSDDEWKKRLTGEQFYITRQKGTERAFTGEYWNTKTAGIYHCICCDTPLFESSTKFDSGTGWPSYYQPVGDNVKSKLDLSIIFMPREEVLCAVCDAHLGHVFNDGPPPTGKRYCINSAALKLKPDK >KGN53275 pep chromosome:ASM407v2:4:3405455:3406668:1 gene:Csa_4G043910 transcript:KGN53275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDELLAFYSEDEGGNNKSEFEDLHLQTTIKLTRDNIKAIIMDVMFGGTETVASAIEWTMADHDKPRRPRKASTATRRHRRPSPPSTRIRLTQSNIPQMHSERNSPSPPADSGAPPRNGSRNRTRGLSDSCQVSCLHQRIGDRSGREVLGGPDIFRPARFEIEGAADFKGGDFEFVPFGSGRRSCPGMQLVVYAVEMAVANLG >KGN53575 pep chromosome:ASM407v2:4:5613254:5617032:-1 gene:Csa_4G083500 transcript:KGN53575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFLRRKEALSRIYNSILHSKPSSLHRHQPFRSTFPSQSSNNYLFRVSAHPSFSKVHQASLFRNMSTVASIGSNKEGLKLLVTAGPRAQKMVGIWLFGSAAWVFSMVVLGGVTRLTRSGLSMTDWKFTGNLPPLTDEEWLQEFEKYKQSPEYRRVNKGMSIEDFKFIYWMEYAHRMWGRALGIMFALPFSYFLRKGYITLRLGLRLSTLFALGAGQGLIGWWMVKSGLEEPASEYVQPRVSPYRLAAHLTSAFIIYCGLFWTGLSVVMPEPPVESVAWARGAAKVRRIALPVSLLVGITAISGAFVAGNDAGHAYNTFPKMGDTWVPDDIFDMKPLIRNFFENTSTVQLDHRILATATLVSIGTLWWSTRKLEIHPAVRSLIGSTFGMAALQVTLGVSTLLSYVPVSLGTAHQAGALTLLTLVILLNHTVRRPSMSLLKSLPQVVKTA >KGN55407 pep chromosome:ASM407v2:4:22420810:22421987:1 gene:Csa_4G651740 transcript:KGN55407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSNPQNHLKKLSAADDWISESINGGSLRHVDLQTGTNGWASPPGDLFCIRSTNYFTKRQKCPAGDYLLFPAGMDWLKSTSKLENVMAREDNRVSSSLRRAQSEGKSSKSFIFAVNLQIPNKDQYSAVIYFAAKDPIPTGSLLHRFIHGDDSFRNQRFKIVNRIEKGPWVVKKTVGNYSACLLGKTLTCSYHRGSNYFEIDVDIGSSALASAILRLTLHYVDSVTIDMGFVLEAVTEDELPERLIGAVRVSQIEMAAAMEVESDAGTPRRLENGKTDQRRDQL >KGN53017 pep chromosome:ASM407v2:4:1683695:1688164:-1 gene:Csa_4G011640 transcript:KGN53017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCNKDEAARAKAKAEEKFTAKDIVGAKKFALKAQNLYPGLEGISQMLATLDVYISAENKINGEVDWYAILGVNPRADEETVRKHYRKLALILHPDKNKSIGADGAFKLISQAWSLLSDKSRRVVYDQKRNGSINKTISASRGTSSSPSGRNGFYNFTKSATTSNMKRQKSAPRSDHSSASSQKPRPTFWTVCHRCKMQYEYLRVYLHHNLVCPNCHEPFFAIETPPPPANGVKSNGWDFTQPSYQTGSKTAYSQGRSNIASSSNQSTHSQNNFQWGPFSRTGGASSAAQAATVVQQAYEKVKRQREEAQAAKREERRKHQTSRKAPGASSTGHTGSAKRRRGIDDVSSGSHARDMTNQSKTGLERTRLGNLSGYTQSNLNRNTKLQSSQDASLSEFRNLLIKKAKMEIRKMLRELNSPTSTTGAVKEGNGKEQVTGKREAIPVSDKKGNKEISIELLNLKRESQSVIGFPSNSCSREAGMMVIDVPYPDFHNFDRDCTESSFGDNQVWAAYDDDDGMPRRYAWIQSVVSLSPFKMKIRWLNPITDNELGSLSWVSCGFPKTCGGFRTGRCELYSSLNFFSHKVRWSKGTYGDICIYPRKRDVWALYRNWSPEWNELTSNEVIHKYDMVEVLEDYNKEVGVIVTPLLKVAGFKAVFHQHLDPNQVRRIPKDEIFRFSHLVPSRLLTGKEAPNAPRGCRELDPAATPIDLLHIIETPKEEIIEIEDFKPQSSVIEIVDVSDEKMEKKPTEARKEDIMKPIAIEVAEDVLELKLVRKTVHTNEMQVS >KGN53988 pep chromosome:ASM407v2:4:10139594:10143800:1 gene:Csa_4G242880 transcript:KGN53988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPSPSLITCPIRILTTTLRPSTIIFQAYHYHQPIPKFNSLFGYRPHLLGSSTRSFPASASHTPESQVPAASAPLIQTHLGAASRTSTLEKMNTVEEELEKAIYRCRFMAFFGVLGSLIGSIHCFIEGCVHVAASFSEYFVNRGKVIIVLVEAIDVYLLGTVMLVFGTGLYELFISQLGNARPLSKSNVEHKSNLFGLFPLKERPKWMNVRTVNELKTKLGHVIVMLLLIGFFDKSKKVVIQSPGDLLCLAVSIFLSSGTLFLLTKLTE >KGN53981 pep chromosome:ASM407v2:4:10031026:10033863:-1 gene:Csa_4G228360 transcript:KGN53981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGTASFSSKNLDSNPMEMSKGIQIEGYPIKGLSIGGHETCIIFPTLNLAFDIGRCPQKAISQDFLFISHGHMDHIGGLPLYVATRGLYKMGPPTIIVPKSIKNDVENLLEVHRRLDQSELRCNLIGLEVGEELSLRRDLKVRVFRTYHVIDSQGYLLYSVKQKLKKDYLGLSGNEIKKLRLSGVEITYTITEPEVAFTGDTTSDFIVDENNIDVLRAKILVMESTFLENRVKVEHAREYGHTHLFEIINHAKKFKNKAILLIHFSARYTTEVRLMPVY >KGN53358 pep chromosome:ASM407v2:4:3972167:3978122:1 gene:Csa_4G050150 transcript:KGN53358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALEFTFPVDVAPLKLMGPDGSLRTELTIEEVELCEADRGSAPSSFSFQHLSSYGSLKAGTSSINDLGSVPLDKIPDGAVSKDGEDASEDFESRNKRSQLSTSSPGVHPRKSLKVPRSSSSSLCSKRPRVVQLEDSLFLSGADDAKDASDKLGSYLKKCNSHEKTQLLKQKSSLSSKRGDKRNLKVSLKTKLESLSTNAGNGSAAPGSSFSGLYGLKSDVHDFTKLTDDPPLNGLLDGSYDCANLSKDKGRKDTNVNECFLQSIRKACSVLQLPLPVHPQNMPESESCSNSKPSTSLVSSVSSMEERANFDAKGTSASWATDSPSLNKVQDACSNSEPLANALDFELHKPDDMFVKLGLPLPKDLESLLQDASKSSVPSKNATDLRSAKQQFRRAMLQPFPWSHSFNGHSKASSDSSKLSANRTTCPGRWWRVGNFSNIPSATTDCFTKDLESLTFNHNLFPSTMRVVGSKDGGSFVSVNHNQCGWDSLSSATCSKTSSVLVESRGKINHEANEQHCPRVMAAAQTLCDIATSASLRQNIDGIVRWPKKPSQKSMKARKLKSEETEELYTKPTIYRLWSNNPFKNEGHQTPHPLKKPKLGTTTENRRDNIAQTNCRRGPLNWSTPRSSRSSPSKFIKDSVSDTKQSTVGTVKQSSMMPPPATTLLCKAGDGQQKTRKLMLMDWKRGGGGTG >KGN54978 pep chromosome:ASM407v2:4:19724306:19730735:-1 gene:Csa_4G618430 transcript:KGN54978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFNQLLRVITNPKLGSCAKDVAALRTSGERLIQVQLLSQQYATEASLQRDDNSTTDTGSGQSFKGHGMLAPFTPGWQIADVNPLVIEKSEGTYLYDINGKKYLDSLAGLWSTSLGGSEARLVAAATEQLKTLPFYHSFWNRTTKPSLELTKEILEMFTARKMGKVFFANSGSEANDSQVKLVWYYNNALGRPKKKKIISRLKGYHGSTLIAASLTGIPALHQQFDLPVPFVLHTDCPHFWRYHLPGETEEEFSTRLANNLENLILKEGPETVAAFIAEPVIGSGGVILPPATYFDKVQAVLKKYDVLFIADEVICGFGRLGTMFGCDKYNIKPDLVSIAKALSSAYIPIGGVLVSPEVSDVIHSQSNKLGNFAHGFTYSGHPVACAVALETLKIYKERNILEVVNNLAPRFQDGIKAYQNSPIIGEIRGIGLISGTEFTDNKSPNTPFPTEWGVAAYFGEVCEKYGMLVRVSGDTITMSPPFCITPEEIDEIIRIYGKALKDTEQRVKELKSQQK >KGN53476 pep chromosome:ASM407v2:4:4834679:4839291:-1 gene:Csa_4G056660 transcript:KGN53476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQSSQPLTRVQKLAETHPSQVPPQYIQPPHHRPSHPNNSTHLSIPVIDLFGFDPSHRPAVLAAIGRESTEWGAFQVINHGIPVSLLNQMRAAALSFFRDYPVSDKLAYACDPNSFASEGYGTQMLLDQHVRSQSSVLDWRDYFDHHTLPLSRRNPSNWPHFPSHYRELMAQYSDCMKLLSQRLLALISETIGLPSSWMEDAITGMGAEEGFYQNITVSYYPPCPQPDLTLGLQSHSDIGAITLLIQDDVSGLQVLSGQGDWVTAHPLSPDAIVVILADQTEILTNGKCKSAEHRVITNSSRARLSISAFHDPPKTVKISPAAELVSESSPLRYREVIYGDYTSSWYSNGPEGRRNLDAVKLHN >KGN54680 pep chromosome:ASM407v2:4:15865425:15869442:-1 gene:Csa_4G420210 transcript:KGN54680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLQFGYAGMNIITKVALNRGMSHYVLVTYRQAFATIVLAPFAFFFERKVRPKISFAMLMQIFLLGLLGPVIDQNFYYAGLKLTSTTFSCATSNMLPAMTFILALLCRMEKLEMKKVRCQAKVVGTLVTVGGAILMTLYKGNVISFFWSHHNNNYLHSSSASSNYYSFESTYQDWLKGSILLLFANLAWALFFIVQAMTLRNYTAHLSLTTLVCFFGTLQSMAVTFVMEHKASVWNIGWDMNLLASVYAGIVSSSIAYYVQGMIMQKRGPVFVTAFTPMIMIIVAIMGSFMLAEKIYIGRVVGGIVMVVGLYSVLWGKYKDYKEKEAIIEEITTIVEPVKLLISEDKLEKNKKKKLATVVEEEEETTTSTSLNDIEMQRNDTRSNVDDNNHNNVATLRCPSPLPIVVVIAMNEAPPKVFY >KGN54925 pep chromosome:ASM407v2:4:19270798:19280749:1 gene:Csa_4G606030 transcript:KGN54925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTVCSHIIPSLLLPSKSSFFGTHSLFSRPSQFDHVMVLGRRFSSSSKISMSLRAGIVGLPNVGKSTLFNAVVENGKAQAANFPFCTIEPNVGVVAVPDPRLHKLSDLSKSQRAVAASIEFVDIAGLVKGASQGEGLGNKFLSHIREVDSILQVVRCFEDNDIVHVNGKIDPKTDIDVINLELVFSDLDQIEKRLEKLKKGKAKDSQSKVKEEAEKSALEKIQKVLLDGKPARSVTLTDFEKDAIKHLCLLTMKPVIYVANVAESDLAEPASNLHVKEVMGLASELQSGIVTVSAQVESELSELPSEERFEYLKSLGVSESGLGNLIRATYNLLGLRTYFTSGEKETKAWTILAGMTAPQAAGVIHSDFERGFIRAETVAYDDFVAAGSFAAAREKGLLRAEGKEYIVQEGDVMLFRFNV >KGN55347 pep chromosome:ASM407v2:4:22009632:22010465:1 gene:Csa_4G646210 transcript:KGN55347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDYRYHGNGFSGGNNTEFNYATNSRNSYELRTYSATYAQTQMEIRDSQFKKGKSHSGSVSKSWSFSDPEFQRKKRVANYKMYSVEGKMKGSFRNSFRWLKRKCEHVVYGWW >KGN52926 pep chromosome:ASM407v2:4:1079567:1081720:1 gene:Csa_4G006330 transcript:KGN52926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSTEAAKSWSVASVLNLPFLSCCLFFHIMKANLPVSFAGNGHAQTFNAPDDLPKTVMRTLNQGSNPMSMDFHPIQQTLLLVGTDVGEIGLWEVGSRERLVSKNFKVWHLNACSMPSQVWDAGNGARQYTFAGHEAPVFSVCPYYKENIQYFFSASIDGRVKIWQCDNMRSRVDFEAPGRWCTTMAYSADGTRIFSCGTSKNGESYIVEWSGGNVQRTYRGFRNRSLGVVQFDTTKNRFLAAGDNFSIKFWDMDNVQLLTTVDADGGLPACPRIRFNKDGTLLAVSGNENGIKILANVDGMRLLRTFDSLSNDLLQHLRLGQS >KGN55047 pep chromosome:ASM407v2:4:20179994:20181373:1 gene:Csa_4G625020 transcript:KGN55047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSGFNFTSFYATWFDHLHRLVDQLSSTAKDNHNSSSAPDHLVQTVMSHYSDYYRVKSMAAERDPLSVFSAPWATSLERSLHWIAGWRPTTTFHLIYTESSILFESRIFDILRGLHTGDLGDLSPSQIRRVSELQCETVEEENAITEELSEWQDDVSELLGTRTEVTGRVEGLVNIIKKADALRLRTVQKVVELLTPKQAVEFFIAAAELQFGVRGWGLDQDRQRGNDRGH >KGN53543 pep chromosome:ASM407v2:4:5363359:5365081:-1 gene:Csa_4G075240 transcript:KGN53543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIWVYEWLKGVGEKLEVIKKKGEESENVRIVVKRLEGFRVQDLGLVYGVYNTLSIIKSTVMGDLRGKEMRVKGREKEGRSANQIFLSHSLYLYSAFCLLYSPSPIPRAGFFSVELSSLENVYGSSTDCTMLKQDCEDFNLPRISRIDTKKYLMASVM >KGN53985 pep chromosome:ASM407v2:4:10119403:10123976:-1 gene:Csa_4G242360 transcript:KGN53985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWIIAIVSLPGRILAALRRERQLQQYLQFLEIKFDNVLWERKELQKQFQAAMKEHKMMELMLDELEMIHEKATNKIALLESEMQQLRNQNLRLQEIKGKDYWSLKGLDVKSEAQKTGRVDRDITYGISSCSSRSSSSSIVQDLCQIDALKDASISKEKLIKILESGLKSGVLIHSHTEILSKDEYVTQLLDEQREVAMSRSLFSTLLSLLVGVIIWEAEEPHLCLVVALMFVVSISLKSVVEFFTTIKNKPALDAVALLSFNWFVLGILAYPTLPNISRFLARFLAPLASRVVEWFGFSIS >KGN54835 pep chromosome:ASM407v2:4:18042875:18043233:-1 gene:Csa_4G526550 transcript:KGN54835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQKPSQTPLHQMSGRAMRGSWYLVGVALSLEKETPWASAGRKRYRPNRRSQRRNSPVWPSRGEDEWQEESVGGQKMALA >KGN55040 pep chromosome:ASM407v2:4:20126168:20135511:-1 gene:Csa_4G624460 transcript:KGN55040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLARFLAVLFLSSLCFFITFTSAATRLPPDEVDALEEIGKILGKTDWNFREDPCGGEASGWISESNKFDTNFENNVTCDCTFQNNTVCHVTNILLKAQSLQGTLPPQIVRLPFLEELDLTRNYLSGPIPPEWGSTKLLKISLLGNRLTGPIPKAIGNITTLQELVLEMNHFSGNIPPELGNLANLSRLLLTSNNFSGELPPSLARITTLTDFRIGDNNFTGPIPTFLQNWTNLDKIAIQASGLSGPIPSEIGLLTKLTDLRISDLNGGSSQLPPLNTLTKLKHLILRSCSITGMLPDILAGFSDLRTLDFSFNKITGPIPHSFEALKKVDSIFLTGNLLNGSVPNWMLNQGKSIDLSYNTFTQSQNTGCQPRNLNLFASSSEDSNSGTVSCLGACEKTWYSVHINCGGNEEFINGTTKFDANPETGTSSFFLQGRTNWGFSNTGTFMDDGQTSDDFIARNLSALSMPNPELYVRARISPISLTYYAHCLGTGNYTLSLHFAEIAFTNDETYRSLGRRVFDVYVQGKLELKDFNIADAAGGIGKPLVKKFTVSVINGTVEIRLFWAGKGSNAIPVRGVYGPLISAISLDPDFEPPSEGGNAISAGAVAGIVVAVVFVILLVLGVLWWRGCLRKPSTLEQELKGLDLGTDGTIIAVKQLSSKSKQGNREFVNEIGMISALQHPHLVKLYGCCIEGNQLLLIYEYLENNSLARALFGPGESQLKLDWPTRQKICVGIARGLAYLHEESRLKIVHRDIKATNVLLDKNLDAKISDFGLAKLDEEENTHISTRVAGTFLNDGNIE >KGN54334 pep chromosome:ASM407v2:4:12422278:12425156:-1 gene:Csa_4G304800 transcript:KGN54334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHEGQISKEEQSFASPSNSNSNGNLDDVRVTCFSEAINDVPIHFQIICLSKQIYVWIGCNSAKFGNLYAAAPTRPNNTVSVTSILGGSSDNTGSSMARRLVLKTGLNIILASNIPKNSPIIEVAAEKVLVQKLVTLGYVRPKPEGPSR >KGN53853 pep chromosome:ASM407v2:4:8651302:8651754:1 gene:Csa_4G166970 transcript:KGN53853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAAPPNAIKLDGQMQLLPEPPAEPSHSTRHSLHTLLVLLAAITILGVIARVFARLCGGGTDDDNIEGWVQTRCNSCIDGSSPPPSQVAEEEKK >KGN54746 pep chromosome:ASM407v2:4:16751259:16753437:-1 gene:Csa_4G442610 transcript:KGN54746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYEDWKENILPLMMSAPLMFSPEFFGIEQYFSARSLISSRSFDIDDFHGFGMVPLADLFNHKTNAEDVHFTLVSSDVESDDSTSQLNDVHPYDDESKCWNSPLDKVGSDSLENEANNADDTDSNSSDLRDDPTTLEMIMVKNVKAGNEVFNTYGSLGNAALLHRYGFTEANNPYDIVNIDLELVIDWCSSLFSRRYSRARVSLWRKLEYYGCDNENIEYFEISYDGEPQTELLILIYIMLLSEDAFNHFDLTISVSGNFSGVMLYQKGKSMWIEDSKIRKDVLLTKDVRKALVSLADMREALHGSSHLEEDLETLCGIQDNRKLYHSLMLRVSERTILKKLRSYAAVAGQLSTSERRSSLRKNT >KGN52976 pep chromosome:ASM407v2:4:1372568:1377668:1 gene:Csa_4G008790 transcript:KGN52976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIIRLWQTSMDVQRKVIINEFKTSILLFLHSSFFSPFLRALYQLHLVKATTARACIPKELRLVEAFGYTLGGFFLANYDDSPAGTFDELVVISGIVWNRPTSCAWAAKVLVNSAEACDHGRKEVGLPSQVARFTKRIEAVPKHQSEKGLLSFLRGNSNFHNQKNQEHVQVAEVKGPTSMDVCNINLSFSVPFSKWMGPAIKMSLPSYSGHTEYTPELLKYSCQIRCRVRAVKPATVSIPALNRAEDGDHHSHITRTGEYGEHEQSLCTSVLLSKPILALEFSCMEMQVQAPTVVSQYFKHSLRTP >KGN55196 pep chromosome:ASM407v2:4:21197375:21203350:1 gene:Csa_4G639840 transcript:KGN55196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCNEESEDEPGVSPIRALGSLFKLTEVFLWDEETEVARRVESRLALDADDANNGKSVEKICSTISGISLLPEDIELTEQMNALGLPLSFHTNKEKRIGITMVKRKANVKHSRIQQGFLDKEVEFPKASSREEIVANSTFNDDATGSLCSYSMVNQSETSDRDVVLDTNEIHVIFDGDISRNSSGVISGAVEEQFCDVMCDIVLNNGGDHELSSDDAVLGDHTKVRLSSIGFDKGYSPRLRTTGLDVGHGKQEEVEPPMESEGSSTTFQDTEVQKSDTDSGIVLPEVAEPCFLRMEPDCNENDQVVGCIHESGDWMVYWDSFYMRNYFYNIKSHESTWNPPLGLEHFASSDANFTPNESTAEVCEMDVLEDVKSEDICRVLGDTECMNLLGDSVHCQPPDALLEGSSSLIEGIESSAFIDTSINCSKDEPQEWLMSCRNTRENIGCSCEGHAKQSCGENCTNGSQFIAANGASEQMMFSHHKPSNMHSPEIDCITIDDDEGTAGLTTSSVSHMLQQADHIDGDMHFANGPIICTLGTVQNLSVRNRKRKMKRTRRRGQLSDRNEGFRSFAITEEYPTSITKYWCQRYQLFSRFDDGIKMDKEGWFSVTPEPIARHHASRCGSNMIIDGFTGVGGNAIQFSQRAKHVIAIDIDPTKIRYAQHNAAIYGVEDQIDFLKGDFFRLAPHLKADVIFLSPPWGGPDYAGVDIYDLTKLKPHDGYFLFNVAKKIAPLVVMFLPKNVNLNQLAELSLSSDPPWSLEVEKNFLNGKLKAITAYLSNGNINKDNVT >KGN55439 pep chromosome:ASM407v2:4:22633365:22636436:-1 gene:Csa_4G652040 transcript:KGN55439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQHQLRGSFRIIDDCSFRVSNFDMLSGTDVHWWGAIALDFTNFTSGFVVSDQKLNETYKNASFVVRLKKNVKWDQIQVMAAWDLPAASDFGHVILQRPVNGSAGSPNMAPSPSEGGNSGEEMKPAYIEPTTFENCKVLADNYRVRWTLNTKDKLIDIGLEAAIPMTNYMAFGWANQSESSNLMIGADVAVMGFKEDGVPLVDDFYITQLSECMINKDGTVHGVCPDTIFEDSDPVVVNNTKLIYGHRRDGVSFLRYQRPLVTIDRKYDMPINHTENMTVIWAMGPMKPPDAIRPFYLPQNHGGTYGHLVLNVSEHVNDCLGPLAAEDNEDQDVVIADANAPLVVTSGPALYYPNPPNPAKVLYINKKEAPLLRVERGVPVKFSIQAGHDVALYITSDLLGGNATLRNMSETIYAGGPEAEGVQASPMELTWQPDRNTPDQVFYHSIYQQKMGWKVQVVDGGLSDMYNNSVLLDDQQVTFFWTLSEDSITIAARGEKKSGYLAIGFGSGMINSYAYVGWMDETGKGRVSTYWIDGKEALNVHPTKENLTFVRCKSESGIITLEFTRSLKPSCTQGHGPECKNVIDPTTPLKVVWAMGAKWVDEHLSDRNMHSSRSSRPMRVLLMRGSAEAEQDLQPVLAVHGFMMFLAWGILLPGGILAARYLKHVKGDGWYQIHVYLQYSGLSIVLLGLLFAVAELRGFYVSSVHVKFGIAAILLACMQSVNAYIRPNKPANGEVASSKRILWEYSHAIIGRCAIGVGIAAQFTGMKHLGDRYDSENVHGLIWALISWFMIIALMAIYLEYRERQRRRDRAIGRSNWVLGNDEDSVDLLGPTISIEGKESHPSRTMEVQLEPLRR >KGN53847 pep chromosome:ASM407v2:4:8566333:8571895:-1 gene:Csa_4G165920 transcript:KGN53847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLVSSPFLAASKSELQLFSFSQKHFFLHSLIPKKSHITISSKTSIKVKCAAVGNGLFTQTSPEVRRVVPDNTNGLPTVKIVYVVLEAQYQSSLTAAVQALNSNKIHANFEVVGYLVEELRDESTYQTFCKDLEDANVFIGSLIFVEELALKVKAAVEKERDRLDAVLVFPSMPEVMRLNKLGSFSMSQLGQSKSPFFQLFKKKKQSAGFADSMLKLVRTLPKVLKYLPSDKAQDARLYILSLQFWLGGSPDNLQNFLKMISGSYVPALKGVKIEYSEPVLYLDSGIWHPLAPCMYDDVKEYLNWYGTRKDANEKLKDRNSPVIGLILQRSHIVTGDESHYVAVIMELEARGAKVIPIFAGGLDFSGPVEKYLVDPVTKKPFVHSVVSLTGFALVGGPARQDHPRAVEALTKLDVPYIVALPLVFQTTEEWLNSTLGLHPIQVALQVALPELDGGMEPIVFSGRDPRTGKSHALHKRVEQLCTRAIKWAELKRKSKVDKKLAITVFSFPPDKGNVGTAAYLNVFSSIFSVLKDLKKDGYNVEGLPETSEALIEDVIHDKEAQFNSPNLNIAYKMNVREYQQLTPYSTALEENWGKPPGNLNSDGENLLVYGKQYGNIFIGVQPTFGYEGDPMRLLFSKSASPHHGFAAYYSYVENIFKADAVLHFGTHGSLEFMPGKQVGMSDVCYPDSLIGNIPNVYYYAANNPSEATVAKRRSYANTISYLTPPAENAGLYKGLKQLSELISSYQSLKDTGRGAQIVSSIVSTARQCNLDKDVELPEEGEEIPAKDRDLVVGRVYSKIMEIESRLLPCGLHVIGEPPSAMEAVATLVNIAALDRPEDGISSLPSILANTVGRNIEDVYRGNDKGILKDVELLRQITEASRGAISAFVERSTNSKGQVVDVGDKLTSILGFGINEPWIQYLSNTKFYRADREKLRKLFEFLAECLKLVVTDNELGSLKQALEGKYVEPGPGGDPIRNPKVLPTGKNIHALDPQSIPTTAAMQSAKIVVDRLIERQKVENGGKYPETIALVLWGTDNIKTYGESLAQVLWMIGVMPVADTFGRVNRVEAVSLEELGRPRIDVVVNCSGVFRDLFINQMNLLDRAVKMVAELDEPEEQNFVRKHAMEQAQSLGIGVREAATRIFSNASGSYSSNINLAVENSSWNDEKQLQDMYLSRKSFAFDCDAPGAGMMEKRKVFEMALSTADATFQNLDSSEISLTDVSHYFDSDPTNLVQGLRKDGKKPNAYIADTTTANAQVRTLSETVRLDARTKLLNPKWYEGMMSSGYEGVREIEKRLTNTVGWSATSGQVDNWVYEEANTTFIQDEEMLNRLMKTNPNSFRKLVQTFLEANGRGYWETSEENIEKLRQLYSEVEDKIEGIDR >KGN53970 pep chromosome:ASM407v2:4:9822395:9822756:-1 gene:Csa_4G203330 transcript:KGN53970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDGVGSFPLKTHFLTDLKGSRKTRESEILDFRKRKKILVTVHRSVFPFACSSPLADVDGVANPPVYNYFVSIMCKL >KGN54038 pep chromosome:ASM407v2:4:10664627:10664947:1 gene:Csa_4G269205 transcript:KGN54038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPSVPPATSSFVSAARARMVQPSDRDEAMDKPSSNSHILTIESYPEVNISFAEARTKTVRTASVCPCKTSIVYRPIKVLLLNSLSTKRSFHDLSSESPLGTTGAD >KGN54219 pep chromosome:ASM407v2:4:11687653:11688782:-1 gene:Csa_4G293290 transcript:KGN54219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDDPSASYIHMVHHLIEKCIIFNMTKEECLEALSKHANIEPVITSTVWNELEKENKEFFEAYKKKRRDVTTKKGSSSETTDFNLLGSRDTTSSLSG >KGN54929 pep chromosome:ASM407v2:4:19332663:19333455:1 gene:Csa_4G608050 transcript:KGN54929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGKKTAQTRFPFFRSVAVKNVRQTPRSSDLGRKFRCFQIELSCLNLRYLAVESISFHGRQWPAIIGSCETY >KGN53553 pep chromosome:ASM407v2:4:5459620:5461808:-1 gene:Csa_4G082300 transcript:KGN53553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDNKIDDDHFSKRFTEKVHCEKRASMGQWRSVVKEAIDRTVIIAKFLCLLHVTNNYICSPTLVYGPSMLPTLNLTGDVLLAEHVSHRVGRVGPGDVVLVRSPRNPRKMLTKRIVGVEGDKVNFYPDPANSNQYQSAVVPKGHVWIQGDNVYASRDSRHFGPVPYGLIEGKAFLRVWPPDCFGRLDQ >KGN54788 pep chromosome:ASM407v2:4:17381033:17382540:-1 gene:Csa_4G496780 transcript:KGN54788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVLGASTSHILYPPLHQHHFLTNAKRCSFLSTDFGDHRRRELRIKAEAGFWPDLSRPASVEMESIDDSDQLDRILIHAQHLSQPILIDWMATWCRKCIYLKPKLEKLAADYVTKAKFYYVDVNKVPQSLVKRGNISKMPTIQLWKDGEMKAEVIGGHKAWLVIEEVREMIQKFAS >KGN54467 pep chromosome:ASM407v2:4:13659745:13674921:1 gene:Csa_4G336750 transcript:KGN54467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSMWRDCVCVFLLLCCSSILLTGAAQTEPSDVKALLAVKRSLIDPMDQLISWSKGDPCKDNWIGVVCSGGAVGNLRVKEIQLLNKNLSGNLAPEISQLSALEKLNFMWNDLTGSIPKEIGSMVSLKLLLLNGNKLSGSLPDELGNLVKLIRFQIDENRISGPIPKSYANLASLKHLHFNNNTLSGEIPSELSKLPKLIHMLVDNNNLSGSLPPELSTMPMLLILNNFDGEIPASYENFPELVKLSLRNCSLKGPIPNFSKLANLSYLDLSWNHFTGLIPPYNLSSRMTTIILSNNQLNGSIPRSFSNLPILQKLSLENNFLNGSVPSALWEKMSFDSSDRLTLDLRNNSFSDISGSTNPPANVTLRLGGNPICKILSGQNTDKFCKSKNVEDGLHRSSRSSSKTCPVSSCPTDSFFELVPDTPDPCFCASPLGIGYRLKSPSFSYFPPYVNSFEAYLSKELSLVKHQLLIDSYDWEGSRLRMYLKIFPSFDSGTHKLDVNETFLITEQFMSWSFTRNNVFGPYELLNFTFPDHFQTVIFQTEKMGISTGATVGIIVGSVFCILAIVAVTVLLFTRHSRYRHNLSRKNLSSTINLKIDGVKAFSFKDLQLATGNFNQSSQVGRGGYGKVYKGILSDNSVVAIKRAEKGSLQGQKEFLTEIKLLSRLHHRNLVSLIGYCDEEGEQMLVYEFMPNGTLRDWLSSFEFSDQSTSTVSLNFRMRLRISLGSAKGILYLHTEANPPIFHRDIKARNILLDAKFTAKVADFGLSRLAPDLNYEGDVPGHISTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVFLELLTGMHPIQHGKNIVREVKLAHQMGTVLSIVDSTLGSFAPDCLERFVALAISCCHDNPDERPSMLVVVRELENILNMMPDDSGALYSDLSTKSSARLPSSPTSTSGFSRDHFASGSISGSDLVSGVMPTIRPR >KGN52821 pep chromosome:ASM407v2:4:483160:483390:-1 gene:Csa_4G001880 transcript:KGN52821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYTSTFRFHWLRSGGPIPPRLPGALVLMAPPASLSRFQGLPCHSPISVASMTPMPRPLPSSYTRGFHVHCWAYFI >KGN53771 pep chromosome:ASM407v2:4:7637628:7640591:-1 gene:Csa_4G125420 transcript:KGN53771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKMKESDHKSHYSHDWEMGFRFSDEEKVDKFKNSITVSRSRMKLWMTRAITTVLLWTCFVQLMALGELWRPKLFVTWPSRCCHFDSPMPLQSSSSSLPYKVFLPPKRVYKSNGYLMVSCNGGLNQMRAAICDMVAIARYLNLTLIVPNLDKTSFWADPSDFEDIFDLEHFVLSLRDQVRILRKLPPRLERRYESRMIYSLSPISWSNMSYYLNQILPLVQKYKVVHLNKTDTRLSNNGLPIEVQKLRCRANFNALRFTSQIEELGRKVVQMLRDKGPFLVLHLRYEMDMLAFSGCTRGCTNDEVDELTRMRYAYPWWKEKVIDSDLKRKEGLCPLTPEETSLVLSALGIDHNVQIYIASGEIYGGERRMEALASAFPNLVRKETLLKPSDLRFFQNRSSQMAALDYLVSLESDIYIPTYDGNMAKVVEGHRRFLGFKKTVLLDRKLVVSLIDQYSNGLLGWDEFSSAMKEGHSDQNGSSKTRVVIPDRPKEEDYFYSNPHECLGAWEWPLRSS >KGN52830 pep chromosome:ASM407v2:4:532040:533132:1 gene:Csa_4G001970 transcript:KGN52830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGGAIIADFIPRRDGQRVTASDIWPNSSLFHFNKIPSNQVSTPLKRTPLPSSEASKPKKRQRKNLYRGIRQRPWGKWAAEIRDPRKGIRVWLGTFNTAEEAARAYDREARKIRGKKAKVNFPNEDDTYSIQAPIPQFHPHLYTVPENSEFPYDLNQIGDFTSTHFPVAIEEQSGSGSEDSYPPPERFGVKESSEEKPEQKVSVIAAVEEENEVQKLSEELMAYENFMKFYQIPYLDGQSTVTNPAEEQVVGDLWSFDDEDGLHGSVSSSEL >KGN53244 pep chromosome:ASM407v2:4:3178357:3180589:1 gene:Csa_4G038620 transcript:KGN53244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESAAHVALISSPGMGHLFPALEFATRLSTRHRLTVTVFIVPSRSSSAENKVIAAAQAAGLFTVVELPPADMSDVTESSVVGRLAITMRRHVPILRSAVSAMTSPPSVLIADIFSIESFAVADEFDMKKYAFVASNAWFLAVMVYAQVWDREIVGQYVDQKEPLQIPGCESVRPCDVIDPLLDRTEQQYFEILKLGMGIASSDGVLVNTWDELQDRTLASLNDRNLLGKISPPVYSIGPIVRQPGSKKGGSSELFNWLSKQPSESVIYVSFGSGGTLSFEQMTEVAHGLEMSRQRFVWVVRAPKVRSDGAFFTTGDESEEQSLAKFLPEGFLERTSEVGFVVSMWADQTAVLGSPAVGGFFSHSGWNSALESITNGVPMVVWPLYAEQRMNATMLTEEIGVGVRSKELPTNALIEREEIAAMVRKIMVEEDDEGKAIRAKAKELQRSAAKALGEGGSSHHNFARVVKLFGC >KGN55222 pep chromosome:ASM407v2:4:21349296:21350372:1 gene:Csa_4G641560 transcript:KGN55222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEFPEERRRRRDAGSVDYVRQSLAVKDQFFLENRSHCLKMVEEGRIRKELTARTTTTIIFLSLHYTPAQTVASVKLLLSSFPKYPSPDRRFREYYESTPVEFVFLNTTVSVLWNMEMYEVEWEEGENKVLVGMESMSEVSPASKVNDEMKMVAKWRLGTDGDLAGAKEEEEEGGRMVAGERSKEANASWWSPTLTWRLIVYNQLDPTFSRSDSVAPTSFPPSSSSSSSLLFFYFQYFQFFLIP >KGN52787 pep chromosome:ASM407v2:4:298375:301164:1 gene:Csa_4G001540 transcript:KGN52787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHTKLCTDVPISRQTQSDCPNPSPSTYSHACINSLIIHNQSFSISASLLHAIATGSCKFNSEVVTIFFFSPAKMASESDHKATIIDGKKIAQTVRSEITEEVNKLSQKYGKIPGLAVVIVGNRKDSLTYVNMKRKACLEVGIKSFEIDLPEQVSEAELISKVHELNANPEVHGILVQLPLPNHINEEKVLSEISIEKDVDGFHPLNIGKLAMKGRDPLFLPCTPKGCIELLSRSGISIRGKKAVVMGRSNIVGLPVSLLLLKADATVTIVHSRSVDPESVIREADIIIAAAGQAQMIKGSWIKPGAAVIDVGTNAVDDPTKKSGYRLVGDVDFQEACKVAGWITPVPGGVGPMTVAMLLRNTLDGAKRVIEQ >KGN54543 pep chromosome:ASM407v2:4:14572295:14577837:-1 gene:Csa_4G361280 transcript:KGN54543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLLQSSQPWVEKYRPKQVKDVAHQDEVVRVLTNTLETANCPHMLFYGPPGTGKTTTALAIAHQLFGPELYKSRVLELNASDDRGINVVRTKIKDFAGVAVSSGQRQGGYPCPPFKIIILDEADSMTEDAQNALRRTMETHSKVTRFFFICNYISRIIEPLASRCAKFRFKPLSEEVMSKRILHIGNEEGLSLDGEALSTLSSISQGDLRRAITYLQSAARLFGSSISSKDLVNVSGIIPQEVVDALFVACKSGNFDTANKKVNNVLAEGYPVAQMLSQIFEVVIEDNDLQDEQKARICKKLAEADKCLVDGADEYLQLLDVVSQTMQVLRSIQL >KGN53166 pep chromosome:ASM407v2:4:2574575:2587772:1 gene:Csa_4G023010 transcript:KGN53166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFGVSTSMDVAAISIPSLHFSIKSSAAISLQAPRSRNACSVSLFSGFRRFGLRIISVKAEQASSRVTDDDFIIEDVPHLTDFLPHLPSYPNPLKNSQAYAIVKETFVKPEDVVAQKIVVTKDSTRGIHFRRAGPREKVYFKPDEVRACVVTCGGLCPGINTVVREIVCGLNFMYGVNDILGIEGGYRGFYSKNTLTLTPKVVNNIHKHGGTFLRTSRGGHDTNKIVDNIQDRGINQVYIIGGDGTQRGAALIYQEIAKRGLQVSVAGIPKTIDNDIAVIDKSFGFDTAVEEAQRAINAAHVEVGSVDNGVGIVKLMGRYSGFIATIATLASRDVDCCLIPESPFYLEGTGGLFEFIEQRLKENGHIVIVLAEGAGQDFIARDMHAAEEKDASGNRLLLDVGPWLSQKIKDHFTKVQKMAVNMKYIDPTYMIRAIPSNASDNVYCTLLAQSAVHGAMAGYTGFTVGPVNSRHAYIPIARVTETQNTVELTGRMWARLLASTNQPSFVTNSEELKEKAADINNVDISAQI >KGN55152 pep chromosome:ASM407v2:4:20909501:20912542:1 gene:Csa_4G638410 transcript:KGN55152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVTDPLASMQLLANTIPAPPYAAAAVLGGVSLVLSVFFVADCRKKRRNFLPPVPAVPGVPVLGNLLQLKEKKPHKTFARWAETYGAVYSIRTGASTVIVLNTTEVAKEAMVTRYGSISSRKLSKALTILTADKCMVAMSDYNEFHKMVKRYILANVLGANAQKKHRQRRDAMIENISRELFAHVKEFPLDTVNFRKIFEAELFRLALKETLGKDIESIYVDGLGTTLPREDLFRILVIDPMEGAIEVDWRDFFPYLRWIPNKRVENKIRNMDFRRRMTMKKLMEEPKKRIAAGEETYCYADFLLSEAKTLTEDQISMLLWETIIETSDTTLVVTEWAMYELSKDPRRQDYLYQQIQSVCGSATLTEENLSQLPYLTAIFHETLRKHSPVPVVPLRYAHEDTQLGGYFVPAGSEIAVNIYACNMDKDHWESPEEWKPERFLDDKYDPMDLHKTMAFGGGKRVCAGALKAMLIACTTIGRMVQEFEWKLREGEEEKVDTLGLTARKLQPLHVVIKPRNN >KGN53481 pep chromosome:ASM407v2:4:4847886:4849666:-1 gene:Csa_4G056700 transcript:KGN53481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIVDIRKTMAQLEEVVSTVKTNDRLVAAEPSVNLGNVYDEICNLVSEMRIIAAKQTDEERTNKSSMFFACEPPSFGEDTDPLVAQRWILILENIFDLIRCSDEHKVSFACLRLKDAAFSWWMVMHTDLEADGVTVTWQKFKELFEKRYLPSWLKLEKFRELCNLEQGDGTVAEYDEQFIKLASLAHEFIPDEAWEARLFGDGLRADIRGQVCLLNNASDAEIRNLALMVEQRINK >KGN54986 pep chromosome:ASM407v2:4:19793905:19795550:-1 gene:Csa_4G618510 transcript:KGN54986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLIMLQKFKALRFTQTPQLKKKMKMELIFIAWPDIGHLSATLHLADLLIRRNHRLSVTFFIIPPPSHTITSTKLHSLLPSSTIPIIILPQIPPLPHHPQFISLIKTTIQTQKQNVFHAVADLISNSPDSPTVLAGFVLDMFCTPMIDVANQLGVPSYLFSTSSAANLSLTLHLQHLYDRTHQSLNPDVQIPIPGFVNPVTAKAIPTAYFDENAKWIHESVRRFGESNGILINTFSELESNVIEAFADSSSSSTFPPVYAVGPILNLNKNSSSEGYEILKWLDEQPFQSVVFLCFGSRGSFGRDQVKEIAEALERSGYRFVWSLREPSSEGEIQNTDYIKEVVPEGFLDRTAGMGRVIGWAPQMKILEHPATGGFVSHCGWNSILESLWFGVPIGAWAMYAEQGLNAVEMGVELGLAVEISTETGQGIVRAEKIESGIKEVMKGDGEIRKMVKMKSEESRKSVMENGSSFTALNRFIEVVIAKAKLK >KGN54265 pep chromosome:ASM407v2:4:12019135:12022278:1 gene:Csa_4G296190 transcript:KGN54265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKDGPNWDGLLKWSLAHSDGTRPNRNLSEEDRRWFMEAMQAQSIDVVKRMKEITQVMQTPEQVLEAQGVGSEDIEDMLDELQEHVESIDMANDLHSVGGLHPVLGYLKNSHANIRAKAAEVVTTIVQNNPRSQQLVMELNGLESLLFNFTSDPDVTARTKALGAISSLIRHNKPGIAAFRLANGYAGLRDALGSENVRFQRKALNLIHYLLHENTSDCNIVNELGFPRIMLHLASSDDAEVREAALRGLLELAKDKTGENGGGLGEDDGKLKQLLEERIKEISLLSPEDLGAAKEERQLVDSLWNTCYKEPSSLREKGLLVLPGEDAPPPDVASKHFEPPLRAWSGRPPADTSPKTEKKEAPLLLGLGPPPAPASAPEARDVTSSSATNTNEDSTS >KGN55477 pep chromosome:ASM407v2:4:22852704:22853507:-1 gene:Csa_4G652890 transcript:KGN55477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDQTTKLNFIQRIRQQASSKNQQHFVIVQVGLTLLFTAQLSVDTGWDSHTVFNDCEPLWLKSGYLPIPNYAPAVDVREKEFIAGCCIWSLKKNLLYNPARRESEKAVREQKNECLPISNNQVTGKTKLSEFEDWDKVVSTQDKVT >KGN55559 pep chromosome:ASM407v2:4:23255071:23258372:-1 gene:Csa_4G665150 transcript:KGN55559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEKKSSAVSDVGAWAMNIVSSVGIIMANKQLMSANGYAFSFATTLTGFHFAVTALVGLVSNATGYSSSKHVPLWELFWFSIVANMSITGMNFSLMLNSVGFYQISKLSMIPVVCVMEWILHNKHYTKEVKIAVVVVVIGVGVCTVTDVKVNLKGFLCACIAVLSTSLQQITIGSLQKKYSIGSFELLSKTAPIQALSLLVLGPFIDYYLSDNSLLNYKMSYGAILFILLSCALAVFCNVSQYLCIGRFSAVSFQVLGHMKTVCVLTLGWLLFDSELTLKNISGMILAVVGMVIYSWAVEVEKQSSMKTNINVKNSLTEEEIRLLKEGRESNPVKDIELGETKG >KGN54458 pep chromosome:ASM407v2:4:13567377:13570070:1 gene:Csa_4G334690 transcript:KGN54458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEIRGLAFAFAFASISVFVSLFFDVHVVLAQNYIPRDVILLDCGGPSTANDIDNRKWNTDDKSKFVPATGDSSVSKAATQDPSVPDIPFMTARVFSSSFTYSFPVAPSRLFVRLYFYPSSYGGLDASDALFSVSTQSYTLLKNFSASQTAAALNYAYIIKEYSIHVDDDRLNLTFTPSSTHPKAYAFVNGIEIVSMADIYSDTSGSTLIVGPSTPFIVDNSTALENVFRLNVGGNDISPSDDTGMYRSWFDDTPFLYGAAQGVTMSTDQNTTLNYPPGFPSYVAPENVYSTARAMGPDNNVNLNYNLTWIFPVDSGFFYLVRLHFCEIAANITKVNQRVFDIFLYNKTAMDSADVIAWSKSNDTPFYKDYVVFVPANGNPQQDLWLELHPDKTMRPNYYDAILNGVEIFKINDSSANLAGLNPIPAPKQDIIDPSLAKPASHGKSKNNSGVIAGVVCGAVVLALIIGFFVFAKRRRGRGKDSSTVEGPSGWLPLSLYGNSHSAGSAKTNTTGSYTSSLPSNLCRHFSFSEIKSATRDFDESLLLGVGGFGKVYKGEIDGGTTKVAIKRGNPLSEQGVHEFQTEIEMLSKLRHRHLVSLIGYCEENCEMILVYDYMAHGTLREHLYKTHKPPLSWRQRLEICIGAARGLHYLHTGAKHTIIHRDVKTTNILLDEKWVAKVSDFGLSKTGPTLDHTHVSTVVKGSFGYLDPEYFRRQQLTDKSDVYSFGVVLFEVLCARPALNPTLPKEQVSLAEWAAHCYNKGILDQIIDTFLKGKIASECLKKFAETAMKCVSDQGIDRPSMGDVLWNLEFALQLQESAEESGKVGSGMDVEEGQLDVVYKGKKDPDASPGIDGNITDSRSTGISMSIGSRSLASEDSDGLTPSAVFSQIMNPKGR >KGN53552 pep chromosome:ASM407v2:4:5454530:5454865:-1 gene:Csa_4G081300 transcript:KGN53552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKLQCFTEPLDFGNLLALLDIEGVDGKEVLKKYAGPAKLVVILLFSLYVFWCLVDGTVVVELSIEASVKKYMKSCGGSGDLEI >KGN55172 pep chromosome:ASM407v2:4:21048161:21048616:-1 gene:Csa_4G639110 transcript:KGN55172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPSIKLISTFVAILILSSTFHVSHTQARMPPTKNLDRVEISKDLHPKIEETVAVAPLKSEPLAFDRDTFFTTSNAEVLGGDFRPTTPGHSPGAGHSVAPTSHMDSKIH >KGN53977 pep chromosome:ASM407v2:4:9927144:9931498:-1 gene:Csa_4G219350 transcript:KGN53977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDFTSLTNNKLEIRSCTSVVGFSLPDATRHSVKSTDDVLNLMKLGELNRAVSSTAMNNRSSRSHSILTVYVNGRDNSGSTICSCLHLVDLAGSERVDKSEVMGDQLKEAQYINKSLSCLGDVIMALAHKNSHIPYRNSKLTLLLQDSLGGHAKTVMFAHVSPEEDSFCETLSTLKFAQSVSTVELGAARLNKESSEVMQLKAQVENLKKALVDNEAQRILSKKLKDPRSSTHVVDRTPPRTRRLRIESCNIDKTDLSFKQEMGKGSKDPKSPTHIVNKTPPCARRLSIESCKIAKIELPSKQEMGKGSKTPSVRTKRSSLEGPTCIKKDGLRMKVLVEDGSKNQALAFQKSGKIENSERVSKASHSISDAAVSFEMNHLKAPRSPLGTDYRKQVINVESTQILSLQLPKTPEPPKRVRNNIQNQMQSDMMFSANGQTPNMTSTVSGKGSRIRRSMRTIGKLINGSEKKQYYRNRQNLVELHTPVQVRCNIDIETSPFTTNSRMQRRQSLTGIQMTGPGKSRRSSIGGKPGDSNVQKVIDTRNARTPPPVHPST >KGN55341 pep chromosome:ASM407v2:4:21978541:21979484:-1 gene:Csa_4G646150 transcript:KGN55341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGLRRCSNDLLHLDLSPPPSTTPSPASLSIDVAESADTRIRRLISEHPVIIFSRTSCCMCHVMKKLLATIGVHPTVIELEDDEIHALASFSSTTTATPAVFIGGAFLGGLESLVALHLSGHLVPKLVEVGALWV >KGN53296 pep chromosome:ASM407v2:4:3583893:3584196:1 gene:Csa_4G046590 transcript:KGN53296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFSKGKTRFTSINVLYIKKRDSGSKWRCRVKAVCQKSSPFACIPLTLFLTLPSSSSSSSSASSLSSSSSPQASF >KGN54758 pep chromosome:ASM407v2:4:16907495:16912404:-1 gene:Csa_4G454660 transcript:KGN54758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGAPQCHKGAPHGTLDYASKTSLWGKSIARYFFQQLITGVNYCHSMEICHRDLKLDYILLEESKVPRLKICHFGYSKSSLVGSPSYAAPEVLSQEHYDGKIANIWSCGVILHVMLVGAYPFEDQDGPRNFQKIIQVQYSTRIKAAQYKIPVNVRISQECGHLLSRIFVRNPSKRISLKEILSHPWFLKNLPRELTQSAQAIYCQRNNTPAFTLQSIEEIMKIVGEARNPLPSSSTINSFRSGIEEDDEEDMDNYEAVKELGAGNIGVARLLRHKHTKQLVAMKYIERGPKIDENVAREIINHRSLQHPNIIRFKKVVLTPTHLAIMMEYAAGGELFERISKAGRFSEDEARYFFQQLISGVDYCHSMQICHRDLKLENTLLDGSQAPRLKICDFGFSKSSVLHSRPKSTIGTPAYIAPEILSGPEYDGKLADVWSCGVTLYVMVVGAYPFEDQNDPRNYRKLIQRIMSVQYKIPDYVYVSQDCRHLLSRIFVQNPSRRISVKEIKSHPWFLKNLPRELTESAQAIYYNSDNPSFSVQSFDEIMKILGEAKTPLPPSTTAKGFRWGTEEKDDEMQEEEEEEEDDEDDEYVKRVKEVHASGEYLVRNRT >KGN53951 pep chromosome:ASM407v2:4:9559584:9561641:1 gene:Csa_4G192230 transcript:KGN53951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSVIALERILSYNFNNKSLLEEALTHPSYSASASYERLEFVGDSAIGLAVTNYFFLASPHLHQGHLSLLRAANVSTEKLARVAVTHGLYNYVRRDSPAMDDKVKEFVDSVALEGSSVPYGGMMKAPKVLADIVESVAGAVYVDVNFDLQKLWVIIRGLLEPIYTLEELQVEPQPVTVLFQVCQRNGKQVDIKHWKNGSNSIASVHVDGKFVASGSSMQKEIARLNAAREALIKLSDSMDTRIKTFVTIDGIDESFEIEGAKQKLHDVCSKRKWQKPNYSVEKDLGPSHERIFVCSVKIATCYGTFYIVGDEKSRVKDAENSAASLMIRALQERKHL >KGN53662 pep chromosome:ASM407v2:4:6410840:6411626:1 gene:Csa_4G097690 transcript:KGN53662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAGTSNNQKALDSRDMVNRGGVELIRNCDLPPPQKVFKSGMEEKMELLKALRLSQTRAREAERKAAKLMEERDCISRAFEDEARMVFCYRQSLKLLELRVFKLQKQEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEESDKNGGNGGMKWVWALAICLSVVGVGFLLGYTCNPS >KGN55260 pep chromosome:ASM407v2:4:21516101:21516389:-1 gene:Csa_4G642410 transcript:KGN55260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVIRERIIRNGVSHRNSNGPPATENGGVGRNPLLAAFVRNKSTRVRKQQRIGEGNLKIV >KGN55248 pep chromosome:ASM407v2:4:21473964:21476651:1 gene:Csa_4G642290 transcript:KGN55248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIPFLHLLILFLLQIFTNHFLHRIRNLPPSPFLSLPIIGQLYLLKTPLHRTLSEISRRHGPIVFFQFGFRSVLVVSSPSAAEDCLSKNDVIFANRPRLVSGKYLGYNYTSLLWAPYGEHWRNLRRISSLEILSSHRLQTLSSIRVDEVRTLIRRLYNAENDVVDMRTEFFEVMFNITMRMIAGKRYYGEDVAAYSEEAGRFREIQEETFRLSGKTNLGDFLRVVKWVGLSKGIENRLRELQIKRDDWMQSLIEEHRKKMNNAYSSSSSIQTDAKKTMIEVLLSLQQKEPQYYKDEYIRGLMLVLLLAGTEGSINTMEWLLSLLLNHPHSLQRAQMEIDDVVGRTNRLLEESDLTHLPYLRSLIHETLRMYPPGPLLIPHESSEDCHVGGFHVPAGTMLFVNVWAIQNDPTVWVEPRKFNPDRFGGDGEGFKWMPFGAGRRRCPGEGLGLRVIGLVVGSLIQCFEWESMDGECIDMSEGGGLTLPKALPLRTLCRPRSNATHLLSQI >KGN55460 pep chromosome:ASM407v2:4:22774122:22774389:1 gene:Csa_4G652720 transcript:KGN55460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPAEAERPIVRTTPRFSFLHVFPSHSLIPSVLPPRSPLLWSKRLPLDACRPVLVPRVAEREPT >KGN54019 pep chromosome:ASM407v2:4:10564037:10566604:1 gene:Csa_4G268030 transcript:KGN54019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIISQLQEQVNTIAALAFNTFGTLQRDAPPVRLSPNYPEPPSQEPTEDNATIAEQPKLMSAALVKAAKQFDALVAALPLSDGGEEEQLKRIAELQAENDVVGQELQKQLQAAEKELVQVQELFSQVADNCLNLKKAD >KGN54535 pep chromosome:ASM407v2:4:14512053:14512625:1 gene:Csa_4G358730 transcript:KGN54535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAVNKKQHGRLMCEQATEIRKSKGSGLISRRGRTTSGSGQNSKSSVDALLSQSSPALASQNIETPSKIFLINCLCAIQQPLSGHEVAAEYVKKLEVMIN >KGN53153 pep chromosome:ASM407v2:4:2483855:2488016:-1 gene:Csa_4G022890 transcript:KGN53153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHWFGIFRLPSQNREKTIVFTEADFITAGNFLVGNSDSWSWESGDLSKQKSNLPSDKQFLILRNAPCLRRAFSYKEEYVIVGSDGEDNARLLSESKDEDNLLSTKELPKPGTMKPITIIPSYFAVDKKEDLPLPYMEKSIKLCSATQESTHRVVHDSEDIICLRTYDISITYDNDHQTFRFWLTGYHEDGRPLQPELIFEDVRQSHVGKTVNIEDHPYLPGKHASVHPKIHGAAVKKMVKCRMSQGNVPEVSKCLSLFLEFVASVAVKKEHSEISMKIWKTLV >KGN54706 pep chromosome:ASM407v2:4:16165922:16170901:1 gene:Csa_4G430880 transcript:KGN54706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFEDLPTLFSSLFLQHNKTMTNLKVTKKHHIHLNNPFPSPPPSFPLLQGELSANYQALSSYKFFSIGKDFQLLWRSDNGGSLSIYHLSDPTRSIWSTISGQAFVSAAMVETEVEESRGSFAVKDGAVHLICNHQTIDDIKEINGCDHEFEVKEHHFPSGYLGLDLKNYEKEDAQFPMLLISGRIFNTEKKRMMKKKNKLQETSFNGDVKCNSKVLSASARYWVFFEQKSSSQIGFQVMLGQPSYEHRQIAHSRGGFNRLKFRLHRLRKRKFEWHWSLTKLKGFVRVPSSEKEVEVLRAAEEFEAFNRVCLTYSSEEKERFFGFGEQFSHMDFKGKRVPIFVQEQGIGRGDQPITFAANLISYRAGGDWSTTYAPSPFYMTSKMRSLYLEGYEYSIFDLTKNDRVQIQIHGNSVQGRILHGNSPSELIERFTETIGRPPELPGWIISGAVVGMQGGTNVVRKIWDELKAHEVPISAFWLQDWVGQRETVIGSQLWWNWEVDATRYSGWKQLIKDLGARHIKVMTYCNPCLAPTDEKQNRRRNLYEEAKALGILIKKKNGEPYMVPNTAFDVGMLDLTHPNTSSWFKKILQEMVNDGVRGWMADFGEGLPVDATLYSGEDPITAHNRYPEIWAQINREFVDEWKSKLVGKEKEDPEEALVFFMRAGFRNSPKWGMLFWEGDQMVSWQANDGIKSAVTGLLSSGLSGYAFNHSDIGGYCAVNLPFIKYRRSEELLLRWMELNAFTTVFRTHEGNKPSCNSQFYSSDRTLSQFARFAKVYSAWKFYRIQLVKEAAERGLPVCRHLFVHYPEDEYVLTLGHQQFLVGSEILVVPVLDKGKNNVNAYFPLGDNSSWQHIWTGEVYAKLGCEIKVDAPVGYPAVFIKVGSIVGETFIRNLKMFNIL >KGN55527 pep chromosome:ASM407v2:4:23114646:23115121:-1 gene:Csa_4G664350 transcript:KGN55527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMEGKRKEKNWQCDEERDEGRGKHLVLKNAIQNGNKQITLAVGMSTIHMMILILFPLFQFIALYCKVMPSPLKIDDKILKSIFTTETELSERPISNSGESPLFTT >KGN53156 pep chromosome:ASM407v2:4:2495489:2499674:1 gene:Csa_4G022920 transcript:KGN53156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGIGIESEGGQYNGKMTSFVVFSCMMAAMGGVLFGYDIGISGGVTSMESFLKKFFPEVDRKMKEDKDISNYCKFDSQLLTSFTSSLYLAGLIASFFASSMTKSLGRKPSILFSGVVFIAGAALGGAAMNVYMLILGRVLLGVGVGFANQAVPLYLSEMAPSNYRGAINNGFQFSVGIGALTANLINFGTQKIKSGNGWRISLAMAAFPASILTLGAFFLPETPNSLIQRGSSHQLVDEMLQRIRGTPNVQSELADLIKASEIAKSIDSPFKNIMRRKYRPQLVMAIAIPFFQQVTGINVIAFYAPVLFRTIGLGESAALFSAIMTGAVGLVTTFLSMLVVDKLGRRVLFIAGGLQMFVSQVIVGVLLAALLGDQGTVSKGYSYLLLVLICVYVAGFGWSWGPLGWLVPSEIFPLEIRSAGQSITVATNFVFTFIIAQTFLAMLCHLKAGIFFFFGGWVVVMTVFVYYFLPETKNLPIEKVERVWREHWFWRRVVGEDDNEERKVGDFQSSL >KGN55350 pep chromosome:ASM407v2:4:22037045:22037967:-1 gene:Csa_4G646240 transcript:KGN55350 gene_biotype:protein_coding transcript_biotype:protein_coding description:Microtubule associated protein (Ase1) MSPPSLKNHLQNHCSSFSSFNKPFSFLFSNFSMCHSKTMPFPGTRRILGSFGLWIVAFTLFSASSKAQMAVPSTGQLCISDCSTCPVICTAPPPPLSYIPPPPPNFYGGFNMAPPATPSYYLWGPPPPVANYFLGARPSGEMPQTVGPRDYSYPYYYFYSSNSGSFTYISKFIVLFVCLLHFVL >KGN54256 pep chromosome:ASM407v2:4:11954651:11956618:-1 gene:Csa_4G296100 transcript:KGN54256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLSLLFSLFLSSLFLLSHARIPGVYSGGPWQDAHATFYGGSDASGTMGGACGYGNLYSQGYGVNTAALSTALFNNGLSCGACFEIKCANDPRWCHPGSPSIFITATNFCPPNFALPNDNGGWCNPPRTHFDLAMPMFLKIAEYRAGIVPVSYRRVPCRKQGGIRFTINGFRYFNLVLITNVAGAGDIVKVSVKGSNTGWMSMSRNWGQNWQSNAVLVGQTLSFRLTGSDRRTSTSWNVAPSNWQFGQTFTGKNFRI >KGN52858 pep chromosome:ASM407v2:4:696056:696274:1 gene:Csa_4G003710 transcript:KGN52858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAQPNSADVNHLLSATTTSTSASSLNRHHHSPPKLTAVAAVSNHFSVRGSPYMVSSTTGFTTALVQCTTAS >KGN54778 pep chromosome:ASM407v2:4:17142489:17145452:-1 gene:Csa_4G494210 transcript:KGN54778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRSSDAAPENESNKKRVSSEEDKDFQHKSKRNCPLGSEDGFAAKNEDSDEENLAKVEALARHFVSKGAEKKLLSVLSSYFPQMREQHESGKEVSSEIIGSGSEAPQYVLCFYNQIAAVMYANKEIKSESGETLKIAIFNAANLKIPVSTDILSSAPVELFLQDGEHKEISKSDNGKFLMFGDLQLNLQNGIGEVNHLTVTDSSYRFKFKKFYLGVRITDKKILSNFCVNEKAISQAFRVFSERMQGDKYKNILSLQPHPINTVGDFLVAHDYGMGAMGLKQILGIKSADNKWDKIIKHAMECDDPRATAHVLHFRQANDGNYWNENFGVDEHDEQNQSVNDQNLEFTQQNPNQNQIQNDEFREDLGHVLTF >KGN55173 pep chromosome:ASM407v2:4:21060980:21064205:-1 gene:Csa_4G639120 transcript:KGN55173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLPESVSLHYFQLISSTHASVSSSTFLCPSRPLMNCKIVMTRKMSFRNGGALRLCCSDSNHSAPLTSTTAAATGGPAPPVLKRRKRYRREYPGESKGITEELRFVAMRLLNVNGKKLSGDAVDSSSEDEVGEKGDGDLALSDDDNDENGDGTQTWDPSLEGFLKYLVDSKLVFSTVERIVDESSDVAYSYFRKSGMERSEGLAKDLEWFREQGIVIPEPTIPGVSYAKYLEELAERSAPLFLCHYYNIYFSHIAGGQVIAKRVSERLLEGRELEFYTWAGDAEELLKNVREKLNMLGEHWSRDDRNKCLREATKTFRFLGQIVRLIIS >KGN55078 pep chromosome:ASM407v2:4:20381909:20384998:-1 gene:Csa_4G627770 transcript:KGN55078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFQDDGFEGSANEEIIFREVFFGNGSSHSNKRCPHKAFGYEHGPCKINDASLCSSSEPSAVSIYSYSRNMKLDECYNATENIRTGSASNSLPCKRISVEGDDGNASGKRIKVSTDEASDSVPNLVKLKQSSDSIREPVSANCSPAEECDPESFTFHIVESSRQGIISSCYRLRDLVEMDSNLADPDAVKQTSLNLEGHGEPNMVNKVSASPVSQESSMTRLLVANPSDKISEKFRSPLHLEVGQMKSLCPELDASLKTDLSRDPRPLLHYHVVHLFIAAGWSIERVKRPCRRYMETVYRSPQGRAFREFSKAWRFCGELLFADRCSFVKDVESKEWTGIHQFLFDLSDTLLHIGKEMNQLGATTSLANCWVILDPYVVVVFIDRKIGPLRRGDLVRATCSVGINGSSKTDGFVTLINEDNGFRKLSADKNASPVHDNSPSAKSALTEAPLKDLDEGNCAFDEQTCDTSFSNYYGHTEDGTTKFPTRVSNYGPNLENGLNCTGSHFNEPGNKIESEDLTSSPAYFSRSTCKPRCLGDGPVPSGNSDNVVRISGLASPDEDSTLYCSDEQSSENHVENPNEMMKNVLTCSLVEGKKLEVPLGKAENNLEESLNDCPNYTSDGLSHSCASGVVQKSSQNEEGGLHFSASMFKTEDKVSAIHSILKKKGRRKCKKISEIKPTLPPQIDIVSVAPGNKTEFWDIDGTCSQLDMIEDQKSHIADTKNVDSHEKNLSLSPISCHSERKGSKLKKNFDSHKGSKTRKKKLNECQIEDDDLLVSAIIRNKDVSSSAAGFSHVRKYFKSRAKMNRKSQKSSCKLLLRSLGSGEKNYKDGKWYALGARTVLSWLLDAGVISSNDIIQYQSPKDGSVVKYGRITGDGIICNCCSDILSISEFKSHAGFKFNRACSNLFLDSGRPFMLCQLQAWSTEYKTRKSKTRTVEVDEDDRNDDSCGICGDGGELICCDNCPSTFHHSCLSIQVCLFNLWQFDDESFVFLLHASDLQYLY >KGN54417 pep chromosome:ASM407v2:4:13090333:13091586:-1 gene:Csa_4G314440 transcript:KGN54417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCLYISTNVNLAGVDSAPIFSATTTAVSSIIGKPENYVMVLLNGSVPISFGGNGDPAAFAEVVSMGGINSEVKRRLISTLGSILNEKLSVPPARFFLKVHDTTAGRPISKL >KGN53112 pep chromosome:ASM407v2:4:2177427:2178884:1 gene:Csa_4G016510 transcript:KGN53112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVKVLSKETIIPSSPTPPHLQTFQLSLLDQLSPMLYIPLLLFYPMKRSYDHIDQHDQDPKKTIATLKTSLSKTLSRFYLLAGRIIDKSIHCNDKGAVFMEATINTNMFDILKEPNNEVLTKLLPCSLLCNTKPIEEYPQIVVQANVFKCGGIAISLCLLHKLIDAATFCCFLRSWATTNRELLSQLDHSSPNNMVCFDYKSFSSLFPQTNLLPFHQRLINNDNAVILPSSIFNGKRRLQRFVFRSKAILDLKAKAKSCDIPNPTCVEAITCFIWKYLMKVADGGDSQMPSTLSHVVNIRKMIEPSLGEVSLGNIMWGTVAHHFSTTRPNESFEGLELSKLVSLLRQSLKKINKDYIKELIMGGDKGRRNGVMKLVGEINKWPISNYYFFTSWKNMKLNELDFGWGKPLWFGIAGDSNEMMGNIIVLVDNVSDDGSIEAWILLDEKEMQLLEQNPQFLEFALLNPSIHLPHDHKIADQIFSRKLI >KGN55109 pep chromosome:ASM407v2:4:20583736:20586829:1 gene:Csa_4G630530 transcript:KGN55109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKASSSRKKERSKTSSSQRSRRKSKSSRKLKSKKLRYRHDSPSCSDTDFESSTSVPSSSSEHHKRVRRSRSKTQKNAKPSKKRSKKQSHDRQSRECSPNPRKRKHSKRNDRREVNKANKKKRRRDVSVGHSNSLSCSTCGNGSTTSNESEVVRRRGRSGKRKENMRKTESGRYMSKSHSPCSLRSEGSDYQNEVDDESYVENNFRRLRSIIVVVGEENKLYVGNEQEGVTNQPSDDHPSFGDMDSKDATSKRELDYVITKEAPVVENEKEVDVPNFRNSMVVEDDGVQNEGSNKNHGGVTNDRSSDEIKNGCSDNTDSINCIDLESMLRQRALENLRKFKGAPPRNVETIANCKVSHNNAAKQLCSPISKSVHVTSPRNDAEINSEQFSRQGGGNAVNSMIVKENGVNSMDAIDSAVATMHDPVYSSQNLGKISNGSNGMNEQKQDISSLDQELINDNICQKANADICSTTNRSNLVIAALRPKPKVDSLIKQTSAAQESVQTKPSISDVAVGETAQTQTQMRNNNDLNIRNGLGSSAHKPSSLNSISGENSLHMSNHESGESSQFEQKTMSVMRGGEMVQVNYKVYIPKRAPALTRRQLKR >KGN53978 pep chromosome:ASM407v2:4:9932033:9937361:-1 gene:Csa_4G219360 transcript:KGN53978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNSSRNELHGFSLALASRKAEEAAWRRYEAIRWLDSFVGPLGISNQPSEVEFLSCLRNGLILCNAINKIQPGAVPKVVDNPRPLQSISWDCQPLPAYQYFENVRNFLVAAKELNLPAFEASDLERDTFEANVVDCVLALKSLHESKQISNGNGFHKHMKSPLLLHSNRMHPRPLSTVSLDSCRRLDMPATCEKQPPIGSPNIGLEEFIVKSLVDSIVQEKENFDGNLLASLRNQDKDAVKLFQSIVSICSNESLQENVYEKTEFHTTLEDELKERCSSLAHSASVLDDISDLNSLQDYRACFKKKSCNHHKLLSIQEREVLDLKALLSKTKGEFHDLQLHLQRDLKDLENLVQGLSNAALGYHNVVQENRSLYNIVQDLKGNIRVYCRVRPSFNCLSKNMIEYIGEDGSLMILDPLKSKRDGRKVFRFNRVFGPAAKQDEVFKDIEPLIRSVLDGYNVCIFAYGQTGSGKTHTMNGPSGGADKDFGINYLALNDLFQIQNVRKDSIDYEINVQMVEIYNEQVRDLLVAESSNTKYP >KGN55359 pep chromosome:ASM407v2:4:22094142:22100125:1 gene:Csa_4G646330 transcript:KGN55359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METFMLEKVRNIFLEEFGRGGYWEAMGRVNNRTKASASGAAGKSEMRRMSNADRSAYFARREAAKVLRRVLEGDAQRRAIASIKTLVYAPSVRNKKGTFALVCKTLKYLPVIKDVVEAADLLSNKWKRQKELIYVIMYDILLGQKTHLAGDAEKFLMRQQSALQSAVTQLLSKKKANNIEDLIARGDDGPGVSRPRFVRVNTLKMDVHTAIEELGKRYAVQKDDMVSDLLILPPGSDLHDHPLVADGSIFLQGKASSMVAVALDPKPGWEVLDACSAPGNKTVHLASLMHGKGRVIACELNENRVKRLRHTIKLSGASNIDVLHGDFLNLNPKDPSLSKVRAILLDPSCSGSGTAAVRLDHLLPSHAEGTISGDDLERLNKLAAFQRKALAHAFSFPAVEKIVYSTCSIHQIENEDVVQSVLPLAESRGFQLDTPFPRWQRRGLPVFAGANHLLRTDPVEDKEGFFIALFKKKQNTTNPFPRSSNRDKSSRYRGGDVCNSWAVACPPTKISKLWLYQYALSTRTRWRKNHPIQN >KGN55086 pep chromosome:ASM407v2:4:20438056:20440154:1 gene:Csa_4G628340 transcript:KGN55086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGMAEEEQKQRCYNNRFGSFGTIGGISGRSSSKKLKPKPKKVPQRGLGVAQLEKIRLEEQQKNDAAAAIFSSSSPLSPTKSSSYLSLPIPSFLQSNRSSSSSSFPSSPPVNLSSSASMFGPPLPVLNMHVKDSFTVPLMDQANSGGSETGLSAVTILEQGNALKWQNSCDYYLEKENYGVDPGLALRSDFDFPYGVNPGLPSTKLLQRSQENQAPSPMVNLSSTTSMSSGLNVQIEPPSNQSYCYGNYSTIWPDKEEKMFGTKRLPRFSPNNPTEPVFDHNSSFTVPNRSDDSTSHGNGSALSFREDRARSLTCVSAPSSLEHIKDDDFNGNFLTLASLATCWTSCSSSKIAKCPPTYPLLQNLRNSNSEPHSSQGGLKPVRLAEKQPFYSFLPPAETKTGKETAISKTKCNGEVGEILDLDLKL >KGN54380 pep chromosome:ASM407v2:4:12777454:12782068:-1 gene:Csa_4G309180 transcript:KGN54380 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar cation/proton exchanger 1a MASQTEPWLMENGTLKRLTKEHRHGHGRTAHNMSSSSLRKKSDLTLVSKIQFGCLRKFCINLQEVILGTKLSILFPAIPLAIVAQNFGFGRPWIFALSLLGLTPLAERVSFLTEQIAYFTGPTVGGLLNATCGNATELIIAILALTQRKIDVVKYSLLGSVLSNLLLVLGTSLFCGGIANIRREQNYDRRQADVNSLMLMLSLLCHLLPLLFGYAAASASTAAASTLHLSRASSIVMLTAYIAYLVFQLWTHRQLFEAEEGEDDDVPGEEAVIGFWSAFAWLVGMTLVIALLSEYVVNTIEEASTTWGLSVSFLSIILLPIVGNAAEHAGAIIFAFKNKLDISLGVALGSATQIALFVIPMCVIVAWTMGVDMDLNFNLMETGSLGLAIIATAFTLQDGTSHYIKGLVLLLCYIIIAACFFVSKTQEDNNNAPNLGLQSSNQAIFRA >KGN54699 pep chromosome:ASM407v2:4:16068079:16068378:1 gene:Csa_4G429320 transcript:KGN54699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAAFVVVEVCRSASSGWKVKIVGKSRVSMADFVVGYLPESHLQFLSYSLRDERGEMNGIINFSVRVKLALGVKRIGVPVGMAMPKRRFHGGDGGSEVL >KGN53312 pep chromosome:ASM407v2:4:3663852:3664134:1 gene:Csa_4G046750 transcript:KGN53312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLKTSKKKPTCSKIRQIENRKQLKPRTSCPQCVLKRDGGGGWEEEIPPDQKKNMDRDGETGGDMKS >KGN54264 pep chromosome:ASM407v2:4:12015267:12017328:-1 gene:Csa_4G296180 transcript:KGN54264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQNNRHHHPSHFDTDRIINRPTSVDFETPSRLHGCQSWNTEAVDVKNKRRLLIDQLSLPTSKLPRWGPRSISIPHGEDNFKFNDQPQEEEQEEGEGEGGEGEGEESTLTKIAIDKSKEMSEEQVRMQGGKDKLQKSYGHEEDLLEFGSREYGCIYEECCSGSAQNLLLPTDSKQNFVLSSGRWSVDTETESKPIKPTIDQEFEQYFSVLLPSLDP >KGN54813 pep chromosome:ASM407v2:4:17697643:17708250:-1 gene:Csa_4G507430 transcript:KGN54813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEPTDQSPSPSSSSLPPKDPISLIHPRREPFEHGLLPIPKLIFSDPIQTLIPLKQKLLQSSSPSNRLNSSAISESLQISIDHARLVLDTLASVLHSDSDPLVNATPHDVDSVGVDVLDLLLFLYIQSYKRLLPRSHKDSAAVADVWPSTSAFDGYLSALSPLQLVRSNSRRFMPSQADEEAHQLSYLQKHLGNILSLLAETVEGEGEESLVLSVERFEHLGFLVHFGDKGSEGIQLSQHAPFFANSDPDMPAVPVPAAQVLDWILQNIASSLENISERASLKENGPSGASDPDVAMADASTSSVTKASISRGPSYIEGISKSSIVKQASDLKGSSVKVLNSHESVIYILAPLRYATIYGCSDTTIVLGAVGKAVRVEHCERVQLITVAKRVCIANCRECLFFFGVNQRPLIVGDNHKLQVAPYNTFYSQLGEHMSEVGVEAALNRWDEPLALGVVDPHDSLSHPAGVSDVQTETATCLDPDQFTNFLIPNWVSGEFPGDTDCNPFPLPDAYLASLERNKKTLGEVKQILRETPLEENRKRELSSALHVYFKDWLYGRAKL >KGN53270 pep chromosome:ASM407v2:4:3374531:3377210:1 gene:Csa_4G043860 transcript:KGN53270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYQIFLLILLVAFIPRTILGQNVTMGKIVVEGITKIAETDENFICFTLDIWPHDECSQPNLCVWDSHASVLNVDLSLPIINKAVQAFKTLRIRVGGTLQDRLIYNIGEGFKGNCHPFEADDSLLFDFTEGCLYMERWDDLNNFFNNTGAIVTFGLNALLGKYHTQGMQWEGNWNYTNAEALIKYTVDKNYQINSWEFGNELAGRNSIGASISASQYAKDLLKLREIVDRLYKNSQQKPLIVAPGAFFDDKWYHELVTKTGPKVVSVLTHHIYNMGAGDDPKLIYRFVNPTYLSQVSNTFKQLKNIVQKHAPWSSAWVGEAGGAYQGGAYRISDSFINSFWYLDQLGMAAFYNTKVYCRQTLIGGFYSVLKAKTLVPTPDYYGALLFHRLMGPGVLKVHNKVSTYLRTYAHCSRERSGISMLFINLSNTTEFAINVKDHMTLSLHKRRKPKHGSSSINNLGTPREEYHLTPQNGLLRSSNVLLNGKALQLTSEGELPNLTPIYKDSNSSINIATWSIAFVVIPDFVAIGCN >KGN55054 pep chromosome:ASM407v2:4:20228357:20231834:1 gene:Csa_4G626070 transcript:KGN55054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCSVIMRNPLLFLAFIVPIVSFQVDSAASGPLARHLSSLLKWTGSSKTPQPDGNAIQFESGYLVETIVEGNEIGMVPYKIRVSEDGELFAVDSVNSNVVKVSPPLSRYSRARLVAGSFQGYKGHVDGKPSDARFNQPKGITIDDKGNVYVADTLNLAIRKIVDAGVTTIAGGKTNVPGYSDGPGEEAKFSNDFDVIYVRRTCSLLVVDRGNAALRQISLNKEDCDYQYGSVSTSDVAMFIGALLIGYFTYMLQHGFRLSFFTFMVQSEHLETETKELSKGKQTKLVSTIKEETWWESFGQVVAELYKQAIELLPGNLKSFLRPYFRSEDNKEKGLTPLKDALKMPEDEIKTNVSLKQKTVTPLSETKHASIKHDELKPPKMKSSIKNPSLLNKHSHSGQEYAEFYGTGMVSSSLSRSKGQKDRSRHRQKEKGLDILTGTLGAEPKLAEMRTDYNEPKFDQYNIRNKYRYDSSSFHF >KGN54644 pep chromosome:ASM407v2:4:15549430:15551488:1 gene:Csa_4G415920 transcript:KGN54644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSNYVISENVGKNTHHLPVMVVMVPLPLQGHLNQLLHLSRLLSAFNIPVHFVSTATHNRQAQHRISAKIKNHLIQFHDFDLPIFPSPNPNATHKFPSHLVPMVNEVLVHFPRPFAAFLSSLSQKAKRLIVIHDSLMSSVVQVVDSIVNVESYLFHSVSAFVTTLHNLERKGIVVGDGDDDEEECESRTFYREYVLKELNTARSWESWFSVEFWDLIKSQFGQLPKKTCGQIYNTCRVIEGSSLKLIERIESKLNNWALGPFNPVKKLKNGERSSSKHSCMSWLDQQEPRSVIYISFGTTTTMEDKQINEIAIGLARSHQKFIWVIRDADKVDIFHEDNNKRSKLPEGYNDLIGDRGLIIREWAPQLEILSHWATGGFMTHCGWNSCLESITMGVPMAAWPMHSDQPRNMVLVTEILRVGLVVKDWELKEEVVSALTVEETVRRLMVSEDGAEIRMNAMRVGEAVRRSIEDGGDSRKELEAFVNHITR >KGN54497 pep chromosome:ASM407v2:4:14063614:14063963:1 gene:Csa_4G339000 transcript:KGN54497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTQKLFIVSMLVAVTFSCFASSMEGDDLWNCVIPCSNNNGDILCNTACIEQDEGAGFCVPKLPGISDMKVCCCNNGR >KGN54857 pep chromosome:ASM407v2:4:18346247:18348276:-1 gene:Csa_4G554160 transcript:KGN54857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLGDSVENIRTYPAFTQYNLTFGGAIFTWGWGGSHGTFSVDGHSSGGQLGHGSDVDYVKPTKIDLGENVKVVQVSCGFNHTGAILEYK >KGN55035 pep chromosome:ASM407v2:4:20110203:20110550:1 gene:Csa_4G624410 transcript:KGN55035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEKDSLMELVDPKLGLNFNEEEAMKMMNIAFLFPNVSPSAIPTMSFVVGMLEGKVVVKELVSDSDDMRKEMRAMWTLIQQNETVIEDENENETESLSFVNMRSTSSSTSMKNKN >KGN52953 pep chromosome:ASM407v2:4:1228651:1228955:-1 gene:Csa_4G007090 transcript:KGN52953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEAEERPRRRGDNERNKKETGRTHVGLRLRPTLWAAFASSSSSSSPPHPHPLPPPFITCGSLFSFHP >KGN54664 pep chromosome:ASM407v2:4:15733532:15737888:-1 gene:Csa_4G418570 transcript:KGN54664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTKEEYSRSNLRYVQERSERWRDCEVEVKIEREANVGEAMRQSEGANDRDLVEGDGCCFSQPGYSMFSGLMFFRLVLNRFYCSNFIISRNSLITRYSRLGQIEKARVVFDEMRDKNIISWNSIVAGYFQNKRPQEAQNMFDKMSERNTISWNGLVSGYINNGMINEAREVFDRMPERNVVSWTAMVRGYVKEGMISEAETLFWQMPEKNVVSWTVMLGGLLQEGRIDEACRLFDMMPEKDVVTRTNMIGGYCQVGRLVEARMLFDEMPRRNVVSWTTMITGYVQNQQVDIARKLFEVMPEKNEVSWTAMLKGYTNCGRLDEASELFNAMPIKSVVACNAMILCFGQNGEVPKARQVFDQMREKDEGTWSAMIKVYERKGLELDALELFRMMQREGIRPNFPSLISVLSVCAGLANLDHGREIHAQLVRSQFDLDVYVASVLLSMYIKCGNLAKAKQVFDRFAVKDVVMWNSIITGYAQHGLGVEALRVFHDMHFSGIMPDDVTFVGVLSACSYTGNVKKGLEIFNSMETKYQVEQKIEHYACMVDLLGRAGKLNEAMDLIEKMPMEADAIIWGALLGACRTHMKLDLAEVAAKKLLVLEPKNAGPFILLSNIYASQGRWDDVAELRRNMRDRRVSKYPGCSWIVVEKKVHKFTGGDSSGHPEHSEINRILEWLSGLLREAGYYPDQSFVLHDVDEEEKVQSLEYHSEKLAVAYGLLKIPIGMPIRVMKNLRVCGDCHAAIKLIAKVTGREIILRDANRFHHFKDGSCSCRDYW >KGN52868 pep chromosome:ASM407v2:4:745873:751172:1 gene:Csa_4G004790 transcript:KGN52868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPEIVLFGDSITAQSFGLGGWGAALADTYSRKADVIVRGYGGYNTRWALFLLHHIFPLNSPKAPIVVTIFFGANDAAVLGRTSEKQHVPLEEYKYNLKKMVNHLKEYSPTTLVILITPPPVDEEGRNEYARSLYGDKARELPERTNEVTGLYAKECLELAKEIGLHAIDLWSKMQETEGWQKKFLRDGLHFTPEGNGVLHQELEKVLNETSVAAAKMPLDFPHHSKIDGKNPEKAFQYLSL >KGN53969 pep chromosome:ASM407v2:4:9815254:9816686:1 gene:Csa_4G198330 transcript:KGN53969 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-dependent kinase B1-1 MEKYEKLEKVGEGTYGKVYKAKDKETGQLVALKKTRLEMDEEGVPPTALREVSLLQLLSQSLYVVRLLCVEHVHHHKSGKPLLYLVFEYLDTDLKKYIDSHRKGPNPRPLPSSLVQSFLFQLCKGVAHCHSHGVLHRDLKPQNLLLDQGKGVLKIADLGLGRAFTVPLKSYTHEIVTLWYRAPEVLLGSTHYSTAVDMWSVGCIFAEMVRRQALFPGDSEFQQLLHIFRLLGTPSEKQWPGVSSLRDWHVYPQWEPQNLTRAVPSLEPEGVDLLSVTAKGQSPADHTSYQDSLSY >KGN54626 pep chromosome:ASM407v2:4:15376014:15379127:1 gene:Csa_4G409800 transcript:KGN54626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGPPYLELFVEETSFYNRIVLGTIFPNFSLDPFPHILQTWLRNCVGGFLIYFFSGFLWCFYIYYWKRNVYVPKDSIPSNKSMLLQILVTIKAMPLYCVLPTFAEYVVEHDWTKCYPRVLDVGWPAYAFHIITYLTFIEFCIYWMHRGLHDIKPLYKYLHAKHHIYNKKITLSPFAGLAFHPMDGILQAIPHLFALFLIPTHFRTHIVLLFFEVVWTANIHDGIHSRMWPVMGAGYHTIHHTRYRYNYGHYSIWMDWMFGTLLDPMDIEAKGS >KGN54545 pep chromosome:ASM407v2:4:14603355:14603974:1 gene:Csa_4G361790 transcript:KGN54545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTDEFYRQPAAVPFKWEIKPGVPRNHHRLRHSPTHSPPQHHRQKLKPPPAVSHFPHPPNSLHSSPRTQSERWRFVRSEQVSSSGCFPSPLPNRKSPKSVSRKLPEPDYSSDLDTLSRCGFEETVGFKLLCRNRKL >KGN53020 pep chromosome:ASM407v2:4:1698933:1713393:1 gene:Csa_4G011670 transcript:KGN53020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSILLHFEFRPQMVMAMALDFLLPSWLEIKITLATSLFVIFAYWFFAYWSGLFDADRSVVDGSGDGIHVKDKIPPGHLRDLQSNSAYLIKLELLAAKNLIAANLNGTSDPYAIITCGTEKRFSSMIPGSRNPMWGEEFNFSVDELPVQIHITIYDWDIVWKSAVLGSVTVTVENEGHTGAVWYTLDSPSGQVCLHIKTIKLPVNAGSPVNGYAGANPRRRISLDKPELTVVHQKPGALQTIFELLPDEIVEHSFSCALERSFLYHGRMYVSSWHICFHSNIFSKQMKVVIPLGDIDEIRRTQHAFINPAVTIILRMGAGGHGVPPLGSPDGRVRYKFASFWNRNHVVRALQRSVNNFREMLEAEKKEKAESALRAHSSSVRVSETKEKIPADDLPKSKNTQAFLKEEVLTSIHNGVFPCSPERFFSTLLSDGSGYTSAFVAKRKDTNLVMGQWHAADEYEGQVRELTYRSLCHSPMCPPDTAMTEYQHVVLSEDKKKLVFETVQNAHDVPFGANFELHCRWSLEKNAEDSSSVDIKAGVHFKKWCLMQSKIKAGAMLEYKRAVDLRLEVALEYMNSNTSGNETDKVASAPSEAQSS >KGN52932 pep chromosome:ASM407v2:4:1102721:1107163:1 gene:Csa_4G006390 transcript:KGN52932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPELPEVEAARRAIEEHCVGKVIKKAVIADDTKVIDGVSPSDFEASLLGKTILSAHRKGKHLWLCLDSPPFPAFHFGMAGAIYIKGVAVTNYKRSMVNDDDEWPSKYSKFFVELDDGVDLSFTDKRRFAKVSLLEDPASVPPISKLGPDALLEPMALDEFIESLKKKKLAIKTLLLDQSYISGIGNWVADEVLYQARIHPNQSAATLSKESCAALHKSIQEVIEKALEVGADSSRFPNNWIFHSREKKPGKAFVDGKEIHFITTGGRTSAFVPELQKLTGAEPKNQNSKRKGNDNKKMNDESDGELVSKTKKTADIKQKPKPKGRSKKPSKRKSKSEDDDGSDEEAENDDASDDDNGRPEGKKKVGTKTNIGQRFDAASEPDKSLKQTVRSSQIGRRRKKAK >KGN54442 pep chromosome:ASM407v2:4:13395496:13397890:1 gene:Csa_4G332090 transcript:KGN54442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSPHSFPPRKRRPSAAAFVSPKLSAPILLQSLLSLSQEISSTKPLKFLLNRYSLSMIRKSLLLEIILHDLLRRHPVLSLSPSASLCLEEMYILLQRIKTLLEDCSNGSKIWLLTQNQSIANSFHELTLDLSTLLDIFPVKDAALTQDVEELFYLLRNQTSESSVFLDPRDEALRFRVLKMIDRIKDEIVPDYSELLEIFTMIDIRDSSSCREEIENLEDEIQNQTDEKSRSDVIALIGLVRYAKCVLYGASTTAEYGFQRKDSISDIAVPADFRCPISLDLMQDPVVVATGHTYDRAAITLWIESGHNTCPKTGQTLAHTNLIPNRALKNLIAMWCRQERIPFDITESNKDRVNDVTLNKAALEAMRMTATFLVNKLATSVDSSVNDVVYELRVLAKTDPGSRGYIALAGALPLLVRYLNSENPILQVNAVTTVLNLSIFESNKSLIMETEGALIGVIEVLRSGATWEAKGNAAATIFSLSSIHSYRRRLGRKTRVIRGLLDLAKDGPISSKRDALVTILTLAGVRETVGRLIEGGVMETVSYLMNSLPEEAVTILEVVVRKGGFVAIASGFYLIKKLGVVLREGSDRSRESAAAALVTMCRQGGSEMVTELASMAGIERVIWELMGSGTMRGRRKAASLLRILRRWAAGLDGNGGAGGDSMTVTSSRMGGESTTFVSSSRGAIVHS >KGN54531 pep chromosome:ASM407v2:4:14490797:14492120:1 gene:Csa_4G358690 transcript:KGN54531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKMSCESSVMLDRARARRVPTPGTATILALGKAFPSQLVPQECLVEGYIRDTKCIDATIKEKLERLCKTTTVRTRYTVMCKEILDKYPELVTEGSPTIRQRLEIANSAVVEMATEASKACIKEWGRSVEDITHIVYVSSSEIRLPGGDLYIANQLGLKNDVGRVMLYFLGCYGGVTGLRVAKDIAENNPGSRVLLTTSETTILGFRPPNNARPYDLVGAALFGDGAAAVIIGADPVLGQESPFMELNCAIQQFLPDTQNVINGKLSEEGISFKLGRDLPQRIDDNIEGFCRKLMEKRNLVNFNDLFWAVHPGGPAILNKLENTLRLKSEKLECSRKALMDYGNVSSNTIFYVIENMRENLKREDGEEWGLALAFGPGITFEGILIRSL >KGN53922 pep chromosome:ASM407v2:4:9351322:9351486:1 gene:Csa_4G188960 transcript:KGN53922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDGGWRMEDGGWRMEDGGWRMEDGGWRMEDGGWRMEDGGWRMEDGGWRMEDGG >KGN55245 pep chromosome:ASM407v2:4:21463654:21464827:-1 gene:Csa_4G641770 transcript:KGN55245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARLLLLMAVITLLVSGDNKATMGAAAVAFIDQHPLSNMQEKHRKHLQLLSSPPPRSHLFNALFASKRKVPNTWDPIHNR >KGN53832 pep chromosome:ASM407v2:4:8323797:8325315:1 gene:Csa_4G154320 transcript:KGN53832 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polygalacturonase-inhibiting protein MHSPNLLLLLLLLFFFTVSYAELCHPNDKKVLLNIKKAFNNPYILTSWKPEEDCCTWYCVECDRKSHRIIALTVFADDKLSGPIPPFVGDLPFLENLMFHKLPNLIGPIPPTIAKLNNLKYLDLSWNGLSGPVPSFLGSLSNLDVLDLSFNRFTGSIPSSLANLRRLGTLHLDRNKLTGPIPESFGNFKGKVPYLYLSHNQLSGKIPISMGKVDFNYIDLSRNKLVGDGSLIFGSKKTTEIVDLSRNLLEFNMSKVVFPRTLTYLDLNHNKIFGEIPTEVVKLELQMFNVSYNALCGRIPMGGKLQSFDVYSYFHNKCLCGKPLGSCK >KGN53855 pep chromosome:ASM407v2:4:8675350:8681742:-1 gene:Csa_4G167980 transcript:KGN53855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVTPKITVNDGNLVVHGKTILTGVPDNIVLTPGSGLGLVAGAFIGATASNSKSLHVFPVGVLEGTRFLCCFRFKLWWMTQRMGTSGRDIPFETQFLLMESQGNDGEDPDNSSTIYTVFLPLLEGQFRAALQGNEKNEMEICLESGDNTVETNQGLSLVYMHAGTNPFEVITQAVKAVEKHTQTFLHREKKKLPSFLDWFGWCTWDAFYTDVTAEGVVEGLQSLSDGGAPPKFLIIDDGWQQIEAKPKDADCVVQEGAQFASRLSGIKENHKFQKNGNNYDQVPGLKVVVDDAKKQHKVKFVYAWHALAGYWGGVKPASPGMEHYDSALAYPVQSPGMLGNQPDIVVDSLAVHGIGLVHPKKVFNFYNELHSYLASCGIDGVKVDVQNIIETLGAGHGGRVTLTRSYHQALEASIARNFSDNGCIACMCHNTDSLYSAKQTAVVRASDDYYPRDPASHTIHISSVAYNSLFLGEFMQPDWDMFHSLHPTAEYHGAARAIGGCAIYVSDKPGNHNFDLLKKLVLPDGSVLRAQLPGRPTRDSLFNDPARDGTSLLKIWNMNKCSGVVGVFNCQGAGWCRITKKTRIHDESPGTLTTSVRAADVDAISQVAGADWKGDTIVYAYRSGDLTRLPKGASVPVTLKVLEYDLFHISPLKDITSNISFAPIGLVDMFNIGGAVEQVDIQVVEPIPEFDGEVASELTCSLPDDRPPTATITMKARGCGRFGLYSSQRPLKCSVDKVGTDFVYDDVTGLVTFEIPIPTEEMYRWNIEIEV >KGN52801 pep chromosome:ASM407v2:4:367069:369526:1 gene:Csa_4G001680 transcript:KGN52801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNISHFLHQLQLCARRQSASAAGELHSQIIKAGFDKSSLLSNTLLDVYGKCGLIPQALQLFDEMPNRDHVSWASILTAHNKALIPRRTLSMLNTMFTHDGLQPDHFVFACIVRACSSLGYLRLGKQVHARFMLSFFCDDEVVKSSLIDMYTKCGQPDDARAVFDSILFKNSVSWTSMISGYARSGRKCEAMDLFLQAPVRNLFSWTALISGLIQSGHGIYSFSLFNEMRREGIDIVDPLVLSSVVGGCANLALLELGKQIHGLVIALGFESCLFISNALVDMYAKCSDILAAKDIFYRMPRKDVISWTSIIVGTAQHGKAEEALTLYDEMVLSRIKPNEVTFVGLLYACSHAGLVSRGRELFRSMTTDYSINPSLQHYTCLLDLLSRSGHLDEAENLLDKIPFKPDEPTWASLLSACMRHNNLEMGVRIADRVLDLKPEDPSTYILLSNVYAGAEMWGSVSKVRKLMSSMEVRKEPGYSSIDFGKDSQVFHAGESCDHPMKNEICNLLKDLDAEMRKRGYVPNTSFVLYDIEQQEKEKQLFWHSERLAVAYGLLKAVPGTIIRIVKNLRICGDCHNVLKFISDIVKREIMVRDATRYHHFKEGKCSCNDFW >KGN54780 pep chromosome:ASM407v2:4:17190249:17204997:-1 gene:Csa_4G495220 transcript:KGN54780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTQLAPPRRSSLAQKRTSSTSSRKSVSGDNGISSNGNVPKPGSPTQLPSAAVGERTVKKLRLSKALTIPEGTTVSEACRRMAARRVDAVLLTDANALLSGILTDKDVATRVIAEGLRPEQTVVSKIMTRNPIFVTSDSLAMEALQKMVQGKFRHLPVVENGEVIALLDITKCLYDAISRMEKAAEQGSAIAAAVEGVERQWGSDFSAPYAFIETLRERMFKPSLSTILSENTKAAIVSASDPIYVAAKKMRELRVNSVVITMGTKIQGILTSKDILMRVVAHNLSPELTLVEKVMTPNPECATVETTILDALHIMHDGKFLHLPVLDREGLVVACVDVLQITHAAISMVESGSSSVNDVASTMMQKFWDSALALEPPDDIDTHSEMSAFMASEGTLNYPSLGLGNSFAFKFEDLKGRVHRVNCGTETLDELVSVVMQRIGATDSANRPLLLYEDDEGDKVVLATDGDLSGAVNHARSIGLKVLRLHLDFPESIQQTEAQNDAMLDQKRGSLHLYSGAFAAAIALTSIGVLFYLKRSKV >KGN55307 pep chromosome:ASM407v2:4:21803439:21805132:1 gene:Csa_4G645820 transcript:KGN55307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTDCNTGLLLGLGRVSGHNINASVRSELPALNKKKLQQVLKFDDDILPSLTLGLSFVVDTATEDGCSGSPVSSFSNSSGFKRERAGEEVAETEECMKVGEEDEEGSPRKKLRLTKHQSAILEDNFKEHSSLSPKQKQDLARQLNLRPRQVEVWFQNRRARTKLKQTEMDCELLKKCCEKLKEENTRLQKELQELKSLKLTPPPFCMQLQAATLTVCPSCESSICGGSSSGGDASPANNFSIGSKPQFLKFPFNHPSAACN >KGN53096 pep chromosome:ASM407v2:4:2112777:2112965:1 gene:Csa_4G016350 transcript:KGN53096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEKKWMEVEKKWMEVEKKWMEVEKKWMEVEKKWMEVEKKWMEVEKKWMEVEKKWMEVEKK >KGN54066 pep chromosome:ASM407v2:4:10840727:10848365:1 gene:Csa_4G280430 transcript:KGN54066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSVWELDIEDLVKTGLNLHEAVEFNRILQNVLSTAQRSKPTEVWRELMARRALKPTHPYELHHLLYYSVYANWNISSNGPPPYWFPSINQSKLTNLGKIMELHGPKLLGASYKDPISSFSLFQKLSYQSPEIYWSIVLHELSVTFQKPPRCILDRTEKSNVRWLPDSILNIAECCLLPSSRPLKDDNSSAIVWRNEGCDNSNVNHMTLKQLREKVTLVANALDATFSKGDAIAIDMPLTVDAVVIYLAIVLAGLVVVSIADSFAANEVAVRLRISKAKGIFTQDFILRGGKKYPLYSRVIQGGSCKAIVIPATGNRLDVDLREQDLSWEEFLSTAKEFPRSNRYSPVYHSSDGVTNILFSSGTTGEPKAIPWTQRSPIRCAADSWAHMDVQPGDVFCWPTNLGWVMGPVSVYSSLLAGATLALYHGSPLGYGFGKFVQDAGVTLLGTVPSLVKTWKDTRCMEGLNWTKIRHFASTGETSNVDDDLWLSSRSYYKPIFEFCGGTELASSYINGTPLQPQAFGAFTTASMTTEFVILDEHGIPYPEDQPCIGEIGLFPIYLGASNELLNADHDKVYFKGMPIYNGMQLRRHGDIIKRTVGGYFVVQGRADDTMNLGGIKTSSVEIERICDHVDESILETAAVSLSPIEGGPEQLVILVVLRKEYERSPQELKVKFSKAIQKNLNPLFKVGFVKIVPSFPRTASNKLLRRVLRKQMKDELALRSQL >KGN54205 pep chromosome:ASM407v2:4:11603095:11605837:-1 gene:Csa_4G293150 transcript:KGN54205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLAVAPPRVTVTAAHRPHKTKTKDYKTTQGRNINVGFGGKRKEELWQCIEGCGACCKLAKGPSFAAPEEIFQNTSDIELYKSLIGVDGWCIHYEKTTRKCSIYADRPYFCRVESPVFEKLYGIKENKFNKAACSSCRDTIKAIYGFSSKELENFNKAVQSS >KGN55560 pep chromosome:ASM407v2:4:23259751:23260161:-1 gene:Csa_4G665400 transcript:KGN55560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVVDLYLTEQTNGCSRNFSSFWLAAVFTVGRLVVNLEPFITLDYIFVSPVGHRVPLSFIVSSFRVKKSFINNSLNVSGLGPGLGPGPGPGPGPHHFLIDPGMVKFPRQFHILHSPHGKTLPLLHVYFLVHGWFQN >KGN54020 pep chromosome:ASM407v2:4:10569544:10572498:1 gene:Csa_4G268040 transcript:KGN54020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNTMSLAPPYLYSSHLLPKICPPNHSSSSSSSFILCSQSHSHPKLSHLPFSTSFSTSHSLKHSPFILRASDTESKTDTDSDDPNQQPYEEYEVELEQPYGLKFAKGRDGGTYIDAIAPGGFADKTGLFTVGDKVLATSAVFGTEIWPAAEYGRTMYTIRQRIGPLLMKMQKRYGKTDSFGELTEKEIIRAERNSGVVSNKVREIQLQNALRMKEQKARRENDLRQGLRLYKNAKYEEALEKFESVLGSKPTPDEASVASYNVACCYSQLNQLKAGLSALEDALEAGFEDFKRVRTDPDLSNIRTLEEFEPLVKRFDESFINENAINAIKSLFGFKT >KGN54241 pep chromosome:ASM407v2:4:11838445:11840068:1 gene:Csa_4G295460 transcript:KGN54241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFPPIPSNYLDPTTHQWQQQTTNHQSGSGTMIGGSSFQLAPSPPSSQVRPGSMADRARMANLPVPETALKCPRCESTNTKFCYFNNYSLSQPRHFCKTCRRYWTRGGALRSVPVGGGYRRNNKRTKSSSKSPVNSQCQPTTTELSGGETMRSNYNNAISIPNEGAVDSTHHHHPIGISNFLGFDQIQWRPQLQLHQTTHPSPLLANSARLLGNGGINSSLSYSIVGEIQKKMEATTTTTKMEEDGQQVEVNVGKPFWGVLGGNDNRHYWNGFNPSSSSSHSQV >KGN52960 pep chromosome:ASM407v2:4:1289503:1290042:-1 gene:Csa_4G007650 transcript:KGN52960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNTCEPCCIIFSECMGDPLKRYRGVRKRGWGKCTSAIYYPKQGKQKQLWIGSFDTPEMAATAYDAVANFFHGPKARLNFPELRHTLPKFPPDATVRKIRALARGAAEGSHGGGGGSSISGVTEPIQSLEEWQIQSLEKMPIYSPLLHQTMMEDDTSGFDLYGGDTYDTSIDLWNDQMH >KGN54254 pep chromosome:ASM407v2:4:11940407:11943889:1 gene:Csa_4G296080 transcript:KGN54254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDWSDSSKIDENRSLIIRTLREVVKRVVVEFRMLSSPLNDTSGRANQDGVVDAIPVSFRPPSSNLNMVVRRQRVTTLLIGETLISFELPYDCPFHSDDIDELEEAEKNEMEKTNNGREIIYNVHRLNFWQRLRGWRMERRQRINGRFDMYYHHLRSGKVFRSVAEVVNFFMYEVYPDKPGSKSSLDGQIHFSGFKRERRRKGVTSLKKKMEEQERKNRAEREKWIAMLFDLNNNNNNNDYNKREETHHRHVPMFDLNADHQKEEEEEVQQQVENYCCAANRNLMYDVVGDNYNNQENDASDHQKEIVEKFLADSYNNLMNLPNTNNEGKKSKAFSFDINEKFCEEEEEEKDARNKQAAEQFLAEAYNNLMNLHNNNTQQPTSRKGKEKMPPSSTDEKAGKKVKFFPPTSSPFPNTPININSIPLENIAMEFMEAQEPTCIPNMKTNHFNFLEENRPNNFIFTDICESSAAPARRASQGDGPGSSSASFHVDECDLINHIPESSDMFDLAIFLAKNRGGNNGGF >KGN53725 pep chromosome:ASM407v2:4:7159606:7159945:1 gene:Csa_4G111600 transcript:KGN53725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPSAVLSVVLVALLLICVANAHEGHAHPPSSEHHLAPPPQHSAAIINSLSYFWSMAMAMVAASWIILF >KGN54728 pep chromosome:ASM407v2:4:16511239:16514101:-1 gene:Csa_4G436990 transcript:KGN54728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDEFLYLEQRRLSVPEYERKFTKLAKYALILIVDEGERCRCSINDLREEIRTSIVLILIRSDYAQLVDAALRVEKSLGLKRSSSDSCEKGEEGSQKNKKSVILML >KGN54916 pep chromosome:ASM407v2:4:19176475:19178616:-1 gene:Csa_4G595990 transcript:KGN54916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQQLDQDSIPVGSLDKSKVLNVKPLRQLVPVFPSAQNVSSFSTPQGAAPFVCAGPSGPFPPGVAPFYPFFFSPAEQNQHTPGGTTNTNASFGLNSPISTAVPISSFRTPTEGTSTQNTGSRKNTRSRAQLQDGYSDSQNDNSQYYGMGVNDGEDSSKVGRKNKAKKKTRNGQDINFTSDVDIDAMLNEMVSTYNLSVLDSNRQAHGTIEAVSCVLMVFDLLRRKISQVEESKEPMPGSIRRPDLKTGAFLMTKGIRTNINKRIGTVPGVEIGDIFFFRMELCLVGLHAPSMAGIDYMGLKVSQDEEPVAVSIVSSGGYEDDTNDTDVLIYSGQGGVNRKDKESIDQKLERGNLALEKSLHRGNDVRVIRGVRDFSNPTGKIYVYDGLYKIQESWVEKGKSGCNVFKYKLVRLPGQQEAFLNWKLVQQWKDGNVSRIGVIIPDLASGAESLPVSLVNDVDDEKGPAYFTYYAGLKYLKPVYSMEPSAGCNCAGGCLPGNINCLCMQKNGGYLPYSSNGVLASQQSMIYECGASCQCPPNCRNRVSQGGLKFRLEVFRTKGKGWGLRSWDPIRAGAFICQYAGEVIDSPKAKDSVRDNEDGYIFDATRSYPNLEVISGDSDGPPKLQFPLVISAKNAGNVARFMNHSCYPNVYWKPIIRENKGEHDVHIAFHAIRHIPPMMELTYDYGVIPPESADGRKINCLCGSLKCRGYFC >KGN55362 pep chromosome:ASM407v2:4:22103713:22105559:1 gene:Csa_4G646360 transcript:KGN55362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLDAAEDESTSEIHIPAEIDWDMLDKSKFFFLGAALFSGVSTALYPIVVLKTRLQVSSTKVSCFKMSYSIMRHEGLRGFYRGFGTSLMGTIPARAFYMGALEITKSGVGSATIKLGFSDTTAMAIANAAAGLSSAMAAQLVWTPIDVVSQRLMVQGCNISAKNNVSNLNSCVYRNGIDAFRKIIYADGLRGLYRGFGISILTYAPSNAVWWTSYSVAHRLIWSGLGYYTSKKDEGCINGGYGFKTDSKATVAVQGLSAALASGVSAIITMPLDTIKTRLQVLDGEENGQRRPLTALQTIRNLMKEGGLNACYRGLGPRWASMAMSATTMITTYEFLKRLSTKSQDCLSRG >KGN53760 pep chromosome:ASM407v2:4:7525094:7525342:1 gene:Csa_4G123330 transcript:KGN53760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDEQKWDEIVEYKMEIKTNSGLKNDDALDMKKLFVQCNGGGSISIGVGNQTRKMKNKPRRKRRKKNPLVNSWSTGRKGEDS >KGN53890 pep chromosome:ASM407v2:4:9028199:9032302:1 gene:Csa_4G181720 transcript:KGN53890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMKRFALLLCAEDSEYVKMKYGGYFGVFVRMLGEEGEAWDRFRVAAGEFPADDQIADYDGFVISGSCNDAHGDDPWICRLIALLQRLASLNKRILGICFGHQILGRALGGKTGRGRSGWDIGITTVHVSSSYKLFSSLKIPTTLSVIECHRDEIYELPSKAEVIGRSDKYGIEMFKYGDHILGIQGHPEYTKDILLHLIDRLVLRKLITDEFAEEMRSNVEEGEADREAWKRLCINFLKGGL >KGN54308 pep chromosome:ASM407v2:4:12295994:12296374:1 gene:Csa_4G303070 transcript:KGN54308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPPFLFLLFIFANALFSSLAAAAAPPPTSAESPSVRKLGKHQSTAIAFSSPTEAPRSVMKVQGTSGASGGESGNAVELGNHDHHKSRDKSIAGGGVILGGLATTFLVAVICYIRATRRQKSELGS >KGN54553 pep chromosome:ASM407v2:4:14660756:14662306:1 gene:Csa_4G361870 transcript:KGN54553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDLPQALGISFRNFCKSYKSFFKKWHSKVKNSKKDTDNVVVDTKHDDPTSDAIHNYGPSKDHEDIKKKEGPRPTKGVHSFRYGGRSLRENDTTSFRPQSYDSGYSTLSRNASRRGQNAGSTSSSLFRSMSRRSNESMTSRVSSGRRSIDSISSSPLLSKSGSKRSTTPIMFSNSSGVLKAAAIEKQLECTLEELCFGCIKKIKVTRDLLLINGQAMEEEETLTMKVKPGWRKGTKITFEGGMGNERAGSYPADTSFVIAEKRHSYFKREGDDLELMVEIPLLKALTGCTISVPLLGGETMSLDIHEVVSPGYEKLIQGQGMPKLKDPDTRGDLILKFFVDFPTQLTPQQRSDVCRILEGSHHS >KGN55352 pep chromosome:ASM407v2:4:22052038:22053595:1 gene:Csa_4G646260 transcript:KGN55352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDSTNGNVRKRTRADEVDEDDDLMGKNGGGKGLKGLVTSLLLLDEQDKCEQDEQDRISVEAKISMEVNHRKKTKAMVDFYSEVQDYYSEVEESDRMKRKKSRLAANSVAVAAVSDGLQKIESEKSNKRGGDGGGGSGGGGGHHRRLWVKDRSKAWWDECNSPDYPDEEFKKQFRMGRATFDMICEELNSAIAKEDTTLRTAIPVQQRVAVCLWRLATGDPLRVVSKKFGLGISTCHKLVLEVCTAIRTVLMPKHLQWPEEETLRRIKEEYESISGIPNVVGSMYTTHIPIIAPKISVAAYFNKRHTERNQKTSYSITVQGVVDPRGVFTDVCIGWPGSMPDDQVLEKSALFQRANGGLLKGVWIVGGSSYPLMDWVLVPYTQQHLTWTQHAFNEKIGEIQKVAKDAFARLKGRWRCLQKRTEVKLQDLPVVLGACCVLHNICELGNQEMDTELLTELQDDEMAPEMALRSVPSMKARDAIAHNLLHHGLAGTSFL >KGN53475 pep chromosome:ASM407v2:4:4834286:4834435:1 gene:Csa_4G056650 transcript:KGN53475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQMTATRGGFRAVPMRLKGDNRQSGMKGVELILLGDCNPCIHVAADSG >KGN55430 pep chromosome:ASM407v2:4:22551788:22554863:-1 gene:Csa_4G651960 transcript:KGN55430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANVNCNPNSASDTLTLDGIRDSLIRQEDSIVFSLIERARFPLNGKMYLHNHASIPGFSGSLVEFIVRETEDVQAKAGRYENPEENPFFPENLPRPLVHPHKYPKVLHPSGASINMNKAIWDFYFKEFLPLLVSDGDDGNYAATAASDLACLQALSRRIHCGKYVAEVKFRDAPNEYEGPIRSQERDTLMELLTFKAVEEQVKKRVEKKAMVFGQEVTLNNTSGGGKHKIDPSLASLLYDKWVMPLTKEVEVEYLLRRLE >KGN55492 pep chromosome:ASM407v2:4:22946544:22947133:-1 gene:Csa_4G658520 transcript:KGN55492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIGVRFERFDDTLNLLDQASSQGIELDVVIINTIMHKACEKVRIDVIAFVVEPRKDSARPSDVPLSLPFNLGGYHSTTMELEAMQVHVYLMQRRRRLS >KGN55456 pep chromosome:ASM407v2:4:22746681:22747348:-1 gene:Csa_4G652690 transcript:KGN55456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNFGSSTNRHVIFPSVADHPLGPATDHRLGKLLPHQLANQTRAPPRADSSFCSSAYEVLAAVSSCCSPPKGRFLRITHPSHLQCMPNIAKSTRISNFDLFNSWIFNLILLPCVLSMTFE >KGN55104 pep chromosome:ASM407v2:4:20553905:20563517:1 gene:Csa_4G629990 transcript:KGN55104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEFSVNDPTRLLQAAANFANYPGVRTDASVKEFLDRFPLPAIINALQTKAEFPGLEDTLVACLDRIFKTKYGASLIPHYMPFVQVGLQADSQTVRTLACKTVTRLLQESDETALSPIQLIIDYGIYPLLLDCLLNGNEQVANSSMDSIKTLAAFPQGMEIIIPSNKTEATHLGTVASTCSSLGRVRVMALVVKLFSVSSSVASAVYNANLLSLLESEINNSKDTLVTLSVLELLYELVEIEHGTKFLPRTSFLQLLGSIISNSSAESILRSRAMVICGRLLSKENIFSLVDESCLRNLISAVDGILGSSEGEDVNVSEAAIEALGQIGSSTWGATLLLSSFPTCVKHVIYAAFDRHEHGKQLAAMHALGNIFGEGRSENDIMLNDNAEENLRDLIYQIASRSSKMTPSGLFLAVLQQDSEIRLASYRMITGLVARPWCLTEICSKQDIVNIVGDASSETTKIGMEARYNCCLAIHKAFMSSPRLTGDPALAGIASKLQEAVRNGPYLNRRNVETQPAIMTAERF >KGN53711 pep chromosome:ASM407v2:4:6958944:6977644:-1 gene:Csa_4G108510 transcript:KGN53711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSVFSATTRNIAICCVLKAFNLRLSEIVRPTCVHAGDRARRFSCISSYLGIYGTTRLSGSISPSLRTLQVVLPSLRRRLRCVSSSSVSFPSGGGNGGLGGNTGGGGRGGDGGLGGGDGNKLVSGSAEEISSLLASVIILDVGGMTCGGCAASVKRILENQPQVSSASVNLTTETAVIWPVPEVKDSPHRVKKLGETLANHLTRCGFASSLRDSGRDNIFMVFEKKMEEKRNRLKESGRNLVFSWALCAVCLLGHISHFFGAKASWIHTFHTTQFHLSLCLFTLLGPGRQLIIDGMKSLVKGAPNMNTLVGLGALSSFSVSSLAALMPKLGWKAFFEEPVMLIAFVLLGRNLEQRAKIRAASDMTGLLSILPSKARLVVDGDTELSSTVEIPCSSLSIGDEVIVLPGDRIPADGIVKSGRSIVDESSFTGEPLPVTKLPGSQVAAGTINLNGTLTVKVHRQGGDTAMGDIIRLVEEAQSREAPVQRLADKVSGHFTYGVMVLSAATFIFWSQFGSRILPAAFYHGSSVSLALQLSCSVLVVACPCALGLATPTAMLVGTSLGATKGLLLRGGNILEQFSMVDTVVFDKTGTLTVGRPVVTKVFATSRYERNVDTQTNSHGNYSENEILKFAAAVESNTVHPVGKAIVEAARAVNGHSLKVVEGTFVEEPGSGAVATVENRIISIGTLDWVQRYSSTSILYMD >KGN53051 pep chromosome:ASM407v2:4:1874149:1876538:-1 gene:Csa_4G012470 transcript:KGN53051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKIIVPKCTNPIRFIALTSFILCFVLFFFDYSALYETRKNEVTHLTDDFSNSSSPVSLNPTNDTKPEKEAIVTDSESSSIDQNPEKEAIVKTQTISSTNSRPGRRSRKRAVRRRGRSVKTKPSQSHAVQLPKTVPLKTEDPSCIGKYIYVHNLPKKFNEDLVENCRLPHLKWSEVCRFMWENMGLGPKVQNPKRVLTNKGWFYTNQFALEVIFHQRMKQYKCLTKDSFKAAAIFVPFYAGLDVGPYLWGFNASIRDKGPVELGKWLSHTSEWKSLWGRDHFFIGGRITWDFRRNNENDSDWGSKLMLLPEPKNMTMLTIETGYWNNDYAIPYPTDFHPSSDSQIIEWQRKVKRQKRPFLFSFIGGPRPTQETSIRGELINQCKASKSCYFLACIPGEKKCGDPVAVINTFLNSVFCLQPPGDSFTRRSIFDAILAGCIPVFFHPGTAYAQYIWHLPKDHKKYSVFIPSKRVKEKEVNVSEVLEGISSKEVLEMRNQVVKMIPRVVYADPRSRLESFEDAFDIAVKGILERVERVRKGIEEGKDPTVDFADMNMKKFEMLGFI >KGN54713 pep chromosome:ASM407v2:4:16285333:16289715:-1 gene:Csa_4G431930 transcript:KGN54713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTALLVFTGLNVVLVSTITPVYDFVCFHPYWERRRERRRQQREAALGKELLADR >KGN55064 pep chromosome:ASM407v2:4:20286990:20289599:-1 gene:Csa_4G627150 transcript:KGN55064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPYSEERLTQEVLHLHALWHRGPPPRNPIPTHNHSSTPLANPIPSNKRPIGPDKPKNKNKNKNKNKNKNKNKNKNKNKNKNKNKNKNKNKNKNKNKNKNKNKNKNKNKNKNKNKKKPRPDPPQPQDSAPNWPCPQPLQNQPSTSSWWPPIQPVPTPAAQPVSSEDRQNLAALQLQDEGSDACREFFARNADSESDEEEEEEDEDDWEMMESKEYKFFLKMFVENEELRVYYEKNCESGLFCCLVCGGMGKKKSGKRFKNCLGLVQHSISISGTKKKRAHRAFGLVVSRVFGWDVDRLPTIVLKGEPLSRSLANSGDLKPEEIHVDNKYEVVSVNVNEDEQKLEEVKTAEDPTSNSKDLISGEVTILRACKEFNGAFFGSMNDDDASEKELMDGAEESEEFKFFLKLFTENENLRRYYENHYGDGEFTCLACKLAGRKMKSFKTCSRLLQHSTHLGKNNTQNQGQKPQKTKMLKTGMLAHRAYTLVVCKVLGCDIEMLPAIVLEGEALGRSLTKSDVSKDKSVGKMQSSNTDDIVEDDSVLEGVK >KGN53975 pep chromosome:ASM407v2:4:9900058:9900937:-1 gene:Csa_4G218340 transcript:KGN53975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLQYNFFPTDLLYPKPSSSSSSSEAINRASVTFKTPLRGNKGDQEDIDHGDEPKSRLMISQKVDRSPLILKHQIKSTSSSTF >KGN54199 pep chromosome:ASM407v2:4:11585563:11587746:1 gene:Csa_4G293090 transcript:KGN54199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIPRKEHDFEPSFSNVYELPGEPAIVINGVPDIPACDNALALCNPLDDEKLRGSTGFGEWLEGRVVNKLFVDRYYYGVIIEFDKVTGWYRVEYEDGDFEDLDWHGLEQVLLPMDITIPLKALALKTLKRSRKAQKNRKNKTGNGRGDPKEMEGRRKKSVESKVLLPTEHAA >KGN52904 pep chromosome:ASM407v2:4:918528:918713:1 gene:Csa_4G006110 transcript:KGN52904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLLFRLEYSVKGVDSGIRNFRKAVIKKAIALEEKIDSIAAANEATNVIDNSRTRDWSRSC >KGN54397 pep chromosome:ASM407v2:4:12963352:12965378:-1 gene:Csa_4G312300 transcript:KGN54397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVGECSNTRSSDVDDNSNKKSENSSSSTEFGNEGSSSNSTIEENNNNDHDQHKTKPPTVRPYVRSKLPRLRWTPDLHLRFVHAVERLGGQENATPKLVLQLMNIKGLSIAHVKSHLQMYRSKKTNEPGQVVGDQRVLMAESNNGDRNIFNVSQIPMFQRYNSSYPSNLFRFGGSSWKAIENKTIQSPFMEKSASMTTLTQARSSLFSSNKSNAPEMINRNLRMSISESNTTTSKRQDEREKEDSCSEIIPQKRKRATDNGEIDLSLSLKIAPREEIKIEKRSIDQDEESAMEEEKEREISNRDEVPFKRMQLQHEELLKWVVQFGTTLS >KGN53597 pep chromosome:ASM407v2:4:5744980:5748641:-1 gene:Csa_4G083710 transcript:KGN53597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVLQGDGDAGGNPRKRDNDKFNAGKQQKQSKIAKRVARNSLQTPTVAATNGGANPSSPSHNPIDALVTSRFYSGQNHCSEPVNAEEVPVYTRFENRVRINLNSRSRFGIKELTTKLKGELDQVRSLVKKFETQELQLSGYGGDVGHSQSQFSANNLVERVGTVSTMKVNSEVGSADVPASRLVRCASVAENFGEFAEKEVSKHKNSKYASTKELPMSDCNLNGGKIGPVLKSCSNLLERLMKHKFGWVFNVPVDAKRLGLHDYHKIITKPMDLGTIKMRLNKNWYKSPREFAEDVRLTFSNAITYNPKGEDVHMMAEQLSNIFEEKWKTIEGKQNVGKGFQVDDGSVLPTPTSRKSPALATRPVESRTFSRSDSTTKHFLTSNPKQPPTDVAPPDKKPKAKNHEIRDMTYEEKQKLSIDLQDLPSDKLNNVVKIIKKRNQGLFQNDDEIELDIGSVDSETLWELERFVANYKKSLIKNKRKADANLQSGEKLSHYSTNDTDLLAVAKAGGKPVGGNADSENDSSSTCGDENQSSSG >KGN54716 pep chromosome:ASM407v2:4:16320651:16321291:1 gene:Csa_4G431960 transcript:KGN54716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGTATGSDHRTLDAVYRRKPTKKTKQPKNRLKKPIKVVYISNPMKVQTSASGFMALVQELTGQDADFPDPSKFPPSAVCDGAASTDQLYNTISSGGEDDGATNLIVNSNNSSLVDEVPAEDDILGSFYDDFDDLIFPSPVIGNFSGLLPAPVAAVVYESNAR >KGN55429 pep chromosome:ASM407v2:4:22541632:22550163:-1 gene:Csa_4G651950 transcript:KGN55429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSGAKDDIEMNSEGEDLSPGMDLDTDDDDDYYSEPVNIHDLGEAFLKDFCKKSSMAFFNQYGLISHQINSYNDFIKNGIQKAFDFFGDILVQPGYDPSKKGDGEWRYATVKFGKVTLDKPKFWGGAASGKEYNMLPRHARLQNMTYSSRMKINISLEIYTQKLVSSDKFKTGKDQYVDKEPVEGASDNRDVFIGRLPVMVNSDLCWMKDGQKRDCEFDRGGYFLIKGAEKIFIAQEQICLRRLWISNVQGWTVAYRSEVKRNRLIIRLVENSKSEDLKSKEKVLNVYFLSTEVPVWILFFALGVSSDKEIVDLIDYGRDDPTVLNILFASVREVDNDDKWKDFRRGKRALTFLDNEIRKTSFPPADKIEDCLNLYLFASLKGSKQKCHFLGYMVKCLLQAYTGRRKCDNRDDFRNKRFELAAELLERELKVHIAHARRRMEKALQRDLYGDRQVHPIEHYLDASIITNGLSRAFSTGAWAHAFKRMERISGVVATLGRANPLQTMAELRRTRQQVAYTGKVGDARYPHPSHWGRVCFLSTPDGENCGLIKNLSGTGLVSLNTKKSITPTLFRCGMENLVDNTSTSFCGKYRIFLDGEWVGVCEDSLSFVTNVRRKRRRNPFLHQVEVKRDEQLKEVRIFSDAGRILRPLLVVENLNRIDKSKGENYTFQSLLDKGIIELIGTEEEEDCRVAWSIKHLMEDEGTTKYSHCELDMSFLLGLSCGLVPFANHDHARRALFQSQKHSNQAIGFSPTNSNFRVDTLSHQLHYPQRPLFRTMTADCLGTPGYLSSHAGILPKPEFYNGQNAIVAVNVHLGYNQEDSLVMNRASLERGMFRSEHIRSYKAEVDNKESSEKRRKSDDAINFGKTQSKIGRVDSLDDDGFPYIGANLQSGDIVIGRCAESGADHSIKLKHTEKGMVQKVVLSSNDDGKNYAVVSLRQVRSPCLGDKFSSMHGQKGVLGFLESQENFPFTIQGIVPDIVINPHAFPSRQTPGQLLEAALGKGIAAGGSLKYATPFSTPSVDAITDQLHRAGFSRWGSERVYNGRTGEMMRSLIFMGPTFYQRLIHMAEDKVKFRNTGPVHPLTRQPVADRKRFGGIKFGEMERDCLIAHGASANLHERLFTLSDSSQMHVCQKCKNVASVIQRSVAGGRKMRGPYCRVCESFDDIVRVNVPYGAKLLCQELFSMGINLKFETQLC >KGN52783 pep chromosome:ASM407v2:4:275017:277986:-1 gene:Csa_4G001510 transcript:KGN52783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKPSFFSALKEEVIRGLSPSRSRAKSPARTASPFSILLRRKKNQYVAHAGIPQQFIARSGSFRPVGEALTPLVEGPDPDGCEIGDSKRISSGLGQWMKGQLSRTPSIASSVATKRSDLRLLLGVMGAPLAPVHVSTSDPLPHLSIKDTPIETSSAQYILQQYTAASGGQKLQNSIRNAYAMGKLRMVAAEFETATKVMKSRNPSTRAESGGFVLWQMNPDMWYVELAVGGSKVHAGCNGKLVWRHTPWLGAHTAKGPVRPLRRALQGLDPRTIVRMFADARCIGEKKINGEDCFILKLCADPQTLKSRSEGPAEIIRHVLFGYFSQKTGLLVYMEDSHLTRIQSNGGDAVYWETTINSFLDDYRPVEGIMIAHSGRSVVTLFRFGEMAMSHTKTKMEEAWTIEEVAFNVPGLSMDCFIPPADLRSCSISETSELPQDERSKSAIALAAYRAKVAALEKPDDDCMDNMTWKSDF >KGN53508 pep chromosome:ASM407v2:4:5019620:5019947:1 gene:Csa_4G062930 transcript:KGN53508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEDEKTSKEMVGIASSVSKKNYDRRRKIVGKPKGYSELKRKFQEREGETRKEISLRFPNPTFTPYL >KGN55220 pep chromosome:ASM407v2:4:21335591:21338682:1 gene:Csa_4G641540 transcript:KGN55220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCSNSDMMEAEFPSITAGERDSVRHLLTLARQFINQRKPSQALQAVVMAMRTQGGDAAVFQSLHRARELYRSRLQETADVDQLASLFAECAIAEAQQPLNPEPTESNNNMSSSSVVDGHANSILAETGRMQVVMDAFSDGSSFICLQCGGLVSNHRKDEHYAYWCAQI >KGN54145 pep chromosome:ASM407v2:4:11233952:11236384:-1 gene:Csa_4G289630 transcript:KGN54145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHQNAFSFFFLFLFLFLPSFSVSAQPYKNVTLGSTLTALNNNNDSYWSSLSGDFAFGFLQFESKGFLLAIWFNKIPQQTIVWSAKPSALVPAGSTVQLTNTQLVLKDPAGKQIWSSNDNNNVGLGSVSYAAILDTGNFILTATDSQVLWQSFDHPTDTILPSQTLNSNLVSSYSKTNYTEGRFLFSMGTDGNLVSSYPRIVPMRWSPLIYWESETSGSGFNLVFNLSGSIYISAPNGSVVKNLSSNTPSTDDFYHRAILEYDGVFRQYVYPKTAKITGNATPSPWPKDWSQVSDSIPPNMCLPITNGLGSGACGYNSYCRIGDDQRPTCHCPQGYDLLDPNDEIQGCKPIFTPQSCDDEETDAFEFFSIENSDWPDADYEAFYGVNEDWCRRVCLDDCYCSAVVFRGTHCWKKKFPLSFGRIDLEFKGKALIKVRKQNSTSIIVNQAYKKVKDKTLVLVGSIFLGTCGFLIATLLIAYQFNIKRTELLIEKNLPVLQGMNLRIFSYEELHKATSGFTEKLGSGAFATVYKGVIDDCMDKEIKNLVAVKKLENMVKEGDQEFKAEGSLADYLFGCTKKPNWYERIEVILGTARGLCYLHEEWYVAPEWFRNLAITTKVDVYSFGIVLLEIISCRKSLEVEGEDELVVLADLAYDWFQERKLEMLVRNDEEAKEDMKRVEKFVKIAIWCVQEEPSFRPSMKKVVQMLEGAVEVSTPPHPYSFITAIH >KGN54080 pep chromosome:ASM407v2:4:10924618:10925324:-1 gene:Csa_4G280570 transcript:KGN54080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDRRRFLPPVSGDAVTFVFHLVGPTSGCKVLNSDGRRRLRRLRSDGQREEGGDNEESDEW >KGN53739 pep chromosome:ASM407v2:4:7304052:7307355:-1 gene:Csa_4G114200 transcript:KGN53739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIKKREKYARREDAILHALELEKELLNKQGKLNLYSDQMTIESPGATAKEGILFSEHIGTDDHNDGHSESHQFSKTIHVSYDNEITEPCLKANEGAQRSGEDDHSESRPRMRGLQDFGLRITSSKRKFLSSSVVSNGFEMLATDTNALAPSGGCNIGNDSDANGMQQIDRAKRSKCMYLPADSSDSLECRESSLGQVEMSTPDLGTGVMPSQPNSLLEGNASGSSENDSSDSETDSDSSRSDQDMDNEMTALSDSTLPSEKELSTFERTDTREHGNMSSEEPDDSVHSGDMSHLYHHDPVSTNEAVSKWKLKGKRNVRNFSKKLVGVDEEPSSHLWVHARTRLNNRNDYFDDSMDGVDALEEEYYLTSKMVSKDQYFVRNYLPDWEGQPALKGYWDVKNPLYGIPHHFGERPRTILIDVDLKVHASYQKEPVPIVSLMSKLNGQAIIGHPIQIETLEDGFSETILSDSLGNAPSENDGSTALQPAWRTARRTANVRIPRPHLPTVPVGEEAGYDSQERKSRLKKVKTGVYLSKAGQPHIPRGPSNDRRLPKKMAKKVSLSSNQKTRTLSSIDVEQNFGNMPIHDSVSCQINGSIKPESSGPPTVACIPVKLVFSRLLEKINRPPSKATNNLVLLNNNSNRDP >KGN55178 pep chromosome:ASM407v2:4:21086997:21087455:-1 gene:Csa_4G639170 transcript:KGN55178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQRNRHKSQERESVNSEGGIAAWNVFDSVKTTTKTPEALMGEIGAAISNLEYARTTAYLESPISPDEPEDEEPSANSRSQYDVRMADGAYKAGCKALTVGKLDEALHSLNVSLSKCPPEKSSAVAKIQSLISLTSQQLQIRSSNCQEISED >KGN55278 pep chromosome:ASM407v2:4:21618984:21620438:-1 gene:Csa_4G643080 transcript:KGN55278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFFLPRTPHSSSTCHSLALPLFATPHRFPSILNPSPPIPFHSLFLPSLSPPPSTSAMIKTLPPPFPSTDKTAEIMSRYRPIAPKPESPFPTSDHSLNNIPHSSSSSSSSSFLRNVWPQLQARPTRTRKRSRPPPISPHSLKRTRITPSPNFSLHHHPFSSSLLPHLSLPSINSGFRDSSSNSNLVTLPLLPSVSDETETTTPVSEINFIKSFDEEKGVEFSVDSSVVSEIPQEKDLLQQLQCPVSISNVITPHPVRPVGSSIRVGCINEAQNPVHSNNTPQLPKKPDEVEKEVESEVLPAVISDSNNRVRMANSAYKEMVGQPECLWLDSMVTGDERLKGRRIGGEVMLHLSDAAAVPHSSNGFSCWVRIEWGNSDGKKNSVTAFCDVIKLSCVSRDYLFTWRFHTQTRNNHNNNNNAFNPICINV >KGN54504 pep chromosome:ASM407v2:4:14118009:14122744:-1 gene:Csa_4G340550 transcript:KGN54504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNENLPPNVIKQLAKELKSLDESPPEGIKVVVNDDDFSTIFADIEGPVGTPYENGLFRMKLILSRDFPCSPPKGYFLTKIFHPNIATNGEICVNTLKKDWNPSLGLRHVLTVVRCLLIEPFPESALNEQAGKMLLENYDEYARHARLYTGIHAKPKPKFKTGAISESTTALNVDPTNASALSTDLKNASATALPLVCPTANSITVVRSGQEQQQPNVVTALASESGVGGSGVGEAPAAMVKKESGHLKMQQVGKKKIDARKKSLKRL >KGN54655 pep chromosome:ASM407v2:4:15679851:15682201:1 gene:Csa_4G417490 transcript:KGN54655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKKTEEGGGNTKVKPGKDVSGKREKLSVSEMLASMDQKSDKPRKGSSSLSGGAKPQAKAPKKVVAYTDGIDLPPSDDEEEEIVKAAKRSGSRAQQEKVKDRAKFAAAKEASKNKSKGKVDEDGPLPEAPRKWRDYSVEFHFPEPTELTPPLLQLIEVSFSYPNREDFRLSDVDVGIDMGTRVAIVGPNGAGKSTLLNLLAGDLVPTEGEVRRSQKLRIGRYSQHFVDLLTMEETPVQYLLRLHPDQEGLSKQEAVRAKLGKFGLPSHNHLTPIAKLSGGQKSRVVFTSISMSKPHILLLDEPTNHLDMQSIDALADALDEFTGGVVLVSHDSRLISRVCEDEEKSEIWVVENGTVEFFPGTFEEYKEELQKQIKAEVDD >KGN52748 pep chromosome:ASM407v2:4:129375:131531:1 gene:Csa_4G000690 transcript:KGN52748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYKVEFEEENENEDSGRQNACVIKIGFHYQKYINSQISNTHTQNHNFEASIFSSFCSKFQRNFECSSLQNPNSHHNSLSSWP >KGN53050 pep chromosome:ASM407v2:4:1869932:1872883:1 gene:Csa_4G012460 transcript:KGN53050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQVPRIKLGSQGLEVSAQGLGCMGMSAFYGPPKPDSDMIALIHHAVDRGITLLDTSDIYGPFTNEILVGKALKDGYRDKVELATKFGISFADGKREIRGDPAYVRAACEASLKRLDVGCIDLYYQHRIDTRVPIEVTIGELKKLVEEGKIKYIGLSEASASTIRRAHAVHPITAVQLEWSLWSRDVEEEIIPTCRELGIGIVAYSPLGRGFFSSGPKLVEGLEDNDFRKHLPRFQGENLEHNKTVFEKVSAIAERKGCTTSQLALAWVHHQGDDVCPIPGTTKIENLNQNIGALTVKLTSEELAELEGFAADDVVKGDRYQSAFATWKTSETPPLSSWKA >KGN53339 pep chromosome:ASM407v2:4:3841052:3844977:-1 gene:Csa_4G048000 transcript:KGN53339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQSPADSSTTSIETECQIPATSPNSTTSSVIEDCTKTQSSLSQAQILKAIEVVEKDSLAMAESFTSLFASLRSVLSEVTSNSIDHMHCFNDAAGRLQESVLDAATKGNRYINSSLRLNQEMKGMDNLAAQLKVLRKNVDELDLAVNKLLNFP >KGN54306 pep chromosome:ASM407v2:4:12290274:12291436:-1 gene:Csa_4G303050 transcript:KGN54306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPILPISLAISIPSRPPLFSFSQSLTGSNHLSYLPNRMPILTKTTPLIRMGGGPRTYPGGVSKWQWKRMQAKKGKQLLKARLCRERQIYEMRKRAELKAAVSQLERPWEVVEKAPNLFSVGADEQVKVLADRFQRPGGFDLWTERDGPQLFETVDELPSARFFPKGVVHSVRPYRSITGSESSLSLDSEAGNEIPTTFQEDNFRTHRRSSNRTLRSFSVDKGEDNTNYSDTEGSYPRHSSAPSISNGTKGNIYEERRTRNGRTERNLKSSKRELKPGKNGLDRRQRVPGPQAHNGNTQYGSGKDFRRSRGSHSEVYDMSLEQDGSYRFQSMKKLLELNWNGHPDGVFWLISG >KGN54526 pep chromosome:ASM407v2:4:14462198:14469554:-1 gene:Csa_4G358640 transcript:KGN54526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGNGCQDGVNTRPLFLVIYATVIAGIVFSSLYVFSAVYSSSNSVSDSSSWFSSTSSEFTNFDSTLIKDQRSDVSQPSTGPTPDFSNTVVQNKGERPIWEAPNTKNMPPPEAFNLSKELVQKRAKDNIIIVTFGNYAFMDFILSWVKHLTDLGLTNLLVGAMDTKLLEALYWKGIPVFDMGSHMSTVDVGWGSPTFHKMGREKVILIDSILPYGVELLMCDTDMVWLKNPLPYLARYPAADVLTSSDQVVPTVVDDRLDKWNEVTGALNIGIFHWRPTEASKKLAKEWKEMLLADDKIWDQNGFNELVHKKYGPSVDEDSELVYAYDGNLKLGVLPASIFCSGHTYFVQAMYQQFRLEPYAVHTTFQYAGTEGKRHRLREAMVFFDPPEYFDAPGGYLSFKPSIPKNLVLEGEHNLDTHFTLINYQMKQIRTALAIASLLNRTLIMPPLWCRLDRLWFGHPGVLQGSVTRQPFICPLDHVFEVNVMLKELPEEEFGPGIDFREYSFLENPLLPKQVKESWLDVQLCKQESEGCSASNDTVLPGVLKFPKGSNEDTFKAIFSTFKDVKVIQFSTMQDAFPGFSDKKREEKFRNRVKRYVGIWCCVENAAPGHIYYDMYWDEKPNWKPHPPRTPEEDRPPL >KGN55176 pep chromosome:ASM407v2:4:21079940:21083099:1 gene:Csa_4G639150 transcript:KGN55176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLQRMFAGAGGSLGHPPPDSPTLDSSEQVYISSLALLKMLKHGRAGVPMEVMGLMLGEFVDEYTVRVVDVFAMPQSGTGVSVEAVDHVFQTNMLDMLKQTGRPEMVVGWYHSHPGFGCWLSGVDINTQQSFEALNQRAVAVVVDPIQSVKGKVVIDAFRLINPQTMMLGQEPRQTTSNLGHLNKPSIQALIHGLNRHYYSIAINYRKNELEEKMLLNLHKKKWTDGLTLRRFDTHSKTNEQTVQEMLNLAIKYNKAVQEEDELPPEKLAIANVGRQDAKKHLEEHVSNLMSSNIIQTLGTMLDTVVF >KGN52942 pep chromosome:ASM407v2:4:1152322:1154835:-1 gene:Csa_4G006490 transcript:KGN52942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCRRISSNADSGSAPVYLNVYDLTPINGYAYWFGLGVYHSGLQVHGIEFAFGAHEYPSTGIFEGEPKQCEGFKFRKSILIGQTDLSEAEVKSLMEELGKDYRGNAYNLITKNCNHFCNHVCIKLTGNPIPSWVNRLARIGWICNCVLPATLNSTKFGQNRVQKKTNEEKQEKGVEEEEEKKKKELMPKVQTVEISGSNSNSSSSSSSSPITLRRGRSRTRRPRLPTSPLIPTSNC >KGN54558 pep chromosome:ASM407v2:4:14713989:14714788:-1 gene:Csa_4G362910 transcript:KGN54558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFWEKSLGIFEETPTPWHQNDVGIPHPTFFHPHSDDDIFAWQWKAIRSRRKYLVGFAGGARPESSENIRSLLIDHCTTTEGGRLCRHLNCKKGDCDRPKAVIELFLESEFCLQPPGDSPTRKSVFDSLISGCIPVFFDPFTAYYQYPWHLPEDHGKYSVMIDKKELKRSGENVVKKLEGISLEKREEMRSYIIYELMPGLVYGDSNNVIDKFQDAYHIAISNLLQRVSML >KGN54729 pep chromosome:ASM407v2:4:16515374:16517207:1 gene:Csa_4G437000 transcript:KGN54729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIPPPIKPPRLTQYLKPYVLKMHFTNKFVSAQVIHSETAIVASAASSQEKALQSSMESTRDVAAAAKIGKLLGERLLLKGIPAVCVHLKREQRYHGKVKAVIDSVREAGIKLL >KGN53709 pep chromosome:ASM407v2:4:6940230:6940679:1 gene:Csa_4G107500 transcript:KGN53709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWELPKLLLLLLSLPLHHEHGQPALMFSFSSIFLNLCSGLTSLDDVATSADMTNSSDTTFVAKLWSSLSCLTSLIFNEPSSSLPNNSTYVVVFLENLLLSQLFLDVKKGFLNVSWIPLMLLDVIRVYRLPNLKVVSALGIINTIEEKFS >KGN55445 pep chromosome:ASM407v2:4:22680613:22684116:1 gene:Csa_4G652090 transcript:KGN55445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPQVSLTKSLTVFPKTPNPTKFVELSNLDRQCPLHMYLIFFYNPSSIYTNSSPDLVFNKLKIGLEETLSIWSPAAGRLDRNPTDGKLNLRCDNRGAILVQAITNVKMSELGDLSNYNEFFEKLVHKPILNGNFSEFPLVVAQVTRFGCGGYAIGTGISHSLFDGPAAYDFLSAWAANSAIFKDNNKMQTITPIHERGRLLKGTVANRCSRTVAVAAAIDHLYKLIMQSAMGNEEVGRKEYVCRTFRVSKEMIERLKMNALGETNGAAFSCSSFDLIAAHLWKARTKALSVSKEKMVCLQFAVDARNKLMPPLPKGFSGNAFVLASVALTAKQLDEESYKTIIEMIQNAKSRVDNDYINAYQQGLEGPQASLPPLKELTVVSDWTRMPFHKIQFLHGEAAYASPLKPPVLEVAYFLQNPTDGQAIDVHVPLLEHTFDAFSSYFLTRMQ >KGN55556 pep chromosome:ASM407v2:4:23229525:23230924:1 gene:Csa_4G665120 transcript:KGN55556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCFSNCLIIPKVSSSVPPPPPPTAKVISLQGHLREYPVPISVSRVLQTENSSSSTSDSFLCNSDRLFYDDFIPSLPLDHQLHPNQIYFILPSSNLHHRLTAPDMAALAVKATLALQNASTNNLHLPHNKGRRRRISPLFDLDSPNDQQNEHEHEHALSTNSNSKNNTTSSSVKKLQRLTSRRAKMAVRSFKLRLSTIYEGTVL >KGN53845 pep chromosome:ASM407v2:4:8561631:8563371:1 gene:Csa_4G165900 transcript:KGN53845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKHNIWRMGSNLGNFVLISSFIFTLFAFSASSAPPPYHYLDGLVPNGDFETPPQKSNLRKTVILGKYSLPKWEINGLVEYVSGGPQPGGFYFPIPVGAHAVRLGNEASISQTVRLRWRSIYTLSFGTTRTCAQDEVLRIEAAGQSANVSIQTLYSNGGDTYAFTFRANRNNVKLTFHNPGVQEDPTCGPLLDQIFIKQMPPVRRLPGNLLLNGNFEVGPHVIKSFNNGILLPPLQTDHISPLPGWIIESLKPVKYIDRPHFFVPSGNAAIELVAGRESAISQIIPTLPNKFYNLTFTIGDARNGCHGSMDVQAFAAQQTIKVPFISRGLGSSKTASLKFQAVSVSTRITFYSAYYHTKLHDFGHLCGPVLDNVIVVPA >KGN53092 pep chromosome:ASM407v2:4:2089587:2094822:-1 gene:Csa_4G015820 transcript:KGN53092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYQQQNMDEFDYMAAGHEMADDIDDGGDGESGDDEFDMLTKSIDTSSALARKGLDIQGIPWDRLNISREKYRLTRLEQYKNYENIPSSGAAVDKECKAIEKGGNYYEFFHNTRLVKPTILHFQLRNLVWATSKHDVYLMSNYSVMHWSSLSGNLSEILNFAGHIAPTEKHAGSLLEGFTQTQISTLAVKDNFLVAGGFQGELTCKRLDKQGVSFCTRTTHDENAITNAVEIYDSRSGELHFMAANNDCSMREYDMERFQLLNHFHFPWPVNHTSVSPDRRLIVVVGDHTDGLIVDSRNGTTIGTVVGHRDYSFASAWHPDGRMFATGNQDKTCRVWDVRNFSTPVAVLKGHIGAARSIRYSSDGQFMVVAEPADFVHVYSTSTDYKKRQEIDFFGEISGVSLSPDDESLYIGIWDRTYASLLQYNRRHTYGYIDSFL >KGN55119 pep chromosome:ASM407v2:4:20675594:20678117:1 gene:Csa_4G631610 transcript:KGN55119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLENPRDPLLQLSHAGDDGTHQPIWWKKLLDKEEVKKQLAISFPMILTNVFYYLIPLVSVMFAGHLGELELAGATLANSWATVTGFAFMTGLSGALETLCGQGFGAKLYRFLGIHLQSSCIISFSFSIFISILWFYTEPVLKLLQQDPDVSKTAARYVKFLVPGIFAYGFLQNSVRFIQAQSDVMFLAVLSALPLILHLGVAYAFMNWTSLGLEGAALAASISLWVAFLVVAIHVFKSQKYELTWGGFSVEAFDYIFVNLKLALPSAAMVCLEYWAFEILVFLAGLAPNSETNTSLIAICVNTEAIAYMITYGLSAAASTRVSNELGAGNPEKGRQAMFVTLLLSILLGLTVVLLLASGHNTWAGFFSDSPVIIQAFASMTPLLTISVLADSVQGVLSGVARGCGWQHMVVFVNLATFYLVGISIAVFLEFRMKLYAKGLWIGLICGLVCQTLTLLILIVRSKWTRIELSDHQHKPIPTSP >KGN55525 pep chromosome:ASM407v2:4:23109188:23111976:1 gene:Csa_4G664330 transcript:KGN55525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSVPNTLDSSPIHRLLPQIRSHSSSNQRENASQQEFSLPISRRSAILISSLPFTLVSVSPSKARERRNKKTIPLEDYLTSPNGLKYYDVEEGKGPVAEKGSTVQVHFDCLYRGITAVSSRESKLLAGNRVIAQPYEFKVGAPPGKERKRDFVDNPNGLFSAQAAPKPPPAMYSITEGMKVGGKRRVIVPPEAGYGQKGMNEIPPGATFELNIELLEVVQPEKGGA >KGN53485 pep chromosome:ASM407v2:4:4862111:4862837:-1 gene:Csa_4G056730 transcript:KGN53485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGDWLQFYHQNLSSTAAPPPSDHSTSEMFFVDRVSDATGVITTTASVNTLGSTGLNPEGRVGKPVRRRSRASRRTPTTLLNTDTTNFRAMVQQFTGGPTPPFTSSISPNFSLGFGGIHQSNFPTSQNATISPPPSGYLLQQPPQLYNHNPQQFMFPTVAHGGDFLQRLSAPRPANGAVAGDGFLIESAIPPTRAMGVMAAYCSDEEEGSTLAAWAPMPMTEPDNFWAV >KGN55470 pep chromosome:ASM407v2:4:22820040:22823426:-1 gene:Csa_4G652820 transcript:KGN55470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRHRPPPFSVLLLAAFSLCFSPALVSFSHGDSQIPPISEWRTEDYYSGVELVGVSPSGSVVEGPTMEPVEYSLFVLAAERTRRKDPLNGFQAYTSGWNISERHYWASVGFTAVPLFAVAAAWLLGFGLCLLVVSLCYFCCGRQSYGYSQMAYTLSLLFLILFSIASIIGCVILYTGQGRFHNSTSETLEYVVSQADSTYDITFLAVFSIFGMQLLVYILVITGWLLVTGTFILSGTFLVLHNVAADTCVAMDQWVHNPTAHTALDDILPCVDKVTAQETLLKSKEVSAQLVDLVNEVITNVSNINFSPNFKPMYFNQSGPVMPTLCNPFHPDLTPRTCSSGEVDLQNATQVWGNYVCQVLPPGDICITTGRLTPSLYSQMASGVNLSYALLNYSPTLVELQDCTFVRQTFDDIHRNFCPGLQQYSRWVYVGLATVSIAVMLSLILWIIYGRERQHRASGKGFASKPTGEELEGTKES >KGN55106 pep chromosome:ASM407v2:4:20570143:20570831:1 gene:Csa_4G630010 transcript:KGN55106 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene response factor 2 MAAGDRSTSTTPPTSKEIRYRGVRKRPWGRYAAEIRDPRKKTRVWLGTFNTAEEAARAYDAAAREFRGANAKTNFPLQTDFLIVDNNNHSNFHKSACSTSPSHSSTVESSSPSPTPSDPYLDGGIHPPGISPPIFFFDAFAQAEKNISDFRRTVTAAVAGASDSDSSSVGDFDTTRKSRPLDFDLNLPAVEVV >KGN54301 pep chromosome:ASM407v2:4:12222300:12226122:-1 gene:Csa_4G297510 transcript:KGN54301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRYDSRTTIFSPEGRLYQVEYAMEAIGNAGSAIGILSTDGVVLVGEKKVTSKLLQTSTSTEKMYKLDDHVACAVAGIMSDANILINTARVQAQRYTYAYQEPMPVEQLVQSLCDSKQGYTQFGGLRPFGVSFLFAGWDKNYGFQLYMSDPSGNYGGWKAAAIGANNQAAQPMLKQDYKDDITREEAIQLALKVLSKTMDSTSLTSEKLELAEVFLSAGKVKYQVCSPESVSRLLVKSGLTQPAAEAS >KGN55361 pep chromosome:ASM407v2:4:22101607:22102083:-1 gene:Csa_4G646350 transcript:KGN55361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVAKMPGFDPLFLNALHDLLDFSDEPGQGSHHAPSRAYLRDAKAMAATPADVVEYPNSYQFTIDMPGLTSDQIKVKVEDGQLVVSGERKRESEKVKEGKFVRMERRLGKYLKKFDLPETADADKVSAAYRDGVLSVTVEKKPPPEPKKAKSIEVRVA >KGN52929 pep chromosome:ASM407v2:4:1089877:1090248:-1 gene:Csa_4G006360 transcript:KGN52929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEHQKRSHGHGTEADGSSHEMVLRTFDRNGVAKNSSKDGSTSSVKACEVEKIGRRLSILEEDTRAMKKALFDSLEERRNLVYEINQQFQIINKSHALYNEVAAALMASLEDHDETLHSPKVK >KGN53589 pep chromosome:ASM407v2:4:5699721:5702505:1 gene:Csa_4G083630 transcript:KGN53589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATHLFSRSLPKTLIWTSTFSRSFLTATGAAISASSPSSSSLIRRLRPLAAILAADFRCHSAAPSLRDFSTRATSSSLNDPNPNWSNRPPKETILLDGCDFEHWLVVMEKPDEQLTRDEIIDSYIKTLAMVVGSEEEARMKIYSVSTRCYFAFGCLVSEELSYKIKELPKVRWVLPDSYLDVKNKDYGGEPFIDGQAVPYDPKYHEEWIRNNARANERNKRNDRPRNTDRSRNFERRRENMQNRDFPNPATGPNMSAPAPGACLLTTAKAIIQEDHHLRTILTDHHRHHRTTTNPATGPNMSAPAPGGMPPNNFNPSMPPHNRQGDYPGGPPPPNNFNGPPPPPPHNFNGPPPPPYNFNGPPPPPPNNFNGPPPPPPNNFNGPPPRNYASASPNHGGGGGGAPHNYGGPQENNYWGAQQPNNYGGPSNSGRGPA >KGN52956 pep chromosome:ASM407v2:4:1254975:1257684:-1 gene:Csa_4G007610 transcript:KGN52956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSREIKSLHEVNETPVLGRLDEHSESFQRKKLGMFFIESDDRRTAFGRGYTGGTTPVNIRGKPIADLSKTGGWIAAFFIFGNEMAERMAYFGLSVNMVAFMFYVMHMPFTTSSDAVNNFLGISQASSVLGGFLADAYLGRYWTIAIFTTIYLGGLVGITLCATISTFVPNQAKCDQLSLLLGRCEPAKPWQMTYLYTVLYLTGFGAAGIRPCVSSFGADQFDEKSKDYKSHLDRFFNFFYLSVTVGAIIAFTAVVYIQIKHGWGAAFGSLAIAMGFSNVVFFLGTPLYRHRLPGGSPLTRVAQVLVAAYRKRNASFSNSEFVGLFEVPGKQSAIKGSGKILHTDDFRCLDKAALQLKEDGGNPSPWKLCTVTQVEEVKILLKLIPIPACTIMLNLVLTEYLTLSVQQAYTMNTHIGRLKLPVTCMPVFPGLSIFLILSLYYSVFVPLSRRITGHPHGASQLQRVGIGLAISILSVAWAGAFERYRRNFAIRSGYEASFLSPMPNLSAYWLLIQYCLIGIAEVFCIVGLLEFLYEEAPDAMKSIGSAYAALAGGLGCFAASLLNSIIKSVTGSPNGRNPSWLSQNINTGRFDYFYWLLTVMSIINFCIFLYSAHKYKYRKDHEVGEGIMENGMHDKM >KGN53789 pep chromosome:ASM407v2:4:7844051:7844598:1 gene:Csa_4G129550 transcript:KGN53789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAAAPSTTAMTILILTLTISSYCNAASVLPSNFANETITAMTSTIFCNGLLQQCFNVEENGIHYYSTPRTGSRASSVCGRFYNGSYIKCITTQGSPKPKDCRSAYDRTCSS >KGN54257 pep chromosome:ASM407v2:4:11972346:11979310:-1 gene:Csa_4G296110 transcript:KGN54257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNNHKSFFFNPLDCMTLDSLPSQTIMAFRTVNTFSVFRSSLSPPLSAFRWSSKLRFSSPLLRHRYQIFKTGGGRLYSFYSVFENIIEELEAPRRRKRVSATAKMGLVGMGSGEVTEDKLVNRTLDRGLLLEFKKDSERVLLAVAQKPDGKKNWMVFDQNGVSSSIKPQQITYIVPGVENFDHTEIADFIKKAQDNLDPTLLEFAWLELLEQNKAVTTEELAEMIFGSTEPMESYCTHLLLSRDELYFTVLQTKGSRSFYGPRPTDQVEELQRKKLAKEAAEKELQEFVDLLKSAKAMPLKSKPPKSSWTAEEKTRYKVESLESYAIDDCVDDEQRKTAGMILKTMGLVKTASSAVNLLIDVGYFPRHVNLDLLKLNIRTDHSDGIIAAAESLLLEASDPDEVNRKNLTDLKVYAIDVDEADELDDALSATRLSDGRIKIWIHVADPARFVQPGSIVDREAMKRGTSIFLPTATYPMFPEKLAMDGMSLKQGEICNAVTVSVVLHSDGSIAEYSVENSIIKPTYMLTYESASELLSLNLVEEAELKILSEAATLRLAWRRQQGAIDMASLETRIKVANPEDPEPEINLYVENQADPAMRLVSEMMILCGEVIATFGSRNNIPLPYRGQPQTNIDVSAFAHLPEGPVRSSAIVRTMRAAEIDFRKPMPHGILGIPCYVQFTSPIRRYLDLLAHYQVKAFLKGDSPPYSHGQLEGMAATVNINTKLARRLSSVSLRYWILEYLRRQPKENRYRALILRFIKDRNALLLLVEVGIQASAWVSLGVQIGDEVQVRVEDAHPRDDVLSLKEIIQ >KGN55416 pep chromosome:ASM407v2:4:22475986:22476878:1 gene:Csa_4G651825 transcript:KGN55416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQRNPSYSNPTPCNHLADYKLRYGLNGYKSIQNHLKTSPNGRTSIQNPDSKLPRCGSCDGYKGRLYICLICASISCSNHTLLHYQSENGHDLAVDVERAELYCYACSDQVYDPDFDRTVMSKHIVVPEKSKSQSESIGERSSKRRRLGSVDLLDLKNLKPLISVKDQRSKSCYPLGLRGLNNLGNTCFMNSVLQVFLHTPPLSNYFLNDQHNRETCSKRPIGQLCLPCDIDCIFSAVFSGDHMPYSPAQFLYRSAIV >KGN54009 pep chromosome:ASM407v2:4:10500403:10501962:1 gene:Csa_4G267440 transcript:KGN54009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGMMFTMLLCLSAAGMMATVRGEDPYFFFTWNVTYGTISPLGVPQQGILINGQFPGPNVNSTTNNNLVINVFNNLDEPFLLHWYIYGTATYILIICNVTLLTYYLTGIALAGAEFSTGRTLGKMGYLEPIVQSHREQTSPTIFNAARPNPQGSYHYGSINITRTIKLVNSATKVDGKLRYAINGVSHVDPETPLKLAEYFEITDKVFKYDTISDEGLAEGVTTVTVAPNVVNTTFRNFIEIIFENHEKSLQSWHLDGYSFFAVA >KGN52869 pep chromosome:ASM407v2:4:757125:758608:-1 gene:Csa_4G004800 transcript:KGN52869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSNDNREAGIGDDHSNMSNFHESPVFFADPSAIEINSDIEYSNWNDDIPYLNFQSNEEIQNPNDPEVVSLGVSTNEINNDGNSSGSTKRKRKKSRVENENNSSPRRCNCKKSQCLKLYCECFASGAFCNEECGCGENCFNNPRYLDTVVAAKQKLKTKKSSAFDRENVREGVTTTKTSSTERRGCNCKNSECRQKYCKCFQAGVACTEACNCQGCQNPCGTACPGNSNYQQ >KGN54676 pep chromosome:ASM407v2:4:15834039:15834631:-1 gene:Csa_4G420170 transcript:KGN54676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVIIDLLNMEVILHSQPDMDITYDETLYKTLLEQSNLSRLSGLYMDASWNPFEPYRSWMNIYEIRGNVTVKDNNKKDTGQEDLKGFIDEDTALLLLLQEDENDATLLLPLQDEDVVVLSLYNDDEAKPRCLIVPIRKVDLTANAQLSSSRSWIAHDRKTLQTIAKNKRRRRFYL >KGN53237 pep chromosome:ASM407v2:4:3107980:3109858:1 gene:Csa_4G031080 transcript:KGN53237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGTNKTAKRKLVKKSENKVDKKLKKELEHNVQSQPVDDVSDSDFDDLPKLLEPFSKTQLIELICTTALKDPNLQAQIRAAADRDVTHRKIFVHGLGWDTTRETLTSVFESFGEIEDCNVVMDRNTGKAKGYGFILFKSRQGAIKALKEPRKKINNRMTSCQLASVGSVPPPQSQEVGPRKIYVANVHHNVDAERLRAFFAKFGDLEMGPIGFDPETGKSRGYAIFIYRTNEGARKALEEPHKVFEGNKLHCQRASEGKNKNQNSTQAAESLGQNQTPVMAAMAAAPNLQLFAQHPGLNHPVYGGYGSPALGGGMLNQGVVPMSQVGLVGSSVGAGIGLSGYSGGSYGLSQLSAGGSSLLGSYGPGSSSLKGLPHIYSSTMLGKTVSESGQAAAGGSLGGYTSHLWYEMFHILFIYCTSTI >KGN53171 pep chromosome:ASM407v2:4:2627515:2653352:-1 gene:Csa_4G024050 transcript:KGN53171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGIPLVARETSCSRNADQMCRVESRVHLSEEEEIAAEESLLLYCKPVELYNILQRRAIRNPLFLQRCLRYKIETKHKRRIQMTISISRTSSVGGQTQNLFPMYVILGRLVSDIAVAEFSGVYRFNRACLLTGINRVECSSQVIANFILPEINKLAVEAKSGSLAILFVSCVGSANTLSGVDSVDGPLYMRSVPAVAGYCLWGKIPLESLYISWQNSSNFGLGQRAEIMSSVDMRSCVVKTSCVDGEKCVGFQIPYNSDSMHTAHQVQVTISAEELGSRDKSPYDSYTYNEKPSSSLSNVIRLRAGNVIFNYRYYNNRLQKTEVTEDFSCPFCLIKCASFKGLRCHLLSLHDLFNFEFWVTEEYQAVNVSMKTDVWRSEIIADGIDPKQQTFFFCSRPLRRKKAKSAVQSAKHIHPFVVESEYSDKADDAQSSKGEKAQISGHNVAPTMLQFAKTRKLSIERADPRHCTLLRKRQFFHSHRAQPMALEQVLSDRDSEDEVDDDVADLEDRRMLDDFVDVTRDEKRMMHMWNSFVRKQRVLADGHIPWACEAFSQLHGPNLVKTPALLWCWRLFMIKLWNHGLLDANSMNSCNIILETIHSEAADPKS >KGN53306 pep chromosome:ASM407v2:4:3640774:3640986:-1 gene:Csa_4G046690 transcript:KGN53306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTIVAFHLPNAFYRVVGASDGGMWLMIAVGSDDQLGREALHSILVHHVGHIKSTQMLFPCTSCANSVLL >KGN53825 pep chromosome:ASM407v2:4:8270507:8271580:1 gene:Csa_4G152790 transcript:KGN53825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDFESYIILFFIWLVSTLTIRLIFAKNKHNSHLPPSPFALPIIGHLHLLGPLPHKAFHKLSNRHGPLMHLRLGSVPCVVVSSPETAKQVLKTQESSFSNRPHLSAVDYLTYGSADFSFAPYGPYWKFMKKLCMSELLGGRTLDSLRPMREDEIRRFLRSLQSKAADGKEVDVGGELMRLSNNVISRMTLGKRCAEEEEEAEGIRKLVKDIAVLTGTFNVSDYIWFCKNLDLQRLGKRLKEVRERFDEMMERMIKEHEDNCSDGKVKDLLDILLLKFGDENSEVKLTRENIKAFVLVNIYIFIYLFNYIIPLLIFSFFILSTFKIDQTFTLIIIYIWIYILFKEEFRFPKLVLNNQI >KGN54253 pep chromosome:ASM407v2:4:11909820:11912345:1 gene:Csa_4G296060 transcript:KGN54253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLFSTQRTGKSLPKDCTSTDEPSKCLFPQEIIKHISTPLFIVNPVYDFWQIQNVLVPNALARTGSWQKCRLNIHKCDHAELEILQGFRDSLLKALDEFKHNKEGGLFVNSCFIHCQTWMSETWHSPNSPRINKRTIAEAVGDWYFKRSSVKLIDCPFPCNPTCIHMDFSRG >KGN53418 pep chromosome:ASM407v2:4:4421575:4421763:1 gene:Csa_4G052680 transcript:KGN53418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVETFEESETDVSPHSENHMQLINDHISIATNVECPFSVESSEERGHVGDRNPTRDDQSMC >KGN53453 pep chromosome:ASM407v2:4:4646701:4647181:-1 gene:Csa_4G055470 transcript:KGN53453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGQSRKWLVLVATIWIQAFTGTNFDFSAYSSKLKLVLGISQVQLNYLATASDLGKVFGWSSGLALLHLPLPVVMFIAAFLGFIGYGFQWLLIADFISLPYFLNDA >KGN53271 pep chromosome:ASM407v2:4:3378087:3380744:-1 gene:Csa_4G043870 transcript:KGN53271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASLEVLRESFKNGRTRSYEWRIKQLSSLIQFIHDKENTIFEALYQDLGKHPVEIFRDEVGIVLKSANNALSSLHKWMAPKKKPLPLLFFPAKGEVLSEPFGLVLIISSWNFPLSLSLDPLIGAISAGNTAVLKPSEYAPVFSSFLVATLPLYLDDKAIKVVEGGADVSEQLLQYKWDKIFFTGSPRVARIVSSAAAKHLTPVTLELGGKCPAIFDYSSVHSNMKVAAKRIVGGKWGPCAGQACIGIDYVLVEDKFASELIESLKRILKKFYGENSKNSTSIARIVNDKNVERISNLLKDPKVAASIVHGGSMDKEKLFIEPTILLNPPLYADIMTEEIFGPLLPIITLNKIEESIEFINARPKPLALYAFTGDETLKKRILYETSSGSVTFNDTMVQFVCDSLPFGGVGQSGSGSYHGKYSFDTFSHEKAVMQRSFLIELEPRYPPWNDFKLKFIRLAYRYDYFGLALLLLGLKK >KGN53334 pep chromosome:ASM407v2:4:3796476:3802420:1 gene:Csa_4G047950 transcript:KGN53334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGINIQLGHLIVGFIIFDCLFKPILAEADDQNPKVHIVYLGEKPHHDTKFTIDSHHQLLSTILGSKEKSMEAMVYSYKHGFSGFAAKLTKSQAQKLSEMSRVVRVVPSSLYKVHTTRSWDFLGLSSSPFESSNLLHRAQMGENVIIGVIDTGIWPESESFKDKGVGSIPSRWKGTCESGEQFNSTNCNKKIIGARWFMKGFVADLGRDALAKEYLSPRDLNGHGTHTASIAAGSFVANINYHNNAAGTVRGGAPLARLAIYKALWTKDAVGSTADILKAIDEAINDGVDVLSMSIGSLTPFLPEFNEANDIAFGSFHAIAKGISVVCAAGNSGPTPQTVENVAPWIFTVAANTIDRAFLASITTLPDNTTFLGQSLLDSKKDLVAELETLDTGRCDDLLGNETFINGKVVMCFSNLADHNTIYDAAMAVARANGTGIIVAGQQDDDLFSCIPSPIPCILVDTDVGSKLFFINLLQNSTNPVVRLRATRTIIGKPITPAISYFSSRGPNSVSNPILKPDISAPGSNILAAVSPHHIFNEKGFMLLSGTSMATPHISAIVALLKSVHPTWSPAAIKSALMTTARTEVSPGLPIFAEGTPPKMADPFDYGGGIVDANAAVDPGLVYDMGRKDYIDYYLCGMGYKDEDISHLTQRKTVCPLQRLSVLDLNLPAITIPSLVNSTIVTRTVTNVGNLSCVYKAEIESPFGCKVSVNPQVLVFNSQVKKISFKVMFFTQVQRNYGYSFGRLTWTDGIHVVKIPLSVRFGFF >KGN52843 pep chromosome:ASM407v2:4:620218:620690:-1 gene:Csa_4G003090 transcript:KGN52843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERESGGCGGCVDEVREEEIIKKTPEGRHDVGGSVTVNLNNDVWEEDEMSLDLDELLTSVEDYEDEIHKFITTGTCKSNTDHVSIAPAEMEVGGECSSISSSSLMSLDSPWNWESFAGDIEWDAGEDFGGGVGIAVAESESEAVISWLLS >KGN54360 pep chromosome:ASM407v2:4:12629735:12632216:-1 gene:Csa_4G308500 transcript:KGN54360 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding protein MEFLSPASYLRNSNWLFQETKGTQWTPEENKRFENALALYDEDTSDRWFKVAAMIPGKTIGDVIKQYQELEEDVSDIEAGLIPIRGYANRHSFTLERVDSSHGFDGLSHFYGSGVKRGTSTRPSDHERKKGIPWTEEEHRQFLMGLKKYGKGDWRNISRNFVTTRTPTQVASHAQKYFIRQLSGGKDKRRSSIHDITTVNLPDMKSPLGDSNRPPSPDPAAMATHLHQLSKMVDSTDQQFDWESLNQVLDSSNGNMFMVMPSNGTQQQEQNLLKETIIESPIAPYYTIFQMQPMHQHYH >KGN53199 pep chromosome:ASM407v2:4:2798747:2802197:-1 gene:Csa_4G026270 transcript:KGN53199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAACFSFSSTMDSCFRYSFSRAGLKSITTDLGDGTIIHCWAPKFRRETKPNLLLLHGFGANAMWQWNEFIAPLIRFFNVYVPDLIFFGNSYTTRPERSESFQARCMMRLMDSFGVQKVNVVGISYGGFVSYSMAVQFPERLEKLVLCCAGVCLEEKDMADGMFVVKNVDEAASILLPQTPAKLKELLRLTFVKPARILPTCIIDDFIDVMCTEYKQEKEELIKEILKDRNLANLPKIDKTTLIVWGEQDRVFPLELAHRLKRHLGGNAELVVVKEAGHAINAEKPKEMYKHIKAFLTTHPDLNPSTNSSLCSSF >KGN53043 pep chromosome:ASM407v2:4:1853638:1854869:1 gene:Csa_4G012390 transcript:KGN53043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMENFPSFLSTSSSSSALSLQQILFSRASNHGGDHFRQPPFVSDGFPILFRDVSTDDMSFDATSSVKDDDRNNVAVSVSTERFRVDGSSGRSGVVEYGLKKEEGEGRGRGEDCDKKKKMRNRRFAFQTRSQVDILDDGYRWRKYGQKAVKNNKFPRSYYRCTHQGCKVKKQVQRLTRDEGVVVTTYEGIHSHPIEKSTDNFEHILSQMQIYTTSY >KGN55216 pep chromosome:ASM407v2:4:21322236:21322625:-1 gene:Csa_4G641505 transcript:KGN55216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPLLHRLKNSLSTALFHFYPLSGRLATATNNGVYVDCVNSPGAKFIHAALDITVSDILSPLQPFIQSLFDLNKAVNYDGHTLPLLSIQVTELLDGVFIACSFNHSLGDGTSFWNFLGGNRLYIDKFEG >KGN54390 pep chromosome:ASM407v2:4:12906388:12907319:1 gene:Csa_4G312230 transcript:KGN54390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAKLLKGVLSHQLKQFVIDGNKVILSVSNPETRVDDAEFEENEIYAIDIVTSTGEGKPKLLDEKQTTIYKRAMDKSYHLKMKACRLFSVK >KGN53058 pep chromosome:ASM407v2:4:1930518:1933920:1 gene:Csa_4G013030 transcript:KGN53058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVTIRSSSALLKLLSLHFHGSSSHFFSTSKTTNHIAIAPRALARRPTSRTAPTPRSPNTLGSSDVVNSVCSLLSNKNPQTPNLDLDHLLKRFKDNLSSDFVLQILMNYKLLGRAKTLEFFSWSGLQMGFRFDASVVEYMADFLGRRKLFDDMKCLLVTVLSHKGRISCRTFSICIRFLGRQGRVREALCLFEEMEPKFGCKPDNLVFNNMLYALCKKEPTGELIDTALKIFRRIELPDKYSYSNVIIGLCKFGRYSTAIEAFGEMYRAGLVPTRTAVNILIGNLCSLSAKEGAVEKVRVNSTYRPFTVLVPNVNPKSGAIEPAVGIFWAANKLSLVPSSFVTVQLISELCRLGQMQEAIRVLKVVEGDKLRCAEECYSVVMKALCEHRHVDEASDLFGRMLSQGMKPKLAIYNYVICMLCKLGNLDSAERVFGIMNKKRCAPDHVTYSALIHAYGENRDWSAAYGLLKEMLSLGMSPHFHVYSIVDKLMREHGQIDLCLKLEMKWEAQILQKLCKQGQLEAAYEKMKSMLEKGLSPPIYVRDAFESAFQKKDSNSFSTQIDPGFGLSNSKCALPVNVFPINQDLHSSLESLPLELALMRIISNSPQDNTMAIGNKEGKKAKNSSLKGKIHSKADLSRVKHQINTNHIKDWSSASLYDPEEVPSSVGLYLLLASLLTVKFKEEILLMLSIGVGTHFVGLVL >KGN55073 pep chromosome:ASM407v2:4:20333577:20335708:-1 gene:Csa_4G627230 transcript:KGN55073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSKGKDVHEGSSSNSSSSSSALIVVVDDHNHHQQQQQFERGDEQAAPTSSVVGAPVISRYESQKRRDWYTFGQYLRNQRPPLAISQCNSSHVLEFLRYLDQFGKTKVHLNGCGFFGEPEPAGPCTCPLRQAWGSLDALIGRLRAAYEENGGSSDTNPFASGAIRVYLREVRDSQSKARGIPYKKKKKKKIPINTHQQGA >KGN53414 pep chromosome:ASM407v2:4:4403225:4404363:-1 gene:Csa_4G052640 transcript:KGN53414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNPHGHGDHPPSGRTNLASCVVATVFLIFLIIVILIVFFTVFKPQDPKIAVSAVQLPSFSVANGTINFTFSQYVSVKNPNKASFSHYDSSLQLLYSGSQIGFMFIPAGKIDAGQTQYMAATFSVQSFPLAAPVASVGAGPTFSEGMNGYRVGPILEIESKMDMAGRVRVLHFFTHHVEATSSCRVAIAVSDGSVLGFHC >KGN53012 pep chromosome:ASM407v2:4:1652235:1657593:1 gene:Csa_4G011100 transcript:KGN53012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METHSKATCREDLEVDIIEGSNKTDPKFCGKEDPDATEYSSSFGETSDADNGSVFREGEVETQFFGDIGLPPTFGSFSSTLQIRKRKLTTHWQNFIRPLMWRCKWTELRIKEIESQALKYSRALAVYEQEKVPAHDPTMEDFFSKTFPFSSQYYRKKAMKRRKRKKIEDAIDISSYMSHHNLFSYFENKRSELDGTSVADEFANPVKMEKNADSDDKFGINDDSILESRDTDNSLEQVLWKIEVVHSRLLKLKGQMDKVMSKNAAIFSSSENLSLLAPCEAQTSSAPSPTFSAGNGELSVGVMCASTQRISECDIGDLMKPESAISSFGDAILVPDIIESTVGNLTATDVSLPQPQIGDSTEAIVDNVLIHNEVVEAEKNTDSKIVAQPVEKHHEAEKVNQGEGTSLSSNPTTQPDPAGKALVSEEQSALKKCLASDINFPRNKRKRGERKAGPGSWNKKHSSEPDSQ >KGN53013 pep chromosome:ASM407v2:4:1667890:1671538:1 gene:Csa_4G011600 transcript:KGN53013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKGSWFSVIKRFFTCQSGEVHENDSRNERKGDGKGESTSFIPIFRKPSSVEKIFSDFEREQQIVAFRPPAPERPSTPPYIPPQPAPPRAPSPPRAAPAKEPSPKASPPRAASAKEPSPKVPPPRAAPAKEPSPKVPPPRVASPPQACSSSTVVDHHKEVKNIPTIADPQEEGAHIPTAVNHCNEVSYIPKPTPTNHHSSATKIQAIYRGYVARRSFKALKGQVRLLGVIRGNNVRRQTLNAKKQMQLLVRVQSVIQSRRIEMLENQRQLQDHPNDKEAHSTFDASEGGNHEDWDESSITKEEKDARLQRKVEAAIKRERARAYAYSQSHQRTTPRLGQDSQMDTCSMGVPRWLKWLEGQLPTEGSPKHPLPKPLTPQPEQKSSPRSPSSNIRRHNFGLDVRDTPTPKSTKSTAFSNAKPARSPLRLRTPQTARSTISNDSRSRGSRALSPFDMRLKDDDSLVSCPPYMAPHYMTPTISANAKVRARSNPRERFPGTPRSEASSRRQSFPPTQSVGSYRNRGLMSSPKDHATLDDNQSLRSVGNFSFASLPTGVRRKPFNRFV >KGN53897 pep chromosome:ASM407v2:4:9078671:9079153:-1 gene:Csa_4G182280 transcript:KGN53897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFNCFILTFMVTCVFSSVDAARTSRHLLQTILNLPPMPSLPKPSALPPLPPMPTGPSIPSIPNFPQPSLPKLTLPPLPSLPMNIPTKVPLPPLPTTSLPNLPFLSSISTTLPSSLPFFSPPPATSSP >KGN53545 pep chromosome:ASM407v2:4:5392664:5393747:1 gene:Csa_4G076240 transcript:KGN53545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLYGDSWINFRYVDSLTVGGGGSLDGQGAIAWPRNDCRNNPNCRSLPTTMKFDFITNSKVHNLRSIDSKNNHFMLFGCSNINITNIRISAPGDSPNTDGIKIGTSDHIDIRNSIIGTGDDCISMLSGSKNIYISNVVCGPGHGISIGSLGKYKEEENVMGITVKNCTFKNTTDGVRIKTWATPLMGTAYNIYYEDIFMDGVANPIIIDQEYCPVSPCNHDESSRIQISYVTFKNIWGSSKSASAVTLRCSERKPCKNIVLDNINLISSPNVGRLFSSCFHVHGFSYGNQSPYSCL >KGN54408 pep chromosome:ASM407v2:4:13027244:13029740:1 gene:Csa_4G313380 transcript:KGN54408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSNSQTKLPRKSLLTTSPNPTWAIPIRTTFRAALAILGALLFLIAVYLAQNRAQVSENYRASGDDGGGGGCNLFSGKWVFDNESYPLYKESECVFMSDQLACEKFGRKDLGYRNWRWQPEECELPRFNATALLERLRNKRMVFVGDSLNRGQWVSMVCLVGSVIPAPLQTMQSNGSMMIFKATEYNATVEFYWSPLLVESNSDDPVNHRLPDRIVRVKAIEKHAAHWGDAHILIFNTYLWWRRPRIKALFGSFEDEEQSRLKVVKMRRGYEMALRTWSDWLEVNINPNNTQLFFISMSPIHDRGEEWGKGKGENCYGETEQIRRVGYKGEGTDPKMMKIVENVLNDLKTRGLNVQMINITQLSEYRKEGHPSIYRKQWEALKEDQISNPSSYADCIHWCLPGVPDVWNQLLYAFIFQSNHTLY >KGN53608 pep chromosome:ASM407v2:4:5847707:5853905:1 gene:Csa_4G089780 transcript:KGN53608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVESIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGIIEPSLMALARKYNQDKMICRKVHVSGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGIIEPSLMALARKYNQDKMICRK >KGN54456 pep chromosome:ASM407v2:4:13552220:13556624:1 gene:Csa_4G334670 transcript:KGN54456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLIFPFAISLQQTRLSPLKPSLQTQVPLLPGTFTPKPLAGKCRASFFGDISDNLLDNLDAPLRVDQLPVLQSGYVQFQRFSGELSDLQKWGFVVFAGFIWVYLTARPGILVGAIDAYLLAPLQLGLDSLLGRRSLKCSDFVIGGKLGEGSFGVVYAGAFLPKNVKNEEQTSRALDGKEKVILKKIKLRVKGAEEFGEYEEWFNYRLSRAAPETCADFLGSFVASRETKQFSAGGKWLVWKFEGDQTLGDYMKDRSFPVNLESLMFGRVLQSMNSVERNALIIKQILRQIITSLKKIHDTGIVHRDVKPANLVVTKKGQIKIIDFGAATDLRIGKNYVPNLALLDPDYCPPELYVMPEETPSPPPAPIAALLSPILWQLNSPDLFDMYSAGIVLMQMAVPNLRSSAGLKNFNMEIKNYGYDLNKWREKTRAKPDLTILDLDSGRGWDLATKLVTERRGRLSASAALRHPYFLLGSDQAAAVISKLNLVKK >KGN55221 pep chromosome:ASM407v2:4:21339872:21349522:-1 gene:Csa_4G641550 transcript:KGN55221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGEDCCVKVAVHIRPLIGDERLQGCKDCVTVISGKPQVQIGSHSFTFDHVYGSTGSPSSSMFEECVSPLVDGLFQGYNATVLAYGQTGSGKTYTMGTGLKDGCQTGIIPQVMNVLFSKIETLKDQMEFQLHVSFIEILKEEVRDLLDSTSFSKVEGTNGHAGKVMLPGKPPIQIRESSNGVITLAGSTEVSVNTLKEMASCLEQGSLSRATGSTNMNNQSSRSHAIFTITLEQMRKLNPAFPGESNIDNLSEEYLCAKLHLVDLAGSERAKRTGSDGLRFKEGVHINKGLLALGNVISALGDEKKRKEGVHVPYRDSKLTRLLQDSLGGNSRTVMIACISPADINAEETLNTLKYANRARNIQNKPVVNRDPMSNEMLKMRQQLEYLQAELFARGGSSSDEIQVLKERIAWLEATNQDLCRELHEYRSRRGIVDQCETDAQVCAQDGITCSVKSDGLMNCSPKSDGLKRGLQSIESPDFQMSETISGESPEIDEEVAKEWEHTLLQNSMDKELHELNKRLEQKESEMKLFGGFDTAALKQHFGKKIVELEDEKRAVQLERDRLLAEVENLAACSDGQTQKLHDIHSQKLKTLEAQILELKKKQENQVQLLKQKQKSDEAAKKLQDEIQFIKAQKVQLQQRMKQEAEQFRQWKASREKELLQLKKEGRRNEYERHKLQALNQRQKMVLQRKTEEAAMATKRLKELLEARKSNGRENSGITNGNGMNGQSNEKSLQRWLDHELEVMVNVHEVRFEYEKQSQVRAALADELSMLRQVDEFASKGLSPPRGKNGFARVSSMSPTARMARITSLENMLSISSNSLVAMASQLSEAEERERAFTNRGRWNQLRSMGDAKNLLQYMFNSLADARCQLWEKELETREMKEQLKELVGLLRQSETRRKEVEKELKLREKAVAIALASSAPVHREHESTPPSLKHFADELSGPLSPMSVPAPKQLKYTAGIANGSVRDSAAILDHARKMVPIGHLSMKKLATVGQAGKLWRWKRSHHQWLLQFKWKWQKPWRLSEWIRHSDETIMRSRPRPHALPAGM >KGN53201 pep chromosome:ASM407v2:4:2813001:2820069:1 gene:Csa_4G026780 transcript:KGN53201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMMLVRMGEVYLLILVLACYNYLALSDFQGDALYALRTTLNATANQLTDWNPNQVNPCTWSNVICRGNSVISVSLSTMGFTGTLSPRIGSIKSLSTLILQGNYISGEIPKDFGNLTNLVSLDLGNNSLTGQIPSSLGNLKKLQFLTLSQNRLTGTIPDSLSTLPSLINLLLDSNDLSGPIPQQLFQVPKFNFSANKLNCGGKSLHACASDSTNSGSSNKPKVGLIVGIIAGFTVALLLVGVLFFLSKGRYKSYKREVFVDVAGEVDRRIAFGQLKRFAWRELQLATENFSEKNVLGQGGFGKVYKGVLADGTKVAVKRLTDYESPGGDAAFQREVEMISVAVHRNLLRLIGFCTTQTERLLVYPFMQNLSVAYRLRELKPGEAVLDWPTRKRVALGTARGLEYLHEHCNPKIIHRDVKAANVLLDEDFEAVVGDFGLAKLVDVRKTNVTTQIRGTMGHIAPEYLSTGKSSERTDVFGYGIMLLELVTGQRAIDFSRLEEEDDVLLLDHVKKLEREKRLDAIVDRNLNNYNIQEVEMMIQVALLCTQPCSDDRPAMSQVVRMLEGEGLAERWEEWQHLEVTRRQEYERLQRRFEWGEDSIHRQDAIQLSGGR >KGN53937 pep chromosome:ASM407v2:4:9459988:9465563:-1 gene:Csa_4G192090 transcript:KGN53937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVTPPTLTFRIPSPTALNGRISFHFSRSLTSSFNLRLRLPLPLSMAASTDSTSQAPSGYANSDDIDVSKLIQAHQEKAARLSPVEEIRTLLDQSVRGTLSTFSRSFEGYPSGSFVDFACDADGTPILAVSSLAEHAKNLETNPKCSLLVAKEPEDRGTLVVTLHGDAVAVSEEDRPAVRAAYLSKHPNAFWVDFGDFNFVYIKPKVIRYVSGIATASLGSGELSSEEYMAAQVDPIAQFAKPVTSHMNRDHAEDTKNIVRHWTSIPVDSAIMLDLDSLGFNVKADYRGTSFKLRVPFPRRAESRKDVKTLVVEMVEAAKPQV >KGN55377 pep chromosome:ASM407v2:4:22188078:22195516:1 gene:Csa_4G647990 transcript:KGN55377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIWCCLCFTVGEEEEEDERAREEEVKKEEGEMKPMMREEVFENQDDSDRIVRNGDDSQGSNPLASAVDDVPERHDGDRLRLFEDMVRAMHDGGDGGAHWDDELRGAGAGGGAINPWNLSFGIMHQSEGGESSSASALPLSSMVETSMEERDRDAHHKRAKVHSKFIESSFATPWPLGAGNPMREYDFIHGSPSIMSRNEFLYHASTSSRFDADKDLESSFGRDDGINENDTCKSEGFEVRMDLTDDLLHMVFSFLDHINLCRAAIVCRQWQAASAHEDFWRCLNFENKNISMEQFEDMCGRYPNATEVNISGVPAVHLLAMKAVSSLRNLEVLTLGRGQLADNFFHALADCHLLKSLTVNDSTLVNVTQEIPISHDGLRHLHLTKCRVIRISVRCPQLETLSLKRSNMAQAVLNCPLLRDLDIGSCHKLSDAAIRSAAISCPQLESLDMSNCSCVSDETLREISGSCPNLQLLNASYCPNISLESVRLTMLTVLKLHSCEGITSASMTAISNSSSLKVLELDNCSLLTSVCLDLPDLQNIRLVHCRKFSDLSLQSIKLSSIMVSNCPSLHRINITSNLLQKLVLKKQESLAKLILQCPSLQDVDLTDCESLTNSLCEVFSDGGGCPMLKSLVLDNCESLTAVRFCSSSLGSLSLVGCRAITSLELQCPNLEKVSLDGCDRLERASFSPVGLRSLNLGICPKLNELKLEAPHMDLLELKGCGGLSEAAINCPRLTSLDASFCSQLKDECLSATTASCPQIESLILMSCPSVGSEGLYSLQCLLKLVVLDLSYTFLLNLQPVFESCIQLKVLKLQACKYLTDSSLEPLYKEGALPALQELDLSYGTLCQSAIEELLACCTHLTHVSLNGCVNMHDLNWGCSIGQLSLSGIPIPLGQATFDEIEEPIAQPNRLLQNLNCVGCQNIRKVLIPPAARCFHLSSLNLSLSSNLKEVDVSCYNLCVLNLSNCCSLEVLKLDCPRLTNLFLQSCNIEEEVVVAAVSKCSMLETLDVRFCPKISSISMVQLRIACPSLKRIFSSLSPT >KGN53878 pep chromosome:ASM407v2:4:8950019:8951486:-1 gene:Csa_4G179130 transcript:KGN53878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNISYALQVYERAFRQKIDIDQSECLVGKNVRSQLADETCELLGVRKSDSLDIYLEIPAHNCMNKSRVFNMVKDKVW >KGN53197 pep chromosome:ASM407v2:4:2788729:2794359:1 gene:Csa_4G026250 transcript:KGN53197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNWTRSSRFWSNTVRKCIGEDRCARTSLWPVHRIAPGYRHFGRRIQSDDSPLIDRSFLAKLWEADRKLEGSREKRKRHRIGNYDRNGTQNRIGQYDRTPFGKPTLDATFVEGKPWKQPPPSQSVSGFLEPHSPEEVRVAPLLARSNLLITRDIEWANLVFGFEQENRYAIVDVCYPQSPVGLIREQSSIIARQLLRLRRPFVAYITDAMGNELFRVRRPFWWITSSIYAEVDGKEIGVVHRRWHLWRRVYDLYLGNKQFAVVENPGFWSWTFTLKDIDGKVLAEVDRDWRGFGFEIFTDAGQYVIRFGASDPVSRTGAAREVQELEVARHLTLSERAVAVALAISLDNDYFSRHGGWGLPFVAVGE >KGN53737 pep chromosome:ASM407v2:4:7297305:7297716:1 gene:Csa_4G114180 transcript:KGN53737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKSLKGKESHPLDKMMEMRCNSIVSTTKEVKKSLSLRKKKEAQKVSEPINNPAGKCSSSSSSSHSTSSSTISYSIRARSKSGVKQRSKAHIASLPFEPFPKHLV >KGN55139 pep chromosome:ASM407v2:4:20824053:20824878:1 gene:Csa_4G637790 transcript:KGN55139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPVFDKLTERMNPIHAKFRVNKSGEHDMEQQYTRTVDVLFANGEDFDLRLCCENCRRKWRYEVWGHPTRLC >KGN53258 pep chromosome:ASM407v2:4:3290235:3290420:1 gene:Csa_4G038750 transcript:KGN53258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNREIEEAKRRKTNLARNRMALFNAQNLRVLTGENKKGERIGSPIVYEMDERIGGKSVTG >KGN52985 pep chromosome:ASM407v2:4:1452706:1453110:1 gene:Csa_4G009370 transcript:KGN52985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQHTQNSKSVFRTSKTKHQNKPTSSLLESFEQTDNLHRDKKEMTERTLHQGNSRRADRRSPRENTTTRNREEREKLEKCF >KGN52859 pep chromosome:ASM407v2:4:701441:702738:1 gene:Csa_4G003720 transcript:KGN52859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSSHHSFKPNPNHEHHLLSTQPTPEEEQPLSKKRKVVQKTVVTVKIGSKKAAIGIGKMKNEGPPPDFWSWRKYGQKPIKGSPYPRGYYRCSTTKGCSAKKQVERCKTDGSMFIITYTSSHNHPGPNISTLNLDQNYQQEIDPPQPLDRDDDEDHHDLVPNQAQDHDNNSNNDDKNSIIISQSTEEEEVEEVEEEEEDELLLVEDEEKKGIEKIKDECLDQEPIIISSSSNSSSCCDELMIIKTKKSEIENHDHFFDELEELPIPPPFSSTLMRSSYSFDEIRISAAPS >KGN54749 pep chromosome:ASM407v2:4:16779117:16783977:-1 gene:Csa_4G443130 transcript:KGN54749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVNSRPLKRMKRRVTADLFDFLSFPSSSSSSSSSSPMSDSDHSDHLFTAPFRSNVRTFLSKHALLPPPSSLFPHLLTWQILFRVGDLVHGPDSQPAVVYLDIVEEDVPRSRSVYCDQCRVVGWSAHPVCAKRYHFIIKANGSSIGGYHKPCMCCGDVLHLSESKCKSCNHVTSTDDVEDWVYQQLENNTHLLHAVVHSNGYGHLLRVNGREGGSKHLSGCHIMDFWDRFCKMLGVRKVSVMDVSKKYGVEYRLLHAITKSHPWYGEWGYEFGAGSFAVTPDAYKMAVETLSSLPLSIFTSQGRKPRSHLQDIILYYQSLSERKLVNVRDLFKFLMSLIHNVRKSSSTTNDIMDEKQQSKVLCSWTKSDVTRVEEAMLKVLHAVSGSNWVTWRTLRGAVCKAGPPELLDYCLKNLGGKVSSDGMVVNAQRNPQSGAFEYRLEPGSASLNTGSDSTESSISSYPSEENLLLDLRFLYDAMLHPNSMVNYGPQATREAAVSSALKLIDCKQFVKDYEPEKLSTKLNPFSICLLCEVEVVEDSKDNSSRPPPELVILPSNATMSDLKLEASKAFQDVYLMFRRFQAEEIVDHGGVDDSTQVKLLFGQTESVRVRGRCQVKTALNRFRMERGVERWTVDCSCGAKDDDGERMLACDLCGVWRHTRCSGIQDSDDVPGKFVCYKCRSSIVAMNTNGETEADTLFRLGYVN >KGN54148 pep chromosome:ASM407v2:4:11244840:11247660:-1 gene:Csa_4G290150 transcript:KGN54148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLCFPSPSLLLLLLLLLTPAFTVAQTTNPNITLGQSLTAHSANSFWSSASGDFAFGFRQSGGGDYLLAIWFNKIYDKTVVWSANRNKLAPEGSTVLLTTTGQLLLNDPAGNLIWASPTNQSVSFAALLDNGNFILAANNSEIVWQSFDYPTDTILPSQILNQGDSLVASYSETNYSSGRFEFSVQPDGNVMLYTRNFPSELISQAYWSTGTVSFGFQVVFNLSGSIVLIAENKTILNTLSSNNPTAQTFYQRAILDHDGVFRHYIYRKGDTGSTSSWPKAWSLSKSIPSNICLAISQGSDSGACGFNSYCRLGDDQKPFCSCPEGYALFDPNDVTRSCKPNFVPQSCDKSFPETDDFYFVSMDNTDWLLGDYGHYLPVNEDWCRNECLNDCFCAAAIFRDGNCWKKKFPLSFGRMDYSVGGKALIKVRRGNSTLQSQNLDRNCNNKTKIIIGSVLLGSSLFLNILLFLLTLLISYRFSKRKLLKFNGGDPFILGVNLRAFSYEELNKATKGFKEQLGSGAFATVYKGTTLGSVDDNNLVAVKKLENIVNEGSGENEFKAEVSAIARTNHKNLVKLVGFCNEGEHRMLVYEFMENGSLADFLFKPSRPTWYRRIQLVLGIARGLSYLHEECRKMEKLIREDEEARSDMKRVERFVKIGIWCIQEDPSLRPSMKKVIQLLEGDKETWCMWISSCFKT >KGN52969 pep chromosome:ASM407v2:4:1349700:1350252:1 gene:Csa_4G008230 transcript:KGN52969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLTWLWDPLKTLSKGGNEKNSVFALFSSVLFCFVVSLRTLREKEEKKTWGPSLCSCSSCILNFHSLSLTSLFVFQRRRAQFVCFVFVNGVLESIFSFGYLKLSNSSPYFVVFLSGSLAFVIGNSYRKCGCCLFNGESSRFSFDWSLIPITDQFL >KGN54283 pep chromosome:ASM407v2:4:12138859:12142062:1 gene:Csa_4G296850 transcript:KGN54283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENALHFDLNTGAKIPAVGLGTWKAPPGVVGEAVKTAVKVGYRHIDCAHVYDNEKEVGIALKELFSTGVVQRSDMFITSKLWCSDQAPEDVCKALSKSLEDLQLDYIDLYLIHWPFRTKHGSRGFAPEVMEPLCIAETWNAMEGLYASGQARAIGVSNFSTKKLQDLLKIAKVPPAVNQVECHPVWQQPALHNLCKSTGVHLSAYSPLGSPGSWLKGEILKEPILTEIGEKLNKSAAQVALRWGIQSGHSVLPKSVNESRIIQNLSLFDWSIPHELFSKFSEIHQQRLLRGDFAVHQTLSPYKSIDDLWDGEI >KGN55473 pep chromosome:ASM407v2:4:22833778:22835551:-1 gene:Csa_4G652850 transcript:KGN55473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPARTKTWNGGLDKHYPPSLATHDEVISDPIVFWDTLRRFHFMMNTKFMIPVIGGKELDLHVLYSEVTRRGGHEKVVAEKKWREVGSVFKFSPTTTSASFVLRKHYLSLLYHYEQVYLFGRQGPICVPQAPFPFGSPTSENELALVEYTPKTTSFSPGPPSEVTGTIDGKFDCGYLVTVKLGSEVLRGVLYHPDQPPPSDLRPLSTNAIVPYTGGRYRHSGRRHRRSRRKGDPNHPKPNRSGYNFFFAEKHYKLKSLYPNREREFTKMIGESWNNLSPEERMVYQNIGLKDKERYRRELKEYKEKMRLGTEVDGANYSKHGD >KGN52844 pep chromosome:ASM407v2:4:622794:623188:-1 gene:Csa_4G003095 transcript:KGN52844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRPCCDKVGLKKGRWTEEEDDRLKKYILANGEGSWRSLPKNAGLLRCGKSCRLRWINYLRADLKRGNITSEEEDVIIKLHASLGNR >KGN54472 pep chromosome:ASM407v2:4:13712732:13714661:-1 gene:Csa_4G337290 transcript:KGN54472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPRSLVMLILPMCCLFLADSVMVRSADTGSSMRSLDAFLQEYAYQALVNPKTGVPYDAAAPSNLTGISIRAMRLRSGSFRRYGVDSFKEFEIPTGVIVRPYVERLVLVYQNLGNWSEEYYALPGYTYLAPVLGLLAYNASNLSATNLPELEMRASGDPIHVKFDNVKSLPDGTVAKCVWFNLEGKANFSSVESGNTCSTIQHGHFSIVVESIAPSPTPLSPPGTVIPNAPPPPSKKENNTRVWIIVGSVLGGALLLVLVSLLILWLRKLKQRNKMDQMERAAESGEPLQMAIVGDTKAPTATVTRTQPTLETEYVP >KGN53035 pep chromosome:ASM407v2:4:1805803:1807301:1 gene:Csa_4G011820 transcript:KGN53035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERPHPLFRNHWKFFEPSSLHVPRMAEPPVYRRTVPVVPKVVPIPVCYVKSENTRLDSAIKIQRVFRGFLVRKSLKKVVAIEREVNEIERRLANEETVDLVRKDAKERIRFGEILMNLLFRLDSVKGVDSGIRNLRKAVIKKAIALQEKIDSIAAANGATNVIYETLEPVTAKCDSEAVDRESADECDGENADGVEAPKPGAILEESKACFVESNVDPEVPQESEEGKNCPGKDDTDLEAAASEDVSQTDSSNNPPNSDDGVAECGSVDERESGANEEDDSRIEEREGDGQSREILERMMMDNKRMMEMMAQLFEKNEKQSQLLFSLSQRVERLEKTLLCEILRKKKRNGTDSSEKSPKTKKSGK >KGN53860 pep chromosome:ASM407v2:4:8766837:8767122:1 gene:Csa_4G171500 transcript:KGN53860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDENKRLKKENGALSSELASMKNKCKGLFDLVATYENISKKEDEDVRPKLFGVRLEGERERKIKRGEEISETTTILLSQSCK >KGN54040 pep chromosome:ASM407v2:4:10674963:10685972:1 gene:Csa_4G269710 transcript:KGN54040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMVDTEVTDDNKDTNGRKSPWKTPAAVDAKDTDAPVMGADSWPALADAQRPKSIDATTSAKSSDSGEVSDGVAALQSPSSGAQGGYAQKSPASRNSSYSHKNFQSHHQKPGSKRNPNGAPHVSVPLPYHQPPMPPLFPPILHPPHLAVPGYAYQPRPVAGVEVHMIKPGNETSVQAFVPPVEPPPRGDPSGYVVGIHNRRPNMQESGVHWNHGWHHQRGFNPRDNMSMQHGAGPRPFIRPPFFSPAPGFMVGPSFPGHGPMYYVPVPPPDAIGRPQFIPHPINPRASMLPPDMLALRTNIIKQIEYYFSDENLKTDHYLISLMDDHGWVPISAIAEFKRVKKMSTDISFILDSLHSSANVEVQGDKVRKRDEWSKWVPVSADSKSTLNVETSSIPVDESTNSLVDENASDGSRVLASNDNIKSSLLQGCSREQFSSRDSPEVANLDIVEEHSSGTVPPQGIKISSNVGAHDVDDLSSQFSSTFMLDEELEIEQKAIKKDDLTSNGRIDEDDDEIAVNDQDVQRLIIVTQNRAIEKRSTSGGKESKSISKELASTINDGLYFYEQVLEKKRSNRKKSKCNSENREGTSRLSSSATGSARSKPSENSAGYCGLDEIGNASPRKKQTKTFPKQQSSHKQRFFSSNFRNHGTSRNSLGIVAESPPSNSVGFFFGSTPPDSTSSRPSKLSVSPHGNFLGNSPPVGSLPKSFPPFQHPSHQLLEENGFKQQKYLKFYKKCLSDRKKLGIGCSEEMNTLYRFWSYFLRDMFVDSMYNDFRKYALEDAASNYNYGMECLFRFYSYGLEKEFREVLYSDFEQLTLEFFQKGNLYGLEKYWAFHHYRRQRDQKEPLRKHPELDKLLREEYRSLDDFRAKEKAANTKEDGN >KGN53723 pep chromosome:ASM407v2:4:7139820:7143119:1 gene:Csa_4G111580 transcript:KGN53723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTTLSFPSTTPISHLQQWKDQQAINHAKPYTTTVFGQPSKFLSIIKPSKLHLTTNRSVQDSAIRSFNSNVDVDVTITDNIIFGIDGKPVSVDETDLDRPTEGFSSIEEAIEDVKTGKMVVVVDDEDRENEGDLIMAAEAVTKETMAFIVKHGTGIVCVGMKGEDLERLNIPLMVDHKDNQEMLSTAFTITVDGRDGTTTGVSAEDRVATVLALASKHSKPYDFKRPGHIFPLRYREGGVLKRPGHTEASVDLAQLAGLFPAGILCEIVDDEDGSMARLPKLRQFAKHHSLKIICIADLIRYRRKREKLIERIGVPTRMPTTWGPFNAYCYKSTLDSIEHIALVKGDLGDGEDILVRVHSECLTGDIFGSGRCDCGKQLGLAMQHIEEAGKGVLVYVRGQEGRGIGLGNKLLAYNLQDAGRDTVQANEDLGLPIDSRDYTVAAQIVKDVGVRTMRLMTNNPAKYMGLKGYGLGISGRVPLVTPITKDNKRYLETKRAKMGHVYGLEFNGGLSALIGANKK >KGN55575 pep chromosome:ASM407v2:4:23415992:23416412:1 gene:Csa_4G675250 transcript:KGN55575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCDDGKSKNTRTLSQTLIDRLGKSHTHSKHYLAKAHHQISTFFAFTTICFSKHSSSTPTSSTLFHSPLSSSGIYNSSNSNSKTLFNVMWHAPTD >KGN54088 pep chromosome:ASM407v2:4:10957866:10958632:1 gene:Csa_4G280650 transcript:KGN54088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKFFFTCCLLWCLISSAMASDDDSFATRLNPKVLKLKKEKLTRFHLYWHDVVGGSNPTSVPVLPRLNNVTLFGLINMFDNPLTVGPDPKSRLVGRSQGLYASTAQHEIGLLMAMNFAFTYGKYKGSSITILGRNPILNQVREMPVVGGTGRFRFAKGHALAKTQYFNATTLDAVVEYDIYVLHYY >KGN53769 pep chromosome:ASM407v2:4:7612845:7615887:-1 gene:Csa_4G124910 transcript:KGN53769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKTEDEYRGSGGNGWGAVICEACERCPAEFICKADAASLCAACDAEIHSANPLARRHQRVPISRGGAMFRSVEEEDEEEAASWLLMNPGKNNDNKNNNNNNNNGMFLLSGEDEEDDEYLKFVEFNGNNEEDDDEFETLKNNNYGGGGDSVVPIDQFEGNKNHDHHLHHHHHEQQQQNHEILLEQSYGGLVDASEFFHTSSKPSFSYNGFLTHAISVSSMEVGVVPESTATIMSDISISNMRPPKGTIDLFSGMIAAEPAAASQMPAAQLSPMDREARVLRYREKKKTRKFEKTIRYASRKAYAETRPRIKGRFAKRTDVEVQLDRKYSNPLMPDAGYGIVPSF >KGN54282 pep chromosome:ASM407v2:4:12135957:12138495:1 gene:Csa_4G296840 transcript:KGN54282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASESQISFFTLNTAAKIPSVGLGTWQAAEGLVGNAVAAAIKIGYRHIDCARDYGNEKEIGSVLKQLFEEGVVKREDLWITSKLWCSDHAPEDVPAALDRTLEDLQLDYLDLYLIHWPVRLKHGSTDLAPENFVAPDIPSTWKAMEELYDSGKARAIGVSNLSTKKLEDLLEVARITPAVNQVECHPGWQQNKLHEFCESKGIHLSGYSPLGSRGTTWLKGGDILENPILKEIAEELGKTPAQVALRWGLQKGHSILPKSTSESRIKENFDIFDWSIPEDMFAKFSEFEQD >KGN54811 pep chromosome:ASM407v2:4:17687043:17688372:1 gene:Csa_4G507410 transcript:KGN54811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFVSSPHKTTNNIIDDLFTTFAQDQAGPFTEIHQQSSSPTTASESTPPTEPSTTILDPSPITNNPTHHTVEPAPTSKFGSSKFQTCFPSHGQKVVTIKSDRRKLLPNIHVDPLDGISFHSEDGVLKWKYVVQRCIADECEISYQHHFCVAVMNLIRSVGLLPIVSYVGTFYHHLIREFIVNLPTDLNDLDTHDYHKVHIRGKCFNVPPVLLNDFLKVSIPVHHLVTTPSGEQLALEFSTKSWSSNGQFPVTKLSNKYDILNRIGKANWHPTSHQSKISTSLTHLIYQIGSGSQNDVGKLIFQHILPHKMLAPPQSYLNSTTSYSRGGLMFQTFLNSFTLQNFGSSATASSINVLSAGLHLPLDSASHLIQLLANENRSLSIDIQCHTDVISALPFVEMQFLPVYKPCTTC >KGN53735 pep chromosome:ASM407v2:4:7272985:7273290:-1 gene:Csa_4G113180 transcript:KGN53735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCQAATQTRFRALKHENGIAGKPTIIVRVIACFQPLQNCQAKYFRQLLKPVT >KGN53111 pep chromosome:ASM407v2:4:2176491:2176910:1 gene:Csa_4G016500 transcript:KGN53111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKEKGSKRGRQGWCRALDADEERNQLRNLARLKTAEQRTQFAGKRKLAQAPEEKAWRLGLKMKSSEEEERSTTDGHRGQYVAVRVDEEEDDGFSLYLAHNPSLNL >KGN53126 pep chromosome:ASM407v2:4:2309503:2310319:-1 gene:Csa_4G017140 transcript:KGN53126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKAMKVVALALVLMVVNNIGFGEAQSICNMPIAGLYACRPSVTPPNPTPPTTQCCSALTHADLHCFCAYRNSGALSSFGINPELAMELPKRCNISKSPNC >KGN53624 pep chromosome:ASM407v2:4:6000595:6001548:1 gene:Csa_4G091900 transcript:KGN53624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLDSQAPNVRKKVKVKRERPDLGMLKKGKMVGTPELDKLIKIEKGLLPFKGPLPDFLPDPIKAFKWKKFFIVEGEKVPSTVKAISKLYDLPNGSYAYPDQRIIDNPMRSDMLPTRHDSIVPIEHELVLYYILMKQPFNLRSIINGALFVWRRNPKGAKPFPSTMEKLCLKYLPTLARYPQTPMVIEQGSDMVLKTQPPRDGEEDANEESTPPPLPLKRKTQNARKVVGLDK >KGN55137 pep chromosome:ASM407v2:4:20814156:20814946:1 gene:Csa_4G637770 transcript:KGN55137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTRTSLLVGLLAFLCLSLGSANRILKNNDAENQLIGDDVPSGKENHPQNSNHDELKSIPTDSMAKISSINPINGDCCSYGTNCGIYPPPTCGCGGCGGCSGCSGGGGGGCSGCSGGGGGGGSSSCQSCNTCSSCQWQGCHGCQECQGCQGCQSCGCQASMDDSKVEQDSVQTGEPAPVVTIVPPAKPTDNDIKSSGGSNQDIKSNGGLV >KGN53939 pep chromosome:ASM407v2:4:9480764:9484628:1 gene:Csa_4G192110 transcript:KGN53939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNALAATNRNFKLAARLLGLDSKLEKSLLIPFREIKVECTIPKDDGTLASFVGFRVQHDNARGPMKGGIRYHPEVDPDEVNALAQLMTWKTAVANIPYGGAKGGIGCNPGELSISELERLTRVFTQKIHDLIGVHTDVPAPDMGTGPQTMAWILDEYSKFHGYSPAVVTGKPIDLGGSLGRDAATGRGVLYATEALLNEYGKSISGQRFVIQGFGNVGSWAARLISESGGKIVAISDVTGAIKNTNGIDIPSLLKHAKEHKGVKGFEGGNPIDPRSILVEDCDILIPAALGGVINKENANEIRANFIIEAANHPTDPEADEILARKGVVILPDIYANSGGVTVSYFEWVQNIQGFMWEEEKVNNELKTYMTKGFKDVKEMCKNHNCDLRMGAFTLGVNRVARATVLRGWEA >KGN54766 pep chromosome:ASM407v2:4:16970061:16970999:-1 gene:Csa_4G463190 transcript:KGN54766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQEISFGEFFQKWMKEQNQYLTELISTAKGGNNMVAEALMKRVMEHYEHYYKVKSRWVEKDTLGILSPSWISSFEDAFLWLGGWRPTMAFHLLYSKSGLQLEGRLLDLIHGLSTGDLADLSSHQVIKIDTLQRGVVKQEKEITEKMAKYQETIADPSMVELSHMATKFKMGTSGGGGQNDGELNMVEEELKLALATKECGLKEVVKMADELRLETLKQIIGILTLTQRVHFLIAAAELHLRIHEWGLKRDSDQR >KGN53497 pep chromosome:ASM407v2:4:4946395:4952786:1 gene:Csa_4G061840 transcript:KGN53497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEPQNSEVPVTKVVEDTGNDANGDKITNGVAQVGKEIKNDEEDNALDGEFIKVEKEPLEAKDTHSAKTSSSEEYKPTIVERSSSNSSRELLEAQEKSRDLELEIERLAGSLKDLESDNSRLQNEVSLTKQKLEESEKKFEVLELDHKKSKEQIVESEDKHSSQLNSLQEALQAQEAKNKELIAVKEAFDSLTNDFENSGKQIQELEKKLKVSGDDALKFEELHKQSGLNAEAEANRALEFERLLESEKLSTKEKEDQISSLQEKIKDLNDKIVESQKVEEALRTTATELSAVQGDLELSRTQVLDLEKKLSTKEGLVEELTQELETRRASESKIKEDISAVEIQFASAKEDLRVKMSELEEIRLKLQEEINQKESAESAIKTLEAQVSVIQKELAATTKDKEELEVTVADLSSNAKQLKALCNDLEEKLKLSDENFGKADSLLSQALSNNKELEEKLRNLEDLHNETGVVAQTATQKNLELEEIVRASTASVEDANSKLREFETRFIAAEQKNVELEQQLNLLQLKNNDAEREVTELSEKIKEFSTKLIDVEEEKQQLNDQKLAYQDKVLQLESAIEKSTSQHQELEKELTTTIGKCSEHEERANMNHQRSIELEELIQTSHNKIETADKRVSELELLLEAEKYRIQELEEQVSNLEKKCGDAEAETKKNFDQAAVLASEIKSYEEKVASLETALHVANVKEKEITESLDIATEEKKKLEDALNLSSSRLAESENLVEVIRNDLNITQKKLESIESDLQATGIRETEVLEKLKSAEEKLEHQLQTIEQTTSRNLELQSLHESLAKDSETKMLEAVAKFTNKESEATSLVEKIQVLEEQIKAYEDQISETNGRSVALKEELDQTLTKLTSLDSTNGELKKYSSEIENKVSQISSENELLVDTNIQLKTKVNELQELLSSALSDKETSAQELASHKSSIAELTEKHSRAIEFHSVTEARQVEIDQKLQETIQKFDQRDSEAKDLSEKLKTAEEQIKLFEGKSLEASADAEAHKSQLEETLLKVKQLESIVEELQTKKIDAEQESAGLNETKLKLTQELALIESNLSDLQTKLSAANVERDETAERLQIAEGQIKLVEAKALEASSDVETHKSQLEDRVLRVKNLESILEELQTKAISAEKENAGLNEANMRLSQQLALYESNLSDLQIKLSAANAEKDETTERLQLAEKTVNELKSQLASEEQRLQSQIASIVEDNNVLNETYQKTKNEFQSEILRLEENLKEQSKVEESLRSEIENLKADIAENNGIKIRHKELEDELSKSEALRKDEVESVRATAAGKESELISKLEDYGLKVQDRDQLNEQVLQLQKELQVAKAEIAEQKEKDSQKEFEREDSLKRSLQDLEAKGKEILALETQIKDLQQKLLLAEAKPIEKADGGSSTESKEGVEIKSRDIGLNFSTPTKRKHKKNKEASSASTPSSSPSPSSAETHTQIAEVSSISSLKLVLVVAVVSVILGIYLGKRY >KGN55098 pep chromosome:ASM407v2:4:20515287:20515808:1 gene:Csa_4G628950 transcript:KGN55098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLLNSTLLISLLFALFSPAKPDAAYIQNLCGKTEQPKICSDCLNSGQGSQSAIGRGLALIAVECAERNTKLMAQRVGNLVRSTPESTLKTLLNECWMSTGYAAGNFPGIARSVAAGDYGGGKSVLEITIRNVNMCMDNFKKNPSILVPSEVLAGTVAVTQDCRIVTQILNNI >KGN55087 pep chromosome:ASM407v2:4:20440795:20445433:-1 gene:Csa_4G628350 transcript:KGN55087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSPLHLLFLLFFLVLCSQSYSSRLPSISSITSSSSSSSDLVSDGINGLQEPSYLHLNTLSSLSAPEEESCEQSYGFLPCTTTALGNLFLIIVYGYLMFLAATYLSTGSELLLEILGPGIVGGLFLPALGALPDAMLILVSGLAGSAEVAQSQVSVGMGLLAGSTVMLLTLIWGTCVIVGKCDLQDSVAIDSQDTKGFSLTESGVSTDIWTSYAARIMVISVVPFLIVQLPQMLNSTSGRHLAVLIALIISVSMFIIYCLYQVFQPWIQRRKLAFVKHKHVIFGFLRHLKQQTLGRLLTENGEPDKEIIEKLFSRIDVNKDGLLSASELRALIVGIQFDEMDLDHDDAVDKIMNDFDTSRDSHVDSNEFGNGIIRWLSQVQGSRTGRGEDGPHTMKYLHNFHQETKREHDLLDVGEQSDEVVEGVEEGKGVLIKAILFLLLGTAIAAAFADPLVDVVHNFSNATKIPAFFISFIALPLATNSSEAVSAIIFASRDKRKTASLTFSELYGAVTMNNVLCLSVFLALVYMRGLVWNFSSEVLVILIVTMIMGVMGSFRTAFPLWTSLVALLLYPLSLVLVYVLDYVFGWS >KGN55461 pep chromosome:ASM407v2:4:22778556:22782578:1 gene:Csa_4G652730 transcript:KGN55461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYHNHLSQDLPLHFSDHHHQNQPLSDSSSLRNILPDQLPAGHTSPDPKSQQPHHHHHHQHPLQTAPNWLNNALLRNQNPHSHFSTDTATTAAAANTNFLNLHTTASDSTVSHSSNQWLSRPILHRNHSDVIDDVAAGDAMIGAATAALSHDSGDLKNDANSGDGLNKSEGMVMEPGAGGEGVLNWQHARYKAEILAHPLYEQLLSAHVACLRIATPVDQLPRIDAQLAQSQNVVAKYSALGHSAPPSMVGDDKELDQFMTHYVLLLCSFKEQLQQHVRVHAMEAVMACWDIEQSLQSLTGVSPGEGTGATMSDDDDDQVDSDANVFDGSLDGPDTMGFGPLIPTESERSLMERVRQELKHELKSEEDKARLVQETGLQLKQINNWFINQRKRNWHSNPSSSTNLKSKRKSNINPTPHTNYLEVIHFKPCKTNIVIALTEEVKDEIKRRYDRERRRRNMCMLYVSWGASA >KGN53604 pep chromosome:ASM407v2:4:5806991:5817910:1 gene:Csa_4G089260 transcript:KGN53604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMPESVNDPMSNMIIGLTFRQLWFSTIPEEIQWRDSLQFHSPIHSDGMILNSDGCSTSNSHGDGASYWSKTETSVMNGKLVQVDSEGHTEASFDVDHKIHNIKVESHPQNFEAQDFCVISAEKDENEASFSDNGGYQHYVSIFSALEGLDPLLLPLHLPPSIENWENAISLCGEFLNDYYKDAVKHLDLALNSNPPILVALLPLIQLLLIGGRIDKALDEMEKFCLDSNAALPFRLRAALVEHFDRSNNVLLSTCYEQTLKKDPTCCHSMGKLVQMHRNGNYNLESLLEMIALHLDGTYPEYDTWRELAVCFLQLHQSEEDRVSRACSIGTGGHKLVSSLNINSNIKLLTEKNSRNTWRLRCRWWLTRHFGHKITPETSVVGNLELLTYKAACGFHLYGNNFKYAVDVYSLLDEQNYRNLFLFLKRHMKNAFGLRSKL >KGN54271 pep chromosome:ASM407v2:4:12055822:12057932:1 gene:Csa_4G296250 transcript:KGN54271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSFLFIIFFLSLIFLSTHTLSSHYTQLFYPGFYGSIDITLKESAEIESNGVLRLTSRNSRNNIGQAFYSSPIQFKNSSSDGGRGPSFSTCFVFCIIPENEGGHGFTFAIVPSKDLKGISQRFLGLFNESNFYGILSNHIFAVEFDTIFDVGIKDIDNDHVGIDLNSLISNATVHAAYFDELGKVHNLSLQSGKPIKVWIDYDSDEITLNVTISPFNSKPRNPILSYRVDLSSIFYEEMYIGFTASTGLLSRSSQFILGWSFAINGQARDLDISSLPLPKKKKTREKISLPVYVSITTASVFVISVFVLGFYLLRKCKKSEEIEPWELQLGPHRYSYRELKKATRNFSEKELLGYGGSGKVYRAILPISKTQIAVKRICHDSKQGLREFMTEIATIGMLRHRNLVQLLGWCRRERDLLLVYEFMENGSLDNYLFDDPVRILDWEERFKVIKGVASALLYLHEGYKQVVIHRDVKASNVLLDGELNGKLGDFGLAKVYEHGSAPDTTRVVGTLGYLAPELPRTGKSTTSSDVYAFGALMLEVACGRRPVEVKALPEEMTLVDWIWDKYREGQVLSVVDSKLQGVYDEVELTMVLKLGVMCSNNVPEQRPSMRQVVRCLDGEIGVVDEWKSPGGGSKGGGVGDFLGSFTSTSISGESSG >KGN53279 pep chromosome:ASM407v2:4:3439566:3442886:-1 gene:Csa_4G043950 transcript:KGN53279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPLIFNLFFLLIAIILPAAAQNWTAGGGRGMRSTVQDEYFKWIRHMGSFKHSLFQNTKNKFKPCLTLKVSKNTKAGGFRSLQKAVNSLPIINRCRVRIHVAAGIYREKVEIPATMSYIWVEGEGAEKTIIEWGDTADHMGENGRPMGTFASATFAVNSPFFIATNITFKNKARLPPSGALGKQAVAFRISGDAAAFISCRFIGAQDTLYDHMGRHYFKDCYIEGSVDFVFGDGLSIYDSCHLHAITNSYGALTAQKRNSMLEETGFSFLHCKVSGSGALYLGRAWGSFSRVVFAYTFMDKIITPTGWYNWGDKNRELTVFYGQYRCSGPGADYGGRVPWSRELTQSEANPFLSLDFINANQWLPNYHTHLSLKPH >KGN53586 pep chromosome:ASM407v2:4:5666312:5667974:-1 gene:Csa_4G083600 transcript:KGN53586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAGGRFTGGVIFLIFLQIILRRGVVHGDSFYERQKPKQWNNNNGCDFYEGSWVYDVSYPLYDASNCPFIGDGFNCQKNGRPDSEYLKFRWQPRRCDLPRFNGEDLLERYRGKKIMVVGDSLSNNMWQSLTCMLHTAVPNSNYTLTTHNSLSTFFFPEYETSVMYLKNGFLVDLVKEKIGKVLKLESISRGDEWKNVDLMIFNTYHWWTHTGHYKTWDFFQVGDKLVKEMDRMEAFKIGLTTWGKWLDSNINPSNTIVFFQGVSAVHIDGNDWGEASTKNCQGEKQPIKGSRYPGPSLEGEAIAKNVLSDIVTPVYLLDVTLLTQLRKDGHPSNYTTSNNSTPLLDCSHWCLAGVPDTWNLILFATLFQN >KGN54695 pep chromosome:ASM407v2:4:16057485:16058854:-1 gene:Csa_4G429290 transcript:KGN54695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKANRGGSIINISSISGLNRALQRGALAYITSKAALNTLTKVMAMELGAHKIRVNSICPGLFKSEITKDLMEKDWIKNVAKRMIPLRTFGTSNPALTTTVRYLVHESSKYVSGNIFIVDAGNSLLGVPIFSSL >KGN53005 pep chromosome:ASM407v2:4:1610021:1614262:-1 gene:Csa_4G011040 transcript:KGN53005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLSSTLLPLGSASGIHQGISSDKIRSLVVRCMNANISAKEWRMKEYAKEFNLTGRREMIGLICGTSSLVIDALNAKAAGLPPEDKPRLCDDACEKEIENVPMVTTESGLQYKDIKVGGGPSPPIGFQVAANYVAMVPSGQIFDSSLEKNQLYIFRVGSGQVIKGLDEGILSMKVGGKRRLYIPGPLAFPKGLTSAPGRPRVAPNSPVVFDVSLEYIPGLEEDEE >KGN53609 pep chromosome:ASM407v2:4:5856851:5858542:1 gene:Csa_4G089790 transcript:KGN53609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFVAATLSFVDPATFDLTTLSFIALILLLSLLSLSFIFHLRLKSRTSHHLQRFNSLWTVRFLLVSFISFWALNELLRLSFFLPSLPSLHQSTLCQIHSLLSLGLFQPCFLIILLFLINASPNNNNNNDNTTTSHQHHHPPIPFLLLLSFSIFLLHLLIVFYSPFNHKLPPSFNQSYLLIKHDSNNTPVFCSYPLLSSIAFAAFALGYMLCFFFSTWKVASMVINKSLRIRLYALAFTVMISLPLQIIFLGLSALWRPDQPTYSLLSLLVFLSAFLCATAGEGILVIVPIADSLAAGDSSFEDSGHPLKTAGDGS >KGN54046 pep chromosome:ASM407v2:4:10719538:10722572:-1 gene:Csa_4G269770 transcript:KGN54046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGICCAKPAKLAHASSSIISGTDNKNSKSNVESINCNSKTVNFTSSAPVIKPKFDVSGASALKSFSFIDLKNATKNFRSESLLGEGGFGCVFKGWIDEHSYLPTKPGTGIVVAVKKLKRESLQGYKEWLAEVNYLGQLRHENLVRLIGYCSESDNRLLVYEYMPKGSLENHLFRKGVTPISWRVRMDIAVDVARGLAFLHSSEPNVIYRDLKASNILLDSEFNAKLSDFGLAREGPTGDKTHVSTRVMGTRGYAAPEYVATGHLTPKSDVYSFGVVLLELLSGKRALDHEKVGRVEETLVDWGKPLLSDGKRMLRIMDTRMGGQYSRKEAQAAASLALNCLHTDPKNRPSMAEVLDELERLHTAKDILGTPNAHAIRRTPPSRFQS >KGN53087 pep chromosome:ASM407v2:4:2050809:2054878:1 gene:Csa_4G015770 transcript:KGN53087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDMTGNIPEVQSRRVEHTCLAQNVNASADSPKTSSEVFPSAIEFYVWSDEGINLYVDLNSSPLDWTERLKNEVYICESIYRDKRLQQNLCWFKGHKEFAKSFQWNNHAGLFKGGYLQKETPSCSNLMINNSTEAGRLDEADGSVIFSRITSHAINADASENLDENQTIISSETDFDRQNQKIAGSEFCAEEDNRATSLDFEIDNDLQKKENSDPISGGQSDLSILVHQNFTLESEMCESSTLQNSCSALNLSVENPGSSAAGSMDMESSDIEQCSKDVSCSPCRALPQGDSNVSDYCLQTSAEKSERNNLSVATESSECSQIRESMEKTLPVSHSLESNGAHKKRKLTKTETKCYSQPDRRVLRSVTNKQRLPRRSRRLVSKTASS >KGN55318 pep chromosome:ASM407v2:4:21868091:21868405:1 gene:Csa_4G645910 transcript:KGN55318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKTKEATTKRKCESETKLQRRSSIAQSSLLRLSNNSPVSTISNLSNSLDFLQTN >KGN53712 pep chromosome:ASM407v2:4:6989499:6991727:-1 gene:Csa_4G109010 transcript:KGN53712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRIVIRSFLRGLLHSRNFGSVAGVPGGARMQLTFAAVASPGTRHQSLSDGGFQWRRFLTSSKAVAEEQGKVKLEQGVKDIENNQEKEKEKDNALVSSYWGIYRPKITREDGSEWPWNCFMPWETYRADLSIDLGKHHQPKTFLDKVAYRVVKLLRIPTDIFFQRRYGCRAVMLETVAAVPGMFSS >KGN53333 pep chromosome:ASM407v2:4:3786976:3787669:-1 gene:Csa_4G047940 transcript:KGN53333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGGSYGGGRSSLGYLFGKDDQPRKPQVSKVVLPPPYGIDLNPDDHNNNPSPSPKQLVSNNNYPRAHGQNSGNFITDRPSTKVKSAPGGDSSLGYLFGDITKQ >KGN55003 pep chromosome:ASM407v2:4:19875070:19875372:1 gene:Csa_4G620660 transcript:KGN55003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFKLSHHIELLLFDSLHLSFEDVVAKKIERRSHRRRDHVKNEVAYVCGRQSQLEKIVERGRMRARMGSMELEGKSEKHEIMIF >KGN54037 pep chromosome:ASM407v2:4:10663440:10665666:-1 gene:Csa_4G269200 transcript:KGN54037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFECQKSAGIYTDSRVIGKNYCEKQTAGSSSFNLEAESEGIESDKSEIITSLTSKHLLSKNAPVGGRSITDLPPAIISEILNCLDPKELGIVSCVSTVLHSIASEHHVWKEFYSERWGLPVPAQSAPVVPNGLSDERSWKDLFVEREFRSKTFMGRYTMEVLHGHTEAVRTVFVLASAKLIFTSGYDSIVRIWELEEGLSIASSRSLGCTIRALAADTKLLVAGGTDGFIHCWKAVEGLSYLFDVKGPLNHNIEFRLWEHEGPITSLALDLTRIYSGSWDMTVRVWDRFSHRCLNILRHGDWVWGLVPHDTTVASTSGSDVYVWDTNSGELATVIHEAHVGYAYALARSHTGDFLFTGGEDGAIHMFDITNRHVDTSAQLVGSWIPHSGPVYSLAFEFPWLVSASSDGKLSLIDVRPLLRTKKRTLWKRDSRGQHVQSISVEPPQRMLHGFGSNLFGVDIGMDRIVCGGEEGVVRIWNFTQALEAERRARALRGIRLENRMRRRRLQIEMNTKSGGARSDQCSFAAKKTPMNGGDRVSVWHNKRGVSGKLKA >KGN53457 pep chromosome:ASM407v2:4:4664287:4664613:1 gene:Csa_4G055995 transcript:KGN53457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALYVIRSIGRPLSNISLTILEASGNLPAPQSPCIRALEVTLLAGDPFSNNLNQSSVASKCLPSLHNPHTNMVKSRSLGLKFLDNIILVNRNAEWYLNFLHSQWTTE >KGN53842 pep chromosome:ASM407v2:4:8536859:8538319:1 gene:Csa_4G165870 transcript:KGN53842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIADGEEEVVAGDENADDLAVLPPVIKTSMVIDEEDGRGMNYEEIAEEVLLHERCGNTSPTSPLPLSHANSPSSPSAARSHSSSAPRHRSPAASTRCRPPITVQTMGLPNAASGDLSSQMEVDAFRRLFPIQFYERHLTESIRPDGRSLGKARDTTIALGPVSSANGSALAKIGSTTMLAAIKMEVMTPSVESPDEGCIALDFHMPPVCSPIVRPGRPAEAAPVISKQLSDTISRYHFTFFCNFALTYCNLQLIFFEQMWMPIKR >KGN52990 pep chromosome:ASM407v2:4:1492048:1496883:-1 gene:Csa_4G010400 transcript:KGN52990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRRPCSPGSSPVELRDCLEELLKFTLQSHINGTLDIDHDLGFSTDFSSHLLNHNDCPDVSRLYKDLVSTLLKSVSKASCGSLDDFEDEEESNEIAEGRAELVNVLKTVNFELHVQEPFFTQLKDGLKRVEGRCAAGNYNRIQSGALILFNKCLLFEVQDVRQYPSFYAMLKAESLDNVLPGVKTLTDGVQIYRNFYSEEKELSNGVLGIHVKKSVAQPYIILARIISAYFGRSMMKI >KGN54348 pep chromosome:ASM407v2:4:12521223:12525014:1 gene:Csa_4G307900 transcript:KGN54348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVADSKGKSDISFAGTFASSAFAASFAEICTIPLDTAKVRLQLQKKAVAGDVLPKYRGMLGTVATIAREEGLASLWKGIVPGLHRQCLFGGLRIGMYEPVKNFYVGSDFVGDVPLSKKILAALTTGALGITIANPTDLVKVRLQAEGKLPPGAPRRYSGALNAYSTIVRQEGVGALWTGIGPNIARNAIINAAELASYDQVKQTILKIPGFTDNVVTHLLAGLGAGFFAVCIGSPVDVVKSRMMGDSTYKSTLDCFVKTLRNDGPLAFYKGFIPNFGRLGSWNVIMFLTLEQAKKFVRNIESSS >KGN53758 pep chromosome:ASM407v2:4:7502069:7502483:1 gene:Csa_4G122820 transcript:KGN53758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERQKNNLSFNHKLGEACGSRHGESTYSKYPKPLARLPSQPELMKEENVYALKLLIPFLESRGRPKEYTANELQEHSLLLDCIALQPTLSSSNPSKELLMYGLVF >KGN52973 pep chromosome:ASM407v2:4:1360191:1362151:1 gene:Csa_4G008760 transcript:KGN52973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQKVCANQNGSVETLCNTHQDPLNWGLAAESMKGSHLDEVKRMVEEYRRPLVKLGGETLTISQVAAIATRDNDVLVELAESARAGVKASSDWVMESMGKGTDSYGVTTGFGATSHRRTNQGGALQKELIRFLNSGIFGNGSESNHTLPHSATRAAMLVRINTLLQGYSGIRFEILEAITNLLNHNVTPCLPLRGTITASGDLVPLSYIAGLLTGRHNSKAIGPNGETLDAKAAFTQAGIDSGFFELQPKEGLALVNGTAVVSQVAKKVLTTSSNGALHPSRFCEKDLLKVVDREYTFAYIDDPCSATYPLMQKLRQILVEHALKNGDSETNENTSIFQKIGAFEEELKAILPKEVENVRLAYENGNSEIENQIKDCRSYPLYKFVREELGTRLLTGEKVISPGEECEKVFTALSQGKMIDSIFECLKEWNGAPLPIC >KGN53075 pep chromosome:ASM407v2:4:2007258:2008400:1 gene:Csa_4G015160 transcript:KGN53075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENKNVSFPHSSGFSLHTPTSPSNFDQNSVTLGPNIDDNYKMPLEFSSCNWIDNARLEQLPAMEETSLRRVNHGLSLTNPNGNFETTQYYPSFPQFNGLNDNFETPQFYHPSYTQFNDPNNNYNNMGDAFLCSPSTLSTMHNSSNDSIRYNNGFLSDVVNSNSTKTTMTFENGCSFDSNLNNPSLFHDPQTSHFCSPSTFSMTHNNGQFADSTSYTGLLSDIPTQMIRPHVPTISLSTTNLLPSSSLPPQPLLSQNSLKIRNNSTNWSIREHKLFLAGMQLLGQGAWKKIANYVVVTKTHTQVASHAQKYFLRQTSQKHKRTSIHDITTAEPEFFALAESRIAQHMKHLNKNDQ >KGN55348 pep chromosome:ASM407v2:4:22025002:22029513:-1 gene:Csa_4G646220 transcript:KGN55348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKYEKDAKKYWDIFYKKHQDRFFKDRHYLDKEWGQYFCVEERKVVLEIGCGAGNTVFPLIATYPNVFIHACDFSPRAVNLVKTHKDFNESRVAAFVCDLTADDVSNHISPSSIDVVMMIFVLSAVSPEKMSLVLQNVKKVLKPTGHVLFRDYATGDLAQERFDCKDQKISENFYVRGDGTRAFYFSNEFLTSMFKANGFDVKELNVCCKQVENRSRELIMNRRWVQAVFSVSEFATPEVKLTAGFSGQVETEPSSKENCSEVPVNDFELDFSEGVAIDMFGIPPSQDNEIVEVDVRGWNFKIKVLSREFQHTCKSTGLMLWESARLMASVLAENPTICAGKRVLELGCGCGGICSMVAVGSANLVVATDGDSSALNLLSQNVNSNLDPHFLTKLITERLEWGNSIHIETIREISEEGFDVIIGTDVTYVAEAILPLFSTAKELISSSKDSESALIFCHVLRRVDEPTIVSTAHQFGFRLADSWTAGVSSKSSQSIVSSWFADRDWDIPSTALNIMYFLLDN >KGN52902 pep chromosome:ASM407v2:4:915204:916166:1 gene:Csa_4G006090 transcript:KGN52902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMSKQELNERVKPIMSPTKREWTNIDKTIACLLFFLHALCIFAPFNFTWNAFWVALILYSITGLFGITISYHRNLSHKSFQLPKWLEYLFAYCGAHALQGDPMDWVSTHRCHHQFVDTDKDPHDRNQGFWFSHINWAFDSYHLTKKVCGKYFNDSKETKRNLFTLVMKHERPDNVKDLEKQIFYTFIHKTYILHPIALAIFLYMVGGLPFVLWGMVK >KGN53389 pep chromosome:ASM407v2:4:4204411:4209177:1 gene:Csa_4G051410 transcript:KGN53389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKHRFRDSIKSLFGSHLDPETEERLKGSKSDVEDKVNKIKKLIKDEDVGIKDHDQSQNRGKQSVDELIDDFLKDYQALYEQYDSLAGELRRKFQKRREKESSSSSSSDSDSDDSNGSSKKKVSKDDRGLEKGFQEVGEIKKELEVALSEVADLKRILATTIKEHESLNSEHLTALNRIQEADRIIRDLKVESETWDAQKSKFQLEIEELNLRLSNAGKIEAELNERLNGMETERNSFIEENETARRRIEEGGKTIEELKTLADQLKEKLSATTEEKETLNLKHLEALNNIQEVEKVIGVLRVEAESLGLEKSKFLVDIEDLSQKLSAAGEIQSELKGRLKDIEIEKETLTEEKETAWRKIEAGDKIVEELNATIDSLKRQLTTTIEEKEALNFQHLETLSRAQEADTITRDLKVESETWSVEKSKLLLEIEDLNQKLDAAGKLEAQLNEKLKGVGLEYDNLIKENEAANKTIEEGQNIIEELNIMTDQVKRQLAATTEEKEVLNLDHATALSKITEADQIIGDMKTQSETWAVEKTDLLYMIEEMNQRMSDAIKIEAELRGRLKDIEIERDGLIKEKEIAWKEIEQGKQVREELNATIDQLNSQLTITVEEKKALSLEHVMALSKLQEANKIIEDFKVDADSWDLEKSKLLLQVEGLNQRLNQASKLETELNERLNVVEIDKVNLIKERETAWERIEEGEKIIKDLNEIGDRLKEEKIIISQELETLRGEVSILKQQIQSTEQQAAKLSHSLGASEGENRLLNLKIVEISSEIQLAQQTNQELVSQLQLLKEDLGVRETERSILVEKHETHVNESLTRVNMLEAQVTRLETELELLQSREKDLSQELEIKTAEAKQLGEENIGLQARVSEIEVLFRERENELSILRKKLEDSENRSSSNTANLTLEINRLLEEINSLHSQKGELEERMICRNEEASLQVKGLADQVDTLQQQLEVQQSQKVELELQLERTTQTISEYTIQIQKFKEELEDKISDLQRLVKEKEDLIVRIKDLESAFDSLCNEKHELEEKLKSQMDGNSQLREEKFELEKKFFELESNLSNRGVELATLHEKHINGEAEASSQKLILVAQVENLHEKLNSLQNEKSEFELQVEKEKQELLDTLTLLEKEKVELLSSIGDHQRSLKEHNDAYEKLNDEHKLLEDQFRECKLKLDNAEVKMAEMAQEFHNDIRSKDQVKDDLELMAEDLKRDLEVKHDEINSLVENVRTIEVKLRLSNQKLRVTEQLLTEKEEIFQKAELKYQEQQRLLEERIHGLSATIVANNEAHQRAISTVSENINSNLSQLECVIRKFVLDYAKYEKCVNETSHDLQLAKSWVSKAVQETNGLKKEVAYLGKQLQDKKERESILVEQVEKLETKVNKEGSEKDGLVQAIHQLEKRQRELEKMMEEKNEGMLGLKEEKKEAIRQLCMLIEYHRDRYDFLKDEVLKLNVKGGQSVR >KGN55204 pep chromosome:ASM407v2:4:21246084:21246519:1 gene:Csa_4G639910 transcript:KGN55204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVVRNLTEKGAKFKVFFFTLDGEYNEPFDSPAVSTWQQSTAEEETKLERRTVGILIQIRHRLKLGKPRKGSWCNRGEFLSLIMLGLGTLIEAYQDQMHNT >KGN52912 pep chromosome:ASM407v2:4:964039:965504:1 gene:Csa_4G006190 transcript:KGN52912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFFQPYFLTLLLTLLLFLSSLSSSFTVIMPDSDSGSPSSLIDGPQSGFSLHNAAVRTDPAEQSAVYDIMSATGNYWATQIPDVCGGRWHGIECMPDNHNLFHIVSLSFGSLSDDTAFPTCDATRSTISPSLTKLPHLKTLFFYRCFSNNPQFIPSFLGQLGSSLQTLVLRDNGLIGPIPTELTNLTHLKVLDLHGNNLNGSIPVGFNRLLGLRSLDLSQNKLMGLLPSLGLSNLRILDVSQNLLTGSIPIEIVTCQSLIKLDLSRNRLTGLIPKSIGGLRQLVLLDLSYNQISSPLPSSFRLLSSLEALILKGNPMDCVISNDLFDGGMMSLMTLILSNMGFHGPVPNSLGRLPNLRVLHLDGNHFNGSIPSSFQALRNLNDLRLNDNELSGPIPLPKDTIWRMKRKLRLYNNSGLCYNSQSGVGDVSGSPYNIDIGPCNVP >KGN54575 pep chromosome:ASM407v2:4:14846598:14849921:-1 gene:Csa_4G365050 transcript:KGN54575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAETGLAMFCGMIQKIKPYLAMVSLQFGYAGMYIITMLCLKKGMNHYVLAVYRHVVATIVITPFAIVLERKIRPKMTLGIFARVLLLGFLEPVLDQNLYYVGLKLTSATFTSVTINILPAVTFIMALIFRLESVNFKKIRSIAKVAGTLVTIGGAMVMTLYKGPIVDIFHGHGRHAAHNSSSSESADQHWVLGTLMLLGSIVGWSGFFILQSFTLRKYPAELSLTALICVAGAVEGSIVTLIMERDFTVWVIGWDSRLLAAVYTGVICSGLAYYIQGVVIRERGPVFVTSFTPLCMIITAILGSIVLAEQIHLGSIIGAIFIVMGLYLVVWGKAKDHINKLTNQKSNAATELPITNEPETTAAERCSSKAPPA >KGN53348 pep chromosome:ASM407v2:4:3893658:3897301:-1 gene:Csa_4G049070 transcript:KGN53348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIQAYSQNPHFFFLPSNPSISPHLSFNVSHSPKLKSPVGRRYESMSRISASGEHNPTIIVGKKRKVFEHISLLKAKENISEEEENDMLDYLYTTQYQMRGIVAVSLGRACDQNNERYTHGVYMRFQSKEDLEKFYVNPFYLRVLNEHVMPYCHGLVHVDYKSEVEDDMLPIFRKGEEFNYGVEFVLLIKFRQDSFGKPLEDALNSLERLTIDNPSLIVQFTQGLNFNPSCKEFTHGVVIRFRSINAFEIFTGSSQYKDIWKSKFQPIIQKTVALHFSVDPVGTEIM >KGN53944 pep chromosome:ASM407v2:4:9514935:9517898:-1 gene:Csa_4G192160 transcript:KGN53944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAISETQRSFMSKNLSPMLRREFANLDKDADSRRSAMKALKTYVKELDSKAIPVFLAQVSENKETGALNGECTISLYEVLARVHGVNIVPQIDRIMTSIIKTLASSAGSFPLQQACSKVVPAIARYGIDPTTPDDKKKHVIYSLCNPLSESLLGSQESLTAGAALCLKALVDSDNWRFASDEMVNKVCQNVAGALEEKSTQTNSHMGLVMTLAKRNPRIVEPYARLLLQAGLRILKCGVVEKNSQKRLSAIQMINFLMRCLDPWSIFSELQSIIEEMENCQSDQMPYVKGAAFETLQTAKKILADKGSKMDKSPSSVTGSNFLDHRRRSPWRNGGSRTPSSESPESQTLDSFFDYGSLVGSPFSSRQASRNSGFDRRSVNRKLWSYENGGVDISLKDGLSLFSEVTRGTDVSDTMSMYSGSHKFGHNGEEYADDFSGFFQMSPPRRRLSRSTTTSPLRSRSYINVEDMIFKTPRKLVHSLQDLNEGKSDYASGSSRCRHRSLSSGNLEWSPPRAFLNQNGFADEPKLSKEDEDGLGNGNGEQSQGSYESISSADGAPTHVDVQAIPVAVACQSKMKPQYYGMEMAYKKTALKLVCGFSFLLFTIFTSLLWIDDHDQGSYLVPT >KGN54118 pep chromosome:ASM407v2:4:11089046:11090075:-1 gene:Csa_4G286420 transcript:KGN54118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVSCICKQLVGCEVVLVSATTSYVDKSLPPPDNISSLFQLENGCSGVFVMVVSSKSPKIFWRVVGLKGTLQIERGNQDGKHGYLVSFTDASGLNRCTFYPFSGVTEELKTFIHAISAEGSDDKADDRISFIEGARDVAVLEAMLESGAKHGAPVQVKRF >KGN54910 pep chromosome:ASM407v2:4:19109395:19115675:1 gene:Csa_4G594440 transcript:KGN54910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLTMEMGFRVRKFVVVSIRTCYRSVRNYPFLFGLLCFLILLYRSCPFLFSLLVSASPVLICTAVLLGTLLSYGQPNIPEIETEEKVSRDVASLRSGILDNATVVAKEDDSFTVERFEGNEVENSYVVRGPEEERKTGKLDEHAGFVDFVQVIHERNREIQFEKGGIEEFEEFEKGEVEKAAGEKEFHNSELEERREIYKKDLDIRNLATDDENAVENQLLAAQSMRNEILEVEDRNISIEPVHKGDHLSLSLNDKDDHDENGYDSSGSESDRAESSSPDASMADIIPLLDELHPLLDSETPLPAHRSNEESDASSEQSHKSDGECVMSDDEAENQGEEGGVVEHDEDEDDDDDEGMQEEKEDESKSAIKWTEDDQKNLMDLGSLELERNQRLENLIARRRARNNLRMLAGKNLIDLDGFELPANVPPISTARRNPFDLPYDSYSNMGLPPIPGSAPSILLPRRNPFDLPYDSNEEKPDLKSDDFEQEFLAPQQKDMFRRHESFSVGPSNFAVPKLEQQNIRWKPYFMPEKIAAEGTSYSPLERQFSEVSESKMSSVSDTESMSSIADQDDKKPDESQSFLETTAVSYLHPTASGIEHGNGPWEDIGSEDYVQENRDVHHEVIEITLGSTESHFESQSGSSAIRGADTPLEINASEIHSKNVLVETDFSSNSSLSSLSEEENETAFEVKTDEVKPSSNHTEESSIDTTNISVPALEEDGDFKHASEVLDDNQHREPVYDSSPSAEGKESEVHSEIEQDITSSLKDMDDVSSGLHIVNKNEQESREVSEVIVHEVTKVKSPKHDTNYDAQNLSVVPEFSVEDVSINSGPSFSDNAPMEKGIVDSVKEDKDRLTSHVEDIVDGVHKIEDENLDSSPSCDKISSRSLTFTEPEDKLSSAVNHVSADIGSPSNAKHVEMHETVNNEESPELEQTKVARSSSLDSSSVREVILQTDVVCHTDQPTTSILNLGSEIPAQDTNDLIGTNDSGSISHDHLTTTNATIPESQEQKCPEVEEQVELISLSSTLPPKFEQVEEQSMNEKEVVRSEQDIVEPSSVKSHTESEDLQNLDIKNSSSGSSTSDVTPEVISSVTELGQSWSDKSMVEPVLSNRDNAQEPGDFSTDFAAEVISENTSPSVHQDISAAQSSVEPDSPSCSSDNDFSSPSTGRYPKDGKDGVVFQDREDVSKHLDFLAEAYGYRFSEKTIREEVDEIADIDEGLLLELEEVGDFSVKEVGEPVLEKKVLPEEAQEERFELGSNSNSTEAKSDIPILEARTLADINLAFRQLQEGVDVEDVILLSAIESQVNEDAKPETSSDLEVVEARSLGDIHDAVLHALESNIDELGSSSNSSETKSDIPMLEAKSLDDINFAFRQLHDGVDVEDVIEVNSQVTVKAKPETSSDLEVVEARSLGDIHVALMQLSEKNIDESGSSSNPTETKSDIPILEARSLDDINLAFKQLHEGVDVEDVILPSAIKSQVEEGAKTETNSDLEVVEAKSLGDIHVALMQSSEKNLNELPESSVSNVPSEGLEPAGVDSIIETASSNATNADKAEANTVDEKSVDPNVSASKNKDKKEKSGKSSGSSSSSSSSDSD >KGN53437 pep chromosome:ASM407v2:4:4580769:4582085:-1 gene:Csa_4G055320 transcript:KGN53437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFIKTFIFIFLIIFMFPNSGDAHDLSLKKGLKLSNPCGQLIVEKNNKVTRKLLGAEAFADYASPDHNTRHEPRFGNPGGRA >KGN54803 pep chromosome:ASM407v2:4:17609613:17611602:-1 gene:Csa_4G506840 transcript:KGN54803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTKLHSSSLISPLPSIISSIRFLSSLLPQIQPSKDADLVSQILLHHHNPFHSMESSLQLHSISFSSHLLDQTLLRLTHHSKIALSFFDYANSLPSNPISTTSYNILLDILAKVRQFDAAWHLILQMDHKGTDTFLLLIRRLISSGRTRQAIRAFDDIEGLTGNKVGIDDFCYLLDVLCKYGYVKVAVEVFNKRKEEFGVDVKIYTILIYGWCKIGRFEMAERFLKDMVERGIEPNVVTYNVLLNGVCRRASLHPEGRFEKTIRHAEKVFDEMRKRGIEPDVTSFSIVLHVYSRAHKPELSLDKLKQMKELGISPTVATYTSVIKCLCSCGRLEDGENLIEEMVRSGISPSPTTYNCFFKEYRGRKDGAGALRLYKKMREDCLCAPSLHTYNILLALFLNLDKKETLKELWNDMKESGVGPDLDSYTTIIHGLCEKQRWSEACQFFVEMIERGFLPQKVTFEMLYRGLIQSDMLRTWRRLKKKLEEESKTYGSELKNYHIKPYMR >KGN54411 pep chromosome:ASM407v2:4:13060033:13062060:-1 gene:Csa_4G314390 transcript:KGN54411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSENSSGGKTSRKRRNGYVSVVDTLNKWKKLNNQLEDLAKDGGVEETRKVPAKGSKKGCMRGKGGPQNSDCNFRGVRQRTWGKWVAEIREPIASNNNTRLKKKGTRLWLGTFSTAHQAAHAYDEAAKAMYGPFARLNFPDSSSPLMKPLTSEHSDTISPVASSSSSSSFFNGVPAEKMKDCYSMEKQENCEYESMEELKVKVEETERSRVNYTDIKPNSFYDSNIGNRSEGNMKEGLADVLRSHDQNSPSELCFKFETMNTKGCNDLNGCNQYVLQKLQSDPYARTYWIPAGWEIGDLGSATVMEAKPMEIESYGDCMAFNRDLGLLLDRQKHMGVGDQRVDDCNNFEFLRPDYDFGLEEERKWLDLCFHG >KGN54106 pep chromosome:ASM407v2:4:11037736:11039546:-1 gene:Csa_4G286310 transcript:KGN54106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICGSTSSQLTVDFYRRSCPNVLRIVRREVINALKNDMRMAASLLRLHFHDCFVSGCDASVLLDGSDGEQNALPNINSLRGLEVMDNIKAVVENSCPGVVSCADILTIAARDSVLLSGGPAWKVLLGRRDGLVANRTGAEELPSPFESLDGIIKKFIQVGLNVTDVAALSGAHTFGFARCAMFNNRLFNFSGSDSPDPTMESMMVSDLQALCPLTDDGNKTTVLDRNSTDLFDNHYYKNLLNQKGLLASDQILFSSDEAQTTTKPLVEAYSSNTTLFFSDFVKAMIKMGNMSPLTGSNGQIRNNCGIVNSS >KGN52780 pep chromosome:ASM407v2:4:259826:262599:1 gene:Csa_4G00990 transcript:KGN52780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERQPIDQQHSLDPQLQSSQSPREDMIAYVMALEAALLPCLPARELQAIDRSPHPSHQVDVERHARDFMEAAKKLQLYFIGLQREDQPTKVETLRKEISAIEEELKVKNEIIKKQEKLIEGWKKDLKDQLDKHNNELEKV >KGN52839 pep chromosome:ASM407v2:4:603441:603943:1 gene:Csa_4G002560 transcript:KGN52839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSARRIEIVSGKHNGARAAPQIYRSPNLDTNGNRVGSAATGKPWGFADPEAKRRKRIAKYKVYTVEGRVKDSLRKGLRWIKNKCSRIVHGF >KGN52986 pep chromosome:ASM407v2:4:1460256:1465402:1 gene:Csa_4G009870 transcript:KGN52986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMMLSKASSAMASLPCTRVRSVCVWPSVKQLSFRKDLLYGVMRLLSTPLKTLRGASRSLKVAQFCSVVNMSSTLQIELVPCLRDNYAYLLHDVDTGTVGVVDPSEALPVIDALSKKNRNLTYILNTHHHHDHTGGNEELKARYGAKVIGSGIDSDRIPGIDISLKDGDKWMFAGHEVHVMETPGHTRGHISFYFPGSAAIFTGDTLFSLSCGKLFEGTPEQMLSSLKKITSLPDDTNIFCGHEYTLSNSKFALSIEPKNEALKSYATHVANLRSKGLPTIPTTLKMEKLCNPFLRTSSSEIRQSLKAENTEDDATVLGIIRRAKDNF >KGN55115 pep chromosome:ASM407v2:4:20635899:20641305:-1 gene:Csa_4G631570 transcript:KGN55115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLLHHLRFSSPLFPFFHSSLLLRSSFFSPLPSSSSSSSSFSSSCSSTADFSRELLPVASPVLRKRLQDNNNNNMTVGAGITISDANLTVLGNRVLSDVHNNITLTAAPGGGVMNGAFIGVQSDQIGSRRVFPIGKLIGLRFLCAFRFKLWWMTQRMGCSGQEIPFETQFLVVETRDGSNIAGNGEEGDAVYTVFLPILEGDFRAVLQGNDNNELEICLESGDPSVDGFEGSHLVFVGAGSDPFETITYAVKSVEKHLQTFAHRERKKMPDILNWFGWCTWDAFYTDVTSDGVKKGLESFENGGIPPKFVIIDDGWQSVAKDAASTDCKADNTANFANRLTHIKENYKFQKDGKEGERIENPALGLQHIVSYMKEKHATKYVYVWHAITGYWGGVSSGVKEMEQYESKIAYPVASPGVESNEPCDALNSISKTGLGLVNPEKVFNFYNEQHSYLASAGVDGVKVDVQNILETLGAGHGGRVKLARKYHQALEASISRNFQDNGIISCMSHNTDGLYSSKRNAVIRASDDFWPRDPASHTIHIASVAYNSLFLGEFMQPDWDMFHSLHPMAEYHGAARAVGGCAIYVSDKPGQHDFNLLKKLVLHDGSILRAKLPGRPTKDCLFADPARDGKSLLKIWNMNDLSGVVGVFNCQGAGWCKVGKKNLIHDENPDTITGVIRAKDVSYLWKIAGESWTGDAVIFSHLAGEVVYLPQDASMPITLKSREFDVFTVVPVKELANDIKFAPIGLMKMFNSGGAVKEMNHQPGSSNVSLKVRGSGPFGAYSSSKPKRVAVDSEEVEFIYDEGGLITIDLKVPEKELYLWDIRIEL >KGN53326 pep chromosome:ASM407v2:4:3739636:3746755:1 gene:Csa_4G047870 transcript:KGN53326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAVGAEEIMEETSGREERILVSVRVRPLNEKEISRNDVSEWECINDNTVICRNALSVAERSYPSAYTFDRVFGCDCSTRKVYEEGAKEVALSVVSGVNSTIFAYGQTSSGKTYTMSGITEYTIEDIYDYIKKHTEREFFLKFSAIEIYNESVRDLLSVDSSPLRLLDDPERGTTVEKLTEETLRDWNHFRQLLSLCEAQRQIGETSLNEASSRSHQILRLTIESSAREFLGKDKSSSLTATVNFVDLAGSERASQSLSAGARLKEGCHINRSLLTLGTVIRKLSKGRNGHIPFRDSKLTRILQSSLGGNARTAIICTMSPAQIHVEQSRNTLFFASCAKEVVTNAQVNVVVSDKALVKQLQRELARLESELKSTVQTSGTPDFALIREKDLQIEKLKKDLRELTLERDYAQSQVKDLLKMVEDDKPLISSATDLDDQYSRLRVRSSWDFENRPSQTTVMTESRIIGDDSGSFDASQYLGGHNISFDDNFMHLVEVEKDFLQGQSPQRVSSVVSSLVDTQQNLVEVEELSYENSEDICKEVRCIEMEESSMNRYLVSTMSDSSPESYVNSGPERYVNSTTPLPVANTTTSKVVDNGQSKECKLESSPAEEDSKSNNFSPFYVILSPEKPSPWNMDKDICNTGRLNLTRSRSCKATIMRTLSSENIKEFLSTPPIWLGKDFVGRPESFQLNLHTLKYDVESERSSLTRSQTSQKSASKDAHIEQNFDVFEDDKSDVTTSATELEHDRLSNFERENQLLDATKQISNLNSENHLLDAAVLEAKSNSIESGKNVEDVGVDPIHNNNMISPSKWPSEFRRLQKDIIELWHICNVSLVHRTYFFLLFQGGDPADSIYMEVELRRLSFLRDTFCRGNPTVRNGETLTQALSLKSLHRERQMLCKQMEKKLSKKQRESLFVEWGIGLNSNNRRLQLAHLVWNDAKDMDHIRKSAAIVAKLVNYVEPDQASKEMFGLNFTPRHDAPGIASLETKHEGCLVM >KGN53055 pep chromosome:ASM407v2:4:1903929:1910003:-1 gene:Csa_4G012510 transcript:KGN53055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIFVATIASVSAHSFLSLLASTSDASSTSSSSSSFILPLKSPSKRSSIFPSRVSLSGKPDPIAGVLDTSPESVRRARRSADWKAAREYLDSGFIYEGRIEGSNAGGLLVRFYSLVGFLPFPQLSPSHSCKEPYKSIQDIAKSLIGSLISVKVIQADEKNRKLIFSEKEAARSKFSGQVAVGDVYEGKVGSVEDYGAFVHLRLSDGLYHLTGLVHVSEVSWDLVQDVRDILSEGDEVTVKVINVNKNKSRITLSIRQLEEDPLLETLDKVIPQESSAEPDSFGPKGDSEIIPLPGLETIIEELLQEEGIVDVRVNRQGFEKRVVSQDLQLWLSNAPPVEKKFTLLARAGRQVQEIQLTTSLDQEGIKRALQRVLERVP >KGN53761 pep chromosome:ASM407v2:4:7529189:7531137:-1 gene:Csa_4G123340 transcript:KGN53761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTDAVTEASTSVICDNTSGLGADEHDSISRASGDLANGSEVITRLELDVARASEKLVNLNVLMMRIATRESEFEAFALENDHMFDDSVERASEVDLLAGFLDSEVDGVDAFLARIQNDIFHAHELIPFCKFSSETLMYLEEKLQDSEESLKQSHELISDIRMQSAKLQKNLCCYYNGNGDRGTDLQEASQLLERNPVIDMRTAEHQIHILKMLEKSLAREMDLEKKLTETSLMDDELKLRLHSSQQDVYSLEEELEDVCGRCFEAENASEVLIGISKDLLGRVQVLQFNINGSIQREAELKLKFEGSMEQLKAKDCELLNCKNKNAELKSTIHLQINALKSKLMETELKLTDTNFETMTLKEKLNFLEKQLKESEEKIEVLKRQLRETDMQLQQAVASAEASQEKQNMLYATINDMENLIKDLKLKVVKADSRADRAEENCILLSESYAELNEELRLVRGKLGCLEASLQQAEYRKKASAKDIDVHTKVITNLVMQLAIERDRLHKQIQKVTIEKNAMMLFIAFLMREKRVMAMRSFCECQLLVYRN >KGN52754 pep chromosome:ASM407v2:4:157450:163551:1 gene:Csa_4G000750 transcript:KGN52754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRREARESDSKRHRSRFDREPSPKRSKRDRKTLEEKLSRNSSSHVEDNKERDQKHELRLVGQDMIPHESSLALESKPEGGAGIGANRNSDGRDGGTKHSVNPTEVPRSRSYFQHDERSNAGQVGRSFGRSATSERGWWKDSKDPDKDSDRASGKSLTYKSQQRDEKPQAAREDRHHDGSSKLEVDALTPARKRPAFREKKITVDNEDGEKVAMVPESKKSNDLHQIQEGRERREERGRDPRYSEKLNNKHSNGDMASKRDEMKRVGYPVRERYGNGSGGGNYRGRDRFGGRQGYRTSGTRVEKWKHDLFHEANRSPTPKNEEDQIAKVEALLSS >KGN54427 pep chromosome:ASM407v2:4:13179556:13180349:1 gene:Csa_4G325520 transcript:KGN54427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSISTSSAHILKHTQIALSETEQRNRATAETLYKSLATGRTHAVAKFLAPDLEWWFHGPPHCQYMMRVLTGDSSHGEFRFEPRSITAIGDSVVVAEGWEGAQVYWVHVWTLKDGLITQFREYFNTWLVVTDLRQPAWEEIRHDGLTVWRSQPRDLFHRSLPAIVLAL >KGN53066 pep chromosome:ASM407v2:4:1975232:1976380:-1 gene:Csa_4G014580 transcript:KGN53066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENKNVSFPHSSGSSLHTPTSPYNFDQNSVALSPSIDDNHEMSLGLEFSSCNWINNVGSEQLPTMEETSLRRVNHSLSLTNPNGNFETTQYYPSFPQFNGLNDNFETPQFYHPSYTQFNDPNNNYNNMGDAFLCSPSTLSTTHNSSNDSIRYNNGFLSDVVNSNSTKTTMTFENGCSFDSNLNNPSLFHDPQTSHFCSPSTFSMTHNNGQFADSTSYTGLLSDIPTQMIRPHVPTISLSTTNLLPSSSLPPQPLLSQNSLKIRNNSTNWSIREHKLFLAGMQLLGQGAWKKIANYVVITKTHTQVASHAQKYFLRQTSPKHKRTSIHDITTAEPEFFALAEARIAQHMKRLNNKDQ >KGN53601 pep chromosome:ASM407v2:4:5780627:5783310:1 gene:Csa_4G088740 transcript:KGN53601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQEYQTCDSGGDGSLGFSIIGCSGKFSNRKSKQRRAPQRGLGVAQLEKIRLEEQQKRNANAVFSPPSALSPPKTFSKLSVLAPNLHPIKQSSSSVPVSPSPTSFSPSNFVFKSPLPSHNIDDTNIRTPVVQLENGGFETEWSDLPILGQGEVPKPCNPLEFIPQQDNLVFNSKLGFRSNFVLTHESNIDWSSPGLVQKEQQHQLSSSAGVDVSSSLSLLNFLTEPPSNQNYRGNHTAVSDQMFGTKRPYAFFVDSSAGPSFNCRPPMAAPMRSDESASCSNVGLYSFPFLEEGSSCSSSSSEPNSRKKMKGNVFRGDLPTLATPTTTWMCQNSKIKHPSGHATDSNNEFTDLVSLPLRGIMEFPTCPHPAPSWPYQFQPYYRFLPPALAQNGQTSPRTSSILNVEDESVDLNLKL >KGN53837 pep chromosome:ASM407v2:4:8439993:8443798:1 gene:Csa_4G161850 transcript:KGN53837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEAPAFFAHDLKEGFLNGFGLKSKGCETATVICNKTYLVDGGCDGLLLSFQVQIFDHFKGTPIKPVVLGTIPNSCKGHSAIALNEDCILFIKGGSPLDDAFWFLEVDTPYVQEQRKSLGCEVVAWSKGVRGTVEKPIVVSGPSGVGKGTLISMLMKEFPSLFGFSVSHTTRSPRNMEKDGLHYHFTERSVMEKDIKEGKFLEFASVHGNLYGTSVEAVEVVADAGKRCILDIDVQGARSVRASSLDAIFIFICPPSMAELEKRLRARGTETEEQILKRLRNAEAEIKEGESSGIFDHFLFNDNLEECYLSLKKLLGIDGSVNTCTESISEDLDLPPIVHSVSRIDNKIIISYRTSDLNNESQKMIVLDLSSIKGGAPGRTRGLEAYAIDSVTNGSTMINQIS >KGN55195 pep chromosome:ASM407v2:4:21191544:21196560:-1 gene:Csa_4G639830 transcript:KGN55195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEIEEGMLIEDETEPLPPDSETGKISPFEMLRESKSCVEDIVTKMLSIKKHGESKTQLRELVTQMFLHFVTLRQANRSILLEEDRVKSETERAKAPVDFTTLQLNNLMYEKSHYVKAIKACKDFKSKYPDIELVSEDEFFRDAPENIKNSMRSKDSAHNLMLQRLDYELFQRKELCKRRDELEQHKKGLLEVIANRKKFLSSLPSHLKSLKKASLPVQNQLGILQTKKLKQHQLAELLPPPLYVIYSQFLAQKEAFGENIELEIVGSIKDAQAFARHQANKETGASNNAESNKLEDDAPDEDDDGQRRRKRPKKIPAKVNIEHAGIYQVHPLKIILHIYDSETCEPKSMKLLSLKFECLLKLNVICVGIEGSHEGPENNILCNLFPDDTGLELPHQSAKLVVGETLAFSDKRTSRPYKWAQHLAGIDFLPELPPLVSAQESVSGEPVRGDIVSGLSMYRQQNRIQTVVQRLRSRKKAQLALVEQLDSLEKLKWPVLTCDEVPWVSHKPSCCLQGWSLVGYSTKQASSLTTMEKEKVQDPVDVDMVGKSGISREEIDSAREDGELPALVSSTPILNNPEVRTPNLEHSKQLTLISKSITPQTNYSRMLSFNKHDEDYELMIDVDSDQDDPVQAELAADDVASVPSNNITTKKWIDYGSKEYCLILTRNTERPTKNLKLQAKIKISMEYPLRPPVFTLNLYTMNSEENREECDDSDWYNELRAMEAEVNLHILKMLPLDQENYILSHQICCLAMLFNYCISEASLFSERRKSSSVIDIGLCKPVSGSLHARSFRGRDRRKMISWKDIECTPGYPC >KGN55310 pep chromosome:ASM407v2:4:21825930:21833038:-1 gene:Csa_4G645840 transcript:KGN55310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRACTCCFTSRPPNINPQNSDCDKPSQSSVVTMTSTQENNASGVPEGMEESLTKLSPRAANILLNHDFSMGLQHWHPNCCNGYINLAESNNRDEAFINTCAKYAVVTDRNEGWHGLEQEITNNISPGTTYSVSASVGVSGSLQGSVDVLATLKLVYKDSTTNYLCIGRTSVLKEKWEKLEGTFSLSTMPDRVVFYLEGPSPGIDLLIQSVEITCACPNELETGIANAGDENISLNPRFDDDLKNWSGRGCKIALHDSMGNGKVLPQSGKFFASAMERLQSWNGIQQEITGRVQRKVAYDVAAVVRVFGNNITSTDVRATLWVQTPNSREQYIGIANVQATDKDWVQLQGKFLLNASPSKVVIYIEGPPSGVDILIDSFVVKQAQKISSSPPPRAENLAYGFNIIMNNNLSNGTKGWFPLGSCTLSVGTGSPHIVPPMARDPLGPSQPLSGRYILATNRTETWMGPAQMITDKVKPFHTYQVSAWVKIGSRATGAQIVNVAVGVDDQWVNGGQVEISNDRWHEIGGSFRIEKQAEKIIVYVQGPAPSVDLMVAGLQVFPVDRRARLRYLRTQTDKIRKRDITLKFLGSCSGGIFVKVRQMQNSFPFGTCISRTNIDNEDFVNFFVKNFNWAVFGNELKWYWTEPQQGNFNYRDADELLDFCKSHNIETRGHCIFWEVQDTVQQWIHSLNKNDMMAAVHNRLTGLLTRYKGKFKHYDVNNEMLHGSFYQDHLGKDIRADMFKHANKLDPSALLFVNDYHVEDGCDGRSSPEKYVDQILQLQEQGAPVGGVGIQGHIDCPVGPIVCSALDKIGILGLPIWFTELDVSSINEHVRADDLEVMLREAYAHPAVEGIMLWGFWEMFMCRDNSHLVNAEGEINEAGKRYLALKQEWLSHASGQIDERSEFRFRGFQGTYEVQIVNGSKKTSKTFIVEKGDTPVEISIDL >KGN53177 pep chromosome:ASM407v2:4:2685643:2687203:1 gene:Csa_4G025090 transcript:KGN53177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNVHFNWFHFLVHSQAMQTCDGDSCSLRIDSCSVDNSFVKAIAKNFHMIAHMDLQSTINLWDHLPCPISWVHDFKALAALKNCGYATVVCMWWQPECDPWLWTWGIAIYCHYLDSFSHFAAEVCWT >KGN53024 pep chromosome:ASM407v2:4:1737581:1744168:1 gene:Csa_4G011710 transcript:KGN53024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFESYYEISRTADFIHTRNFTRVALQFPDELLKDSTRVVRALKDRLRVLDESDTNHSDSKSEVRLFVMADTTYGSCCVDEVGAAHANADCVIHYGHTCLSPTTTLPALFVFGKDSIDVPTCAKTLSQYSLSSGKPVLVLFGLEYAHSMLDIKQRLLDSFQTSSLGSELEVHFADVKCSSLDPSPHHENVVKGGEQASNAESGSSESIAGARHHIGGLFWELPKERRVKDCSLFWIGSENSAFANVVLTFNGCEIVRYDAKESWLVTDVSKQRRILKRRYYLVEKAKDAAIVGILVGTLGLAGYLHIIHQMKELITGAGKKAYTLVMGKPNPAKLANFPECGVFIYVSCAQTALMDSKEYLAPVITPFEATLAFSRGSQWTGAYVMEFQDLIDFSTPEEANRSDEARYSFLQGGYVEDWDSQENTEEENGAHALVTATEKALQLRDNRNSLIEGTARSGAEFFATRSFQGLDINNGSLEPEPYVIGRSGKASGYQDEKNR >KGN53986 pep chromosome:ASM407v2:4:10130198:10131094:-1 gene:Csa_4G242860 transcript:KGN53986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPNFLSSLKRHTHPLIWIAGIICATIALAVIIAGIVIFIGYVTIRPRVPSISVTDGHLERIRSSRTGLLEVQMKIVVRAENQNAKAHAGFSKTDFVLLFDGIEIASLVAHRPFKVNKMNYLDLHFLVESSAIPLDSTQMQHLSWSLKRDLIQFDLKGSSRTRWRVGVLGPLKFWCRLDCHLRFFPRNGSYIPTPCSSKQK >KGN53502 pep chromosome:ASM407v2:4:4973321:4976507:1 gene:Csa_4G062380 transcript:KGN53502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQATSGVAVMGSLQVAVCGKGSCFPSKSSSICVFPQQKKMNILKPCKSFKVEASMVAGKPSSSVSVTVPEIGGVSNFVDHALSETDPEVRSIIDKEKQRQFKSLELIASENFTSRAVMEAVGSCLTNKYSEGLPGKRYYGGNEHIDELETLCQQRALAAFHLDNNKWGVNVQPLSGSPANFEVYTAVLNPHDRIMGLDLPHGGHLSHGFMTPKRRVSGTSIYFESMPYRLDETTGIVDYDMLEKTANLFRPKLIIAGASAYPRDFDYPRMRKIADAVGAFLMMDMAHISGLVAASVVADPFEYCDIVTTTTHKSLRGPRGGMIFFRKDPVLGVDLESAINNAVFPGLQGGPHNHTIGGLAVCLKHAQSPEFKVYQNKVIANCRALANRLVELGYKLVSGGSDNHLVLVDLRPLGIDGARVEKILDMASITLNKNSVPGDKSALVPGGIRIGSPAMTTRGFTEKEFVAVADFIHEGVKITLDAKPLAPGSKLQEFLKFVTTSDFPLTDRISDLRSRVEALTTQFPIPGL >KGN53041 pep chromosome:ASM407v2:4:1830980:1835451:-1 gene:Csa_4G012370 transcript:KGN53041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHDEVIWQVIRHNHCSFMAKITTGKFCRNPYNVTGICNRSSCPLANSRYATIRDHDGVFYLYMKTIERAHKPNELWERVKLPRNYEKSLELIDKHLMYWPKILVHKTKQRLTKMTQMRIRMRKLALKTREKIMTTPRKEIKREARREQKAEKAALLDKSIEKELLERLKKGVYGDIYNYPVEAYNEVLEMEELQAASEEEEEPEIEYVEGYEELEEEEDMEDFGGLAIHDQDADADADEDSDGLDEDVEDIEVPRKRGRKESTFSLRKLEKDARAKLKKKAKVIVEVENEETNERQTTVH >KGN55150 pep chromosome:ASM407v2:4:20891921:20896840:-1 gene:Csa_4G638390 transcript:KGN55150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPRTRLDHALFQLTPTRTRCELVISANGGATEKLASGLLQPFLSHLKCAKDQISKGGYSITLRPVSGSNASWFTKGTLQRFVRFVSTPEVLERFVTTEKEIVQIENSMSTDADGNTTAADWNSKRSSPTVKVKGDSDEYNDDAASKENPKIRLQRVLETRKAVLHKEQAMAYARALVAGYELDHIDDLISFADAFGASRLREACINFVDLCKRKNEDKLWIDEIAAMQAFSQPAFPYSETSGIILAGEDNETSGNAQASRSDSTASQGSLDNNQDGSVLKSGQIPLLNGKAQVPMTWPNLPPQYMHNFQGPLYPPYQGYLMPGMQMPPPYYPGSMQWQSNAEDSSIASDREPNGRRASKSHRNKKKLSHKEVHRSSDQEGTTESSESSVDSESDEQSDDDKKQYSTEKIRKKKHGKKSSRTVVIRNINYITSKRNGEKGSNSEDGSSDEGEFIDGNSIKQQVEEAVGTLERRHKSTGRHQKKQNGYGNSDGLNDSEGQETNRVSNNSEGEKISSPWDAFQSLLMREKEPDNSGELSSVQNQDGHFTHKPEGRSPMLNLESEKAPRQREVSGDSFLVTDRNSGNEGRTHIENFEAGDIANPINRRGSTYEELLFSQRSGESGNNVNSTVSDFTNVSSRMKNQREGDWFVSNPADKSQNQYQNGGPRVYDTDFSSAAQDHFYAEKNKKDVLGDDSFMIQTRPLVDDQSDFQSRRDISMVSEIVGDAENEFVKQETSKDDKAANFGVSEPDDLYMMLDRDIAADHTVASWTPEMDYENNFSTLGNGKHNDIEANGGDDNESPGLEKNSKNKEPGSKVPSKDAKSKALGGSLVKGKYDVQSRTRKPLSGSRSTVPKSKFEKEEETRRRLEELAIERQKRIAERSASSKFGTASSKPGVSKIEKPKSQSQVQDAKKSPKPVLRSSTIDRLATARTPQKVSSTHSPSVQPNKPISRANGIRTPTSAEKLPNIDNNNGWQGRRSSITS >KGN53631 pep chromosome:ASM407v2:4:6069287:6077631:-1 gene:Csa_4G092950 transcript:KGN53631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVPPNEDSELPASADTVHQEQDDVLPVPDTINDGVMEQKQEINEEEVRSTLEAIASTGKFWYDWEKLKSMLSFQLKQVLSEYPEAKASSEQQSTLGETFSELVKRLDEALHSFVEGPPFTLQRICEILLDACTIYPNLSKLALALEKNLLVTSTLAVSSDLHVPSSNPKPNESDESEKAEEQKLSNAVENGIEPIAGDGDEVMVEVEEADMNDDMTMDMETFEEIVGSSETNNAPNSNS >KGN53780 pep chromosome:ASM407v2:4:7740614:7747713:-1 gene:Csa_4G126990 transcript:KGN53780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKTLERYQKCSYGAVEVTKPAKELESSYREYLKLKSRFESLQRTQRNLLGEDLGPLNSKELEQLERQLVSSLKQVRSTKTQYMLDQLSDLQNKEQMLIETNRALQIKLEEISSRNNIRLTWDGGDQSMSYGPQNAQTQGFFQPLECNPTLQIGYTSAVSDQITSTTTPTHAQQVNGFLPGWML >KGN53160 pep chromosome:ASM407v2:4:2515281:2518261:1 gene:Csa_4G022960 transcript:KGN53160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPLEYYRSLPPVSKLYGVSCLMTTAALYLDLYDPESIDLNYSLVIKKFQVWRLITNFFFLGPFSFPFAFRLIIIAKYGVSLERGPFDKRTADYVWMLFFGALSLLAMAIVPYCWTPFMGRSLVFMIVYIWGREFPNARINIYGVVSLKGFYLPWAMLALDLIFGHHLKPDILGMVVGHLYYFLTVLHPLAGGKFILKTPYWIHKLVSYWGEGIQFNSPVQRDPSAGTAFRGRSYRLNGTRTSTQEETQTRRRSSPSPPPAPPQQGNNQDEGVAFRGRSYRLST >KGN54071 pep chromosome:ASM407v2:4:10864595:10867201:1 gene:Csa_4G280480 transcript:KGN54071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAVEIGNGGSSSSSSSSKSRRSKQIWYSQPLTPLMEGPDPQFQDQEPNKKDSSGSNWEFLRDWFKIQRNLTPSISQSSFTNLPNSKTQDLKLLLGVLACPLAPIPLHSNNSPPQTSYFPPHIPLETSVPHYIIQQYLAATGCLKQQKCAKNMYATGSVKMIRCETEVSSGKSVKTVGTRCEDTGCFVLWQMLPAMWSLELVVGGSKVVAGSDGNTVWRHTPWLGTHAAKGPQRPLRRIIQGLDPKSTARLFEKAQCLGEKRIGEDDCFVLKVSAEREAVMERNEGPAEVIRHVLYGYFCQKSGVLVYLEDSHLTRVQTEGDAVYWETTIGSCIGDYRDVDGVLIAHRGRSIATVFKFGEMSTQFSRTRMEEIWSIDDVMFNVAGLSMDYFIPPADIFDSLHSHSHPHSHSHSP >KGN54761 pep chromosome:ASM407v2:4:16925352:16927866:-1 gene:Csa_4G455680 transcript:KGN54761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARYLFRQLIFGVQYIHSMDVCHRDLKLDNILLDNGSKSPRLKICDFGYSKRVNPRELKQIARGRNFGSGFPKRRGNRDHLKLDSNLSYGCQASQLNQKCNSVGSNSAASQSKINNNFGFYKFQSKPNTNVGSATYTAPEVLRGREYDGKMADVWSCAVTLYVMLVAAYPFDDSDDSGNSEKTIKRIMSGDYKIPDHIHLSEDCQHLLSRMFIPDPSMRISIKKITTHSWFSNNNLQSKQIEPTLELDNPNFSHQSVKEIRNIVIEASNQLAP >KGN54942 pep chromosome:ASM407v2:4:19423710:19425799:-1 gene:Csa_4G613170 transcript:KGN54942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLLNTVSPITNPSPETTRRGCGFFSHIPNIQKLSLNKGFSKVLASTQITISPKDTIFTLPNWKIGKLDQKSKELRLNDAFFHLEFMVEKGQKPDVFQATQLLYDLCKTCKMRKAIKVMEMMIGSGIIPDAASYTFLVSSLCRKGNVGYAMQLVDKMEEYGYPTNTATYNSLVRGLCMHGNLTQSLQLLDRLIQKGLVPNAYTYSFLLEAAYKERGADEASKLLDEIIAKGGKPNLVSYNVLLTGLCKEGRTEDAMQLFRELPSKGFSPNVVSYNILLRSLCNEGRWEEANVLLAEMDGDERSPSTVTYNILIGSLTLHGRTEHALEVLEEMIRARFKPTASSYNPIIARLCKDRKVDLVVKCLDQMMYRHCNPNEGTYNAIATLCEEGMVQEAFSIIQSLGNKQHFSTQEFYKIVITSLCRKGNTYPAFQLLYEMTKYGFTPDSFTYSSLIRGLCMEGMLNEAIEIFSVMEENIKLDTENYNSLILGCCKSRRTDLALDVFEIMVGKGYLANETTYTILVEGIIHEKEMDLATEVLRELQLRDVINQSTVERLVMQYDLNELPL >KGN55263 pep chromosome:ASM407v2:4:21535937:21536473:-1 gene:Csa_4G642440 transcript:KGN55263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVGGGKGKGKKRQQKWIIRKREKGGVGRPKWRNRTHKWDWFVKLRWNWNFQYLEKLGIGLMACNANWKVEMMRATPPLPPHPHPPPFTIQRPPKVELRIFVDFIPILSLIIT >KGN53101 pep chromosome:ASM407v2:4:2129775:2132056:-1 gene:Csa_4G016400 transcript:KGN53101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRPRGIRSSASATPSSVPFKTDRKPTATPTTPKTPATCSTSIIPSSLKSIFLYDWWLVKANDGEGLAIGGFASRERSGIRAFYSAAISKRHETTILEATDGIIISISGFINRPRTHENGFPPKKLRKVHDMQLEQVYNHFLLGFPFNWKDYMSSGSIRKSTVEFFKASTSRSNDQGTSHYLEPDLDNLAVTRLRDLCLSTYGESSHGHDLFMKNSNSSCCPTQSFSNEGKNDDVIKDSLHARQEAKKLDIDLQIRRGQGVCTRSMTKLKNTRNRSKESLISDSRKKKKSRK >KGN53175 pep chromosome:ASM407v2:4:2679571:2681892:-1 gene:Csa_4G025080 transcript:KGN53175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKFLNKKGWHTGSLRNIENVWKAEQKHEAEQKKLDELRKQILEEKERQEFRLLQEQAGLVPKQERLDFLYESGLAVGKASSSDGFKSLETLPSSSTAAAATEPSSSKEAAVPGALFEDKPHSANDTWRKLHSDPLLIIRQREQQALARVKNNPIQMAMIRKTVEVEKHKDKNPDDKRERKKHRHSKSKRHKDSSPERDYDSEDVSPERQRRKHDHDKSSRHDGHSHSEDRRSKAETKNERDRDRGSKYAARTSYDQSDRKTFKSNPHDSAADRYHDRSKRDRDSYATNDRDSRRVGELRYYESNASETPNESRHRHRRPTTKLSEEERAARLREMQQDAELHEEQRFKRLKKADEDDALEAKQNAVPSSRNFLDMAQKRMYSAEKGGSSTIEESIRRRTYYSQGKSQIEANAFRR >KGN53494 pep chromosome:ASM407v2:4:4911827:4912589:1 gene:Csa_4G056820 transcript:KGN53494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSKYVPPHVNIFYCLGGITITCFLVQVATGFAMTFYYRLTVTKAFASVQYIMTEVNFGWLIRSVHRWSASMMVLMMILHVFPVYLTGGFNKRRELTWVIDVVLAVLTASFGVTGYSLPQDQIGYWAIKIVTSVPEAIPVIKSPLVELLCGSTSVRQSTLTIIYDYSREEEYLVGANMDY >KGN53568 pep chromosome:ASM407v2:4:5555647:5558083:1 gene:Csa_4G082450 transcript:KGN53568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEGTSTTILPDLPDKYRVFLHLEPPSISWRHGKPPTYGIVNQLFEEGRTKEWPKGSLEEIVQNAVKSWEMELSHKIKLQDFNTINPHKFKLFVNGREGLSGEETLRIGSYNAFLKSPLPEEFQYYKAEEETFESSHDAFRSCFPRGFAWEVIEVYSPPPLIAFKFRHWGFFEGPFKSHSPTGELVQFSGLATLKVDESLRVEEAEVYYDPAELFGGLLKGKITASESQTKDNVKEDLAASVGCPFFKPKE >KGN53626 pep chromosome:ASM407v2:4:6004830:6007966:-1 gene:Csa_4G091920 transcript:KGN53626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLTLNSLPLGFRFRPTDEELIDYYLRSKINGNHEDVSVIREVDVCKWEPWDLPDLSIIKTKDPEWFFFCPQDRKYPNGHRLKRATVAGYWKATGKDRKIKSGTKLIGMKKTLVFYKGRAPKGKRTNWVVHEYRATLKELDGTNPGQSAFVLCRLFKKQDESIEGSNGDEAEAAISSPTTAESSPGPGDSHSEPILPLVSPSLQRQAESSDGVLSETVEHTDGSADIHGFDSYNAEMDTHPDEERKFCGSENKPLDSKLYSPLHSQLQAELGSSGIYYSDSNYLNYEANGNAEQLPSGTEESDFINQMLDSFVYNLDDFPLEDQQEFMSLSEFPNNTMDSLDNGFFGKVEADNVKAMVMPDFESSICLEDIDRKTPIIDHVGTSPPEQGQFYNISGSLQESYNQSSAVGSMDAGTGIQRISRQPRSLQHSNEFSTFQGTAPRRLRLQRKLVAPSLSSSNVCSESGGWPDNDPPSTPSKVKKASENKTTGGASENKTTGGDCASDGGAVAAATVDVVKQSQTTPNAESDVSSSKASLEVSAKSMASYSTKIDFLTGRRRLVTALPPSILSYVSVARLVVVALSIIFISIWKCCNREQRGYFVSPFC >KGN54564 pep chromosome:ASM407v2:4:14773868:14774269:-1 gene:Csa_4G363470 transcript:KGN54564 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucose 4-epimerase MKKRKKSRDWAVKRNQDPERRKRGKKEKVSGDSNMGVKRKEEIKIRSETEKGIRRRRQCRKRWKFQPKRTNEIEYGFSVKEDRNGSLGWELEDTDWWQWKGKNGN >KGN54705 pep chromosome:ASM407v2:4:16161191:16161445:-1 gene:Csa_4G430870 transcript:KGN54705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFVVELAVNDARLRLYSGGDRRRVEAFFRHCFCVSTSHSTLGFDRVCFSIGFSTGLDLGIRGFSVGLSSFLAFGWRYSRACLG >KGN54133 pep chromosome:ASM407v2:4:11165221:11168458:1 gene:Csa_4G287550 transcript:KGN54133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQHVFEDMFFRNTLNKALADEQPKEFIKCEAQLQEVDESVEYKKYKGDIKVAEGFGKIGAVIVELQDGVNERFVDTISVEAEKPPISVTFSCKSWVQPKGLIDHRRIFFSSNKCRIYINGCVWPYNWDLDPASEKRIEEWFYVPRDEEFSEIKQSSSQPGNEKLLRKSPFSDLPELEIKTPSAASKFNLQFNIASILSSHRPPALKSSDTPSSTLVELPPPESYKRDKYNWLSDIEFARLTLVGLNPYSIQLVKSLPFMSKLDEGDYRPRESKFTPE >KGN54779 pep chromosome:ASM407v2:4:17177071:17181823:1 gene:Csa_4G495210 transcript:KGN54779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDAIFYRLLQLVGNAEIEESPVFFPSHPVEEKESEEVKLIDLDLSETEQVQEAVSCLPAWSEREVNSLNPSFHRWTIMDYSRAYHSKKTTPLTVAERFIAAVSESSKPPFNMSFFINYDVEDIMKQAAESTKRYELGKPLSVLDGVPIAVKDEIDCLPYPTTGGTSWLHKLRPCTGDASCVKYLRLSGALIVGKTNMHELGIGTSGINPHHGTTRNPYNSSRICGGSSSGSAAVVAAGLCPAALGVDGGGSVRIPASLCGVVGLKPTFGRVPHSGVLPLNWTVGMVGILAGTIEDAFIVYAAISSKLPSHQNTALPKLCFPVLNSSRPISSVTLAKYSEWFNDCSDDIRVCCSNAMDKLQKHNGWKSVEVTIPEIEVMRLAHYSTIASECSTSLSSYLEKLDSSQLGWDARVALAVYGSFSSKEYIKAQKIRSWIYLRTIFFIWWLVLLWR >KGN54291 pep chromosome:ASM407v2:4:12177499:12178491:-1 gene:Csa_4G297420 transcript:KGN54291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVPTTTKFHFTHPNHPLQLHSDDRHDYICGGCKTSGSGSRFRCHSCNFDLHDYCANCPEKLISSSIHHHPLTLVLRKPDGARLNQRICDICRDAVDGLFYRCKDCDFDAHPLCTQLPKTLHHLIDNKHALNLQKPPSGGCAVCKKDCSSNWVYGCQICRVYIHFDCLLEPYDSPPSQQPSGGVRGTSSSRGIPPPWGGGGPPPFPGGGFSWNNGGYHNNYGGQFGHFGMGGGHYGHYGHYGYAAPYSVPDYSYPATEASSGSGGKKLGKSMFALVGRLTVGVMSSFVFGFPIGF >KGN53572 pep chromosome:ASM407v2:4:5585414:5590282:1 gene:Csa_4G083470 transcript:KGN53572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNPFTFVISIIFVLFLTLQIQVNATPAGPLIKHLSSFVKWTRSSYKSLPAPPSGNGNVLQFENGYLVGTVVEGNEIGVLPHKIHVSKDGELFVVDSVNSNIVKITPPLSKYTRARLVAGSFQSHTGHIDGKPNDARFNHPRGVTVDDKGNVYVADTLNLAIRKIGDAGVTTIAGGKSNVVGYRDGPGEDAKFSNDFDVMYVRSTCSLLVIDRGNAAIRQISLNQEDCEYQDSSISNSDVLMIIGAVLAGYATYMIQRGFGTSNVSQTNPPLETEYREKPYKPESSSVMDSVKEDPGWPSFGRLIIDLSKLALEAVASIFLSVVPARFRARNTRKGLTPLKDSLRMPEDEPEQPTVQMLQRTPVPLTETRQAHVNARDPFPELMKPSKLNSSSFKDPSLQSKHRSSKRQEHADFYRSGEIPPPYSRSKSQKERPRHRQREKSAEISYGAVGSELKPADYDNPKYEHYNIRNKYGPNGSFGF >KGN54096 pep chromosome:ASM407v2:4:10983483:10983701:1 gene:Csa_4G285720 transcript:KGN54096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKIEGYESDRPENSRGRWRWRKNEVNAAEQPNNCRNGWPQKEMERERRRNGRVSGLDWNNKRVKSQRNGRA >KGN54311 pep chromosome:ASM407v2:4:12317335:12317634:1 gene:Csa_4G303100 transcript:KGN54311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFYKLKEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEKERLRYGKGKLSLSSVG >KGN53456 pep chromosome:ASM407v2:4:4663528:4665875:-1 gene:Csa_4G055990 transcript:KGN53456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLTRFKISKTTPVLFPFSRRLVCVSSTQPHKEHHQDPPWQSQDQLHLWVSSVLSHSSLDSSKCSALLPHLSPSQFDQLFFSIGLKANPMTCLNFFYFASNSFKFRFTIHSYCTLILLLIRSKFIPPARLLLIRLIDGNLPVLNLDSEKFHIEIANALFGLTSVVGRFEWTQAFDLLIHVYSTQFRNLGFSCAVDVFYLLARKGTFPSLKTCNFLLSSLVKANEFEKCCEVFRVMSEGACPDVFSFTNVINALCKGGKMENAIELFMKMEKLGISPNVVTYNCIINGLCQNGRLDNAFELKEKMTVKGVQPNLKTYGALINGLIKLNFFDKVNHVLDEMIGSGFNPNVVVFNNLIDGYCKMGNIEGALKIKDVMISKNITPTSVTLYSLMQGFCKSDQIEHAENALEEILSSGLSIHPDNCYSVVHWLCKKFRYHSAFRFTKMMLSRNFRPSDLLLTMLVCGLCKDGKHLEATELWFRLLEKGSPASKVTSNALIHGLCGAGKLPEASRIVKEMLERGLPMDRITYNALILGFCNEGKVEGCFRLREEMTKRGIQPDIYTYNFLLRGLCNVGKLDDAIKLWDEFKASGLISNIHTYGIMMEGYCKANRIEDVENLFNELLSKKMELNSIVYNIIIKAHCQNGNVAAALQLLENMKSKGILPNCATYSSLIHGVCNIGLVEDAKHLIDEMRKEGFVPNVVCYTALIGGYCK >KGN53536 pep chromosome:ASM407v2:4:5265956:5270600:-1 gene:Csa_4G064680 transcript:KGN53536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTSNMGLRFMSYPQNLLTKFSSLSPVTAFPSIKTVKGAFSCSCCVVCQFNLDDFSSKKRHLERVYSTNSNGKFSNKQFISVDSELYDYILSNVREPEILGQLREETASMRASKMQVSPDQAQLLAMLVQILGAKRCIEVGVYTGYSSLAVALVLPESGRLVACDRDLECLEVAKRYYELAGVSHKVDVRHGLAADTLKSLIANGEASSYDFAFIDAEKRMNEEYFELLLQLVRVRGLIVIDNVLWYGKVADPMVDDKMTDSIRRLNKKIMEDDRVGISMVPIGDGMTICQKR >KGN54005 pep chromosome:ASM407v2:4:10460119:10460793:1 gene:Csa_4G259430 transcript:KGN54005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNGVVTWPGRALFRASWFTVDEMYGRSLDVKMERIEIGGGILGLCGDGDEVLELWRKSNYGILEIGEKNI >KGN55201 pep chromosome:ASM407v2:4:21233118:21238086:-1 gene:Csa_4G639890 transcript:KGN55201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFNREERMGSNNVDYLTLKPESATLLDLFLFTLSFSYVDIRKLVKCPAGKERSYQSFGDRWIIVSSILLVKLLIAITKLFQTFKTMREKIFGVPQETYGAKVKCEDWRIEVGKNSNSKLGDDNGFRYYGALTMMASTLAYESTPVIETVVDNCWKMEMDKCYDFWNDFQDKIRTRAFAFRAKDPNVMVVAFKGTSALGDWSENLNVSWYNIKGIGNIHDGFMQALGLQQNTDWPKELPPRPDNHEFAYYTLRQVLRDFVKDNDKARFIITGHSLGGALAILFVTILAFHEESALLKRLQAIYTFGQPRSGDRNFAKFMNNLTKKYGFDYYRYVYSFDIVPRVPFDCKNFWYKHFGGCVYYNSCYKGKFLEAQPNPNYFCESWLTPFQYLTAWWELLRSLVIPLFKGPKYFEGFNTLMLRLIGLVVPGVSAHSSQNYINLTRYGKIQLPSHIKP >KGN54142 pep chromosome:ASM407v2:4:11220419:11222863:-1 gene:Csa_4G288620 transcript:KGN54142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKITSSYFLFPPFLHSLLLLFILLVLPTCSFSQLFKNVTLGSSLTATQLNDHHNYWVSQSGDFAFGFLPLGTNTFLLAIWFDRIDEKTVLWSANRDNLVPKGSTFQFTNGGQLVLNDPGGNQIWTATVSSSGNSNRSVSYAAMLDSGNFVLAAADSEILWQSFDVPTDTILPSQTLNMGGTLVARYSESTYKSGRFQLVMQTDGNLVIYPRAFPLDKASNAYWASNTMGSGFQLVFNLSGSVDVIANNNTVLSTVLSTTLSPRNFYLRAILEHNGIFGLYAYPKPTHSSSMPRAWSQVSDSINICILVQTGWGSGVCGFNSYCRLGDDQRPFCSCPPGYILLDPNDEIKGCIPNFVAQSCDQSFHETDNFEFVAMENTNWPSANYGYFKVVSEEWCRNECLNDCFCAVAFFRNGECWKKRFPLGDGRMDPSVGGRALLKVRKQNSSFQPNDLVHKPTIVVVGSVLLGSSVFLNFFLFLLTLFIGYRLKKRKSKPVQRDPSILDVNLRIFSYEELNKATSGFIHQLGRGSFATVYKGTIDSEDNNNLVAVKKLDNLVQEGDQEFKAEESTECWCMNSCIMGLLQISFLGLRNQIDQTRTLTAIRGTKGYVAPEWFRSLPITVKVDVYSFGIIMLEIICCRRSYEKKVEDEEQMVLTDWAYDCFKDMKVEMLVENDEEAKMDLKRVKKFVMIAIWCIQEEPSLRPTMKKVLQMLEGAIEVSFPPDPCSFTSSSTII >KGN55057 pep chromosome:ASM407v2:4:20242547:20248310:-1 gene:Csa_4G626100 transcript:KGN55057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKKVVGFKPTISEHPNRRFHFSSPSPFSPSSVSLFKYTWLFFLFIISLEFSLVRAVHPYPNILLPSQSVLDSSPSCTAMDPRLNYRPVIGILSHPGDGASGRLSNATNASYIAASYVKFVESAGARVIPLIYTEPLEVIFEKLSLVNGVLFTGGWAKEGLYYSVAQKIFEKILERNDAGDRFPLYGVCLGFEILSMIISKNRNILEPFNASYMASTLQFVDNVNIQGTVFQRFPHYLLEKLSTDCIVFQNHYFGISPETFAQNEELTKFFQILTTSSDKDNKVYVSSVQAWHYPVTAFQWHPEKNAFEWGYSVIPHTEHAVEVTQHVANYLVSEARKSLNKPPAQKVIENLIYNYSPTFGGKAGKGFDEVYIFA >KGN54721 pep chromosome:ASM407v2:4:16373127:16373478:1 gene:Csa_4G433480 transcript:KGN54721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGTGSCEVKSTKCQLSKKEKAKEGCGMGVECWPSVGEQGGTGVYWNG >KGN55465 pep chromosome:ASM407v2:4:22799627:22803004:-1 gene:Csa_4G652770 transcript:KGN55465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKPTGKKKENVGEKPGNANSKTARPSDRNSKAFDEDTAIFINMSQELKEEGNRLFQKRDHEGAMLKYEKALKLLPKNHIDVAHLHSNMAACYMQLGLGEYPRAINECNLALEAHPRYSKALLKRARCYEALNRFDLALRDVNTVLSLEPNNFSALEILDSVKKTMREKGVDVDEKEIGLASVKLPPGAHLRKVVREKLRKKKNKKVDEKTDDKLIVEEKIDQVIQVDQVEDKEVTKNTIEEDKLFIEPIEEKPVSRTVKLVFGEDIRWAQLPTNCSIKLVSEIVRDRFPSLKGVLVKYRDQEGDLVTITTTEELRSVESSSQSQGSLRLYITEVSPDQEPAYKEIESEEKHPEAIDKRKNTVVMNGDSVNDKEIVRGTTTVEDWIVQFARLFKNHVAVDSDSYLDLHELGMKLYSEAMEDSVTGDSAQELFEIAADKFQEMAALAFFNWGNVHMSRARKQVFFPEDCSRETLLLRIKDAYEWARKEYKKAEMRYEEALNVKPDFYEGFLALGQQQFEQAKLCWYYAIASGSKIDLESSFSTEVLQLYNKAEDSMEKGMLMWEEIEEQRLNGLSKSEKYRSELEKLGLEKLFTEIPADEAAELASNMRSQIYLLWGTLLYERSVVEYKIELPTWEECLEVSVEKFELAGASQTDIAVMIKNHCSNETALEGFGFKIDEIVQAWNEMYDAKRWQFGVPSFRLEPLFRRRAPKLHFTLEHF >KGN55537 pep chromosome:ASM407v2:4:23142028:23144199:-1 gene:Csa_4G664440 transcript:KGN55537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKVLSALDTAKTQLYHFKAIVIAGMGLFTDAYDLFSISPIMTILGRVYYQDKQYEIPATVKSAMLAVALLGTAMGQLVFGILGDRVGRRRVYGLALALMVASSIGCGFSICTTRSCVLVSLGFFRFLLGLGIGGDYPLSATIMSEFANKKTRGAFIAAVFSMQGFGILASATVTMIVCSIFDRASNYPTGKRTPMGADIAWRLILMLGAVPAAMTYYWRMMMPETARYTALVERNVAQAAKDMEKVLDVSLADITEDSPMSPSPPNFHFFSNQFLRRHGRDLFSCAASWFLVDVVFYSSNLFQSKIYTQFLPHDQNSTNNVYHQALNEARLQAIVAVCSTIPGYWISVFFIDRLGRVTIQIIGFLFMAIGYVVIAIPYNSFWESDKHKYGFMLFYCLTFFFSNFGPNTTTFILPAELFPARFRSTCHGISGASGKIGAIIGAVGFLWASHDREDNGIGMKASLMLLGGVSLAGVAVTYFFTRETNGRSLEENENEDEYVGIFFLRSCFNLHRTTAHAATAQRDQMSSRSTSNSIPSTTPFHR >KGN53014 pep chromosome:ASM407v2:4:1672416:1672764:1 gene:Csa_4G011610 transcript:KGN53014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNLVLIDNSVLGKSNLLLPFCRNEIDVNYKASIGVEFQIQVLKINGKEVKSLIFRSRGFLDDGFWWRNPQTNKMPFNNP >KGN54146 pep chromosome:ASM407v2:4:11237240:11239680:-1 gene:Csa_4G289640 transcript:KGN54146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPSFFLLLLLPLFSLPSFSFSQPYKNITLGSSLIASPRNHTNHSYWSSPSGDFAFGFLDTGTNGFLLAIWFNKIPENTIVWSANPNHLVPSGSILQLTTHGQLVLNDSAANQIWAANFQTENTTVSHAAMLDTGNFILAAANNNSQVVLWQSFDEPTDTILPSQVMKPDTILIARFSKTNYSDGRFHLRMESDGNLVLYTRIVPLGSQGNPYWSSNTVGSGFNLVFDLSGSIYVSAKNGTALTYLTSKNPSSNQHNFYHRAIFEYDGVFRQYIYSKSDEAWKSVSDFIPLNICASINNGLGSGVCGYNSYCVTGEDQRPICKCPQGYYMVDPNDEMQGCRPSFIPQICSLAEANSFDFFSIERSDWTDSDYEGYSGTNEDWCRRACLDDCFCAAVVFETGNCWKKKFPLSFGRVNPDFRGKALIKIRRDNSTLIDDNLVKRGKDKTLLIIGLVLLGSSGFLIFISLLAVLIVYRIKKKRSEGVMGKVAASIGVNVRAFSYEELNKATNGFTEKLGSGAFATVYKGILDDDDCLDKDNKLVAVKKLEIEVKEGEQEFKAEVSAIARTNHKNLVRLLGFCNEHLHRLIVYEFMPNGCLADFLFGPSQLNWYERIQLARETARGLCYLHEECKTQIIHCDIKPQNILLDESLRARISDFGLAKLLKENQTRTTTAIRGTKGYVAPEWFRSNLPITVKVDVYSFGIVLLEIISCRRSFELEVEDENEMVLADWAYDCFKERRVDMLVRKDDDEAKGDMKTVEKLVMIAIWCIQEEPSLRPSMKKVLQMLEGVVEVSIPPDPSSFISTIQ >KGN55235 pep chromosome:ASM407v2:4:21418279:21419751:1 gene:Csa_4G641670 transcript:KGN55235 gene_biotype:protein_coding transcript_biotype:protein_coding description:L-asparaginase MGGWAIAVHGGAGVDPDLPLHRQDDAKKFLTRCLDLGIHALRSNHSAIDVVELVVRELENDPLFNSGRGSALTEDGTVEMEASIMDGPKRRCGAVSGLVTVKNPISLARLVMDKSPHSYLAFSGAEKFARQQLDRRVPIETCCASVETPPLQMNGVPISVYTPETVGCVVVDSEGRCAAATSTGGLINKKVGRIGDSPLIGLGTYACDVCGVSCTGEGEAIIRGTLAREVAAVMEYKGLGLQEAVNYVIEERLDEGQAGLIAVSSKGEVACGFNTTGMFRGFATEDGFMEVGIW >KGN53843 pep chromosome:ASM407v2:4:8543767:8544366:1 gene:Csa_4G165880 transcript:KGN53843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYLDIYCLDADGSLFDAALLSAAAAFSHCEVSGSQLVPNMIYFCVIWYMLCLWQ >KGN53614 pep chromosome:ASM407v2:4:5908855:5909151:1 gene:Csa_4G090330 transcript:KGN53614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQTLKDYKQGCAHRVKEREERTLATIQVKKENLVQKLSSQVRKVASRRRSYLRLLPKKLRRIKQPSKKERRKGEKRMLRQRQNKELHKKEKVRTFKI >KGN54227 pep chromosome:ASM407v2:4:11748468:11752167:1 gene:Csa_4G293860 transcript:KGN54227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSNGTTNNAHPSPHPPLNERILSSMTRRSVAAHPWHDLEIGPDAPKIFNCVVEIGKGSKVKYELDKKTGLIKVDRILYSSVVYPHNYGFIPRTLCEDNDPMDVLVIMQEPVLPGCFLRAKAIGLMPMIDQGEKDDKIIAVCADDPEYRHYNDIKELPPHRLAEIRRFFEDYKKNENKEVAVNDFLPAAEAYMAIKHSMNLYADYIVESLRR >KGN55357 pep chromosome:ASM407v2:4:22078970:22083079:-1 gene:Csa_4G646310 transcript:KGN55357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLIQIPYDATVHLALASLERNLLPDAIIRTFTRLLLASRLRSGYKPSSQLQLSELLHFVHSLREMPIAIKTDKPKAQHYEVPTSFFKLVLGKNLKYSCCYFNDKSSTLEDAEDAMLQMYCERSQLKDGHTVLDVGCGWGSLSLYIAQKYKNCTVTGICNSITQKAYIEDRCQDLQLHNVNIIVADISTYEMEAEYDRIFSIEMFEHMKNYKDLLKKISGWMKQDSLLFVHYFCHKVFAYHFEDVNDDDWITRYFFEGGTMLSSNLLLYFQDDVSIVDHWLVNGKHYSQTSEEWLKRMDENIASIKPIMATTYGKDSAVKWTVYWRTFFIAVAELFGYNNGEEWMVSHFLFKKK >KGN52824 pep chromosome:ASM407v2:4:491904:493634:-1 gene:Csa_4G001910 transcript:KGN52824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METINHITVQTNGINLHVATAGPVTGPPVLLLHGFPELWYSWRHQIIFLSSVGYRVIAPDLRGYGDSDAPPSSDTYTALHIVGDVVGLLNELGIDKVLLVGHDWGALIAWYFCLFRPDRIKASVILSVQFFPRNPKVSFVEGFKAVLGDQFYMVRFQEPGKAEKEFASVDIREFFKNVMSNRDPSAPYLPGEEKFEGVPPPSLAPWLTPQDIDYYAQKFSHSGFTGGLNYYRAFDRTWELTAPWTAAEIKVPVKFIVGDLDLTYHFPGGQDYINGDAFRKDVPGLEEVIVMKDTSHFINQERPDEINCHIHDFFNKFC >KGN53289 pep chromosome:ASM407v2:4:3543549:3544599:-1 gene:Csa_4G045040 transcript:KGN53289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHYQSGRTDQYGNPIRQTDEYGNVISETGQYGDPLRRTGEFRETDQYGNPGRRTDDVFGNPVGTGTGMGTGGTYETTGYGGTGYGGGHHQQHKEHGGILHRSGSSSSSSSEDDGHGGRRKKGLKEKVKEKLPGHHRHEEQAVSTTTPGGYTSAEYGGQHEKKGIMEKIKEKLPGHH >KGN55103 pep chromosome:ASM407v2:4:20545834:20550628:-1 gene:Csa_4G629490 transcript:KGN55103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEVDALKNVEGYKPPAEFEEDKREFLTDISLTDSEELWLIQWPANQAPDFDGQEFSLQLHPDGHMGSFQGSSGKSYDVISCVAQEPEASVFLPSSSDTRLVGKISRRISLVHYPEPEELEKATIPLKSLYQKSSGISLTNSRQTTTSRGPHSSSRRTTSSRSSKPRSSVSEFTEPSKTSSVKQELESTESRDHKRKDSSKRKRLHEPSRSIDHSTRDSGHGNSAVTSSGSAERSSEGKSKKQKK >KGN54389 pep chromosome:ASM407v2:4:12899773:12900221:-1 gene:Csa_4G311730 transcript:KGN54389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQQQRSALDAKAKQGETVVPGGTGGKSLDAQERLAEGRSKGGQTRKEQLGHEGYQELGHQGGEARREQMGQEGYKEMGRKGGLSTMDKSGGERVEEEGIEIDESKFTNKNR >KGN54726 pep chromosome:ASM407v2:4:16487593:16487775:-1 gene:Csa_4G435980 transcript:KGN54726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVKERTVPTNLLYYVGNDSPDMNFRTSFPTLKRTSRNLVPTRFVTIPDVALHQETSDFL >KGN54613 pep chromosome:ASM407v2:4:15137902:15141988:1 gene:Csa_4G377760 transcript:KGN54613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLMNKIRKLDAYPKISEDFYNRTLSGGFITIASSIIMFLLFFSELRLYVHTATETKLIVDTSRGEHLRINFDVTFPALPCSVLSLHAMDISGEQHLDVKHDIVKKRIDYQGNVIDSRPDGIGSTEIERPLQKHGGRLKQNETYCGSCYGASGEDCCNSCQDVREAYHRKGWALSHPDLIDQCKREGFFQRVKNEEGEGCNIYGFLEVNKVAGNFHFAPGRGFQLSYFQIHNPLASFQWDAFNISHRINRLTFGDDFPGVVNPLDGVQWNQGTLSGMFQYFIKVVPTVYKAVNGKAIKSNQFSVTQHLRGIDGESFQALHGVFFFYDLSPIKVTFTEEHISFFHFLTNVCAIVGGVFTISGILDSIIYHGQKAIKKKMALGKFT >KGN52811 pep chromosome:ASM407v2:4:432535:434226:1 gene:Csa_4G001780 transcript:KGN52811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGEVLSAYRSLLRATKRSFAGDTLMLTESASEIRRKFQESRHVTSEPEIRKLLEEAREASHFISNMIVQAKLTSRGGYEMKPSKDHAGATLEVPTEELLRKSK >KGN54437 pep chromosome:ASM407v2:4:13297744:13298663:-1 gene:Csa_4G329820 transcript:KGN54437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCETSEYPQKSLQFKQNDKFISKILSRESSRANYSSRIYYGGLAGAVPFVWESQPGTPIHRFSDDLTPPLTPPPSYFSDSLKKPLKKRSKSLSLLHIFFSSKRKFDLLSPPPVSKSPSLSSSGSVFDSAAGAKFTGRRSARRFPTEKEEENAAATSSVLCFGIGR >KGN54656 pep chromosome:ASM407v2:4:15690345:15692288:-1 gene:Csa_4G417500 transcript:KGN54656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSILETIGVEIIGVMSPVSICMLLVVLLVYSLSSADPLASAPIRTAANLVYLETPSDSASQKLEGALLNALVFVVLIALVTFLLLLLYYYNFTNFLKNYMRFSAFFVLGSMGGSIFLSIIQHFSIPVDSITCLILLFNFTVVGVLAVFSGGIPIIMRQSYMVFLGIIVAAWFTKLPEWTTWSLLVALALYDLVAVLAPGGPLKLLVELASSRDEELPALVYEARPTVSRGPENRGGLGLLVAGVSDSGSIELQAHSDNNFNRNGDENLHNSDRRAAGNHNFRGEEVERNVDEGERSPLVSYSRERNSSDSGSSGYSTGVLTPEMRRHYGNRETEIHIDGELSPLVQLPSFQTQIEMERVAQTEVTSRGIRLGLGDFVFYSVLVGRAAMYDLMTVYACYLAIISGLGCTLILLSVCHRALPALPISIALGVMFYFLTRLVMEPFVVGTATNLMMF >KGN54974 pep chromosome:ASM407v2:4:19688863:19691261:-1 gene:Csa_4G617410 transcript:KGN54974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYALKKPKVILVPYPAQGHVTPMLMLAAVFHRRGFLPIFLTPSYIHCHISSQVSSSDGIIFVSMSDGLDDNMPRDFFTIEAAIETTMPVCLRQVLSEHNSKESSGGTGVVCMVVDLLASSAIEVGNEFGVTVVGFWPAMFATYKLMSTIPEMIQNNFISSDTGCPEEGSKRCVPSQPLLSAEELPWLVGTSSAIKGRFKFWKRTMARARSVHCLLVNSFPEELLPLQKLITKSSAASVFLVGPLSRHSNPAKTPTFWEEDDGCVKWLEKQRPNSVIYISFGSWVSPINESKVRSLAMTLLGLKNPFIWVLKNNWRDGLPIGFQQKIQSYGRLVSWAPQIEILKHRAVGCYLTHCGWNSIMEAIQYGKRLLCFPVAGDQFLNCGYVVKVWRIGVRLNGFGEKEVEEGMRKVMEDGEMKGRFMKLHERIMGEEANCRVNSNFTTFINEINLTNI >KGN54127 pep chromosome:ASM407v2:4:11142573:11143143:1 gene:Csa_4G287000 transcript:KGN54127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSAASKINLQCNVSSIVCSHQPPAAAINSSDVPSSTLVELPPPESYKRDQYNWLSDIEFARLTLAGLNPYSIQLVKSLPFMSKLDEGDYGPRESKFTPERVQELLGCCITVGKVN >KGN53349 pep chromosome:ASM407v2:4:3897843:3900840:-1 gene:Csa_4G049080 transcript:KGN53349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQEQLDRFKKQQERCQSTLSGIAASKSVSKSTPKFTPAPAASIARPPAPAVKFSNDTERLQHINSIRKSPVGAQIKRVIDLLLETRQAFTPEQINEACYVDINSNKAVYDSLRNNPKVHYDGRRFSYKSKHDLKDKNQLLYLVRKFPEGIAVIDLKDAYPSVMEDLQALKASGQVWLLSNFDSQEDIAYPNDPRIQIKVDDDLKQLFREIELPRDMLDVEKDLQKNGMKPATNTAKRRANAQHVASSKPKPKKKKQEISKRTKLTNAHLPELFKN >KGN54798 pep chromosome:ASM407v2:4:17487961:17491527:1 gene:Csa_4G500330 transcript:KGN54798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIMANPMAAHHQKFTSFSVLPSSLSDFNGARLHAQVQYKRKVMQPKGGLHVTASAKKNILIMGGTRFIGIFLSRLLVKEGHQVTLFTRGKAPVTQQLPGESEADYADFKSKILHLKGDRKDFDFVKSSLSAAGFDVVYDINGREADEVEPIIDALPKLEQFIYCSSAGVYLKSDLLPHFEVDAVDPKSRHKGKLETESLLASKDVNWTSIRPVYIYGPLNYNPVEEWFFHRLKAGRPIPIPNSGIQITQLGHVKDLANAFVQVLGNDKASQQVFNISGEKYVSFDGLAKACAKAGGFPEPEIVHYNPKEFDFGKKKPFPFRDQHFFASIEKAKSVLGWKPEFDLVEGLADSYNLDFGRGTFRKEADFSTDDIILGKSLVLQA >KGN54150 pep chromosome:ASM407v2:4:11250793:11252723:-1 gene:Csa_4G290170 transcript:KGN54150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLCFPFPSLLLLLLLRPFFTVAQTTSPNITLGKSLTAHSGDSFWSSASGDFAFGFRQAVGGDYLLAIWFNKIDEKTVVWSANRDKLAPGGSTVLLKTSGQLVLNDPAGKQIWSSTFTATNQSVSFAVLLDNGNFILAANDSEIVWQSFDDPTDTILPSQILKKGNKLVASYSETNYSSGRFEFYMQTDGNLVLYTRNFPSDAISNHYWSTDTVNVGFQVVFNLSGSIVLIAENKTILDTLSSNNPTAQTFYQRAILDHDGVFRHYIYPRGGTGRNSSWPKAWSLSKSIPSNICLAISQGSDSGACGFNSYCKLGDDQKPFCTCPEGYVLFDPNDVTQSCKPNFVPQSCAFPEIDDFDFVSMDNTDWPQADYGHYLPVDEDWCRNECLNDCLCSAAIFRDGNCWKKKFPLSFGRMDYSVGGKALIKVRRGNSTLQSQNLDRNCKNKTKIIIGSVLLGISLFLNILLFLLTLLIGYRFSKRKLLKFNGGDPFILGVNLRAFSYEELNKATKGFKEQLGSGAFATVYKGTTLGSVDDNNLVAVKKLENIVKEGSGENEFKAELVFVTKENTGCWFTSSWKTGLLQILFLSLQNQLGIQEFNLF >KGN54785 pep chromosome:ASM407v2:4:17293149:17303290:1 gene:Csa_4G496260 transcript:KGN54785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDFEGLLATNYGFKPQGKAAPMAASKGTSNINPTSSPNFDLGSRPSFRSSKTSNSLSGSLADDRDSLNRSMSAHDNREFDGLDDLLGGSGRFSRKSEARAGDSDVNFDSLFNGVGNSSQPPASNLPVYDKPVYDDDIFDGIPGLRNSSKVQYDDVFSSMSSPPKAESAFDDLLGGFGKSDSVPKSKGGKGTQSKDREIPAFDDLIPGFRGGSPPGDRSNSSWSSEPTSVKSTTSSKAMENPFGVSREHNDLHEEASDIGNFKSPKFDGYPSSDANNKAFDDMDPFASLGKSVPAFSSEGNNRAKARSPPRVDGTAAGPQNSNSKDAMEKPSTKTSVQPLKKDVPAKNDRHFDQPVFDIPTVSTNSHKFVPQSTSPPASDDANVMGETSRFEDSVEPDEIWLTVSEIPLFTQPTVAPPPSRPPPPIPQQVPKEGMGPYGLRSSKMNANDFSSFPSSTHHFQIPKSTSPSMRDQVSSVDELEQFAMGRNPSNADEQVNSLSNEEAEMNSAAAAMKEAMDRAEAKFKHAKEVRERESTRTSKIKEAVYWDRDEKATRSDRVEDEEAIDRERFQREREREEKEKEKRKAERDKERARELEREREEKEKELRRLEKERERARELEMERIKVRQAVERATREARERAAIEARLKAERAAVEKVNAEARERAERAAVQRAQSEARERAAAEARERAERAATEARERAEKAAAEAKEREARERASVARAESEARSRAERAAVERAAAEARERAAVDARERAAAAARASQQKNENDLESFFSMGRPSSVPKHRANPMDNFDAQSPNRPETTKPSPTPPTNMRKASSATNIVDDLSSIFGGPPSSGEFQEVDGETEERRRARLERHQRVQTRAAKALAEKNERDLQMQREQAERHRIAETLDAEIKRWAAGKEGNLRALLSTLQYVLWPECGWQPVSLTEMVIPNAVKKVYRKATLCIHPDKVQQKGATLQQKYVAEKVFDILKEAWNKFNSEELF >KGN53350 pep chromosome:ASM407v2:4:3901797:3904133:-1 gene:Csa_4G049090 transcript:KGN53350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIISEKNRREISKYLFQEGVCYAKKDYNLAKHPEIDVPNLQVIKLMQSFKSKEYVRETFAWMHYYWYLTNDGIEFLRTYLNLPSEIVPATLKKQAKPAGRPFGGPPGDRPRGPPRFEGGERRFGDRDGYRGGPRGPGGEFGGDKGGAPADYRPSFGGPGGRPGFGRGAGSYGGGAAPSSNLP >KGN53907 pep chromosome:ASM407v2:4:9191200:9197772:1 gene:Csa_4G187860 transcript:KGN53907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLTSCSFTFGAANFNFRSSFGSCSTREGMGFLGFKRLRSSCFLCGKRSKRERLLVSNGDFGRFMCFSLDNEGHSEGDREDDLPKESNAATVTVSTDEVEERRGSEVDSEKMTPPSISSRSPNLSPIGPAYNNFQVDSFKLMELLGPEKVDPSDVKLIKDKLFGYSTFWVTKEEAFGDLGEGILFLGNLRGKREEVFSKLQSHLVEVTGDKYNLFMVEEPNSEGPDPRGGPRVSFGLLRKEVSEPGPTTLWQYVIALLLFLLTIGSSVELGIASQINRLPPEVVKYFTDPNAVEPPDMELLFPFVDSALPLAYGVLGVLLFHEVGHFLAAFPKKVKLSIPYFIPNITLGSFGAITQFKSILPDRSTQVDISLAGPFAGAALSFSMFAVGLLLSSNSDASGDLVQVPSMLFQGSLLLGLISRATLGYAAMHASTVAIHPLVIAGWCGLTTTAFNMLPVGCLDGGRAIQGAFGKGALVGFGLATYTLLGLGVLGGPLSLPWGLYVLICQRSPEKPCLNDVTEVGTWRKAAVTLAVFLVVLTLLPVWDELAEELGMGLVTTF >KGN52806 pep chromosome:ASM407v2:4:403861:405555:1 gene:Csa_4G001730 transcript:KGN52806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSQILLSPFSCLPRLPSYPIQSPSKNRFQIHSTFAKFDNFLDLKPESKPQPLKLDLPLFHPYYDRSRFDVVVVGAGPIGLRVAEQLGGFGIKVCCVDPSPLSPWSNNYGVWVDEFEDLGLEDCLHKTWPMASVYLSDEKVKYLDRPYAQVSRKKLKMKLMEECISKGVKFHKAKVWEINHQQFESSVSCNDGTEIKSNLVIDASGFTSKFTKYSESNPRNCAFQIAHGILAEVDHHPFDLNKMVLMDWRDTHLNNEPYLRQDNKKFPTFLYAMPFDSNLIFLEETSLAGRPAMPYTAVKKRMAARLRHLGIKVKRIIEEEKCVIPMGGALPKMPQAVVGVGGVAGMVNPASGYAVVKGMGAAGRIARVAAEGVGGGGGRMIRGRALEGRVWEGVWPMEERNVREFYCFGMEILVRLDLDGIRGFFNAFFDLEPSYWEGLLSSRLSLLELGMLSLSLYQHASFRSKLDILTKSPLPLLQMMANLTLHALG >KGN53581 pep chromosome:ASM407v2:4:5643195:5644820:-1 gene:Csa_4G083560 transcript:KGN53581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMPSSPQEVVLASSPDGPIVAYDASTGTHLASFNSSRSPRRGITRAGKSFIAVSHICPVTASGSIHIYNWWTSSAFQCLTVPEPVAPLTATPDGFYLFAGGLSGYIHILSLPSGDVLNSLPAHKKPVSCLKLSADGSLLISGGDDGTIVVMPIFQLVQAKPRENATEHILHQFLAHTDSVTSIYSGMGISSTQIVSCSLDGRCKLWNLLSGTILHTVVFPCAIFSVVLEPSETEFFAAGSDGLVYKGSLGHNNKRRRGTDYELIPQISNDLVYRRSLRHKNKHLTGTSSELIPWSTKHEAAVVSIVIVNEGKHLISAAEDGSIWVWEVKKGQVIMALENEMGSISDLVMATERSHGKEQCVKTDSHGGAMEISERFRLPIKMLGLSIKQTVEMQGEVGAAGSDVSRAIEMLESAIAVYEKMLELILKEAKASYNQREETQG >KGN55290 pep chromosome:ASM407v2:4:21682394:21682852:1 gene:Csa_4G644670 transcript:KGN55290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYPEGEIVEFHRPEPGFTSAEIQELWSLLEDPARSNSGSQDSFQAISLIDEERRRKRMISNRESARRSRLRKKRHLENLAIQTDRLKMKNQELKRQLNLVVNRCYMVRRQNEGLWSEFVALHARLSDLYRISVPMQEKENSCMQISFNHFS >KGN53576 pep chromosome:ASM407v2:4:5618555:5621922:1 gene:Csa_4G083510 transcript:KGN53576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATHTPKNILITGAAGFIASHVANRLVRNYPGYKIVVLDKLDYCSNLKNLLPSKPSPNFKFVKGDIGSADLVNYLLITESIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTGQIRRFIHVSTDEVYGETDEDAVVGNHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKLIPKFILLAMRGQPLPIHGDGSNVRSYLYCEDVAEAFEVILHKGEVGHVYNIGTKKERRVIDVAKDICRLFSMDADASIKFVENRPFNDQRYFLDDEKLKNLGWSERTTWEEGLKKTIEWYTKNPDWWGDVSGALLPHPRMLMMPGGVERHFEGSEEGKPAAYASSNTKMVVPTSRNPGTPHQSSFKFLIYGRTGWIGGLLGQLCDKQGIAYAYGKGRLEDRASLLADIQNIKPTHVFNAAGVTGRPNVDWCESHKTETIRANVAGTLSLADVCREHGLLMMNFATGCIFEYDAKHPEGSGIGFKEEDKPNFIGSFYSKTKAMVEELLKEYDNVCTLRVRMPISSDLNNPRNFITKISRYSKVVNIPNSMTILDELLPISIEMAKRNLRGIWNFTNPGVVSHNEILEMYKKYIDPEFKWANFTLEEQAKVIVAPRSNNEMDASKLKNEFPEMLGIKESLIKYVFEPNKKTSA >KGN53436 pep chromosome:ASM407v2:4:4573527:4576716:-1 gene:Csa_4G055310 transcript:KGN53436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGLVSVKTPPDAPPLRISVPETPPASNHRPPSPLTKKQPSPSPSRSKPSPNGKKKLQPESPTSAQFPPDSSLDNPDLGPFLLKLARDTIASGDGPNKALDYAIRASKSFERCAVDGEPSLDLAMSLHVLAAIYCSLGRFEEAVPVLEQAIQVPEIGRGPDHALAAFSGHMQLGDTYSMLGQIERSIGCYEEGLKIQIEALGETDPRVGETCRYLSEAHVQAMQFDRAEQLCKKTLEIHHAHSEPASLEEAADRRLMALICEAKGDYESALEHLVLASMAMIANGQDSEVAAIDVSIGNIYMSLCRFDEAVFSYQKALTVFKASKGDNHPLVASVFIRLADLYHRTGKLRESKSYCENAMRIYSKPVPGTSPEEIAGGLTEISAIYESVDEPEEALKLLQKAMKMLEDKPGQQSTIAGIEARMGVMFYMVGRYEDARNAFESAISKLRATGERKSAFFGVVLNQMGLACVQLFKIDEAAELFEEARGILEQECGNCHQDTIGVYSNLAATYDAMGRVDDAIEILESVLKLREEKLGIANPDFEDEKRRLAELLKEAGRTRNRKAKSLENLIDPNSRKTKKEATKKWSGLGFRI >KGN52957 pep chromosome:ASM407v2:4:1262076:1262647:1 gene:Csa_4G007620 transcript:KGN52957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALMKVVVVVVSLALALSYAHAETGTATYYTPPYVPSACYGFEDQGTMIAAASDGLYNNGEACGRMYTVTCTGPTNLGVQQPCTGNSVTVKVVDRCPSPGCQGTIDLSQEAFSMIANPDAGKVNIDFTQ >KGN54345 pep chromosome:ASM407v2:4:12504658:12506319:1 gene:Csa_4G307380 transcript:KGN54345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFHFSNPFPSPIISIFLFFFLFFKSIHSLSSPSFSLSGFHGDPQFELNVALYGDAALVGGGALQLASSSGGRIIYNKPIRLLRGKPRRLMSFSTDFSFSLSPNTGKNGLGFVIVPSSFNVSGFDDGPFGFHFGSEMKQKLNMILVKFTTSSDAENGDLIKTFVGIDVGYKSNKSLADSGNFSNSSWASIRGKNLHAWIDYQVGSRQLEVRLAGNSNNKRPFAPLLSYPVDLSQIWGENEEVLVGLSSSKGNSSQPCLVYSWNFKVKSIPNWMHSEPLDPKTIAVARESEPESVVKEEGRNCLMKVVAATIFGTGCGALTAFVGLYLWTIFGNRRPVVPEEFAVQQMDVKYKKVVVLDKAIEDDNGKKNVDV >KGN54280 pep chromosome:ASM407v2:4:12120592:12125474:1 gene:Csa_4G296820 transcript:KGN54280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYQISSFPLNTGANIPSLGLGTWQATEGLLTNAISAALKIGYRHIDCSPVYGNEKEIGSVLKKLFEEGVVKREDLWITSKLWCTDHAPEDVPKALDRTLKILQLDYLDLYLIHWPVRMKHGSTDFFDPENLVTPDIPSTWRAMEALFDSGKARAIGVSNFTMKKLGDLLEVARVPPSVNQVECHPSWQQDKLREYCKSKGVHLSGYSPLGSFGTTFMFKGGDVLENPILKEIGDKVGKTPAQVALRWGLQMGHSVLPKSTSESRIKENFDIFDWSIPDDLFAKFSEFHQRKGQSFITKILELEK >KGN54259 pep chromosome:ASM407v2:4:11982571:11986686:-1 gene:Csa_4G296130 transcript:KGN54259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMLTRVPLFYSASKSTSSPPLPFHQCHKCSFVTNSNLIPSSSLQILPSSISTTSHKGFRRRRFPALSVVAMADSAPPTVLVTGAGGRTGQIVYKKLKERSDHYAARGLVRTEESKQSIGGADDLFVGDIRDADSLGPAIQGIDALIILTSAVPKMKPGFDPTKGGRPEFYFEDGAYPEQVDWIGQKNQIDAAKAAGVKQIVLVGSMGGTNINHPLNSLGNGNILVWKRKAEQYLADSGIPYTIIRAGGLQDKDGGIRELLVGKDDELLQTETRTIARADVAEVCIQALQFEEAKFKALDLASKPEGVGTPTKDFKALFSQVTTRF >KGN53542 pep chromosome:ASM407v2:4:5361839:5363184:-1 gene:Csa_4G075230 transcript:KGN53542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNKLGRRRQIVDEKYTRPQGLYNHKEVDHKKLRKLILESKLAPCYPGDEESASDLEECPICFLYYPSLNRSRCCMKSICTECFLQMKVPNSTRPTQCPYCKTSNYAVEYRGVKSKEEKSLEQIEEQRVIEAKIRIRQQELQDDEERMQKRHELSTSNADTTVENGEDSPAAVPSSQSPAEDEEIVSLQDPCMTQIRPPPPPIPIRSNRCFSLYSDYVSLN >KGN53100 pep chromosome:ASM407v2:4:2125692:2128971:1 gene:Csa_4G016390 transcript:KGN53100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSFEMNDRKKIGLGLTGFGVFFSFLGIVFFFDKGLLAMGNILFFSGVTLTIGLKSTMQFFMKRQNFKGTISFGLGFFFVIIGWPIFGMILEAYGFVVLFSGFWPTLAVFLQKIPVLGWLFQQPYVRSFFDKYRGRRVPV >KGN53352 pep chromosome:ASM407v2:4:3914970:3915720:1 gene:Csa_4G049110 transcript:KGN53352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVKVVLKVDVQDGKAKQKAMKLVSTLPGINSIMMDMNERKMTVIGEVDPVEVIEKLRKSWFAEILTVGPPEEIKKEPPPSPTKECIPYPYYYPYYYPNYIILEENPNPCVMC >KGN52965 pep chromosome:ASM407v2:4:1310294:1315262:1 gene:Csa_4G007700 transcript:KGN52965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASISATGSLLKFPTLFSRKKTLNTSCSGPHFVNFPHDSHPSSSSGGDTTAGCLKVVFDRRAVVFSSFGLLAGALLKVADDGVAVASEFADMPALRGKDYGKTKMKYSDYTETESGLQYKDLRKGEGPSPKVGDTVVVDWDGYTIGYYGRIFEARNKTKGGSFEGDDKAFFKFRLGSQEVIQAFEEAVVGMTLGGVRRIIVPPELGYPDNDYNKKGPRPTTFSGQRALAFVLRNQGLIDKTLLFDIELLKIIPNSSV >KGN54772 pep chromosome:ASM407v2:4:17100000:17100201:-1 gene:Csa_4G488690 transcript:KGN54772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKIALMVEREFGGKQIHASEDDGLVNHAMVRDLEADAARLEQFGSELEAD >KGN55323 pep chromosome:ASM407v2:4:21888451:21891901:1 gene:Csa_4G645970 transcript:KGN55323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNETRSHLLQLPNQLDPYGLMLSESIERFFDEYRKGVTDFSSFIPIFSRLLRNLPDPPVAVVWFYSALTFHTAKSSARGSSEKLQAVKDLFQLLVSCTESSCASKRIAVLAPVVYCLFDLVVEKKTSKEEAENLIDGVVSYISICCGQESEEVGCSLGFGPYFLDLARVWMVDKPGEDLKGFLPLVSHEICQGISINGGVGYFAGIVMFEAFLLRLCLKFSSRMSMVELQNELHSRAVQMIAGFRSCHFYDIFLRMLLQSVLPTTYLLGSADEILLREVLYDAAIIPEYPFLKLQFGTERPAADLRTICLNWLFVADNGIRSFRDTGNLSKAMSYINAFRNSCWPSQLINWIRNQPGFSERMSQPNICTPTALIEWLLVLEDQGVRVFDHSNSKLRARETICKSEAEFVQPAKVSDGMNLDVKFFNNASNVMDEDPSVGDFDMVDSMATAAVQATSITLNGNGIENGRKRKECMTDKEDMRVKFLRQHLHDNPLREKSLPLV >KGN54562 pep chromosome:ASM407v2:4:14756250:14757164:1 gene:Csa_4G363440 transcript:KGN54562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYRMVSWWKGLKFENACKKYTTKQNDLEDEQCVRDTYLSLWESSKPHKSISIIFSLEVLVFYPKHLFFLGYQMVECSVLAEINTSDFPKGKK >KGN54722 pep chromosome:ASM407v2:4:16399020:16407145:-1 gene:Csa_4G433730 transcript:KGN54722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGNPVAVPANSSPHLRKSGSRPVVSDLEYLSGTETGNGVDETLLHSMEVDDLKSTILTMNPVAIVPSPILLWRFKVFLFIFWGLFCCKIGWDSVMRMSADLRDLFLYEAFLYYNPLLLVTMMVWLWGINLWVFSQSNVNYAKIFELDQNHLTHREIWKCATWMTIVVPTSMTAYLYLYSHGEVSLAASQPVLLYVAVAMILIFPFEIFFLSSRFFLLRTLWRIVFPLQAITFADFFLADILTSMSKVFSDLERSVCRMIHRQVATIAWFEADSVCGSHSVAIPVVLVLPYLFRLFQCLRQYKDTGEKPTLLNALKYSTAVPVIFLSALKYHVFPDKWTSFYRPLWLLTFTRIFKFNRPHFFSHLFYGQKWVYVWVLGSNLILRCTWTYKLSAHLRHNYLTVFTITALEIFRRFQWIFFRVENEWNKMNSKSNIQITMSNLPTEEDKLLNSSNHNV >KGN53174 pep chromosome:ASM407v2:4:2671237:2677586:1 gene:Csa_4G025070 transcript:KGN53174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDQPELLILYATQTGNAQDAAERLGREAEHRGCVVRLLSVDEYDASHLPHEDGIIFVVSTTGQGETPDSMRVFWKFLLQRSLDQYWLKGVPYAVFGLGDSSYQKYNFVAKKLDKRLSDLGAAAILGRGLGDDQHHSGYEAALDPWMLSLWSSLNDINPMFFLKGTDFVFSIDTIIDQPSVQVAYYNVGKLDSQLTSDLKYMEIIERARLMSPGKFSHGKKKPDCFLKMIKNQRLSKVGSGKDVRHFEFEFVSSVIEYEVGDVLEVLPSQSSAAVNAFIQRCNLDPESFITVSPRNRRKQDPILAAEMGPVKLKTFIELTMDIASASPRRYFFEVMSYYATAPHEKERLQYFASPEGRDDLYQYNQKERRSVLEVLEDFPSVKMPFDWLVQLVPPLKTRSFSISSSALAHPNQVHLTVNVVSWTTPYKRKRSGLCSSWLAGLDPEQSVHVPVWFHKGSLPAPSPSLPLILVGPGTGCAPFRGFVEERSIENTSMATAPVLFFFGCRNEDNDFLYRDFWLSHSKNHGVLSEEKGGGFYVAFSRDQQRKVYVQHKMLEQSEKIWNLLREGAAVYVAGSSTKMPADVWSTFEEIVSKETQLPRESAVRWLRALEKAGKYHVEAWS >KGN54814 pep chromosome:ASM407v2:4:17715139:17719035:1 gene:Csa_4G507440 transcript:KGN54814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGPDDIRNLPIDITFSRLGEWLIDRKRIPSDWRKRLAALKVRITKELLSLPKDADPYFHTLDPEDIGYLEAKKIYNILLESTPESRNIFGRLSGAAGAWEVIVRAYEKDHIFLGEAAQIIVQNVNYEIPYQKKQVQKIHQQLAELERKESDTKRNASLSAAKYVEACQDLGLQGKNVRAELLETARSLPSTFSRILEVINGDSVSRAIEYYSNFVRDAHSDKDKHLTVLPNLRHIHEHPPSLHVSVGFENVDSVNVQSSYIEPDAIGQTGIAADNIDWDISMENAQIDWDIGALEETEDTGNGLGPYEIVHASEAVESYQMESNKEGCTTLPETTVSEISWDVSVETPQVDIIDDVTLPDIEVDHNTFIPSTQSGEITEVRSQLLETEYRNKILDDLYEVKAFLTQRLNELKNDETLSLQHQVQAVAPFVLQQYTSDAIETMLSDVSLAISLMSSRKTQDLIMILNSKRFLERLVSTLEEKKQREVKLKEGLKELAAKRMELQNSLASFWPKQVSSLPFNLFMYFSSVQFFFLFYSKF >KGN52993 pep chromosome:ASM407v2:4:1525546:1535222:-1 gene:Csa_4G010920 transcript:KGN52993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHSSIGTGSLAYPVGSKVMDMRTSSKDGPREAENESREVSIHNTHTVEDSFCRNNNCIDKSYTCEKESSNDSAELASVRESTDSAADGSTCCVPISGPCNCYSLKPESEAEGSAIVTENDYDFPPLPVINLFDKDNPDKNKYYLHNAKLSTQRELRFEDGSMHSFQINNNEDLVIESTASSSNNVSHPISSEIEMIHADSSDPEFQNNSSCNNVKPNAPETEHLNATVHRNSGTTEGRVSEWLWTLHRIVVDVVRTDSHLEFYEDTRNLARMSDILAVYAWVDPATGYCQGMSDLLSPFVVLFEDNADAFWCFEMLLRRMRENFQMEGPIRVMKQLEALWKILELTDREIFTHLSHIGAESLHFAFPMLLVLFRRELSFNEALCMWEMMWAADFDESRAYNLESSCLEALTLQLPRGSEVEISEGDMNNSNINTKDTLQSNNGNLERSSCDNAGMRSTSAHAFCGLTRNLCSRNDPTKKCTAISSTKQGDDELPVYCVAAILITNRQKIIRETRSIDDLIKIFNDKMLKISVKRCIRTAIKLRKKYISKVIKKRKPPISL >KGN52732 pep chromosome:ASM407v2:4:20584:21415:-1 gene:Csa_4G000530 transcript:KGN52732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKSRGRQKVEMVKMPNESNLQVTFSKRRSGLFKKASELCTLCGAEIAIIVFSPGKKVFSFGHPCVEALIERFVTRNPPPSSGTLQLIEAHRNANVRELNAQLTQVLNQLEMERKRGEELNKLRKASQAQCWWELPIEEMEMHQLEQLKASLDELKKNVTQQADRILIQTSSNANPPTQLIFPTQIPTQTSTTTNGPNQQPGLFVVDPKNIISHLPFNYGSYGSRGFF >KGN54615 pep chromosome:ASM407v2:4:15163545:15167119:-1 gene:Csa_4G378260 transcript:KGN54615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLRNLLWATSKHDVYLMQNYSVLHWSSLLRRSKEVLNVAKPVVPTLKLPGLMSQSLSRVQISTMAVKENLVVAGGFQGELICKYLNHPEVAFCTKITANENAITNAVDIYRDSVSGIRIMTANNDCKIRIYDAECFSSLGCFSFDWSVNNTSVSPDGKMLAVLGDSPDCLITDANSGRAIGCLQGHLDYSFSSAWHPNGHILATGNQDTTCRLWDVRKMSESMGVLKGRMGAIRALKFTSDGRFLAMAEPADFVHIFDTQSGYVEGQEIDMFGEIAGISFSPDAESLFVGVSDRTYGSLLEFNRRRENRYLDSII >KGN54774 pep chromosome:ASM407v2:4:17120766:17121005:1 gene:Csa_4G492190 transcript:KGN54774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHLVCEVRRKPAEENLVLACIQLHIHNRINTDYPLDLRYFGQSSPTYSNAIVSKVKSPHFISFEVPFKFGSFKLLWQK >KGN53033 pep chromosome:ASM407v2:4:1795007:1796728:-1 gene:Csa_4G011810 transcript:KGN53033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDSMISRYSSNKYPAKLGKDPDRDSCFFEALGRIAKIAIKLSEFPTSTASIPSLNRTTTAVQRAMSLLDEEFSTLLKECKYRELDSKSDKKASKQSSFKAINESTDQQNSTVSESSEPDSAREEMFPSFSHDTVSYMKRIAGTMITAGYEKECCMSYSFLRQSSFKGILNQLGYENISIDEIQKMQWETLQTEIDKWIAVVKKCSKSLFPGEWRLCDSVFTDHPFISHTLFSNLTRAVVIKLLNFANAVVLTKRSAEKMFKLLDMYETIRDLVPTINGFPENCRTELITEAEGTKNGIGEAIVGIFYDLENSIKSDNAKIPVPGGAVHPLTRYIMNYLKYACEYKETLEQVFQFLDPKVEEDRPSRMDENDDASPRKSQLAIQIAMVMELLDANLTMRSKLYRDASLRYIFLMNNGRYIVQKIKGSCGITELMGDRWCRKRSTNLRQYHKNYQRETWSKVLQCLNHEGLLVNGKVSKPILKERFKSFNAMFDEIHKTQSSWVVSDEQLQSELRISVSAVMIPAYRSFVGRFKQHFDAGRQSEKYIKYQPEDIEGLIDDLFDGNTASMGRRRM >KGN54354 pep chromosome:ASM407v2:4:12583981:12586364:1 gene:Csa_4G307960 transcript:KGN54354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPVTEALPPLPPQPHRPSTSCDRHPEERFTGFCPSCLCERLAVLEPSTSSSSSTSSRKPPINSSAAAAALKAIFRPSATGAGPGGLSGRNKPSSFLPELRRTKSFSASKNEGFSGVFEPQRKSCDVRVRNTLWTLFTQDDERNPLKNEAPRVSNAAAGLHIEDERDPRILAAASSLVRGPVLEPDDEGEDDDETEPEIEIFEESNAGNVVEDRVHEILEEEEEEEEEEEAEEEENEEPIRPEIEAVQDDFKSIKDHMDLDSQPKKPSGRDFKEIAGSFWSAASVFSKKWQKWRDKQKLKKRRNGDISATLPVEKPIGRQFRETQSEIADYGYGRRSCDTDPRFSLDAGRMSFDDPRYSFDEPRASWDGYLVSRTFTRMPTMLSVVEDAPIHVSRTDTQIPVEEPANSCNDDETVPGGSEQTRDYYSDSSSRRRRSLDRSSSLRKTAAAVVADMDDIKSSSNAKVSPATADFFSGPKLVVPDNKLQRDSNSNSLRDDCSETFEIPFRNGDRKETKKSRRWGKGWNIWAFIYRRTGNKYEDEDRYSSRTNGVTRSLSESWPEFRGERNGEVRGIGGMGFNPKMMRSNSSVSWRSSQSNSGGSFGSMRRSNVETSGGFLRKKKEDFVLERNQSARFSPNEVVDNGLLRFYLAPMKGSRRGGIMKNRPSPAQSIARSVLRLY >KGN54901 pep chromosome:ASM407v2:4:18982204:18985744:1 gene:Csa_4G580370 transcript:KGN54901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSATLTMSSSVILRNSSLKLLVIRKIQPPLCFKRNKFSKISALYPNGSASGGDSSAADVHRRRSSFESLFCYDKAIPEERIETPIGISLAEKMIGNNPRCTDCQAKGAVLCATCSGSGLYVDSILESQGIIVKVRCLGCGGTGNIMCSECGGRGHLGSK >KGN53179 pep chromosome:ASM407v2:4:2687806:2688457:-1 gene:Csa_4G025095 transcript:KGN53179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLNGESSGTFPTGKTAQEKGLSYVPNAYVIPAPHRPSMSPEIAIVPIIDMASLRSSDSVQRSLATEELRKACISLGFFQIINHGITETVMEEALSQANEFFNLPLKEKMKYKSDDVCKAVRYGTSLKDGVDKIKFWRVFLKHYAHPLEDWIDSWPTNPRNYR >KGN54984 pep chromosome:ASM407v2:4:19785731:19788139:1 gene:Csa_4G618490 transcript:KGN54984 gene_biotype:protein_coding transcript_biotype:protein_coding description:ZIP transporter MPMPMPHFNPPSLLSLFFLLFLLLIPSVLAAPDCQCPEDSEDDGKRDETLALKYKVVAIATILVAGIIGVVIPLLGKLIPALSPEKDIFFIIKAFAAGVILATGFIHVLPDAYGNLTSSKLNEHPWGKFPFTGLVAMVAAIGTLMVDAGASSYYTRIHLNKAQPELNGDDEMRGGGCGAHDGHVHVHTHGTHGHAHGSADVGGSSTEILRHRVISQVLELGIVVHSVIIGIGLGVSESPETIRPLVAAITFHQLFEGMGLGGCIAQAKFKNRATILMGLFFCLTTPIGIAIGIAVTKTYDEDSPKALIVEGILNAASSGILIYMALVDLLAADFMNPRMQSNGKLQLLANVSLILGAALMSLLAIWA >KGN55557 pep chromosome:ASM407v2:4:23233804:23244967:1 gene:Csa_4G665130 transcript:KGN55557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEIALEKEHGGESESSCLTARQLQEAVDAHPDDPSSHFKLGIFMWENGASHDKAAAADHFLKSAKLDPGNAAAFKYLGDYYATSSVDIQRALKCYQRAVSLDVDDFHSGEALCDLLHHEGKESIEVAVCKEASSKSPKAFWAFRRLGYLQVYQNKWTEAVSSLQHAIRGYPHCADLWEALGLAYQRLGRFTAAIKSYARAIEIEGDRILAWIESGNIFLMLGLFKKGVEHFQQALEISPKSITAQFGLSSGLLGWAKEYINRGAFKWASFLLEEASKVARGSTHLAGNSSCIWKLLGDIQHTYAKCYPWMEDNWGQCSESFRTSILSWKQTRMLALFSAKSSYQQALHLAPWEANIYTDIAITLDNISSFNDNSGPGFNSWQISEKMTLGALMLEGDNHEFWVAMGCISNHAALKQHAFIRALQLDGSLAGAWAYLGKLYWNRCEKQLARQAFDYARSIDPSLALPWAGMSADLNVRESTSDEAFESCLRAAQILPVAEFQIGLAKLSLQAGHLSSPQVFGAIRQAVQLAPCYPESYNLNGLAFEAQLDYQSAVAAYRLAHLTISHFSDRVPRSHVRDISINLARSLCMVGNFFEALQECENLSTEGMLDIEGLQVYAFSLWKLGKNDQALSAVRTLASGISTMESTRTAASIDFICRLLCSISGLDSAINSITKMPTNFFQSSKLSFVVAAVHALDQGDRLEAIVLSSRSCLQSHEEITRMHSLIALSKLIKYRTNNCLGFLNGVMHLRKALHAYPSSSSIRNLLGYLLLSNEERDDNHTATRCCNMLYGLDQQNKGLKSAYEIHGAGAVACYTIGTSHPRFSFPTCSYQCRNGIGTIRQLQKCLRQEPWNYDARYLLILNILQKAREERFPCHLCVTIGRLILVAFFDEAYFTKDVSHQYKKFQLLLCASEISLQGGDQIKCINYAKAASSMSLPEIYLFYAHLLLCRAYAAENDSNNLRKEFMKCLNLKTDNYLGCVCLKFIASRYELHDESNILELSLKKWSAESKNLQHMVIPMFVDGLISFRSQDFMAAEKYFAQACFSGHDGCLFLCHGVTCMELAKKLCSPHFLRLAVNSLLKAQVISVPIPIVSIMLAQAEGSLGLKENWESGLRLEWFSWPPDTRSAEILFQMHLLAKQSKVDSDQLRVELCQSPLRWVLRAIHVNPSCVRYWNVLQSLWNEG >KGN52865 pep chromosome:ASM407v2:4:723991:728031:1 gene:Csa_4G004270 transcript:KGN52865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRKFGFEGFGINRQTTYNFERSQAPQRLYVPPSSRGHGHDNYEDTDVDNIEYDDNDGEEASGNADGGAGASGAEEEEIDPLDAFMEGIHEEMKAPPPPKPKEKVDKYRDDDEEDPMESFLRAKKDVGLTLAADALHAGYDSDEEVYAAAKAVDAGMVEYDSDDNMLIVEKKKIEPIPSLDHSSIDYEPFNKDFYEEKASISGMSEEEVSEYRKSLAIRVSGFDVPRPVKTFEDCGFSPQLMNAIKKQGYEKPTSIQCQAMPIVLSGGDIIGIAKTGSGKTAAFVLPMIVHIMDQPELEKEEGPIGVICAPTRELAHQIYLECKKFSKAHGLRVSAVYGGMSKFDQLKELKAGCEIVVATPGRLIDMIKLKALTMSKATYLVLDEADRMFDLGFEPQIRSIVGQIRPDRQTLLFSATMPRKVEKLAREILTDPVRVTVGEVGMANEDITQVVHVLPSDLEKLPWLLEKLPEMIDDGDVLVFASKKATVDEVESQLLQKSFKVAALHGDKDQASRMETLQKFKSGVYHVLIATDVAARGLDIKSIKSVVNFDIAKDMDMHVHRIGRTGRAGDKDGRAHTLITQKEARFAGELVNSLIAAGQNVSVELMDLAMKDGRFRSKRDARKKGGGGGGGGKKGKSRGSNGRGVRGVDFGLGIGYNPEGTGSTPSTTNVQSRSAAVNSLRTGMMAQFKSNFVAASSAPPNQGSSNSYNVPANKRPTLSGFVSGGSIGGGANSPAPPVSRGNSYMPNPVEYSSQKNTESSSDRPRERKRPSGWDR >KGN53225 pep chromosome:ASM407v2:4:2987826:2990043:-1 gene:Csa_4G028980 transcript:KGN53225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKRWFRHGYNKEWKQRFGVLEARPMAKQHAEEAMEAHGEIKSNLDEFNNRKEFKIYRWNPQYPNHKPFLHSFFLDLSKCGPMVLDALQKIKAEKDSSLSYRRSCREGICGSCGMNIDGANTVACLKPIDADTSKPTIITPLPHMFVIKDLVVDLTNFYQQYKSIEPWLKTRRSAEGGREFRQSPAERKKLDGLYECILCACCSTSCPPYWWNPEEFLGPAALLHAYRWISDSRDEFKKERLQAIAEDDTKLYRCRTVKNCTANCPKSLDPSSAIHHMKAMHLISRSNKVPNN >KGN52980 pep chromosome:ASM407v2:4:1406962:1407399:1 gene:Csa_4G008830 transcript:KGN52980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQNREEELKTFKSLGTCEQLVEACWSSDWKPHGAEGKVSRCTLALIYEKAQTLKHSHYFDVCVF >KGN54477 pep chromosome:ASM407v2:4:13749073:13756130:-1 gene:Csa_4G337340 transcript:KGN54477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENFLSAIVSEVQAGGNSLLVTIKIAVLPIAKVFTMCFLGFLMASKYVNILPASGRKLLNGLVFSLLLPCLIFSQLGQAITLEKMLKWWFIPANVVLASISGSLIGLIVASIVRPPYPFFKFTIVQIGIGNIGNVPLVLIAALCRDDMNPFGDEEKCSTDGIAYISYGQWVGAIILYTYVYAMLAPPPEGTFDIKDQNISVKNLLKDNTPAHVPLLIQEVPSTYPDAPKKEETKGFLIYWFDKLKLKQMFQPPIVASVLAMLLGATPFLRRLIFTPDAPLFFFTDSCIMLGEAMIPCILLALGGNLVEGPGSSKLGLRTTAAIIFARLVLVPSTGLWHSHVS >KGN55168 pep chromosome:ASM407v2:4:21024950:21025831:-1 gene:Csa_4G639070 transcript:KGN55168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVAVPSPSRDYHFHGGRSRVSTIPTTNVFGNYFYSAPSSPMRMSEFDRGFNESQSSRKDEPEMEDEDFAFDICQQLEATTLSADELFDDGKIRPLETPPQSPISQQNKIFQNTYSTERRKGPTETINEKTERKKEQKRGRGRNPALSSSASRRAVRSLSPNRVSSSPWDEKQLRLTPGSPATGTNSNTTSSKGSRRWRLRDLFRSASEGRGTGKDPLRKYSTVHKKPEEVKHMSIKFNHSRNGPVSVYEPHFSLNKAASEDKKKTFLPYKGILGGLLFNPAAHMHTNFRSNR >KGN54191 pep chromosome:ASM407v2:4:11534485:11537212:-1 gene:Csa_4G293010 transcript:KGN54191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKRKRRRSELNSEDEAQLPFKNKLKPDSFILQILKELSDASTASSSSSSKTLDDLGLSSSCREVTDLSLSSVQATIESVILKIARSILSGNGFAFDVPSRSGANQLYVPELDRIVLKDKTALRPYANISTVRKSTITTRILQLVHQLCIKNIHVTKRDLFYTDVKLFQDQTQSDSVLDDVSCILGCTRSSLNVIAAEKGVVVGRLIFSDNGDMIDCTKMGMGGKAIPPNIDRVGDMQSDALFILLVEKDAAYIRLAEDRFYNRFPCIIVTAKGQPDVATRLFLRKMKMELKLPVLALVDSDPYGLKILSVYGCGSKNMSYDSANLTTPDIKWLGIRPSDLDKYKIPEQCRLPMTEQDIKTGKDLLEEDFVKKNPGWVEELSLMVKTKQKAEIQALSSFGFQYLSEVYLPLKLQQKDWL >KGN52841 pep chromosome:ASM407v2:4:607847:608471:1 gene:Csa_4G002580 transcript:KGN52841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNNTRFFHVRKAWKIILRQWKLHSHRRAFPVDFRPLRRFIKRILHPTPTPLPPHYAERQFSFNDTPIFHLKFHSRRAPSASAALFLIPRFSCINPKVEFERYEDCEWERRNYEEEEEEEEEEEEEDGIDLKAEKFIKMFYEEMKMQSQVSYLRFDEFSDGEE >KGN55501 pep chromosome:ASM407v2:4:22997058:23000828:1 gene:Csa_4G658610 transcript:KGN55501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDGMLSPATMLDAPAETAMDLDYMDGLFLDGCWLETADGTEFLHTSPSSFGVNLDALIGWPATEMNGDFNMTQISRSNQEEERKISTDEMSLGRKRIDMGQEGCSGQSENNGFGGSELCRRLWIGPGEHLGTPTSVMERLITAVGYIKDFVRDKDVLVQVWVPINRGGRSVLITNDLPFSQNSSCTRLTKYRDVSVTYEFTADEDSKKALGLPGRVFSRKVPEWTPDVRFFRSDEYPRVNHAHEHDVRGTVALPIFEQGSKNCLGVIEVVMVTQQIKYGSELENVCKALEAVKLRSSDVMGHPNKKVFNRSNEAVLQEIQNTLKSACETHGLPLAQTWASCMQQSRGGCRHSDENYSCCVSTVDRACFVADQRIQEFHEACSEHHLLKGEGIVGMAFKSNEPCFSSDITSFCNTEYPLSHHAKLFGLHAAVAIRLRCIYISKTDFVLEFFLPVNCRNPEDQRVLLTSLSTIIQRSCRSLRLVTDRECSEENMQQSYRSLHLVTDVKLGEQSKFPFGEAGFVANGRSAMQEMSKVQNHQLETSHPTTSSVQNIQQHSGFVSFFQGGKPSEVLSSSGYQHRGFNYDLNGVVEDSEECATVGNGSFPDVGLGKTGEKRRTKVDKTITLQVLRQYFAGSLKDAAKSIGVCPTTLKRICRQHGIKRWPSRKIKKVGHSLQKLQLVIDSVEGASGAFQIGSLYSNFQELASPNLSGSGSGPPFGAKMGDCLKTSNEVGMSNLQGAASKSPSSSCSQSSSSSQCFSSRSNQNLPHWNEAGSEDQMGGGVNPCDGELKRVKSEVEIHVSIMEGSNVPRRSQSCKSLCKHPATECAMHTAKESNGMAEAVEVQRVKVSFGEEKIRFRVHNRWGYEELLNEIAKRFSISDISKFDLKYLDDESEWVLITSDTDLQECFHVYKSSQVQTIKLSLQVSRRHKRNYLASSGFS >KGN52743 pep chromosome:ASM407v2:4:91455:92027:1 gene:Csa_4G000640 transcript:KGN52743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQLGNLVESIKSKVKALKKSKKPYIKMDKSSSVKVEIRSRKARFLIDKTMKVADRPGKRTIS >KGN55265 pep chromosome:ASM407v2:4:21537669:21538768:-1 gene:Csa_4G642460 transcript:KGN55265 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc-finger protein 1 MALEALNSPTTPSPALHYDDPNLNSFESWSKRKRTKRPRGFDNPPTEEEYLALCLIMLARGGATANSDSDHPLDYEVPIPAQPISVVKLSYKCSVCDKAFSSYQALGGHKASHRKSATGEDQSTSSTTTTSATATATATVAGAKSHQCSICHKSFPTGQALGGHKRCHYDGGLSNNNNHTQSHPNNNNNNNNAATAPVTSSEGVGSTHTQSHSHRDFDLNLPALPELSPGFFISAGDDEVQSPLPLKKPRILTIPKIEISQN >KGN54211 pep chromosome:ASM407v2:4:11643190:11644344:1 gene:Csa_4G293210 transcript:KGN54211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATANAPFASAPAEEDYIDMELTSSPSSKSFCYSIGSPPPPPPPPQAARDFEFQFQMTSFSGETEATTSPADDLFYKGKLLPLHLPPRLQMVQKLLHSPNSQNPSRKAESFSENFEIPFISIKAPPPESCNVSPSESCRPSCELNPDEFLLGFRGEIIKDFVNPTTATTKSWSKKVKQLKQSSQAYFKSLFGKSVCSSNNRSSVFNEETENIFENRRNSGKFIKVSKKTPFGRIDYNKWQIPNQTLEKEKAEEFYGGILNINNHRRSFSGAIQRTSSSSSSSTNNNNKTSSSCSTSSSGSSSLSSSFSFSSNGFCDLQSFKRSISSNSEIENSIEGAIAHCKQSQKMIVNSRKNESESQLQTCSLSVSKVLPCGDQKTSQLCRI >KGN54662 pep chromosome:ASM407v2:4:15723045:15723230:-1 gene:Csa_4G418550 transcript:KGN54662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLLCMSEQKFLNDRVGKSVVTVPAYFNLSKDGDERYWSDCCLEILRIINEPTAASLAYG >KGN54113 pep chromosome:ASM407v2:4:11068100:11072250:1 gene:Csa_4G286380 transcript:KGN54113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAASNLQIATLRHCQLPSRKGFKPCGTTLGSCSKVSSWAKLSSCSNISSLPFFQRKFVSSSVKNERTVTKAMAEASDSKPSSGLSIDLKGKRAFIAGVADDNGYGWAIAKSLAAAGAEILVGTWVPALNIFESSLRRGKFDESRVLPDGSLMEITKVYPLDAVFDSPEDVPEDIKSNKRYAGSSNWTVKEVAECVKQDFGSIDILVHSLANGPEVTKPLLETSRNGYLAALSASSYSYISLLKHFVPIINPGGSSLSLTYIASERIIPGYGGGMSSAKAALESDTRVLAFEAGRKHKIRVNTISAGPLRSRAAKAIGFIDMMIDYSSANAPLQKELSAEEVGNAAAFLASPLASAITGAVIYVDNGLNAMGVGVDSPIFKDLDIPTDKH >KGN52782 pep chromosome:ASM407v2:4:267516:269833:1 gene:Csa_4G001010 transcript:KGN52782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASIVVAEAVWKQIESTRLVTDDQLSILHFLFGKNFERATRIVDQRGVKKISGHPSTRSIFQVVGESRRKEEYLCFPENFCACYSFFYDIVNRGEQLCCKHQLAARLAASVGACIEVKVSDEELAILLSNL >KGN54079 pep chromosome:ASM407v2:4:10916761:10919154:-1 gene:Csa_4G280560 transcript:KGN54079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSSAHFLFAALALLSVGFVSSNIDQDRAECADQVVGLATCLPYVGGEAKAPTPDCCSGLKLVLDKSRKCLCVLIKDRDDPSLGLKVNLSLALGLPSACHAPANIKDCVGLLHLSPNSPEAKDFLGSPNSKETNTSTPAHATPVSGSSSQNSEVKNDGTKRNQWLGVEMFIWFITSSFLFTCIW >KGN54090 pep chromosome:ASM407v2:4:10963077:10963419:1 gene:Csa_4G280670 transcript:KGN54090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKASELSSPSNTVECKERPQKPPFRPAKDDTKLLLQDPIRRSDPIETEEAVLRPPPFHFSLPKSKNPSQMG >KGN53297 pep chromosome:ASM407v2:4:3586158:3587123:1 gene:Csa_4G046600 transcript:KGN53297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFFFFDKAEKLSTPIPRCNLLQIFSKFFRFLELSFLLLSLSWIFSRLPIALRISAEYSTKLFAFIATPLFGFLLCNAIIVALVAKPSQFSRRPTSETHRIYEDLIDKTGTGSDLTDSASEEVEEIVYQDKQIIAEGRVGSNYSTDCEIEVKNTDLESESGLGQSKVILRSLSEKLNRECVKTKSEKLRRSETEKCGNLECTNDILCYQDDLSSEEFQRKIEAFIAKEKKFRREESSAIVVLHCDG >KGN54041 pep chromosome:ASM407v2:4:10688392:10695989:1 gene:Csa_4G269720 transcript:KGN54041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNEPDGNGADAVLPPPPPPPPIPPNVVPIQAELEQAPEIVKKKVVRVPIARRGLASKGQKISLLTNHFKVNVTNIEGHFFHYSVALAYEDGRPVDGKGVGRKVIDKVHETYNSELAGKDFAYDGEKSLFTVGPLPRNKLEFTVVLEDITSNRNNGNCSPDGHGSPNNGDRKRMKRPYRSKSFKVEISFAAKIPMQAIASALRGQESENFQEAIRVLDIILRQNASKQGCLLVRQSFFHNDPNSCTDVGGGVLGCRGFHSSFRTTQSGLSLNIDVSTTMIIQPGPVVDFLIANQNVRDPFSLDWTKAKRTLKNLRIKASPSNAEYKITGLSEKPCKEQTFTLKQKGGNDEDCIEITVYDYFVKHRNIELRYSSDLPCINVGKPKRPTFIPVELCSLVSLQRYTKALSTFQRASLVEKSRQKPQERMRVLSDSLRRNKYDAEPMLRSCGIAINSSFIQVEGRVLPAPKLKVGNGEDFFPRNGRWNFNNKKLAQPTKIERWAVVNFSARCDTRGLVRDLIKCGDMKGIAIEAPFDVFEENPQFRRAPPMVRVEKMFEEVQSKLPGQPQFLLCLLPERKNSDLYGPWKKKNLAEFGIVTQCIAPTRVNDQYLTNVLLKINAKLGGLNSLLAVEHSPSIPMVSKVPTIILGMDVSHGSPGQSDIPSIAAVVSSRQWPLISRYRAAVRTQSPKVEMIDSLYKRISDTEDDGIMRELLLDFYTSSGKRKPDQIIIFRDGVSESQFNQVLNVELDQIIQSCKFLDENWNPKFVVIVAQKNHHTKFFQPGSPDNVPPGTIIDNKICHPRNNDFYLCAHAGMIGTTRPTHYHVLLDEVGFSADDLQELVHSLSYVYQRSTTAISVVAPVCYAHLAATQIGQFIKFEETSETASSDGGLTSAGAVPVPQLPRLQEKVCNSMFFC >KGN53295 pep chromosome:ASM407v2:4:3578986:3581018:1 gene:Csa_4G046580 transcript:KGN53295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSSIVAKPFSRLCFPILISSLSAFNSPTTTFFNPCSSQGLCYLAHQLFDELPQTDIPSLNSLLTSYVRGCRQSDAWSLFSRMHRSFSPLTAHTLTAVLAACSALPTSQYGQLVHGLIIKTGAYSGIVTKTAILDMYSKCGLLDDSVKVFEEMEMRDVVAWNSLLSSFLREGLAEEALNVFEEMKREKVEFSEFTLCSVLKACAALEDYRLGKQVHGVVVVMNRDMLVLGTALVDFYSSVGCISEAVKVYTSLNCRKDDIILNSLISGCVRNKRYEEAFSLMSKMRPNAIALTSALHACSENSDLWIGKQIHCVSVRHGLTSNTQLCNILLDMYAKCGKVLNARAVFDGMCHKNVVSWSSMIQTYGSHGDGLKAFELFKIMVEGRTGVLPNSVTFLSVLSACGHSGLVQQGQECFYLAKEKYSSCLGPEHYACFIDVLGRAGKIDEVWSLFHDMEMCGVKITSKIWAAVLNACNHNQDVSRGEFAAKKLLQLDPNKAGNYVLASNFYASIGKWDSVDELRRLMKAKGLRKLEKAQSLLLASSAG >KGN53170 pep chromosome:ASM407v2:4:2614623:2615100:-1 gene:Csa_4G023050 transcript:KGN53170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRSELDQLKEFSRSSFIVFFQVVVLVWDAHAVACHCLAPYDLASNYKGASHGNNLTKIKSLCLEVKNPLDMEVLSLFFWFSQATV >KGN54996 pep chromosome:ASM407v2:4:19838376:19839818:1 gene:Csa_4G620600 transcript:KGN54996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAELVFVPTSGVGHLVSTIKFITQFLNRDPRFTATILVFKYPFTPTATDGTSDPPPSNPAIAPTTSRIRIIHLPPPSDPPSPKILSKSFEHYYSLYIASYRTLVKNAIVDLAVPVVGLVVDLFCTPMIDVGNELGINTYVFFTSCAGFLGSMFHLETRDRCVGVKFDESEADMIIPGYAHPVPVRVLPRYSFNRYGFESMAIHARKFKEAKGIIVNTFAELEPHAFSSLSEDGIPPIYPVGPVVDLESENRPTPNENQSSEIRVWLDNQPPSSVVFLCFGSRGSFSQPQVVEIANGLESSGVRFLWSLRRPPPPHKKFESPSDYADPDDVLPEGFQERVKGKGRVCGWVRQVDVLAHKAIGGFVSHCGWNSVLESIWHAVPLVTWPQYAEQQLNAFMMVRELGLAVELTMDYHREGGSLVTADQIERAVHRLMDGDEAEEVRKRMEEISKKSREALVPGGSSYISFGNLIDDMLASSV >KGN54361 pep chromosome:ASM407v2:4:12639786:12640222:1 gene:Csa_4G308510 transcript:KGN54361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTKLSSGRRVSFSSDQAAAAKSRIRKRPIIVFWVFRLPKSARFSPEKFLRRLGAKMAKVLRYVSLRKRSTSSTNSSSLKNNNGSSKFNRSHSVSDSMEESHRAEAVKDCIQFFNSSNSSSAV >KGN54573 pep chromosome:ASM407v2:4:14821552:14823556:-1 gene:Csa_4G364040 transcript:KGN54573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGFERYGKKRVMVVVDHTSNSKHAMLWALTHVANKGDLVTLLHIVSHSTNRLSEMPSDSSSSSSFLANSLGYLCKASRPEVEVEALVIQGPKLETVLSQVKKLEASVLVVPQKKPSLFGCFCGTNSSEQLVEQCINHADCCTIGVRRQTNGMGGYLINTRWQKNFWLLA >KGN54848 pep chromosome:ASM407v2:4:18172602:18176318:-1 gene:Csa_4G540130 transcript:KGN54848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASESNAAAQSLSSVRLPHSSLATASKPSLSLSLYLSPSRRSGRVPCEDSHRTASSRAPPSPVTVHPSIDAGSRCKSSEFLVFGKDIKFTKSSSALKKKTQLMENSEIPENANENCPGPESESAGKSDACQGCPNQSICATAPKGPDPGSLCLVNLFAFCFL >KGN53889 pep chromosome:ASM407v2:4:9023310:9024420:1 gene:Csa_4G181710 transcript:KGN53889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISSLLKQSSEFKSWFLVFGCFPRVFIILGLFLLLFWLSLKVVQFSWHGRDLMQLLYDFREKSDNIRAGTWLKTNVVEVCNSICGISKRSDWLKKNGFLFCKFNLVANSKWAVDSEDDVRSDEKNEMLEEDVQNEEKENYSEDGEFDVIKLRELVKIERKQKKEALEELEKERMAAATAAEEAMAMIFRLQHEKSAIEIQANQSHRMMGQKQEYCQEVIECLQRIVMEYESEGSLSEQPCFLSTKQKLQPTRSVEDDTSLLQFGMEFILEDDDVVMNNIGMDLKEM >KGN53546 pep chromosome:ASM407v2:4:5394448:5402262:-1 gene:Csa_4G076250 transcript:KGN53546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPKSEFDAHKLAEMFSNLNPLAKEFFPSSYSHHDRQDFHFYYQNNNRSLAKNFQVADQLLHSDNNRRRRPEFNNQGRRRMNNNRSVRAQQEESIRRTVYVSDIDKDVSEEELAKVFREFCGYVNDCRICGDPHSVLRFAFVEFANEHSARAAVGLSGTVVGSYPVKVLPSKTAILPVNPTFLPKSNDEWDMCTRTIYCTNIDKKVSQAEVKSFFETSCGEVTRLRLLGDQLHSTRIAFVEFALAETALQALNCSGMILGAQPIRVSPSKTPVRPRVTRPGSLKAP >KGN53548 pep chromosome:ASM407v2:4:5424869:5425587:-1 gene:Csa_4G081260 transcript:KGN53548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTVKFTENDSHKVQNSNAGIALRGYQVQASTLRAETKLVEANFDVRNEPNRRGEWRVEDGGKEKEEDEEGERRVFKKKNNVKLQIWSQRQAKPTSNQLIDSISLKN >KGN53154 pep chromosome:ASM407v2:4:2489095:2490587:-1 gene:Csa_4G022900 transcript:KGN53154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKESIEMHEELLVMDMNSCEHLSESSRLSLYKACNEWGHFYIKNHGVSKELYQKLRAVTDELLTAVPEETKEGKLKVGASWYTPRFRLSPYIESFKFLGPNFSDYASDLGFTEQVFGQRVTQFRKLLDEYGSIMMELSRRIMKLLLKTMGDNLEDKFYESEFSNCNGYLRINRYAPRNSNEEIEAFGKHTDISCVTIIFQDEIGGIQMKWKQGDEWVDVRPLEDALLVNIGDFLQAWSNERLRSAEHRVVLKQDVKRFSLAFFLIFKDDDRELYAPSEVVGEGNTRIYKPFSTKEYRAYRENNYRKIVGVPLREFAGIDLE >KGN54167 pep chromosome:ASM407v2:4:11343738:11352669:1 gene:Csa_4G290820 transcript:KGN54167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQVLVALALSLVGGFSTSLGALFVVLSGAPNLKMLGLLQGFAAGLMLSISFLDLAHNAINSIGFLKGNLWFFAGVIFFAFIAHFIPEPSLGPVSDTKRRKDKDEGGKDIMKKHRRQVLYSGIITAIGISLHNFPEGMAVFLGSVKGLRVGLNLALAIALHNIPEGVAVALPVYFATQSKWQAFKLATLSGFAEPLGVVIVAYLFPSSLSPEILEGLLGSVGGVMAFLTLHEMLPLAFDYAGQKQAVKVVFLGMACMSASLYFLEISLPEDLSL >KGN52792 pep chromosome:ASM407v2:4:329356:332870:-1 gene:Csa_4G001590 transcript:KGN52792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHRLSGDIPDMSSSDAIVKEENEEVVVGPSERKSSRQKLRRYDSLDLESRKLHGHDHDHSYAKENNWSVILHLAFQSIGIVYGDIGTSPLYVFSSTFPDGIKHNDDILGVLSLIIYTITLIPVIKYVFIVLKANDNGEGGTFALYSLICRYAKVGLIPNAEVEDREVSNYQLSLPNEREKRASRIQSKLEKSHFAKVFLLFATMLGTSMVIGDGVLTPCISVLSAVGGIKEATPAMTEERIVWASVGILVCLFMVQRFGTDKVGYTFAPIIFIWFALNASIGVYNFIKYDPTVLKALNPNYIFRFFQRNKMDAWISLGGVVLAITGTEALFADVGHFSVRSIQLSMSAITYPALICAYVGQASFLRKHNDLVSDTFYKSIPGKLYWPMFVVAVSASIIASQAMISGTFSIIQQSLSLGCFPRVKVVHTSDKYEGQVYVPEINYLLMLACVGVTLGFKNTTQIGNAYGIAVVFVMTLTSSFLVLIMVMIWKTHILYIITYVLTIGTVELVYLSSVLYKFDQGGYLPLAFAAALMTIMYVWNSVFRKKYFYELNHKITSEKLNEIVNRTNFRRIPGIAFFYSELVQGIPPIFKHYVDHVPALQSVLVFITIKSLPVSKVPAEERFLFRKVEAKEIDVFRCVVRYGYTDVRTEHESFEKILLEKLDEFETERVASHSNEENGVLDGRVEKEDIKAIGRIVEAWKDGVVHLVGESEVVAKKGSSFGKRIMINYAYSFLRRNLRQIIN >KGN54582 pep chromosome:ASM407v2:4:14926358:14927088:1 gene:Csa_4G371820 transcript:KGN54582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQENVKHIEDCSVSNALGTWVFSVAGALLAIPVGIKRKSLAPLVFFGTTGTMLDIIMGISACEREHAERQMKLLEEAQNSATEAFLNDSNSDS >KGN53062 pep chromosome:ASM407v2:4:1968571:1969383:1 gene:Csa_4G013560 transcript:KGN53062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDLIHGAIANGVIFLDTAEALKAQTGLRDKVQLATKFGIQFLDGKFQINGDPAYVRAACEGSLRRLGVDCIDLNYQHRIDTKIPIEVTIGELKKLVEEGKIKYIGLSEASASTIRRAHAVHPITAVQIEWSLWSRDVEQHIIPTCRELGIGIVAYSPLGRGFLSSGAKLVEDLTEDDCRKVTFNT >KGN53325 pep chromosome:ASM407v2:4:3726679:3730175:-1 gene:Csa_4G047370 transcript:KGN53325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTLCDACEKAAAIVFCAADEAALCRSCDEKVHMCNKLASRHVRVGLANPSDVPRCDICENAPAFFYCEIDGSSLCLQCDMIVHVGGKRTHKRYLLLRQRVEFPGDKPINLDDPSPHSKVPNEIGKVHNQPPPHKVTVEDNQQNHHRLSPVREANDDGHAETDTKMIDLNMKPHRVHGQAANNQDL >KGN54063 pep chromosome:ASM407v2:4:10816987:10819589:-1 gene:Csa_4G279910 transcript:KGN54063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISSSCPFAEYIDLGNNLESILIKPTSFGDEEKTLLRSVSRDSESKVLKSVSSRNVSLEGSVSFKGRGLENLSSTETSSLETGNDTDVALISPKSVEFDNQSQSSDNDMERFQMLPALDPNNPKHAAALKLQKVYKSFRTRRKLADCAVLVEQSWWKLLDFAELKRSSISFFDMEKRESAISRWSRARTRAAKVGKGLSKNAKARKLSLQHWLEAIDPRHRYGHNLHFYYMKWLHSQSKEPFFYWLDIGEGKEVNLVEKCPRWKLQQQCIKYLGPMERLAYEVIMEDGKLVYKQSGKLVHTTDEAKNTKWIFVLSTSKTMYVGKKKKGTFQHSSFLAGGATTAAGRLVVENGVLKAVWPHSGHYRPTEENFKDLMSFLKENNVDLTDVKTSPTDEGDDYLDNQKSSRHVRNNSSEEDFIEKLNGFESEENNIEESNEGKSDSFVQSSIELSDLKRRNIGKKLTSLEIPNRAEVITMFEKEQEDVGANGNKGFLLESPVVDSYKYTDNYFSPKPNLSDEDQGNTEVKIIPEESVLRRLNSHSEAKSYQLGKQLSCRWTTGAGPRIGCVRDYPSELQLRALEQVSLSPKCTAHSRHHCYPYVAIEMSPRTVIPPTS >KGN55329 pep chromosome:ASM407v2:4:21921103:21921614:-1 gene:Csa_4G646030 transcript:KGN55329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVWYYMIVNLTQLQGALEETKSWGGEHQQLVQLRGYKLPSFGPTSAHSSRSLPYTTLIIVHETDV >KGN55132 pep chromosome:ASM407v2:4:20789689:20789936:1 gene:Csa_4G637720 transcript:KGN55132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRLILKDIKAPLRFLLRNPASSAPSAQAIQNLLLPIPRQSPPVVLPQDRGQRAAEADA >KGN55309 pep chromosome:ASM407v2:4:21825995:21826405:1 gene:Csa_4G645845 transcript:KGN55309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGVSIKEKRRKGAREHRGGYSLCLFVSPYFHFPLLSQSLISFCKGSANFSTFPFKTLSIRRRPQQIFVFQPFHITRTHTPSPSYHTFSSFLFLFFHCLFLFREKMDYVNYLKSKLYLNPYKQQEDINLEVEEEI >KGN54961 pep chromosome:ASM407v2:4:19580446:19583833:-1 gene:Csa_4G617290 transcript:KGN54961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNYVTFKYVNGINMILTTSIPVLGRLLSPLYFQFFLDKLASSLGPRFYANIFKCKQISETGAQQMLLDTQAVKTILLDIPSLGRQTSGAASYSKFVSREMSKAEALLKVILSPVDSVADTYRALLPEGTPMEFQRILELKGFKKADQQSILDDFNKHGPGITQPSVSSPSAPPVVSSTPPAPTITSPSTVGLMASREDVLTRAAALGRGAATTGFKRFLALTEAAKDRKDGPFRKLFNP >KGN53411 pep chromosome:ASM407v2:4:4375713:4382301:1 gene:Csa_4G052610 transcript:KGN53411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILNFTSPCLTLTRLPPPKLLEPLASSTNGATVFMPLLLCSHAFFAFTSFSKSLRVRTSLSGSDIDGSAAFENPASELLDDELIVVVSGAKDADEALGMIGDKSGRSGGTVSVSDCRLIISAALKRNNPELALSVFYAMRSTFYQAWEGVNENASIVERWKWSRPDVHVYTLLIEGLAASLRVSDALRMIEIICRVGVTPAEEVPFGKVVKCPSCMVAVAVAQPQHGIQIVSCAKCCYKYELISGNIVNIESEEIRMDTPAWEKALRFLNIMKRKIPVAVHSIVVQTPSGVARTQKFATETADLPAREGERVTIAAAAPSNVFREVGPIKFSPKDPNLYSGEAMCLTNHSDGRESLLLRVPGKENSSLLNPSILFPLIVLSAAGDAASGVIDPSLPQLLIVAGFASLAAGATLNSLILPQFNRLPQRSVDIIAIKQQLLSQYNVLQSRIGDLKLAAEKEVWMLARMCQLENKIFAVGEPSYRARRSRIKKVREGLENSLKQRIELIESYARISSMIEIEVEMESDVIAAEAASSVERVSEQIEQIMVLENLEERWKLQAEANDEAERLLNQSMPTEKVTVRPPILHASISRFPHHLVPALPTQNYYLSNKSWSINNKTKYFTNILTSQ >KGN55183 pep chromosome:ASM407v2:4:21108199:21109606:1 gene:Csa_4G639220 transcript:KGN55183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSNRNHNTTAENFAEYKKQETEKFKVEEKETQQPIQDSSSTTSPLLPSASSSPSHEFSFTISLHSSSSDRNKPTPPSFAIDLSPADDIFFHGHLLPLHLLSHLPSSPRSSTNSMDSFTIPIKEIWDNEEPLKDSSSCSTSSVKNLETEPTETGGRTKSKPFSLFGFSRWRKGCEITEQDDKAKHKRKLGFDVSQVLKRYARVVRPLLFFRWRKENLHIRRQAYSFSGNLNPRNKQELRGRRGEFSAPASMRTSPTNSGLLVATPSMSYATSDSTMEELQSAIQAAIAHCKNSIAKEDKTNVKS >KGN54156 pep chromosome:ASM407v2:4:11272801:11274857:-1 gene:Csa_4G290230 transcript:KGN54156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMDRIQPTSESPSTRLMVLSIECIKGSSRADEWTGDMLQTGDIVEELTIGSSLCVRSPFKHGRSGIQKILHNSFKDKQTSILVRVRRGRDDFSELQACIVPNDSAGRKQYVLRSISDPNYVVGFMDRTESECFDLQASRSDRMVSALERTRLQDGYVTYQWERRMHESLSVPYSSSFLSILLLPKAANEVATRYNDLEDTLARANAWLNSAQATGVPVVFMNIQTESLLTKISGDTASSTVSAGSLSDLSNLANASLYGFEDYHGVDIGVVRSVRLWYAPLGGELPIEIKLKEGDSKLGFSIGRTEEGFIYVSAVDEDDNAPSSRSGLNHLYKEAENASKLLIISRVSNQKVLPWIVSSTGAIRCFDTVSLSQKLSLHRHAKVPIFLHVFLWDRELLASLISATPIRQRSTASQPAAPARLALPPEFQLARQPNDNQIQPLPADAFSESLSVSTDESSSIRTERDTAGELSFRFHEFSLSSN >KGN55157 pep chromosome:ASM407v2:4:20942383:20946465:1 gene:Csa_4G638470 transcript:KGN55157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESANTHQHTSPATVAPSAPPPNLALSRGPTWTPAEQLQQLHYCIHSNPSWPEAVLLAFQHYIVVLGTIVLIATTLVPRMGGSPGDKARVIQTLLFTAGLNTLLQTALGSRLPTVMRSSFVFILPVLSIINDFSDKNFSSEHERFTYTVRTIQGSLIVASIINVILGFSRTWGHLTRLFTPVVIVPLVCVVGLGLFMRGFPMLANCVEIGLPMLILLVVGQQYLRRIHPRADVVLERFGLLICIALIWAFAAILTVAGAYNHVREVTKQSCRTDRSFLMSSAPWIRVPYPFQWGTPIFRASHVFGMMGATLVASAESTGTFFAAARLSGATPPPAYIFNRSIGLQGIGLLVEGIFGSIAGNSASVENVGLLGLTHIGSRRVVQISTGFMIFFSIFGKFGAFFASIPLPIFGAIYCVLFGIVAATGISFMQFTNNNSMRNLYIIGLSLFLGISIPQYFVTNTSQDGRGPVQTAGGWFNDILNTIFSSAPTIAIIIGTVLDQTLDAKHSINDRGVSWWKPFQHKKGDTRNDEFYGLPLRINEYIPTRFH >KGN53972 pep chromosome:ASM407v2:4:9848594:9849919:-1 gene:Csa_4G214830 transcript:KGN53972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALASVEGSSKLNPNAPLFIPAAYQVEDFSPQWWQLVTTSTWYRDYWLSQHQEESDFYIDGEGEDDFSNDIAEFLPEAFDLDANEELRTMEAEFEEFIQASLNEGYHPEK >KGN53649 pep chromosome:ASM407v2:4:6276350:6282449:-1 gene:Csa_4G095590 transcript:KGN53649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGATGSKLEKALGDQFPEGERYFGLENFGNTCYCNSVLQALYFCVPFREQLLDYYSNNKSIGDAEENLLTCLADLFTQISSQKKKTGVIAPKRFVQRLKKQNEIFRSYMHQDAHEFLNFLLNELVDILEKEAHAIKSDAESSSPPEKISNGPKTGQANGAQKEPLVTWVHKNFQGLLTNETRCLRCETVTARDETFLDLSLDIEQNSSITSCLKNFSSTETLNAEDKFFCDKCCSLQEAQKRMKIKKPPHILVIHLKRFKYIEQLGRYKKLLYRVVFPLELKLSNTMEDADSEYSLFAVVVHVGSGPNHGHYVSLVKSHNHWLFFDDENVEMIDESVVQTFFGSAQEYSSNTDHGYILFYESIGTGNKS >KGN54274 pep chromosome:ASM407v2:4:12077401:12078123:-1 gene:Csa_4G296275 transcript:KGN54274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPKRCWMMWEKKKKKKNLITTSSDHHFLQATTTIKSSSSFDDSWEEQAFADDAAGRLGGCVWPPRSYSCSFCKREFRSAQALGGHMNVHRRDRARLKHESFKPQIETHLQIPPTLHQQVCDDNLVFSPNPKSSSSSFCLSTFPSQNLVESDLTPLTTTAATLHKWHQSSDLSQKTSDDNDLLRDEEAAVFCNKRKRTSDHSPSSTVAFIFRSEVIGLSHSSVEDLDLELRLGGGRPKLK >KGN53580 pep chromosome:ASM407v2:4:5639675:5642710:1 gene:Csa_4G083550 transcript:KGN53580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIQCNVCEMAEATVLCCADEAALCWACDEKIHAANKLASKHQRVPLSGSSSQMPKCDICQEASGYIFCLEDRALLCRKCDVAIHTANTYVTGHQRFLLTGVKVALEPTDPVACSSMAKSHSREKSTEIKIRPPSEREFAMPSPSELSRSLSVLGGSEDFMANRTLLTGSGDSGSGGFSQWQMDELISLTGFNQNYGYMDNGSSKADSGKLGDSDSSPVLRAADIELDDDDECLGQVPEASWAVPQIPSPPTASGLYWPRSYHNSMDGAVFVPDICSSEKVQHCSRNGTFSKRRRQF >KGN53955 pep chromosome:ASM407v2:4:9588228:9589831:1 gene:Csa_4G193250 transcript:KGN53955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEPQLMTTVNLPPGFRFHPTDEEIVTYYLAQKIIDAAFNAAAIGEADLNKCEPWDLPQKAKMGEKEWYFFCQRDRKYPTGMRTNRATQTGYWKATGKDREIYKGKSVLAGMKKTLVFYKGRAPKGEKTNWVMHEFRLEPKFAHFLRLPRPVKDDWVVCRVFHKNPTMAATTPIRRIQTTSDLSSSLPPLIDPPATTLIPINSGGFDDFEVKCRPSGQSDYRFKYISTDTTNDRHHYHQPAATLPLPAATTTAATTMNNVSYAPSVPDDGFFSFDQLAAVGGTMPLTTPPTMECKMEQTSWSMMSGVTQDASSSIDNSGYDLDVWDYYEN >KGN53288 pep chromosome:ASM407v2:4:3540283:3542524:1 gene:Csa_4G045030 transcript:KGN53288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGFSRNLSTVSKLSHLQNLQTRGSPNFIPFPQLQHQRKLLEWRLMSILHDCTLFSQIKQVHAHIIRNGLSQCSYVLTKLIRMLTKVDVPMGSYPLLVFGQVNYPNPFLWTAMIRGYALQGLLSESTNFYTRMRRDGVGPVSFTFSALFKACGAALNMDLGKQVHAQTILIGGFASDLYVGNSMIDLYVKCGFLGCARKVFDEMSERDVVSWTELIVAYAKYGDMESASGLFDDLPLKDMVAWTAMVTGYAQNGRPKEALEYFQKMQDVGMETDEVTLAGVISACAQLGAVKHANWIRDIAERSGFGPSGNVVVGSALIDMYSKCGSPDEAYKVFEVMKERNVFSYSSMILGYAMHGRAHSALQLFHDMLKTEIRPNKVTFIGILSACSHAGLVEQGRQLFAKMEKFFGVAPSPDHYACMVDLLGRAGCLEEALDLVKTMPMEPNGGVWGALLGACRIHGNPDIAQIAANELFKLEPNGIGNYILLSNIYASAGRWEEVSKLRKVIREKGFKKNPGCSWFEGKNGEIHDFFAGDTTHPRSSEIRQALKQLIERLRSHGYKPNLGSAPYDLTDDEKERILMSHSEKLALAYGLLCTEAGDTIKIMKNIRICEDCHNVMCAASEITGREIIVRDNMRFHHFHNGTCSCGNFW >KGN53134 pep chromosome:ASM407v2:4:2362540:2363101:1 gene:Csa_4G022210 transcript:KGN53134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRVSMILNVVFFIIMLLISSNNNYNVSARKFLDVNTPLDDAFNLQMDASSLFYKMKGELQRRFEDLLKATTDNDIPRGGNY >KGN53654 pep chromosome:ASM407v2:4:6338997:6345780:-1 gene:Csa_4G097120 transcript:KGN53654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLQLLHLTKHRHNILASRRKTLLFATGVVLVGGTAAYLRSRSSNEKSPSFNHYNGLDNNDERSTNLATEGGRIKKSTQKSGGLKSLHALAAILLSKMGNKGARDLLSLLGIVVLRTALSNRLAKVQGFLFRAAFLRRVPLFLRLISENLLLCFLLSTLHSTSKYVTGILSIHFRKILTRLIHTHYFKNMSYYKISHVDGRVTNPEQRIASDIPRFCSELSDLVQDDLTAVVDGLLYTWRLCSYASPKYVLWILGYVAVSGTLIRKFSPPFGKLMSKEQQFEGEYRQLQSRLRTHSESIAFYGGERREEFHILQKFNTLVEHLKIVLHEHWWFGMIQDFLVKYFGATFAVILIIEPFFSGHLRPDSSTLGRAEMLSNLRYHTSVIISLFQSLGTLSISSRRLNRLSGYARRIHELMIVSRELSVESSQPATGGMSCFSEADYIEFKGVKVVTPSGNVLVDNLTLKVKPGSNLLITGPNGSGKSSLFRVLGGLWPLISGHIVKPGVGSDLNKEIFYVPQRPYTAVGTLRDQLIYPLTAHQEVEPLTRDGMAELLKNVDLEYLLDRYPPEKEINWGEELSLGEQQRLGMARLFYHKPKFAILDECTSAVTTDMEERFCSKVRDMGTSCITISHRPALVAFHDVVLSLDGEGGWSVHYKREEIPEGVINSTRPKTDRQSDAVVVQQAFSSLEKASSFSKSDAGSYNPRVIATSPPAESIATRPIVPQLEGIPRILPLRIAALIKILVSLS >KGN54679 pep chromosome:ASM407v2:4:15855126:15855414:-1 gene:Csa_4G420200 transcript:KGN54679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPASSLQIHLRFDVVRIHDVVPLRSRSVSPGCRTAHLRSNALPLRSHQLSNYLLFSLFVTVCI >KGN55212 pep chromosome:ASM407v2:4:21297566:21299022:-1 gene:Csa_4G640980 transcript:KGN55212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPNHPQLRPISHCFITHENLPPQSNQPFYLGPSELLLLSVHYNQKGLLFHKPPQAAFNHGDLFMVTLLHRLKTSLSATLFHFYPLTGRLATTTNGIYVDCINSPGAKFIHAALDITVSDILSPLHVPSLVQSFFDLNKALNYDGQTLPLLSIQVTELLDGVFIACSFNHCLGDGTSIWNFFNTWSDVFQAPSSEDSYGINSISQPPILDRWFPDGYDPVINIPFTDPSQFISRFEVPELRRRFFHFSAKSIADLKAKANKECNTKDISSFQTLTALVWRAITRARRIPLDQSTCCVMSANYRGRLKPALPENYLGNMITSVKVDAKVGELVERGLGWGARKLHEAVKNLTYEKIRESLEEWIKCPYTYQWGSILNPNIIKMGGWSKFNVYGSEFGMGKAVGVRSGYGNTFDGKVIVYPGCEGGGSVDLEICLLPQNMANLESDLELMNAISSSPPHFSC >KGN54112 pep chromosome:ASM407v2:4:11065609:11066229:1 gene:Csa_4G286370 transcript:KGN54112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQVMANNQGLTRNNEMMSEPNYNNPHQIVWSKDLKTYVLKSNNNFGQQLHPSSYPNTHQAKPIPTSTPNNTPNLPLPKRGHNNDLYTLLNPASRVADDHEAEASSAGRRKGSRRRRVSATNDVERRCTNYNCNTNFTPMWRKGPLGPKSLCNACGIRYRKETMNKEAMAAENSNG >KGN55327 pep chromosome:ASM407v2:4:21907970:21909336:-1 gene:Csa_4G646010 transcript:KGN55327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYILPGSASPPTFLLSVPNSRPLISPFTNTRLLHLRNSVSRMPLSFSFRKGSNVPPETGCPVPPEQLPINEYQTLSASFPFSWAAGDIVEFCSRLVATGASFALFIGLPVAWFGTVGVESDPLKLSLCAVSSGILFVTIAVLRMYLGWAYVGNRLLSATVEYEETGWYDGQIWVKTAQVLARDRLLGSYTVKPVLNRLKYTLVSLAASLFVSIVVLINIDGGELLGPFFTGKSAANDGGGRVIPGVYSDESARSFEPDAFCGPGEHDLLP >KGN53397 pep chromosome:ASM407v2:4:4254476:4255511:1 gene:Csa_4G051490 transcript:KGN53397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLTLTFLLFFVLVTSSCARDLINLPDTSESLTFSVSAPAQDSDFKSSILLPTQKLSDDESPRSIPDTDQVRTESNPTEITALDTIDTVSDPVVMITFRPINRQFGRPSVPLMFRRGRRGCHHLRSVKPWRSIDRLNEISYGNDMIVPEERSNPNSGSNREIAIPSKLSEFRHVRPWEDSYSSDWKEDKKHLHHHYFHHQHHEEEEHEHKEKSSLLRKFRKFLKHLEF >KGN53130 pep chromosome:ASM407v2:4:2336963:2339981:-1 gene:Csa_4G017180 transcript:KGN53130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSDDEGGEEYLFKIVIIGDSAVGKSNLLSRYARNEFNLHSKATIGVEFQTQVMDIDGKEVKAQIWDTAGQERFRAVTSAYYRGAVGALIVYDISRRSTFDSVGRWLDELKTHSDTTVAMMLVGNKCDLENIRDVSVEDGTSLAEAEGLFFMETSALDSTNVKRAFEIVIREIYNNVSRKVLNSDTYKAELSVNRVSLVNNGGSKQSEGYLSCCSR >KGN54359 pep chromosome:ASM407v2:4:12611836:12612237:1 gene:Csa_4G308495 transcript:KGN54359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSKSLSRAAIVSGDKTTSKSTLPFPSFPGNADILPSNLFALPLRTATGLPHPKSLLYIGNLGELPIVTDAPSAFPSGNNRGAFSQSPMPPRTVASLCAATFRWIKVPHQPKSLDRSSPTRATFGMLCIAFPK >KGN53493 pep chromosome:ASM407v2:4:4907811:4908734:-1 gene:Csa_4G056810 transcript:KGN53493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGLQEMGIPMLGIVAAAAITFYAVSFSELREKSFKDLDELEDQKGGFQMTSSSRERRARRKAEKEAKR >KGN54672 pep chromosome:ASM407v2:4:15794296:15796932:1 gene:Csa_4G420130 transcript:KGN54672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVGCNNDGYLNDAKYSEPLPWIGIYIAAASLVCLLAMAADLIHGIGHRKFWFPCKFFTLNSTSLTLIAVAIKLCVDLNTSMPGREDQLAKLSSAVLMCTIMANCMPSLGSMENQEIFMNVMALGILVITLIVNVGMEIGTGVIYVYMKEHVSILISMLVLLGILSFSASVVPSTKSYLEMKYCVRHELASKECAANGKGDKPVIERLKVDLMKYWMMSQTTSPQFVMGRSATCTASGAISLLSAAILAEAILTSYLMKRSLKFCNGQSDYKWSITFILVIQCVAVVVGTVAPAIRWFTAIKFRCPNLRNGGYKKEFKLEYYWIRYLVEMKESPLTIRVKNRRCRKLAHNARNTFLDACIILQTVIVFISKVIRLISIFFFRGIFSCCDLFKSLKNRLWFNSTIPLSNSGSEDDADSKLDLSRFVLYLEGEDDLVHVMVTNNYHAVHHWIQKGKKKKPKILIHLLEGTIISRGFRGVAEFDNHQVPCLDSKEPQNCWALPVVTLTAIAISLPNIRRHLIKHLVTAVNEGLRYIRLIEDCFDMEGNFINLKKAAEMVWLGIDLHNQWLDIDLHKISHHKETPNEVFQQFSDEAKKMYTEEKTTNQHLCLKLSTSKWPIKILATNCMYRISESMLLKYEKKYRYTNEQLFLEMEAMISGIMGACMTNLEKVISTKCANFVIEKRERSVREAAYILGKTGRILDLIEKTTVPPLDEHQMRSIDEWRLAYKLEM >KGN52733 pep chromosome:ASM407v2:4:23714:32351:-1 gene:Csa_4G000540 transcript:KGN52733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSLLRAFPFSTIRPKPPQFLPIRHHISHLRFPQSISTTHRPVSSFSTSATPSPSSATEILVPTSNPHSPFKDSLQWINRTALCGELSVDDVGKRVRLCGWVALHRVHGGLTFLNLRDHSGIVQVTTLPNEFPDAHSTINDLRLEYVVAVEGTVRSRPGDSVNVKMKTGLIEVAAEHVQILNSVGSKLPFLVTTADDAKDSAKEEIRLRYRYLDLRRKQMNFNIMLRHKVVKLLRRYLEDVHGFVEIETPILSRSTPEGARDYLVPSRVQPGTFFALPQSPQLFKQMLMVSGFDKYYQIARCFRDEDLRADRQPEFTQLDMELSFTTLEDMLRLNEDLIRKVFWEVKGVQLPNPFPRLTYAEAMSRYGSDRPDTRFDLQLNDVSDIFADSPFKVFADALSSGGIIKVLCVPSGAKRYSNTALKKGDIYTEATKSGAKGLPFLKVTDDGGIEGIPALVSCLDPTDREEFLNRCSAGPGDLILFAVGHRVSVNKTLDRLRLYVANELGLVDHSRHSILWVTDFPMFEWNDLDQRLEALHHPFTAPNPEDVGDLPSARALAYDMVYNGVEIGGGSLRIHKREVQEKVLEIVGISLEQAEAKFGYLLEALDMGAPPHGGIAYGLDRLVMLLADANSIRDVIAFPKTTTAQCALTRAPSEVDPQQLKDLSYQSQ >KGN54175 pep chromosome:ASM407v2:4:11412532:11417030:-1 gene:Csa_4G291390 transcript:KGN54175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLRLRVNPAVWCSVPISHFTSLPLTPSILRPLLRRHNPRLFLTRFSASSAVHNTSLSSSSKPLSDADFLPFLSCSMPTYPLKVAVLLSGGVDSSVALRLLHAAGHSCTAFYLKIWFQEDFENFWSECPWDDDLKYAKAVCDQVDVPLEVVHLTEEYWRNVVSYIIEEYRCGRTPNPDVLCNTRIKFGAFMDAISNMEFDYVASGHYANVIHPSADQMDKPSVLELSKDMVKDQTYFLSHLSQNQLKRLLFPLGCIPKDEVRKLAAKFNLPNKDRKDSQGICFLGKIKFSEFVARHIGEDEGVILEAESGDFLGKHRGFWFYTIGQRQGLRLPGGPWYVVEKDIKNNVVFVSRNYFSFDKRRRVFRVGSLKWLSGFPPDQFTELQCKVRHGPSFYSCNLTMEHSEDGHEDVAVVHLSEDDQGLAAGQFAAFYKGRSCIGSGVILESWDDQGFPVCQKAIENAQMEDKSKLGKPIKIKVKPESGMKESKAKDDTEIHDKLRNEEATVVEQIREVSPDEAMATPSMKWLGSLKKKLLQIF >KGN54579 pep chromosome:ASM407v2:4:14887899:14889945:-1 gene:Csa_4G370570 transcript:KGN54579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTPPQSTTNNRHTTTFQLYWCHQCHRTVTLASAHPSELTCPRCFGQFIEEVQLTLPEFNPSPEGRLFEALSLMLNQPIRIFNNRTPNGNRHHPPWHRFEEFDRRSFSDPEGDELPQWRRRWRSRSLDERDNFGQQPPNPNRSRTVIVFGPPDQLQPIQPILPRRISPRDYFTGPQLDELIEELTQNDRPGPAPASEEAIERIPTVKIEAEHLKNESHCPVCKEEFEVGGEARELSCKHIYHSECIVPWLRLHNSCPVCRQEMPSFTPENEASSSSEEEGMGRRCARWWSHLVPWPFRNRYRQISPFPRNRFDASSREINSRGGSSYLSSSALLMSMFMLQLTWIILETFR >KGN55418 pep chromosome:ASM407v2:4:22485866:22489200:-1 gene:Csa_4G651840 transcript:KGN55418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSATFPAPIYVCSKTSTRFCYSFASWPSMAANLIPSLKSSLAASKSPFLRHNLTMQSSISRGVFSKARFSGVSARAATEKSIYDFTVKDIDGKGVSLNKFKGKVLLIVNVASRCGLTTANYSELSHLYEKYKAQGLEVLAFPCNQFGGQEPGSNPEIKQFACSRFKAEFPIFDKVDVNGPNTAPVYQFLKSSAGGFLGDLIKWNFEKFLVDKNGKVVERYPPTTSPFQIEKDIQKLVAA >KGN52750 pep chromosome:ASM407v2:4:143720:146134:-1 gene:Csa_4G000710 transcript:KGN52750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVNPEFNNGIEGWKVFGGGRIRQGWLKHGNLINTFIVAHNRTSPRDTFHQLLHLQRHYLYTFSAWVRLSEGNAPVGVVFRNSKGGQILHGGETMAKHGCWSLLKGGIVSNFTGQAEIIFESTNTEVEIWVDNVSLHPFTKEQWRSHQDESINKVRKSKVRLQITQADNSKLAGAKVLIDQKKPNFPFGAGMNYHILLSKEYQQWFASRFAYATFTNELKWYSTENVQGQENYTVPDAMLEFSQQHGISVRGHNIFWDSPKYQPEWVKSLSPQDLKEAADRRINSVVKRYSGKFIHWDVVNENVHFRFFEDKLGENASAEYFNIAHKLDNKTLLFMNEYNIMEHDYKNTATPADFRKKLLEILSYPGNENIPAGIGLQGTFGPDAPNLPYMRSALDLLGSTGYPIWITEVFVHQTPNQAQYYEEVLREGYAHPAVKGIITFAGPESVGFTTLPLVDMNFKNTAAGDVVDKLLGEWKSPSFEITADDEGFVDASLFHGDYNVRVQHPRTNSSICVSIKVTEEATHRTLKLQLPHN >KGN54069 pep chromosome:ASM407v2:4:10855915:10861926:-1 gene:Csa_4G280460 transcript:KGN54069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSSLPSPRRDSIKSSVGSVAANRRRQHAIAVGKERRDLLVRAKRFCRIGIGDGDGDGDGDGVDNEMIMDEELSILEVQTSSAVDELKSAVAYQGKGAMQKRIHALRELRRLLSRSEFPPVETALKAGAVSLLVQCLSFGSPDEQLLEAAWCLTNIGAGKPEETKSLLPAIPLLIAHLGERSSLLVAEQCAWALGNVAGEEKELRNILLSQGALLPLARMLLPNKGSSVKTAAWALSNLIKGPDSRAATELIRIDGVLDAIIRHLRKADDELATEVAWVIVYLSALSDVAISILVKSEVVQLLVERLSTSNSLQLLIPVLRSLGNLVAVDSHTISAILIPGSEITGSVLEVLIKCLKSEHRVLKKEASWVLSNIAAGSMEHKQLIYTSDVVPLLIRLLSSAPFDVRKEVAYVLGNLCVAPNDSDGKAKLLVENLVSLVGRGCLVGFIDLVRSADTEAARLGFQFLEMVLRGMPNGDGPRLVEREDGIEAMERFQFHENEELRNMANCLVDKYFGEDYGLDE >KGN54201 pep chromosome:ASM407v2:4:11591038:11593879:-1 gene:Csa_4G293110 transcript:KGN54201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNLSLLRKALGSNFISRSATANHELPLFFRQSPRFFSTEQEQAPPESSPNRFSDKTNTDGLRFGKLVGASRSMLKSDVLILLQGCNLSFEDVKFEYVRNFVPTSIMIQFPSEQAYQNAFQAIARKGYLQRMERADRSQWELLSPYNGKTVLLEGIPRNALVEDLERFLSGCDYDASSINFYRGSFSAPTKTATVQFRSPIQAMHAFLTKNRGFCLNNQISMRVLQ >KGN54845 pep chromosome:ASM407v2:4:18161455:18164228:-1 gene:Csa_4G539610 transcript:KGN54845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQVRLFRRNIIVSSKNLIQLTSVRTFAAGGKSKKGSKGGAAADAPKASILSKEVKSTTVVGANILKEGADPKIMADSEYPAWLWNLLNKHPPLSELRRKNAESLPYADLKRFIKLDTRARIKENNSIKAK >KGN53477 pep chromosome:ASM407v2:4:4839695:4843499:1 gene:Csa_4G056670 transcript:KGN53477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTTIFSPSSPSSSPLTRFSFSHKPSLPLDHIHFPSHSSNFNNNNSLLLRKNLRTMAVSIEKETPIAERPDSFLTAYDKATGGISSGSSSVRARFEKMIRDAQDSVCDAIEKADGAGNFKEDVWSRPGGGGGISRVLQDGAVWEKAGVNVSVVYGIMPPDAYRAAKASAAHQKPGEIPFFAAGISSVLHPKNPFAPTLHFNYRYFETDAPKDAPGAPRQWWFGGGTDLTPSYIFEEDVKHFHSEQKKACDKFDPSFYPRFKKWCDDYFYIKHRNERRGLGGIFFDDLNDYDQEMLLSFATECANSVIPAYIPIIERRKDTPFTEQHKAWQQLRRGRYVEFNLVYDRGTTFGLKTGGRIESILVSLPLTARWEYDHKPEEGSEEWKLLDACINPREWI >KGN52848 pep chromosome:ASM407v2:4:652371:654066:-1 gene:Csa_4G003620 transcript:KGN52848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAKKSLKAKGESQRIVVAVDESEESMFALQWCLSNLTSPDTKNTLILLYVKPPPAISISSFDAPGYVFSSEVISAMEKQSKDLVNAVMKRAEAVYAKFSSNVNLERVVGKGDAKNVICRIVEKLGADTLVMGCHGYGFFQRALLGSVSDYCAKYAKCPVVIVKHP >KGN54209 pep chromosome:ASM407v2:4:11620972:11628926:1 gene:Csa_4G293190 transcript:KGN54209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWLRAAVIRAVEASAGGKDNITRTVRNVAGTVVYHAGNAVVEGAKIIQDRIGPRNMQGFKQTVKRLEEISISSRGIERVQLLRRWLVALKEVDRFSLGPIEDGKNSPTDQLNEENRDSPKKPTLVYYVDPDMGGELKTFRDVFLTSQALEGITLSMILEEPNDEEESLLLEIYGLCLSGGKEVRQAVMTSVHNLAKAFSEYQDEILVKREELLQYVQDAIAGLKINADFDRIDAKACSLKETLDENHEELPPSREDQDTTSDGETRASKILQEILSQVQLCSKLEELLLKKKLFKDGDSPQLHAEKVEKLRILSESLANSTLKAEKRIVDHSREQKEEALNFRVAKSKEMVQAEKELTDDIGELENQKDRLEAELKKGDDGVKTTIELFIKSSKT >KGN55009 pep chromosome:ASM407v2:4:19922331:19926276:1 gene:Csa_4G621210 transcript:KGN55009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEQDKSAAVGVVVHHHHESNSPHPHYGTFQGVANYPPVGFSHPTRLPGVPDSDDPSQYQHYAHGYQAVPGYAIVEGRPVREPRLPCCGIGIGWFLFIIGFFLAAIPWYAGAILIICGRVDYREKPGYVACSIAAVIATVAIIFGATREADVW >KGN54151 pep chromosome:ASM407v2:4:11254060:11254745:-1 gene:Csa_4G290180 transcript:KGN54151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIPRTIGALVCLIALVLISIFICFPIRIHHHRSTHLVPTAALIESRRLLLSSLSSFSINGNKMNSSRSTEASLRVAPPSKSNPTQNK >KGN53994 pep chromosome:ASM407v2:4:10232575:10234346:1 gene:Csa_4G246400 transcript:KGN53994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLVLSYVWVDEELNNISWKAIDYPGSDPQFKPPSNLKEKDSKSKEVDEGEEAEELYGPLFKGIVNLQHQQQIQVAVGALRKFGFPVTLLSPTTKPPNPSMIIKCDAVVVGSGSGGGVIAGVLANAGYKVLVLEKGSYCARTNLSLLEGPAMEEMYQSSGLVATDNMNVLILAGSTVGGGSTVNWSASIETPSHITREWSDEYELELFRSNLYKEAIDVVCKKMGVQSKIDNEGFNNAVLRKGCEELGGHAITIPRNSTSDHYCGWCCLGCRDGRKKGTAETWLVDLVRSGNGVILPGCEAIKIRQEKEHGRERKRAKGVAFEFWCGSAAKQMCLVESKVTIVACGALNTPPLLKRSGLRNPNIGKNLHLHPVTMAWGYFPAETGM >KGN54821 pep chromosome:ASM407v2:4:17821219:17821694:1 gene:Csa_4G508490 transcript:KGN54821 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteinase inhibitor I25, cystatin MFSHQRYCIMSVEEAYHTSKPIEDINDDLQVQSIIGQLAVEDHNKKTGDNLELVDVVNGLRSGIFVQPGSTHEGILYHLLVEAKTIEGINWTYVAKLLELYVGCRIRYEFKSFEPLLPYYNP >KGN54834 pep chromosome:ASM407v2:4:18027358:18036309:1 gene:Csa_4G526050 transcript:KGN54834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTNYHKLDAVIEAEKNAARELVRQKKKDRALLALKKKKAQEELLKQVDTWLINVEQQLSDIELASKQKAVFESLKAGNNAIKAIQSEINIDDVQKLMDDTAEAKAYQDEINAILGEKLSEEDEEQILAEFESLEAQLTVDDLPEVPTSEEQEEKLDLPEVPSKKPVAADAIEEDAETISSDAPTKRRVMEEPLAA >KGN54532 pep chromosome:ASM407v2:4:14492384:14498945:-1 gene:Csa_4G358700 transcript:KGN54532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTTMAGLAPGLSRKLKKVLESRTDTPDVLNSLNTLSTFYTDNTPQNRRNLRSTIEKRSLSINIDFLRASDTAQLALDRVEEEVNALADCCDRIAKALNSSSASIGDIISTTERLKQELEITTQRQEIVSCFVRDYQISNEEINALRHEDLNENFFKALSHVQEIHANCKTLLRTHHQRAGLELMDMMAVYQEAAYERLCRWVQTECRNLGETDNPEVSELLKTAVRCLRERPVLFKYCAEEVANMRHNALFRRFISALTRGGPGGMPRPIEVHAHDPLRYVGDMLGWLHQALASERELVHILLDPDAVIDVGPTANKFSPDLESDSGLPEIDLTFVLDRIFEGVCRPFKVRVEQVLQSQPSLIIAYKLSNTLEFYSYTISDLLGRDTALCNTLWMLNDAAQKTFFDILKSRGEKLLRFPSFVAVDLSPPPAVREGVSVLLEIIDTYNSMMVPASGKKAAFDPVLSALLDPIIQMCEQAAEIHKSKGSSHISRRGRTNSGSSQNSKSSVDALLSQSSPAPAAQDTETPSKIFLINCLCAIQQPLSSHEVAAEYVNKLGVMINSHLSVLVEKEVAAILRRCDLSQKMTYFHKSSEAGNAADGLRLAEIQDTSPASLSESLKAFFGLALGSESSLPEFELLQVPKLRSEACIQVARSLAEAYELIYDAIMDPNNGYPDPKSLARHPPNQIRTILGI >KGN55381 pep chromosome:ASM407v2:4:22215568:22222802:1 gene:Csa_4G648520 transcript:KGN55381 gene_biotype:protein_coding transcript_biotype:protein_coding description:Erecta MKSVKRAAMALLVELVILAFLFCATVGVVDSDDGATLLEIKKSYRDVDNVLYDWTSSPSSDFCVWRGVTCDNATLNVISLNLSGLNLDGEISPSIGNLKSLQTLDLRGNGLSGQIPDEIGDCSSLINMDLSFNEIYGDIPFSISKLKQLEMLVLKNNRLIGPIPSTLSQIPNLKVLDLAQNNLSGEIPRLIYWNEVLQYLGLRGNNLVGTLSPDMCQLTGLWYFDVRNNSLTGSIPQTIGNCTAFQVLDLSYNHLSGEIPFNIGFLQVATLSLQGNQLSGPIPPVIGLMQALAVLDLSCNMLTGPIPSILGNLTYTEKLYLHSNKLTGPIPAELGNMTKLHYLELNDNHLAGNIPAELGKLTDLFDLNVANNNLGGPIPDNLSSCINLNSLNVHGNKLNGTIPPSFQRLESMTYLNLSSNDLRGPIPVELSRIGNLDTLDISNNKISGTISSSFGDLEHLLKLNLSRNHLTGFIPAEFGNLRSVMEIDISHNQLSGFIPQELSQLQNLLSLRLENNNLSGDLTSLISCLSLTELNVSYNNLAGDIPTSNNFSRFSSDSFFGNIALCGYWNSNNYPCHEAHTTERVTISKAAILGIALGALVILLMILLTVCRPNNTIPFPDGSLDKPVTYSTPKLVILHMNMALHVYEDIMRMTENLNEKYIIGYGASSTVYKCVLKNCKPVAVKKLYSHQPHSMKVFETELETVGSIKHRNLVSLQGYSLSPSGNLLFYDYMENGSLWDHLHGSGSTKKKKLDWDTRLNIAHGAAQGLSYLHHDCSPRIIHRDVKSSNILLDKDFEAHLTDFGIAKSLCTSKTYTSTYIMGTIGYIDPEYARTSRLTEKSDVYSFGIVLLELLTGRKAVDNESNLHQLILSKTANNAVMETVDPEITATCKDLGAVKKAFQLALLCTKRQPSDRPTMHEVTRVIGSLLPSAATPKQIPTITTIPPSAKSSCYKDEYANLKTPHVLNCPTMSTSDAQLFAKFGEVISQNSE >KGN55481 pep chromosome:ASM407v2:4:22890772:22893353:-1 gene:Csa_4G653420 transcript:KGN55481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSAPSSSTPEFQMSKKPLGLYANALKRKDSFIQLLAMTGILLLSFRSLGQKYRINDLQEDTTALKQEHETLVDRMKNIKRSLLHEASLESTGHFASRLRLLFSDED >KGN53796 pep chromosome:ASM407v2:4:7894601:7897561:-1 gene:Csa_4G129620 transcript:KGN53796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNLCATFSPPKPPPTTLPNPSSFSISSNRWSRMRSSRRHDAGDSLTSDHALDAAAALFQKLPPDCSVSFDRSTSLRQPTSGKKNRNALPRSSSSRARSLTDPLLQPHQLVNQDIKLDDLETNHFVLVHGGGFGAWCWYKTIALLEEAGYRATAIDLTGSGIHSFDPNSITDLAQYTQPLIDLLEKLPDGKKVILVGHDFGGACISYAMELFHSKIAKAVFVAAAMLNDGQNTLDMFSLQAGSDDVMQQAQVFVYSNGNDNPPTAIELKKPLLKDLFFNQTPAKDVALASVSMRPVPFPPVLEKLRLSEKKYGSVRRFYIQTLNDNAIPVPIQESLIERNPPEQVFYLKGADHSPFFSKPQALHRLFVEISKIQRP >KGN52955 pep chromosome:ASM407v2:4:1243531:1252007:1 gene:Csa_4G007600 transcript:KGN52955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPPELQSRSFRPYISASTSAPSFSSITNGTTSYDQNPSPFLDRRASSSSSPSSSSSRSFNNSRFSPSSFIYNSRIAIALVPSAAFLLDLGGTPVIATLTLGLMISYILDSLNFKPGAFFGVWFSLLFSQIAFFFSSSLNLTFNSIPLTILAAFLCAETNFLIGAWASLQFKWIQIENPSIVLALERLLFASVPFAASAMFTWATISAVGMVNASYYLMVFNCVFYWLYSIPRLSSFKNKQEAKFHGGEIPDDNLILGPLESCIHTLNLLFFPLVFHIASHHSVVFSSAASVCDLLLLFFIPFVFQLYASTRGALWWVSKNANQVHSIRVVNGAVALVVVVVCLEIRVVFHSFGRYIQVPPPFNYLLVTITMLGGAAGAGAYVMGMISDAFSTVVFTTLAVIVSAAGAIVVGFPVMFLPLPSVAGFYLARFFTKKSLPSYFAFVVLGSLMTMWFVMHNYWDLNIWLAGMSLKSFCKLIVADVVLALAVPGLAILPSKVQFLTEACLIGHALLLCHIENRFLSYSSIYYYGLDDDVVYPSYMVIMTTFIGLVLVRRLFVDNRIGPKAVWVLTCLYASKLAMLFIASKSVVWVSAILLLAVSPPLLLYKDKSRTASKMKAWQGYAHAGVVALAVWIFRETIFEALQWFNGRPPSDGLLLGCCIFMAGLACIPLVALHFPHVLSAKRCLVLVVATGLLFILMQPPIPLSWTYRSDLIKAARQSSDDISIYGFVASKPTWPSWLLMLAILLTLSAITSIIPIKYFAELRVLYSIAMGIALGIYISAEYFLQAAVLHILIVVTMVCASVFVVFTHFPSASSTKVLPWVFALLVALFPVTYLLEGQVRLNSILGDSVRNMGEEEQMITTLLAVEGARTSLLGLYAAIFVLIALEIKFELASLVREKTSERGGMRHTKSGESSIGSLNTRTRFMQQRRASSMSTFTMKRMTAEGAWMPAVGNVATVMCFAICLILNVNLTGGSNYAIFFLAPILLLLNQDSDFVAGFGDKQRYFPVTIVISAYLILTAIYNIGEDVWHGNAGWGLDIGGPDWIFAVKNLALLVLTFPSQILFNRFVWSFTKHSDSTPLLTVPLNLPSAIMTDVLKVRILGILGIIYSFAQYIISRQQYMSGLKYI >KGN54782 pep chromosome:ASM407v2:4:17239028:17254688:1 gene:Csa_4G496230 transcript:KGN54782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATSPVRRVLFISAGASHSVALLSGNIVCSWGRGEDGQLGHGDAEDRLSPTQLSALDGHEIVSVTCGADHTTAYSVARTEVYSWGWGDFGRLGHGNSSDLFTPKPIKALHGLKIRQIACGDSHCLAVTMEGEVQSWGRNQNGQLGLGTTEDSLVPQKIQAFEGISIKMVAAGAEHTAAVTEDGELFGWGWGRYGNLGLGDRNDRLVPQKVSSVDGDKMVMVACGWRHTISVSSLGGLYTYGWSKYGQLGHGDFEDRLVPHRLEALRGDNISQISGGWRHTMALTTDGKLYGWGWNKFGQVGAGDNIDHCSPIQIKFPQDQKVIQISCGWRHTLAVTDKQNVFSWGRGTNGQLGHGESVDRNTPMILEALSVDGCSGQQIESSNIDPSSGKTWVSPTERYAIVPDESVQVQVQGPSTSVRGNGSDANVPENDVKRLRV >KGN54632 pep chromosome:ASM407v2:4:15429999:15430244:-1 gene:Csa_4G410850 transcript:KGN54632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSIRMRQSLMEEEEEEMEKKMTMIGLWCIQTSPIDRPTMSRVLEMLEGSIHSLQMPPRPLLVAPNMATQQSTSESLSYI >KGN54684 pep chromosome:ASM407v2:4:15921689:15922760:-1 gene:Csa_4G425730 transcript:KGN54684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREEHSNWFSRWEDELPSPDELMPLSQTLITPDLALAFDIQNPSNSSPPLPCPSPPLSNPLPGSGNGIVPPNSADFGDSADLGSGAASDEPARTLKRPRLVWTPQLHKRFVDAVAHLGIKNAVPKTIMQLMSVDGLTRENVASHLQKYRLYLKRMQGLSGGGGGGGAALVGSSDPATDHLFASSPVPPHLLHSARTSSDHFLPYVPMATLQQHHHHQQQMAAAAAVAGHTQLQPPYHRQVGHFGSPPNGQFEHPFLARQSQPIHRMGTPVHNSVPNYIEDLESANATGGRKVLTLFPTGDD >KGN54248 pep chromosome:ASM407v2:4:11873998:11878576:-1 gene:Csa_4G295520 transcript:KGN54248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKKTMNWDGLRDDDDDDRFFESIERMSCAMPEDLASSSDDEDFEDSRMSFASVVSSAKHDEFRTFAMTSPMTPEYDIWMAAPGSIKERRKRLLQGMGLNSGKQFQRLQSREFQRGVSRKLVNNTQISQPETVGSPEVVDQKQDAPAQTPLPIMLVRSRSDGDIDTFSISRTRKDDLLGNVSKQRLTRTYSMIMASSARMCNYPESIRVSPNDCSQQIGNGSTLSTVFSNNRLGAFFLIKNLDTGKEFIVNGYDQDGMWNRLSDIQTGKQLTMEEFEKCVGYSPVVTELMRRENVSRINGFFGDRKLNVNSYLSKSLRMSKRRGAALLKNIKGSMTGLIGEKDREIHQALETKLSKNASSSCPSPPHPSTSTTAAPTSSEWVKVRQSGKSYKELSALHFCQEIQAHEGSIWTMKFSCDARLLASAGEDRVIHIWEVQECEVMSMKPNEEGSMTPLHPSICPSPDRPAIGDASALSSEKRKKGKGISGSRKGNVIPDYVHVPESVFSLSEKPIYTLNGHLDDVLDLSWSSDSQLLLSSSTDKTVRLWDMETKSCLKMFAHNDYVTCVQFNPMDDDYFISGALDAKVRIWNIPDRYVVDWTDLHEMVTAASYTPDGQGAVIGCHKGTCRMYSIEDSKLEQKHQVDVQSKKKNHGKKITGFQFVPGSPTEVLVTSADSRIRILEGTDVTHRFRGFRNTSSQITASFSQDGKYVICASEDSQVFVWKREEPRNPNSAKKGLMAIRGYEHFPCKDVSVAIPWPGMISGEPPLVQMNSKRHSKRGLTQPASAGSSPTRDENNSIANNKKQLPPLPKKNNNAVENNNNNNNNNGGSTSTTPPDEEQQQQAQVTRSESRTGESPSSSPSASIRHGDSPSLSSSAAISNNPTSSSWSSSWSWFDVGNSHGHHAIPATAWGLVIVTAGLGGEIRAYQNFGLPRRIGRQTNFWPTT >KGN52860 pep chromosome:ASM407v2:4:708489:712075:-1 gene:Csa_4G003730 transcript:KGN52860 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease proteolytic subunit MAHTFAYTSASSFRLNSLVSVPNSSSSSDSQKFFLPLEPFHSRKLGKLVGGGRNLKNYPVKAMYSGEFSSMDGNSRQGIWSIRDDVQVPSSLYFPTYAQGQGPPPMVQERFQSVISQLFQYRIIRCGGPVEDDMANIIVAQLLYLDAVDPNKDIVMYVNSPGGSVTAGMAIFDTMKHIRPDVSTVCVGLAASMGAFLLSAGTKGKRYSLPNSRIMIHQPLGGAQGTQTDIDIQANEMLHHKANLNGYLSYHTGQSLEKINEDTDRDFFMSPKEAIDYGLIDGVIMNPLKALQPLAPTASTEDKPNA >KGN53882 pep chromosome:ASM407v2:4:8965693:8969625:-1 gene:Csa_4G179170 transcript:KGN53882 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein MATGVLLRSLRRRDLASSSLSAYRSLSSNAKPSWGNSHLSQSWASLSRPFSSKPAGNDVIGVDLGTTNSCVAVMEGKNPKVIENSEGARTTPSVVAFNQKGELLVGTPAKRQAVTNPTNTVFGTKRLIGRRFDDPQTQKEMKMVPYKIVKAPNGDAWVEANGQQYSPSQIGAFVLTKMKETAEAYLGKSVSKAVITVPAYFNDAQRQATKDAGRIAGLEVLRIINEPTAAALSYGMNNKEGLIAVFDLGGGTFDVSILEISNGVFEVKATNGDTFLGGEDFDNALLDFLVSEFKRTEGIDLTKDRLALQRLREAAEKAKIELSSTSQTEINLPFITADSSGAKHLNITLTRSKFESLVNHLIERTKAPCRNCLKDASISIKEVDEVLLVGGMTRVPKVQEVVTEIFGKSPSKGVNPDEAVAMGAAIQGGILRGDVKELLLLDVTPLSLGIETLGGIFTRLISRNTTIPTKKSQVFSTAADNQTQVGIKVLQGEREMATDNKLLGEFELVGIPPAPRGLPQIEVTFDIDANGIVTVSAKDKSTGKEQQITIRSSGGLSEDEIDKMVKEAELHAQKDQERKALIDIRNSADTTIYSIEKSLSEYREKIPSEVAKEIEDAVADLRKAMSEDNLEEIKSKLDAANKAVSKIGQHMAGGSGDGASGGGSQGGEQASEAEYEEVKK >KGN54469 pep chromosome:ASM407v2:4:13685207:13687052:-1 gene:Csa_4G337260 transcript:KGN54469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMAQLHCSVRPRQYYSVPNNHGFNNTPAFSFLSNSSAVESRSRSLSLSLFNADLTRPSFLAKSELSLAGGDGGNGVGHGGRGGGGGGDGGWSDDRTHGDDDDSSSFGVLGLFLKGWRSRVAADPQFPFKVLMEELVGVSSCVLGDMASRPNFGLNELDFVFSTLVVGSILNFTLMYLLAPTAAAGVAAQRLPSIFASCPTSHMFEPGAFTLLDRVGTFVYKGTVFAAVGLAAGLVGTALSNGLIMLRKKMDPGFETPNKAPPTLLNAMTWAIHMGVSSNLRYQTLNGVEYVLAKGLPPLAFKSSVVVLRCLNNVLGGMSFVVLARMTGSQSVEGPKTAGVELDSGDEKEKLLEGDKEEELRSNQTACK >KGN55281 pep chromosome:ASM407v2:4:21629752:21635488:-1 gene:Csa_4G643110 transcript:KGN55281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEFYRNSSIEWKPSPVVALASSADDSQVAAAREDGSLEIWLVSPGSVGWHCQLTISGDPTSRVSSLVWCSARSKDRPSGRLFSSSIDGSISEWDLFDLTQKSALESIGVSIWQIAAASSSSPEVHREEVKTQDTENGHVTDDETDCQDCSESEDDSDSSELHVQSSDTSLAIACDDGCVRIYNIGDAEEFIYKRSLSRVSGRVLSVTWSNDAERIFSGSSDGFIRCWNASLGHEIYRITAGLGGLGSGPELCVWSLLFLRCGTLVSADSTGSVQFWDSNHGTLLQAHTLHKGDVNALAVTPNHNRVYSAGSDGQVILYKLSNENVGSSEDKGSSEMMKKWIYVGHVRAHTHDIRALTVAVPICREEPLQDDVVKRIRHRKKPNDFSYRKWAHLGVPMLMSGGDDTKLFAYSAQEFTKFSPHDICPAPQRAPMQLVLNTVFNQAPLLLVQGAHSLDILCIRPKSGSFGDKACGPSKGHTKADLLVRVKSKASRKIICSTISNSGKLFAYSDHTKPNLFELKKSGGSKGSWTVSRRKLPNVLPFAHSMVFSFDSSRLIIAGHDKRIYVVDVGSLEVLHSFTPFRELQDDTLPPTEPPITKIFTSSDGQWLAAVNCFGDIYVFNMEIMRQHWFISRLDGASITAGGFPQWNNNVLVVTTSSNQVYAFDVEAKQLGDWSMRHTQALPKRFQEFPGEVIGLSFPPSATSLPVIVYSSRAMCLIDFKMSVDQDDEYVMISGQDSTVKSLWGTPINGKLKRKLRDCQIEGRPHGRKNFEIFPFRDPVLLIGHLSKTSLLIIEKPWLEVANTFDTAPVHRHIYGT >KGN53370 pep chromosome:ASM407v2:4:4063627:4064259:-1 gene:Csa_4G050250 transcript:KGN53370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSTKNCITITVLALFLFSSSSCSALPMVKKQKHKPCKQLVLYFHDVLYNGKNAKNATSAIVAAPEGANLTILAPQFRFGNIVVFDDPITLDNNLHSNPVGRAQGMYIYDTKNTFTVWLAFSFSLNYTAYKGTINFVGADPILVKTRDISVVGGTGDFFMHRGVATIMTDAFEGEVYFRLRVDIKFYECW >KGN53746 pep chromosome:ASM407v2:4:7372277:7372543:1 gene:Csa_4G119260 transcript:KGN53746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGIDCSFASKKKLGLNSSRGEVRKMVTGNGRGGRLCGSEKDEEHGEVEGKGWATVWEEEKRAIKHEEGGGKGQQRGERRRRRFGETS >KGN54891 pep chromosome:ASM407v2:4:18862830:18863831:1 gene:Csa_4G572850 transcript:KGN54891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPPSLFFLLPFSESPFLPPPDSLDIATEFRCSTPPAAASHSVFVLDTECGIPSIVALAATVSDFEILMDLVHYDERLLIY >KGN53612 pep chromosome:ASM407v2:4:5893620:5894842:-1 gene:Csa_4G090310 transcript:KGN53612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHVVDCTAPNLDEHRSTVLQVLQQIGVSKMKLQDMVEVWNKIDYHQEGESIDDSCDDDNGEAANSSGEDSNEGKLSSAGTNNIVEMEKGDITIKQATEEHLGNRMEGQESDCCDDWLQDDDEDQNPWADKGQGMGEDVQASLDQSGPHVRISARLGVGLGELLQLIDNKLKVQDEKLQAQNVLERNVFDRKWRPSQMESD >KGN53759 pep chromosome:ASM407v2:4:7520653:7524095:-1 gene:Csa_4G123320 transcript:KGN53759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKILAAKLQQKNQDSVVSDQSNTVETKEIASKQDFATAMATTCPKEIQVDETKLTAASSETQDNISVGGSTANSAAIESDLETTRRIDVAVLSSKHLFLILPVLILIAAVYLSSLQD >KGN53664 pep chromosome:ASM407v2:4:6422596:6423507:1 gene:Csa_4G098200 transcript:KGN53664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVKAGSLEDACSVLDLMAEQQDIVPDIYLLRDMLRIYQRCGMVHKLADLYYRILKSGVSWDQEMYNCVINCCSRALPVDELSRLFDEMLQCGFAPNTVTLNVMLDVYGKSKLFTKARNLFGLAQKRGLVDAISYNTMISVYGKNKDFKNMSSTVQKMKFNGFSVSLEAYNCMLDAYGKECQMENFRSVLQRMQETSSECDHYTYNIMINIYGEQGWIDEVAEVLTELKACGLEPDLYSYNTLIKAYGIAGMVEEAAQLVKEMREKRIEPDRITYINMIRALQRNDQFLEAVKWSLWMKQMKY >KGN53718 pep chromosome:ASM407v2:4:7087034:7088240:1 gene:Csa_4G110050 transcript:KGN53718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSIHYLATLSFTLFFFFSSAHPGLGRKLAALVEQQPLVLEYHKGALLKGNITLNLIWYGQFTSSQRSVIVDFIQSLTYSRAPAPSASLWWKTTENYKGGSSNLVVGKQILHESYTLGKNLKSLHLRALAMKVNQLNSVNLVLTAKDVAVEGFCRSRCGTHGSVPVGRSKARTAYVWVGNSESQCPGYCAWPFHQPIYGPQTPPLIAPNGDVGVDGMIINLATVLAGTVTNPFNDGYFQGPATAPLEAVSACTGLFGSGAYPGYPGKVLVDKVTGASFNAYGVNGRKFLLPAMWDPQSSACKTMV >KGN53367 pep chromosome:ASM407v2:4:4038775:4039518:-1 gene:Csa_4G050220 transcript:KGN53367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKSPISNLFFFVFLLLITFSVASKHRFRRPCRHLVFYFHDIIFNGHNAKNATSAIVGAPAWGNLTVLAAQNHFGNVVVFDDPITLDNNLHSPPVGRAQGFYIYDKKDIFTAWLGFSFVFNSTEHRGSLNFAGADPLMNKTRDISVIGGTGDFFMARGIATLSTDSLEGEVYFRLRTDIKLYECW >KGN55534 pep chromosome:ASM407v2:4:23134740:23135363:-1 gene:Csa_4G664410 transcript:KGN55534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRKEGRKEGRKEGRKEGRKEGRKEGRKEGRKEGRKEGRKEGRKEGRKEGRKEGRKEGRKEGRKEGRKEGRKEGRKEGRKEGRKEGRKEGRKEGRKEGRKEGRKEGRKEGRKEGRKEGRKEGRKEGRKEGRKEGRKEGRKEGRKEGRKEGRKEGRKEGRKEGRKEGRKEGRKEGRKEGRKEGRKEGRKMTYHRQYQMVSPFSRFLF >KGN53574 pep chromosome:ASM407v2:4:5606824:5610735:1 gene:Csa_4G083490 transcript:KGN53574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSGTRLPTWRERENNKRRERRRRAIAAKIFAGLRMYGNYKLPKHCDNNEVLKALCNEAGWTVEPDGTTYRKGCKPIERMDVVGGSAAASPYTSHQPSPCASFNPSPGSSSFPSPASSSYIGNPNADGSSLIPWLKNLSTSSSSASSSKLPNHYIHGGSISAPVTPPLSSPTARTPRLKADWEDQSVLPGWSAQYYSSQPSSTPPSPGRQIVPTPEWFAGLRIPQGGPNSPTFSLVSTNPFGFKEAAITGGGSRMWTPGQSGTCSPAIAAGSDHTADIPMSEVISDEFAFGSNAAGIVKPWEGEIIHEECGSDDLELTLGNSRTR >KGN53209 pep chromosome:ASM407v2:4:2857720:2861130:1 gene:Csa_4G026860 transcript:KGN53209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFYASNEEISRNQSGIVSERDMEEEEHEVYGGEIPVEGEMEGDVDMSAGDDDAVKELDEMKKRLKEMEEEAAALREMQAKVEKEMGAVQDPAGTSASQAGKEETDSRSVFVGNVDYACTPEEVQQHFQSCGTVNRVTILTDKFGQPKGFAYVEFLEAEAVQEALVLNESELHGRQLKVLPKRTNVPGMKQYRARRYNPYVGFRRPYAPPYFYSPYGYGKMPRFRRSMRYMPYY >KGN53764 pep chromosome:ASM407v2:4:7570832:7573227:1 gene:Csa_4G124860 transcript:KGN53764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKRELLLREENKMESQTDLDTGTDALDAYMSGLSSQLVLDKTTKLQNELSSLQPELDRILYLLKIADPSGEAAKKRESSAKKSDSNVGAKPEKFNVPTSVNGKPCKGPLKDGDSKEQVLDAKQEVKTAQDSVEPNDLVTEKIVDDAKDKKVISYTAAKPQWLGAVEEMKSEEIQKEAVPLDIQESDDFVDYKDRKEVLQNSDNKPTKIDSVIESAAPGLILRKRKQEDLSDSPLDASQQSTASSEVDRAKFKAEDAVALLLKHQRGYHGSDEEEVRHESKRSTGRNKSKKDEKKPKRVLGPEKPSFLDAKADYESWVPPEGQSGDGRTALNERYGY >KGN55050 pep chromosome:ASM407v2:4:20202913:20203185:1 gene:Csa_4G625050 transcript:KGN55050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGSKKLIMVALLVVALVLSQAHMSLGIRKLSNKEMKMVPIGVDVNYMLLGALPKGPTPPSAPSERGNPAPFSDGDRVLVSAPSPGIGHR >KGN54288 pep chromosome:ASM407v2:4:12161932:12162997:1 gene:Csa_4G296900 transcript:KGN54288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSSGYLPLPLNHYVGVKFRPTDQQLLHYLHCKIYGQPYFQGAVFDFDLYGGVEPWEIWQSFGGIDGEDLYFFTKLKRSTTNSGNLSTHINRKIGLVNGTWSGENSASPIYVNKDHEQIIGYRKRFRYENESLEEHHGEWIMHEYSLHPDYLRCEGVDPNYVLCRIRKNQRAKRKLETESELKQSNKKRMKVREISNNERPKAKTKTKKRCDELQPIDQRAIICETIYNSDIRHDVNTHQDITVVDHVPNMTTNQDNINENTSLGTKEYVPCMSTDNELRDEFNNVDFSTYFQNNFNETSCTFKV >KGN55059 pep chromosome:ASM407v2:4:20252953:20256314:-1 gene:Csa_4G627100 transcript:KGN55059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNSALSHLLCISLLIFSFFQIGLVKAITHNPLSNNIFLPSELQNNERSDNLQLRTCTKVNPLLHYRPVIGILSHPGDGASGRHTNASNASYIPASYVKFIESAGARVIPLIYNDPPEVLEEKMGLVNGVIFTGGRVRDGLYYSVAEKIFKQILSRNEDGDHVPLYGISLGFQIISAMVSQRYGIIVPFNASRFPSALKFNDFANIRGTVFERVPLSLRIRATEDCITWEDHGYGISPETFEQDERLSDFFQILTTSVDKDNKVYVSTANARNYPITIFQWNPEKNSYEWGISSIPHTEYAIELTHHIAHHLVSEARRSTNQPPKEKVLEKLIYNYTPIYNGKAGKGYDQVYLFQ >KGN54625 pep chromosome:ASM407v2:4:15312544:15313305:-1 gene:Csa_4G386300 transcript:KGN54625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTGVRVAGLMRAPAPLISAASSFFFLFFLLSSSCIIVVFSVSDAETLLQFKRSLTSATALNNWKPSVPPCEHHKSNWAGVLCLNGHVRGLRLENMGLKGEVDMNSLVSLTRLRTLSFMNNTLVGSWPPVISKLGSLRSVYLSYNHFSGEIPDDAFTGLWS >KGN54173 pep chromosome:ASM407v2:4:11393959:11399117:-1 gene:Csa_4G291370 transcript:KGN54173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLEVKLEETREYFKSGKTKEASWRFSQLKGLHKFLNQNQQQIYNALFQDLGKHHVEAFRDEIGNLQMSLNFALENLKHWMSPRKAKLPAIAVLNSAEVVPEPLGVVLVISSWNFPFGLSLEPLIGAIAAGNCVFLKTSEFAPASSAFLAKVIGDYVDDKAVRVVEGGAATGERLLQLRWQKIFFTGSERVGRIVMAAAVKHLTPVTLELGGKCPAIVDSLSCSWDIESTAQRIVIGKFGACAGQACVAIDYVLVEHKFISTLVELLKKYIKKFFDKGSNQAKTMARIVNKQNLLRLKNMVDEPAVQATIIYGGSMDEEKLFVEPTILLNPPIDAEIMREEIFGPILPIIGMDKIEDSIDFINSRPKPLAIYAFTKDKAFQRRLVSETTSGSLTFNDAIIQYAVDTLPFGGVGESGIGRYHGKFSFDTFSHEKAIVKRSLLIDFWFRYPPWNNHKLQLLRHAYNFNYFQLVLTVLGLKRS >KGN53788 pep chromosome:ASM407v2:4:7823697:7826759:-1 gene:Csa_4G129050 transcript:KGN53788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMALPISRLHSLFPLLALFLAFSTFLASTEAYDPLDPNGNITIKWDIMSWTADGYVAVVTLYNFQQYRHIQTPGWSLQWKWAKKEVIWNMLGGQATEQGDCSRFKGNIPHCCKKDPTVVDLLPGTPYNQQIANCCKGGVLNSWVQDPATAVSSFQLTVGSAGTSNKTVRLPKNFTLKAPGPGYTCGPAKIVKPSKFKSPDKRRVTQALMTWNVTCTYSQFLAQKTPTCCVSLSSFYNDTIVSCPKCSCGCQSNLNAPQNCVEPNSPYLASVVNSASSSKNNLTPLVQCTGHMCPIRVHWHVKINYKEYWRVKITITNFNYVMNYTNWNLVVQHPNFDNLTQIFSFNYQSINPYGTINDTAILWGVKFYNDLLMQAGPLGNVQTELLFRKDQSDFTFDKGWAFPRRIYFNGDNCVMPPPEAYPFLPNKGSKQGVSVFTMLLLISLTLLSFHHSFA >KGN54325 pep chromosome:ASM407v2:4:12363080:12370751:-1 gene:Csa_4G304220 transcript:KGN54325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLMFGWARRPHQFFIVRLSWTIVLVGEINEEQSSLIPSHCFYHLQIHLFPLLFRYFSPLILLHSITFFLSTQSNIFFISRLSESAMAVDTEPELLPQKKNEPSEDEKRKAKIVPGSLMKAVMRPGGGEATPSEGDQVVYHCTIRTLDGFVVQSTRSEFGGKGIPTRHVLGKSKMILGLLEGIPTMLKGEVAMFKMKPQMHYGEEDCPVSVSSSFPKGDELHFEIEMIDFFKAKVVCNDFGVVKKVISEGQGWESPREPYEIKAWISARTGDGKVILSHATGEPYFFTFGKSEVPKGLEMGIGTMTRGEKAVIFVTSQYLTPSPLITVEDGVEEVQFEVELVHFIQVRDMLGDGRLIKRRIHDGKGDFPMDCPLHDSLLRVHYKAMLVEDKKAFYDTKVDNDGQPLEFRSGEGLVPEGFEMSVRLMLPGEIALVTCPPDYAYDKFPRPANVPAGAHVQWEIELLGFEMPKEWDGLDFKSIMDEAEKIRNTGNRLFKEGKFELAKAKYEKVLREFNHVNPQDDEEGKVFSNTRNMLNLNVAACYLKLGECRKSIETCNKVIEANPANAKALYRRGMAYMTLGDFEEARNDFEMMKKADKSSETDATAALLKLKQKEQEVEKKARKQFKGLFDKKPGEISEVGDGDREERNSGEIQENSDKLEQNEDDKSSEFSDDSTTDDQPRDWLSRFWPAGRRIFSALGLNRCSIL >KGN53129 pep chromosome:ASM407v2:4:2331045:2332610:1 gene:Csa_4G017170 transcript:KGN53129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDDFSVSPPAGFPDHPHRGFETVTYMLQGGITHQDFAGHKGTIRTGDVQWMTAGRGIIHSEMPAGEGSQKGLQLWINLASHDKMMEPRYQEISSDNIPRAEKDGVEVRVIAGESMGVHSPIYTRTPTMYLDFSLRPRAQVHQSIPDTWTSFVYIIEGEGVFGSSNSSRVAAHHVLVLGGGDGLSVWNRSSKPLRFVLVAGKPLNEPIVQYGPFVMNSQSEIDQAIEDYHYAKNGFEMAKYWRSQ >KGN54917 pep chromosome:ASM407v2:4:19178743:19179771:-1 gene:Csa_4G596000 transcript:KGN54917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKKKRKKNKTKQNTHSKLKNSPERTNRETPACSNGGFWEISEGKIRRELDFKLYNSHINYWKGIFGE >KGN55486 pep chromosome:ASM407v2:4:22915117:22918279:1 gene:Csa_4G653470 transcript:KGN55486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSATNLLLSSSFIGTNTLIFPPTPKTTRSISHLSFFSKRKSFLTRSVLSEKPNFEPYKSIPSQAALAALIFSSIAPQALAVDDASPPPPPPVIEAQAVSPSTSTSSPFSQNLLLTAPKPQSQSVSDLPEGSQWRYSEFLNAVKKGKVERVRFSKDGSALQLTAIDGRRATVIVPNDPDLIDILAMNGVDISVSEGDAGNGLFNFIGNLLFPFLAFAGLFFLFRRAQGGPGGPGGLGGPMDFGRSKSKFQEVPETGVTFADVAGADQAKLELQEVVDFLKNPDKYTALGAKIPKGCLLVGPPGTGKTLLARAVAGEAGVPFFSCAASEFVELFVGVGASRVRDLFDKAKSKAPCIVFIDEIDAVGRQRGAGLGGGNDEREQTINQLLTEMDGFSGNSGVIVLAATNRPDVLDSALLRPGRFDRQVTVDRPDVAGRVKILQVHSRGKALAKDVDFEKIARRTPGFTGADLQNLMNEAAILAARRDLKEISKDEISDALERIIAGPEKKNAVVSDEKKKLVAYHEAGHALVGALMPEYDPVAKISIIPRGQAGGLTFFAPSEERLESGLYSRSYLENQMAVALGGRVAEEVIFGEDNVTTGASNDFMQVSRVARQMVERFGFSKKIGQIAIGGPGGNPFLGQQMSSQKDYSMATADIVDAEVRELVERAYSRAKQIITTHNDILHKLAQLLIEKETVDGEEFMSLFIDGKAELYVA >KGN53011 pep chromosome:ASM407v2:4:1650912:1651100:1 gene:Csa_4G011095 transcript:KGN53011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLAELLEVNDTIFNGGSDSLLIASACAKMKKLKLSRENADGFLYGFQYRIQGYKTYAILYY >KGN54559 pep chromosome:ASM407v2:4:14725229:14729402:1 gene:Csa_4G363410 transcript:KGN54559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVVSPLELKILRYIARRQRIVGKIPDCVKSLTGGTSACFSSRRRVIWLRYTHSDSLINIMKTADASLFGMFFKHKDKSSPSDDDSEDLGDVFDSEVNSYLNNRKEAHYKKIIWEQINKDYLQDQAAKKQGLNVVGASAVVKKSKKRQRKTEAPINMPAQADTGTTREMQIKKRLSSKFNFDVLDKLFSDTPAPDSSEKQGASSCKENSSSGQIHCRNELEDDFEDNLNSSSGQIHCRNELEDNFEDNHTETMFEENFDIEGEEDPTETYGNEYYDFQDEEEYGYGYNDYGGEEEY >KGN53697 pep chromosome:ASM407v2:4:6849249:6850958:1 gene:Csa_4G107390 transcript:KGN53697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFHRNPSINNRHSSSPSSSSASSTTALHNPTATASADTDPMHSWWESVSKARSRIHALSSILPPHSDSFFLSSVADSDRPALSLLSSHDAYSVISSALSSSLSGSGSDPLCHWLYDTFLSSDPHLRLVVLSFLPLLSSLYLSRVHSTSSDSPSLPSLAGFEAVLLALYSSEVKSRAGKPVVVSIPDLSQPSLYHSPMNKPNSGAQAQVRPSVGVLSPSLEPQNAVKSTKRACIVGVALDCYYKQISQMPSWSKLEFCRSAASWAGQDCCCTREFDKEDGFDVGGFSEKRALEYTDEIEDASEEMGRLQIEKCGNNSNDSEPKGSRIPLPWELLQPVLRILGHCLLAPLNSQDVKDEASVAVRCLYARASHDLVPQVILATRSLIQLDNRTRAAAKAAAAAANSSSNANTPSKDKKPEILLVSK >KGN53956 pep chromosome:ASM407v2:4:9594762:9596938:1 gene:Csa_4G193260 transcript:KGN53956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSLWKGCKFFSPRSSSTSQNLQFLSFSSFLQIKSLSPSAASDPLRCSSSFLISQVIKYATTHKATQQIRSFIITSGLLLNATANFILLCNTLLHCYPLYQPLRQFPRIPPSYDTFAYSFLLHSCADLELIGPGFQLHALTFKLGFPSHVYVQTAVLRMYAASGFLLDAMKVFDEMPDRSSVTWNVLITGLVKFGELKRARDVFDQMPMRTVVSWTAIIDGYTRLNRHEEAAGLFWRMVAHFGMEPNEVTLLTIFPAISNLGALKLCQSVHAYAEKKGFKVSDVRIANSLIDCYAKCGCINSASKVFEEMSAEIKNLVSWTSIISGFTMHGMGKEAMESFEIMEKEGHEPNRVTFLSIVSACSHGGLVEEGLEFFEKMVAEYQIKPDIMHYGSLIDMLGRAGRIEEAEKIALEIPKEIASVVIWRTLLGACSFHGNVSMAERVTQRILNMEGAYGGDYVLMSNIFAAAGKYGDAERWRRLMDSSKFSKIPGQSLV >KGN55480 pep chromosome:ASM407v2:4:22884656:22889942:-1 gene:Csa_4G653410 transcript:KGN55480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLTSPFFALCAFFCFFSTLSAENPYRFFTWNVSYANIYPLGVRQQGILINGQFPGPDVHCVTNDNLIINVFNSLDEPFLISWNGIQQRRNSYEDGVYGTTCPIPPGKNFTYILQVKDQIGSFYYFPSLAFHKAAGGFGGIRILSRPRIPVPFPDPAGDYTVLIGDWYKSNHTTLKAHLDRGKKLPFPDGILINGRANDTSFGVEQGPPPGGPTIQIDWSLNQARSIRTNLTASGPRPNPQGSYHYGLINTTKTIILANSAGQVNRKQRYAVNSVSFVPADTPLKLADFFKIGGVFRVGSISDRPTGGGIYLDTSVMGVDYRAFVEIIFQNNENIVQSWHIDGYSFFVVGMDGGQWTQSSRNQYNLRDAIARCTTQVYPYSWTAIYVALDNVGMWNVRSEFWARQYLGQQFYLRVYTPSTSLRDEFPIPKNALLCGKASGRHTRPL >KGN54760 pep chromosome:ASM407v2:4:16921717:16922049:1 gene:Csa_4G455670 transcript:KGN54760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNWSLHSLLNLQCYWRSHRFFVTDHSWHGHIGPGPYPTRIENGQWGGFLHVKTSGTATGSSAAVVYRGVNNNGQHCDWMAAWSNPWNRSTSDNTVSKIDSFSFPFHLLS >KGN53431 pep chromosome:ASM407v2:4:4527550:4533826:1 gene:Csa_4G054280 transcript:KGN53431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENMRARAAAKAFLLNSQHISPLTITFNRFFAAIYGAGLLALFYYHITSLLNSTSLGSFYLSVSLFISDAVLAFMWATAQAFRMNPLRRREFPANLKELLEKDSDFPALDVFICTADPYKEPPMNVVNTALSVMAYDYPISKISVYVSDDGGSALTLFAFMEAARFAAAWLPFCRKNDVVDRNPDVFFTSNYHLNSETEEIKIMYEKMKIEVENICEKGMDELLNVKEECMAFNPWRTKSFTPKHHPAVIQVLLESSKNKDISGEALPNLIYVSRQKSLTSHHHFKAGALNTLLRVSATMTNAPIILTLDCDMYSNDPHTPARALCYFLDSKLGNNLGYVQFPQRFSGVSKNDIYGGELKHVFMMNPVGFNGLLGTNYAGTGTFFIRRVFFGGPSSFESFDLSKHSPNHVVERSIESQEVLDLAHLVASCDYENNTEWGCKLGFRYGSLVEDFITGYCLQSEGWRSVFCNPKRVAFYGDVPINLLDGLNQIKRWSIGFFEVAFSKYNPITYGVRSMGLLMGLCYTHYAFWLAWCIPVTVYAFLPQLALINGIQIFPQVWDAWFVVYIFLFLGAYGQDLVEFIHAEGTLKKWWNDQRMWMIRSVSSFLFGCIEFTLKSLGINPNFGFNLTSKAMNEEESKRYKQELFEFGVFSPMFVPITTAAIVNLASFVCGLIRIWKSGGAWEHLFAQMLVAGFGVVNCWPIYEAMALRNDEGKLPPKLTFFSISLALLLSYFASFFH >KGN53665 pep chromosome:ASM407v2:4:6424269:6424697:1 gene:Csa_4G098210 transcript:KGN53665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSTTNLRCILQKIIQPHWKQQHRIERVVENKIGRGRRWLLKIRHLEVGATHWHSMTKENRTMGPHRCSVPEENETMGQKATKKRGWPFTGVRCEKNVGGSLWCSMEKKRRLRVGVCSAEKRGGGRGRVCERGKMWGKGRR >KGN53770 pep chromosome:ASM407v2:4:7628508:7632609:1 gene:Csa_4G125410 transcript:KGN53770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQRERLRPRVRPNTMNSKSQIVQKSSSSRAPPQTLLSPSFFCFCAVPILYKYLSFSPSCWTNSRPCFGSGFVLPMVKTSKSIHERFEDDANESGVKIEGKSGESKASGHRSKHSETEQRRRSKINERFQILRELIPQNDQKRDKASFLLEVIEYIQFLQEKLNMYEGSCQGWSSEPSKLMPWKNYRAADSYVDHSQVVKRGSNHESAVVFSQAMLTNAPNVMDADLGPTAVLNAVDHTLVSATQGLPMSMHTQPIAFDPVGRSSLSTESLDEPVSGSENISSRTQAELLPGRTCTTTGFLNQAVSDQDDLTPESELESISGAYSHGLLSTLTQALEASGVDLSQTNISVKVDVGKRANRAISLSEDDKQQSLNNQVMGQSIHGCFSEDSEQAHKKLRTGV >KGN54153 pep chromosome:ASM407v2:4:11259357:11261225:-1 gene:Csa_4G290200 transcript:KGN54153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDEKQSIVLFPFMGQGHIIPFLALALQIEQLNTNYAIYFVNTPLNLKKLRASLPSSSSIRFLEIPFSSSSYGLPPASENSDTLPYHLILRLFQASASLQFKSSFKEAIQALTARCHGRPPLCIISDIFLGWTANVAKQLGVYHAIFSGAGGFGLACYVSLWLNLPHRKVVAHHFSLPDFKEGTVKLHKTQLPTNIAEADGEDGWSIFQRENLSAWVDSQSLLFNTVEEFDQIGLSYFRRKFPGLRVRPIGPLVLGLKSRDRIGNTRGVITRETILKWLDSKPSSSVLYVSFGSMNTISSSQMMQLGKALEGSQKNFIWVVRPPMEVDINAEFKGEEWLPEGFEERNRATGRGLVVQNWAPQVEILSHRAVSAFLSHCGWNSVIESLGNGVPVMGWPLAAEQFFNAKYLEEEMGVCVEVGRGKKSEVKSEDIVKKIEEVMGEKKEMMRRTARKVKETMEKAWKQREGFNGSSAKSFHDFLSDAKQHREMKDMILFSNIAN >KGN54278 pep chromosome:ASM407v2:4:12101672:12104832:-1 gene:Csa_4G296310 transcript:KGN54278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHLIGKPGTVSGLALRIGQSAFAAASIGVMVSALGFSSFTAFCYLIASMGLQVLWSFGLACLDMYALRRKRDLQNPILVSLFVVGDWVTATLTLAAASSSAGIIFLYAKDLDVCKFHKELPCSMFQISIALAFVTWALIAMSSHVMFWILLASV >KGN52729 pep chromosome:ASM407v2:4:2085:4315:-1 gene:Csa_4G000010 transcript:KGN52729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMRGGDYKAIIMYECSVKTIMIFFGVFISNFLQLSYASLISTGDYNKDFFITWSPSHVNTSLDGRARNLKLDNDSGAGFASNEMFLFGQMDMKIKLVPGNSAGTVVAYYLTSDQPNRDEIDFEFLGNVEGQPIILQTNIFADGFDDREERIKLWFDPTKDFHTYSILWNIYQIVFMVDWVPIRVYRNHGDKGVGYPRWQPMSLKVSLWNGESWATRGGKDKIDWSKGPFVASFGDYKLDACIWRGNARFCRGESSSNWWHLPKYNTLSPFQRRLFKWVRKYHLIYDYCQDNLRFHNQLPKECSLPKY >KGN54276 pep chromosome:ASM407v2:4:12093782:12094380:1 gene:Csa_4G296290 transcript:KGN54276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLIPFLYKAILQYKNGNSETNNNNMNQPSSYWWCDADHQSPPSSSSSSEALYVRLAGDDQDYINTNTNNNLPFSSSSSSSTFNDTKSVIISSNSGIQSPLCHLLSNRVVA >KGN55037 pep chromosome:ASM407v2:4:20116714:20122099:-1 gene:Csa_4G624430 transcript:KGN55037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKFSIFLYSFLYLSRRRLRSLNLPGTLPPQIANLTYLEELDLSRNYLSGSIPPEWGLTKLVNLSLLGNQLTGPIPKEIGNIGTLKELILEVNYFSGSLPQELGKLKSLTRLLISSNNFTGELPSSLGKITTMTDLRISDNNFTGPIPNFIQNWPKLITLSIQASGLSGPIPPLISRLTLLVDLRISDLNGGSSRFPLVNTLTNLKTLILRSCNIIGMLPPTFNGLDNAKTIDFSFNKITGPIPPGFEVLKQVDRIYLAGNMLSGAVPRWMLQEGENINLFASSAQDISSGTVSCLAGSCDKTWYSLHINCGGKEDLVNGTVRYDGDTNTGKSSLFFQGGANWGFSNTGSFMDDDRSTDDFIALSPPELPMKGLELYTSARISPISLSYYAYCMGNGKYTLSLHFAEIEFGYVRTYKSLGRRVFDVYVQRVLVLKDFNIADEAGGVGKPLIKKFPVSITNGTVEVRLFWAGKGTNSIPSRGTYGPLISAISLVPDFDPPTEGGSAISAGVVVGIVAAVVFFIILLLGVLWWRGSQRKKSTLEQELKDLDLGTGSFSLRQIKASTKNFDVANKIGEGGFGPVYKVLYTSFGFQIFHLFFHTFEVKICLRNCREFLMMAV >KGN55135 pep chromosome:ASM407v2:4:20801863:20804545:1 gene:Csa_4G637750 transcript:KGN55135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQNYSVPEAYLESEQDVSLKQNWKSISTQSTISEDANGCFDCNICLDSAADPVVTLCGHLYCWPCIYKWLHVQISSNEPENTQNCPVCKASITPSSLVPLYGRGTSNSDSESKKSHLGMAVPRRPPPSMNTPSHSNSSSTLYPSQELHSNYIRSPSHPIYHQQYFPQATYGNFASYSPSYLGNAVITSLLNPTIGMFGETVFTRIFGSVDGNLLPYSPYNNSISGNASTRMRRQEMQLDKSLNRVSIFLFCCFIICLLLF >KGN54491 pep chromosome:ASM407v2:4:13977414:13990071:-1 gene:Csa_4G338950 transcript:KGN54491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAELVNSATSEKLAETDWMKNIQICELVAHDQRQAKEVIKAIKKRLGNKNANAQLYAVLLLEMLMNNIGEAIHKQVIDSGVLPILVKIVKKKSDLPVRERIFLLLDATQTALGGASGKFPQYYSAYYDLVSAGVQFPQRPPAVSSNSPTQQQINNTSQNGVIRLSEQENVARVEPQILSESSIIEKAGNALEVLKEVLDAVDPRHPEVYSLASFDSLPLSLYIPIFLSL >KGN55365 pep chromosome:ASM407v2:4:22111678:22112701:-1 gene:Csa_4G646390 transcript:KGN55365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSGDGEFPSWQFHRNYPLFTDDILNFMAQIKPTAAELMAKSPAADQSLLPPTFTSGEATSAADVEYWNSTVEPSGSSNYYFFPGETSRWECQEPQNFQTPGYYGHDHGGVYNMQLPITPESNTFQPIACSCCLVLREIVHTNGINTRKLEIHGRFGILWHAILEENFPTLGGTNSSSFTQYKMFE >KGN53555 pep chromosome:ASM407v2:4:5468039:5472564:-1 gene:Csa_4G082320 transcript:KGN53555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQDHPKKEAKEVNFFTEYGDANRYKILEVVGKGSYGVVCSAIDMQTAEKVAIKRIHDIFDHASDAIRILREVKLLRLLRHPDIVDIKRIMLPPSKKEFRDIYVVFELMESDLHQVIKANDDLTREHHQFFLYQMLRALKFMHTANVYHRDLKPKNILANANCKLKICDFGLARVAFSDTPTTVFWTDYVATRWYRAPELCGSFCSKYTPAIDIWSVGCIFAEVLMGKPLFPGKSVAHQLDLITDLLGTPSMETIAGVRNEKVRKYLTEMKKKSPVPFSQRFPKVDPTAIRLLERLLAFNPKDRPSAVEALADPYFKGLAKVEREPSCQPISRSEFEFERRKLTKDDVRELLYREILEYHPQIRDDYLNGTETTKLHYPSVTGHFKSQFTFHKENNGKSAPVLPLERKHFSLPRSTVCTNLVSPDHEPVRRNPKVCNNSMGLPDRTFGNPSKAHHPPKVPTGRVAGSILPYEHRNIKDVYSKLTSQIRSLDF >KGN54185 pep chromosome:ASM407v2:4:11487497:11490321:1 gene:Csa_4G292460 transcript:KGN54185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTSKPKSLEPLRKPRPIRQLKSPGSDSVSASVSPLPPSKMTKERNPKTVVTKSVQSSVLEKKRPNRVSTIESQIAQLQDELKKTKDQLNSSESGKRRAKEEAEEAKQRLIVMSSELEDSRQQLFDLSASEDERIQELRKVSQDRDRAWQSELEALQKQHLMDSAALAAAMHENQKLKLQLERIAGSEANQSRHAESAHAEIQGLRIELKETLSLVEELRSKLSEYEDSEAQALEDLKKTHMELQTANKTIENLQSEGTNAMKAFSSISLELEQSKEKVTTLEALVSKYQDDFAEIDRKKLEDHSENKNNDKDDEANEYINQLKNELNCVRSEMGQLRLALDAADRRYQDEYLRSTIQIRSSYEEVESLKSESRRKEAAFEAELIQAKAQIKQLRTHLEDKEAQLLSIAKEKETASLNQKIKESEKETDISEQLKKFESDIEELKASLLDKETELQGIIEENDMLRVGIQKMETERKIEHGETTDLEEPAKSANEETTNKLGSVNENSETEAELRRLRVQLDQWRKAAEAAAAMLSPGKDGKLVDIAGSIDSNYPLSSYYSEDLDDDSPKKKNINMLKKIGVLWKKSQK >KGN55194 pep chromosome:ASM407v2:4:21188203:21190833:1 gene:Csa_4G639820 transcript:KGN55194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMASILKPPPFPPFHSLNPNFFNSSPLILCFPTHPINSFHPSTRALKTGPEGIRLRSHQEYSSDLLRKPVGPSAKDLAGPSEDDDSSEESGNEDEEEVEWVDWEDKILEDTVPLVGFVRMVLHTGKYENGDRLRPEHEKTILERLLPYHPESEKKIGCGVDYITVGYHPDFESSRCLFIVRKDGEMVDFSYWKCIKGLIRKNYPLYAESFILRHFRRRRRNSRR >KGN55093 pep chromosome:ASM407v2:4:20492568:20494771:1 gene:Csa_4G628900 transcript:KGN55093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAYRTDDDYDYLFKVVLIGDSGVGKSNLLSRFTRNEFSLESKSTIGVEFATRSIRVDDKIVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRHVTFENVERWLKELRDHTDSNIVIMLVGNKADLRHLRAVSTEDAQAFAEKENTFFMETSALESLNVENAFTEVLTQIYRVVCRKALEIGEDPAALPKGQTINVGNKDDVSAMKKVGCCSS >KGN54534 pep chromosome:ASM407v2:4:14506990:14507483:-1 gene:Csa_4G358720 transcript:KGN54534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKRATIKWIVNGGILIMWSAASFMVSSITKGAHSLTVLFTLADGFSFWLIGVYGLTTDSENWLIGRDSTFSDGHRKNPTIIDLQEKCRHSILLLNKGILLICPFRMAAIHGQISEIHQLIPRLIDSYTQKP >KGN54609 pep chromosome:ASM407v2:4:15114339:15115310:1 gene:Csa_4G377720 transcript:KGN54609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLDELVLRNVMSVEEAELVHNSSSSPPAAATVSLFLGKRNKDIKPQPDPMAEASASAEGMDWIHYQRALLIDSKLPVSQGVYNHGSVAGIGVYNMEAMSMTTSASSNSDFQEGNNCGRKRRQVDDMKEKTIERRQRRMIKNRESAARSRARKQAYTNQLEHEVSCLKKTNSWLRKQEEAEGLFSSNPIPMPRHQLRRTSSAFF >KGN55182 pep chromosome:ASM407v2:4:21100616:21105589:1 gene:Csa_4G639210 transcript:KGN55182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTRSEHHTVPLSVLLKRELAIEKIERPEIVHGQASQSKKGEDFTLLKTECERVVGDGVSTYSVFGLFDGHNGSAAAIYSKENLLNNVLAAIPSDLNRDEWVAALPRALVAGFVKTDKDFQAKAQTSGTTVTFMIIEGWVLTVASVGDSRCTLESSEGGIYYLSADHRLESNEEERERIIASGGEVGRLNTGGGAEIGPLRCWPGGLCLSRSIGDMDVGEFIVPVPHVKQVKLSSTGGRVIISSDGVWDALSAETAYDCCRGMPVETAAAQIVKEAVQSKGLRDDTTCIVIDVLPREKPSTALPPPKKQVKGMFKSMFRKRSSESSSHFEREYNEPDVVEELFEEGSAMLSERLDSKYPVCNMFKLFVCAICQIEIQPREGVSIYAGSSNRGKLRAWDGPFLCSSCTEKKEAMEGKRSSGDRHSSGSD >KGN53822 pep chromosome:ASM407v2:4:8238952:8239685:1 gene:Csa_4G152270 transcript:KGN53822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASINNIILIIFKLLFFTLLLITTSSAFQPQLHEPHFRKKMRMEYRSVRKAALGGKPAVYDFPWKGGYNPPHNNR >KGN53432 pep chromosome:ASM407v2:4:4534663:4538758:1 gene:Csa_4G054290 transcript:KGN53432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHLQPYNTINHRPPKISKHIKSTFMEEYRAPAAAAAAANPLPLNSHTQHISPRATTFNRLFAALYSLAIFALFYYHFSSLLNPISFTSFFISLSLFISDLVLAFLWVACQTNRMNPLRRREFLGNLKLLLKKDSDFPALDVFICTADPYKEPPMNVVNTALSVMAYDYPTSKISVYVSDDGGSALTLFAFMEAAKFAAVWLPFCKKNDVVERNPDAFFASNKDYYCNPEMEKIKIMYEKMKMGVENVMEKGEVGNEFINNGNEEHLAFLKWTKSFTSHNHPAIIEVLLESGKNKDIVGESLPNLIYVSRQKSVTSHHHFKAGALNNLVRVSATMTNAPLILTLDCDVYSNDPQTLNRVLCYFLDSKLARNLSYIQFPQRFHGVNKNDIYANEFKRLFIFNPIGMDGLLGPAYVGTGCFFVRRSLFGGPSSFEPPELPELDPNHVVKSAIYSEEVLDLAHVVAGCDYESNTKWGSKIGFRYGSLVEDYFTGYLLQSEGWKSLFCNPKRAAFYGDAPITLLDGMNQVKRWVIGLLEVAFSKYNGFTFGVRNLGLLMGLSYTHNQSWALTPISVILYAFLPQLALINGIPIFPKVWDPWFVLYAFLFLGAYGQELLEFILEGDTFHKWWNDQRIWSIRALSGYFFGIIEFFLRSLKISALNFNVTSKVIDEEQSKRYCQGLFDFGTPSPMFVPMTTASIVNFIAGVIGIWRSLGGAWEQLFLQILLTGFVMINCWPLYEAMVFRNDGGKVPPKITFISLFLALLLCSLFFAFLHVF >KGN54255 pep chromosome:ASM407v2:4:11946259:11949100:1 gene:Csa_4G296090 transcript:KGN54255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVCWSDRSKSLSPPKGLTRFKSKRGDGEHSHISSSKVSSASIPVTPRSEDEILQCSNLKNFSFNELKTATRNFRPDSVVGEGGFGSVFKGWIDEHSLTPTKAGTGLVIAVKRLNREGVQGHKEWLAEINYLGQLSHPNLVKLIGYCFEDDHRLLVYEFMQKGSAENHLFRRSSHFRPLSWNVRIKIALDAARGLAFLHNSDAKVIYRDFKTSNILLDANYDAKLSDFGLARDGPIGDQSHVSTKIMGTHGYAAPEYLATGHLTAKSDVYSFGVVLLELLSGRRALDKNRPTGEHNLVDWAKPYLVNKHKIRRVMDNRLEGHYALGQAQRAANLAFLCLAIDPKYRPTMNEVVTSLEQLQKPSEVLRSGRESHNGQSNGRTKKT >KGN55002 pep chromosome:ASM407v2:4:19866347:19871424:-1 gene:Csa_4G620650 transcript:KGN55002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFLRSLRSLTTNLPRSRHFSSLIESPPSPSASSKFPFLYNHDSPEETHSNNAGTTAILQLLSWGRGSSGQLGGGIEEIRLYPSPVANLSVPNIHNLALAPGQLPSFSGDNQDSGDDGSFELGISCGLFHSSLLVNGKVWIWGKGDGGRLGFGHENPVFVPTLNSRLESVRCIALGGLHSVALNALGQVFTWGYGGFGALGHSVYHRELVPRLVEGSWRGRIKHIATSGAHTAAITESGEVYTWGRDEGDGRLGLGPGRGPNEGGGLSIPCRVRALPAPVAAVSCGGFFTMALGVDGKLWNWGANTNFELGRGNNIGGWEPKPVPSLEDTPIIQIVCGGYHSLVLTGDGKVLSWGYGGHGQLGHSSIQNEKVPRVIDALADKRVIYIACCGSSSAAVTAEGKLYMWGNAKDSQLGVPGLPEVQTSPVEVIFLTEDDGLGPYHVLSVAVGASHAMCLVLRRGRM >KGN52773 pep chromosome:ASM407v2:4:234545:239365:1 gene:Csa_4G000910 transcript:KGN52773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFMKLGSKPDCFQTDGTNVRYVVSELATDITVIVGDVKFYLHKFPLLSKSAHLQQLVTAGTGEHNDEVYIPEIPGGSAAFEICAKFCYGMTVTLNAYNVVAARCAAEYLGMHETIEKGNLTYKIDVFLSSSIFRSWKDSIIVLQSTKSLMPLSEELKLVSNCLESIAVKASADISKVDWSYTYNRKKLPEENGNNPNWNGVRNRSVPKDWWVEDLCELEIDVYKRVIVSIKNKGVVPNDVIGEALKAYAYRYLPGFSKGVLQCGDPLKYQSAVSTIVWLLPAEKGSVSSSFLSKLLKASIFLAFGDETKDELVRRMGQQLEEASVSDLLIRSPEGEAMTYDVNAVQKMVEVFLLQDHNSEIESLEEGNEIQEPRGPGILSDATKLMVAKLIDGYLAEVAKDPNLPSVKFIDLAEMVSGITRPSHDGLYRAIDMYLKEHPGIGKSDKKRICKLIDCKKLSADACIHAVQNERLPLRMVVQVLFFEQVRASASSGSSTPDLPKAIKDLTSGSHSSSRSATTNPEEDWDAVAMAEELKALKGELASLRLANGRGSSERNGDGKNGIDKAALSKMKGLLKSKKLFTKLWSSKGGGYGENSGSDSSESLGSANQEEAKSTPSRNRRHSVS >KGN52866 pep chromosome:ASM407v2:4:730347:734246:1 gene:Csa_4G004280 transcript:KGN52866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRRLLSLRLSALKRLPSSSTAASAAPSGSISTASRLAVAASSRVSPSPYVSLFARHFSAEAAVDAGLKKNVEDVMPIATGHEREELEAALEGRDILDIDNPVGPFGTKEAPAVIKSYYDERIVGCPGGEGDDEHDVVWFRLKKGEPHECPVCTQYFTLEVVGPGGSPDGYGNDDDHHH >KGN53974 pep chromosome:ASM407v2:4:9876627:9882402:1 gene:Csa_4G215340 transcript:KGN53974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMKGKCRLWWPKQHSPCKQSSSCLLFGWFIPSSDSLDVVVAFTCTDVSLSQLQCDIKEIINDTDSNMPAILQDKSVFSLLGQCVPKLGGDEVLSSSRINVLNGEKTSCYHYEHGRNSEVNTTDGCGRFAPQFYYLGGVSEQCRQVYSRNSNWLFLEYDSDKKYENAEVFWIPNLDYLCWNGQKVSNCDVHVILYDSPVYNCHHFSLLPSSSSKQESSSFKKPNWVDVLKQKELSFDLDTVILAINCAAAAKRPLERHLHTKRSPQISIVDRFYSFMWSLLAMSIASLSTLFYMTFQFSYKLHRIGSQLWMSNVVSRMFMTTCINVRIRCCQILYWPIMLQERGMRSLSNVEFAEKFALQKHSMWTSIAADVLLGNVFGVALLCYADFTCSLISNLAREITNHILRSGCVWLMGVPAGFKLNIELAGVLGIISLNAIQIWSTLWFFFGFIFIYVIKALAILGILFGATLPAGLTSDLISIATCHVSTLHWFISLIYSSQIQALAALWRIFRGQKQNPLRNRIDSYDYIVKQHIVGSLIFTPLLLLLPTTSVFYVFFSILNQSISFIKLLIEVIISAIHATPFTKIFLWLVKRKTFPSGIWFEIISCHINSMGRLDRNSSENLDLPTKILDPSGEMTMRQSSVLVSCLHSNLMGIGELVLPHYVNIFSGFSRSILASTFHGVLTGKRTTSMTLKLGLPSPMPWMCVPYREYWHLCYNSILTCRQLRSCTS >KGN53243 pep chromosome:ASM407v2:4:3163167:3167662:-1 gene:Csa_4G037620 transcript:KGN53243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKGTKRKAARKEEDKPAEPKPDKAPSRAKRTKLPKPESEPEYFEDKRNMEDLWKAAFPVGTEWDQLDSVYQFNWNFSNLEDAFEEGGKLYGEKVYLFGCTEPQLVPFKGENKVICIPVVVAVASPFPPSDKIGINSVQREAEEIIPMKQMKMDWVPYIPLEKRDRRVDKLKSQIFILSCTQRRAALKHLKIDRLKKYEYCLPYFYQPFKDDEFEQSTEVPIIFPVDPKPVFCEFDWEFDELEEFTDKLIEEEELSESQKDAFKDFVREKVREAKKANREAREARKKAIEEMSNETKEAFEKMKFYKFYPVQTPDSPDISNVKAPFINRYYGKAHEVL >KGN54302 pep chromosome:ASM407v2:4:12227877:12228658:-1 gene:Csa_4G297520 transcript:KGN54302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTTMARLLISQVETVFEIEGDDERQRIGPVLQVHIAIDITKPLCQGVCIQVGSLNEIDVILELIWAITESYDSTKTNQSKLKRWEVQKAFCLVALGRLTEPRVAL >KGN53387 pep chromosome:ASM407v2:4:4185782:4189397:-1 gene:Csa_4G051390 transcript:KGN53387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIESCATLNSVDDDNFESRSISELVSFLRTAFRAKDFDKVEEALVAKEVKMRKEIESKNKEYELLQSKYEFLRLDNLTHKSMVEQDKVEVDPKGFGKWKERFEELKEMESEIQQLKELIIKVNEDREKKKSALDGFEKMLEAVKEAQEDDRLTIQKLKHKNSELQSAIEAAKRENDEHEKSIEELRTKNLKLVYAIKKTEEDSRRDMEEHYYERRRKYEELSCRVSQLENVRTVIEKGEPIASNRNEFNLENRTGTGGSFVEIISDDDHATRENLFRAHRNQKRRRDSLLNDCEDYDAEKEDDQMTILPNVSKGMESLKKVGAMFSAPPRGRPNKHASKMQFSPKSNDFKNITTSSRAATVMLTQCAEVGEGCRSLDSKFKYASFRMDYFNDDYFISSDSDGDIQNRYGSSHLKSKDRRCNKKWNLEAEMLAAFAENDVLCMEAVCILYRQSSLIGKPYNASTPSRHIGFDEADLLRGSTLALFLTNRDPNGKLKKSVTELEKFDISGLIDCRRIAIQHSKQLFEIYKNNKDQFHFH >KGN54915 pep chromosome:ASM407v2:4:19170121:19173763:-1 gene:Csa_4G595980 transcript:KGN54915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEEQGWDGSPSNAIHIPSHKPPQRRLYHVWKGNNKFLCGGRLVFGQDGASLFLTSFLIGGPAITFCIRMLVAIRSVNQPFHFPALIGGLVLTFLDFLFLFLTSGRDPGIIPRNSIPPDSEEIDMTTPSMEWVNHKTPNLKIPRVKDITINGYSVKVKFCDTCLLYRPPRASHCSICNNCVQKFDHHCPWVGQCIGLRNYRFFIMFISTSTILCIYVFTFSWITIVRQTGSVWSVISNDILSVILVVYCFVSVWFVGGLTVFHIYLMCTNQTTYENFRYRYDKKVNPFTKGFVGNLKDVFWSKIPPSMVDFRAWVTEDEEASLQYSASSTNRGFIISKDKFDLEMDMMFPKDGNMKLPNMLQNLDYANIDDDLKKKDVVDRNIAYAFPSMQERALQNSMLNDLNDKRTQ >KGN55432 pep chromosome:ASM407v2:4:22562262:22564974:-1 gene:Csa_4G651980 transcript:KGN55432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPLFKSHEVSDSCNSTGRRFRLVDIFREENEGGDGFSGDLGMSRVRGLSDESVSIDCLSPRRIVARWVASFRRPKRRRALEEVRRVKEGGDSDGPLTCCRCSRDGVDGGASSASPGVIVGPGLSRKEDTSFNLGVGCSLLSLVLASKNELSKMVDLRREMEHFLQEIKEDLGRKDNHFEPFHSNADVAFSSTDCQDGPCSTSQLSYQQDFSSQIVSDAQSTIPNHSRMSCLYEQAGECQERIDELESEFEAELERLQLHLEVESSSGRIEHLRIKTAKNTNSTRSCCMSSGEVTDLQEDGTERQEGVPPVELERKLHELLEARQQEQIKELKEALECAKQEIIDKESEVSWWKETAKVISKHIPSHSRLRLASQYDQLQLLG >KGN53930 pep chromosome:ASM407v2:4:9388401:9390692:-1 gene:Csa_4G190020 transcript:KGN53930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESITIHSSSIIIPNLPTPNLTLPLSVADQFRAWVHITTVYVYKSPNAAVIVDSLKSSLSKILVPYYPFAGRLHVIVGGRLELHCCAAGALFIEASYGGTLDDFGDFTPTDVVRKLAPEVDYNSSIEDVPMFLVQVTRFSCGGLVIGLNVSHTLVDGVSAIMFINSWASIARGDKTAKSILQPLHDRNVLQPQKPFCPPQFYHCEYDILPFVIGCSNSKEERMKETKSALLKLTREQVEKLRMRANSSTPTNQNIEKVVDVNEVLLQPCPHSRYELITGHIWVCASKARNIDNDKSKQSTVVQIVVNIRQRLRTPIPKNFCGNAALISITPQCEFGELMSQPLSYAAKKIREASWKMTDEYVKSAIDFLATQEDICWTRTSSSSSSSIVRVKGTSLSNPNLSIVSWLSMPIYDADFGWGYPDYVGPSMLAYDGKMFIMPGPNNDGLIIVAIQLQMKHMEYFKKFFYEDL >KGN54309 pep chromosome:ASM407v2:4:12298993:12302296:1 gene:Csa_4G303080 transcript:KGN54309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNEQSVMAVIRATRATFRNDYDKVAFAVHATFLASGFVLTATGPSAFTEPTFSSPSTDEVSIEGWNDLEDEYAYIYANLEKDSNWKKVIVKCLVMNGKLLVDALTDKNSEPLHLEIDVEEIVNPNGGSNYTTQYRNLDKLVKRLDLEILSKLDGSSKASTSNPARAESSERSSGVVNEPSSGIPETRSPPSNMPLYGIPERPGPMIHPSGVVIPPINPLGGGDLFPGPGAGMYPARGGFSGDGSMLLGPNDPRWFGIGRGPGFQGGQPGVPPGARFDPYGPPDVPGFEPNRFVRNPRRPGGGTHPDLEHFGGGSDFI >KGN54048 pep chromosome:ASM407v2:4:10731083:10731607:1 gene:Csa_4G269790 transcript:KGN54048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLSVHFLKTKKFTKQDKIKTPQYQKKQDPRKSPKQPQDLQSIKDESGKAERVRDNIQTVRMKKRNYVAASEQKLTTPEIAERPNSGEKKQKTEKLELTCSTTGLIRQIDR >KGN54466 pep chromosome:ASM407v2:4:13648182:13653397:1 gene:Csa_4G336250 transcript:KGN54466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSVLMEIVSNSKYYHFFKRVAEEEEEEDGPGLYYGELNLHLQLPQIVFAPAISPKLRFLFEFSKVFSLSRSTHRHPSMMSHKLFAASSPCQVVLLDCNSNGSKSLLVPRNLMNYGLTRVSRRLKVCRLRAGFWESIKSGILNNNNTQVVEPPSTLQEEEEEPLPEEFVLTETTLPDGNIEQIIFTSGGDVDIYDLQALCDKVGWPRRPLPKLAAALRNSYMVAALHSTLKSPVSEENTQKKLIGMARATSDHAFNATIWDVLVDPSYQGQGLGRALIEKLIRALLQRDIGNITLFADSQVVEFYRNLGFEPDPEGIKGMFWYPRH >KGN53891 pep chromosome:ASM407v2:4:9036045:9041581:1 gene:Csa_4G182220 transcript:KGN53891 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dynamin MADDPVAPSTPSVSSSAAAPLGSSVIPIVNKLQDIFAQLGSQSTIELPQVAVVGSQSSGKSSVLEALVGRDFLPRGSGICTRRPLVLQLLQTNTDKEYGEFLHLPGKKFYDFSEIRREIQSETEREVGGNKGVSDKQIRLKIFSPNVLDITLVDLPGITKVPVGDQPSDIEARIRTMIMSYIKVPSCLILAVTPANSDLANSDALQIAGNADPDGVRTIGVITKLDIMDRGTDARNLLLGKVIPLRLGYVGVVNRSQEDILLNRSIKDALVDEEKFFRTHPVYNGLADRCGIAQLAKKLNQVLVQHIKAVFPGLKSRISAALVSVAKEHASYGEITESKAGQGALLLNILSKYCEAFCSMVEGKNEKSTNKLLGGARIHYIFQSIYVKSLEEVDPCEDLTDDDIRTAIQNATGPRSAVFVPDVPFEVLIRRQIIRLLDPSLQCARFIYDELVEISHRCLTNELQRFPVLRKRLDEVIGNFLREGLEPSETIIGHLINIEMGYINTSHPNFIGGSKAVEIALQQVKSSRIPSTVPRLKDGVVEPDKAPPSEKTSKSRAFLARHSNGFLTEKGARPSGDGEKVAPPGMFLF >KGN52963 pep chromosome:ASM407v2:4:1303580:1306994:1 gene:Csa_4G007680 transcript:KGN52963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLIHNPNSADAVDDVNAAHSLSTVINGSAHTADNAGSASCFVISDDSDHSFSHSVNASNPKKRKYGSESGNGSSSEDDGSVSILKSPKLSTIPLLVQPIRSVKSSEYETHFSSSSLKVENLTGLEVVPYISPMEDNLEDECKDNPLSCRQFWKAGDYEGNCNGHPISSSAFAELLDNSLDEVCNGATYVNIDMLINERDGSRMLLIEDNGGGMCPDKMRRCMSLGYSSKRNMPNTIGQYGNGFKTSTMRLGADVIVFSRSNGSDQRGSTQSIGMLSYTFLRETGKEDIVVPMVDFEFKEDCWSKMMRSEDDWNRNLEIIAQWSPYSIEELLEQFNFVKDHGTRIIIYNLWEDDEDHLELDFDTDLHDIQIRGVNRDEKNIKMAQQYPNSRHYLTYRHSLRIYASILYLSLPSGFRIILRGKDVEHHNLADDLMLTKEIIYKPHQLPVKAIKKQSDVIVTIGFVKDARSHIDVQGFNVYHKNRLIKPFWRIWNPAGSDGRGVVGVLEANFVEPAHDKQGFEKTIVLSRLESRLIQFQKDYW >KGN55333 pep chromosome:ASM407v2:4:21936621:21938003:1 gene:Csa_4G646070 transcript:KGN55333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAESVSSTLSIWTSLNSWFTPTVLFVVLNLVIGTIAIASNLGGTQRTNQRHPSDPDYPHYLHRSPSVLQRLKSMNPYSYRSEEPATVLEKPPGIDAHYANYEHPQLVRSPSMLQRFKFSFPSYKPEESFQSPPSATAFEKPHGIDAHSANYQHPQLVRSPSVLQRLKSSFSGYKPEESFQSPPPVTHVEKSAGGDTHYTNFEHPQLVRSPSMLQRLKFNFYGYKSEESFQSPPPTVSEAQIRRKEDESKRVEDEQMDEDQEPTMDEVFSKLHGDHFNRTKSDTMPTAGEFPTKLSRKMKKSASSKSTFSHFEADEIVESRRPATVKEGKEKMTEIEDEVDARADDFINKFKQQLKLQRLESILKYKEMVGRGNNAK >KGN55521 pep chromosome:ASM407v2:4:23096242:23101120:1 gene:Csa_4G664290 transcript:KGN55521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLWFVSLLSLVCGTFPLGFGKNVSSRPSVVNIGAILSHNSTIGRVATIAIEEAVKDVNADPSILPGTNLWLQMQNSNCSGFLGMVEVLQLMENKTVAIIGPQSSVVAHISSQVATEFQVPLVSFSATDPTLSALQFPFFVRAAQSDLFQMTAVAEIVEHYLWKEVIAIYVDDDYGWNGIATLGDKLAERRCKITYKVGISPDSVDNRAQVMDQLVKVALMESRVMVLHVNPKLGTLVFSVAKYLQMVGNGYVWIATDWLTSLLDSVVPFPFENMESMQGVLSLRQHTAESDKKRAFLSRWNKLTGGSLGLNTYGLYAYDSVWMVAHAIDKFFSQGGVVTHSNDSKLHFSESGDLHLEAMTIFDGGNRVLNNILESDFVGLTGAIKFDLDRSLIHPAYDIINVIGTGSRRVGYWSNYSGLSIDAPELLYSKPANRSHANQKLYEVIWPGNTIEQPRGWVFPNNGKLLKIGVPLRVSYKEFVSKIKGTENFQGFCIDVFTAAVNLLPYAVPHEFIAFGDSHHNPNYTDLVYGITTGKFDAVVGDIAIVTSRTRLVDFTLPYTASGLVVVAPFKKRNTGAWAFLHPFSPAMWMVTASFFFFIGIVVWILEHRTNDEFRGPPKRQCITILWFSFSTLFFAHKENTISTLGRLVLIIWLFVVLIVNSSYTASLTSILTVQQLYFPITGIETLREGGEPIGFQVGSFAERYLREELNISKSRLIALGSPEEYARALDLGPDKEGGVAAIVDELLYVESFLSRQCSFRVVGQEFTKSGWGFAFPRDSPLAIDLSTAILQLSENGDLQRIHDKWLAKSACTMENAELESDRLQLKSFWGLFLICGIVCFIALAIYCFQIIRQLYHTETEEPDLSSSSGSHSNRLRRIISLLDEKKESSKRGSKRRKVEKSSENDKVDDHLGVDP >KGN53441 pep chromosome:ASM407v2:4:4602698:4604330:-1 gene:Csa_4G055360 transcript:KGN53441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRKIRSPKALSSRPEPLPLKSSARFARYNLQIRTSWVIIMAPNIQRKSPHQNLVESSLAFEV >KGN53148 pep chromosome:ASM407v2:4:2437353:2442242:-1 gene:Csa_4G022350 transcript:KGN53148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVMNPDYSSFLLFVVVVLLIINTFLLEVVVSVSTPTALPLQLLSLLSLKSTIKDPSSTFHDWDYPTPTFTRADSQDPIWCSWSGIECHRNSAEISSLDLSQRNLSGYIPSEIKYLTSLIHLNLSGNSFVGAFPTAIFELPHLRTLDISHNNFSSIFPPGISKLKFLNVFNAYSNNFTGPLPQDLPHLHFLEWLSLGGSYFSGNIPASYGGLSRLKYLHLGGNVLEGEIPGQLAYLNKLERMEIGYNTLSGGIPSKFPLLLNLKYLDIAEANLSGTLPQDIGNMTNLQNLLLFKNRISGEIPRSLGKLEALEELDLSENELTGTIPSDLYNLKELTDLSLMENDLSGEIPQALGDLPNLVSLRLWNNSFTGPLPQKLGSNGKLLQVDVSSNMFTGSIPPDLCHGNKLFKLILFSNKLEHELPASLANCKSLIRFRIQNNRLNGSIPYGFGLLENLTFADFSNNNFSGEIPADIGNAVRLQYLNISQNAFGTSLPENIWNSTRLEIFSASSSKIIGKIPDFISCRSIYKIELQDNNLNSSIPWTIGHCEKLITLNLGRNSLTGIIPWEISTLPGITAIDLSHNSLTGTIPSNFQNCSTIESFNVSYNMLTGPIPSTGTIFPALHPSSFIGNDGLCGEIVSKPCDTDTLTAGAIEVRPQQPRRTAGAIVWIMAGAFGIGLFILVAGTRCFQANYNRRFGGGEEEIGPWKLTAFQRLNFTAEEVLECLTMTDKILGMGSTGTVYKAEMPGGEIIAVKKLWGKYKENIRRRRGVLAEVDVLGNVRHRNIVRLLGCCSNRECTMLLYEYMPNGNLDDLLHGKNKGENLGADWMTRYKIALGVAQGICYLHHDCDPVIVHRDLKPSNILLDGEMEARVADFGVAKLIQTDESMSVIAGSYGYIAPEYAYTLQVDEKSDIYSYGVVLMEILSGKKSVDSEFGDGNSIVDWVRSKIKIKDGVSQILDKNAGASCVSVREEMIQMLRISLLCTSRNPADRPSMRDVVLMLQEAKPKRKLFGNIIHERNGNCDSSDNIICNRRHGDGDVLLGHKTVDEC >KGN53880 pep chromosome:ASM407v2:4:8954093:8959751:1 gene:Csa_4G179150 transcript:KGN53880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTISGTVAFNIDFRIISSTGQTHSPFLSKPLNFLLFPTSISTPIYPLLAARSSSSFIPYFDMDNGAGLSLYPLHRCKTIHLVRHAQGIHNVDGDKSYKAYMRPEFFDAHITQLGWQQIENLRKHVHASGLSRKIDLVVTSPLLRTLQTAVGVFGGEGYTPGMDVLPLMIANAGNSARAAISSLNCPPIAAVELCREHLGVHPCDKRRNISDYQFLFPAVDFSLIESDEDVLWKADVRETKEELAARGLQFLNWLWTRKEKEIAVVTHSGFLFHTLTAFGNDCHPLVKKEICKHFANCELRSIVIVDRSMVGSDSSTTNYPGKVPSGLDIPSDAVDDNSVKNDKQPIDPEPKEHENM >KGN54714 pep chromosome:ASM407v2:4:16304828:16305127:-1 gene:Csa_4G431940 transcript:KGN54714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKKVQKTTSRRRPARTTTTVAVRRLRPATTTATTLSLSLSISPFLSHLSSLPNEISVRISPTFSQRLRKRREKYVSMTRKLLTSRKCARTTTSRKVET >KGN53071 pep chromosome:ASM407v2:4:1987706:1988094:1 gene:Csa_4G015120 transcript:KGN53071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMKLPTKIRPFLPFSTFNLHNHTCYLQPQSLFYRKYACLSLSITSLPPSQLSQKSESKLLCSAVKISFLALYSLFDFDSKPNPYLFSCEILEFPHAYSYL >KGN53221 pep chromosome:ASM407v2:4:2927029:2930760:-1 gene:Csa_4G028450 transcript:KGN53221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPRESNRAPEDESHAASRRIERLSLHLTPMIPLPGDDSYRMEIVTCAGNSKVGRMDVDMESLSVFMRGKHREIQERVFDYFNSRPELQTPVEISMNDHRELCMKQLIGLVREAGIKPFRFVNEDPGKYFAIMEAVGSVDVSLAIKMGVQFSLWGGSVLNLGTKKHRDKFFDGIDNVDYPGCFAMTELHHGSNVQGLQTTATFDLITDEFIINTPNDGAIKWWIGNAAVHGKFATVFAKLVLPTHGSKKTADMGVHAFIVPIRDLKTHKTLPGIEIHDCGHKVGLNGVDNGALRFRSVRIPRDNLLDRFGQVSRDGKYKSSLPSINKRFAATLGELVGGRVALAYSSASVLKIASTIAIRYSLLRQQFGPPKQAEVSILDYQSQQHKLMPMLASTYAFQFATIQLVEKYTQMKKTHDEELVGDVHALSAGLKAYITSYTAKSLSTCREACGGHGYAAVNRFGTLRNDHDIFQTFEGDNTVLLQQVAAYLLKQYKEKFQGGTLALTWNYLRESMNTYLSQPNPVTARWESADHLRDPKFQLDAFQYRTSRLLQSVAVRLRKHTKTLGGFGAWNRCLNHLLTLAESHIESVILAQFIEAIQRCPNANTQATLKLVCDLYALDRIWNDIGTYRNVDYVAPNKAKAIHKLTEYLCFQVRNVAQELVDAFDLPDHVTRAPIAMQSNAYSQYTQYVGF >KGN54013 pep chromosome:ASM407v2:4:10520878:10522871:1 gene:Csa_4G267480 transcript:KGN54013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLMFTALLCLLAAAMTATVRGEDPYFFFTWNVTYGTISPLGVPQQGILINGQFPGPNINSTSNNNLVINVFNNLDEPFLLHWSGIQHRKNSWQDGLLGTNCPILPGTNYTYHFQGSRVNSRLLIPVPYADPEDDYTVLIGDWYTKSHTALKQFLDSGRSIARPDGVLINGKSAKGDGTDEPLFTMKPGKTYKYRVCNVGLKSSLNFRFQGHTMKLVEMEGSHTVQNDYESLDVHVGQCFSVLVTANQEPKDYYMVASTRFIKSILVGKGIVRYTDGKGPASPEIPEAPMGWAWSLNQFRTFRWNLTASAARPNPQGSYHYGSINITRTIKLVNSISKVDGKLRYAINGVSHVDPETPLKLAEYFEIAATALKYDNPTIMLAEYFEVADKVFKYDTISDEGLAEGATTVTVAPNVVNATFRNFIEIVFENHEKSLQSWHLDGYSFFAVADEYNIPDNTLLCGLVKDMPLPKPYTI >KGN55016 pep chromosome:ASM407v2:4:19971009:19971898:-1 gene:Csa_4G622750 transcript:KGN55016 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydrolase, hydrolyzing O-glycosyl compounds MAKPAASLSFLLLFLSFLSGRDLLALNAQKTWCIPKPSSDQATLLANINYACAQVDCRIMQKGCPCSYPDTLINRAAIAMSLYYHSKGKNQWNCDFRGSGLMVITDPSYGNCIYP >KGN54723 pep chromosome:ASM407v2:4:16407174:16408527:-1 gene:Csa_4G433980 transcript:KGN54723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNFVTLSQNIHTSLSWKLKGKKREEIKKRKGDDPADSKSFAFYPLNCFKFNYTNPLNLSVLLTRFEW >KGN52734 pep chromosome:ASM407v2:4:34646:38325:1 gene:Csa_4G000550 transcript:KGN52734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPSNRSSSPSFLSGRTSPNSRSSEISNPIRRSFSGNPFSKQSIVANPRGLNPITPANSPSDYPRRNSVNRENSFTSRDISEKENGKDQSPKPVRVRSPIVGKSSKHFMSPTISAASKIAVSPRKKVLGDRNEPARSSISFSGMKSSSLNSVNRSLEAPEALESDTNSQIPPVSNSKTAKIVRFGGFEVISDSFDDSKSTYRYDLNPEMVVTMAVETDMTSGNAQVSKSTNAVAPSEPSNSEFAVISVSNNDLDSPPAKSNLTEEVDCVNLDLDQSFKISPVSSPTIAPLDADPSLPPYDPKTNYLSPRPQFLHYRPNRRINRFEPDGRLEEKLLSFANVSESESVEETDSEDSSKELDEASSNESQMEEEEDEVEEEEEGINVSEQSPTKVQKSWKVSVSRIFKISSLLLILFTACFSLYVVNVHDPSIFKRPSSLTMEDASEIYELAKTNFNVFVQKLEVWNVNSISFISDMVFNFRGGLPLVHYENQTEFFNMNEQCLVLSHQTVWEEENILNVMEAMKDGDTDIFEEPIEIEERQEEEETDIFEELVGIEKRPEEEEIGIFEEPVERESENEEQEQEQQVDLLQEIEAMKMREIGIENFERESQNEEELEEVSFQGSDEVNANEEEKNGEVFEEPLEEINEETSENSASDELCEEEEYIQEKSEDNFKFSSTDDFKFHDQIRQEAAAATGETEGAKNTELQYQSPPVERQTDFDHEIGGRTIDVIRTEIGISRDFTQTKAIIISAILLGLSLVTAGLIYGRKSGSKPPPLSIADEQKKEQPLMNMSRVEEKDDEEDDMGGEFSISETSSFQYSSMREGETKADKTLNEVESHSHVRRKMKKNSRRESMASSLDEYSLSTSASPSYGSFTTYEKIPIKHGDEEIVTPVRRSSRIRKQHNNS >KGN54272 pep chromosome:ASM407v2:4:12061405:12062951:-1 gene:Csa_4G296260 transcript:KGN54272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVATMPWLSSSIKGTTNHTLQFFFHDKSTPPTLRILCFDTAKTMAALISLYRSLSDDEISRLQNDTLRSKGVSYLNSRDEEFLLNLACSERLEEMNNAASSVSRLSRKCADLGLTRFDLLFSDMKLGIFHSAKSDSGCKNVAKLIARMEKLVFLTSELHSAMEGLTEMEVSEKKLQKWKALSPKQFPPVNFELFDKKLASQRKDVKHFKEISLWNQSFDYAVGMMTRLVCLIYTRIVTVFGPLVPDLACSLYHNPQIRILRDRVWRWNFYGVNRKCSSDNEYRLVTQSGPIPKKGKKELVRFPSGIRAKDDMGIGYGEFNSSTPENNRVYTSAPPTTVGGSGLSINYANVILFAERCLHAPATIGDEARGELYEMLPAGIKEKVRAKLRRNNWVKRGEGAEELGSGGDGHSLAAGWREAVEEMMGWLGPLAHDTVRWQSERNMEKQRFDMNPTALLMQTLHYSDLEKTEAAIVEVLVGLSCIYRYENPRLQCRRSSDHM >KGN54658 pep chromosome:ASM407v2:4:15701554:15709996:-1 gene:Csa_4G417520 transcript:KGN54658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSKTLRRRLHHGDVDGKRNEKYDTSGFEGLSEPLLGSHEYSDRSSEVRTLEEIWDDERKKQQLHWTLLFSQLIAQWAQWIANIVFGSGALFGRLLPFSLTMHNGQNHRVAPPLSILQEERLRSLKQRLEVPFDGSRIEHQDALKRLWRLAYPDRELPPPKSELWKDMGWQGTDPSTDFRGGGFVSLENLIFFAQTYPESFRRLLYKKDGKRAEWEYPFAVAGINISFMLVQMLDLQSGKPSSFAGIRFLELLEHDEMAFDNLFCVAFQLMDAQWLAKRASYMDFNSTRSQLERELELEDTSSVKELPAYNLLRR >KGN54447 pep chromosome:ASM407v2:4:13497360:13500401:1 gene:Csa_4G333120 transcript:KGN54447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSMTRLRDRATGGRCKTLVEMDSQTAKDIEEDDMDINLGNFDIPNSYILEPPSGQDMSSTPTSMAHDARSSRLSKKMRSYSEDLMDTFRTDMRETSKKIGKIVAWQKVKIEIESYIHKQLLC >KGN53322 pep chromosome:ASM407v2:4:3699681:3711777:1 gene:Csa_4G047340 transcript:KGN53322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRRSFRSATTAGSTSVLKNQKWDALVIGGGHNGLTAAAYLARGGLSVAVLERRHVLGGAAVTEEIIPGFKFSRCSYLQSLLRPSVIKELELARHGLKLLKRNPSSFTPCLDGRYLLLGPNKDLNYSEISKFSKRDADAYTRYEAQLERFCKFMDILLDSPTPETMHGVSSLKYRLEDKLEKSAFWAKCLRSALSLGQKDLVDFMDLLFSPASKVLNNWFEGDVLKATLATDAIIGSVASVHTPGSGYVLLHHVMGETDGDRGIWSYVEGGMGSVSMAIANAARDAGAHIITNAEVSECMIEDSGRVKGVRLVDGTCVQASTVLSNATPYKTFMELLPHDILPDEFLRAIKHIDYRSATTKINLAVEKVPQFPCCNLSPSESRHQLVGTIHIGAESMEEIDSACQDAVNGIPSRRPIIEMTIPSILDQTISPPGKHVINLFIQYTPYKPSDGSWEDPVYRESFAQRCFSLIDEYAPGFSSSIIGYDMLTPPDLEREIGLTGGNIFHGAMGLDSLFLLRPVKGWSNYRSPVKGLYLCGSGSHPGGGVMGAPGRNAANLVLQDLNRQLS >KGN54666 pep chromosome:ASM407v2:4:15759185:15761060:-1 gene:Csa_4G419580 transcript:KGN54666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFLLSSNPLSTSFHFSTSPSPSCFPPTSAIPSSMLLMKPIGFQPKHFNGVRLRNHSFVENTASLVVKAASETDATGETDSSDVQPAAEAKKEDVPVDKLPLESKLQERLEQKARMKLAKKIRLRRKRLVQKRHLRKKGRWPPSKMKKLKNV >KGN54213 pep chromosome:ASM407v2:4:11651471:11654561:-1 gene:Csa_4G293230 transcript:KGN54213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFGIKPGMRDLETGLRLSSSTNCLYELQPPPSPTTPTLVLSNSGKTLLVSNSSKSLVLSNSGKRFDKKKYVKQVTGRHNDTELHLAAQRGDLTAVRQILGEIDAQMVGTLSGADFDAEVAEIRSAIVNEVNELGETALFTAAEKGHLAIVKELLQYSTKEGMTMKNRSGFDPFHIAASQGHEAIVQVLLEHDPGLSKTVGQSNATPIISAATRGHIGVVNVLLSTDSSSLEISRSNGKNALHLAARQGHVEIVKALLRKDPQLARRNDKKGQTALHMAVKGTSCEVVKLLLKADPALVMLPDRFGNTALHIATRKRRAEVSIDCQCISITSGHKCECIV >KGN54431 pep chromosome:ASM407v2:4:13246501:13250992:-1 gene:Csa_4G326550 transcript:KGN54431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRLIRRRLFSPSNTSLSRLRYFSQPAHNQPSLHNDVPLPSIPPFDYSPPPYNGPSADEILSKRKEFLSPAISHYYRNPLLVVDGKRQYLFDDKGRRYLDAFGGIATVCCGHCHPDVVEAILNQTKRLQHSTVLYLNQSISDFAEALASKLPGDLKVVFFTNSGTEANELAIMMARLYTGCHDIISLRNAYHGNAAGTMGATAQSIWKFNVIQSGVHHALNPDPYRGVFGADGEMYAKDVQNLIEFGTSGHVGAFISEAIQGVGGIVELAPGYLPAVYKSIKKAGGLCIADEVQAGFARTGSSFWGFESQGVVPDIVTLAKGIGNGTPLGAVVTTPEIAKVLTRSSYFNTFGGNPVCTAAGLAVLKVIEKEKLQENAHIVGSHLKDRLTALKDKHHIIGDVRGRGLMLGVELVTDRELKTPAKAETLHVMDQMKELGVLIGKGGYYGNVFRITPPLCFTKQDADFLVDAMDYIMSKM >KGN52959 pep chromosome:ASM407v2:4:1275626:1278414:-1 gene:Csa_4G007640 transcript:KGN52959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATHHSTPLQPNGKSMENSEWTTEEEEEEEDDFKECVEESNGSLTPVINDTLDWNDVEKQKVAIMRAFVEKEDSSAKDVDDFMIRRFLRARDLDIEKASAMFLKYLSWRRSAIPNGFISPSEISTNLSHNKLFMQGVDKKGRPIIVGYGNRHKQGNIEEFIRYVIFVLEQISSRMPSGQEKFVCIGDLQGWGYSNSDIRGYRASLQILQDCYPERLGKLYIVHVPYIFMTAWKMVYPFIDKKTKKKICFVEDKKLRSTLLNDIDESQLPDVYGGKLSLVPIQDC >KGN53261 pep chromosome:ASM407v2:4:3303155:3305429:1 gene:Csa_4G038780 transcript:KGN53261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAVLKLFDSVLFVFFLVHALAPPLISAQLLLPQTLFPNIFIHLKNKYITHSGDYLMADLPPFFVGLLWLELLLQWPLTLLNLYAIPTSKSWLHTTCLIYGVSLFSAMAAIAAELIGSQRASGILLTIYFPFLAVGVLAMLRGLLPRCNKATTTGHGAGPSIATKKKA >KGN55074 pep chromosome:ASM407v2:4:20339533:20343432:-1 gene:Csa_4G627240 transcript:KGN55074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHSGNPISDLRFDHLSDRFRDSVSCEVNKPDFRELDLGSPVSPLRTRHQTGGGPAASSSSSSSGSVSGRNGPNPVAKRSDSGPNNHSGELSGSSESSPTAAESLRSIGTPKNFKSGHNRSDSASNHPLIYSGQSQSSVTSPSNVLPTGNICPSGRILKPSMPSTNRSSRTDVLGSGSGNYGHGSIMRGLGGVKTGAVESISNACSRVGGVGGGDSLKRAKQSGDPEELKRAGNEQYKKGHFAEALSLYDRAIAISPANAAYRSNRAAALTGLGRLGEAVSECEEAVRLDPNYIRAHQRLASLFRRLGQVENARKHLCFPGVQPDPNELQRLQVVERHISRCGDARRVRDWKSVLKEADAAISAGADSSPQLFMSRVEALLKLHQIEDAESSLFSVPKLHQSTNSCLQTKFFGMLSEAYSHFIHAQIEMALGRFENAVTAAEKAGQIDARNVEVAVLLNNVRLVARARTRGNDLFKSERYTEACSAYGEGLKLDPSNSVLYCNRAACWFKLGVWERSIEDCNQALLIQPTYTKALLRRAASNSKLEKWEEAVRDYEVLRTVLPDDNEVAESLFHAQVALKKSRGEEVHNLKFGGEVEEVSSLDQFRAAVSFPGVTVVHFKAASDLQCKQISPFVDILCRRYPSINFLKVNLEESPAIADTENVRIVPTFKIYKSGNRVKEIISPTRDMLEHSVRYYSL >KGN54599 pep chromosome:ASM407v2:4:15041496:15042277:-1 gene:Csa_4G376140 transcript:KGN54599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQAGSLLVDTEALSHIFNLIEAFRAFDADNDGLISSAEVGGIMGSLGYNLSEEDVNMMMEEGDADKDGLLSMGEFLEMNAKNMDVGELGSYLKIALEALKADEDDLVSGEELYDVFVNLGLDVSLEDSMAIVASIDGDGDGAMFLHDFKLIVNSLH >KGN54988 pep chromosome:ASM407v2:4:19799561:19801010:-1 gene:Csa_4G618530 transcript:KGN54988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHIQQLSASFVGKSIHLILLPELPLPQECQNGMPQLLIEIYKPHVREAMANQVNSQTSPDFPQLVGFVLDMFCMTMVDVAKEFKVPCYLFYTSSAAFLALNFHLQELYDQNNSNRVVEQLKNSESESLTIPSFVNPIPGKVIPSIFVYNDMAVWLYENTRKFRSEIKGILINTCAEIESHVVNMMSSGPSSQVPSLYCVGPILNLENTVNRVNILKWLDDQPQASVIFLCFGSMGSFDEEQVKEIAQGLERSGVHFLWSLRQPPPKGKWVAPSDYADIKDVLPERFLDPTANVGKIIGWAPQVEILAHPSIGGFVSHCGWNSTLESLWYGVPMVAWPMYAEQQLNAFQMVVELGLAVEITLDYQKDYRLERSKLVTAEEIESGIRKVMDDGDEIRKQVKAESEEVRKAVMEGGSSYISLVHFINDVLVNSSNGKE >KGN53705 pep chromosome:ASM407v2:4:6907770:6909085:1 gene:Csa_4G107470 transcript:KGN53705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKLSLSHLSSLFRSSSLSPAPSFSPSRLSISPFIGSDAPSRMSSLHRSDLTGSLQPHNQFSGCLRDSANVGREIVGRGWKSMGVQGPSSGLARPSEGVSIPYSISSRCYGEYDNRPNPPDTGRNLKPMNFVRGILEEEERGFFGTPQFLRPSNMEHNADIVHIKLMRNNSFVTVTDNKGNTKLKASAGRLEGGAKLSRYSADSIAEYVGRESRKLGLKSVVMRVKGFTFFKKKKQAILSWRDGFTDSRTDQNPIVYVEDTTRRAHNGCRLPKKRRV >KGN54455 pep chromosome:ASM407v2:4:13546440:13550948:-1 gene:Csa_4G334660 transcript:KGN54455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFHQESIDLRDYDENMCLRECLVHIVDVVIIIRGEDSRLGLRVGPRSKNPILRPKSDDFPTVTNDDSRHRLRLLKSSGHSQGFTMCDPVPPLSFASSIKFRPLPFTQFHSHSLLSNSFNYGLGSGCLLVPSRTKLTKSLSALTPKRNPFSGDLEFKGEDENIFDIVDPSRSVANFDGIDGNFSSRNENDSDERRGDGAAGSSGLNFLDQKDGGEGKKKRLNSERSSEGERNLVPIEDEDAEIENGKVALRKRRQVMRRSNMLAKQVISIQSALSLGFVSQLWVDTSAWMVKFLEVKPNLLSGESEWFLLEDISQVGDVVLVHDETVMDNDFKMAGMETLVGYRVVTPGRRNIGKIRGYTFNINSGAVESLELDSFGYSFLPSSLVSTYALLVEDVLEVISDVVVVHEDAASRIQRLTKGFLGTQSVGNSLDDLEEFYEFERRRFDEDNWSNKRNYDGKRFRRRREANDDDLGLPMDYI >KGN53831 pep chromosome:ASM407v2:4:8321539:8321763:-1 gene:Csa_4G154310 transcript:KGN53831 gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S3, chloroplastic MKKAIALTEQAGTKGIQVQIAGRIDGKEIARVEWIREGRVPLQTIRAKIDYCCYPVRTIYGILGIKIWIFVDEQ >KGN54183 pep chromosome:ASM407v2:4:11477013:11481179:1 gene:Csa_4G292440 transcript:KGN54183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKETWFSSVKKALSPDPKEKKVQGSKKSKKKWFGKQKHPNPDSTEAGTLPSPPRPEEANIIHSESEDNNEPCSVEVASPTEATSAATQANEASVSTIEPTIATPFVVAEVVQISMETQIFSPPKEEVAATKIQTVFRGYLARRALRALRGLVRLKSLMESSTVKRQASNTLRCMQTLARVQSQIHFRRVRMLEENQALQKQLLQKHAKDLESLRQTWKNAARSVNPAFMDPSNPTWGWSWSERWSGARVHDVPDPIGKESNNSHSGKKMASRGIVGGEISKSFARFQLNSEMDSPTGSQKTTHSAFQPSSTPSKPAPSSAIKKLKPPSPRILSLHEDDSKSIISLQSERSRRHSTGGPSMRDDDNMSTASAVRSYMTPTESARAKSRLQSPLGTAEKNGTPEKGSAAAAATAKKRLSYPPSPARPRRHLGPPKIEVDPDAGKSLSNGVGG >KGN53643 pep chromosome:ASM407v2:4:6222298:6224666:1 gene:Csa_4G095040 transcript:KGN53643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQIQDHQEQKQHLCKLCNKSFSNGKVLGGHMRSHRTSQNPPKKRKKNSISGSYSLRENPKKSWKFSAPNGESGENPPQENHCKICGKGFESSKALFGHMRHHSGRRKEPSRRCKECNKEFENLKSLTSHMKSHCQSSVIGTDQSEGETLGLLRRKRSRRTRFKLSGSNYPYCSSSSDLIEYSSSSVVDGIEHDVEELALSLLMLSKGVGNFGAEFSSESNGGHFRCFESKSPLYRTGHFEEEKDRVTMEFQEVGRYYAGVELGMPKLKDADECEMGFCEIGMKNELKSLKKGEIEDFCMEACEEVVGEMEEGKHQCDVCLKIVTSEDLGRYEEMIWAFKGIGYYIMLSKYVQL >KGN54234 pep chromosome:ASM407v2:4:11785192:11786003:1 gene:Csa_4G294910 transcript:KGN54234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKFDPWPVFFRREWKRNWPFLVGFAITGSLITKMTAGFTEEEVKNSPFVQRHNRYFLGTLISAHILRFA >KGN55293 pep chromosome:ASM407v2:4:21691529:21702378:-1 gene:Csa_4G644700 transcript:KGN55293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLSQSSGGQFRPVIPAQPGQAFISSSAQQFQLAGQNISSSNVGVPAGQVQPHQYPQSMPQLVQRPGHPSYVTPSSQPIQMPYVQTRPLTSVPPQSQQNVAAPNNHMHGLGAHGLPLSSPYTFQPMSQMHAPVSVGNSQPWLSSASQTTNLVSPIDQANQHSSVSAVNPAANAPVFNQQLSSDWQEHASADGRRYYYNKKTKQSSWEKPLELMTPLERADASTVWKEFTAPDGRKYYYNKVTKESKWTMPEELKLAREQAQKEATQGTQTDISVMAPQPTLAAGLSHAETPAISSVNSSISPTVSGVATSPVPVTPFVSVSNSPSVMVTGSSAITGTPIASTTSVSGTVSSQSVAASGGTGPPAVVHANASSVTPFESLASQDVKNTVDGTSTEDIEEARKGMAVAGKVNETVLEEKSADDEPLVFANKQEAKNAFKALLESVNVQSDWTWEQAMREIINDKRYGALKTLGERKQAFHEYLGHRKKLDAEERRIRQKKAREEFTKMLEESKELTSSTRWSKAVSMFENDERFKAVERSRDREDLFESYIVELERKEKERAAEEHKKNIAEYRKFLESCDYIKVSSQWRKVQDRLEDDERCSRLEKLDRLLIFQDYIRDLEKEEEDQKKIQKERVRRIERKNRDEFRKLMEEHIAAGVFTAKTFWRDYCLKVKELPQYQAVASNTSGSTPKDLFEDVLEDLENKYHEEKTQIKDVVKAAKITITSSWTFDDFKAAIEESGSLAVSDINFKLVYEDLLERAKEKEEKEAKRRQRLADDFSGLLQSLKEITTSSNWEDSKQLFEESEEYRSIGEESFAKEVFEEHITHLQEKAKEKERKREEEKAKKEKEREEKEKRKEKERKEKDREREKEKGRVKKDETDSENVDVSDTHVYREDKKRDKDKDRKHRKRHHSATDDGASDKDEREESKKSRKHGSDRKKSRKHAYSPESDSENRHRRHKRDHRDGSRRNHDELEDGELGEDGEIQ >KGN53188 pep chromosome:ASM407v2:4:2743403:2747828:1 gene:Csa_4G025180 transcript:KGN53188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEQTHSDTSKTDMAASIALPPSTKLHQNQLHHSSFRGSPLPPSATPCTSIKQKQHGSKTYLTKISAKFELKPPPYPLDALEPHMSRSTLEYHWGKHHRAYVDNLNRQIEGTELEELSLEDIIMKTYKKGNILQQFNNAAQIWNHDFLWESIKPGGGGKPTGELLELIERDFGSFEKFLEEFKSAAATQFGSGWAWLAYKDNTVDHPRPSEKDKKLVILKSPNAVNPLVWDYAPLLTIDVWEHAYYLDFQNRRPDYISTFVSNLISWEAADLRLQKAKIEAAERVKEKEKKKEKKKDEDSDEEVYVDNSSSESDSDSD >KGN54850 pep chromosome:ASM407v2:4:18241158:18245746:1 gene:Csa_4G551130 transcript:KGN54850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLTLKPFSTMPSIKCGPRSLSTLSNISMRVVACSGALKPSISTERVSSSSVVVRAAESVVLAPPNGKSDEIGVKSLVPYVDLDEDEDGGIGIVKFLRGKVFFITGATGFLAKVLIEKILRTAPDVGKIYVLIKAKDEEAAADRLKNDIINAQLFKCLRQIHGKYYMSFMTSKLIPVVGNVCESDVGIHVDFAHLIASDVDVIVNSAANTTFDERYDVAIDINTKGPSNLMEFAKKCSKLKLFLQISTAYVNGQRQGRIMEKPFCKELDVESEMKLAFEGNGMGQNMKELGLERAKRYGWQDTYVFTKAMGEMVIDEMRGEVPVAIIRPSVIESTFKDPFPGWMEGNRMMDPIVLYYGKGQLTGFLVDPNGVIDVVPADMVVNATLAAMARHGRAPRPSMNIYHVASSVANPLVFNRLADLLHQHYNSSPCLDVDGTPIRVSSMKLFDSVDDFSEHLWRDAARRCASTPDGKLSKKLEAICKKTVEQLKYLAHIYQPYTFFNGRFDNSNVQGLMEIMSEEEKREFGFDVENIDWTDYITNVHIPGLRRHVMKGKRGIN >KGN53914 pep chromosome:ASM407v2:4:9264462:9264674:1 gene:Csa_4G188420 transcript:KGN53914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMGFVEKGEIRDEQVTRTEEKVTSQEEEEGDEDERTEKGSGIRKYYIERRGEEKERKTGGHLEQEELLE >KGN55001 pep chromosome:ASM407v2:4:19862173:19863650:1 gene:Csa_4G620640 transcript:KGN55001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATPFSLPFFLLPLLLSLSSLSLQFQPAIAIATADLQALKAIRNSLTDLPFRRSSSGTPGFFSTWDFSSPDPCSSFSGLVCDLGRVTILSLGTGRSDSPGLAGSLPTAISDLSELTQLILFPGIVTGPIPPQLGRLRNLRVLSLTNNRLTGVIPNTLSTLLHLHTLDLSHNQLTGIIPPTLTKLPELKILILSSNQLSGEIPADMSSPLLHLDLKSNKLNGSLPVMPVSLRYMSLSENSLWGPLHNGLDSLSELVFLDLSVNRFTGPIPFSLFTRSSLSSLFLQRNFLSGEVPPPPPDPTTAAVYGAGSVIDLSHNNLTGEMSAIFAGVETLFLNNNRFTGPVPAEYVKSVSSGSTTTLYLQHNFISEFPLEPGTVLPDTTALCLSYNCMDPPVEVQSCPASAGAPLSRPPWQCPLLKSP >KGN53253 pep chromosome:ASM407v2:4:3233982:3242119:-1 gene:Csa_4G038700 transcript:KGN53253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRCVSRGLRASSSFSRKSSPNDHLRSQFSRFFSADSTAGRSSYTVVDHTYDAVVVGAGGAGLRAAIGLSEHGFNTACITKLFPTRSHTVAAQGGINAALGNMTEDDWRWHMYDTVKGSDWLGDQDAIQYMCREAPKAVIELENYGLPFSRTEDGKIYQRAFGGQSLDFGKGGQAYRCACAADRTGHALLHTLYGQAMKHNTQFFVEYFALDLIMNSDGSCQGVIALNMEDGTLHRFRAASTILATGGYGRAYFSATSAHTCTGDGNAMVARAGLPLEDLEFVQFHPTGIYGAGCLITEGSRGEGGILRNSEGERFMERYAPTAKDLASRDVVSRSMTMEIREGRGVGPLKDHIYLHLNHLPPDVLKERLPGISETAAIFADVDVTKEPIPVLPTVHYNMGGIPTNHHGEVVTIKGNDPDAIIPGLMAAGEAACASVHGANRLGANSLLDIVVFGRACANRVAEIGRPGAQQKPLEKDAGEKTIAWLDKLRNSNGSLATSKIRLNMQRVMQNNAAVFRTQETLEEGCKLIDKAWESFRDVRVKDRNLIWNTDLIETIELENLLINACITMHSAEARKESRGAHAREDFTKRDDENWMKHTLGFWENEKVRLDYRPVHMNTLDDEIETFPPKARVY >KGN54295 pep chromosome:ASM407v2:4:12194661:12195192:-1 gene:Csa_4G297450 transcript:KGN54295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGKDKNGIYVEGGNTSTTDSNMFSGSSYGGWGWDWTFSTVGDTVNGGSGRNSLEVGDGNGGLTGGGGGGGSEASIHETDCGDGDRGGGGVSDHGVGVSSHVDGGGFSYGGGGTSSNDRGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGFSDFGGGGSSIW >KGN54600 pep chromosome:ASM407v2:4:15054268:15055930:-1 gene:Csa_4G376150 transcript:KGN54600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTILLYFLFFFYTLHLLIHHLLCKIQNRPPSPFPTLPFLGHLHLLKPPLHRSLAKISHKYGPILLLRFGSRPVLLISSPSAALQCFSQNDIVFANRPRLLAGKYLGYDFSVVVWASYGDHWRNLRRISSLHLLSSSNLQSLSSVRADEVHSLILRLRKNPNQVVNVRTLLFEFMLNVMMRMIGGKRYFDDNRTHTEESLNFQEIVTETFKLAGANNLVDYLPILKWTGISRKIEKRYINLRKKRDKLIQNLIEEHRKEKQKAMSKNKPPLKKTTMIEMMLSLQESDPDYYTDEIIIGQMMVMLSAGTDTSVGTMEWAMSLLLNHPDVLGKAKEEMDEIIGKKRHIEESDLEKLPYLQCVIKETMRMYPVGPLLVPHESSADCTVGGYHIPGGTMLMVNAWAIHNDAGLWEEAAVFKPERFLGAGAEGDGIGLKYMVFGAGRRGCPGEGLAMRVVGLVLGSLIQCFEWERIGEEMVDMGEGTGLTMPKACPLQAKCRPRPILHYVM >KGN53731 pep chromosome:ASM407v2:4:7215416:7219687:-1 gene:Csa_4G112650 transcript:KGN53731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVKSIADSPNLTSIPSSFIFATDDSFDDVAADASLQGAEDSIPIIDLSLLINGTPQQRAKVVNELGKACEDWGFFMVVNHGVEEKLMKDLMEICVEFFELKEEEKREYETKHVLDPIRYGTSFNPKMEKAFFWRDYLKIMVHPKFHAPTKPTRFRGILEEYCTSVREMTRELLRGISESLGLEGCFLEKATDLESSLILFAANLYPPCPQPELARGLPSHSDLCLLTILLTNQIAGLQILHHDKWFNVNPIPNSFIINVGDQLEILSNGKYESVLHRAKVNDKATRISIGMAVGPSHETVVGPAPQLVNEDTNNPPMFKSIKYKDYMEIMQSSQLQEKSILDRFRLHL >KGN54900 pep chromosome:ASM407v2:4:18969510:18975580:-1 gene:Csa_4G579870 transcript:KGN54900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWVDKYRPKTLDQITVHQDVAQNLKKLVNEQDCPHLLFYGPSGSGKKTLVMALIRQMFGPSADKVKVENKTWKVDAGTRTIDIELTTLSSANHVELTPSDAGFQDRYIVQEIIKEMAKNRPIDSKGKRGHKVLVLNDVDKLSREAQHSLRRTMEKYSSYCRLILCCNSSSRVTEAIRSRCLNVRINGPTEEQIFKVLEYIGKKEGLQLPSGFASRIAEKSNRSLRRAILSFETCRVQQYPFVSNQAIPPMDWEEYITEIASEIMKEQSPKRLFQVRGKLYELLVNCIPPEIILKRLLYELLKKLDAELKHEVCHWAAYYEHRMRLGQKAIFHIEAFVAKFMSIYKTFLISTFG >KGN54727 pep chromosome:ASM407v2:4:16498831:16500585:1 gene:Csa_4G436980 transcript:KGN54727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSVAAMGMVSDSKFHVLAVDDSVIDRKLIEKLLKTSSYQVTTVDSGSKALEFLGYDPGNSSIPSVSSNHHHHHQEVDVNLVITDYCMPEMTGYDLLKKIKGSTALRNIPVVIMSSENVPARINRLRPHMLKTKLKCDKPERTTEDQPDSSQSQALEQQQQREQEQSRPLLQQIPTSLQDQDQIQSVPLLPTPPQEQEQLQLLPPTPPQQSNNNKRKAMEDGLSPDRTRPRYNGITTMV >KGN55081 pep chromosome:ASM407v2:4:20401756:20402323:-1 gene:Csa_4G627800 transcript:KGN55081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANCSVKLILFWGLVLILLLCSSISESRLLSSPSQSQSPSSSSNKKNELFVKNAEEMLKFIIEEKEKLGRHFVSKRLSPGGPDPRHH >KGN53940 pep chromosome:ASM407v2:4:9484949:9487013:-1 gene:Csa_4G192120 transcript:KGN53940 gene_biotype:protein_coding transcript_biotype:protein_coding description:H/ACA ribonucleoprotein complex subunit 1-like protein 1 MRPPRGGGGFRGGRDGGRGGGRGGGGRGGGRFGGRGGGGFRDEGPPSEVVEVSTFLHACEGDAVTKLTNEKIPFFNAPIYLQNKTQIGKVDEIFGPINESYFSIKMMEGIVATSYASGDKFYIDPAKLLPLARFLPQPKGQFPSRGGGRGGGRGGGRGGRGGGGFRGRGGPRGGRGGPPRGGGRGGGFRGRGRF >KGN53141 pep chromosome:ASM407v2:4:2395961:2396765:1 gene:Csa_4G022280 transcript:KGN53141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNNVLCKLIMLILVTIIFASNNVATRNLLSMPHLANVKRDEINNPPQTADDEPWDGNIRV >KGN53519 pep chromosome:ASM407v2:4:5129163:5131052:-1 gene:Csa_4G064020 transcript:KGN53519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASVMTSVSLKPAPFTVEKSASGLPSLARKSFSFKVVASGGKKIKTDKPYGINGSMNLRDGLDASGRKGKGKGVYQFVDKYGANVDGYSPIYDTKDWSPTGDVYVGGTTGLAIWAATLAGLLAGGALLVYNTSALVQ >KGN54572 pep chromosome:ASM407v2:4:14818174:14821436:1 gene:Csa_4G364030 transcript:KGN54572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEARLCQWTTIRSLFAILQWWGFNVTVIIMNKWIFQKLDFKFPLTVSCIHFVCSAIGAYMAIKVLKVKPLISVDPEDRWRRIFPMSFVFCINIVLGNVSLRYIPVSFMQTIKSFTPATTVVLQWLVWRKYFDWRIWASLIPIVGGILLTSVTEMSFNMLGFCAALFGCLATSTKTILAESLLHGYKFDSINTVYYMAPFATMILAVPAMLLEGNGVLDWLHTHQSICSSLIIIFSSGVMAFCLNFSIFYVIHSTTAVTFNVAGNLKVAVAVLVSWLIFRNPISMLNAVGCAITLLGCTFYGYVRHLISQQPPGTPRTPRTPRTPRSRMELLPLVNDKLDDKV >KGN54087 pep chromosome:ASM407v2:4:10953714:10954557:1 gene:Csa_4G280640 transcript:KGN54087 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent-like protein 2 MAGISPISTTHFLFLSFLLFSAVALAVAEDQNSFARTVNRKRLGLRKEKLSHFRFYWHDVLTGKYPTSIQIVPPASNTSMTGFGLVNMIDNPLTETPDPKSKLWGRAQGLYASASQDQFGLLMAMNFAFVSGKYNGSSLTIFGRNPFLEKVREMPVIGGSGLFRFARGYAKATTNKVDFKTGDAVVEYNIYVLHY >KGN54877 pep chromosome:ASM407v2:4:18722165:18723017:1 gene:Csa_4G571730 transcript:KGN54877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMLSKKKSVSQDATFSIPSKDKDYEEITYYDFDDSTTGTFKDDSENINKENVNDQDENVYSELEKYWGFVEEPNEEEKELSEKPHVCLEVMELHVKNRYDEDLVSELADGLRENLLKSGLLATIDLSAKYDILFHISCGKLMAFISPYKGVTLYGYFDS >KGN55395 pep chromosome:ASM407v2:4:22319738:22322964:-1 gene:Csa_4G649650 transcript:KGN55395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEARREIVTALKLHRASSTKEAAREQQQKQDQESKQSFPLFPQFGQCFEAEGRRKSRRNPRIYPDCSYDCSFYLENGSGLVAPPPENLNTEIPIQTFDDDFKTLDTCSSFCSLSFWPPPSSYICPTLSCPDTHQELPKSVSLREEEGNLMASDVFWFNNDPTGVSEKDMQQEGVLEEEAMHAMADIKSMSMDVKALEIDGRHSSDNAMEFPDWLSINDDFLLQYSNYHCVEEDYLQDPDLSWYQFNFS >KGN55551 pep chromosome:ASM407v2:4:23203617:23210730:1 gene:Csa_4G664580 transcript:KGN55551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPHMDVLPDDEKCALDMSTYENLSNVCNAPPLHSATPIPKDDTDGSYVFVTSVDAATNLDHAAPDLNAQPMCSHIVDAQIQVHNPDELKDSQFSLSEHIKESDAVRDKIRLADVLHSSDAKVDEAEPGLHSSQTVEQTRVLENQTINESFDAETNSGQIHGLHSPQEVEDNQIREENQRISTSGSSNTDANLSGQIEVESSQMAEDIQIHEDNGIVEIMKSSGTETNLVVNIEAECSQKEDGIQIHKDNGTVAIKFSDTESNPGEEIEVQSSPKAQDNQNHEENGIMKAFELSDAEANPRSEIEVESSREMEDIELQGQNEVVDTIKSSTTMENRGQEGEVIPGYNERVVSIELSNAIHDSSEEAEMESFERDEGIQEAEDADVEAADCCCVTGKEIVDGMVNKDVISDPIGGIEESQIITMGAAKSDLDHSDDSMENVKEECTSGVALNDKNSEITQFTICQDGDHYQVVGEELENLNNEVCLLEPSEENKAEVEQHLAATPSPLVSSEDINGSISISSEDGLPTSMDQDDPLGTIDGNDTVANRTSFHDHTETLSGSVVCDIATVETHELSPTVLITDPILELNEITVNEQEVNHVLELEENSEMVSNPKVDKCEVEVLEDMVSGNEDDMPTALDKSKIYCGDDFVADSQLVAEDIGTLESTDTAVSAVVIGNTSIEIREPASTNFPNDPLVRSDLDVEDCTISEIGTSAGDVVQPDKEVSESHEVGFLGNSNLETKCEDDHVEKDHLVPSHCNDCPSVECEERGSTVPEVPNGVDKSSAIQLISAVARDSELHDNKSSSSPTANEKPEDDIKIPSSIGDDRRNIPGNDCSVSNTEILKDFILNKEENLHLLSDVVSEIDGKPTTEEIEVNREGCQNEPSSISPEGSGDALTGQNVGAEAGTRPFNFLVKVPRFDDKNIREQIKCAQAEVDWKTKDRDAIRVQIQTMRAAWKVLSDNLEAAVSEGRAARDLLKSKRLEIDSVQSVITKVKNAMSVEDIDGRIRNIEHMIEHETLPLKEEKQLIREIKQLKQVREQLSSTMGKQDELQQALDQKDHIEERLKLLRKEMDLLRDNVLKAESVIKAAKKKYNDESIKLDELQSQFKAADKIRQEAYANLQSMRKQLYEKNKYCWKYRDDAKEASEIASSRDIEKVQHFCVNQVERMMELWNTNAEFREEYIKSNMRSTVRRLKTLDGRSLGPNEEPHVLNLIVKEGSARDNSLSTVSTTEESGKPISAYDASDNKPETKVAEEKNQMTKKKPVTVVGLVTAPRNISRENEVEEPPRPEEIKRTREEEELAAKVEELRKEEEAMKLKEQRKLEERAKAKEALERKKRNAEKAQARAVIKARKEAEEREKLREKRAKKKERKMAAETEAGNDWDERDSALVTETPSETQKEESENTGKPGMAAKKPQKALQYTKQSKTKSIPPPLRNRGKRRMQPWMWVLLSTVVVFALFFVGQQRLLY >KGN53754 pep chromosome:ASM407v2:4:7476738:7476935:-1 gene:Csa_4G122790 transcript:KGN53754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLSDDLWDGQIWIGDTSVHRLGEASGRGGIWSSGRGQMSSFRMDGVLERLWGWGWQWLGSDGF >KGN54994 pep chromosome:ASM407v2:4:19822892:19825718:-1 gene:Csa_4G620570 transcript:KGN54994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSATPLPLSQSPSHLPLHTHSTNPKIPTIRYRLSRLCQEGQLHLARQLFDALPRPSTVLWNTIIIGLVCNNFPDEALLFYSNMKSSSPQVKCDSYTYSSVLKACADTRNLVVGKAVHAHFLRCLMNPSRIVYNSLLNMYSMCSSTTPDGKMVSGYSRCDLVRKVFDTMRKRTVVAWNTLIAWYVRTERYAEAVKQFSMMMKIGIKPSPVSFVNVFPAFSSLGDFKNANVVHGMLVKLGSEYVNDLYVVSSAIFMYAELGCLEFAKKVFDNCLERNTEVWNTMISAFVQNNFSLEGIQLFFQAVESEDAAIDEVTLLSAISAASHLQKFELAEQLHAFVIKNVAVTQVCVMNALIAMYSRCNSIDTSFKIFDNMPEKDVVSWNTMISAFVQNGLNDEALMLFYEMKKQDLMVDSVTVTALLSAASDLRNPDIGKQTHGYLLRNGIQFEGMDSYLIDMYAKSGLIEAAQNVFEKSFSHERDQATWNSMMSGYTQNGLVDQAFLILRQMLDQKVMPNVVTLASILPACNPSGYIDWGKQLHGFSIRNDLDQNVFVATALIDMYSKSGSIAHAENVFSKANEKSIVTYSTMILGYGQHGMGESALFMFHRMQKSGIQPDAVTLVAVLSACSYAGLVDEGLQIFESMRTVYNIQPSTEHFCCVADMLGRAGRVDKAYEFVIGLGEKGNVMEIWGSLLAACRIHKQFELGKLVAKKLLEMEKINGKTGYHVLLSNIYAEERNWENVDIVRKQMRERGLKKETGSSWIEIAGYMNHFASKDRKHPQSDQIYSMLEELLMEMKHAGYRPLSTSYLGGFLEPDE >KGN54349 pep chromosome:ASM407v2:4:12531837:12537224:1 gene:Csa_4G307910 transcript:KGN54349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASISAPPASFSSSSASDLLRSSSNGVSGIPLRALGRARFNPRRKDMSVSAKLGKGKRHEYPWPKDADANVKGGVLTHLSDFKPLKEKPKPVVLEFEKPLHLIEKKISDLRKMALDTGLDFGDQILLLENKYQRRIQSLYTHLTPIQRLQIARHPNRPTFLDHVFNITEKFVELHGDRAGYDDPAIVTGIGTIDGRRYMFMGHQKGRNTKENIRRNFGMPTPHGYRKALRMMRYADHHGLPIVTFIDTPGAYADLKSEEQGQGEAIAHNLLTMFGLKVPIISIVIGEGGSGGALAIGCANKLLMLENAVFYVASPEACAAILWKTAKAAPKAAAKLRITASELTRLGIADGIIPEPLGGAHADPSWTSHQIKSAINETMDELLMMDTEKLLKHRMLKFRKIGGFKEGIPVDPNSKINMKKKEKTAVGKTSVQELERKVEKVKQQILKPKESSDGQADVDLNELIEKLKKEVDFEFSAAVKAMGLKNRLATLREEFSKANSSDQPIHPELKEKIEKLRDEFDQGLSKAPNYKNLKIKLDMLKDLSQFKAHSARDAKASLKQEMNKKFAEVLSRPDLQEKYEMLRAEIENSGASKHTDLDPELQNKMDKVKKEIQGELAAALNSLGLHIEVLTSKAQVPSEQSSLSLFKPKIEMLNEELNQGIESVANRKDLKDMIELLKLEVAKAGKMPDATSKNRIWALEQQIKERLAAALDSSDLKKKHEKLREEILETTESGFDPTEGDSSVYGDAGIQTNSGAEHTFA >KGN53425 pep chromosome:ASM407v2:4:4482775:4483179:1 gene:Csa_4G052750 transcript:KGN53425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLIYYRNWCFLWGLWNEFAKFRNRCIFARIEREVEATKAVALGEAQLQTEVEMMNAMTMVEKLKAEFLSKASVEYVTKVNFLFSIFLLNLHPISPALSPPP >KGN54805 pep chromosome:ASM407v2:4:17629829:17633100:-1 gene:Csa_4G506860 transcript:KGN54805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPEVCGLSLFLSLPDDVFSIVSRSLTPRDLCNLSLCCRSLHASTASEKIWLTQCEMVGVLAAKDLIDWRTGVASYKALCRFLTRIEPLMGIWVHQNPELGNVVYVMPGFVSVVGCRIIPQELGPLGIEEGPILWAPVFEILGDADGTASFCLHGREKGIDYFYPGLVKAVDKSCNVLLLEVDTRPYKNYNSTLLHSKSFVNHSDQESRKVCRSNSDRSQCVFGQHESKVPFGRLTFSDRRKLLETVMSQVRVKVPNPVTGPLFPRLRDDEENFKKDMVALSKRRSVLMQYRKIGGSQMSWKGSSELFPNPNQLELSEFRKSLDGSTDLHKSINGDNDQIRCRKKKTIGRYFTQSLNHVLKKSGLFNDGKGISKNGCSSTVNKYVQLEDFLKSGDTIGLALHASTMKLSSYRGWPNMHDSRFALYKLPMRAPTAEQEYAGLWGGTFGWPPGKPTEDKPGKALFFLLLSYEKSQDQLFLIATKILEGTHYVLHPNGSAMFRVNINEPSADPFPWESDGDLLPVNVQHTFTGEGIANGYGFRYPGSKPGSLYVFQNGQLAFIWKESKSVLTLQRLNLQDLLKKGERIPPLPPSVNFSYLTKSYSNVFAGFPNTSTSFSSPRGTCS >KGN55208 pep chromosome:ASM407v2:4:21283664:21285681:-1 gene:Csa_4G639950 transcript:KGN55208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPQSLQPPSSSSSSSFSFSSSSLPPGCRFFPSDEQLLRFYLSNKASGHNDSNLIKDLNPFDYDPFDLPDSACFSYGSKARKKHWYYYALTAFRENGGWIRMKTGYWRRKGKVKEVTGRRGLVLGIKRTFVFYLGNSINKSLKTNWIMYEYALDHRFKASFVLHRVFVSGRGNRISGNGLSSYGDGSVSAVFHNGNQQDGIQVNNLVDAEPCEGDAMNTTNVISTHEMGRAENSNCLLITDPVSAPAATTLQVPSKAFPCEMMTSSPVTPPGTMPTHVIDKEQLISILEGDFLELDDLFE >KGN52884 pep chromosome:ASM407v2:4:824261:826185:1 gene:Csa_4G004930 transcript:KGN52884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLPLPPSPMALPLSGRFPAPFLPPSMRKAGLLHPIASASLSPTIKDETIDWVEATSNFFEKDTRPIMLFDGVCNLCNGGVRFVRANDRNRRIRLEALQSDAGKKLLRRSGRAPDDISSVVLVEKDRSYIKSEAVLRIMEYLELPFPQLALFLQFVPLFVRNIVYDNIADNRYTLFGRSESCEI >KGN53004 pep chromosome:ASM407v2:4:1608075:1609197:1 gene:Csa_4G011030 transcript:KGN53004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCSRNLMIFIKVSFKTRIGGGKERARARARKIMGISASKRVRNSLTNSHEFDSACNSTFSHCLALTQHAYNGVFPYQLCTAADHLHHLLTVVQPHPLITNWLPSPPTRLQVDSALRAVNRDDSDREDEALGLILFNRWAIELFAEAVVKNVGKKVMVRVPVGIAGIAGIGAVTRSGKDVVGTVVAVYALGVATSVYLSLSG >KGN55138 pep chromosome:ASM407v2:4:20814671:20822329:-1 gene:Csa_4G637780 transcript:KGN55138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENASQEGRSLALTPTWSVASVLTIFVAVSLLVERSIHRLSSWLGKTHRKPLFEAVEKMKEELMLLGFISLLLTATSSLISNICIPSKFYDTSFIPCSQSEIDEQNADNSSSEKRKLFMVSVFPHLNRRMLTVNKNTCKEGHEPFVSYEGLEQLHRFIFVMAVTHISYSCLTMLLAIVKIHSWREWENEAHMDHHDLFNDTTKKKIMQRQSTFVQYHTSNPLTRNSFLIWMTCFFRQFGRSVVRSDYLTLRKGFITNHNLSSKYDFHSYMVRSMEEEFQRIVGVSGPLWGFVVAFLLFNVKGSNLYFWIATIPVTLVLLVGTKLQHVIATLTLENAGITGFFSGAKLRPRDDLFWFKKPELLLSLIHFVLFQNAFELASFFWFWWQFGYSSCFISNHLLVYVRLILGFAGQFLCSYSTLPLYALVTQMGTNYKAALIPQRIRETIHGWGKSARRKRRLRIFTDDATIHTETSTVMSLEDDDNQHVDTPKAATGYAIIEMQPPTAANVSASVTNDASRAVRTPLLQPSLSLSLPVAQNFIDGAPLRSSSMPAQNFDAENSLRSSSMPR >KGN52840 pep chromosome:ASM407v2:4:604503:605779:-1 gene:Csa_4G002570 transcript:KGN52840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEQAVGVMTVNSSSYETVLQITNDDTNYDHNVKVEHECEPKKKKKKSTNILKVALMLLRQRSRKPNVVVNNSAIVDHVGSKGMWNRLVGAMRPLHLQSDESTTVPSLPAASTEPPLPRLPSSPSLDNFEDVNSSSSSVDGMSRYASAANLQDLDQNDGEDEEINNDKVSSNVDGEDEDEMIDAKAEMFIAQFYEQMKLQRSESDIRYNEMIKRSIG >KGN55049 pep chromosome:ASM407v2:4:20194606:20197050:-1 gene:Csa_4G625040 transcript:KGN55049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGEEVTGPAGPKILRLLYFAGAGFIVSFAINKWREIERNSLLQQQQKQRLNHQLSESPNDAVQKVVE >KGN53531 pep chromosome:ASM407v2:4:5237740:5238901:-1 gene:Csa_4G064630 transcript:KGN53531 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase MGDEVKILDFWPSMFGMRVRVALAEKGVGYECIEEDLRNKSPLLLEMNPIHKKIPVLIHNGKPICESSIIVEYIDEFWNDRAPLFPSDPYERAQARFWIDFIDKKLYGSVRKIYGGKGEEQEEGKKEMMEILKELEKVLGEKDYFGGECFGVLDIALIGFSTWFSGLESIGNFSIESECPKLISWAKRCLQRESVSKSLPDSKKTTEFIAELRKMIVE >KGN54598 pep chromosome:ASM407v2:4:15026191:15026496:-1 gene:Csa_4G375140 transcript:KGN54598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNEIGMVGIDGKFGSVIVGSGGNGSPIESGGKLTFGNVGCGKFGRVGFGKPGNGGIRVGIAGRFGTKGGVVCQRWRVAWPKLMLERAKVAVKAMIKNFE >KGN54968 pep chromosome:ASM407v2:4:19642901:19655906:1 gene:Csa_4G617350 transcript:KGN54968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAREDEDEEQTRQRKLEEALEVKSLRRIVSAYLNYPEASEEDVKRYERSFSKLPPAHKALLSHFPLKFERLRRCISTNSYFIFNMLQAFEPPLDMSQDTDCCDGSYPDHAHDDQFCCRGERNANGNLCSRESNVCSGEPTSTSGRMCSLESKQICCPEGASDSPKASTINQEVENGVNHDQHLEEKEVTDKHSGHCASDCNGNDCSSSHEWLDPSLQLNVPLVDVDKVRCIIRNIVRDWAEEGQKEREQCYKPILEELHSLFPDRKKESPPACLVPGAGLGRLALEISCLGFISQGNEFSYYMMICSSFILNHTQKVGEWTIYPWIHSNSNSLSDSDQLRPVSIPDIHPASAGITEGFSMCGGDFVEVYSDPSQVGLWDAVVTCFFIDTAHNIIEYIEVISKILKDGGVWINLGPLLYHFADMYGQEDEMSIEPSLEDVKKIILHYGFVFEKERTVETTYTTNPRSMMQNRYYAAFWTMRKKSATEDMTH >KGN53894 pep chromosome:ASM407v2:4:9053611:9059044:1 gene:Csa_4G182250 transcript:KGN53894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERNGEGAPALAPAASSSSSSSSQITRPRRSVDPLLVTCRFFSVITALTAILCIVSNVISAIRSFKNQSDIFDGIFRCYAVVIAFFAVLAETEWEFIFKNWKVLEYWAGRGMLQIFVAVMTRAFPVYSVEQRELILLQDAASYLLLACGAVYVVSGILCIGFLKRAREKKETAKDKVVKDLQELERQKQELEQLLISETV >KGN52892 pep chromosome:ASM407v2:4:863323:863945:1 gene:Csa_4G005010 transcript:KGN52892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPTNNLLKLVVAVAFAVVFSLFLSLPTLSGVAEVESLQKRTVLGSKPPRCVGKCLNCRPCTAALVVPEHREGKRFEGNLDHREEDDSYYLLHWKCKCGNKLYQP >KGN54197 pep chromosome:ASM407v2:4:11575310:11578552:1 gene:Csa_4G293070 transcript:KGN54197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVAPAGLNDISNSKNPLGENPSQTLETTISSSAQPPASVPPSSTDGGSSKEGDESKCAGKPSAEDDGAPVSDVQRKMRRAERFGISVQLSEEEKRNSRAERFGMGTTTNGLGASNKTEEVKRKARAERFGLSASVTTDDEAKKKARLARFSSTSKPDPQEEEKRKARAIRFSNPTPNSLTQVDGKGNVETIADVAGKSGGGA >KGN54583 pep chromosome:ASM407v2:4:14927910:14931774:-1 gene:Csa_4G371945 transcript:KGN54583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVIGWYGPLIDLSEVALHVGDFVQLLVFIHRSTPVEYKLTNGGDLIRTDIKVADDTRSFFSVSLWQKKMAAAAIPGDVVLLQNVKLRKFGDSTEATTVHCSSLASIIHPYETLVSEGVDELTANCRVGLAAKEKLRKVVEWAKGAGSTFQNIRLPRDQKTNQFFRNWKLPAKEMSRDCLSLSEVSCLTESCKVIFDASIGEIFLLSPWMNIDELQKEKLFVSRRLSNEKDFGLAEDLICTGCQLCGSPLGLENGSVDNQISVPLCCSKSLNRLHVVSMIYRPFMLYVWDESECIPLLVKNQAAEILFGNIKAEKIYSNYSCQKSNFHPNSNVVAESSGAFGKGERPSKNIYLIWLIFLKMLLEQGKNSPLKFEVMVNTSMDKENGRFEMVSSSFPCSLLINRSSD >KGN52997 pep chromosome:ASM407v2:4:1570554:1575965:-1 gene:Csa_4G010960 transcript:KGN52997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDCFHTVSYSYPNGNMCHRLLLFVIWISSFHDGVALPTVVNRHLVPSMREQAQSPSSVVFDPIEISPAVIPQYPYPNESLPPMYPTFPTRYDPVLTGRCPVNFSVISNVMDKTASDCSQPMAALVGNVICCPQLSSLLHIFQGFYGLSSDQLVLQEAVANDCFSDIISILASRGANQTIPSLCSVKSSNLTGGSCPVTDVVTFEKLVNVSKLLDACDTVDPLKECCRPICQPAIMEAALQISGRQFTTDTSSNVAGQATHIDSINDCKRVVYSYISRKLSSDAANTAFRILSSCKVNKVCPLDFEQPSELIKDCRNVAAPSPSCCSSLNSYIAGIQKQMLITNKQAIICATMFGSMLRKGGVMTNVYELCDVDLKDFSIQAYGQQGCLLRSLPSDVVFDNSTGFSFTCDLTDNIAAPWPSSSSISTLSLCAPEMSLPALPTSETRRNSGQREEGLDFPMPIFLFFIMVSAFLY >KGN53157 pep chromosome:ASM407v2:4:2501146:2505997:1 gene:Csa_4G022930 transcript:KGN53157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSMPTVNSSQWQEKASGFFSSSGVKLKEAGHSAGNFVEEVAKDAKGNAADVAERVGTLFKSRWALLQQPATRHAVQERLISAAATTGTFFRKGVSETKDKVVVGKVKVEEVAKKTAQKSKTILTDIERWQKGVASTDVFGVSIEVTVQKQQSSRVIPHILVRCADYLVLSGLNSPWLFKSDGDKKVLQQLVSMYNQDPNAPLPEGTNPVDVAALAKCYLASLPEPLVTFELYNEIRGARTSINALRNIFKKLPNVNYMTLEFTTALLLRVSQKALLNKMDARSLSMEMTPIIMWQNDRRPEFYREYWDYHSKSSSAKSLNNTPPTYSAWDMLSEESDDTDASSHIPLDDGVPVDFNAVEVVQCLIEHHNEIFTDANETIWR >KGN53946 pep chromosome:ASM407v2:4:9528335:9529111:1 gene:Csa_4G192180 transcript:KGN53946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATALPLDGRTAIVTGASRGIGRAIAIHLHSLGANLVLNYASNSTQADLLASELNQSSAPLRRAVAVQADVSDPDHVKRLFDSAEKEFGSEIHILVNSAGILDSKYPSLVETTVEDWDETFRVNCRGAFLVCKEAENRIKRGGGGRIVLITTSIVLSLPPGYGAYAASKAAVEAMAKIAAKELKGTGITVNCVAPGPVATELFYAGKSEETVARMAEACPMGRLGQPDDLAKVVGFLVTDAGEWVNGQVVRVNGGLVI >KGN53224 pep chromosome:ASM407v2:4:2984348:2987428:1 gene:Csa_4G028970 transcript:KGN53224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDMSDKKSSCGLLNAVFGRRGFWPRRTASNASLSTMNSNNNDFVKTASSQNSKRRRGGSDEGAFIHVSAVESKPKVGPNQQTQQRVVYSNQNQNQNQQRGAASNIQNVGCKIAAPPSRVAASAGNGYVDQGRRVPKEAIGISGELELMIADHQKSKGTNGSLVRASSSNVMLFGNLGNLRQGGNGGGNTYNVVDYMPKTAREETQVPNGRYQNGVVGNVGKGQPKQSVEQTGSLCRVLSTRMDPEQLKIMGNEDYKNGRFAEALALYEAAIAIDPNKASYRSNKSAALTALGMLVDAVFECREAIRIEPHYHRAHHRLATLYLRLGDTEKAMYHYKQSGPEADQEDLAKAKAVQAHLNKCTEARRLRDWNTLIKETGFAITSGADSAPQIFALQAEAFLKLHKHQDADEAISRGPTFDVDSCTKFLGPVGNANLLLIRAQVDLTAGRFDDALEAAQRAARLDSNNRDTNVVMRRARAITAARSNGNELFKASRFSEACLAYGEGLEHDPYNSVLLCNRAACRSKLEQFEKAVEDCTAALNARPSYSKARLRRADCNAKLGKWESSIKDYEILLEETPDDKEVREALAKSRMQLKKQQV >KGN52938 pep chromosome:ASM407v2:4:1129977:1137749:-1 gene:Csa_4G006450 transcript:KGN52938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSLSLLPSPLTFERFSASSSSPSSSSFFHGGTQLRFHKNFLSVHSYSFNSSPSSPNSRFNKRVSSRRRLGLVVCASGDYYATLGIPKSANSKEIKAAYRKLARQYHPDVNKEPGATEKFKEISAAYEVLSDDKKRALYDQYGEAGVKSTVGGSSAYTTNPFDLFETFFGPSMGGFGGMDPTGFRTRRSSTLTKGEDIRYDINLGSSEAIFGSEKEFELSHLETCEVCTGTGSKVGSKMRICSTCGGRGQVMRTEQTPFGLFSQVSVCPNCGGNGEVISDFCRKCSGEGRIRVKKNIKVKVPPGVSAGSILRVAGEGDAGPRGGPPGDLFVYLDVEEIPGIQRDGINLYSTIAISYLDAIMGSVVKVKTVEGMAELQIPPGTQPGDVLVLAKKGVPKLNKPSIRGDHLFTIKVTIPNRISEKERELLEELASLSNNAGTGSRFRTRQKSQPQPTNTITENEVKTVANSTESADDGDSNDIWKKVQDFAGSVAQGALKWLKDNL >KGN53417 pep chromosome:ASM407v2:4:4412642:4419567:1 gene:Csa_4G052670 transcript:KGN53417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIDSLLSPRVFLPQSSFNPLTPRLNHLQTQRFNFTRNPRTPFLFLHPNRFAFCLAVSKSSDSPSQSSGGDKAAQHDFVTRVLKENPSQLEPRYLIGDKLYTLKEKEYLSRKLEVGVFDFVVKWLNSRKKSKEEGIEGRNEGGNKSEDVYLKDILREYKGKLYVPEQVFRSELSEGEEFDRSLEALPKMSFEDFVKALENDKVKLLTSKESRATFYGSMFRDFIVDLKEIPGEKSLQRTRWALRLDETEIQTVLEQYTGPQYQIESHTSSWVGKLPNYPHPVASQISSRMMVELGVATIMIAAAAFLIGGFLASAVFSFTGFVFFTVVNVVWPIIRPFLKLSLGLIFGICERVWDNVGDFFEYGGGFSKLQEVFIYCGISDSLELIVPISTIVLIMVLLLRFTLSRRPKNFRKWDLWQGIDFSRSKAEARVDGSTGVKFSDVAGIDEAVEELQELVRYLKNPELFDTIGIKPPHGVLLEGPPGCGKTLVAKAIAGEAGVPFYQMAGSEFVEVLVGVGSARIRDLFKRAKVNKPSVIFIDEIDALATRRQGIFKESTDNLYNASTQERETTLNQLLTELDGFDTGKGVIFLAATNRRDLLDPALLRPGRFDRKIKICPPGAKGRLDILKIHASKVKMSHSVDLSIYSRNLPGWSGAKLAQLVQEAALVAVRKGHESIFQSDMDDAVDRLTVGPRRIGVKLGHQGQCRRATTEMGVAITSHLLRRFESAKVECCDRISIIPRGWTLSQVVFRRLDDESYMFERRPQLLHRLQVFLGARAAEEVIYGRDTSKASVSYLADASWLARKIITIWNLENPMVIHGEPPPWRREANFIGPRLDFEGSLYNDYNLTEPPLNFNLDDEVARRTEALIRDMYDRTLAMLQRHHAALLKAVKVLITQEEISGEEIDFILDNYPQQTPISVVLQEENPGSLPFVKRKRENEQEREQERDFEYGAIPQSAIIEA >KGN54622 pep chromosome:ASM407v2:4:15302837:15303355:-1 gene:Csa_4G385780 transcript:KGN54622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAEEYDTSTRGHRHISAARALGEGIYRILRHNPRNKNNNHHTHLIYKLQFPAADEKNEPQKSFNIEREGSFVIQIKNPEQGGAGGSSSQHKRRAQFPAHLQGQFGHKRYYPADPPEFLNFEGCEFLLISASDDIEQELGLELITEGEECDLVKTFGDAVSTKPLFEGTWV >KGN54210 pep chromosome:ASM407v2:4:11635608:11637504:1 gene:Csa_4G293200 transcript:KGN54210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTVFQVNTLLSAARMRLHNAREEREHFDEASNQILVHLKTKEDELFKSVASYKVEAGAVNACKNFLEHTWNLQISQRQLKEEHVDGELEKYGDYFVKLVISLLSSYKGKLEPALSCIRKLEENLSSMKESDVSPDTDDRSLNVHKQRRKLEEEYLDMESKFVSTLSTVDTVRMQFYETKGVVRNLDEKVQETFDALEKIKQEFESIKRPKLMIETVRRKPELPINEKPHVVNSNPSFTSGQTAEVRRLNFEDIDESLAKRTKNFSMEAEMAKLDSDEGIDTIDSNEEINDWEFDELGRDYDTISNHQKR >KGN55404 pep chromosome:ASM407v2:4:22397083:22397635:1 gene:Csa_4G650230 transcript:KGN55404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESISPSTQYSSPSTSPNMAERTPWHSPLPYLFGGLAAMLSLIAFALVILACSYWNLSRRDRDNGDLETGGANEAKIGSKIPPEKVNYDDNVLVIMAGNQNPTFLARPVCIKISSAVEAPVNGKSEEKETDDNSEKSNKVHDGEVNSAVEEEIE >KGN53202 pep chromosome:ASM407v2:4:2823615:2824188:1 gene:Csa_4G026790 transcript:KGN53202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFLFRHHHHSLQFFFSELRLRILRIIFLFTLPQIYFSYSQGDLVFVAELSGRDFIENWNVEIKEMSSKDYKDIVMFEYRMQKIQLNMTFILFYPVLSDKF >KGN53776 pep chromosome:ASM407v2:4:7681216:7681776:-1 gene:Csa_4G126460 transcript:KGN53776 gene_biotype:protein_coding transcript_biotype:protein_coding description:Genomic DNA, chromosome 5, TAC clone:K15E6 MQGSSSSMAQPEAILDWLQKEMGYRPLGSYSASSKSQLPSVDAFRKVCRGNMIPIWNFFITRVKSEKTVDNIRRNIMVHGGGGGAGESSSGGLANSGKEEGRVVKGRRKDKVAAESPSVVETREVALQERELAAKEVERLRNAVKRQRKDLKARMLEVSREEAERKRMLDERANYRFGYCFSFFLL >KGN54320 pep chromosome:ASM407v2:4:12351692:12352515:1 gene:Csa_4G303680 transcript:KGN54320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAEEVELFGGWMSPFSRRVELGLKLKGIDYKYHEEDLKNKSDFLLTYNPIYKKVPVFLHNGNPISESLIILEYIDQVWNSLYPFFPQQNPYETAQARFWANYIDDKVLAATLKAAKSSKREEREKGLEETEETLKPLEKELQNKKFFGGNKIGIVDIVGTVIAYWIPAIEEAFGFELLTTKKFPKLTKWSEEIVNNSVVKQVLPPKSNLVAYLQTVLTTK >KGN53635 pep chromosome:ASM407v2:4:6118207:6120968:-1 gene:Csa_4G092990 transcript:KGN53635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFTIPCPKASTLPSFGSSAHKNRHYNHINPNLSLNRLFKDSFLFGSSFVDSPLSGAEWPNKKKSTGLVMYAFKEVVVGKTSNSAPAIVSTPGNGPSGRKTESAVTVSDQSSLPDELSISTFMSQVSDLVKLVDSRDIMELQLKQQECEILIRKKEAIQPTPPAQAPSPYIVLPPPHAHTTVAPPPTPAPEPKATSTATATPPPAPKAGGSHPPLKCPMAGTFYRSPAPGEPPFVKVGDKVQKGQVICIIEAMKLMNEIEANQSGTIAEILVEDGKTVSVDTPLLVIVP >KGN53618 pep chromosome:ASM407v2:4:5937775:5938226:1 gene:Csa_4G090860 transcript:KGN53618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNLTIVKIDGDRSLHNLNEEGEAAVWVCFACSMREEGLRLAIKNNGSTTDGDGESWVVGLLPVEMKSGGDGDGENYVEGFDGEKMGDKRKR >KGN53960 pep chromosome:ASM407v2:4:9650162:9652144:1 gene:Csa_4G194280 transcript:KGN53960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNSNHKGRSHCQVGDRSTSDVVVRLRTKDGRDEWLYCHSHILTAQSKYFADRLSEKWPTCQILDSRNCVEVFCLESTFDYHVNLLRLLYVITDIPTDDLWNDVRTALGILGVAFQLECKTIISACVNYLEAVPWEEDEEEEILKVIPHMGPEAEPILARLQPVNSSLVRQIFLSALRLATSSPFSNINDLKPSAQEQLEYMLTEDDDAPLLMADAEIKLEINECVKSLIQTFNNLLDIILDPLHLDGKARNVQVLVFCLTDLAWTCRILRRLETMKDFVSNWVDASDKIVQVVEQTSVASEIIDTRIGIIEVVAKVLEAIKHGSVILQTSKRLHMVKVWLPFVRTTRSLIDSLANNGVDDLKLKIDSDIWELLESAFVSIILALPSGEQAEIITEWLQNQHVIRYPDLTEAFEVWCYRSKVAKRRLSLVGDNPGTTTASFQPSA >KGN53728 pep chromosome:ASM407v2:4:7193017:7195429:1 gene:Csa_4G112620 transcript:KGN53728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIPHSALTQVVDSPPPLGSSARLLREVPPTVKASLSDASLQRSFLQNHLTHIKTLLHPPSSCPLSATALSLSKSPAISYLCCQWRYTPISDPDARGYFDFLIKNFQIKRKNN >KGN53816 pep chromosome:ASM407v2:4:8122330:8122715:1 gene:Csa_4G141240 transcript:KGN53816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNLGFTSLPLLVVAMAALITGARVADAITCNTMGLSPCIGAMTSTAPPSTTCCSKLREQQPCFCQYMKNPSLGGYVKSARAKAIISSCGVPYPKC >KGN54123 pep chromosome:ASM407v2:4:11124202:11128409:1 gene:Csa_4G286960 transcript:KGN54123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNIIAIVSVIPKINETFPSDDTLLLFFASSDLDRDGQQKPLIGGVAELIKNESTAEKYKYVAKIQVPKGFGEIGAVIVELKDDSSEKFIDTVIVANPTSHNTITFSCTSWVQPKSLIPDQRRVFFSTKSNIEIDFDPKSEQRSTESFYVPRDEAFSEVKQKMFPSNPGKKDKLGAKPFTDFTQIDLMFRDGIAPPSNPHEILQFNIISTLNASNQPAPPPVQPIPVQPNTPVIKFPPPEALKRDKFNWLSDSEFARQTIAGLNPYCIQLVKSWPLKSELDPVEYGPQESAFTTELVQKLIGSSITVEEAIAQKKLFVLDYHDTLMQYVEKVRSIKRTTLYGSRTLFFLNDDDTLSPLGIELTRPPMAGKPQWKQVFSPSTQATNAWLWRLAKAHVLAHDSCVHQLVIHWNENNELKNRRGAGLVPYEVLKPTSGFGVTGKGVPYSVST >KGN53528 pep chromosome:ASM407v2:4:5209910:5212315:-1 gene:Csa_4G064110 transcript:KGN53528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEVKLLDFWPSMFGMRVKIALAEKGVAYEYVEQDLRNKSPLLLQMNPIHKQIPVLIHNGKPICKSSIIVEYIDEFWKDKASLLPSDPYERAQARFWVDFIDKKIYPIGSKLWNRHKTEEEKEAAAKELLECFKQLQEELGDKDLFWWQNIWVYRYCSHSILQHVSCIQIAWKIGLRS >KGN54053 pep chromosome:ASM407v2:4:10755907:10757265:1 gene:Csa_4G279820 transcript:KGN54053 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucose:sesaminol 2'-O-glucoside-O-glucosyltransferase MAMDTHQASTPTTTTILMFPWLGYGHLSPYLELAKALSTRKNFLIYFCSTPVNLDSIKPKLIPSPSIQLVELHLPSSPDLPPHLHTTNALPPHLTPVLYQAFAAAAPLFETILKTLSPHLLIYDCFQPWAPRLASSLNIPAIHFNTSSAAIISFSFHATHRPGSKFPFSDFVLHNHWKSKVDSNPSEQIRIVTESFFECLNKSRDVILINSFKEVEGEHMDYIFLLSKKKVIPVGPLVYEPSENDEEDEDYSRIKNWLDKKEALSTVLASMGSESYASEEEKEEIVQGLVESEANFIWVERINKKGDEEQQIRRRELLEKSGERAMVVEGWAPQGKIQKHGSIGGFVSHCGWNSVLESIVSGVPIIGVPVFGDQPINAGVVEEAGIGVEAKRDPDGKIQRKEIARLIKEVVIEKSREELRMKVREMSEVVKRKGDEKIEELLTQISRFFNIS >KGN54651 pep chromosome:ASM407v2:4:15636770:15637435:-1 gene:Csa_4G416470 transcript:KGN54651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFDSPNFFRFRQLQPPEKYGVPTELGEPESGELRSGSPRLVELNDFLRHLHKKGLLEVLSFCETKVTPIVEGYGGWAFRMEIVPIESAYPGFGELVVSMIND >KGN54919 pep chromosome:ASM407v2:4:19211948:19215601:-1 gene:Csa_4G598010 transcript:KGN54919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKMEKRIQRQGSNLQFNKNVPGCFWTIFHTIDYHRWHNVKKRLPYKKHSRNKGGPKSTRNDHQVEKVSEQSNDGNDPLVCTAESCPIGRKPGEAHVNEVMAQEMSEEESQKFWKFNSSSKRRLIRTQSIHHIESSYYSPGYSDENGDNGITSRQKSPVKLAASGMRSVSLSAMDNEDYFIQRKIDIQLPSLTKKSNGVKKNLEANKINRNVSCRSFKTDAHIQEIFKANRKLFAELLQGAHSKNTLQTSQNKKSSASLAKSGSFPAPSSAQKGYRKLSSLRHKQSESYPKQKSNSPHPSKLVESKSPENFHEDMTPCDSDSTSHNIKHQTTPSSSGLNHGLRHGGWNQLVVKRFNFIKQKIRGSLKERKRGNHQKTSKGIPTVHSPGHELPFHEEEAQESKGTATRGHSETGNSENDNLSNGVQTKTAIASPIASLERYSQFADGSGIVGGYSETDNSGNDNLNNNVQTKTGTASLSASLERYSKLSEDGFDKNRDAKCNHSQSFRLISGEKIPNIEGPKKNFGRHLSFSGIDLFCALFTDPPHAVSRTKKPKRGLAHSSTYNNIRKDENPGHLLTAHVSTPLDSDSSSIIEEGDDNVPVDYSSSLNEVINDEGAAWVYEHKQKIAHPDISNGKHDQVSGSECIVEDVREVVDHVSDLSHINQVLELQTCFQDDETSDLSDSVGEILDPWCSIYELELSNGQPNEARTEVLPAYETTVSHEIIDDAQKKYFYLHLHSDCADFNYMRYILQLSSFIDSDHTIDQPLNSSIFEGEEACFYEKLECYWGKVDKDSDHQLLHDLVYETLHNMYETSFVSVLKTFSSMSQIRPMPLGQYLLEEVQEKIAWYLRLGPELDQCLDDVVGRDLNKGDDWMNLHPETEFIALDLEDMILDELLDEVISYKELFG >KGN54715 pep chromosome:ASM407v2:4:16316713:16317557:-1 gene:Csa_4G431950 transcript:KGN54715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILKCLPFIFLPFLLHSQTLATRFLVAIEQLYSSKTAASHPPHSKLVKSRVSYQSQVKMDVDASTSKRLCSGKPLVVIPSSLQPSDEVEANCKVHRPEPIFFVVSHLHGNLVCTASSTSHFFTIKPHISQSHVCKQPESVLFYKPCTRIDCPYRAIPCFEPLLSEERDFPIESPH >KGN55409 pep chromosome:ASM407v2:4:22439553:22442524:-1 gene:Csa_4G651760 transcript:KGN55409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETASYINTCKSFLVFLALSFPATTLALSFGFYAATCPAAELMVRNTVRSASSVDPTVPGKLLRLLFHDCFVEGCDASVLVEGNGTERSDPANKSLGGFEVIDSAKRTLEIFCPGTVSCADIVVLAARDAVEFTGGPSVQVPTGRRDGKISAASNVRPNIADTSFSVNQMMNLFSSKGLSVDDLVILSGAHTIGTSHCSAFSDRFRRNPNGQLTLIDASLDGAYADELMRRCPAGASTAATVENDPATSSVFDNQYYRNILSHRGLLQSDSVLISDGRTRARVESFANDEIGFFENWAQSFLKLSSVGVKSGDEGEIRLSCSTPNV >KGN53393 pep chromosome:ASM407v2:4:4230194:4230761:1 gene:Csa_4G051450 transcript:KGN53393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNVYQITKENHKPNSRLWDCESSLYDSFELNSFRRHLDSAIASRTFSMPHLSTSSDDDHHQASLPTPPPPRPCVPMTTPMSKASSKFSRSFSKILRSLFRPKPNSNTPIFRAQDQPRDGFYVFYEVGSLSTIPEVPEADLGAGLSPEISSLVKKTASGRFTPNSIGISCVL >KGN53492 pep chromosome:ASM407v2:4:4907722:4908138:1 gene:Csa_4G056800 transcript:KGN53492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWILIYQVILMLIGVGKYFGAECRKNGLIIRTGGDTITLSPSFMISPQEVDGLMSKYEKALKAIEESEGIEGSEKEAANSYNEWLEGTESCLHSRNV >KGN55114 pep chromosome:ASM407v2:4:20632494:20633405:-1 gene:Csa_4G631560 transcript:KGN55114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMESRRENGSSAEIFSFPSTPVLDQDSDFEFGSITPDSPSTFNNSPADHLFVNGRLLPHSFPPQPISVYAVDLASRQTSRTSSVGSKDSFMSSRSNSTNSRSSSSCGSSTSARTSSSDNSNNNNNLEIRSSRFSSYQKKSQKSSVSAQVYGSCQRWQFIASVSVPTSLSRENSRRKKSVEKIGGKSDLRRKKRRAEKTSTARKKKKKKKKKNMWFGRKVFRWIILVCKECHAIEPSRKDEIARKSKLTTMTTKPQSN >KGN54086 pep chromosome:ASM407v2:4:10952363:10953213:1 gene:Csa_4G280630 transcript:KGN54086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGISPISPTHFLFLSFLLFSAIALAIAEDENSFARTVNRKRLGLRKEKLSHFRLYWHDVLSGKDPTSMQIVPPVSNTSMTRFGAVQMIDNPLTETADIKSKLWGRAEGLYASASQDGSGLLMAMNFAFVSGKYNGSSITIFGRNPFLEKVREMPVIGGSGLFRFARGYAKASTVNIDFTTGDAVIEYNIYVLHY >KGN54555 pep chromosome:ASM407v2:4:14670650:14674777:-1 gene:Csa_4G361890 transcript:KGN54555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPEKVKSSITVFPSSAAIVPEPFGVVLIISAWNYPFLLSLDPVVGAIAAGNAVVLKPSEISPRTSSLMAKLLEKYLDTSAVKVVEGAVPETQALLEQKWDKIFYTGNGKVGRIVMAAAAKHLTPVVLELGGKSPVVVDSKINLQVASRRIIAGKWGCNNGQACIAPDYVITTKEFAPKLVECMKQELEKFYGKNPLETKDLSRIVNANHFDRLTRLLDDDKISGKIVHGGEKDKSKLQIAPTLLLDVPRDSLIMTEEIFGPLLPILTVDKVEDSFDIVNSGTKPLAAYLFTNNKKLKERFVACISAGGVAINETALHLTISTLPFGGVGESGMGAYHGKFSFDAFSHKKAVLYRSFAGDAPMRYPPYTKGKLRILKALLGGGILALIRALLGWS >KGN53218 pep chromosome:ASM407v2:4:2910716:2911727:1 gene:Csa_4G028420 transcript:KGN53218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTSTLFKLLIGVCFLVLLHGLPASAQLFVVNGCVYCDTCRCGFETNVSTPISGAKVRLECRDRATWVLKFTKEAITDSKGKYKINVYEDHKDESCKVVLVSSPHLGCNRPDVGRNSATVILTNNNGLTSIFRYANAMGFLIRRPLAFCPTILKQYLDFDESL >KGN53848 pep chromosome:ASM407v2:4:8627895:8631261:1 gene:Csa_4G166920 transcript:KGN53848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLCFCSLSIFLVVGLLSNSQFLGAQLDDQITMSTIREELQVPGWSSSISEYCSWKGVHCGLNHSMVETLDLSGRSLRANLTMISELKALKWLDLSYNDFHGEIPLSFAKLPELEFLDLSSNKFDGSIPPQFGDLKNLKSLNLSNNLLVGEIPDELQGLEKLQDFQISSNRLNGSIPSWVGNLSHLRLFTAYENNFDGMIPDNLGSVSALQVLNLHTNRLEGSIPRSIFASGKLEILVLTQNRLTGNLPEEIGNCQRLTSVRIGNNNLVGVIPPAIGNVTSLAYFEVDNNHLSGDIASQFSRCSNLTLLNLASNGFTGMIPPELGELMNLQELILSGNSLYGDIPGSMLECKNLNKLDLSSNRFNGTIPSDICNISRLQYLLLEQNSIKGEIPNEIGKCTKLLDLRLGSNYLTGSIPSEIGRIKNLQIALNLSFNHLNGPVPPELGRLDKLVTLDLSNNHLSGDIPSELKGMLSLIEVNFSNNLLTGSIPFFVPFQKSANSSFLGNEGLCGAPLSITCKNSIGPYNQDYHHKVSYKIILAVIGSGLAVFVSVTIVVLLFVMKEKQEKAAKSSGTADDETINDQPPIIAGNVFDDNLQQEIDLDAVVKATLKDSNKLIFGTFSTVYKAIMPSGMIISVKRLKSMDKTIIHHQSKMIRELERLGKLNHANLLQLIGYVIYEDVALLLHNYLTNGTLAQLLHESTKQPEYDPDWPTRFSIAIGAAEGLAFLHHVAIIHLDISSSNVFLDANFKPLVGEVEISKLLDPSRGTASISAVAGSFGYIPPEYAYTMQVTAPGNVYSYGVILLEILTTRLPVDEEFGEGVDLVKWVHTAPSRGETPEQILDSRLSTVSFGWRKEMLAALKIALLCTDSIPAKRPKMKKVVEMLSEIKQN >KGN53128 pep chromosome:ASM407v2:4:2319977:2323001:-1 gene:Csa_4G017160 transcript:KGN53128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLENADKSSLRNPRNKRKQRPPNKPRNAKKRKPDVNHNRHAQETTNGSEAIASEPLPLEPFSFFLNEFQTANDVQVSSLELDSMKDRCILGPPESSVQDDKSLVKHVKEAFGSSWKEILCKGELLEGRTEPGSPAVLIISTSALRSIELLKGFRSITQECHAVKLFSKHMKVEEQVQLLKNRVNIASGTPSRIKKLIDIEALGLSRLAVIVLDVQPDVKGYSLFSLPQVRDEFWDLYKSYLHPRIVEGELRICLFGPLQPTRKRRKKEI >KGN53357 pep chromosome:ASM407v2:4:3959242:3970859:-1 gene:Csa_4G050140 transcript:KGN53357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTYFRLSPHQPATATATLLRHCVVPHEELVLWLTRSVFSCECNYKTVACIWSGTPLSHRVTATAVLSQPPTLYTGGSDGSIIWWKISISDSSTEIEPVAVLCGHAATIADLGICYPVISGTGKTDISSNAEVNSTSEICGALVSACSDGVLCIWSRRSGHCRRRRKLPAWVGSPSVVRTIPSKPRYVCVGCYFTDSVHSSDNHSVDSAERIDVSADREHQHKKHSKCSVVIVDTYTLTIVETVLHGNLSIGSLRYMAIVSPLTGEGNYSAAIVDSFGRLQMISLSKESDQEVDQASLQNSSQVNIPVWTDVLSERGQVVSVAIQHNVIAFLLPDHCVFKLLLSGLVVGELSFTDSIFGINEFTSEAHVSGAMFLDGRDELNIRNNQECHETFVEIFAVWNSIGHAVIYTISITNKIFEYKPLYEIPASCNSSSVGFSISFVQLNQHFIRIESLSSQIEEPFHWTSNITIWALQEKQPTHGKLLKCRMVGESSSLTEWIQDSTFHSEFVGKYVVGSGLKSDSSSDSVNDLYFGDCNNFVQKGQIISSSMVISDSLSTPYAVVYGYSSGDVQILKLDLFQGLSSHRASPHCEVNHVPQLYLSGHTGPVLCLAVHRLVSKNNEQFLLSGSMDCTIRIWGLESGNLVMVMHHHVAPVRQIILPPAHTDHPWSDCFLSVGEDSCVALASLETLKVERMFPGHRNYPEKVVWDSVRGYIACMCSNHSSTSDTVDILYIWDIKTGARERIIPGTASQSVFDNFCKGIGKSFSGSILNGNTSASSLLFTTIEDGSVSDSLSSNGKSANTLKAMADLSNKVESQTSNGQARSRKSTKSFQNSLYNFESGRQPIKCSCPFPGIATMSFDLTPLMGFNQKFKSFANRTNLQDTAVLKDQQARMSSPSARDKKMDDSLVHEISTGSNEELNWISLYEECLIRFSLSFLHVWGVDSDLDNLLVTDMKLKKPESFIVASGLQGDKGSLTVSFPGMRAVLELWKSSAEFCAMRSLMILSLAQHMISLFHSGSSASSALAAFYMRNFVDKVPDIKPPLLQLLVSFWQDESEHVRMAARSLFHCAASRSIPLSLRGGKSIEHGSSSEIGDIDTELNGLSMNEKPDYGISSDCFPKSEEVSQVEEFNIRTWLESYEMHDWISCVGGTSQDAMTSHIIVAAALAIWYRSLVKKSLPMLVVHSLVKLVKSMNEKYSSTAAELLAEGMESTWKTCLGNEIPHLIEDVLLQLEYMSGLSQNQLVQNSSLSVGIRETLVEVLLPNLAMADIPGFLTVIESQIWSTASDSPVHLVSLKTLIRVVRGSPRNLAPYLDKAVNFILQIMDPSNSVMRKICYHSSMAALKEVVHVFPMVSLNDSWTRLAVGDVIGEINSANIRVYDLQSVTKIKVLDATGPPGLPSLLPAGSEMPLRISISALSFSPDGEGVVAFSEHGLMIRWWSVGSVWWEKLSRNFVPVQCTKVIFVPPWEGFSPNSSRLSIMASATERDTQAVDVQDNVRGLSHADILKILIQSLDLSYRLEWTDERKVKLTRHGNELGTFQI >KGN53399 pep chromosome:ASM407v2:4:4273490:4278784:-1 gene:Csa_4G051510 transcript:KGN53399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTQFRISVGFFLLLLICYCVRVDSKVEDSANNGLDSKTVNKGNDANKDPGPNKDLNSVSAGKEKKSEQQVSVSKEGVKNREDKIKKDPESETVSKEGADKVKKDDGLGEEGRNKGDKVKGKPVDNSVSKDGSKSSGKGESTVSSASKRNDGSSGEDCDSSNKCTDEAKKLVACLRVPGNDSPQLLLLIQNKGKGPLTAKISAPDFVHLEKSEVQLQERENKKVKVSIGDGGDGNTIVLTSGGGRCSLDFRDLVAHHNAKDSDNVPKSSWFSYLTKPHVIAILAFGVILTIAAVSVIISIRRKNFVSSNSKYQRLDMELPVSLGGKAVADNNDGWENSWDDNWDDETPHTPSLPVTPSLSSKGLASRRLNKDGWKD >KGN54273 pep chromosome:ASM407v2:4:12069329:12069586:1 gene:Csa_4G296270 transcript:KGN54273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIEMNITNDQLYFSHVDKEKDISKNIIDILYLEITLKVICNPGCEGMCLNCGINLNTGSCNSSKPIKKNDFGPLENLKRRMHNN >KGN52996 pep chromosome:ASM407v2:4:1565433:1569419:1 gene:Csa_4G010950 transcript:KGN52996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKPPQPSASNPPPKPWERAGGSSGSAPFRPPSAGNTSDVVEASGTAKPGEIVSSSDRTAAVNRNSLGRPVPTRPWEQNYGNNSYGGGAYGSTMNNSLYGSGMYGSSSYGGGMYGGGMYGNSSMYRSGGYGGGLYGSSGMYGNSGMYGGGMYNSGFGGPMGGYGMGMGGPYGGQDPNDPYGPPSSPPGFWMSFLRVMHGVVNFFGRISILIDQNTQAFHMFMTALLQLFDRSGMLYGELARFVLRLLGIKTKPRKVLGPDGLPIPGAPHPHQSQNLIEGPKPAPDGAWDNVWPNGSQ >KGN53309 pep chromosome:ASM407v2:4:3650854:3655594:1 gene:Csa_4G046720 transcript:KGN53309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTDTTENVEAVSNAHSFKVHCRMCTELMKLVDRVSEILPEIEAARPGSPEGRQALCNLNEGKMKAELLLQYCRDSSKLYLALTGDRIVSRCHRVRTLLEHNLRKIKYMVSVALARKISQIADDLRVAKFILDSSEEEAWKDMRQLLKLGALPPDELEKSEIKALKTAALRLNILSSKELLYERRSIRKLLDDVGRDDPPKKKILTYLLYLLKKHGELILQEIRETQADRSSSNEYGEIKANARHRNYASQADIILNRAIPPEEFKCPISMRLMYDPVVIASGVTYEKVWIEKWFLEGHDTCPQTKMKLADCSVTPNVDLKNLINKWCIKFGVTIPDPSVEPECPEVWENSIASFGSSMNDIHLPIDFSNISLGGLDNSYYPDLLRLNGGNELAIKSGQSKEDDQQRFQSGSNAEEIDLEFPSTMSELSWESKCKVMKDMKVSMNKNGVGLTLSETVLDQLALFLKDACNQRDSEAQKNGCELFLSLVRRSRSNRLSVPEKVLKSLASLLNSEVTYEVLAILEAISGHRKCSSSFVTSGALTSLAKYLDSEIEDLQEFAIKTLYNLSMNSDICSDIVSLGCIPKLVPLLNYGNFSGKCIFILKNLCHTEEARISIVGTNGCISSIAQRLGMGSLEDQEHAVTILLSLCSQRVEYCELVMEEGVIPPLCTISMKGSEKGKAGATELLRLLRDVQDNEPQDSCVSEPSSSYEPPCNSEQRTPSKKSGFLGIFSKRTPRKK >KGN54307 pep chromosome:ASM407v2:4:12291519:12291708:1 gene:Csa_4G303060 transcript:KGN54307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQMEEVGLGAEIFTVAVMTETGSGVGFYGPASTEKPTIQIAQWACTISEGIGC >KGN54952 pep chromosome:ASM407v2:4:19513739:19513936:-1 gene:Csa_4G615235 transcript:KGN54952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQKAFKTNGEGKGRHKQRSEQKIRYYKQYQVSYTGGQVANCQCVCDFLVGPTGELQDQFPWRKS >KGN54245 pep chromosome:ASM407v2:4:11864591:11865723:-1 gene:Csa_4G295490 transcript:KGN54245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQQDDGWPLGLRMLNARVGLLENRDFPGSISFNTLPTGSPISFTDSSVLDSESSGSFFHSKSITLGSLIGGSTSNIMELTRRPSREGSTEASLGVDRKINNYFKLKSKPWLFSLCCKLSTDAVIATRTHSLAHFLELERKRTATVAAAHPRPIVGRSSNILTS >KGN55493 pep chromosome:ASM407v2:4:22948969:22951491:-1 gene:Csa_4G658530 transcript:KGN55493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSHRCSANYVPLTPISFLERSAAVYGDRISLVYGRVQYTWRDTLQRCTRLASALVRTGIARGDVVAALVPNIPAMYELHFAVPMAGAVLCSLNTRHDAAMVSTLLSHSEAKIIVVDYQLEHIVTGAIKAMSERKEKLPRVVIIQEYDQPPSRIDRPGSALEYLEFESFLASGKLNFEIRRPRDELDPIALNYTSGTTSRPKGVIFSHRGAYLNSLSAVLLNDMCSLPVYLWTVPMFHCNGWCLTWGVAAQSGTNICQRNVTAKEIFDNISLHKVTHMGGAPTVSNMIINAPISEQKPLPREVTMMSGGAPPPSHVLYKLKALGFRIVHSYGLTETYGPATVCSWKPEWDSLPQDKQAKLNSRQGLQHIGLEAADVKDPVTMESVPADGKTMGEVMLRGNTVMSGYLKDLKATREAFNGGWFRSGDLGVKHPDGYIELKDRSKDIIISGGENISTIEVESVLFSHPSVLDAAVVGRPDDHWGETPCAFVKLKDGCSATEGEIIKFCREHLPHYMAPRSVVFRDLPKTSTGKTQKFILKKEAKAMGSLPKRVSKL >KGN54430 pep chromosome:ASM407v2:4:13239249:13239733:1 gene:Csa_4G326540 transcript:KGN54430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWPWRFGNTIPILMMRVPLRKTFLLFRCASTFITDLIALASSAIRFIRWHFRSSLQVISSSADDNRYSPVSLMILRPHR >KGN55033 pep chromosome:ASM407v2:4:20095831:20097894:-1 gene:Csa_4G623900 transcript:KGN55033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYKGFLVSVDSYMNLQLANTEEYIDGQFTGSLGEILIRCNNVLYLRGVPEDEEIEDAERD >KGN55428 pep chromosome:ASM407v2:4:22535279:22541154:1 gene:Csa_4G651940 transcript:KGN55428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRLKALEALRRSSFQSSFSNLKRSFSALPNYAQTDDLSDQVMVEGKPNSRAAILNRPSALNALTTSMVARLNRLYESWEENSSIGFVLMKGSGRAFCSGADVVALYNLSNEGNIEDCKKFFETLYKFVYLQGTYLKPHVALLDGIMMGAGAGIVLPGLFRLVTNKTVFSHPEVQMGFHPDAGASFYLSRLPGYLGEYLALTGDKLNGVEMIACGLATHYSLTARIAGIDERLGKMITDDPSVIESTLAAYGDLVYPDRRSVLYKLDAIDKCFCHDTVEEILEALEKEAAESYDEWYSTTLKLLGEASPLSLKVTLRSIREGRFQSLDQCLAREYRISLNGILKHASPDFHEGVRSRLVDKDFAPKWNPPRLEDVSKEMVDSYFSRAGELPELELPTALREPFV >KGN54514 pep chromosome:ASM407v2:4:14272177:14272608:1 gene:Csa_4G344590 transcript:KGN54514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETTTIKGMRSARINRIGEPATAISQPADEEVARHTTTCSCRIVNGDRRNQNAIRIQRTTCNLQQMIHDEGMACSREMAYGDSQLGCATRTEERCSGDRTKRKDQTLAESWILDGTASQI >KGN53625 pep chromosome:ASM407v2:4:6001627:6001911:-1 gene:Csa_4G091910 transcript:KGN53625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVARKRFEVFERWVRELMIAQMWARSEGVGFTTFDFPCEEEEGLFHHHSHRENGSHYHKRNQ >KGN52769 pep chromosome:ASM407v2:4:206599:212922:1 gene:Csa_4G000880 transcript:KGN52769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYRNLGFFPFPWLLWLSLVPLCMGRFVVEKNSLRVTSPEKIRGTYDSAIGNFGIPQYGGSLAGVVVYPKENQKGCKDFGDFHISFQSKPGSLPIFVMVDRGDCLFALKVWNAQKVGASAVLVADHLDEPLITMDSPEEDGSTATYVENITIPSALIRKGFSEQLKKEINAGEMVSLSLDWREAVPHPDDRVEYELWTNSNDECGFKCDMLMEFLKDFKGAAQILERRRYTQFTPHYITWFCPQAFTLSRQCKSQCINHGRYCAPDPEQDFNSGYDGKDVVIENLRQLCVFRAANNTGKPWIWWDYVTDFQIRCPMKEKKYNKECAHSVIKSLGLDMDSIEKCMGDPDADSENPVLKEEQQAQIGKGSRGDVTILPTLVVNNRQYRGKLAKGAVLKAICAGFEEATEPSICLSSDIETNECLENNGGCWQDRAANLTACKDTYRGRVCECPLVDGVQLKGDGYTICEARGPGRCNVNNGGCWQESRNGLSMSACVDNGEVKCKCPPGFKGDGVKTCEDINECKEKKACQCSECSCTNTWGSYDCSCSGDLLYMRDHDTCISKNASAAKSSWSFFWVILIGLAIAAGGAYMVYKYRLRAYMDSEIRAIMAQYMPLDSQGEVPNHVHGDRLDRL >KGN52810 pep chromosome:ASM407v2:4:429091:432108:1 gene:Csa_4G001770 transcript:KGN52810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRPLDSRHSIDSCTLKFHGWTPFHLPKTLDSDPHNTSAPTNSKPYYSSTPLHTKRPCLSDRTTSFNVDAIDMSALSLIDDDKPSIPPARSFRLIARKRRRRGSRSVSGRSSDRSGTRRCCSVGASAAHGTCSDFPIAVGTDSSGELFVNGDANWSSDVSEAKNSRREREEKDHLGSGFVSSNGGFDAQGNESGYGSEPGYRGDGEFGYGDEIDEEDEDARLLLWGERLGDSRMEIVGENTFADQKSHHRCRRKKHECRMVDALR >KGN55498 pep chromosome:ASM407v2:4:22971914:22975183:-1 gene:Csa_4G658580 transcript:KGN55498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSDENNPGVIGRANLHGSLRIGGGGGGKLVVGMGQNRRALSSINGNVAAAPPVPHPCAVLKRGLTETEVFLNNKDPPIPIHRPITRKFAAQLANKHQPPVPEVDKKPLQSAVTRKEFSDHHTTIEEDDSMGESAVPMFVQHTEAMLDEIDRMEEVEMEDIEEEPVTDIDSRDKSDQLAVVEYIDDLYAYYRKSEVSGCVSPNYMAQQADINERMRGILIDWLIEVHYKFELMEETLYLTVNLIDRFLAVHSVVRKKLQLVGVTAMLIACKYEEVSVPVVDDLILISDKAYSRKEVLDMEKLMINTLQFNLSVPTPYVFMRRFLKAAQSDRELDLLSFFMVELCLVEYEMLKYRPSLMAAAAVFTAQCTLNGFKEWSKTSEWHTGYSQEQLLECSKLMVGFHKKAGTGKLTGVHRKYCTSKFGYAARSEPAGFLLEERL >KGN53342 pep chromosome:ASM407v2:4:3852552:3854077:1 gene:Csa_4G048030 transcript:KGN53342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQSIAAASLFGHQLLCGGTFVKETSYKRKNSWFPTSKFVGKRLISSPPVYGLKVDRLAVFSIKALSLEVTKEAYSFREDRIPKDWNYDIDSGFDRKPGLWPPENKADNPSLHNPLLRQERMGCGWLGAIFEWEGVLIEDNPEIEKQAWLALSQEEGKSPPPAFILRRIEGMKNEQAISEVLCWSRDPSQLRRMAARKEEIYQALQGGIYRLRAGSKEFVNVLMHYKIPMALVSTRPRETLESAMGKIGIDGDFNVIIAAEDVHRGKPDPEMFVYAAQLLNFIPERCIVFGNSNQTVEAAHDARMKCVAVASKHPVYELAAADLVVRRLDELTVVDLKNLADIESSEFGPGEPELEMEVEEDDESPSTITAVDDIFW >KGN54023 pep chromosome:ASM407v2:4:10590701:10594134:1 gene:Csa_4G268070 transcript:KGN54023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPAQIDRRTAGRALLLLFSLWFLISLSHASRLSPSMQNLEVQKHLRRLNKPPLKTIQSPDGDIIDCVHISNQPAFDHPFLKDHKIQTRPTYHPEGLFDENKVSEKPKELSNPINQLWHANGRCPENTIPVRRTKEDDVLRASSVKRYGKKRHRTIPQPRSADPDLINQSGHQHAIAYVEGDKFYGAKATINVWEPKIQQPNEFSLSQLWILGGSFGQDLNSIEAGWQVSPDLYGDNNTRLFTYWTSDAYQATGCYNLLCSGFIQVSSDIAMGASISPVSGFRNSQYDISILIWKDPNEGHWWMQFGNDYVLGYWPSFLFSYLADSASMIEWGGEVVNSEADGLHTLTQMGSGHFPEEGFGKASYFRNIQVVDSSNNLKAPKGIGTFTEQSNCYDVQTGSNGDWGHYFYYGGPGRNQNCP >KGN53328 pep chromosome:ASM407v2:4:3754460:3755331:-1 gene:Csa_4G047890 transcript:KGN53328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCLFAGGAGEIQGKIKVITSNGGIMELGSPITVGCIADEFPGYGIFKSHDLFWNPLPHNEELLPGKSYYLLPRNRGRNRGGEDGVEMGIIRAREGHVRSNSVPEAAAAMAAMAPYRMSFDYQGVLRRSQTEVFSRYSEKNGGVWKVKLVISPKRLVEILEEEGHTQELIESVRTVAKCGSTSTSSSFSSSMAFSDQWSLSTATANATPSVSSKSGGLLEI >KGN54570 pep chromosome:ASM407v2:4:14800399:14803142:1 gene:Csa_4G364010 transcript:KGN54570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTNPAAGSGTDAPKIIWNQAQRRFETEDKKAYLQYLIKNGGKVMDMIHTFVPSSKRGLGLASHLCLAAFNHADAHSLSVIPSCSYISDTFLPRNPTWNYLLYSEEKKSNL >KGN53143 pep chromosome:ASM407v2:4:2405109:2405489:-1 gene:Csa_4G022300 transcript:KGN53143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLYRNMGMEYLDMYLVHWPFKLKPWACYPVPKESDFEETLDLESTWKGMEKCLELGLCRCIGVSNFSTKKLDSLLQFATIPPAVNQVPLSLSLSLLLMLFRFAKNNLNCLVRKDEDGDIETVKKK >KGN54868 pep chromosome:ASM407v2:4:18514537:18532702:-1 gene:Csa_4G563700 transcript:KGN54868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGLTQKNDHLNGGSSAIYSLSAHGFWSQHRDDVSYNQLQKFWSDLLPQARQKLLRIDKQTLFEQARKNMYCSRCNGLLLEGFLQIVIYGKSLHQGKTCVNHSCNRLGVSKNQACDGSLSVNGFQDEIQDPSVHPWGGLTTTRDGVLTLLDCYLYSKSFLGLQNVFDSARARERERELLYPDACGGGGRGWISQGTASYGRGHGTRETCALHTARLSCDTLVDFWSALGEETRQSLLRMKEEDFIERLMYRFDSKRFCRDCRRNVIREFKELKELKRIRREPCCTSWFCVADMAFNYEVSDDTIQADWRQTFADSVETYHYFEWAVGTGEGKSDILEFDNVGMNGSVKINGLDLGGLNSCFITLRAWKLDGRCTELSVKAHALKGQQCVHRRLTVGDGFVTITRGENIRRFFEHAEEAEEEEEDDSIDKDSNDLDGDCSRPQKHAKSPELAREFLLDAATVIFKEQVEKAFREGTARQNAHSIFVCLALKLLEERVHIACKEIITLEKQMKLLEEEEKEKREEQERKERKRTKEREKKLRRKERLKGKDKDKLSSESAEVCARSDVLEDLSSCVLEPNSNAVGEVCDSSVPESSDILDELFLNESIISEGQNSYDDSFDGKLADGNESFISDQSKVSRWRLKFPKEVQDHPFKWSERRRFMVVSENGALVNKSEQRYHADSLENPSRSMNGSNRKLRTNSLKAYGRHVSKFNEKLHSSNNRMSYDYRSCICNQANEFNKKAEPFVSSVRVNRDVKSVSKSESSFDMSKQSYRSNKYSYGDHSRDNGRLKTKPALLNNSPGKDFVYSKKVWEPMESQKKYPRSNSDTNVALKSSTFKFDAEPDYDVVKSRDEEFCSGEVSVTSGAVDQEESNSTESTSGIESDDVSQNEISIELKDHKNVEEDVCEVKQFSANSAIDTTLTSSGTSNQVGTSSLNSDNCSSCLSEGDSNTIGSNHGNLESSSTSDSEYASHQSEGKESLASIQNGFSEHHEIRIDKGIGGEAMGSRSYSGFPQDNEGCKVQVNAPKNVPQNFEAGFSAVSLDSPCQVTLPIQNQNIHFPVFQVPPSMNYYHQNSVSWPAPAHANGIMPFSYSNHCPYANPLGYGLNGNPRFCMQYGHLHHLSNPVFNPSPVPLYHPASKTSNCIYAEDRTQVSKSGAIAESSVVNSDVAVTTGHPYVLSSPPSGDLKQNDTSSKLQQDSSSFSLFHFGGPVALSTGGKLNLTPSKEDDVGDFSRNNEVEVVDNGHAFNMKETAIEEYNLFAASNGMRFSFF >KGN55503 pep chromosome:ASM407v2:4:23021867:23022194:1 gene:Csa_4G663620 transcript:KGN55503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKVGAMMIVEGIRIKERKKWVQMEIARKGIGIGIGICICETPQDTQHAAFWMMRSIHLHHYYSFDAIIALGATPRSCTIPIFHFFPPF >KGN55061 pep chromosome:ASM407v2:4:20277812:20278637:-1 gene:Csa_4G627120 transcript:KGN55061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAAAPILNVEMGSSYIPKDNPSKPLGEDAHFVISDKNTAGVADGVGGWALKGIDAGEYARDHMRNCVASVVGAEGIVYPKRVMTEAHSRTTAAGSSTACLISFDGWFLRAANLGDSGFMIFRGEKLVYRSPVQRRGFNCPYQMGTREQFDKPTVAWSGKIRMEAGDIIVVGTDGLLDNVFEREIVELLAAEVAETAVDLATMVAELAWYNSLDSVKDGPFAVEARKAGRSHCGGKIDDITVVVAKVTASSFSHG >KGN53290 pep chromosome:ASM407v2:4:3546270:3546572:-1 gene:Csa_4G045050 transcript:KGN53290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHYQNQYSAQAGSDEYGYPIRQTDEYSNVISETGQYVDTLRRTDDQYPKPIHGSEQQQPSGIFHNEKVVMTKTREDGSGHHEKKGVIEKIKEKLPGGHH >KGN53211 pep chromosome:ASM407v2:4:2868368:2873962:1 gene:Csa_4G026880 transcript:KGN53211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLLHLASSQEDREEGGGGGGIIQIIPPNTILLPSQTFLSAAVSFKNQVVELTWKQRGNADIGVDPTVYNGLLGTAVTCLRSYEATGNHQDLVLCSDIVDKCTVLARASHRHLTFLCGRGGVYALGAVVSHYMRDPQKLDFFFNLFLKAAEEKALPIGPEEGGFGMSYDLLYGRAGFLWAALFINKHLGEQRIPHDLLMSVVDAVLAGGRAGASDNPDCPLMFRWHGTRYYGAANGLAGILQVLLHFPLSKEDTDDVKGTLRYLMSKRFPHSGNYPSSEGSPRDKLVQWSHGATGIGITLCKASQVFHEDREFRDAAIEAGEVVWKNGLVKEVGLADGVAGNAYAFLSLYRLTGESVYEERARAFGTYLYHNASKLVATQNQVGGDRLFSLFHGLAGTACLWFDLLEPEKSRFPGYEL >KGN53259 pep chromosome:ASM407v2:4:3296230:3297352:1 gene:Csa_4G038760 transcript:KGN53259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMTPKRFKLLRIPSFHCCRSNDISVVPTDPPSPPPPPKPHHSSLRRHVSSAFRTAACGCRSSSTNSDDDQICKSSPTLPTHVPPTPLLHSFDDGSTFPKRQRRRKNKKKSKSKSTTLTRLRTSTSSTESGLFSSESFDEIDELEETETLISSSKTISTSDDDNDSSSEFNPQLETIREKPNKINLRRKKEKEKRRRKQKRTTIISPSPEIESPARLSVFQRLIPCTVEGKIRESFAVVKKSADPFEDFKRSMMEMIMEKEMFEEKDLEQLLHCLLSLNDREHHGIIVEAFSEIWQSLFCN >KGN55128 pep chromosome:ASM407v2:4:20751673:20758425:-1 gene:Csa_4G637680 transcript:KGN55128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVCWLMCCFKWITMEGSSDHLRNLDDISGVCEEDILADPYLRSHKWSDISLRQWLDKPGRSVDALECLHIFRQIVEIVNIAHAQGIVVHNVRPSCFVMSSFNHVTFIESASCSDSGSDSLEDGINSQMAEVKTSSSPFPSSLGSEGFRSVMTPINALSETSCMQSSSIYAAQASLNEGFGKFRKKDRRHIEETEDKVQSFPMKQILAMETTWYTSPEEASDSPSSSASDIYRLGVLLFELFCSFSSREEKNRTMSSLRHRVLPTQLLLKWPKEASFCLWLLHPEPTNRPKLSELLQSVFLNEPKDDLEEREAAIKLRKKIEEQELLLEFLLLMQQRKQEAAHKLQDTISFLCSDIEQVMRHQTNFKKNIGSHTDLVKDNHLPLNLPSMPPVRNTDSAALGSRKRFRPGILTHDIEACGDNLDHCSKTSSENDNEQGVLFKSSRLMKNFKKLELAYFLMRGRVNKPSRQFVKHSSVSSDGRGSVVLTERSSVNNLASKESCNDNRQGGWISPFLEGLCKYLSFSKLKVKADLKQGDLLNSSNLVCSLSFDRDGEFFATAGVNRKIKVFGYDSIVNEDRDIHYPVVEMASRSKLSSVCWNRYIKSQIASSNFEGVVQVWDVTRSQVVTEMGEHERRVWSIDFSSADPTILASGSDDGSVKLWSINQAILFFHLVDVSFETKRYKYWNNQNKSQCLLCTISCGFWSVTCIWFS >KGN52747 pep chromosome:ASM407v2:4:125780:127812:1 gene:Csa_4G000680 transcript:KGN52747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYYNQGQPPIGVPPPQGYPPEGYPKDAYPPPGYPPQGYPPPGAYPPQGYPPPYAPQYAQPPPQQQHSSGPGCLEGCLAALCCCCLLDACF >KGN53827 pep chromosome:ASM407v2:4:8291636:8292183:-1 gene:Csa_4G153300 transcript:KGN53827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPTKSLVFVLFFHLFIQISSAGNYNVITFGAKPDGKTDSTQSFLKAWMSACSSLTRSTINVPKGRFLLTSITFRGPCKNSNITFQLNGTLVAPLDYNALGDSRYWILFTKVNGISFIGGNIDGKGTDYWACKGSGKKCPPGARKAEKKHQKYYFLPKN >KGN54140 pep chromosome:ASM407v2:4:11202996:11205660:1 gene:Csa_4G288110 transcript:KGN54140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTVDSLSEVRSMEFYVPRDEAFSISKQGNTLMKAFNSMLHGFLLPALEDNFNKHYSTLFETKHDRSPCPKLVQILTDKAMDILLLHSSQTSYGDKFFWFRDEEFARQTLAGLNPYSIRLVTEWPLKSKLDPSIYGSPESAITDEIVEQQIKGVMSLDEGISC >KGN55112 pep chromosome:ASM407v2:4:20597776:20611426:-1 gene:Csa_4G630560 transcript:KGN55112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDVASIAEAVAARFSSLELIGRGSFGDVYKGFDKELNKEVAIKVIDLEESEDEIEDIQKEISVLSQCRSPYITEYYGSYLHQTKLWIIMEYMAGGSVADLLQSGPPLDEMSISCILRDLLHAIDYLHTEGKIHRDIKAANILLSENGDVKVADFGVSAQLTRTISRRKTFVGTPFWMAPEVIQNSEGYNEKADIWSLGITAIEMAKGEPPLADLHPMRVLFIIPRENPPQLDEHFSRPMKELVSLCLKKIPAERPSAKELLKHRFIKNARKSPRLLERIRERPKYQIKEEDAETPTNGSRAIGETTDTVKVSRNVREETVRASNQNKAPKNAGWDFSIGGPHSTGTVRSVVKPPQIRERKPEIPYGQGAPSRVPESGNWLAVSGYASRDTSENTRDSYSMGDASEDEELSVSGSGTVVIRSPRGSQASTQFHNESSPSESAQGYFEDTSFSGTVVMRGQRDDSGSPQTPKSRMGIQERTSSFSPEDSASNLAEAKAAIQAGLKKANARDRSAINKLNDRKENRRTEQTVSSSDSSRHSREFFDAPRALVKPSLSLDEEESAKIALSSAPLSVLFMSSLKEVVADDSEGSPSRTVINALINMEHLKPGSCEVLATKLLQKLASSKESSLKDLQDLATRLFSKAKTVPEDTQNVTDSDNSKKLPNRELHSNSNLSSLARFLLSRWQGQVSRDLSPA >KGN54031 pep chromosome:ASM407v2:4:10651377:10652306:1 gene:Csa_4G269140 transcript:KGN54031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSNKHHRTYEDFEPPVEQSEEDGCTILALYIPGFNKEQIKVQVSSKRKLRISGERALKNNNKHIMQRFNKEFEIPSNCNTTNITAKYKSGILHVRQPLQQDQSDSKQQPHHNPILEDQKVKQTNLASNHNEPHSDTPKDDRKFTTSTGQRLKETIPCVFLKLVLPILLPSAFLLLWYARRLSPIMPDEVEQPSH >KGN55308 pep chromosome:ASM407v2:4:21808266:21812207:-1 gene:Csa_4G645830 transcript:KGN55308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEYNNDVNENSNGRGNFLYGSGNSSSSNFGRSTAEMNVNNFHLQQGTDCFQSPHHQSIVKTEATTSQHHHLLKFHYPLIRQQPPPPPPPQPQTSCRPEQNGVVDFEAIKAKILAHPQYSSLLEAYMECQKVGAPPQVVERLVAARQEFEARQRSSMVSGETIKDPELDQFMEAYYDMLVKYREELSRPIQEAMDFMRRIESQLTSLCNGPVRIFNSDDKCDGMGSSEEEQENSGGETELPEIDPRAEDRELKNHLLRKYSGYLSSLKQELSKKKKKGKLPKEARQKLLNWWELHYKWPYPSETEKVALAESTGLDQKQINNWFINQRKRHWKPSEDMQFMVMDGLHPPNAALYIDGHYMTDGHYRLGP >KGN53594 pep chromosome:ASM407v2:4:5727990:5730988:-1 gene:Csa_4G083680 transcript:KGN53594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKQLSLDRQVHSVFPVALAALLVIGLARLVLDDLKSHGSFVFKMYGRPRSEKHPLPVVVSSKDIIDENCNLFEGKWEWDNASYPLYEEDTCPFLVKQVTCQKNGRPDSFYKKWRWQPNSCNLPRFDALKVLDILRDKRIMFVGDSIQRGQFESMVCLVQSAIPKGKKSLERIPPRKIFKVEDYNVSIEYYWAPFIVESISDHATNHTVLKRMVKLDSIANHGNHWKGVDVLVFESYVWWRYKPLINATYGPGEDVREYNVSTAYRLAMETWANWLESNINPNSQKVFFMTMSPTHLWSWEWRPGTDENCFNESQPIHHPHWGTGSSTEIMEIIHEVIQDLKVNVTLLNITQLSEFRKDAHTSIYGERRGKLLTKEQRSDPKSFADCIHWCLPGVPDTWNEILYAYLLRNHQNLS >KGN53458 pep chromosome:ASM407v2:4:4669772:4671186:-1 gene:Csa_4G056000 transcript:KGN53458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELISIQPKLHVTFTNKSIVKPTNNPFSESESDSPILHLSNLDLLSGRFPITYFYFYRRPTTNSSTGIIDALKISLAKTLNHYYPFAGKIVQNPTTNEPEIICNNFGALVVEAKANLPLNALNFHDLNELLQEKLVTVNPDFPLQIQITSYTCGGISITFTFDHALGDATAFGKFLLAWSEISRGKQISTIPDHRRSILPRSPPTYHPDLNNTMVKCTMEDINNIPTLKKLIKRLYYIDQTSIDQLQKLGSSNGVKRTKIEAFSAYVWKKMVSAMGTEHTKCKMGWLVDGRSRLGGDRNYMSDYIGNVLSVVFGEASIEELKCSSIAEIADVVHEAISKATNKAHFLDLVDWIECHRPGLMMSRIVLGLGGPGLVVSSGQRFPVSELDFGFGGPVLGTVGSTIERIGVSYMNQRPSAKGDGSWTVSAILEPELAATLELDSVFQPMTTTLLQL >KGN54561 pep chromosome:ASM407v2:4:14740761:14756228:1 gene:Csa_4G363430 transcript:KGN54561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIRQNCCDLSPTTPGTDLTNTKPVAGKDSQVLLERIVSTNNQTRHMDHSSLQLIRDVGTKLSKHSRPTKDYIVKSLRQVVDAFARLEQSHVSDARAKSEPANKLESCTKPLRLSIVNGLLRNKDKDVRLLLAICVSEMFRVMAPEPPFEDEYLRDIFTLVLSSFSELVDTTSPLFSWRVKILETVARCKCCVIMLDIGCEDLVLGMFNTFFSAVRDYHDPSLVNNILSIITHILSEDASPPLVDAVLHNLVKEEKGEPTAASRLAGSIIGTCAETLEPLICGLLTSCISERDVVGSELKEFYHEIIFRIFQCVPQMLLPVIPNLTLELLTDQVDVRIKAVKIIGRLLSLPGNCVAQKYRGLFTEFLKRFADKSAEVRIHAIQCAKDCYLVNPNCSESMEILAAVEERLLDVDDRVRTQAVIVVCDIARSNIKFIPVTLISQATERLRDKRISVRKKALQKLLEAYRDYCDICSKGQSTMNDAFEQIPCKVLMLCYDKDCKEFRSQCMELVLVEDLFPADLSIEERTKHWIRLFSLFNNHHEKALRHVLLQKQRLQNVLRTYLGLRKGDKENRSEEIEKQIETAFVKMAACFPDPTKAKESFHKLNQIKDNNIFNSLELLLDQLTIGEAEATRDKLLRMVGSKQPHFEFLKSLSLKCSYNLFSTEHIHFALDCILSDRLGNKHLEGPTGKLLLAIISIFPSLIRALEGRLPRLLEETNSIDSKLIDVLSKAGPSLSIELRDVYPFLERLCLEGTRGESKSAVSAIAALASNSEDFWFSKLCKELSNLLRKGMNLPTVLQSLGCIAKYSISTFDDHDQDEGIVASIYEKIFQVDLSDNLNKLDGDASSNSCDLKIYGLKALVKSFLPHRGTPRRNVDEFLNFLSRMLSMCEASVDIIPTRDDEARIQLAAAKSVLRLSKRWDSQIAPEIFCLTILIAKNSSSKFRKQFIDKVHKLLKEQAIPTRYACAFAFCNSDNLKDLQDDSLKYMAEFIQQYSKIAQIHQTSVVQDGSMTFVPAYIVVFLMYILAHDSGFPNLDCQDENVFAQFCRPFLSVLQMLVNADVNVAKDTVLYLHSIFRAIRMVEDAANIEISPKLHILADIGLSFVTSPNYSGVSLSGAPKHILLPVSLYRVNSGQLSQHGYEKCFVGRVIKAFHSEICKPTNISTRSDQKFLEGNMQTNIKPCSSKSTKTCKQGEAISSRATKINKTVNQETNPCSSKSTKACKQVETISSRATKINKTVNHENIVGRKRRRAVSPTASTSIESQILVGMLNF >KGN53655 pep chromosome:ASM407v2:4:6352077:6352450:-1 gene:Csa_4G097620 transcript:KGN53655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVSSHISSLSFIPLFSILNRPPLCRILSDLSLLFSSSDSSSSPPSFAPIVRSQFVVCQISSSQPSVSSVSDDHLTVIFFVRLFSI >KGN53620 pep chromosome:ASM407v2:4:5954027:5957332:1 gene:Csa_4G091870 transcript:KGN53620 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-aminocyclopropane-1-carboxylate oxidase MSSVMEIQLLCSGGRHEKLPEKYERPESDRPRLSEVCCWDKVPIIDLGCEEREMIVKQVEEACKSYGFFQVINHGVRKELVEKVIEVGKQFFELPMEEKLKFYSDDPSKTVRLSTSFNVRKEQFRNWRDYLRLHCYPLSNYTPHWPSNPPSFREIVSSYCNEVRKVGYRIEELISESLGLEKEYIRKKLGEQGQHMAINYYPPCPQPELTYGLPGHTDPNALTILLQDLHVAGLQVLKDGKWLAVNPHPNAFVINIGDQLQALSNGVYKSVWHRAVVNVDKPRLSVASFLCPCDDALITPAPLLSQPSPIYRPFTYAQYYNTFWSRNLDQQHCLELFKNHPP >KGN53924 pep chromosome:ASM407v2:4:9360393:9365887:1 gene:Csa_4G188980 transcript:KGN53924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSQTRTVQVKHVSNLATEREINEFFSFSGQIERIEIQCEQGDSRTAFVTFRDPKALEIALLLSGATIVDQIVSISPVENHVPRREMQDSGSQSSSGKMYVNRAQEVVANVLAKGSAIGQDAMNKAKAFDEKHQLTANASAKVLSFDRRVGLTEKLTVGISVVNEKVKSVDQNLHVSDKTRAAIFAAERKLNDTGSAVKTSKYVTASAAWLNGAFGKIAKAGQAAGTKTREKFHLAMSNLTSKESPVVASS >KGN54717 pep chromosome:ASM407v2:4:16340465:16343940:1 gene:Csa_4G432460 transcript:KGN54717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRESGVLKAWEATVRKTQAAKKRAHSIFGTTSVAHADDGDIDGEVIESELYSGEVYHADRILPNGDYYTGQWCDSFPHGQGKYLWTDGCMYVGEWSKGKTMGRGRFSWPSGATYEGEFKSGYMDGNGTYTGSNGDTYKGHWVMNLKHGHGVKNFSNGDCYDGEWRRGLQEGHGRYQWKNGNHYVGEWKNGEICGKGSFAWSNGNRYEGNWETGLPRGNGTFKWPDGSFYVGYWSKDPQEQNGSYYPSGSSGNVHWDPQDVYNVDFSDCKICLGEKVSILPSQKKLAVWRSSKGEDGVKPRRMSVDGRVSVDVEKPLDRMQMWGGGDMDEYVAGCCSERTSSVGGGGGGGGGRGDVADYMLGLNLDKEGLGTMPAKMPRSSKRQGETICKGHKNYDLMLNLQLGIRHSVGRPAPTASLDLKPSAFDPKEKVWTKFPSEGSKHTPPHQSCEFKWKDYCPLVFRTLRKLFKVDPADYMLSICGNDALRELSSPGKSGSFFYLTNDDRYMIKTMKKSEAKVLIRMLSAYYNHVRAFETTLVTKFYGLHCVKLTGPIQKKVRFIIMGNLFCSEYSIHRRFDLKGSILGRTTDKPESEIDETTILKDLDLNFVFRLQKSWFQEFCRQIDRDCEFLEQERIMDYSLLVGLHFRETSAAGDLIPSGARTPIGGPDNEVGPRLSRVDLDQLFLDPSRWSSIKLGVNMPARVERTMRRSDCEFQLVGEPTGEYYDVVMFFGVIDILQDYDISKKLEHAYKSIQYDPTSISAVDPKQYSKRFRDFIFNIFAEDETNFE >KGN55284 pep chromosome:ASM407v2:4:21653133:21656252:-1 gene:Csa_4G644120 transcript:KGN55284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSFRFSLSILFLLHVFSAHANSHWTVNSLPGFSGELPFSLETGYVGVGDWEEFQLFYYFIKSYSNPKTDPLVLWLTGGPGCSALSGLAFESGPINFEGELKEGSLPRVLINPYSWTQNTSILYLDLPVGTGFSYAKTSKDHISGDHEQVQHSLQFLKKWFDDHPEFISNPFYISGNSYSGMIVPMVALAILEGTYKHIFSFINFQGYILGNPITIPHANENCQIPFAHNMALISDELYQSLEASCQGEYVNIDPNNVECLKHYDTFTKCTSVVRDSCILWSKCSSLKEPQTKSGQRRSLINSIFVGQRCREHDAILAYYWANNDEVQKALHIHEGSIGEWIRCRGKEYYNFELTSVFPYHVNLSSKGYRSLIYSGDHDMVVPHIETHAWIKALNYSIVDDWRPWFIEDEVGGYTRSFANNMTFVTVKGGGHTPEYLREESSIVFKRWIVGEWL >KGN54685 pep chromosome:ASM407v2:4:15927241:15929872:-1 gene:Csa_4G425740 transcript:KGN54685 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitotic cyclin MASRPIVPQQIRGEAVNGGGKQAKGAAGAEAKNRRALGDIGNLVTVRGIDAKANRPITRSFCAQLLANAQAAAKAENNKKQVPVTIDGAAPILDAGVVAVKKAGPKPATKKVIVKPTSEVIDISPDTVEKVEEKEAKCAKKKKEGEGPAKKKAQTLTSVLTARSKAACGITKKPKEQIFDIDAADVGNELAAVEYVEDIYTFYKEAENESRPHDYMDSQPEINPSMRAILVDWLVDVHNKFELSPETFYLTINIIDRFLATKIVPRRELQLVGIGAMLIASKYEEIWAPEVNDFVCLSDRAYTHQQILVMEKKILGKLEWTLTVPTPYVFLARFIKASKDSNHEMENLVYFLAELGIMHYNTAMIYCPSMIAASAVYAARCTLKKTPAWDETLKKHTGFSEPQLIDCAKLLVGFHGGADKNKLQVIYRKYSSSERGAVALIQPAKALLALGGGVH >KGN54606 pep chromosome:ASM407v2:4:15097216:15098963:-1 gene:Csa_4G377200 transcript:KGN54606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFFFPTSFLLLLSLFSHQIPPISGFGTLGVNYGLNGDNLPTPSDVINLYGRCGINILRLFEPNHGVLDALQGKKDLVLWLGTRNEDIQGFATNQLAANAWVNANVVPYYKNVNIAYITIGNEVVPGDAAAPFVANGIKNIMQALVDVGIKSDIKVTTVVAMTALGVSYPPSAGAFTGSAAGIMKDIANVLGSSGAPILVNVYPYFAYASNPQQISLNYALFTSSTPVVVDGNLQYFNLFDAMVDSFYAALEKIDAGEIRIGISETGWPTNGNEPFTSVENALTYNKNLVKHVTSGVGTPKRPNLKYDVVLFEMFNEDLKAPGVEQNFGFFSPNMNPVYPFWNC >KGN54068 pep chromosome:ASM407v2:4:10849543:10855331:1 gene:Csa_4G280450 transcript:KGN54068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELHGPKLLGASYKDPISSFSLFQKFSIQNPEIYWSIVLQELSITFQKAPRCILDRTEKPNGRWLPDSMLNIAECCLRSSSRLLRDDNSLAIVWRDEGCDNSNVNHMTLKQLREKVTLVANALDATFSKGDVVAIDMPLTVDAVVIYLAIVLAGLVVVSIADSFAANEVAVRLLISKAKGIFTQDFILRGGKKYPLYSRVIEGGSCKAIVIPASGNRLEVDLREQDLSWEEFISTAKEFPRSNCYSPMYHSIDAMTNILFSSGTTGEPKAIPWTQRSPIRCAADSWAHMDVQPGDVFCWPTNLGWAMGPVSVYSAFLTGATLALYHGSPLGYGFGKFVQDAGVTMLGTVPSLVKTWKDTRCMEGLNWTKIRYFASTGETSNVDDDLWLSSRSYYKPLFECCGGTELGSSFIVGSPVQPQAFGAFSTASMTTGFVILDEHGIPSPDDQPCIGEIGLFPTYLGASSELLNADHDEVYFKGMPIYNGMQLRRHGDIIKRTVGGYFVVQGRADDTMNLGGIKTSSVELERICDHVDESLLETAAVAVSPIQGGPEQLVILVVLREGYKKSPQELKIKFSKAIQSNLNPLFKVGFVKIVPSFPRTASNKLLRRVLRKQMKDEIALQSQL >KGN54797 pep chromosome:ASM407v2:4:17464810:17469147:-1 gene:Csa_4G499830 transcript:KGN54797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPNQPPVENYANPKTCFFHVLFKAGALAFYILSSLFFNSFVIIFVVTVFLAALDFWVVKNVSGRILVGLRWWNEINDLGESVWKFESLDQESLSRMNKKDSWLFWWTLYLTAVAWTVLGIFSLIKFQADYLLVVGVCLTLSIANIIGFTKCRKDAKKQFQQFATQTIASQFSSTLQSAFSVV >KGN53406 pep chromosome:ASM407v2:4:4342032:4342682:1 gene:Csa_4G052070 transcript:KGN53406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCVEVLSRQREEDGTTTTTSTREMEEREKKGGMRIKVVLRKEELEWLTEQIKEKGGKCLEELLEEIERGRREKTVMNCSIQYNVSWKPSLESIMECPEIPDHMFR >KGN54084 pep chromosome:ASM407v2:4:10948631:10948867:1 gene:Csa_4G280610 transcript:KGN54084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVSNTSRTRFGAVQMIDNPLTETQDLPKSKLWGRAQDQSGLLMAMNLAFVSGKYNGSSVTIFGRNPFMEKVRERCL >KGN54480 pep chromosome:ASM407v2:4:13792282:13801253:1 gene:Csa_4G337860 transcript:KGN54480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRRISASPRPCSGRRILAKKRPRVDGFVNSVKKLQRREICSKRDRAFSMSNAQERFRNMRLMEEYDTHDPKGHCSPVLPFLMKRTKVIEIVAARDIVFALAHSGVCAAFSRETNERICFLNVSPDEVIRSLFYNKNNDSLITVSVYASDNFSSLKCRSTRIEYIRRGKPDAGFALFESESLKWPGFVEFDDVNGKVLTYSAQDSIYKVFDLKNYTMLYSISDKHVQEIKISPGIMLLIFNRASSHVPLKILSIEDGTVLKAFNHLLHRNKKVDFIEQFNEKLLVKQENENLQILDVRNAELMEVSRTEFMTPSAFIFLYENQLFLTFRDRTVAVWNFRGELVTSFEDHLLWHPDCNTNNIYITSDQDLIISYCKADSDDHWMVGNAGSINISNILTGKCLAKINASNGNPKVNDSSSSSSSSSAGSSRKVCNSSQMRNTVAEALEDITALFYDEERNEIYTGNKNGLVHVWSN >KGN52981 pep chromosome:ASM407v2:4:1415431:1416900:-1 gene:Csa_4G008840 transcript:KGN52981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMVAALNHFSKHHHGGADHLMNGGAPLCNQYYYYYYLGSDNPYLISPDPPMAEGDSTTNSLNHDQAPSNRDDGWLQLSIGGSGSGGNVTGLKRDQRESMTSERSSGLVELDLLPGSGRDISMNYSRWVSDFSSPETTTTSKTETGAETGIGLPLFFGTSSSSNFVQQEINWAFRPVTGIGTGVPSSSSPSTSAVSSSYSLPMSGRRSSYLGRPLIQFQSLGVDMATATAGPSSDVRIINPPRRPHSGIWFTLKALENQGKEPFLPQISKNYLRIKDEKMTVSLVMKYLVNKLHLDSESEVFIYN >KGN55368 pep chromosome:ASM407v2:4:22131173:22134708:1 gene:Csa_4G647410 transcript:KGN55368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNVRTENNQQRRSNPWDGNSQLRQTSQSRRYSPSLCNCVGMGSNVSSSRRPRSSVMLELKVAELEKELLKQKEIQLMFKKRMDRAQDSLKCFLEKAQDRGFLHLIIGDRENVDGDGSPNCIQSAGSSPAMSSSSNPFAADLQPLIDQAKLHGWYIEPHEIELREKIGQGTTANIYKATWRGLEVAVKCLNQDFFCSNECGVSYFAQELETLCRQRHRFVLQLMGACLQPPGCGWVVTEYLRMTLQEWLHGPGKRQKGRTIPLHPFQERLLKALEISQGMQYLHEQKPRVIHRDLKPSNIFLDDAFHVRVADFGHARFLHDKEMALTGETGTYVYMAPEVIRCEPYTEKSDIYSFGIILNELITGKYPYIEIDYSPFKIAMEVGEGNLRPELPLDENEDLREVLALICACWNGNPNLRPSFASITTALRRIQN >KGN53721 pep chromosome:ASM407v2:4:7102227:7103024:-1 gene:Csa_4G110080 transcript:KGN53721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASISKRLLLFVAALLATAKMATSVTRCPDCGGAAVPYPLSTSPTCGDQLYKIRCDAGSLKFDTLNNTYPILSINPLTQRLVIRPSNFIPNTCVTVDIAHGGIKLNSNLPFNVTSGNTILYFNCTDLLLRSPLNCSSTSLCHSYIKGSRGEATKCEMAQLCCTFRTGGSSNSYMIRVRESGCRAYTSFVNLDPSLGVGQWPEPGLELQWLLPREPVCNTEADCDGNAVCGVDPNGTGLRRCVCNSGFVWDPVAGICSQSERFLLD >KGN55122 pep chromosome:ASM407v2:4:20716210:20717384:-1 gene:Csa_4G637130 transcript:KGN55122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEITSSSTSTTRSSHERETNPSPNTSPNSTTINSNPPPPPPKLLPRSDSNPYPTTFVQADTSNFKHVVQMLTGSSESPRPPQHPPTPSSKPCSVPPSSQDPFQSSKNFPIPPIKTAPKKQQSFKLYERRNHLKNSLMINTLIPNFSGSGAAAGFSPRNVEILSPSILDFPSLALSPVTPLNDDPLFDKSSSSPSLGSSSEEERAIAEKGFYLHPSPMNTPRAADPPQLLSLFPETSPRVSGSSSSS >KGN54652 pep chromosome:ASM407v2:4:15637269:15643384:1 gene:Csa_4G416480 transcript:KGN54652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFLQSLDPQILLGVAVAVLALAVGALYVLSSSKKSKSRGCLDPEIFKEFKLIKRLQLSHNVAKFTFTLPSPTSVLGLPIGQHISCSGKDGQGEEVIKPYTPITLDSDVGYFELVIKMYPQGRMSHHFRQMRVGDFLAVKGPKGRFRYQPGQVRAFGMLAGGSGITPMYQVARAILENPNDKTKVHLIYANVTYEDILLKEELDLLAKRYPDSFKLYYVLNQPPEAWDGGVGFVSKEMIQTHCPAPASDIQILRCGPPPMNKAMAAHLEELGYAPEMLFMF >KGN54051 pep chromosome:ASM407v2:4:10746090:10747864:-1 gene:Csa_4G279800 transcript:KGN54051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVQKSRDTPTTILMLPWIGYGHLSAYLELAKVLSRRNNFLIYFCSTPVNLDSIKPRLIPSSSIQFVELHLPSSPEFPPHLHTTNALPPRLTPTLHKAFAAAASPFEAILQTLCPHLLIYDSLQQWAPQIASSLNIPAINFNTTAASIISHALHNINYPDTKFPLSDWVLHNYWKGKYTTANEATLERIRRVRESFLYCLSASRDITLISSCREIEGEYMDYLSVLLKKKVIAVGPLVYEPREDDEDEDYSRIKNWLDKKEALSTVLVSFGSEFFPSKEEMEEIGCGLEESGANFIWVIRSPKGEENKRVEEALPEGFVEKAGERAMIVKEWAPQGKILKHRSIGGFVSHCGWNSVMESIMLGVPVIAVPMHVDQPYNAGLVEEAGLGVEAKRDPDGMIQREEVAKLIREVVVDKSREDLRTKVIEMGEILRSKGDEKIDEMVAQISLLLKI >KGN54993 pep chromosome:ASM407v2:4:19818844:19819310:-1 gene:Csa_4G620560 transcript:KGN54993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGILINTFEELESHVIYSLSTDSSLQLPPLYSVGPVLHLKKNIETMDRVDVLKWLDDQPPPSVVFLCFGSRGSFEKDQVEEIGRALFHLVPPPTVGTKWDENSNRLYKL >KGN54932 pep chromosome:ASM407v2:4:19350818:19353035:1 gene:Csa_4G608080 transcript:KGN54932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNFIIGFHCSNPHFSSSSSSSSNLLHNKSLPFKFHSRCSSSSRKFAVSESAEGRVNEEEFLISSSSGSSSSARTQLDLLEQLSSGSQLVDGYESDGSYGKTTIRDQLAQLFRDRDDDFTVPLGKNLKKVSAKFLTISQKRNIKRQAYLNEVSQRNDSVFFATVGAFVILPPIVILGIAILTGYVQLFP >KGN52988 pep chromosome:ASM407v2:4:1479083:1480285:1 gene:Csa_4G009890 transcript:KGN52988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSTRVVVAKMGTITEALECSLQKSHQQPLISSSSSSSSFSSSSSSIHQHQHQQSTSLDLNSHLSLPYHWEQCLDLKTGEIYYINWRNGMKAKEDPRSTIIDEDYQDDEYSEDYCYYYSDDHEEEDDISSYDSEESSTESTNMRKKKKTFVVEEEEEKDVLVVGGCKRCLMYFMVPKHLQDCPKCNNGQLLHFDRSQNHIIP >KGN54262 pep chromosome:ASM407v2:4:12004079:12006134:-1 gene:Csa_4G296160 transcript:KGN54262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLAAIPWSDTHTTVSDDHLFTLTNLLHDDENHASSPLFLLPQDADDNNRAIRVPVPGGATYFGPTIEDIENALSIGTPRSKDLQSHTQISHTGFSIVERANLNKVEHKYSLRIKSCGGNMVADDGYKWRKYGQKSIKNSPNPRSYYRCSNPRCSAKKQVERSIEDPDIFIITYEGLHLHFAYPFFLMGQSPQAQSPTKKPKTIDPEQPEAHEKPSFLDPIESSGSQGLLEDMVPWLIRNPSTHHNALSNSSSCLSHRSPPPTPPSPSTSPTFITSCF >KGN53198 pep chromosome:ASM407v2:4:2795920:2798197:1 gene:Csa_4G026260 transcript:KGN53198 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MNRRSLISRAPAGFRQLCTSLNELMRSPANNQRGLYPRLSALGATGGSVAKTINQFIMEGNIVKKYELEKCIKELRKYRRYHHCLQIMEWMETRKINYSFTDYALRLDLISKVNGVTAAEKYFYDLPPSAKNRCTYGALLNCYCKEMMEEKALTLFKKMDELKISTSLSFNNLMTMYMRMDHPEKVPPLIGEMKQRGFYLTTFTYNVWMNSCASLNDIGKVEEILEEMKMEDRNKFDWTTYSNLASFYVKAGQFEKAELALKKLEEEMKSDKNDRLVYHCLISLYASTSNLSEVNRIWNALKSVYSTMTNISYLVMLQALRKLKDIEGLKRTYKEWESNCRNFDLRIVNDIIGAYLQQDMYEDAAMIFEDATKRSKGPFSRAREMFMVYFLKLKQVDSAFSHLESALSESKEKEWHPSLATTTAFLNYFEEEKDVEGAEDFARILKRLKCLDASGYHLLLKTYVAAGKLAPDMRKRLKEDDIEISSELEELLGTVCPQ >KGN54377 pep chromosome:ASM407v2:4:12759288:12763010:1 gene:Csa_4G309150 transcript:KGN54377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGSKGKGTSRVSKEALKPVDDRKVGKRKAVVKADKGIKRPTKKDLKAKKDPNKPKRPPSAFFVFLEEFRKEYKRENPNVKAVSAVGKAGGEKWKSLSHAEKAPYEAKAAKRKAEYEKLMRAYDSKKASAADDEESERSKSEVNDEDEASEEEHQEDDDEEDEDDEEDD >KGN54665 pep chromosome:ASM407v2:4:15742269:15745908:-1 gene:Csa_4G418580 transcript:KGN54665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDVRPSNSGFLSWQRTMSSRFALPLIQDAANLIMEHRGVIFGDCADPRVDDDEDDNEYERRVLFRNGSSFIKPILVLDLFWNLFFVFLSVIVLVLSAEEKPTAPLRFWLSGYAVQCLFHVFFVFVAYLRRSSRYRLGFENRGAQDELRLSHNRIRVMKRLEALNTMVAYIWWVFGFYWIVMGGQALLEGSPRLYWLAVVFLAFDVFFIIFCTGMAFVGFFAVCCIIPFLAYGYTMNFLEGASEDEIRALPKYRFHQDNPLESFDNDKKQEVGMTLEPGYNGHTTEHTLNAEDSACCICLAQYVHGVQLCMLPCNHHFHTRCIVKWLRINATCPLCKFSIGQGDSLV >KGN54563 pep chromosome:ASM407v2:4:14759214:14769402:1 gene:Csa_4G363450 transcript:KGN54563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLRTDSPVACRIVRAFLDFLNSVEPGPGVDVEGLEVAKQCLEQVFQVESPAADELTDFDSLVEIFGSPESFQRSRTSSFVDNGAVPLDHRSHFNVNDSDANLSQSKHQGGDSSRESHPMGVFQDELFGQFVLALEKLHYFRTTADGRDDPDQLERATRLFHDALGEMDRSGCAEINHKNLAESLKSLGNRAMKSKLYSDAIELYSCAIALCENNAIYYCNRAAAYTQIQKYSEATRDCLKSIEIDPNYSKAYSRLGLALYDQGNYRDAIDKGFMRALQLDPNNEAVRENIRVAEQKLKEAQRQTQHEQGSASRNQGSTSRSQESENQTGGGGGSRNDSSPSPPPPPPFPSMPFNVTIPSEFSDMFMNMASNATNTFHGQHSEDSGGENQSRNGWGEPNTDGNFSFSIGDGMTQDLNGALRSMMQMFTGSAPSGNPQDHFDGRPPQG >KGN53885 pep chromosome:ASM407v2:4:8976930:8977490:-1 gene:Csa_4G179690 transcript:KGN53885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQQSGAPKDCHSNLYDYQEFKAMIGHLNKATHFSPSVLSNHLINSPFYRQRLNEIYKNSIGSTKKIMWYETGRQKGNIETSKRRTKQRGMISNASLAGRLWKQIKVGLMGILPKKDLK >KGN54638 pep chromosome:ASM407v2:4:15493828:15494223:-1 gene:Csa_4G413890 transcript:KGN54638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKKEVRELIEYLKTASTDRMVVRLSSKVTSLIADMTCLMAFGKKYRDEEFGERGFKAVIQEGMQLVIAPNLADYIPFVAPFDIQGLNRRATFVLKEFDGFFERIIEEHIESKDGNRNKDFMDHLLDIMMS >KGN53801 pep chromosome:ASM407v2:4:7940255:7940650:1 gene:Csa_4G131150 transcript:KGN53801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKGCLHHNILGCVIIEQFYFGLSRDTQQFVDAVFIGGMLRLSCNHIKTTLDAMVSNSQEWRDNEFGSHNESKGNRREKGRTNKGSNGNAMITLQSQVTEMNKLLQSMALSQLNAIGSSIKVVHQVLNLVV >KGN55351 pep chromosome:ASM407v2:4:22040011:22045496:1 gene:Csa_4G646250 transcript:KGN55351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVYVLEPPTRGKVVLNTTCGPLDIELWPKEAPKAVRNFVQLCLEGYYDNTIFHRIIKDFIVQGGDPTGSGTGGESIYGGVFPDEFHSRLRFKHRGLVACANAGSPHSNGSQFFITLSRCDDLDKKHTIFGKVTGDSIYNLTNLGELETEKDDRPVDPPKIKSIEVLWNPFDDIVPRAPAKLVVTSTLDSENKDTKKKAVKKLNLLSFGEEAEEDEKELAAVKKKIKSSHDVLDDPRLLKSEIPSSELDSKRTREMQLSVRETLASKKPESRRDSEAENSNLPQYSDSDDDETNFDARMRQQILRKRTELGDAPSHQKSKNGTSNSKKHEGSTRSRPDTEKTKREEPKVEKLSLKKKGIGSEARAERMANADSDLQLLGDAERGRQLQKLKKRRLQGREDEVLAKLENFKKGFSGKPEPSNAESGGANDDLSDWKSVRLKFTAEPGKDRMSRSDDPNDYVVHDPLLEKGKEKFNKMQAKQKRREREWAGKSLT >KGN54621 pep chromosome:ASM407v2:4:15292730:15293431:-1 gene:Csa_4G385280 transcript:KGN54621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSGSPASPNSLPKGQDNISPTVRSVRKPPSPQELISHYESQGLTSHDASIKVIDDLQNALFRIISSGRGNKDKRLLETSRKLDATNSRLAVLDLKLDSKPGYAETFALGLASGSVLNGFGTVMPHVFRALTNIWSSVTNLTKHS >KGN54169 pep chromosome:ASM407v2:4:11364281:11372880:-1 gene:Csa_4G290840 transcript:KGN54169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPNDDIVFTSRSTLRIPHFPPSSSHSSFRFCFRNLASQFDQSCKSISHFIDSVKRGSKLSHFNHSFPHLWPPTLPFCSSKKVTQQESSISRRASWNWGSVFVEKYPLICSASMSLIQSDMSSKSESEDSGKRQGMEDMSTGLVGKSSLLCSASLALTRSDESNQSGGSESKELPQKGYSAARVDEERVLISEVLVRNKDGEELERKDLELEVFTALKASRPNSALTVREVQEDVHRIINSGYFYSCIPVAVDTRDGIRLIFQVEPNQEFQGLVCEGANVLPAKFLEEAFRDGYGKVVNLRHLDEVISSINGWYGERGLFGRVSAVDILSGGILSLQVSEAEVNNISIRFLDKKTGEPIPGNTRPETILRQLTTKKGQVYSMLQGKRDAETVLTMGIMEDVSIIPQPAADAGKVDILMNVVERPGGGFSAGGGLSCGSTGGAGLLSTLIGSLAYSHRNLFGRNQKLHVSLEKGQVDSTFRINYTDPWIEGDDKRTSRTMMVQNSRTPGTLVHGGSNLTIVRVTAGLEFNRPIRPTWSGTAGLYFQRAGAQDEKGEPILKDNIKCPLTASGNAVDNMLLAKLEGVYTGSGDHGSSMFVLSMEQGLPFLPEWLCFNRVNARARTGMEIGFSQLLLSLSGGHVVGNFCPHEAFAIGGTNSVRGYEEGAVGSGRSYAVGCGELSFPLFGPVEGVFFADYGTDLGSGASVLGDPAGARMKTGSGFGYGFGIRLESPLGPLRLEYAFNDKSEKRFHFGVGHRN >KGN54450 pep chromosome:ASM407v2:4:13519563:13523520:1 gene:Csa_4G334120 transcript:KGN54450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFPALKSKKKNYSQSLHDKCVKSKEIVPTKLPEPQIRTRTLQSAPPSFKTRVKPVQPANGAAGSRVRTLSAPSSLDAAEQDALSSVEYEEPEEPIGRFGFSKEQRSPSPQPLPLPSPQVIVALKNTGSFKSVASSGPLYSSGPLPLPPVGAVRNFSYEEISAACHNFSAESCVSESLSSLIYRASFGDDTSTSKKFEATVTFRHPSNQGFREFVSEVSTLTSLQHPNLCKLLGFHGHEGSGQRMLVYERLFHGSLDRLLYGRSDGPPIDWNTRIKIALCAAQGLTFLHEEGPFQAMYNEFSTANIQIDKDFSAKLSGYGCVGQIPTPETEISNNSVGSAYLSMETLERGLLTPKSNVWSFGIVLLELLTGRRNLDSRYPKEERNLVKWSRPFLADDGRLSLIMDPQLKGRFPTKAARTVADIGQKCLQKDPSERPTMRNVVEHLKIIQNLKQSSRFPLQEPIAASPAKQMLRSPSLDGIITPAAARLSFSPSPPSGIHPSLSPGGRNSSPTLPPRLCSTLSFEDFDRQERRKSSASALRRPRIEGY >KGN54375 pep chromosome:ASM407v2:4:12719564:12725376:-1 gene:Csa_4G308640 transcript:KGN54375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGQKQAEEAIVPTTANEVEHGGGKEEGEEADGGEQPQNSVFQMKNLLWHGGSAWDAWFSCASNQVAQVLLTLPYSFSQLGMLSGIIFQIFYGLIGSWTAYLISVLYIEYRSRKEKENVNFKNHVIQWFEVLDGLLGPHWKALGLAFNCTFLLFGSVIQLIGCASNIYYINDHLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLGMTTYTAWYLAAAALIHGQTEGVTHSGPTKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKYIYLMATLYVFTLTLPSASAVYWAFGDELLNHSNAFSLLPKNRFRDAAVILMLIHQFITFGFACTPLYFVWEKVIGMHDTKSLCLRALVRLPVVVPIWFLAIIFPFFGPINSAVGALLVSFTVYIIPAAAHMLTYRKASARQNAAEKPPFFLPSWTAMYVLNSFIVVWIFVVGFGFGGWASITNFVRQIDSFGLFAKCYQCKPSHPPAPPPTHRH >KGN53881 pep chromosome:ASM407v2:4:8962579:8965182:1 gene:Csa_4G179160 transcript:KGN53881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAVLANRNEANWPQPRGNGRGTEEGFMGKVPFSNPNPKFNKKQFHGEMNGFQMDDSPAVTQSASDDASSINHHRRLSNGVDFSQYVSFNVSSCSRKELIELKTRLISELEQIRQLKSRINSGELHSRPKHQKKFSKTLGTKRPLPTSSNGMELKRSNSDNGNLLKACSQILTKLMKHKHGWIFNKPVDVVGMGLHDYYDIVKRPMDLGSVKVKLGKDAYESPYDFASDVRLTFKNAMTYNPKGHDVHAMAEQLLVRFEELFRPVAEALEEEDRRFCGYQEELPASSWNHSEAERTVKKDNIQKQVVKKTEPMKAPSSSSNPPMMQSPVKTPSPLRAPPVKPLKQPKPRAKDPNKREMTLEEKHKLGIGLQSLPPEKMEQVVQIIKKRNGHLKQDGDEIELDIEAVDTETLWELDRLVTNWKKMMSKIKRQALITAASMKPNGVMPTPEKIEVGSETKKQRKGEAGEEDVDIGDEMPASNFPPVEIEKDAGGGHASSSSSGSSSSSSDDSSSSSDSDSEGSSSGSDSDDNAQ >KGN55206 pep chromosome:ASM407v2:4:21253743:21255056:-1 gene:Csa_4G639930 transcript:KGN55206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALMLDTCDGVLLSLDSHKAIPAPFLTKTYQLVDDPSTDHIVSWGEDDTTFVVWRPPEFARDLLPNYFKHNNFSSFVRQLNTYGFRKIVPDRWEFANEFFRKGEKHLLCEIHRRKTAQPQVTVNQHHQPHSPLNPGFYHFPTARLSISPSDSDDQNNYWCDSPSPNNNNNNSVTALSEDNERLRRSNNMLMSELAHMKKLYNDIIYFVQNHVKPVAPSNSYQYSTTTSLLSDGFPVVRQPNHYHHHHHHHQQVSSQIRNNTVGTKSFVTILEEEQQQQTKTKLFGVAIQSKKRLHPEYGNSNSNNNNNKARLVLEKDDLGLNLMPPSAC >KGN53371 pep chromosome:ASM407v2:4:4068883:4071961:-1 gene:Csa_4G050260 transcript:KGN53371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNATAVTLCPTPMKATSNGIFQGDNPLDFALPLIILQICLVVALTRGLAFLLRPLKQPRVIGEIIGGILLGPSALGRNKNFLHTIFPSNSITLLDTIANIGLLFFLFLVGLELDLKSIRRTGKKAFGIAITGICVPFALGIGSSFVLRETISKGVNASAFLIFMGVALSITAFPVLARILAELKLLTTDVGRMAMSAAAVNDVAAWILLALAIALSGSDKSPLTAVWVFLSGCGFVVAAIVILSPVFKWMTKQCFQGEPVREIYICATLAIVLAAGFATDFIGIHAMFGAFVVGVLVPKDGPLVGALVEKIEDLVSSLFLPLYFVSSGLKTNVATIQGAQSWGLLVLVIVTACSGKILGTFLVSLLCKVPVREALALGFLMNTKGLVELIVLNIGKDRKVLNDQTFAIMILMALFTTFITTPLVIAVYKPARSAKIADYKHRKIERKNKNTQLRMLTCFHSAGNVPSIINLLEASRGTEKGEELCVYAMHLMELSERSSAILMVHKARKNGLPFWNKGQRSDSNHVIVAFEAYQQLSRVFIRPMTAISSMSDIHEDICATAERKRTAIIILPFHKHQRVDGSLETTRSSIRVVNQNVLEHARCSVGIFVDRGLGGTTHVSSSNVSLFITVLFFGGGDDREALSFGVRMAEHPGIRLMVIHFFVEPEPIGEITSADTVGNSLAKTVPQDDEFLSEFRHNASKNDSITYVERTIKTAAEAMSTVQELKHCNLYLVGRTPGLNSSFALNRNDCPELGPVGNLLTSLNFPITASVLVVQQYRSQLPVNSASDSADGESESA >KGN54465 pep chromosome:ASM407v2:4:13641280:13643773:-1 gene:Csa_4G335250 transcript:KGN54465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLCYRQHVKRNFTVLAVAGAKTNDNPRHLYTKPLSLTLNAHFSNKVDLAEANNQLKILVKTNHLKDARDLFDQLPQRDEVSWTNIISGYVNSSDSSEALRLFSKMRLQSELRIDPFLLSLGLKTCGLGLNYLYGTNLHGFSVKTGLVNSVFVGSALLDMYMKIGEIGRSCKVFDEMPTRNAVTWTAVITGLVRAGYSEAGLAYFSGMGRSKVEYDSYAYAIALKASADSGALNHGRSIHTQTLKKGFDENSFVANSLTTMYNKCGKLDYGLHTFRKMRTLDVVSWTTIVTAYIQMGKEDCGLQAFKRMRASNVIPNEYTFSAVISCCANFARLKWGEQLHAHVLCVGFVNALSVANSIMTLYSKCGELASVSKVFCSMKFRDIITWSTIIAAYSQVGYGEEAFEYLSRMRSEGPKPNEFALASVLSVCGSMAILEQGKQLHAHVLSVGLEQTSMVCSALIIMYAKCGSIAEASKIFMDSWKDDIISWTAMISGYAEHGHSQEAIELFENIQKVGLRPDSVTFIGVLTACSHAGMVDLGFYYFNSMSKDYHITPSKEHYGCMIDLLCRAGRLHDAETLIRSMPIQWDDVVWSTLLRACRIHGDVDCGQRAAAEVLKLDPNCAGTHITLANIFAAKGKWKEAANIRMLMKSKGVVKEPGWSSVKVKDSVFAFVSGDRSHPQGEDIYNILEELASGMEIYILELNHLVTDDSEE >KGN53264 pep chromosome:ASM407v2:4:3320283:3323386:1 gene:Csa_4G038810 transcript:KGN53264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTDLENSAEVSIASTVNQLPPTKSAVKKKRSLPGMPDPDAEVIALSPKTLLATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLRQRTSKEVKKKVYVCPEPSCVHHHPSRALGDLTGIKKHFCRKHGEKKWKCEKCSKKYAVKSDWKAHSKICGTREYKCDCETVFSRRDSFITHRAFCDVLTKEVAGSLSPAAAEIPNLESDSQVQRPSGSSSPPSSAPPLPAGTAPVSVALPPSTDTMSSIASIENKASPENPPPLIGEAQDRTSLTGNSGSSNTTISSTNATVLASLFASSAASLSLQPPQPPAFCDLLRAMARPDRATEIAPPLVFEPLSLCLSTDTESSLFRTGIQDCRPYVPPTPPAMSATGLLQKAAQMGAAAAGASVFRGLGLSSSPSSAQQGSL >KGN54432 pep chromosome:ASM407v2:4:13260650:13260961:1 gene:Csa_4G329050 transcript:KGN54432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSEVAIQLMRFIGAKDLWEAITQDFVGVQSRVEENFLQQTSQTTRKDNSKMEDYLHITKMNADNLSQTDSLVPPQVLSYVLLELNEVYNMVIAVVQGKPNIS >KGN53155 pep chromosome:ASM407v2:4:2491906:2494304:1 gene:Csa_4G022910 transcript:KGN53155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSGFVKKGREGYDYKGRVTLFVILSCMVAAMGGLIFGYDIGISGGVTSMEPFLKKFFPEVNRKMKEDKQISNYCKFDSQLLTSFTSSLYIAGLLFTFFASSVTRTFGRKPSIHIGGAAFLAGAALGGAAANVYMLLLGRILLGIGVGFTNQAIPLYLSEMAPPKYRGAINNGFQLCVGIGVLSANLINYGTAKLNNTSGWRISLALAGLPASLLTFGSIFLPETPNSLIQRCDDEHLTAKKMLQQIRGTDDVDAEFEDLVKANAISKTMKKPFVKITQPKYRPQLVMAIAIQFFQQVTGINVISFYAPILFRTVGLDESASLLSAVVTGVVGTVATFISMLIVDKFGRRVLFTIGGIQMFISQIVVGSVMAAKLGDHGGLSKGYAYLVLVLICIYVAGFAWSWGPLGWLVPSEIFQLEIRSAGQSITVAANFLFTFAIAQSFLSMLCHLKSGTFFFFGGWVLIMTVFVLLFLPETKNIPIEQMDRIWMEHWFWKRIVVEPSREMTFHAEAH >KGN53204 pep chromosome:ASM407v2:4:2835606:2836007:1 gene:Csa_4G026810 transcript:KGN53204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSLEALAMAGIDSNEWAMDAEEWERNEIDVVPPHLLADEEDDEDGDEHVTTSTISNYCFPVDGSFEGWRGRDCKADGGHGHGILGIFCSLSKVIEKRASNGMSSVRIIVRTILGFLMVMTMKIISAQRSKM >KGN54260 pep chromosome:ASM407v2:4:11987273:11990583:-1 gene:Csa_4G296140 transcript:KGN54260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYDKCYRSKAQIPNFDCLLFDLDDTLYPLSSGLATACKNNIHAYMAEKLGVENSKIPELSNLLYKNYGTTMAGLRAIGYDFDYDEYHRFVHGRLPYDNLKPDPILRNLLLSLPYRKLIFTNADRDHTAKVLNKLGLEDCFEGIICFETLNTPPQKSSVLDEKEHIPSSEVFDIIAHFSQPNYPLMELPITPIVCKPSEAAIEWALKIANIDPQTTLFFEDSLRNIQAGKRLGLQTVLVGTSHRSKGADYAIESIHNIKEAIPELCEVEMKSELNYSANNNSVAVETSVTA >KGN54787 pep chromosome:ASM407v2:4:17349937:17354926:-1 gene:Csa_4G496770 transcript:KGN54787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASGKRKGDEDDVSEGDAPPSKTFKKDSDDTDEIVVCELSKNRRVMVRNWQGKIVVDIREFYVKDGKQMPGKKGISLSLDQWNVLLNHVEEIDKAVNENSSGD >KGN52798 pep chromosome:ASM407v2:4:346427:351744:-1 gene:Csa_4G001650 transcript:KGN52798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMATLPLFHHLPTLSNPKSLTILRPRLPTSQRTFRLSILSCSSTSQSPEANLQSAESCVNFGLQLFSKGRVKEALVQFEAALNMDPNPMEAQAALYNKACCHAYRGEGKKAADCLRVALREYNLKFGTILNDPDLASFRALPEFKELQEEARMGGEDIGYGFRRDLKLISEVQAPFRGVRKFFYVALSAAAGISLLFNIPRLFRAIQGGDGAPDVWETAGNLAVNVGGIIVFVALFLWDNKKEEEQLAQISRNETLSRLPLRLSTNRIVELVQLRDTVRPVILAGKKETVSSAIQKAERFRTELLRRGVLLVPVIWGEGREPQIEKKGFGAPTTAAAAALPSIGEDFEKRAQSITAKSKLKAEIRFRAEVISPAEWESWIRNQQESEGVTPGEDVYIILRLDGRVRRSGRGMPDWQKIIEELPPMEALLSKLEK >KGN55134 pep chromosome:ASM407v2:4:20791778:20797763:1 gene:Csa_4G637740 transcript:KGN55134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEEAVQHYLYDTLSPLSFSAITTTTTGDQLSSPDVDLEPYSVFRNEISLSTPDCAPAETAATEFFALDVAADKGEENSGICSSPLPVTSALETEPRTPECEDQSRLESGWFRGNSGLKSPMLQLHKEIVDFCEFLSPTEEERVARDSAVERVFSVVKHIWPHCKVEVFGSFQTGLYLPTSDIDVVILGSGIPKPQLGLQALSRALSQKGIAKKIQVIGKARVPIIKFIEKQSGISFDISFDVQNGPKAADFIKGAVSKWPPLRPLCLILKVFLQQRELNEVYSGGLGSYALLTMLMAMLQSINVPPSSLEHNLGVLLVHFFDFYGRKLNTSDVGVSCNAGGIFFSKSYRGFMTKGRPCLLSIEDPQAPDNDIGKNSFNYFQIRSAFAMAYSILTNVKTVLGLGPNRSILGTIIRPDPVLLKRKGGRHGEVTFNSLLPGAGEPVQQPEYGDDQEMLCNWQFGDEEPLPRGNDTPENVGTPSSKKQRKTREKSRKKEKESHSSKRRHEDNGSRKEQSSKKKRLRQNDSDANGLWNAGRRSIWSR >KGN55549 pep chromosome:ASM407v2:4:23191235:23192809:1 gene:Csa_4G664560 transcript:KGN55549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVQPHTPASSISSSSASLFGTYLQQFRPLTTTLNLRSNHSISHRSTCRASWQELAGVLIFSAIPFTAVKAIANSPLGGSLQRQLEKKKNSAVANSSKFKALAEEARKDRKRLLKKIVETNESLVKQLIGIILNLLQIQNVTIKLSDSLLVSACIKRLDAFHVAALAGRPPRSHSDLFSAHICIILFTHLSHSPANMLNLWLTAATIELVMPPERRNESLNFIRALDLDDCSANKQSFVKR >KGN55401 pep chromosome:ASM407v2:4:22346201:22352674:-1 gene:Csa_4G650200 transcript:KGN55401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVSGVTLDPSWFLQLILTLFFIISGLLYLVKNTASKYFEVDANFEASSGGATAGRSDGTDCNSMSGGGGDSTADAVCVVCGNLGSKKCSRCKAVRYCSPTCQEIHWKAGHKTKCKDFQARRNTDDCETPNTHRDSKASTIGSKKFSAISLVPSCRASKPIKQPKDILFPYDEFVDLFYWDKKDFHPCGLLNCGNSCFANVVLQCLSFTRPLFAFLLEKGHRNECVRDDWCFFCEFQTHVERASQNAQPFSPNNIILRLPNIGGNLGYGRQEDAHEFMRFAIDRMQLACLDEFGGEKAVCAHSRETTIIQHIFGGQLQSQVICTNCNNVSNQHENMMDLTVEIHGDAASLEECLDQFTKIEWLHGDNKYKCDGCNDYVKASKRLTIKQAPNILTIALKRFQSGRFGKLNKKVAFPETLDLSPYMSEAGDRKDVYRLYAVVVHVDMLNASFFGHYICYIKDFSGNWYRIDDCKVFKVDLEEVLSQGAYMILYSRVRPRSSSLQTIESMGNKQLQKEKVEVNSCTIERVESDKQGLVDRICSSSSESTDGCMQADNYTGSPDIQTQSERLYYEGVNGHAHESSTSSNISVCTRKAAFGVTSEAVRDDKVRDTDVGISSSFPKDFGNDSQLLLNYKPLLITPVDTTRTASVNGHCHSAVSMEVSYCDEDVSVNNLDDSEDTDMISCETSSLAQDANNVRVNGHIHGDETAHICC >KGN53158 pep chromosome:ASM407v2:4:2507239:2508535:-1 gene:Csa_4G022940 transcript:KGN53158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERQKGIVMKKGPWTAEEDEILINYVNKFGPRDWSSLRSKGLLPRTGKSCRLRWVNRLQPNLKTGCKFTADEERVVIELQAQFGNKWAKIATYLEGRTDNDVKNFWSTRRKRLEKILQTPPQKSQKNKQRSLESQRLHEMPVLEVPSSSSSQPDEQSSTWKDHFKNAFQLGNSEMHKMATLSVQSNMLTMENENQIFGTSTIHMVAPFDPFSHCCFSQFAQSSQEIGMFPDCHGLAPNHIPCNVQDVFEPGETLETNNSLHFMSNSMPKEQEIKAESSLEVKNGNPYYTTPDDCFDDFTADLFDFFDRSPPSLN >KGN53838 pep chromosome:ASM407v2:4:8470675:8472404:1 gene:Csa_4G162850 transcript:KGN53838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLTLTGKSKSTAGDNWGMGLLLVFFSEDSPSPIADHKNLFPSSSPSSSSTSGRRSNYNLLTKAQSTISVCALLVFLSLLLFTLSTFEPTIKMNLTPPRRLLTQKSMPIELRKPLGNRWNWFRQMWKQKPAMGKTTTTDAVSTVALQRMGTLYMRGTRAMPDLTVVHVSEDIGEEDFRLFLRLFHRSGVTAKSDSVFVFPSPAFSLRFGPIIRQENESFLKLLGRYRNLNGTSRSAAAGFDVTQLFKSKEKKETEEPIWGKRVKRLGNVSNGGEDELTRLSYGSVVSFDAGEIDPENSLSGFSDHIPMSLRRWSCYPMLLGRVRRNFKHVMLIDAKSSLLLGDPLSRVRNKGTESVIFFTNKHSKKNSEKSNSHHLVNPSIVIGGARGIRRLSNAAAVEIVRILMQHKKKNSVSDSGVLSRLVNSEFLLKNVKVIMASESIPEASSLTGVELESVGSLSAPEKMMFHKGNNGNSGEINSVIMKKICSSEIDSSVYTHC >KGN54842 pep chromosome:ASM407v2:4:18148565:18150556:1 gene:Csa_4G538590 transcript:KGN54842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPNLLGPPELYHAAAPVSLQPTESTPSGDPFVDAMVANFNKTDDSLPPMGFTENMSATFLSTGNPCLDFFFHVVPDTPANSLIDRLSLAWNHNPLMTLKLICNLRGVRGTGKSDKEGYYTAALWLYNFHPKTLAGNIPSIADFGYFKDLPEILYRLLEGSDVRKNQKNEWKRRGLSVRHGRFKQEKPKTRKKEIQSSTDREANISKAMEKSRIEKEKASGERKLRKVSMARKVMERFQADSNFQLLHDRISDFFTDCLKSDLQFMNSGDFTKISLAAKWCPSIDSSFDRSTLLCESIARKIFPRELNPEYKEIEEAHYAYRVRDRLRTDVLVPLRKVLELPEVFIGANRWDSIPYNRVASVAMKNYKEKFMKHDGERFAQYLKDVKDGKTKIAAGALLPHEIILSLFDGQEDGGEVAELQWKRMVDDLLKKGKLRECIAVCDVSGSMMGIPMDVCVGLGLLVSELSEDPWKGKVITFSANPELHMIQGDSLKSKAEFVKSMDWGGNTDFQKVFDQILKVAVDGKLKEEQMIKRVFVFSDMEFDQASQTSWETDYQVIVRKFTEKGYGSAVPQIVFWNLRDSRATPVPSNEKGVALVSGYSKNLMNLFLDGDGVIQPEAVMEKAISGNEYQKLVVLD >KGN53026 pep chromosome:ASM407v2:4:1748131:1754354:-1 gene:Csa_4G011730 transcript:KGN53026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLTLESLPGSSGYLDIYPERKMYYFKNPYVLGLTVVAGIGGLLFGYDTGVISGALLYIKDDFEAVRNSSFLQETIVSMAVLGAIVGAAAGGWINDAYGRKKATLLADVVFAIGAAVMAAAPDPYILIAGRFLVGMGVGVASVTAPVYIAEASPSEIRGGLVSTNVLMITVGQFLSYLINLAFTQVPGTWRWMLGVSGVPAVIQFVFMLFLPESPRWLFMKDEKSKATAVLSKIYDFPRLEDEIDYLSSQLEEEKHKKINVSYMDVFKSKEIRIAFLAGAGLQAFQQFTGINTVMYYSPTIVQMAGFRSNQLALLLSLIVAAMNAAGTVLGIYLIDHVGRKKLAISSLSGVIVSLAILSGALFAGQYGSTNGLNGCIAVIGLALYIAFFSPGMGPVPWTVNSEIYPEAYRGLCGGMSATVNWISNLIVAQTFLSLAEVAGTGLTFLIFAAIAVLAIVFVVVYVPETQGLTFEEVERIWKERAWGRDSNTESLLVA >KGN55412 pep chromosome:ASM407v2:4:22453188:22457620:-1 gene:Csa_4G651790 transcript:KGN55412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSVCCKSVIMAKSIDSKGFACTRTVSICSRFAANRVSLIDSRLFKSRICGVRASMVDSYGSSDFVKRMEQAWEISQQPRPICCSSCNSNGHVECKWCRGTGFFILGDNMLCQVPSRNTSCVICAGKGSRCCSDCKGTGYRAKWLGEPPISK >KGN55200 pep chromosome:ASM407v2:4:21223044:21226675:-1 gene:Csa_4G639880 transcript:KGN55200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNEIQLNENGLTLKPDKAKVRDVLEFLLPSGSRKIKKLMECPDDQIELYTNFKARWTISVSILTQKFLSAIASLFTILVAFWSIIQKFCYKYVLAAEFWVAPSRIINFTDVQPRVRCSDWELLVPDDNADMNSHDRDFKYYSALTIMASKLAYQDYSRSASIVEFVVNDCWQMKLIDCRNFWNDFQNKATTHAIMFENTHKDPNVTVIAFRGTSVLDINDWMVDLDFSWFLLEGKVGIHSGFMQALGYQKSGGWPKELTDPKHEFAYYFLRQNLREIAKSNDNAKFIITGHSLGGALATLFVTLLAYHNETILLDKIQAVYTFGQPRVGNQSFAQFMVDTFKTHDIKYYRYVYSFDLVPRIPFHSLANFSYRHFGGCVYFDVFYNGKFLKEQPNTNYFSLIWVIPKYLSADWEFIRSLIITPIVKGRKYFEGFFTIMERTVGLVIPGISAHVCSNYVNLTRWGNIHLPPDHHELLKFAHYIEADY >KGN53578 pep chromosome:ASM407v2:4:5630098:5634020:1 gene:Csa_4G083530 transcript:KGN53578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCERMAMKRLLLFLKPFDANPVLHSDAFSRVTTPQILRHLENRQEVHREAIDVCKDILQQKHVDWEPVLRNDLSEPITNVDLVVTVGGDGTLLRASHFLDESIPILGVNSDPTQVDEVEEFSNEFDASRSTGHLCAATVNNFEQVLDSILNGEAVPSKLSRISLSVNSELLSKYPLNDVLIAHPCPASVSRFSFKIRNEQSCLPLLNCRSSGLRVSTAAGSTAAMLSAGGFPMPILSQKLQYMVREPIAPGKLYSYMHGTISPDQSIEMAWLCNEGMIYIDGSHVCHPIQYGDIVEISSKAPSLRVFLPHQMMNTVGAEEVSEQHTHSKL >KGN54540 pep chromosome:ASM407v2:4:14539153:14540451:1 gene:Csa_4G359270 transcript:KGN54540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKPKPFALVVDSCFQAKFEDLRAKGCNLLGPQCVMSCAKEHRPLPQQGYTCCLAMDGVKILASGFQEDEKVEIGKLVSAMGGVLHTKASLDVSFVIAKNALAAKYKWALNISKKPIVSFSWLQQCWIEHRVVPQEGYRVLPFSGLNISVSGIPAGCVL >KGN54823 pep chromosome:ASM407v2:4:17834552:17834884:-1 gene:Csa_4G508510 transcript:KGN54823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIEESRHRLKPIIDINDPHVQALGELAVENLYSKNSGEKLKFVRVVNGLKSDKYIGPGFTEVILYHLVLEAKTNEEINWTYATKLEEVSGGLIRHVFLSFEPVLPYYKP >KGN55474 pep chromosome:ASM407v2:4:22840739:22842112:-1 gene:Csa_4G652860 transcript:KGN55474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADWTELPPDLLHQISDYLTVYSDYLRFRVVCWNWRFSVPKIPHRLPPQLPCLIIPLYHNCRCGLFNFSDNKIHFLYLPEISLRKRPCGSSHGWLTIVDETPPILLLNPFTRAKLWLPPLSTFPNVVSFDYSRVGREYLIRTPTGHIYTRNLRQMRDSFVKKIVLSSSPSNPNDFLAVAILNHSGDLAFCRSGGGSWTFVDDAPSDCEDVIYSDGVFYAVDKYGVVSLMDLRGSRSQVSLVATERQLAGDIQYLVKLGQELLLVSRYLDIVNDGMEDELIPVMYRTVRFEVFRMEWEGPRWEKVENLNEMALFVGGNSSMAFSAADFGEISGNCIYYTDDYSDSDYQEQGEEPDMGIFRLCDESFEPLPYYSGGSHSRRRLLPPIWVTPNPC >KGN53409 pep chromosome:ASM407v2:4:4362205:4365842:1 gene:Csa_4G052590 transcript:KGN53409 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoside diphosphate kinase MSSKICRSISGAARSILAASRTSRAYSTGGRAAASAAAVSLRERLPSLASIYKNAGSGYASREWISGLLALPAGVFMLQEQEAHAAEFERTFIAIKPDGVQRGLISEIISRFERKGFKLVGIKVIVPSKEFAQKHYHDLKERPFFNGLCEFLSSGPVIAMVWEGEGVIRYGRKLIGATDPQKSEPGTIRGDLAVVVSRNIIHGSDGPETAKDEINLWFKPEELVSYTSNAEKWVYGDN >KGN53524 pep chromosome:ASM407v2:4:5165810:5167535:1 gene:Csa_4G064070 transcript:KGN53524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASACVNNVGISSENFLDCSSSVPCHSYGWLGPRLSFSRDDSPPSNLAGPLSKTKPPAVADSDSTRDPDPELLPVTDFEFRLQDPVSLMLPADELFFDGKLVPLQVSSVKPSVNGLKSTRCVSSPQTTVQSRRRVEEECSTDPYLFSPKAPRCSSRWRELLGLKKLYQSSSNGNGNAKNDNHKATTTSYFSEANSKALKYFLHRSSKSSLSSSLDSSLSLPLLKDSDSESVSLSSSRVSLSSSSSGHEHEDLHRLSLDCENKPNTNPISLHRNPNHNNPPRMRLVKPRPKSESNPRSTSTADHHHPSATRVGRSPIRRTPGESSSSSSRLGMRGESVDSPRMNSSGKIVFHNLERSSSSPSSFNGGPKFKNRGMERSYSANVRVTPVLNVPVCSSLRGSSKSVSVFGFGPLLFTGTGGSSSSRSHQNSSSNSSSSSSNRTGRRENPLVRD >KGN53931 pep chromosome:ASM407v2:4:9416383:9417867:1 gene:Csa_4G192030 transcript:KGN53931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDFQSPEIHRGATASIIKSTKFKGRNRSNNNGNKFVGVRQRPSGRWVAEIKDTTKKIRMWLGTFETAEEAARAYDEAACLLRGSNTRTNFIPQISTNSPIASRIRSLLNTKKTVNRKPPEKPTTSAAVSAGSAVVDPSIKDDGLFEGAYKPDMTNCLEEREVSSCDSCCSESCELGVSLAENGTVSSEELELCAFERMKVERQISASLYAINGVQENDPCNDS >KGN53045 pep chromosome:ASM407v2:4:1858693:1860959:-1 gene:Csa_4G012410 transcript:KGN53045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAAAVEMELISLAIRRLMEENRHRTNSHRSSDADADADADDMQLLSRLLSEVESTRGEQRLNHSKQEDGEIIETNLEAERENNVERSEMKLKTEEIVKELKEVKKQNFITHCLVSAMIILTVAWQISEVSFILKLRDGLLSNPFKSLATILKRKTTSAIQHQIEATELPHLNIPALPHVTLPDFDDS >KGN54906 pep chromosome:ASM407v2:4:19036303:19037201:1 gene:Csa_4G593910 transcript:KGN54906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVPDHYKVLGLTKSATKEEIKDAFRKLAKEFHPDKHSQSPKVVRDSATLKFKQVSEAYEILGDDCKRADYNIRSRCASGPSFNQQYYSSYNSYAHSSGPRYGSSSGFSSRSRFNADGLVTNFHMLLRFLTTRAFLLNFAFAGYLFSSLIVLFFTNTIYVLNSEIANCFRVPVLEVLSSSVKIDGNFDLSSRFSFLNG >KGN55262 pep chromosome:ASM407v2:4:21526704:21527894:-1 gene:Csa_4G642430 transcript:KGN55262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCNGCRVLRKGCSEACVLRSSLHWIDSPEAQGNATLFLAKFFGRSDLLSFISAVPYHQRPALFQSLLFEACGRTVNPVSGAVGLLSTGNWHVCQAAADTILNGGVLRPIPGIEISANPTPNLDDSSLTFNTDVWTTTTVHNLQFYPSTPSDFRCLPDLSLGRAKNHHPLENRRSLDSEESVMTSFGSGDLGDQRKETKLLNLFV >KGN52918 pep chromosome:ASM407v2:4:999301:1006519:-1 gene:Csa_4G006250 transcript:KGN52918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASTFFKPLNFGVATTRISSSFRPKVVCKNFIRKIQMGTNQNSISRDSSSNSRGALVVLEGLDRCGKTTQASRLAQYLEGLGHSVELWRFPDRTTSVGQMISSYLSNESQLDDHTIHLLFSANRWEKRSLMETKLKGGTTIILDRYSYSGVAFSCAKGLNFEWCKAPEVGLLAPDLVLYLNISPKMAAERKGYGSERYEQIEFQNQVVEAYRDLMIGSNWQIVDACQPMEVIEKELQEIVLDCVKKCQNGAPLSDLWSN >KGN53617 pep chromosome:ASM407v2:4:5915646:5919710:-1 gene:Csa_4G090360 transcript:KGN53617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAENVGPKIGSPSQTLDNTLVSSDSNDPNHVENQKPMNDSTLPINADSDFHPNPNDQNLQKKVHLQDRAAAATSFSLPSPNFKPQMGGQMQNGFETNPQSLMVNSASAYGMNQRPNGVINGADGGDTFKRDMRDLEELLSKLNPMAEEFVPPSLAKNFSGYFTGAGLGYTNDFLLQPNSVNNEGNNSRRKKNGFSQGRRRMNNKMNAVKRDEMTRRTVYVSDIDQQVTEELLATVFASCGEVVDCRICGDPNSILHFAFIEFTDEEGARASLNLSGTVLGFYPVRVLPSKTAIAPVNPDFLPRSDDEREMCSRTIYCTNIDKKVTQAEVKLFFESLCGEVQRLRLLGDYHHSTRIAFVEFTMAESAIAALNCSGVVLGSLPIRVSPSKTPVRPRSPRAQLN >KGN53345 pep chromosome:ASM407v2:4:3868623:3872667:-1 gene:Csa_4G048550 transcript:KGN53345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYFASVQIFCLISAVLIVTSDSFALNEASALKSFKDQISEDPTRVFSNWDLQVEKNPCNWSGIACSPDGGHVIKLDISRASLKGFLAPSLGQLSFLQELNFESNGLTGKLPPELGNLRYLRELRVDRNKLQGSIPDGDNSKYTSNMHRRYAPNAPGFCHLTELKVADFSYNFFVGKIPKCLEDHLPKSSFQGNCLQYNDPKQRTAAQCGAGASPAQSHPGGSSKHAPVEHASKHQRAPKPAWLLTLEIITGITTGSLFIVAVITSLRRCNGKSSIIIPWKKSSSGKDHVTLHIDTEMLKDVPSISRQELEVACEDFSNIIGSSPDSIVYKGTMKGGPEIAVISICIKEENWTDYLELYFQREVADLARLNHENVGKLLGYCKESSPFTRMLVFEYASNGTLYEHLHYGEGCLSWTRRMNIILGMARGLKYLHSELQPPFTISELNSGAVYLTDDFSPKLVDFESWKTILSRSEKNSGSIGNQVTQCILPSSLEPRHLDIESNIYAFGVLLLEVVSGRPPYCKDKECLVDWAKEYLESPDGMSCLVDPEVKHFADEDLRTICEVVNLCIHPQPAKLICMQDLCSMLETRIDTSFSVELKASSLAWAELALSS >KGN55256 pep chromosome:ASM407v2:4:21502153:21503090:1 gene:Csa_4G642370 transcript:KGN55256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWWIKMSAPLRSFTFRVACRLGFRKRGLVKLGRDVKACEYEDVHVMWEMLKRNETDQLIGSSERRQKRKSLWNIFWWARSAPCLGKI >KGN55247 pep chromosome:ASM407v2:4:21469401:21470492:-1 gene:Csa_4G642280 transcript:KGN55247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCEAAVVAMSMAKRALEGGFVGETLRKFACAHAIVLAPAAARKNRRGFVIQQVNQPLQLPLLSPASAKHLRATKQSFSPICLHKKLVRRLKPYTLTSADCPLSHQSLEISSSVPGDNSGPGNRRTLGVSG >KGN55427 pep chromosome:ASM407v2:4:22530397:22534553:1 gene:Csa_4G651930 transcript:KGN55427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKAQTPTLTTIFSALFFFFFVSQFPPVLSFTQSQCKGWLVQSIPTDMPQLQLVQGVLSTRDVFVWLAGNSTRRLDIIAQYWELLAGPNDSRSGDYGYSDEDLKKFGANKGYDVYSAIEKAADRNVNVRLVSHSGVSPNYGKEPADLASGRPNVQNVTLLLGDWYGSGIVHSKVWISDDRDVYIGSANQDWKSLSQVKELGIYLTGCPKIAAHVKVYFENLLKLAFLNRTDYTRTIFDHQWQTQRKVPCWSYFIDPESRCRSPLPPYVKFLHTLGYPTISDPYTLNLSIQTPGSRLSTLLPHSCYLSFAPPELLFGRYQSDEQAWSDTIKSVSSGATVRISTMDWLGQSEFTTPTIYWSSLSSAISEVVFSKNATVRLLVSYWTHFVEGTDPYLKSLLYSNILCNSSKFNDCFGKVEIKYYVVPGYNETGPALYHNGTRTKNEYPGFSRVQHGKFAVSDVRAHIGTSNLGWDYFYVTSGVSFGTYNPAIVKQLQEVFDADWNSPYTLPVKAIQDGPTFSS >KGN54190 pep chromosome:ASM407v2:4:11527966:11534074:1 gene:Csa_4G293000 transcript:KGN54190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVFLILGSSSASIAGPRRYRHSHCKAPKSSLSNLSPTGTHLPFSSHTSTRHSPPALLSSVELDIAGASSGGRIPIQHYAGVASKLAEGGKLEDFAMVVESVVVAGVEPSQFGAMLAVELVAKGISRCLREGKVWSVVQVLRKVEELGISVLELCDEPAVESLRRDCRRMAKSGELEELVELMEVLSGFGFSVREMMKPSEVIKLCVDYRNPKMAIRYASILPHADILFCTTINEFGKKRDLKSAYIAYTESKANMNGSNMYIYRTIIDVCGLCGDYKKSRNIYQDLVNQNVIPNIFVFNSLMNVNAHDLNYTFQLYKNMQNLGVPADMASYNILLKACCLAGRVDLAQDIYREVKHLETTGVLKLDVFTYSTIVKVFADAKLWKMALRVKEDMQSAGVSPNMVTWSSLISSCANSGLVELAIQLFEEMVSAGCEPNTQCCNTLLHACVEGRQFDRAFRLFRSWKEKELWDGIERKSSTDNNLDADSTSQLCNTKMPNAPSHVHQISFVGNFAFKPTITTYNILMKACGTDYYHAKALMEEMKSVGLTPNHISWSILVDICGRSHDVESAVQILTTMRMAGVDPDVVAYTTAIKVCVEGKNWKLAFSLFEEMKRFEIQPNLVTYSTLLRARSTYGSLHEVQQCLAIYQDMRKSGFKSNDHYLKELIAEWCEGVIQKNNQQPVEITPCNKIDIGKPRCLILEKVADHLQKSFAESLTIDLQELTKVEARIVVLAVLRMIKENYALGESVKDDIFIILEVNKVETDLVPQNFEVRDAITRLLQDELGLEVLPTGPTIALDKVPNSESSKISHTTKLKGTMGRNKYFTRKPADVQRLKVTKKSLQDWLQRNR >KGN55332 pep chromosome:ASM407v2:4:21924862:21927071:-1 gene:Csa_4G646060 transcript:KGN55332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNPLCPSSSSFVEKQKQEEQEDPNLKLYIFSSSSSSSNSSSQLAFSNCFSTNPPTTTAQHHHHHQTVNLHLHHHLLQQSHHQLLLHHHQDEKFIGGSREEESKVILSSSSMKEGCERSRLLEKQVEEEDDNDHHQIMMKKQDDHNGSTKYWMSSKMRLMQKMMINTNHNYKKVMINGTDHGGANNSDHHQKATRNYNSINNEGNGGKWEAMTGKSSSSSISCNSSNIGSVQNNGVRVCSDCNTTTTPLWRSGPQGPKSLCNACGIRQRKARRAMADLAANSGGGLVAETTEAAATSGKKEKHKEKKSRLSCEGDNNGGNNNVGDQVKINDKYCNNNNNTDDHISNNNNLKSKSEIGMMNNEVSFASTHNFTLRLSKTTSTTTAGSGPSAFGKVFPRDEEEAAILLMELSCGLLHTC >KGN52936 pep chromosome:ASM407v2:4:1121481:1126634:-1 gene:Csa_4G006430 transcript:KGN52936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLAQLGGSISRALQQMSSATVIDEKVLNECLNEITRALLQSDVQFKLVRDMQTNIKKIVNLDDLAAGHNKRKIIQQAVFNELCKMLDPGKPSFTPKKGKTSVVMFVGLQGSGKTTTCTKYAYYHQKKGWKPALVCADTFRAGAFDQLKQNATKAKIPFYGSYMESDPVKIAVEGVERFKKESCDLIIVDTSGRHKQEAALFEEMRQVSEATKPDLVIFVMDSSIGQAAFDQAQAFKQSVAVGAVIVTKMDGHAKGGGALSAVAATKSPVIFIGTGEHMDEFEVFDVKPFVSRLLGMGDWSGFMDKIHEVVPMDQQPELLQKLSEGNFTLRIMYDQFQNLLKMGPINQVFSMLPGYSADLMPKGREKESQAKLKRYMTMMDSMTDEELDSTNPKLINESRMMRIARGSGHRVQEVMEMMEEYKRLAKVWSKMKGLKIPKKGEMSALSRNMNAQHMSKVLPPQILKQIGGMGGLQSLMKQMGSNKDMMGMFGGGDK >KGN53287 pep chromosome:ASM407v2:4:3530521:3532221:-1 gene:Csa_4G045020 transcript:KGN53287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGTAVRCFATKPKPKMKPIELKTPPEQTQTITRAIFDIVKEHGPLTIAETWDRVQEVGLRGLTSKRHMKIVMRWMRERQKIRLMCNHVGPHKQFLYTTWFTKPNFEQAKQLQRNVSQPKRP >KGN55140 pep chromosome:ASM407v2:4:20828920:20829264:1 gene:Csa_4G637800 transcript:KGN55140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVIMIALTNDFPFFLVSIFSRLRRLGTRENECDVDVDVMDLRANNCCFPVAAIINLMLPLCFIPMPHSAFRVSPLNDV >KGN53216 pep chromosome:ASM407v2:4:2899145:2899606:-1 gene:Csa_4G026920 transcript:KGN53216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSESAKECKRHPNHNLLPGICPSCLREKLQQFHQSPNYSDSQSTFSSPSSSSSDFFFSADSSRRHRRHHRRNASEFVTGSMAVDLLADKLKKTGSIRISTDGGTGAGVKGKKKLGFWSRLLLRPKALYFS >KGN54584 pep chromosome:ASM407v2:4:14943812:14944049:1 gene:Csa_4G372070 transcript:KGN54584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNLWWHYWEHTPYEFGQVERILCPRKRTPSCIRVYESRFIRPYPFWQWTSSGMAREI >KGN53873 pep chromosome:ASM407v2:4:8912498:8919132:1 gene:Csa_4G179090 transcript:KGN53873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATYNLSSAALSSSSSAFFSHSTFSVKNPTVSLPGKRVGVCMCVATSQDSKTAHKTAVSRNENMAKLQAGYLFPEIARRRNAHLLKFPDAKVISLGIGDTTEPIPDVITSAMAQRSHALSTLEGYSGYGAEQGEKPLRSLIGKTFYSDLDIEEDDIFVSDGAKCDITRLQLVFGSNVSMAVQDPSYPAYVDSSVILGQTGQYQKDVEKYGNIEYMRCTPENGFFPDLSKVPRTDIIFFCSPNNPTGSSASREQLTQLVQFAKKNGSIIVYDSAYAMYISDDNPRSIFEIPGAKEVAIETSSFSKYAGFTGVRLGWTVVPKELLFSDGFPVAKDFNRIVCTCFNGASNISQAGGLACLSPEGLEAMHGVIGFYKENTSIIMDTFNSLGFNVYGGKNAPYVWVHFPGRSSWDVFAEILEKTHVVTTPGSGFGPAGEGFIRVSAFGHRENVLEACRRFKQLYK >KGN54424 pep chromosome:ASM407v2:4:13135269:13136693:1 gene:Csa_4G314510 transcript:KGN54424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNDPQVINVSESITSVPSAPNVETRPKIPSIEIDAAGVVKKMKRENMLSILSLSLRWSSFVFSFLAFVVMLSNRHGEGINFEEYEEYSYLLAIAIISTVYTAYQGIREVIQFVTKIYTFPQPTFAIIDFVADQVLAYLLISAASAAVPLTNRGRKLDDQGFTIITKFFDMAAASISMAFLAYFTFAISLLISGYKFSTHFVG >KGN55569 pep chromosome:ASM407v2:4:23354783:23358360:1 gene:Csa_4G669210 transcript:KGN55569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPRFSRPNQDYGSSSSSTPILYVANCGPAVGISHPAIAAVFAHFGHVKGVHPADDTGARVIVCFSEESSARAALEALHGRPCPLLGGRTLHIRYSITRPSISQPNDSLSVSLSASELDIPGLFLLHDFVNAKEEEDLLREVDARPWNNLAKRRVQHYGYEFCYQTRNVNTKHQLGELPSFVSHVVDRISMFPNTEDIADASLDQLTVNEYPPGVGLSPHIDTHSAFEGLIFSLSLAGPCIMEFRRYPEGTWHKFPSSIDLKMENSVNDSNYLRKAIYLPPRSMLLLSGEARYVWHHYIPHHKIDMVKDSSIRRGRRRVSFTFRKVRTDPCQCKFPHYCDSQR >KGN54645 pep chromosome:ASM407v2:4:15560183:15562641:-1 gene:Csa_4G415930 transcript:KGN54645 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucosyltransferase MNSENHVMLSNKVVKNSNDLPIVVVMVPLPAQGHLNQLLHLSHLISTFHIPVHFLGTPTHNRQVQLRRVHDNNSHPLIQFHDFDIPPFPSPPPNPTASHRFPSHLIPSFIAAALHLQRPLAAFLRTLSSKVRRLVVIHDSLMSSALQDVNAIPNTESYCFHSVSAFTVAHSLERKELFVNDGYKDGEITTPTYQQYFPKELNVVSMEQCFPPEFLEFIGSQFRHLPKMGAGKIYNTCRVIEGEFLEVIQRIEPEFRHWALGPFNPLKISKNGGNNKQSSCSHSCMAWLDQQEPRSVIYISFGTTTAMTDEQIKEIAIGLARSDQKFIWVLRDADKGDVFDVNEIRKSNLPEGYSNLIGNQGLVIRDWAPQLEILSHWATGGFMTHCGWNSCMESITTGVPVIAWPMHSDQPRNTVLMTMVLCVGVALKEWQQELVIADAVEEVVRKLMASEEGAEVRRNAERLGNVVRQSLEEGGESRQEFEAFIAHITR >KGN54844 pep chromosome:ASM407v2:4:18160567:18161447:1 gene:Csa_4G539600 transcript:KGN54844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSFRLPKHPSIPHCSISVFQPSHHFRSNTSTDSLNPHGNTLILFSNLLHPRQQQSIHFLVNQFLCFFNLHSSVFQIICYKILSFALQFCAIPPSFKIEANIANMDDLIIGEQGFQETPPPPRTTGVPEFAIERLMTQKFDGFNKEERRRNWRLQCLL >KGN55516 pep chromosome:ASM407v2:4:23071897:23082842:1 gene:Csa_4G664240 transcript:KGN55516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQFDLFFRRADLDGDGRISGAEAVSFFQGSNLPKNVLAQIWMHADQRKTGFLGRPEFYNALRLVTVAQSKRELTPEIVKAALYGPAAAKIPPPKIDLQALSAPQSTSVPAASPPQMSIPAPTGSQNFGFRGQGVPNVGANQQYVSAQPNPSMRLPQATPGGVASNMQLVVSSEPSGGGNLLGSNLSNPNDWLNGRPGGVPAAGPRGVSPSLPSPATSLSPALMTSQPMPNDRAPAVTGNGFASKSAFGADMFSVTPSPPRPESSGFNNAANSSIGPSAIVPVSSVSQPLSKSTSLESLQSAFVSRPLAGSQFQLSQSAPEPNKEVRATGPSPLISSGITTGARNSTSENAQFTWPKMKPTDVQKYTKVFMEVDTDRDGRITGDQARNLFLSWRLPREVLKQVWDLSDQDNDSMLSLKEFCFALYLMERYREGRPLPAALPNNVMFDETLLSMTGQSNVVHPNAAWSPRPGFGQQQPQVTARSMAPTAGLRPPTNIPASKADGAKLSNEQKSRAPVLEDSFLDQSEKAQDAAASEKKVGETANVILDSKEKIEYYRTMMQELVLHKSRCDNRLNEITERASADKREAESLGKKYEEKYKQVAEIASKLTIEEAKFRDVQERKTELHQAIIRMEQGGSADGILQVRADRIQSDIEELIKALTERCKKHGFDVKSAAIIELPVGWQPGIPDNAAIWDEEWDKFEDEGFSNDLNLDPKGVSASKPKMSDSEKDLADYNSTPDSSSNANGKTGHSFSNINRGLENESLYSHSEDGSARSPYGSPAAKTPLESPSHDFSDAGFEKSPEAYGSFNDSAWGTFDNNDDVDSVWGIKPVNTKEPDSEKHRDFFGSSDFDTSSVRTGSPNADSFFQRKSPFFEDSVPPTPLSRFGNSSPRYSDVGDHYFDNSSRFDSFSMQDGSFSPQREKFSRFDSISSSRDFGNNQEKFSRFDSISSSRDFGNNQEKFSRFDSISSSRDFGHNQDKFSRFDSMSSSSMDFGQNSQRHARFDSIGSSKDFGHGTFSFDDADPFGTSGPFKVSSESHSPKKSSDNWRAF >KGN53915 pep chromosome:ASM407v2:4:9267967:9279075:-1 gene:Csa_4G188670 transcript:KGN53915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAILKSYFGFSAFRPYQKEVIQGILRGKDCLVVKGTGSGKSLCYQLPPLVVGKTGIVVSPLISLMQDQVMALKQRGIKSEYLGSTQTDYTVQHKAERGQYNILFMTPEKACSVSTSFWSKLKTAGICLFAVDEAHCISEWGHDFRVEYKQLDKLRDVLPGLPFVALTATATEKVRNDIINSLKMKDPQVTIGSFDRTNLFYGVKSFDRGPLFMNKLVLDISKYVASGGSTIIYCTTIKDVEQISKALEEAGISAGIYHGLMDKTSRAESHRLFIRDEVQVMVATVAFGMGIDKPNVRQVIHYGCPKSLESYYQESGRGGRDGIASVCWLYYTRSDFAKADFYCGESLTENQRTAIMESLMAAQQYCSIATCRRNFLLSYFGEKSQSEKCGLSFTWYAICDAQIVKRIALTRPSTRYDSVMVQDQLKMHGDLILEAVKRLSEEVRLSLGGKYREGNGQGTTTRKLYTELNQRRPLALAKFEAWKMWHEDGLSIQRIAVSIFFFQLFEVFEKKRLMKTNIATWQFVSLFTH >KGN55161 pep chromosome:ASM407v2:4:20977234:20978047:-1 gene:Csa_4G638510 transcript:KGN55161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIHNFSSSTSTSESSSSDSSLSGKPPPSSSPIPDKIKGPWSAEEDRILTRLVERYGPRNWSLISRYVKGRSGKSCRLRWCNQLCPGVEHRPFSPAEDDAIVAAHSRYGNRWATIARLLPGRTDNAVKNHWNSTLKRRVRDDRRNSSSNHTSDVVGGGGSFLAVDDDPLTALTLATPGNVGGGGGEVVRESDRRSENLPAGFWEVMKDVVAREVREYMTTTFSENRGFG >KGN53926 pep chromosome:ASM407v2:4:9374806:9375531:-1 gene:Csa_4G189000 transcript:KGN53926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKETKSTLLKLTKEQVEKLRMRANSSNPTNQNIKKSVDVNKVLLQPSPYSRYESITGHIWVCASKARNIDNDKSNLSTMVQIVVNVRQRLRKPIPKNFCGNAALISITPQCEFGELMSQPLSYAAKKIREASWKMTDEYVTSAIDFLTTEEDICWTRTSSSSSSSIVRVKGTSLSNLNLSTVSWLSMSIYDADFGRGHPNYVGPSLLAYDGKVFIMPGSNNNGSIIIAIQLQMKHMEYFKE >KGN54541 pep chromosome:ASM407v2:4:14541664:14544433:1 gene:Csa_4G360270 transcript:KGN54541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKGCLNEELYPVGSVSGTSNKLTRGCLTAHNSQEKVNGNLEAVPSSFLADSKFTVVAGPGFSDMDVEDGCLWDTNGHIFYSPLPCCVPLPGFENIRFCVSQYDDKDRVLLRNLCFVLGAKFVEKLTKKVTHLICKFTDGTKYEAACKWGKQCITAEWIYECVSQIFPPDCSLVGQDHSETSPIGALSNQWSKR >KGN54682 pep chromosome:ASM407v2:4:15908974:15912174:1 gene:Csa_4G420720 transcript:KGN54682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSSPSSIDALPDGLKDKVSTVDPFLIEALHNPRHRLTILRMELDIQRFLQNQDQQLFEFQHFPTSYLRLAAHRVAQHYGLQTMVQDNGIDGQGRILVKKTVEARFPTICLSQIPAKQLADDKPGHVKIAIRPRPNKLSDEAGNCMAKQSHARTVEQRKEEYDKARARIFSSQGSIGPVEVLPHTVIEGLGIPPNRDRVEDCRVNDVEKYTSVTDAGNGASSRVAILRDREKDLSDPDYDRSYERYVKSLPINLTPSLNFAPFTVQNITPSFTGYHVPRNEVSLGYVSPSPIKTVGLNQVSRPSANVPWPCAGMPYPHTAFQAPLYQQSLSFDYLQYN >KGN53941 pep chromosome:ASM407v2:4:9489083:9489424:-1 gene:Csa_4G192130 transcript:KGN53941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPPHLFSIFHPFSSPIINPNLNFTHTFPLPLRPQTLHFISNSSSSPPPPPSPPPPDPIPEKRSIVVATGELVVATGELFLGMPVRLIKHSSDQTSNFVSMFDNRSGNVYMYV >KGN53053 pep chromosome:ASM407v2:4:1894716:1896427:-1 gene:Csa_4G012490 transcript:KGN53053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVVGSLSTKDNVKFNPQVREEKLGTTIKDREEEKIIEEDEENKLKGEREIMDLGPLFSLKEQLEKDKDDESLRKWKEQLLGSVDLSAIGESKEAEVKILSLTIQCPGRQDLVLPLPFTKTSKTSSCLFALKEGSRYRLLFSFVVAGNIVSGLKYTNTVWKTGVRVDYSKKMLGTFSPQKEPYVYEMEEETTPSGMFARGSYSARTKFVDDDGKCYLDVSYHFEIQKKWPTTPSTSS >KGN53512 pep chromosome:ASM407v2:4:5074261:5076955:1 gene:Csa_4G063460 transcript:KGN53512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METMATTAKTKMMKLVWLIVGSVMVIVISQRFWMPSLEFSHLWGSVGFTNNNPRNNDKFINGLLPPGFDQKSCLSRYQLNLNRKPSPHKPSFPLLSKLRRYEALHRRCGPNSPSFRQALLRLSSPTNSTTPSDHDCKYIVILTRDGLGNRILAILSAFLYALISNRVILIHPRNTLEDLFCEPFLESSWLLPSDFPLQNKFGSFRQSFPESFGNILRNGMRKKTLEDSRPPPYLYLHLSHDADDYDKLFYCDRQQNLLKKVPWLIMETNNYLVPGIFLVSSFRQELNELFPAKDTVFHHLGRYLFHPTNDVWGLILRSYKPYVARANEMVGIQVRIFGSESGSIQDQMNQILACTQKKKLLPETEPSSPEKTNFSTSQKVKVVLVTSLNSQYSETLKEMYWEHPTVDGEVVAVYQPSHEGVQSSDNRIHNRKALAEMYLLSLSDVLVTSDWSTFGYVAQGLAGVKPWMLYKAEKKVFWALQQWLKYKTRNETGEDWPCREAVSMEPCLQVPPVCDCDEMKRMDGGNVLPYVIHCEDASWGLKLG >KGN52877 pep chromosome:ASM407v2:4:804392:806938:-1 gene:Csa_4G004880 transcript:KGN52877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAIHRFSFRLFPISLAERCRKPSLLPPNFRKLIHRPISSSPNIPKFIPVPKTYSSSSTSIAKAGWFLGLGEQKKMSLPSIVKAGDPVLHEPAREVDPKEIGSEKVQKIIDDMILTMRKAPGVGLAAPQIGIPLRIIVLEDTKEYISYAPKEEIKAQDRRSFDLLVIINPKLKSKSNKTALFFEGCLSVDGFRAVVERYLDVEVAGFDRDGNPIKVDASGWQARILQHECDHLDGTLYVDKMVPRTFRTTENLTLPLAEGCPKLGAR >KGN54303 pep chromosome:ASM407v2:4:12229602:12232857:-1 gene:Csa_4G297530 transcript:KGN54303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMEARVGVVVEGGQQPLNSAHAHDGGARKYFHQQGQNKPSLNQHQQPQIGTLQQLLAGGIAGAFSKTCTAPLARLTILFQVQGMHSDIAAMKKASIWREASRIINEEGFRAFWKGNLVTIVHRLPYSSVNFYAYEQYKKFLHSFVRERYQANASADLLVHFFGGGLAGITSASVTYPLDLVRTRLAAQTNTIYYRGIGHAFHTICREEGFLGMYKGLGATLLGVGPSIAISFSVYESLRSFWQSRRPNDSPVMVSLACGSLSGIASSTVTFPLDLVRRRKQLEGAAGQARIYNTGLYGTFKHIVKTEGFKGLYRGILPEYYKVVPSVGIVFMTYETLKTVLSQISSHS >KGN55561 pep chromosome:ASM407v2:4:23260271:23282496:-1 gene:Csa_4G665650 transcript:KGN55561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKETEYYDVLGVSPAATEAEIKKAYYIKARQVHPDKNPSDPLAAQKFQVLGEAYQVLSDPAQRQAYDAHGKSGISTDAIIDPAAIFAMLFGSELFEEYIGELAMASMASLDIFTEGEQFDAKRLQEKMRIVQKEREEKLSEILKGRLNQYVQGNKDDFVNHAEAEVARLSNAAYGVDMLNTIGYIYARQAAKELGKKAIYLGVPFVAEWFRNKGHFIKSQVTAATGAIALIQLQEDMKKQLSAEGNYTEEELEEYVQSHKKLMIDSLWKLNVADIEATLSRVCQQVLQDNNVKKEELRARAKGLKTLGKIFQRVKSTNGNEGEPAVKGSVDKLNGSESSHDASPISTLKSSGYEETSFATQSPYVEAPNFAGAHLNNYFPRPTPPPGAQRHPSSSRD >KGN52807 pep chromosome:ASM407v2:4:408134:409922:-1 gene:Csa_4G001740 transcript:KGN52807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSLFSTLPDDILLNIFFKLEDDPRNWARLACVSTKFSCTIRNICWKTKCSNAIPSLVSDLLVGDSIPAGGWASLHKLAVCCPGLVHSGVLLENSDFGLERELGPDENYMKLGSSQYSQPESNPSSTALFEVNSDLSASASASASASDCAWSLYDDLYLDTMYNDSEAPDVLDPQIGSTKVEKGVFMTDREFCVSKRRKICRSMRSHLASGVWNLSREQGNKLLRSRFRGDSLYICDWPGCVHIEEKRNYMLFRGIFKNFKGSHVWRTIKDGNRNKIDLNCAFCSCKETWDLHSAFCLRRVFGYHDDGEPVVRAYVCENGHVSGAWTDLPLYT >KGN55491 pep chromosome:ASM407v2:4:22940579:22944951:-1 gene:Csa_4G658510 transcript:KGN55491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFQRRRNHYYHRFRFLIPFISAISAGLLLFFALLSFLAPSPNDSHHHRLIPPVQFNAASDVAIGVSHFRVPRNGARSGRDLWTSRNAKFYSGCSNASNKFLKANAITHPNRYLLIATSGGLNQQRTGITDAVVAARILNATLVVPKLDQKSFWRDSSNFSEIFDVDWFVSFLSKDVKIIHQLPKRGGKTWNTHSMRVPRKCSERCYQNRVLPVLLKRHAIQLSKFDYRLANKLETDLQKLRCRVNYHALKFTDPIQKMGEKLVNRMRAKSNHYIALHLRYEPDMLAFSGCYYGGGEKERRELGAIRRRWKTLHQVNNPDKERRHGKCPLTPEEVGLMLRALGYGQDVHIYVASGEVYGGEETLAPLKALFPNFHSKETIASKAELDKFSSYSSRMAALDFIVCDESDVFVTNNNGNMARILAGRRRYFGHKPTIRPNAKKLYRLFLNRPNMTWGAFSSRVRTYQRGFMGEPNEVRPGRGEFHENPSPCICEVAKPHVKSDSGPRKYGKSDLTSRRDEPSTVDDQNNDYEPEWPDSEEEEDQVDFQDKGPLNATNLEYDAINSEEPELEEMLSD >KGN55000 pep chromosome:ASM407v2:4:19855450:19858521:1 gene:Csa_4G620630 transcript:KGN55000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRYDSRTTIFSPEGRLYQVEYAMEAIGNAGSAIGILSKDGVVLVGEKKVTSKLLQTSTSTEKMYKLDDHVACAVAGIMSDANILINTARVQAMRYTYAYQEPMPVEQLVQSLCDTKQGYTQFGGLRPFGVSFLFAGWDKNYGFQLYMSDPSGNYGGLKAAAIGANNQAAQSMLKQDYKDDISREEAIQLALKVLSKTMDSTSLTSEKLELAEVFLSPSGSVKYQVCSPESVSKLLVKSGLTQPAAEAS >KGN55107 pep chromosome:ASM407v2:4:20574094:20574404:1 gene:Csa_4G630020 transcript:KGN55107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVGWNPSHTAVMLAVQDPEMKANDNDPRSFTSTDKKWMGAPLKPAFLLDLR >KGN55553 pep chromosome:ASM407v2:4:23219280:23221313:1 gene:Csa_4G665090 transcript:KGN55553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIFFLISVFIPSSLRSAQSKMLHLLLPFIVLVSSCAAAVDTKPGCPSNCGNVTVPYPFGIGFGCYMATGFDITCNSTYDPPLPFLGTSNLQVEEISEANLRIRNFVSFNCYTQTGALTKSSASSINLGHLPMFFSTANKFTVIGCDTMALITGSEGLFYTSGCVSLCSSKETVINGSCSGIGCCQTDVPRGLKRFQSMIGNLNNHTKTWQYNPCSYAFLVDRDRYTFQVSDLADPNVISTIKSLPVVLDWVVGNRTCEEARKELSTYVCQANSECYDSESESGYQCRCSRGFSGNPYLSSGCQDIDECAGPNNPCEGICVNTPGSYYCSCPHGSYGDGKKEGKGCINKTKQFPLIQLTVG >KGN53892 pep chromosome:ASM407v2:4:9047876:9049237:1 gene:Csa_4G182230 transcript:KGN53892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFLVNHTKQEMHNVFIKKLYRENLFEEMLQEPDEVAMKRKHTRETLRVLQQAFRTLDELPLEAESVERGDDPTGLPRMHGMPTSSVYSTISSNDSFSPSPKNPKPRKSSYSGELQVPLYGNSDSNGNSRSFMPSLYPKLDL >KGN53549 pep chromosome:ASM407v2:4:5425504:5438253:1 gene:Csa_4G081270 transcript:KGN53549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIFKSQGGFAVTPHKVSVCILLQIYAPPAQISVPFPFSSVTQHNRLGLFLLALTKSCDDILEPKLEELINQLREVGGLLDHWLIDHLTSRLSSLASPDDLFNFFSEMRGILGGPDSGVVEDDQIILDPNSNLGMFLRRCVLAFNVLSFEGVCHLLTNIGMYCKETLSSCPYGASELDDTRNDLETLPEYESMDLENLVFEKVSEEIEARKRTAQSIPFHFHVPEALSGLVEDVDVPSFPKCKSTSKAKEGYSYSNSLSNTSRDIDPSGSAFLRTNWQMQGYLDAQAEKIEKFGSLFSLNAFELVLKQLQKMAPELHRVHFLRYLNTLYHDDYFSALENVHRYFDYSAGTEGFDFIPPGSGCNSFGRYEIALLCLGMMHVHFGHPKQALEVLTEAVHVSQQQSNDTCLAYTLAAIGNLLSESGFSRTSGILGSSYSPLLSMGISLSVQQQLFVLLTESLRRAESLKLKRLVASNHLAMAKFHLMHVQRPLLSFGPRASAKLRTSPISVCKELRLSTHLICQYGTESSTKTTDGSFSTAWLTNLQKPAGSHVLCRDNESGNNSSELPFFAQPTSIPGSVLQLLGSSYLLRATAFEIYGSAPLARINAILYATCFADTSSSSDASLAYVKLIQHLAIFKGYKEAFSALKIAEERFLSLSKSRILLLKLQLVHEHALHRGCLKLAQQACNELGVLASSVTSVDLDLKTEASFRHARTLLAANQFSEAARVAHSLFCLCYKYNLQVQNASVLLLLAEIHKKSGNAVVGLPYALASLSFCQSFNLDLLKASATLTIAELWLSLGPSHSKRALNLLHGAFPMILGHGGLELRARAFIVEAKCYLSSPTFSVSEDPEVVLDPLKQASEELQLLEYHEMAAEAFYLMAMVYNKLGRLEEREEAADSFKKHIVALENHEEGESSLLNIS >KGN53088 pep chromosome:ASM407v2:4:2062192:2065706:1 gene:Csa_4G015780 transcript:KGN53088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLFLLVDLVVSFLKKMCGWRGGLFVELVVFADMCLLVSGLGSMSPLAVSYGEKGPVFCGLKSDGSHLVNCFGSNSAITYGTPSHFPFIGLTAGDGFVCGLLLDSNQPYCWGSSGYVQMGVPQPMIKGAQYLEISAGDYHLCGLRTPLTGRRRNMSFVDCWGYNMTRTFAFDGPIESISAGSEFNCGLFSLNRTVFCWGDETSSRVISLIPKDMRFQKIASGGYHVCGILEGANSRAFCWGRSLDIEEEISVAYSGEGNVELVPVDPLASVVGGKFHACGIKSSDRGVICWGFTVKPSTPPPDGIKVYDIAAGDYFTCGILAEKSLLPVCWGLGYPTSLPLAVSPGICKATPCPPGFYEISQDKARCKSPNFHVCMPCSSACPPDMYLKVECSLKSDRQCEYNCSTCFSSECLSNCSSMLSNGMMGRKNGKYWPVQQLPVLVAEIAFAVFLVAIVSLTAILYVRYKLRNCHCSGKELKSKKNKGTASSFQKESYKIRPDLDELKIRRAQMFTYEELERATCGFKEESIVGKGSFSCVFRGVLKDGTVVAVKRAIMSPNMQKNSKEFHTELDLLSRLNHAHLLNLLGYCEEGGERLLVYEFMAHGSLHQHLHGKNTALKEQLDWIRRVTIAVQAARGIEYLHGYACPPVIHRDIKSSNILIDEEHNARVADFGLSLLGPTDSSSPLAELPAGTLGYLDPEYYRLHYLTTKSDVYSFGVLLLEILSGRKAIDMQYEEGNIVEWAVPLIRSGDISAILDPILKPPSDAEALKRIANVACKCVRMRAKERPSMDKVTTALERALAQLMGSPCNEQPILPTEVVLGSSRLHKKSSQRSSNRSVSETDIAEAEDQRFEFRAPSWITFPSVTSSQRRKSSVSEADVDGKNLEGKNVGNCGGVGDGLKSLEEEIGPASPQEKLFLEHNF >KGN54963 pep chromosome:ASM407v2:4:19606712:19611393:1 gene:Csa_4G617310 transcript:KGN54963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDAVARFQRTEESTSVSSDHPEKHDEEEKGIETSLLPKFKRANLSSLQIPARALESSSYLLSSDSPLTSSSSSRGGLPPRPNSVKTKSSVRSFGAKRSFPGGDIITPILPEIQPTNRCPDNRTPPRSFSLSKLLLASSTKAAHSLPTTPISNSDIDILKANNIECHPDFSKIKAKPQIARSLSAPLNVKPIALRRLDSVGLIRIVSADPRYAGASLSQRKEIESEPAGDDIPEDEAVCRICFLELVEGGDTLKMECSCKGDLALAHKECAIKWFSIKGNKICDICKQDVENLPVTLLKLHSIRPGIRRPTITLQRTEVNHYRVWQHISVLVLVSMLAYFCFLEQLLVRMYSAYL >KGN54022 pep chromosome:ASM407v2:4:10585536:10586002:1 gene:Csa_4G268060 transcript:KGN54022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLTSYFLYAENPERGVRRHNNRFGHQIRKGKWSEGMKKGKSEVKWKPKSRNSFQNGEPNHFIVLSVAHLSADASQSIARFPDPLTSHQLLYLLFAFPLQQLSRLALSLWTLFCVPPPGSFYYYYYSSDSDLSSYDYASD >KGN54449 pep chromosome:ASM407v2:4:13512674:13513402:1 gene:Csa_4G333870 transcript:KGN54449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISSQTISPNTHHTPTKYVQGPPPPAFPAHTTLDNNMVILLAALLYALVGALVMNSILRCIWRRWWSAAAARVERQELEEIPVAVYEGEGRMKIRGTECAICLGEFESGEGLRIMPKCNHGFHVHCIDAWLVSHSSCPNCRHSLPVKTVADGGSGGVGEVRRAGNDGSDDIIIILIAAI >KGN52933 pep chromosome:ASM407v2:4:1108119:1110798:1 gene:Csa_4G006400 transcript:KGN52933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGDDSFSPNDDAFAANPNFHISIEEGSQNSGELVEEDGNNLENECEELFRIDDDDFDDDRDEKVLLDGLRNHGNDVNISDGNESFGDDISINADHEHDRDESPLIDCQIDLSGDKDYPSPVAGMEFESYDDAYNYYNCYAKELGFAIRVKSSWTKRNSKEKRGAVLCCNCEGFKTLKEVNSRRKETRTGCLAMIRLRLVDFNRWRVDEVKLEHNHSFDPERAQNSKSHKRMDTGTKRKVEPTIDVEVRTIKLYRGSGLDAIDHQGLNSNGESKIHVYKPRLLLLKKGDAQVIHTFFHRVQLTDPNFFYVMDLYEEGLLRNVFWINSRCRAAYNYFNDVVAFDTTCLSSNFEIPLFAFVGINHHGQSILLGCGLLADETLETYVWLLRAWLTCMSGRPPQTIISNRCKALQGAIAEVFPRAHHRLCLSYVMQSILENVGELQESETFYAVLSRTIYNYVKVEEFEMAWEDMIQHFGIKNNECIQSLYDERERWAPVFSKDTFFAGMYNCQKGDWIVPFFHGYVHQQTSLKEFFDIYELVLHKKQEMETHKDLESSDLSPLLKSRCLFELQLAKLYTMEIFSKFQDEIMMMSSCFSLSQVETNGGPIMTFMVKEREGEEIPRDGRAYEWFDHLYRRATQVVQEGMTSQDHYMVAWQALKESLNKVRLVPDRHV >KGN52920 pep chromosome:ASM407v2:4:1012741:1017442:-1 gene:Csa_4G006270 transcript:KGN52920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALHLNAKPFSLCSPSISLPKISPSSFRVKCSAGTSPSRRYNIALLPGDGIGPEVIAVAKDVLNFAASLEGIGFDYKEMPMGGAALDLTGVPLPDETLLAAKDSDAILFGAIGGYKWDDNPKHLKPETGLLQLRKGLGVFANLRPAIVFPQLVEASTLKREVAEGVDLMVVRELTGGIYFGQPRGFKTGENGEEIGFNTEVYAAQEIDRVARVAFNNARKRQGRVCSVDKANVLEASILWRKRVTAIAAEYPDIELSHMYVDNAAMQLIREPKQFDTILTNNIFGDILSDAASMITGSIGMLPSASLRESGPGLFEPIHGSAPDIAGQDKANPLATVLSAAMLLKYGLGEENAAKRIEAAVLDTLDKGFRTGDIYSAGCKRVGCKEMGEELLKSIESHKLATV >KGN53121 pep chromosome:ASM407v2:4:2263706:2268092:1 gene:Csa_4G017090 transcript:KGN53121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSLIKQAEIFFWLNHLDRALEFGVDGDSEVVGNSTLVEDSKPLDENETGVSSIERIFDQDQDEKIIQDSTGRNAILDSVPGLPIVQADEPYVGQEFENEAAAHAFYNSYATRVGFVIRVSKLSRSRRDGTAIGRALVCNKEGYRMPDKREKIVRQRAETRVGCRAMILVRKVNSGKWVVTKFVKEHTHPLSPGKARRDCIYDQYPNEHDKIRELSQQLAIEKKRSATYKRHLEVIFEYIEEHNDSLSRKIQHIVDNVKELESVEQQNHR >KGN54329 pep chromosome:ASM407v2:4:12399845:12400651:-1 gene:Csa_4G304750 transcript:KGN54329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMGEEVELFGGWMSPFSRRVELGLKLKGIDYKYHEEDLKNKSDFLLTYNPIYKKVPVFLHNGNPISESLIILEYIDQVWNSLYPFFPQQNPYETAQARFWANYIDDKKELQNKKFFGGNKIGIVDIVGTVIAYWIPAIEEAFGFELLTTKKFPKLTKWSEEIVNNSVVKQVLPPKSKLVAYFELQVVSITN >KGN52923 pep chromosome:ASM407v2:4:1027942:1032176:1 gene:Csa_4G006300 transcript:KGN52923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKLVLKGGTRPPWVGLAAAVWVQIAGGSSYNFSLYSHLLKSVLGLNQQQLTVLGVANDIGESMGLIPGLACNKFPPWVILLFGAFCCFIGYGAIWLAVSRTVPNLPYWLLWLTHCVATNSNAWFGTAVLVTNMRNFPLSRGTVAGILKGYVGLSAAVYTVIYSLVLRKSALNLLLFLAIGIPILCLAMMYFVRPCTPASSEDPSERAHFLFTQAACVLLGLFLVSTTILDATTTPSDAVGYTLVAIMVILLMSPLAVPIKMTICARTKTLGPRVDSSEPLASGESDSSQIEPLLTPSSSATNLGSFYENDDASDVETLLAVGEGAIHKKKRRPKRGEDFKLREAVIKADFWLLWFLYFLGVGPGITVLNNLSQIGISLGINDATLLLALFSFCNFVGRLGSGVISEHFVRSRMIPRSLWMMFALVLMSIAFLLYASALTITLYIATGLTGISYGVLYSMMVPLASEIFGLKNFGVIFNFMQLGNPIGAVLFSVLLTSTLYDIEAAKQGSITCIGQQCFRTTFFILSGVAGLGSIVSLILTIRLRPVYQMLYAGGSFRLPQSSGH >KGN53640 pep chromosome:ASM407v2:4:6192645:6194269:1 gene:Csa_4G094520 transcript:KGN53640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPADSSREENVYMAKLAEQAERYEEMVEFMEKVAKTVDVEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEDHVSIIKDYRGKIETELSKICDGILSLLESHLIPSASSAESKVFYLKMKGDYHRYLAEFKTGAERKEAAESTLLAYKSAQDIALAELAPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAISELDTLGEESYKDSTLIMQLLRDNLTLWTSDIGDEAGDEIKEASKRESGEGHGQ >KGN53600 pep chromosome:ASM407v2:4:5774542:5779377:-1 gene:Csa_4G088730 transcript:KGN53600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLANCFWTIFKQRKVKRVTSNGEQVHVKTGKSNGFPHGKSEDLAATRIQNAFRTFTARKDIHNSKVPERCQDLVQGETATKQVSSFIHSWSRMQQEIRARRLCMVTEYRVKQKKLENQLKLEAKIHELEAEWSGGSETKEEILFKIQQREEAAVRRERAMAYAFSHQWRANSILDLSPASYSLDKENWGWSWKERWIAARPWEIRANTHPTIPKKVQMKQTSKVNKVTYQSGLKVSNLAGHSSLNNKASSKAKNSAISNC >KGN53077 pep chromosome:ASM407v2:4:2010388:2011303:-1 gene:Csa_4G015180 transcript:KGN53077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMMKFLIVFVVLIAFTSHLCESFELEGKDFESERSLMQLYKRWSSHHRISRNAHEMHKRFKIFQDNAKHVFRVNHMGKSLKLRLNQFADLSDDEFSMMYGSNITHYNGLHANRVGEFMYERAMNIPSSIDWRQKGAVNAIKNQGHCGSCWAFAAVAAVESIHQIRTNELVSLSEQAVVDCDYKVGGCRGGNYVCLVPIDDDGL >KGN54162 pep chromosome:ASM407v2:4:11310367:11310645:-1 gene:Csa_4G290780 transcript:KGN54162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKESKVSIVGKIADEIRICEVPALKALRLTQTARSRIEKAGWECLSFDQLALRAHLGQNTFLLEVLRTPKRHESILVQHLVCYTAIPSHM >KGN54233 pep chromosome:ASM407v2:4:11770368:11776056:-1 gene:Csa_4G294410 transcript:KGN54233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMNVNITSIKTASNGIWQGDNPLHFAFPLLILQSVLILVLTRFLALLLKPLRQPKVIAEIVGGILLGPSAFGRNKTYLNHIFPSWSTPILESVASIGLLFFLFLVGLELDLSSIRRSGKRAFGIALAGISVPFFSGIGVAFVLRKTVDGADKVGYGQFIVFMGVALSITAFPVLARILAELKLLTTQVGETAMAAAAFNDVAAWILLALAVALAGNGGEGGSEKSPLVSVWVLLSGAGFVVFMMVVTRPGMKWVARRCTYEHDAVDEAYICLTLVGVLVSGFVTDLIGIHSIFGGFIFGLTIPKGGRFAERLIERIEDFVSGLLLPLYFASSGLKTDVAKIKGGKAWGLLALVISTACAGKILATFVAAMMFMIPTREALALGVLMNTKGLVELIVLNIGKEKKVLNDEVFAILVLMALFTTFITTPTVMAVYKPARGGSTPPTHRKLRDLSANDSPVNDELRILACVHSSGNVPSLITLTESTRSTRNSSLKLFVMHLVELTERSSSIMMVQRARKNGFPFFARFRKASEWRDQMAAAFQAYSQLGRVKVRPTTAVSSLTTMHEDICHVADDKRVTMIILPFHRNWRAFGGGDGAEEEVEENVGHGWRVVNQRVLKNAPCSVAVLVDRGFGAGVAQTPGPGSMICVGQRICVLFFGGPDDREALELGGRMAEHPAVKVTVVRFRPSSGDVTEGSNVILRPMHSKSNDNHYSFITTPINREKEKEVDEAALAEFKSKWEATVEYKEKEVSSTNMIVEGVVALGKEDSYDLIVVGKGRVPSSLVMKLADRPAEHAELGPVGDILASSGKGITSSILIVQQHGGSGHVEEAPVLKIAQSNKNELPMSTDGTSIDHV >KGN53943 pep chromosome:ASM407v2:4:9511286:9514585:1 gene:Csa_4G192150 transcript:KGN53943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPSKLLQPPPTIPYTRLSTSPRPFFCHCLHPSTPSSRGGGRAFPLPGVASLPYQPINVDYLEEEFNGQGHGVTFEGIGDECLAKLRLENGGSAILMFPSGLITSYKSPMWHGGSLELLHSSVSEDKNGDVVVQGGVSVALDYGTHRLEDVSWDLHNVEGNPRESIQIELISRALEGMVELKYTVTLGEDVLTSELTVCNNNQTSLELKGYILSHMTLSTPEATFAIGLEGSNFHSVPPFSSNHAIIPPDCSSGLTQRLKGIVSGWGKSRERESGEEIEGEEMDNYKQLMDQMSRIYTNAPRNFTIIDRGRRNSVVVGREGFDEVYMLSPGSNHVYYGEYSYVCIGHSAMLKPILLQPQQLWRGSQYLYNPNL >KGN55337 pep chromosome:ASM407v2:4:21953839:21957889:-1 gene:Csa_4G646110 transcript:KGN55337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWRHLITRVSRNNLEFGQLKNALINTYLPLNQGGVGSGGVYKFLAAQKRYQSSYVGNLARRVRDADEATEVAHLKELFRRNDPEAVIKLFETQPSLHHNATALSEYVKALVKVDRLDESELLKTLQRGISSASRGDESVGSIAAFRNVGKQSKEGVLGTSSSPIHMVATEGGHFKEQLWRTIRTIALAFLLISGVGALIEDRGISKGLGLNEEVQPSMESNTKFNDVKGVDEAKAELEEIVHYLRDPKRFTRLGGKLPKGVLLVGPPGTGKTMLARAIAGEAGVPFFSCSGSEFEEMFVGVGARRVRDLFSAAKKRSPCIIFIDEIDAIGGSRNPKDQQYMKMTLNQLLVELDGFKQNEGIIVIAATNFPESLDKALVRPGRFDRHIVVPNPDVEGRRQILESHMSKILKADDVDMMIIARGTPGFSGADLANLVNIAALKAAMDGAKAVSMDDLEFAKDKIMMGSERKSAVISDESRKLTAFHEGGHALVAIHTDGALPVHKATIVPRGMALGMVAQLPDKDETSVSRKQMLARLDVCMGGRVAEELIFGENEVTSGASSDLQQATSLARAMVTKYGMSKEVGLVAHNYDDNGKSMSTETRLLIEKEVKNFLEAAYTNAKTILTTHNKELHALANALLEQETLSGSQIMALLAQVNSQQQQQQQHQQLVSTQSSSQSKPVPPSAPNPAASAAAAAAAAAATAAAKAKGIAPVGS >KGN55267 pep chromosome:ASM407v2:4:21550867:21559922:1 gene:Csa_4G642480 transcript:KGN55267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAEQPLKKRRNYGPAAPEPSPPLPQLPQPPPPQIPATDQTSIAPSPPTPPQLSQAEILLRRRNRDEIRSVYECFKRIRFFLSQKEKGAPTPDIEQAYLSLITASRGCTSVKRIVADFIPRYAPHCPTALEAATKVIINMHNQSLGIISNGEDVDNVAFETARACIIGLVDICAAVMSKASTSSVIRGICFEVFQNVFTFFVSSFEGKDIFQIVDKEALRLQDSADVFTELKQKYTDENILPVIKLSKLRAISLLWLFFHYPKNLAAACFEFFNMAAEGIHKDGQYFLNQIVLGLDVDITHHLDKRSENQTSPKYCKDDAKEQVSVSSHFSGDASSVSRNCMLSLVMGKDQSFRNWMVTQYKRLRDLPSFRALADIASSLEGIFESFSELMNNEDTQVNIDEEMSDSLKHSTRNRGEISIELSDKRRKLRHCDSLEDGFNNKVTGQHFSSIPIDCKHTTCSDFDTGSLRSMAFDVQEPGGLLHGSLPQSLDPLSKHDHLSYAKTSLDLQHNSFECTKHSIDGNQVSGVDHNFPAQRLSSGDINNDLVPPRHQLSVPCSSTTCQSSWFSDGDSSAMDIFSASKQLWVGLLGPEVSEGHIRYQFERFGYIGHFFFFPLKRFAVVEYGHIIDAIRAREYMRGQFQWCVKFMDIGLGTRGSTHGVAIGSSSHVYVGNVLSYWVKDEILHETRKVLNKGPYMVSDLGNEGALLMEFETPEEAAVVMAHLRQHRREKNIHWTPPNAGQMNIAPPYLDGGRSACAPGGGNMRSNNPGNMPSSMVGSPHAPMVPESPNFRTRMSELSSLLYTLRAKYNINQNSSYFENYISGSCNTSMREEDRTPTSTLWVSFPNFNSPFVTDEELMRICNLAISNTGSVVRMTRASVQVGCGWFVECSSVDAAITVLKNLRSCPGIFLRIEFSSPGRFHATPFLRNHESCAMELPSPRILHENHAIPQQGGYSYQSSWAPSGHTEMLEIGVGKTDACEKNVLIDHPQGGHMVSGTIPCLPISTMGPPAPPPPPQMQPPPFVRSPYPPPNSSWDARGLNHPLPLNPISPNVIPNSYPGNSVACPPFLPASVTPLSQIQGTPMQHLDHVFPHSVAPPSISSLPPSQPEMPPPIPPSPPPLPHSQPPNIPPPPSSPPPPPPPLSATGASEVENCSQHVQCQWKGALCKSGVQYCSIYAQRVDSQTCKYLNAGPEPIEWPAKLDMTKRTDFKHVKSTFTSTSPSKREICQLTPSSVGDHKGFQDFVSYLKQRDCAGVIKIPVTKSLWTRLLFILPYSQDSCSLLSIPPGPPDSLIALVLPKETNFEWV >KGN54095 pep chromosome:ASM407v2:4:10980832:10984036:-1 gene:Csa_4G285710 transcript:KGN54095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTIIEFREKGMYQQPKSREEHASSAASFCKEVTELRLTSIDSQPATSRPEFKGVTFRKASTGGFARSRNDVHELLDCTVCMNLMHPPIYQCANGHTLCSSCKARVQNCCPTCRHELGNIRCLALEKVAESLELPCVYQNLGCIDMFPYYSKLKHEKNCKYRPYNCPYAGGECHVTGDIPSLVMHLKDDHEVDMHDGCSFSHRYVKSNPQEVENATWMLTVFNCFGRQFCLHFEAFRLGTAPVYMAFLRFMGDDSEAKQFSYSLEVGGNGRKLIWQGIPRSIRDSHRKVRDSHDGLIIQRKLALFCSGNEGMELKLKVTGRIWRVR >KGN53450 pep chromosome:ASM407v2:4:4638036:4639098:1 gene:Csa_4G055440 transcript:KGN53450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFNSKFPKKPTVSPSHCNLCTTLFFVVLFTVPTLFLLHTSTISVCSLSASTTRLNSWFGDLRDAQFSWNRLAFDEDKPPPVVLKIAVFSRKWPIGTIPGGMERHAHTLHTALARRGHRVHVFTSPVSNYGVVQNLSSETSAPYIHFHEGEPGRWRYNKAWEQYEEENHREPFDVVHSESVALPHWLAKQLSNLAVSWHGIALESLQSDIFQDLARRPNEPMSPAFNKNIQGDVPKVLNEIRFFKDYVHHVAISDSCGEMLRDMYQIPSRRVHVIVNGVDEDDFREDFKLGKEFKARIGIPRNASLSNIQTSTWWSPEQDHGNNGTEI >KGN55210 pep chromosome:ASM407v2:4:21292490:21294008:-1 gene:Csa_4G640960 transcript:KGN55210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPNLQNIDYISECFIKPHSAPEQSKHPYYLSPWDLAMLSMHYIQKGLLYAKPLATLDDCSGFIDDLLRKLKHSLSIALVHFYPLAGRLVTTRYEDEASCLVYVDCNDSLGAKFIHARVDMTISDVLSPGDVPLIVESFFDHDRAVNHDGHSRPLLSIQVTELHDGVFIGCSINHSIVDGTSYWHFFNMWSEIFEAQDDNVSISRPPILQRWFPEGHGPILKLPFTHPDQFINRFEAPELSKKHFHFSSESVAVLKKRANTEYKTNKISSFQSLSALVWRSITRVRELPPDQTIGCIMAINNRSRLDPPLSENYFGNSIHTIKGVATVKELLENNLGWAAWKLHEAVVNHKDSIVRDFVKKWVESPSIYRIAGMFDPLSVMIGSSPRFNKYGNVFGMGKAVGIRSGYAHKFDGIVTCYPGHEGGGSIELEICLPLHFMAALEADGEFMDATTTS >KGN55207 pep chromosome:ASM407v2:4:21280341:21283117:1 gene:Csa_4G639940 transcript:KGN55207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPQNHYQQHYQSQHQQPHQSISFRNLYTPDGHVSQPISYFNSFTLQDHSQHPPYVPPCTAPGQDASDGVADLHWMYGIEPKKKRLKEQDLFENNSQISSIDFLQQRPVSTGLGLSLDNTRMASTGDSPLVSLVGDDIDRELQQQDEEIEKFLKVQGDRLRHSILEKIQANQLQTLSIVEEKIIKKLREKEAEVECINKKNIELEQRMEQLSVEAGAWQQRARYNENMITALKFNLQQVYAQSRDSKEGCGDSEVEDTASCCNGRTLDFQLLCSNSNDVKELMYCKACRVNEVCMLLFPCKHLCLCKDCESRLTFCPVCQSSKFVGMEVYM >KGN53668 pep chromosome:ASM407v2:4:6483187:6484884:1 gene:Csa_4G099220 transcript:KGN53668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLSRKATCNLHGQDSSYFSGWEEYEKNPYDEIQNPKGIIQMGLAENQLCFDVVEKWLEENPNSAGFKRDGKSIFRQLALFQDYNGLPAFKKALAEFMSEIRGNKVAFDPNHIVLTAGATSANETLMFCLAEPGDAFLLPTPYYPGFDRDLKWRTGIEIVPIHCSSSNGFKITESALLEAYQNALNCNLKVKGVLVTNPSNPLGTTISSHELNLLLQFIESKNLHLISDEIYSGTAFRNSGFVSAMEILNQTYKHNHQIQNQVHIVYSLSKDLGLPGFRVGALYSNDKVVVAAATKMSSFGLVSSQTQYLLSAMLSDKKFRRKYIRKNQKRLEKRQTMLVNGLEKAGIKCHKGNAGLFCWVDMRHLLGGNTFESEMELWRKILFEVRLNVSPGQSCHCSEPGWFRICFANMSEPTLDLAMQRLKAFVVNYSTTITVTSPQM >KGN53918 pep chromosome:ASM407v2:4:9317915:9321018:-1 gene:Csa_4G188920 transcript:KGN53918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALEGGGGGTLSEIYQSAKRLLLRTRDGLEKLERLEYSAASGMDSPELSFSIKKDITQIQSLCVEMDRLWRSIAAKSQRDLWKRKVEQVAEEADSMKQSLDKYFLRNQKRMTEAKERAELLGRASGDSAHILRIFDDEAQAMNSVRNSSRMLEEASATGEAILFKYSEQRDRLKRAQRKALDVLNTVGLSNSVLKLIERRHRVDNWIKYAGMILTIVVVFFFVRWVR >KGN54826 pep chromosome:ASM407v2:4:17901171:17902622:1 gene:Csa_4G508535 transcript:KGN54826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNWWDFSYIGFAFQLQNIFFQKMRPKGKIVGTDMHVALNTTTKTMLVREIMCVVLHDPDND >KGN52819 pep chromosome:ASM407v2:4:478990:479428:1 gene:Csa_4G001860 transcript:KGN52819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRFSSGVKVLPGFVFDGFLNAIVRRGYTAEPMAMAASERATTSTPAAGGVLPATRSDGVIVEKEESERSTEKAAVWIPDPVTGCYRPESNMDEMDAVDLRAKLLKPRRNTVN >KGN54750 pep chromosome:ASM407v2:4:16790047:16795669:-1 gene:Csa_4G443140 transcript:KGN54750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFMDCHFMTPSSSSPTFVPSSLKNEAILPRNFVYSPRKTHFPSWVSQISVRNCVTSHLQLKSSNGHPLNAVFLPDGSPGSSLLNEQIALQEEDKSVSDSDKVQSTLSITVVGASGDLAKKKIFPALFALYYEDCLPEDFIVFGYARTSMTDEQLRNMISKTLTCRIDKRANCEDKMDEFLKRCFYHSGQYSSEEDFSELDRKLKEKEDGKVSNRLFYLSIPPNIFVDVVKCASQRASSGSGWTRVIVEKPFGRDSDSSGELTRSLKQYLTEDQIFRIDHYLGKELVENLSVLRFSNLVFEPLWSRSYIRNVQLIFSEDFGTEGRGGYFDNYGIIRDIMQNHLLQILALFAMETPVSLDAEDIRNEKVKVLKSMRTLQLEDVVVGQYKGHNKGGKSYPAYVDDPTVPNDSITPTFAAAAIFIDNARWDGVPFLMKAGKALHTRRAEIRVQFRHVPGNLYKRNFGTDLDKATNELVLRVQPNEAIYLKINNKVPGLGMRLDRSDLNLLYRSRYPSEIPDAYERLLLDAVEGERRLFIRSDELDAAWSLFTPLLNELEEKKIAPELYPYGSRGPVGAHYLAAKYNVRWGDLGEDRDP >KGN52908 pep chromosome:ASM407v2:4:940689:943196:-1 gene:Csa_4G006150 transcript:KGN52908 gene_biotype:protein_coding transcript_biotype:protein_coding description:Delta-9 fatty acid desaturase MEATRKELEDKNSDQKAMAVEDKPRVRSRRRPFFRRKWTTLDKRSAGAFLVMHFLCVFAPFYFTWPAFWLAFVLYVITGLFGLTLSYHRHLTHKSFKLPKWLEYTFAYIGVHTLQGDPIDWVSTHRYHHQFVDTKRDPHTPTQGFLFGHIAWIFDSYGLTKKVSPKHVEDFEAKDEKRKISRNMFVGYLKYGRPNNAEDLQNQGFYRFLRRTYILHHFGLAIILYALGGLPFLTWGMGVRTVCFQHVTFAVNSLGHMWGNQPWNTGDQSRNNWVLALLIFGEGWHNNHHAFEYSARHGLEWWQLDVTWWVILFLQAIGLATDLKLPSQHHMHKLAIQPKSD >KGN54937 pep chromosome:ASM407v2:4:19384265:19386210:-1 gene:Csa_4G608130 transcript:KGN54937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFLGNQAGSSRGFQNWKLFLTLIFLLLFSGVFHTVFFVEAHIPQGFHKSNRSGVFGIELPENLSSGIASSSASAPCSFGNEGEEGERESLMADSVKQSVKLHLKKRSTNTANKPKESITESAVRDLARIQTLHTRITERKNQDTTSRLKKSNVERKKPMEEVSSPAESPESYADYFSGQLMATLESGVSLGSGEYFIDVFIGSPPKHFSLILDTGSDLNWIQCVPCFDCFEQNGPYYDPKDSISFRNITCNDPRCQLVSSPDPPRPCKFETQSCPYFYWYGDSSNTTGDFALETFTVNLTSSTTGKSEFRRVENVMFGCGHWNRGLFHGAAGLLGLGRGPLSFSSQLQSLYGHSFSYCLVDRDSDTSVSSKLIFGEDKDLLTHPELNFTSLIAGKENPVDTFYYLQIKSIFVGGEKLQIPEENWNLSADGAGGTIIDSGTTLSYFSDPAYRIIKEAFLRKVKGYKLVEDFPILHPCYNVSGTDELNFPEFLIQFADGAVWNFPVENYFIRIQQLDIVCLAMLGTPKSALSIIGNYQQQNFHILYDTKNSRLGYAPMRCAEV >KGN52772 pep chromosome:ASM407v2:4:234861:235155:-1 gene:Csa_4G000920 transcript:KGN52772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKLQQTAESPMKNHREMRKEDLKEGCGGIFREKPKEKLKKVAVSLVGGKAHGARVSKSAVET >KGN53124 pep chromosome:ASM407v2:4:2286686:2292799:-1 gene:Csa_4G017120 transcript:KGN53124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLAPPTAATATAAPYPLPIPPKKTEDEKVDYLNLPCPIPYEEIHREAFMSLKPELFEGMRFDFTKGLNQKFSLSHSVFMGPTEIPNQSAETIKIPTATYEFGANFIDPKLMLFGRILTDGRLNARVKCDLSDNLTLKANAQLTNEPHMSHGMVNFDYKGRDFRTQFQLGNGALFGASYIQSVSPHLSLGGEVFWAGQHRKSGIGYAARYNTDKMVATGQVASTGMVALSYVQKVSEKVSLATDFTYNYMSRDVISSLGYDYILRQSRLRGKIDSNGCVAAFLEERLNMGVNFILSAEIDHRKKDYKFGFGLTVGE >KGN55453 pep chromosome:ASM407v2:4:22725242:22725547:-1 gene:Csa_4G652660 transcript:KGN55453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEINSKDSSIHVLRCPQDGSKNAIPCRPPNKQKQSLNKAKVVKFQVTSSLCNTKERIFYAGTSTFGISPPPSSIPLPTFVMKTGLFRSTIDGSTQNSRTHM >KGN54195 pep chromosome:ASM407v2:4:11558822:11566176:1 gene:Csa_4G293050 transcript:KGN54195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAHAGRLRELRETSHHAHDGNGSVNNGVSGREQSPVEENELKVNGLTRNTEDGRKSTVHAQDILQIQQQQQPQGSMVCWERFLHLRSLKVLLVENDDSTRHLVTALLCNCSYEVIAAANGLHAWKMLEDLTNHIDLVLTEVVMPCLSGIGLLCKIMNHKTRKNIPVIMMSSHDSMGLVFKCLSKGAVDFLVKPIRKNELKNLWQHVWRRCHSSSGSGSESGTQTQKSVKSKSVEKSDNNTGSNDDEEEDNESIGFNIADGSDDGSGTQSSWTKQAIEVESPRPVSASAWDHKRECPDSTCAQVVHSNADAFANNAAIILTAGCQVQKKQLETATLAKVELGMHRNLDLQLELSTEHPTKLVNRKQNIRLEIGSSGFNEQFNKGHLDLNSESPSSKLKYEETTFTGLATNLTDSKMDVTVFEAPSCHPKTTDIKNKDVTDSEDFPSLELGLKRLRGVQKTGKAVQDERNVLRRSDSSAFSRYNAGSNSNKTPTGNAGSNSPPSNSPPTNGLEATNQENMQDVRSRSSGNPPNQCSNGDSNNIDMGSTTNNAFSKSVVTNNKSAMGSTVNCLYPSAAFHPVKNDSLSVPQQADNVNNVTTATMQAQSNFVHRESQMQQLCHTYDPTHQLVHNMQRLSSEHNDFSLKKISAAATHCGSNNVLNGTIEGNAANYSVNGSASGSNHGSNGQNGSSTAVNAGGLNMESDNGVGRSGDASGSGSGSGSGSGSGSGNQMDQNKVSQREAALTKFRQKRKERCFRKKVRYQSRKRLAEQRPRVRGQFVRQNTSDNTSNAGDG >KGN53642 pep chromosome:ASM407v2:4:6204416:6214887:1 gene:Csa_4G095030 transcript:KGN53642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKKRAAADDNEHRAKRTKSPGVRVVGGRIYDSENGKTCHQCRQKTMDFAASCMNMKEDKLCTIKFCHKCLLNRYGEKAEEAMLMKDWSCPKCRGLCNCSVCMKKKGLKPTGLLVRAAKATGFSSVSEMLLVHGSDCLDQYKNTISKAASPKKQASDDKKQTPNFDRKKLKEMKREELKEICNENKVDAKFSKKSSTTKSSLGEISKEQTEANGRNDSLPSKKKGPRKGTSKDAASDVSTPKDAREKNSSGHENAKASDVAEEEDKRSSKDVPYHLIKAGDEKEEKELHIHKYANTSKDVKNNKTKVHDKPPAKSQENKKCSVNIQNKEFGASVPFSPGLRLTTVADIELTTDDVGHALQFLEFCAAFGKALNIKKGYAESVLKDLMRERIQRRCRVHDSLTVRFHIQLLSLILKDMDEESAIPSPTNDRSSWLLALKKCISASPFKSNDLKPDYFDGGDNCYDDLDFSKKLRLLTYLCDEALNTTKLRSWIEQQNSNFLEEQKEVKEKLAALKDKEKQAKQKLQDELAKALIAKNGVPLSIAENDAIISQIKNDVAEAQAERLAALELASKRRQRSYATRTVPVMLDVNGRVFWKLRGFACEGNILLQG >KGN53030 pep chromosome:ASM407v2:4:1778535:1779524:-1 gene:Csa_4G011770 transcript:KGN53030 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain-containing protein MEKFTSLKNGVLRLPPGFRFYPTDEELVLQYLIKRALSSPLPAAVIPDADVCRFDPWDLPGDLERERYFFSTREAKYSNGRRSNRATGSGYWKATGIDRKIVSTKENRLIGFKKTLVFYTGKPPNGLRTDWVMHEYRLVGSSEAPPSSFNDGLELPTLNPNWVLCRIFLKKRSTRERDEKKKNNCKPVFHQFLRTRNDTDLNLSPCSSSSGSSGVTEISVNNHQEQEETSSCNSFRKR >KGN55436 pep chromosome:ASM407v2:4:22596730:22598443:-1 gene:Csa_4G652020 transcript:KGN55436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERNVLFGKYEIGRLLGKGTFAKVYYGKELESGESVAIKVLNKDQVKKEGMMEQIKREISVMRLVRHPNIVELKEVMATKTKIFFVMDYVRGGELFAKVARGKLKEDVARKYFQQLISAVDYCHSRGVSHRDLKPENLLLDENEDLKISDFGLSALPEQLRNDGLLHTQCGTPAYVAPEVLRRKGYDGAKADIWSCGVILYVLLAGFLPFQDENIMTMYKKVFKAEFECPPWFSAESKRLISKILVVDPGRRITIGAITRVPWFRRDFSRSLSFTMKETIAAKTEDSDFESPKLSTPKFFNAFELISSMSSGFDLSSLFENKRKTGSMFTSRCSVSTIMEKIETVAKKLSFKVSEAKDFKLRLQGPSEGRKGKLSVTAEVFEIAPEVAIVEFSKSSGDTLEYSKFCEEDVRPALKDIVWTWQGDCVPNTDGRHSQFNGDEFL >KGN52764 pep chromosome:ASM407v2:4:189370:189666:-1 gene:Csa_4G000835 transcript:KGN52764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKKIVMVAIVATIIVQVAMSSSASFVVDDKDWIKLVSDGRAQADQDMNNGCPRKMMIKGGVFKEEEMMCPRILMKCKHDSDCLPGCVCLEHIEYCG >KGN53037 pep chromosome:ASM407v2:4:1813591:1816577:-1 gene:Csa_4G011840 transcript:KGN53037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSWASLPVHDEYQKLVIRMNPPRVSIDNTSSRKATLIKVDSSNRHGSLLEVVQVLTDLNLIIRRAYISSDGEWFMDVFHVTDQNGKKLCDDGVGERIQQSLGPRARSFRSLRRSVGVQAAAEHTTIELSGRDRPGLLSEVFAVLTDLKCNVVAAEVWTHNSRMASVVYITDDTSGMPIDDPDWLAKIKQLLLYVLKGDRDKHSANTAVSMNSTHKERRLHQMMYADRDFDLNYTSCSESYQSRPLVTVENCVEKGYTVVNLRCPDRPKLLFDTVCTLTDMQYVVYHATIIAEEPEAYQEYFIRHVDGSPISSEAERQRVIHCLEAAIRRRTTEGIKLELCSEDRVGLLTDVTRIFRENGLSVTRAEVTTRGTQAVNVFYVTDASGNSVRSETIKAVREAIGLTILHVKDDEQQSKCPPQEGSGFSLGNLFRSRSEKVLYNLGLIKSCS >KGN54224 pep chromosome:ASM407v2:4:11713076:11715482:1 gene:Csa_4G293340 transcript:KGN54224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAHETDKNIEIWKIKKLIKALEAARGNGTSMISLIMPPRDQISRVTKMLGDEFGTASNIKSRVNRQSVLGAITSAQQRLKLYNKVPPNGLVLYTGTIVTEDGKEKKVTIDFEPFRPINASLYLCDNKFHTEALNELLESDDKFGFIVMDGNGTLFGTLSGNTREVLHKFSVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFFINPATSQPNVAGLILAGSADFKTELSQSDMFDPRLQAKILNVVDVSYGGENGFNQAIELSSEILSNVKFIQEKRLIGKYFEEISQDTGKYVFGVDDTLKALEMGAVEILIVWENLDINRYVLKNAATGEIIIKHLNKEQEANQSNFRDSATSAELEVQEKMALLEWFANEYKKFGCTLEFVTNKSQEGSQFCRGFGGIGGILRYQLDIRSFDELSDGEEYDDSE >KGN54708 pep chromosome:ASM407v2:4:16219006:16220496:-1 gene:Csa_4G431390 transcript:KGN54708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKQKERKGHLVLVPCPLPSHMSPMLHLAKLLHSQGFSITVIHTQLNSPNQSHYPEFSFESIGGSMLESYSAFDGDVMLFLSELNMKCETPFHDCLIKMQLCSQYNPISCIIYDSVMYFSAAVADDLQILRIVLRTSSAANYIGLSILDENDCHSTQECRLEEPVAGFPFLRMKDMPLFSTQKHTRKVLTCIYNGTKTASAIIWNSLWCLEHALFEKIEDETLVPVFPIGPLQRYSSNFSTDVLSEEQSCMAWLDKQAQSSVVYVSTGSVITMSKDELLEMAWGLANSDQPFLWVVRDCLVNGSDGVEQLPREFHESTRSRCRIASWLPQQKVLAHRSIGCFFTHNGWNSTIESIAEGVPMLCWPRVGDQRVNARFVSHVWRVGLQLEDRLLREEIDRAIRTLFVDEEGIQIQKQAKELKKKVEISLRQEGASSDFFGRLVKYIRLQETMISLSNA >KGN53841 pep chromosome:ASM407v2:4:8530761:8531198:1 gene:Csa_4G165860 transcript:KGN53841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFQNISTLLIVCVVFGIVFSHTGVVDATRVLLGSGPSPGGPGHGTKPPADPYTFKAKERVKPWLENLGSGPSPGGPGHGTKPPADPYTFKAKERVKPWLENLGSGPSPGGPGHGTKPPAHVA >KGN52875 pep chromosome:ASM407v2:4:789385:791044:1 gene:Csa_4G004860 transcript:KGN52875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSSLPFDLLANIFSFLSPDSLARARSACKQWHECIDTCPLNTEPILSQSHPSWFIALPLRAHKLCFAHNPILDNWHKLSLEFLPDLVKPIATVGSLLFLRSTSSVVLQLILCNPFTTQFRYLPRPNISRTNPAVGVVIQNTRQDSQIPDFKVYVAGGMSVAPQGGTTYESKLEMYDSRNDSWEIVGSLPVEFAVRLTVWTHNESVYSNGILYWITSARAFSVMGFDIDSNNCRELQVPMADRLEFAALTSRNGRLTIVGGVCGEDACVWECRDGDVWVLVEKVPNELGRKLVGGSNGSWINSKCAWNNEVMCLYKELGNGMVVWRERKEKNTWEWVWIDGCSSIRGKIVHNLPIKGLLLQPSLAPFASKSN >KGN53496 pep chromosome:ASM407v2:4:4921191:4928201:-1 gene:Csa_4G056840 transcript:KGN53496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPILNQLLRNSTLNNSQLGTFTKVVTLCRRASQNHGILAPFLSRFHGTEALAQEKEPKVKGHDMLAPFTSGWESNNVGPMIMARSEGSYVYDNNGRKYLDALAGLWCTALGGNESRLVAAATKQLNTLPFYHSFWNHTTQPSLDLAKELLEMFTARKMGKVFFTNSGSEANDTQVKIVWYYNNALGRPKKKKFISHSKGYHGTTFIAASLSGLSFLHENFDVPASFVLHTDCPHYWRYHLPGETEEEFSTRLAKNMEDLILNEGPETIAAFIAEPIMGAGGAIIPPATYFEKIQAVLKKYDILFIADEVISAFGRVGAMFGCDKFNIKPDLVSLAKALSSAYMPIGAVLVSPEISDVIQSQSSKLGCFSHGFTYSGHPVTSAVALETLKIYQERNIVEQVNRISQRFQEGIKAFSDSPIIGEIRGTGLVSGIDLAENKSANNPFPPEWGLGKYFGAECRKNGLIVRAGGDNISMAPPLIISPEEVDEIISTFGKALKATEERVKQLKAQK >KGN52766 pep chromosome:ASM407v2:4:196606:196943:1 gene:Csa_4G000850 transcript:KGN52766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMKNKVALVAMVVVMVVMTRSATVTAAMDEGIELPTNGEASYYDTPPPPRVCPIMILPCKTDSECSPCYCNNGSCI >KGN53564 pep chromosome:ASM407v2:4:5529328:5534004:-1 gene:Csa_4G082410 transcript:KGN53564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEEREIVERESMGGGKGRDVKETGLACTSLGWRSSSFLLQSPLKSTNSINFLLSTRRVSNKPISVTCSSSLSDPPLLVKAARGDPVSRPPAWMMRQAGRYMAIYRKLAEKYPSFRERSETTDLIVEISLQPWEAFHPDGVIIFSDILTPLPALGVPFDIEDIRGPVIHSPIRSEEGLKALHPIDLDKLNFVGESLKLLRKEVEGSAAVLGFVGAPWTIATYIVEGGTTRTYTTIKRMCHTAPHVLKTLLSHLTQAISEYIIYQIEHGAHCIQIFDSWGGQLPPDMWESWSKPYIQKIVSTVRDKCPETPLVLYINGNGGLLERMKGTGVDVIGLDWTVDMADGRNRLGTDISVQGNVDPAYLFSTLPALTEEIQRVVRCAGPRGHILNLGHGVLVGTPEEAVAHFFDVARSLNFNTLSQDLTAQEPKLVA >KGN54659 pep chromosome:ASM407v2:4:15716427:15717071:-1 gene:Csa_4G418520 transcript:KGN54659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERIGSWENNKKAALEAELKQIEHGEEKLKAEADEIAAKHRAIGSNLKERVRLHDENVIQPSDV >KGN53815 pep chromosome:ASM407v2:4:8112564:8112872:1 gene:Csa_4G141230 transcript:KGN53815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKVSISTSLCLSVPVMAMVMVVALITGAGLADAVNCNPMEMRPCLPALESSVPPTAECCEKLKEQEPCFCAYLKSPVFKPYLESPNAKKIATSCRVPIPTC >KGN54143 pep chromosome:ASM407v2:4:11224721:11227049:-1 gene:Csa_4G289120 transcript:KGN54143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITTTVGSPNLVILLLANRDNLAPKGSTFQFTRVSKGSTVQFTSAGQLVLNDPGGNQIWTATASSSGNSNRSVSYAAMLDSGNFVLAATDSEILWQSFDVPTDTILPSQTLNIGGALVARYSETNYKSGSDGTGSGVCGFNSYCQLGDDRRPFCSCPQGYVLIDPNDEIKGCKPNFVAQSCNPFLETDDFEFVAMDETNWPQGSYASFSPVSGEWCRNECLNDCFCTLAAFRNGECFKKRYPLVFGRMDPEAAGITSYLKNRRNKTTIIVSVLLGSSEDPFILGVNLRIFSYEELNNATGGFIQHLGRGSFATVYKGIIDSDNNNNLVAIKKFDNVVPDGEQEFKAEVIAIARTNHKNLVRLLGFCNEGEHRMMVYEFMPNGSLADFLFGTSKPNWHSRIQIILETARGLCYLHEGCSTQTIHCDIKPQNILLDESFSARIADLGLAKLLKNDGARTTPMTMTMTNGESKGYVAPEWFRGLPITVKVDVYSFGVVLLETICCRRSLEEKEEDEKQKVLRDWGYECLKEMKVEMLVEKDEEAKMELKRVKKFVMIAIWCIQEEPSLRPSMKKVLQMMEGAIEVSFPPHPSSFISSIS >KGN53305 pep chromosome:ASM407v2:4:3635184:3636216:-1 gene:Csa_4G046680 transcript:KGN53305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKTRTLVVAVVWLVLVAEKCSGFGEEAVKDVKNNMSEIAEGANLDEKAEAVKSKASEVYTEAKDKTESWSNWAYNKISRGLGLNEEEIKETAHNVADKAGDAAIKTTEKINTAASDASNYASEKAGEAAKAASEAASKLKSKSEGVVGPAAEKVKETLVSGKDKAAEMYEAAKEKKNENCETAREMASKTAGEIGCRIREKSAEL >KGN54525 pep chromosome:ASM407v2:4:14453212:14457040:-1 gene:Csa_4G358630 transcript:KGN54525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISNEASVGSFSIGPSTIVGRTIAFRILFCKSVLQLRHQLFRVLLNVIYRFKALVAPILSWIHPRNPQGILAMVTIIAFLLKRYTNVKERAELAYRRKFWRNMMRSALTYEEWAHAAKMLDKETPKLNESNLYDEELVRNKLQELRHRRQEGSLRDIIFWMRADLFRNLGNMCNPELHKGRLQIPKLIKEYINEVSTQLRLVCDSDSEELLLEEKLSFLHETRHAFGRTALLLSGGASLGAFHTGVVKTLVEHKLLPRIIAGSSVGSIMCAVVATRSWPELQSFFEDSWHSLQFFDQLGGIFTVVRRVMIQGAVHEIRQLQMMLRQLTSNLTFQEAYDMTGRILGITVCSPRKHEPPRCLNYLTSPHVVIWSAVTASCAFPGLFEAQELMAKNRSGEIVPYHPPFNLDPEEGSGTSARRWRDGSLEIDLPMIQLKELFNVNHFIVSQANPHIAPLLRMKEFIRACGGNFAAKLAHLAEMEVKHRCNQVLELGFPLGGIAKLFAQDWEGDVTVVMPATLAQYSKIIQNPTHLDLQKSANQGRRCTWEKLSAIKANCGIELALDECVAILNHMRRLKRSAERAAAAAAATASHGPPIPVKFSAARRIPSWNCIARENSTGSLEEEYLTDITLTHNQGSGGSIGAGSSGRMLRTHRSIFDGSDSESENIDLNTWTRSGGPLMRTASANKFIDFVQNLDLDDLNRGLVANSNVVQTVGGSQNSQSPRTMSERSSESPDFDARELSTRVSSSILLTEGDFLQPERIPNGIVFNVVKKEDLTLTSRSHDSETQNSEVECLQVDRSEQYVDASSASDYDGNEEDATPKGFLQESLPVNNSVNHSEEQQDGHDRISMDS >KGN54616 pep chromosome:ASM407v2:4:15198061:15206901:1 gene:Csa_4G378760 transcript:KGN54616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRGRLLFDLNEPPVEDNEDSDGLVFQPQKAQPPSNSHASDLFPASGGSQRLLNNHAFSHASSVSGFQPFVRSKLGSNTEIGEEQKKILDQNSRTTLSSKLSNVETAAPALVSGPRDTQSVEREEGEWSDAEGSADINGGSVLHKQLKTSQEKGLLSPSRDFSENNLCNLKISDSTLDKSNNHVPSTSDPEPNDRKSNSILNTESNVKLDTSTDTVQEETGLLPKQREVKGIEASHALKCANNLGKRKIDQHLEAKLGKKRTRQTMFLNLEDVKMAGPMKTSTPRRQTFPPPITTRIVKEVHNNATQVNERIGEKQTNKDQKQGDVSSQEGGISLESGESKLDNNGDMSSGLLARPNRPNNDGDIPPEASLPPIPRQGSWKIPTDSRLQRNMQASNRKPIISNQSSDHKQINKKHLPSKKQNSVSTYQDSSVERLIREVTNEKFWHHPGPHITDGILQRYENIDRRERGWYDVIVLPVNECKWSFKEGDVAVLSSLRPGSVRSKRNNSMSVEDDEDQESGGRVAGTVRRHIPLDTRDPPGAILHFYVGDSYDPSRIEEDHILRKLQTKNVWFLTVLGSLATTQREYVALHAFRRLNMQMQSSILQPSPEQFPKYEQQSPAMPECFTQNFVDYLHRTFNGPQLSAIQWAATHTAAGTSSGTVKRQEPWPFTLVQGPPGTGKTHTVWGMLNVIHLVQYQHYYTSLLKKLAPESYKQAHESSSDHVNTGSIDEVLQSMDQNLLRTLPTLCPKPRMLVCAPSNAATDELLARVLDRGFIDGEMKVYRPDVARVGVDSQTRAAQAVSVERRTEQLLVKNRDEVLRWMHQLKVRETQLGQQMNSLQRELNVAAAAVRSQGSVGVDPDVLVARDQNRDALLQNLAAVIEGRDKILVEMSRLLILESRYRPNSNFNMEDARASLEASFANEAEIVFTTVSSSGRKLFSRLSHGFDMVVIDEAAQASEVAVLPPLSLGAARCVLVGDPQQLPATVISKAAGTLLYSRSLFERFQQAGCPTMLLSVQYRMHPQIRDFPSRYFYQGRLTDSESVANLPDETYYKDPLLRPYTFFDITHGRESHRGGSVSYQNIHEAQFCLRMYEHLQKTVKSSGIGKVSVGIITPYKLQLKCLQREFEEVLNSEEGKDLYINTVDAFQGQERDVIIMSCVRASNHGVGFVADIRRMNVALTRARRALWVMGNANALIQSDDWAALITDAKARNCYMDMESLPKDFLGQKGSTQSTLPGKNSSNTRGLRSALPRHRTLDIHVESRSGTPSEDDEKSNSAVITRNGNYRPSKAAVENSSEDLDQSGDKLRDTWQYGMQKRQGSTGTVGKRDI >KGN52889 pep chromosome:ASM407v2:4:851149:852021:1 gene:Csa_4G004980 transcript:KGN52889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSILSSQALVLATAMVVSSTALFLAFSNHHHKMINPTIPPSTSLPPCFSSDEKKKQRNKKKKKKKVRFAEDVKEPKGNGEEYRREHDEKMGLMEETRRRRRISCRNDVPGNRIALYNGILKDRSQRIQCSF >KGN55540 pep chromosome:ASM407v2:4:23149482:23152605:-1 gene:Csa_4G664470 transcript:KGN55540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSETGVISSGEHFTIGLQKNSVPSQQPVMQSMHLPFGADGVYKPVATASPTYQSSSVGVAGNAGADGSARDAFVNMNSQSEPVKRKRGRPRKYGPDGSMAVAPAVRPAAATQSSGGFSPSPTAAPQSGRSASPTSLKKPRGRPPGSSTKKHHLDTSESAGVGFTPHVITVKAGEDVSSKIMSFSQNGPRAVCILTANGAISNVTLRQPAMSGGTVTYEGRFEILSLSGSYLLSENGGQRSRTGGLSVSLSGPDGRVLGGGVAGLLTAASPVQVVVGSFVTDGGHKELRQVNQIEQPPVSAPHKLAPIRAGMTGASSPPSRGTLSESSGGPGSPFNQSAGACNNNTIPWK >KGN54484 pep chromosome:ASM407v2:4:13880365:13880664:1 gene:Csa_4G337900 transcript:KGN54484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGFPERDVGVVEEEEVAGVKEEHGGDRGGERRSGPDEEARVVGEREAGGGESEKAGSGNGFVWISRPNNGVGLDMDDEEEVKGSMGIGISDGGSEKVV >KGN54511 pep chromosome:ASM407v2:4:14208070:14217583:-1 gene:Csa_4G343090 transcript:KGN54511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPAVELRSPVISPPPECSSATLLNTELKPHQFDSSFSFPAYGARDSQQGVSTFPPSDPSELDLKSTFNSQRPARSRPRLTKVRKRVASQHARSKVGSCEVSSNDEFLSFGDSLKFDTGFVFGGNQDENLNFGNRVSSDNVHKKLDCRKVENEVFVFGAKLSNLENSDNKCEQSSVNCENLLVDDGGKKKAEWKWENCMNVEKLNSGGGEMKIDSVTTDAMNNNVKSVSAAETIDLASTVNAEEGELDESVGKAGADSCSNLNTENYDYLKKSFDSTFIFGDSWFDPKTNVGSSVSDFGVKMKTESIAEVQKVESSNVNFSCEEGVDVFVFGSSSLNEVKKGRHLNGRPKTLFTLLDEMDNLDINDFGNIKACEKSECSNATFPETSSSFNRCDKPSVSSEGCLGNDTSISSEVPAGFTGRIFEDNPESSGKSKTEFQSGFEDCSSAEPFHFMPGCFVSCNGCQSPQPCVSDTLHVQKASTSSSLSSADIQCQSNDNPQVHLDEVGKNDEHGPFDASNNLSTSGEFRLPQWDPLSFKENLFLDLNQNSVSGVKSKQNKTKKKKVRGSLRQTKLQDKLSKDDGSSKINLDSPGSCTPMDFSPYQETISVDQHPRVMLGESSPLVNSFAPCTTNPSVCTNENDVLLTGRKVVDAHDGIWKYSEPSEGSFGHHGDGISVHSFEGFDSRNERVCSGLKTEQCCSSGFAGGVSTGPTANCRKTADSGEICSKSFTFSASSSIQASVSGTKSRQRKKNKKKSNHNTFVISPSPDIKFGPSFEFSSIASSSSHSEASSKLQAEGKLKQGHPFSTAIQETCEKWRLRGNQAYKNGELLKAEDLYTQGIDSVPRNEELASCLNSLMLCYSNRAATRMSLGKIRKALEDCGVATELDPNFLKVQVRAANCHLLLGETESALQYFSKCLESRDGICLDRRMIIEAADGLQKAQKVAEYTRCSSEFLEQKTDNAALSALDLIAEAISISVYSEKLLETKAEALFLLQRYEEAITLCEQSLCLAEKNCIPESAISKTDFSGYQSQLVARLWRWCLITKSLFYLGKFEAALETVGKIKQEKFNQEKSRIKSLELSFALADTIQGLLRCKSAGNEAFRSGKYAEAIEHYTDALSINVESRSFTAVCLCNRAAAYQGLGQIADAIADCNLAIALAENYSKAFSRRANLYEMIRDYGQAASDLKKYMFIVENQSDDKVTLSRSAGSVELKKARRNKPLMEEAAKKEISLDFYLILGVKATDSASDIKKAYRKAALKHHPDKAGQFLRGDSSHDGRLWREISQDVYRDSDRLFKLIGEAYAVLSDSSKRSHYDLEEEMRKVPKESNRGSNNRRSSNVYGSPFERSANGQNCRDNWKSWGNSHSRW >KGN54409 pep chromosome:ASM407v2:4:13031158:13034288:1 gene:Csa_4G313390 transcript:KGN54409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQQRWNRRKTHLHLLGLLFVFFIVLTILHSEHSIQQIHENPDPEAHRQDASLSFVKPNVLISRNGAPEILDRFSKCNGTREYSGRKISWSGRKTESSRRKVRSERCDVFSGKWVFDNTSYPLYNESKCPYMSDQLACHKHGRTDLRYQYWRWQPHDCNLKRWNIAEMWEKLRGKRLMFVGDSLNRGQWISMVCLLQSVIPADKKSMSPNAPLTIFRAEEYNATIEFLWAPLLVESNSDDPVNHRLDERIIRPDSVLKHSSEWEHADILVFNTYLWWRQGPVKLLWSAEENGACEELDGREAMELVMTTWADWVASRAIPLKKRIFFVTMSPTHLWSREWEPGSEGNCYNEKTPIELESYWGSGSDQPTMSTVKKVVDKLSLEVTVLNITQLSEYRKDGHPSIYRKFWEELSPQQLSNPASYSDCIHWCLPGVPDVWNELLFHFL >KGN55065 pep chromosome:ASM407v2:4:20290143:20294773:1 gene:Csa_4G627160 transcript:KGN55065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHMTCSNLLLSSLPKFPTSPFIHFSSHFTPFFLHHSPMSSSSLKIVAEYAKSNRSTCKNCSKTIPASTLRLGFVTRDGRGFDMTRWFHSDCASFGPRPVSSAEDINGFALLKDSDQEALRKMVCRSHESKVHKRDEDEEDGVEEGDQKKVKVHKKDGVEEDGVEERDQKNVKLSTSSLQPKLDIVLTASNIKTKYKDATLLPKWKAFQTLIFLEQDDGLHHSSKIAAFDFDGCLANTSVKRVGAEAWSLMYPSIPQKLQSLYDDGYKLVIFTNESNIERWKNKRQVAVDSKLGRLNNFISQVKVPVQVFVACGIGSKSGKSEEDMFRKPKPGMWHIMESHFNGGIPIDIDQCFYVGDAAGRAKDHSDADIKFAQAIGLKFYVPEEFFVE >KGN53785 pep chromosome:ASM407v2:4:7802491:7810529:1 gene:Csa_4G129020 transcript:KGN53785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLFKDPTKLSIYRDRRFHGSQDEFEVALQTSTTVYVGNMSFYTTEEQVYELFSRAGEIKKIIMGLDKNSKTPCGFCFVLYYSREDTEDAVKYISGTILDDRPIRVDFDWGFQDGRQWGRGRSGGQVRDEYRTDYDPDILFSFQEGGYGKLVQKELEAQRQLVDYGTGSLGSMAPVMPQYGKHGGSHGHGNRHGRDYHHRKRYRDDDRHAHESSKRTSDYESRRNSNYESRPEKNPRFRESGDSDEEDDDDRKQRH >KGN54000 pep chromosome:ASM407v2:4:10306994:10312420:1 gene:Csa_4G252930 transcript:KGN54000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRLTRIAIVSSDRCKPKKCRQECKKSCPVVKTGKLCIEVTPAAKIAFISEELCIGCGICVKKCPFEAIQIINLPKDLDKDTTHRYGPNTFKLHRLPVPRPGQVLGLVGTNGIGKSTALKVLAGKLKPNLGRFNNPPDWQEILTYFRGSELQNYFTRILEDNLKAIIKPQYVDHIPKAVQGNVGQVLEQKDERDMKQELCHDLELNQVIDRNVGDLSGGELQRFAIAVVAIQNAEIYMFDEPSSYLDVKQRLKAAQVIRSLLRPNSYVIVVEHDLSVLDYLSDFICCLYGKPGAYGVVTLPFSVREGINIFLAGFVPTENLRFRDESLTFKVAETPQESAEEIETYARYKYPTMSKTQGNFKLRVIEGEFTDSQIIVMLGENGTGKTTFIRMLAGLLKPDSVEGSDIEIPEFNVSYKPQKISPKFQSTVRHLLHQKIRESYMHPQFVSDVMKPLLIEQLMDQEVVNLSGGELQRVALCLCLGKPADIYLIDEPSAYLDSEQRIVASKVIKRFILHAKKTAFVVEHDFIMATYLADRVIVYEGQPSVDCTANCPQSLLTGMNLFLSHLDITFRRDPTNYRPRINKVDSAKDREQKSAGSYYYLDD >KGN52776 pep chromosome:ASM407v2:4:245136:247849:-1 gene:Csa_4G000950 transcript:KGN52776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSENPIQSLFQIAQKISTSIETHLSNLLSHSTPHSRSNHKPLSSPFSSSYATPSYPDTTSMQSIDVIHTPAAPVTKEVLGRATWTFLHILAAQYPDHPTRQQKKDVKELMAILSRMYPCGECADHFKEVLRANPVETGSHADFSRWLCRVHNVVNRSLGKPIFPCERVDARWGKLECEQRACDVLGSLPNFGEEDRH >KGN55315 pep chromosome:ASM407v2:4:21862515:21862712:1 gene:Csa_4G645890 transcript:KGN55315 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRG16 MAAVSKVSFMALVAVLFAVLSVAVAQSAESPAPPPASPANSVVPSLSFACVGAFLALLFGSALKA >KGN52735 pep chromosome:ASM407v2:4:39781:43979:1 gene:Csa_4G000560 transcript:KGN52735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVNAMMIRARCNFMVRNPVLDVKRLGFRMPVMGRRTVWCSRRRMKGRVVNGVKATMQVDLEDEERLKRLEGLEATLGLDIVAERELKEKGFLGMRKTKLVCTVGPACSGIADLEKLALEGMNIARLNMCHNSMEWHCDMIKKIKKLNEEKGFCISVMIDIEGSQIHVVDHGAPSSVKVEDGSIWLFTAKKFEGSRPFTVQASYEGFSEGIRVGDSIVIDGGMATFEVIEKIGNDLSCRCTDPGLFLPRAKCSFWRDGRLVMRNHESPTLSSKDWSDIEFGISEGVDFIALSFVNSADPVRHLKNYLSTKSAKSIRVLAKIESLEALQNLEEIVEASDGIMVARGDLGVEIPLEQIPAVQEEITRVCRELNKPVIIASQLLESMVEYPTPTRAEVADVSEAVRQYADALMLSGESAIGSYGQKALSVLQMASSRMELWSREENRNNFLPQHQLGVSLHDRIAEEICNSAAELANRLSVDAIFVLTNQGHMASLLSRNRPGPPIFALTDDDSTRMALNLQWGVFPLRIDLSEDIEANISRGIEVVKSKGLVKQGDSVLVVSEISPARAASMASQSIQLKTIV >KGN54678 pep chromosome:ASM407v2:4:15847283:15848233:1 gene:Csa_4G420190 transcript:KGN54678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLQLLDLFFFVSIVSIVLLVSQEVDGRKSLLQKEGRDDFNKLVKQSTTLDADFHGEMVGRNQKKKLPTDLEDNPEDQKGENSEADEKGSEEEDVPGEEEGEYGDLGDVGDLGDCGGDESGGPGGDFVYPNNCGGEFGDDDGGALEGDDGEGPGSEESGEAPEDQDGGESGEEVSRDKNGEEYSKEKAPGDN >KGN54856 pep chromosome:ASM407v2:4:18292587:18329619:-1 gene:Csa_4G553660 transcript:KGN54856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPSRKSQDGSKFNKHSKRPFNSKKKMSETAKPETVSLQIEDDVPDFPRGGGGYMSQNKGEKVRAEGDKEFQNDDLKMTTKRGKKRRKHPSNGDDLESLLNNGVVGNLPKYANKITLKNINPRMKFWGVVAEVNKKDLVISLPGGLRGLVSAAEAFDPILEKEVEDTEHDLLPVMFHVGQLVPCVVLKVDDDKKESGKRKIWLSLRLSILYKDFTLDLLQEGMVLTSYVKSIEDHGYILHFGLTSFTGFLPKTKQSDQSENEVHVGQLLQCVVRSIDKTRKVVYFSSDQDIVSSSVAKDLKGISIDLLIPGMMVSARVQSTLENGILLSFLTYFNGTVDMFHLQNSFHSSNWKDFYNQNMKMNARILFIDPSTRAVGLTLIPHLVRNKAAPPHVRIGDIYDSAKVVRVDRGFGLLLEVPSSPESTPTFVSVSDMAEEVVKKIGKKFKEGSRVRVRILGFRHLEGLAIGTLKASAFEGPVFSYSDVKPGTLIRAKVIVVDDFGAIVQFPGGLKALCPLSHMSEFEIAKPRKKFKVGAELIFRVLGCKSKRITVTHKKTLVKSKLEVLSSYADATAGLVTHGWITKIEKHGCFVRFYNGVQGFAPRFELGIEPGSDPSSTYHIGQVIKCRITSSTHSSKRISLSFVMKPARVSEDTKVELGCIVTGVVDEITETHVTLYINRTDYSRGRISTEHLSDHQAHASSIKSVLKPGYQFDQLLVLAIEGESLILSAKQSLIKLAHMLPSDSSHVNPYSIIHGFICNIIEAGCFVRFLGRLTGFSPRNKAMQDQKLDLRETYYIGQSVRSNVVDVSGETGRITLSLKQSTCFSTDASFIQEFFSTEEKIAKLQSLDESNWAEEFAIGSVVEGEVQEVKDIGVTISFEKYHDVFGFIALHGLSGSIVETGSTIQAAVLDVSKTERLVDLSLKPELVDKWKGGSSSRQTNRKKRKAEAPKDLEMNQTVHTVVEAVKENYLVLSLPEFGHAIGYASTYDYNTQRLHQKHFTVGQSVVATVVALPCPSTFGRLLLLLKSISEAIVTPGSKRSRKNSSCEVGSLVHAEIIDVQPLEMRLKFGVGLRGRIHVTEVSNTPDEASEAPFSNFRVGQTVVARIVAEANHSASKGKGYLWELSVKPEVLKDFSAVGGEIVNEDLGFSIGQRVTAYVSNVNGDWAWLAVTRRVSAQLFILDSSSEPSELQEFSKHFYVGKAVSGYISNIIGEKKILRLVLHHLSAISSGKSDEENSKISNLPTDVCKKVICHLNEGDIVGGRISKILPGVGGLLVQIGPHLFGRVHYTELTDCLVPDPLSGYKEGQFVKCKVIEITHAVKGTTHIDLSLRSSAGILCQKNIECSNHENIASGRVKIEDIHAHMEVQGYVKNISPKGCFIMLSRGLEAKILLSNLSDGYIDNPEKEFPTGKLVRGRILSVEPLSKRVEVTLKSVTETGALRGSNNDLRSFSAGDIISGRIKRVESFGLFISIDNTDVVGLCHVSEVSDDPVESLEFRYHAGDTVKAKVLKVDEKRHRIALGMKRSYIGERSELCTNMEEEHEDAADGDNFIGETRLSMDPDSSSTKFKDMDDDFDNIEPEQPLRLAESRALVPSLEVTLDDIDETDMVTLQSENKELTSGTDSKEKNDRREKKKAKEEREMEVRAAEERLLQNNSPTTVDEFEKLVRSSPNSSFVWIKYMDFFKADVEKARSIAERALRTINIREENEKLNVWLAYFNLENEYGNPKEDAVTKIFQRALQCNDPKKVHLALLGMYERTNQDNLADELLDKMIKRFKHSCKVWLRRMESLFKKKQVEIQSIVNRALLCLPKRKHIKYISQTAILEFKCGVADRGRSMFEGILREYPKRTDLWSIYLDQEIRLGDKDMIRALFERAISLSLAPKKMKFLFKKYLEYEKSVGDEERIESVKQKALEYVENTLA >KGN53693 pep chromosome:ASM407v2:4:6782385:6798402:-1 gene:Csa_4G106860 transcript:KGN53693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSDHRELVLSSLGKVGQSSGEIDNVEEPLISVEFKHSENFSARAAILPFLFPALGGLLYGYDIGATSCATISLQSASSSGISWYNLSSVEVGLVTSGSLYGALIGSVLAFNVADFLGRRRELILSALMYLVGAIITGLAPNFVILIIGRIISGTGIGLAMHAAPMYIAETSPSKIRGQMISLKEFFIVLGMVLGYSIGSLLVEVVAGWRYIYAANTPIALVMGVGMWWLPSSPRWLLLCAIQRKGNMADLKERAISCLHRLRGAVIGETASEEVNEILEELSFLGESEEASIGEIFQGKCLKALIIGAGLVLFQQITGQPSVLYYAPSIFQSAGFSAAADATRVSILLGLLKLIMTGAAVLVVDRLGRRPLLLGGVSGITISLFLLGSYYLFLGNVPAVAVVALLLYVGSYQLSFGPIGWLMISEVFPLRLRGRGLSIAVLVNFGANALVTFAFSPLKELLGAGILFFIFGVVAILSLVFIFFIVPETKGLTLEEIEARCL >KGN53562 pep chromosome:ASM407v2:4:5520471:5520819:-1 gene:Csa_4G082390 transcript:KGN53562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLSLKTKNSLCNHPLESFSLSVADELSKKPSIEAVLCHPKFKSIHLFVGITSITAVNKAVVCLFAGTMFYCCTYSSVI >KGN53703 pep chromosome:ASM407v2:4:6891412:6897757:-1 gene:Csa_4G107450 transcript:KGN53703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSTVLEKDSWSHTPDGVPSSLNSDSFKDAKMESSSLSMSNHCFKTDVGTDLDYCTDDHIVTDNSAADENDMYQYSVSHMSQTDNDISFLDDDRENKENNDLLYYGWQDIGSFEDVDRMFRNCDSTFGLGNLSNEDDLRWFSPSHGTEKLEDPSKPNFKFSCCEGSTINDATEFNEESNPVNSEASPDGLNRNNILNGCKMNDGITDIGDSAAISHLSAADMSDRKGNSSGDLIPKKQESSYASNQLHSSHYPSFDAPTIGANENREKLYHQDLPASFNKNFTFMSAPSSETFNTSFPVRKQAPRSESEIDDGHSESGVVSRGSRVELDSSNAQDKPCRSTMLDGISLEATSFRQLQQVMEQLDIRTKLCIRDSLYRLARSAEQRHNCANLNENTGEDKFVRVASSIDQDANRSGGFLDLETDTNPIDRSVAHLLFHRPSDPSLMPAGGNTLSLKSHKLVPAEKQNFQDETGGAAACADQKLLSNGKKL >KGN53464 pep chromosome:ASM407v2:4:4722154:4722980:-1 gene:Csa_4G056550 transcript:KGN53464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKLISDAKRHTHPLVWLAALLCTVVSIAVIIGGIVVFIGYLVIHPRIPTISILDAHLDNFQIDIAGRLEVQLTIIIEAKNDNAKAHASFSDSSFFLHFLGIKIAQLVADPFEVRKNSSMKFPYAVVSNSIPLNPEQMESVDSDLKADLSRFDLIGNTRVQWRVGLLGSVKYECHLHCGLKFHPSNGTYLSSPCSSRVK >KGN55177 pep chromosome:ASM407v2:4:21083163:21086017:-1 gene:Csa_4G639160 transcript:KGN55177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDLNNPELDVELLPYLRLYKNGVVERLLGTRVTPPGLDSRTGVHSKDIVIVPDTGVSARLYRPTAVDPGRKLPLVVYFHGGAFLVASSAEPVYHNNCLIPLAAEAQTVLLSVNYRLAPEHPLPAAYDDSWAALQWIAAQSKSSADEPGHEPWLKELVDFEKVFLVGDSAGGNICHHMALRAKNSNLGAKIKIVGIALIQPYFWGQEPIGSEITEHHKKAEVDSWWNFVCPSDRGNDDLLINPFSDGSPAIDGLAGERVLVIVAGKDILRERGKLYYETLANSEWKGKVEFYETEGEDHAFHMLNPSSEKAKALLKRLAFFLNQD >KGN53454 pep chromosome:ASM407v2:4:4659315:4659973:1 gene:Csa_4G055970 transcript:KGN53454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAFVRGAHTMNSMFFKPIGRKGFHRKSSSPDMMRERVETESKELKSNQSHVGGEVTPWVLDGRTGIYYPKGHEKVLNSIPDGGGAAVNTQVNWFNDENI >KGN53745 pep chromosome:ASM407v2:4:7340428:7357980:-1 gene:Csa_4G119250 transcript:KGN53745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCFLRHPLTWTWISYSTLPLQVPVIFRNRTASLHFSLSANISVSNDIRDNTTQHSIRLDSLRALEWDKLCDSVASFARTSLGRQAIKAQLWSSNWTYEESLRLLDETNAAVEMHKHGGCSLDLSGVNLHLVKSAIEHAQRSLAMDGNEAIAIAALLQFADMLQFNLKTAIKEDVDWSTRFMPLTNVIMGMVVNQSLIKLILNAVDEDGSVKDSASYALRESRDQVRKLEKKLSQLMDSLVRSAKSGTSFLEVEIVDGRWCIKSEGDQLMDVKGLLLSSDAGIGSFIEPISAVPLNDELQQARASVAKAEEDVLFVLTEKVKMDFEDISKLIGCIIELDVVNARASYGLSFGGTCPNLVLLEGCNSSIANVCLSGDQSEASHLKKNEWVLYLQNTHHPLLLQQYRENLKNAKRDVKNAFNEMGRKPPGGNMSWKEKEVIDISLFKMKVDQLEQARPVSVDFSISRRIKVLVITGPNTGGKTVCLKTIGLAAMMAKSGLHVLASESVQIPWFDSIFADIGDEQSLTQSLSTFSGHLRKISIVSFSIYSHELYFLTKETISSMYEITKKGKGSQSKGVMKDVSDWTNKWRSYMWNISGEKPKQVTQDISEKITCSKKIRKDQMTALCC >KGN55259 pep chromosome:ASM407v2:4:21509444:21510500:1 gene:Csa_4G642400 transcript:KGN55259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSGFWVFFFVLQTTLLLHFFPSYINASSSSDIALNHHHVNANKCLSDILLNRKLKFQTDEHCNHGRKKVHPDDLNLDDYHPIDPVPSSKTSVKPGPIEHGAPLLPHMPNPPPPSQPGGYA >KGN53337 pep chromosome:ASM407v2:4:3832314:3833943:1 gene:Csa_4G047980 transcript:KGN53337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSPTALISFTSLTANMYSPDGPSSIFAIGPFAHEPQLVSPPLNFSTLTTEPSTPFTPPESIHLTTPSSPEVPFAQFVQPTLPKVESDNQYTFPNDDFQSYQFYPGSPVSHLISPRSVISRSGASSPLPDYDFASFGSQFLNFPLEVPPTLLNLDKHSIHNWRQRQSTDSCTQDSIEFKSSNDFVLNPQTSESMSDHHATNESQNIQILIDDGSKKEEEPGATNHRFSFELSDGDVLLQSVGSKPLESNELAVESSPIHEPFETTKENSPHGDHTSNVIEEKTKADGDEAHQRQEHHSVTLGSVKEFNFDNGNGSDTHNPNINSEWWINAKDGSTESTATGTWSFFPMTQQR >KGN53513 pep chromosome:ASM407v2:4:5083378:5084938:1 gene:Csa_4G063470 transcript:KGN53513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNANDPSSSPSFASSSYVSNGSSYNNVAATFSNDPSANSDHMCLSKLSANLEKLVVDSDFDYTDAVIVVEGIEVGVHRCILAARSQFFHELFKQEVDSSTEDGKPKYCMSKLVAFRKVGIEAFKVILNYLYTGKLKPSPPEVSTCVDEACAHDACGPAINYAVELMYASATFKMKEMVLLVQRRLLNFVEKAAVEDVITLLIAAFHCHLDQLHTPCIQRVARSNLDAVSLGRELPDEIASEIKSLRMKSQQETEPDIVEEADLNREKKIRRLHKALDSDDVELLGLLLRESSDITLNDAYALHYATAYCDPKVIKEVLNLGLADLNHKNLRGQTVLHVAARRKDPSGQRRLCIRTYS >KGN53920 pep chromosome:ASM407v2:4:9335580:9340570:-1 gene:Csa_4G188940 transcript:KGN53920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQQQIEITATTMATTSRKHLSSIANDVVQRCALKVGSSVEGLVEEFEISWKPETGTYSRKFVEFCSAKALANMCRNLEEGIGNGSFTRFSFDMMLAWETPSSQDEQTRQECVAKGKEEKKKVVAANVPPEQDEIPLFYSDIMPLLVNDDPDVGEDAYVWLGSLVPLVSDLVNARFTFETLTAPTGHRLHFPAYDKFLKEIDKCMKYLQKQATPKGVELRDDEFILHVEGTASSQRVVRHIGSTSWPGRLTLTNYSLYFEASGVITYENAIEIELSKDTMHSVKPASTGPWGAPLFDKAIVYESPAILEEVVLEFPEMTSSTRRDHWLALIKEVILLHRFLQKFNVESPAQAWEMHSRTILGIIRLHAARELLRISPPIPTKFLIFSLCDELPKGDYVLEELAENLKLLNSGVPCSATSTLRYLNMSQLSDSSVDVTKKVHRTSTELGESQSSLENAINQAREEEKKVAVAKATAVGLKEEGIGESAFIFLELLKPLKSRLSWLKEVIEWERPVATVIVLAVSLIITYKEWFGKAVAAFLSWVVMAMLQARMEKIQEKCDEIVVCTASDQTMMESIVAAQQSLQNVHEIVQSANIAVLKIWSIFISKTRKHADMTMAVLSVLAITLALVPTKYIIMGLILYGFFTTSKLQKNTGDINSGGDRRLKEWWGSIPVIRVRVVDKLPENNSPSN >KGN54289 pep chromosome:ASM407v2:4:12167576:12168364:-1 gene:Csa_4G296910 transcript:KGN54289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTTMNLPNDDDYSHRLSSFLHHHPLTHNMIRNPHHPYSRTHLSCDVCRETIHSSFYQCKDCHFYVHSFCTRLPNSLRHVKDPDHKLRLYRLPYGRCSICKAKFSSLWVYGCNVCNVNIHINCLQTSTAASSTSRSRGIHHHAPPPWAMGPPSQPDHFHGWGYPPDYNNHVQFGYNNNNNHQYPHQMNHGNLGSVFGGTMYSLVQSLIFGALNDFIFG >KGN55500 pep chromosome:ASM407v2:4:22988802:22991617:1 gene:Csa_4G658600 transcript:KGN55500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSHQRPSSAFDTPFWTTNSGAPVWNNNSALTIGSRGPILLEDYHLVEKLANFDRERIPERVVHARGASAKGFFEVTHDITHLTCADFLRAPGTQTPVIVRFSTVIHERGSPETLRDPRGFAVKFYTREGNFDLVGNNFPVFFVRDGMKFPDMVHALKPNPKSHIQENWRILDFFSHHPESLHMFTFLFDDLDKYDFDPLDVTKTWPEDILPLQPVGRLVLNKNIDNFFAENEQLAFCPAIIVPGIYYSDDKLLQTRIFSYADTQRYRLGPNYLQLPVNAPKCAYHNNHHDGFMNFMDRDEEINYFPSRIDPTRHAERYPQPSAVYTGKRERCVIEKENNFKQPGERYRSWPSDRQERFVGRWVDALSDPRVTHEIRNIWISYWSQADKSLGHKLASHFNLKINT >KGN53573 pep chromosome:ASM407v2:4:5595838:5599374:1 gene:Csa_4G083480 transcript:KGN53573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCAGSSQTKADGSIKKIRKPKSWKHPQPITRSQLTQLRDEFWDTAPHYGGRKEIWDALRAAAEAELSLAQAIVDSAGVIVQNADLTICYDERGAKYELPNYVLSEPTNLIRDSS >KGN53953 pep chromosome:ASM407v2:4:9565728:9567242:-1 gene:Csa_4G193230 transcript:KGN53953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSWRDGLSNNNKSRVIVDESIEEMEPHELLMGRLVRDEDHTQLERTGFACHTDLHSKVCLTNNPTRINNTNLEFYISTNNDSQQNNFSPILIHPYARQEDKITLRDVTPLQIIFQPNKTLLPLCQFIHNVPVLIFSTGGFTGNLFHEFDETIIPLFITSYHFQTRVRFLITDHKTWWVQKYNRILSGLSRFNVVNPAEDGSVHCFNGGVIGLKFHNILSLNNTDIPGGYSMSDFRSFLRQTYNLKVNNVSELSGKKPMVMLISRQTSRRFMNEGEMVEMMKEVGFEVMTTTPQRMSNLDKFSSVVNLCSVIIGAHGAGLTNEVFLANGAVVVQVVPFGLDWPSTYFFGKPAAEMELQYLEYKIEAKESSLWDKYGENHPVIRDPESIFAQGYFASRAIYIDEQNLKINLTRFRDTMIQVKKLIEEKRGSW >KGN55097 pep chromosome:ASM407v2:4:20509481:20512195:-1 gene:Csa_4G628940 transcript:KGN55097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASDSRSTGVTGRIKSAATIMHSDNQSLLAELRKTLIMMKEIGVDLEKEKQYKMVKELEKSIVELLSAYENCNNFSSAIQSVGNTYEPKEELTDFEKLLDDEVAKVSESSSSNFANHPIIRQFREAIWNVHHAGQAMAGEEQEDVVMTSTQCNLLNVTCPLSGKPVTELAEPVRSVECKHIYEKAAIMQYLNSKKSRAQCPVAACPKMLQPDKVVLDPFLEIEIDELRKMSRHSGRIQDFTELDAD >KGN53384 pep chromosome:ASM407v2:4:4172176:4173235:1 gene:Csa_4G051360 transcript:KGN53384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEMDLFSTHVVPPIKYTEHRNQTRLVSSPLMGPKVVRISVTDADATDSSSDEEKEEYVCRRVRKFVNEITIEASSTGKSSRKKSTGGKSKFAAVNRGSLKQMPAGSRKFRGVRQRPWGKWAAEIRDPSRRVRVWLGTYNTAEEAAMVYDNAAIQLRGPTALTNFTPPPVKSSPETTPAVSSGYVSTEESNDNLSSPTSVLRCPSPSANDAVSEKASATTGKEIRGEESEKFSDFSFHSNCDTFFPNDIFDFQAPVPSPFEDKLLNDALLKGDYGSSMFINPGDDFEFGFGFGLSTWHTVEDSFLDFSDIFGSDPLLAL >KGN54073 pep chromosome:ASM407v2:4:10872006:10873318:-1 gene:Csa_4G280500 transcript:KGN54073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENFESNFTEFIPGLPEELSLDCITRLPYTSHRLASAVCRRWQQLISSPDFYYHRRKSGATTLLSCFIQALPPAFSTTGWKLCTSLAYGLTVFDSLSQSWDRIPSIPQYPDGLPLFCHIASTEGKLVLMGGWDPATYDPIIDVFVYDFTQGAWRKGKDMPSKRSFFAIGASDGRVYISGGHDESKNALKSAWVYDLRTDEWTELPQMSQGRDECEGLMVGREFWVVSGYDTERQGMFDASAEVYDLDSGEWRVVDQAWEEGRCPRACIGMDKDGKLTNWSESAPAVRVGACGMVMGSRTLVTGSEYQGGPQNFYVMEGEGGQNGKMRKINVPEEYGGYVQSGCCVEV >KGN54429 pep chromosome:ASM407v2:4:13201028:13216720:-1 gene:Csa_4G325540 transcript:KGN54429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGDLMASRISQSSLAVVSNHLDDCSSSNHDDDGDLISLRRDSEVASSSYANAAVTTATTMVYLPQTIVLCELRHDAFEACLPAGPSDTGLVSKWRPKDRMKTGCVALVLCLNISVDPPDVIKISPCARMECWIDPFSMAPQKALESIGKTLSVQYERWQPRARYKVQLDPTVEEVKKLCSTCRKYAKTERVLFHYNGHGVPKPTASGEIWLFNKSYTQYIPLPISDLDSWLKTPSIYVFDCSAAGMIVNAFTELHDPSGSTRDCILLAACESHETLPQRAEFPADVFTSCLTTPIKMALRWFCKRSLLRESLDDSLIDKIPGRQTDRKTLLGELNWIFTAVTDTIAWNVLPHDLFQRLFRQDLLVASLFRNFLLAERIMRSANCSPISHPMLPPTHQHHMWDAWDMAAEICLSQLPALVEDPNLEFQPSPFFTEQLTAFEVWLDHGSENKKPPEQLPIVLQVLLSQGHRFRALVLLGRFLDMGPWAVDLALSVGIFPYVLKLLQTTTPELRQILVFIWTKILALDKSCQVDLVKDGGHTYFIRFLDSLEAFPEQRAMAAFVLAVIVDGHRRGQEACIEANLIHVCLKHLQSSTPNDGQTEPLFLQWLCLCLGKLWEDYIDAQIIGLQADAPAVFSSLLAEPQPEVRASAIFALGTLLDVGNDSSRDGVVDDDCDDDEKIRAETSIVGSLLSVVSDGSPLVRAEVAVALARFAFGHNKHLKSIAAAYWKPHCNSLLSSLPSLAHIRSSGNAYTNSNQHMPHGSIVSSQIGPLLRFGNENSTLVRDGRVSTSSPLANTGMMHGSPLSDDSSQHSDSGVLHEDVVSNGTVNHSRPKPLNNALYSQCVLTMCALANDPSPRIASLGRRVLSIIGIEQVVTKPVKASSSGLKPTDGTASSQPPSFAGLARSSSWFDMNGGHLPLTFRTPPVSPPRPSYLTGMRRVCSLEFRPQLMNSPDSGLADPLWGSGGTSGTSERSFLPQSTIYNWSCGHFSKPLLTVADDGEEIFTRREEREKFALERIAKCQHSPVSKLNNNPIASWDTKFEMGTKTLLLQPFSPIVVAADENERIRVWNYEEPALLNSFDNHDFPDKGISKLCLVNELDDSLLLAASCDGNIRIWKDYTLKGKQKLVTAFSAIQGHKPGVRSINAVVDWQQQSGYLYASGEISSIMLWDLDKEQLVKSIPSSSDCSISALSASQVHGGQLAAGFFDGSVKLYDARIPEMLVCTMRPHVQKVEKVVGIGFQPGLDSSKIVSASQAGDIQFLDIRNQRDRYLTIDAHRGSLTALAVHRHAPILASGSAKQLIKVFSLDGDQLGTIRYHPTFMPQKIGSVSCLTFHPYEVLLAAGAADACVSIYADDNSQGR >KGN54404 pep chromosome:ASM407v2:4:13007658:13009545:1 gene:Csa_4G312860 transcript:KGN54404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSVSHSPDFRPEVSVTPPTHDGLYFWQFMIAGSIAGSVEHMAMYPVDTLKTRIQALGGGSSTVRQALGSILKVEGPAGLYRGIGAMGLGAGPAHAVYFSVYEFAKEGFSMGNKNNPLAHAIAGVCATVTSDAVLTPMDVVKQRLQLKSSPYKGVRDCVKRILVEEGIGALYASYRTTVVMNAPYTAVYFATYEAAKRGLKEVSPGSDEDERLIVHATAGAAAGSLAAALTTPLDVVKTRLQCQGVCGCDKFSSSSIGYVLGCVVKKDGYNGLMKGWIPRMMFHAPAAAICWSTYEASKSFFQHLHNHNN >KGN53501 pep chromosome:ASM407v2:4:4972530:4973311:1 gene:Csa_4G062370 transcript:KGN53501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSILGSKIFNLKNWSQITKSFLSFLNSLRTLLSFVNPFVSSSSQSQFCALSFSPSVSLRFSSYSDGHPKGFLKI >KGN52924 pep chromosome:ASM407v2:4:1032967:1033800:-1 gene:Csa_4G006310 transcript:KGN52924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREDQIKSEMDWFSWLSRTSLHPSLVYEYGLALANNELEHEDILYFNHEFLQSIGISIAKHRLEILKLVHKENALHPRPITRILLALKKTKRRFANLIRNFTHHDDQLEESKALVLVPKSSYAAKILKRNKTFSVGKTQGRFLLTNGSPDPDVKIGRRIQHFSGPILYDARSAAAAEEEKKKEGVEEIRWDAMFQNLRPT >KGN54612 pep chromosome:ASM407v2:4:15131049:15132261:-1 gene:Csa_4G377750 transcript:KGN54612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLNREKSKGIPSSDLLVCFPSRSHLALMPNPLCSPARGSDSSKFRLDYRRYHRRRKSAESPVVWAKAKTMGSEISEPSSPKVTCAGQIKIRPKNSKSWQSVMEEIERIHNRRKLRRRRFNWIESFGFKKDIMQFLTCLRTMRFDFRCFRAFPETDFTTEEEEEEEEEEEEEEEKNQVGIEENESSRTAFSKWFMVLQENGSNELKRDSNSRCYEDDESIEATMAPPRNALLLMRCKSAPARRWMEEESEEEDDEKEKEKEKEKVKVKKSLKWLMEEENRERVVMEMGTDFCRMISDNAKEFTRSQSWKV >KGN55345 pep chromosome:ASM407v2:4:21999824:22002065:1 gene:Csa_4G646190 transcript:KGN55345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESNSKSKFNRVCVFCGSNSGHRKVFSDAALDLGNELVQRKIDLVYGGGSVGLMGLISQTVYDGGCHVLGVIPKALMPHEISGQTVGEVRTVLDMHERKAAMARESDAFIALPGGYGTMEELLEMITWAQLGIHKKPVGLLNVDGYYNSLLALFDNGVVEGFIKPVAREIVISAPTAKELMEKMEEHTPFRENVAPHESWEMEHLGDYPSHETKP >KGN53113 pep chromosome:ASM407v2:4:2181318:2187685:1 gene:Csa_4G017010 transcript:KGN53113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGFMRLWTKKTWIGLGLGQILSLLITSTGFSSSELAKQGIDAPTSQSFVNYVLLALVYGITMLSRRKALKAKWYYYILLGLVDVEANYLVVKAYQYTSITSVMLLDCWAIPCVLLFTWLFLKTKYRLRKIIGVVICVAGIVAVIFSDVHAGDRAGGSNPIKGDALVIAGATLYAVSNVSEEFLVKNAGRVELMAMLGLFGSIISGIQISIIERKELKSINWTPKTALPFVGFSVAMFLFYSLVPVLLQINGAAMLNLSLLTSDMWAVVIRIFAYHEKVDWIYFVAFAAVVVGLVIYSVADKDEDRNHGNVANVVADEEGGPRNHKMDERIVTEGSSSRPDGNGGGGNARMEGIDKKGEIALVEPE >KGN54366 pep chromosome:ASM407v2:4:12681134:12684687:1 gene:Csa_4G308560 transcript:KGN54366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLFLITLDGNFYSCKHCKTHLALSKDIISRTFYCRHGRAYLFNNVVNVTVGEQEERMMITGLHTVVDIFCVGCGSIIGWKYEVAYDKSQKYKEGKYILEMFKVLAPDGTGYLASHDPQLVGSDGDGDDA >KGN53950 pep chromosome:ASM407v2:4:9550873:9555444:1 gene:Csa_4G192220 transcript:KGN53950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKRSGKPQSESFSNKIYRFRGVLLLISLSLFLIAFVLYLMPAREDYSFNHRKVSPDHKSSSSSKTSFAVIFDAGSSGSRVHVFCFDHNLDLLPVGKDIELFLQLKPGLSAYADSPKDAAASLISLLDKAQDVVPKGLRPMTPVRVGATAGLRALKGDASDRILQAVRDLLRDKSELRLEGDAVSVIDGTQEGSYLWVTLNYLLGNLGKKYSDTVGVVDLGGGSVQMAYAISENDAARLSEAEGAYVKKMYLKGATYYLYVHSYLHYGLLAARAEVLSVSEDSSNDCILSGYEGEYHYGGKDYKASASSSGSNLNGCRSTVLKALKVNESTCTHMKCTFGGVWNGGGGDGQKNLFVASFFFDRAAEAGFADPNEPVAIVRPADFNDAAKQACQIKVEDASTYPHVEKDNLPYLCMDLVYQYTLLVDGFGLDPWQEITLVKKVKYQNSLVEAAWPLGSAIEAVSSLV >KGN53929 pep chromosome:ASM407v2:4:9387460:9387855:1 gene:Csa_4G190010 transcript:KGN53929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQPLSYAAKKIREASWKMTDEYVKSAIDFLATQEDICWTRTSSSSSSSIVRVKGTSLSNPNLSIVSWLSMPIYDADFGWGYPDYVGPSMLAYDGKMFIMPGPNNDGLIIVAIQLQMKHMEYFKKFFYEDL >KGN55490 pep chromosome:ASM407v2:4:22935038:22937302:-1 gene:Csa_4G658500 transcript:KGN55490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFRRSSLTDVTDCRLLFLILTSLSLILFFSASTLSDIPFSTLAPLQSFIIGTAFQHPLDSNYLPSIRDSSEGIPPEAKRKIQLQKSKMAVCLVGGARRFEVTGPSIMEKILKEYPNADLFLHSPVDENTFKLSYLKNAPKIAAVRIFEPKPIPETESQLRVLTAKNSPNGIQGLLKYFQLVEGCLTMIQTYQQLNNFTYDWVVRTRVDGYWNTPLRPDSFLSGQYVVPSGSSYGGLNDRFGVGDLNTSTVALSRLGLIPYLDAAGFRELNSETAFKAQLTTMGVPLVTMRLPFCIVTERQYEFPPGRFGVPVAAMSSRGPLSGTKCRPCRVACEGECVERVMGWLEKGWSWTNWENGTMGLCNASGEWEMEWEKVYEEMVGEEMGDLSWKIQKMKMSECVEGFNEMKRRSGIWDSPNGENICKLGFRN >KGN53274 pep chromosome:ASM407v2:4:3404632:3404958:1 gene:Csa_4G043900 transcript:KGN53274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMRDQLTHRGLAALAKRYGGIFHLHMVVISDRDAARNVLQIPSRASLKKLQILQDYDVDDQQRQ >KGN55356 pep chromosome:ASM407v2:4:22069235:22076251:-1 gene:Csa_4G646300 transcript:KGN55356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQFKGQPRLPKFALPKRYDIYLKPDLCLCKFSGSVSIDIDILSDTRFLVLNAADLLVHHASVSFTNQESSKVIQPSSIQACEVSQILVLEFAETLPFGFGILRMDFEGILNDSMKGFYRSTYEHNGEKKNMAVTQFEPVDARRCFPCWDEPAFKATFKITLDVPSELIALSNMPILEEKVNGDLKTVSYEESPIMSTYLVAIVVGLFDYVEDHTPDGVKVRVYCQVGKANQGKFALHVAVKTLDLYKRYFAVPYSLPKLDMIAIPDFAAGAMENYGLVTYRETALLYDDQHSAAANKQRVATVVAHELAHQWFGNLVTMEWWTHLWLNEGFATWVSYLATDSLFPEWNIWNQFLEESNHGLTLDALAESHPIEVEVNHASEVDEIFDAISYRKGASIIRMLQSYLGPENFQKSLASYIKKHSCSNTKTEDLWAALEEGSGEPVNNLMSSWTKQQGYPVVTVKVKDEKLVFDQSRFLSSGSSGEGQWIVPITLCCGSYDLRKSFLLETNTKSVDIKETFGCSISKCCGGNDKYCDWIKLNVDQTGFYRVKYDEDLAAKLRNAIEKKNLTPTDRFGILDDAFALSMACQQSVTSLLTLMGAYREELDYTVLSNLISICYKLERIAADAVPESLDNLRQFFTNIFQFAAEKLGWDPKPGESHLDAMLRGELLTALALFGHEQTIEEANRRFLAFFDDRSTPLLPPDIRKAAYVAVMQTVNASNRSGFESLLRIYRESDLSQEKTRILSSLASCPDPNIILEVLNFLLSSEVRSQDAIFGLGVNWKARETAWTWLKDKWEEISKIFDSGFLIARFVSATVSPFASYEKAKEVEEFFANRVKPSINRTLRQSIERVHINSRWVQSVQKERDLPEAITELSCRRY >KGN54586 pep chromosome:ASM407v2:4:14946179:14947390:1 gene:Csa_4G372080 transcript:KGN54586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEFSTAIGIETLQIVALMTLSQENIAMFLSYLSFDYSTQTRKACRAAKDLSGIFENFIYEP >KGN55515 pep chromosome:ASM407v2:4:23071779:23072090:-1 gene:Csa_4G664230 transcript:KGN55515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPVENRQFNHSSEKEGREMMRCEGSSEGGEVGEDKKPIANIPNNNKGKE >KGN53952 pep chromosome:ASM407v2:4:9563328:9564273:1 gene:Csa_4G192730 transcript:KGN53952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPIERILSYKFKNKKLLEEALTHSSYLNSPSYDRLEFIGDSAIGLAISTYFFPLYPDLNQGQLTLLRAANVSTEKLARVAVLHRLYSYVRRNADDLDDNVREFADAVALEDNSIPYVGLVKAPKVLADIVESVAGAIYVDVEFDLQKLWAIIKDFLKPIYTPEDLEADPQPVTLLFEDCQKKGKQVHIENQEKGSTYVAKVYVDCRPVASGFSKQKPIARLNAAREALIILSDSTDTKIKRVVTIDGIDGSFEIEGAKQKLHDFCCRKKWQSPSYR >KGN55550 pep chromosome:ASM407v2:4:23196232:23200240:1 gene:Csa_4G664570 transcript:KGN55550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFLQLFGWEQAPNLDCRNGGCEGIVGGVIHQIEHAVDEHFSDNTFSSWYGEERPRWLGPLPYDYPKYLTGELPGDYGFDIAGLSEDPVAFQKFFNFEILHARWAMLASLGALVPEILDIFGAFHFTEPIWWRVGYSKLKGDTLDYLGIPGLHLAGSQGVIVIAICQAILMVGPEYARYCGIEALEPLGIYLPGDINYPGGVLFDPLNLSKDAAAFEELKVKEIKNGRLAMVAWLGFYSQAALTGKGPVQNLLDHIADPFHNNFLSLLNSSFSR >KGN55251 pep chromosome:ASM407v2:4:21483210:21489931:1 gene:Csa_4G642320 transcript:KGN55251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSYSATLLCSLLGFNLLAVILSSSVDSRDFDYQQRSVILPLFISPTNSSHRRVLDRDHRLRHLQNLVKPHSSNARMRLHDDLLTNGYYTTRLWIGSPPQEFALIVDTGSTVTYVPCSNCVQCGNHQDPRFQPELSSTYQPVKCNADCNCDENGVQCTYERRYAEMSTSSGVLAEDVMSFGKESELVPQRAVFGCETMESGDLYTQRADGIMGLGRGTLSVMDQLVGKGVVSNSFSLCYGGMDVGGGAMVLGGISSPPGMVFSHSDPSRSPYYNIELKEIHVAGKPLKLNPRTFDGKYGAILDSGTTYAYFPEKAYYAFKDAIMKKISFLKQISGPDPNFKDICFSGAGRDVTELPKVFPEVDMVFANGQKISLSPENYLFRHTKVSGAYCLGIFKNGNDQTTLLGGIIVRNTLVTYNRENSTIGFWKTNCSELWKNLHYLSPAPPPAPLPSHVPNTSKEVPPPGSPSVPFLSGEFQVGVITFNMMLHVNQSSVKLNITELAEFIANELEVSVSQVHVLNFTSGETDIFIRWAIFPADSAGYISNSTAMDIISRLKEHELQLPEKFGSYQLVELNVEPPLKKTWMEQHFWSITTIGVAVTLVVGLAAGSTWLIWRYRRRDTSSYEPVGVVGPEQELQPL >KGN53422 pep chromosome:ASM407v2:4:4446285:4447081:1 gene:Csa_4G052720 transcript:KGN53422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAINEVEEKALKIAMGNCSLKGMAVDCEKPIRILTDSGHIINFHGPKQVHQILNNYPPGIYGVFRRPNLSSPLPVSEPLDAGKSYFLLPLSQSTNDGESPLPVPPPSKDVGSESGLEVLPAGGNGVWRVKLVIDTKQLGEILAEEGNTEALIERMRAAAATAAVQSPRRGKIGGWKPMWGNWFKFFPIDVGNSNKAQMKVFNY >KGN53691 pep chromosome:ASM407v2:4:6750695:6762935:-1 gene:Csa_4G106350 transcript:KGN53691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEKLRDLSQPIDVTLLDATVAAFYGTGSKEERSAADQILRDLQNNADMWLQVVHILQNTKNLNTKFFALQVLEGVIKYRWNALPVEQRDGMKNYISDVIVQLSSNEASFRVERLYVNKLNIILVQILKHEWPAKWRSFIPDLVSAARTSETICENCMAILKLLSEEVFDFSRGEMTQQKIKELKQSLNSEFQLIHELCLFVLSVSQRTELIRATLSTLHAFLSWIPLGYIFESPLLETLLKFFPVPSYRNLTLQCLTEVAALNFGDYYNRQYIEMYTVFMGRLQTILPPSTNIPEAYAHGSSEEQAFIQNLALFFTSFYKSHIRVLESTQESIAALLMGLEYLINISYVDDNEVFKVCLDYWNSLVLELFETHHNMDNPAVSANMMGLQVPLLSGVVDGLGAQLMQRRQLYSGPMSKLRMLMICRMAKPEEVLIVEDENGNIVRETMKDNDVLVQYKSMRETLIYLSHLDHDDTEKQMLKKLSRQLSGEDWSWNNLNTLCWAIGSISGSMMEDQENRFLVMVIRDLLNLCEITKGKDNKAVIASNIMYVVGQYPRFLRAHWKFLKTVVNKLFEFMHETHPGVQDMACDTFLKIVQKCKRKFVIVQVGESEPFVSELLTSLPTTVADLEPHQIHTFYESVGNMIQAEPDPQKRDEYLQRLMDLPNQKWAEIIGQARQSVEFLKDQDVIRTVLNILQTNTSVASSLGTYFLPQISLIFLDMLNVYRMYSELISSSIAGGGPYTSKTSYVKLLRSVKRETLKLIETFLDKAEDQPQIGKQFVPPMMEPVLLDYARNLPDARESEVLSLFATIINKYKNTMIEDVPCIFEAVFQCTLEMITKNFEDYPEHRLKFFSLLRAIATYCFPALIRLSSQHLKLVMDSIIWAFRHTERNIAETGLNLLLEMLKNFQASEFCNQFYRTYFLTIEQEIFAVLTDTFHKPGFKLHVLVLQHLFCLAESGVLTEPLWDAATVTYPYPNNVAFVREYTIKLLSSSFPNMTAAEVTQFVNGLFDSRNDLSVFKNHIRDFLVQSKEFSAQDNKDLYAEEAAAQRERERQRMLTIPGLIAPNEIQDEMVDS >KGN54478 pep chromosome:ASM407v2:4:13779222:13779923:1 gene:Csa_4G337350 transcript:KGN54478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFARCTDESKESENSSTKCCSKVETQVEIVELRVPIEPSTPDADRESGDFPSDSKSPITQVVTSKPLLLTCLDSLGERTEAPLESSDSFDPLCSPRTPKDGVFDPFSPAPAHLALAPISRKYFSGSVGFVPRRLQFGSSSSSLQLVEAEEEQSISDSELLEAVYENLLEVIVSHQAESSLAQLSSSQSDSPDCNTPPTSFMSGVAQTCPAAPVKPSRKLRNLDMGLCRKLEF >KGN53442 pep chromosome:ASM407v2:4:4607419:4615263:-1 gene:Csa_4G055370 transcript:KGN53442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGICLVKCSGLLILHVLLLCMAMNKSTGLTPDGAALLSFRMAVASSDGVIFQWRPEDPNPCNWTGVVCDPKTKRVISLKLASHKLSGFIAPELGKLDQLKTLILSDNNLYGTIPSELGNCSQLQGMFLQRNYLSGVIPYELGNLLELEMLDVSSNSLSGNIPTSLGNLDKLAILNVSSNFLIGPVPSDGVLSKFSETSFVGNRGLCGKQVNVVCKDDNNESGTNSESTSSGQNQMRRKYSGRLLISASATVGALLLVALMCFWGCFLYKRFGKNDKKGLAKDVGGGASVVMFHGDLPYSSKDIMKKLETLNEEHIIGSGGFGTVYRLAMDDGNVFALKNIVKINEGFDHFFERELEILGSLKHRYLVNLRGYCNSPTSKLLIYDYLSGGSLDEALHERSEQLDWDTRLNIILGAAKGLAYLHHDCSPRIIHRDIKSSNILLDGNLDARVSDFGLAKLLDDDKSHITTIVAGTFGYLAPEYMQSGRATEKTDVYSFGVLVLEVLSGKRPTDASFIEKGLNIVGWLNFLVTENRQREIVDPQCEGVQSETLDSLLRLAIQCVSSSPDDRPTMHRVVQFFESEVMTPCPSDFDDSNSD >KGN54510 pep chromosome:ASM407v2:4:14201701:14202084:1 gene:Csa_4G343080 transcript:KGN54510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIEEISLKPFYENFQNPWAKKLPSHSLKSSSENSPITSSSSHFNGINVKKPLKPPKPPSLPLKRMFRNVPKYPRSLPLHLRNPSLRILGRRSSSSPECKPPHPNATPVYLIRSKPKDPNRLSWNPL >KGN55366 pep chromosome:ASM407v2:4:22113680:22118256:1 gene:Csa_4G646400 transcript:KGN55366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAAISSLISSRNFNLHQSSFSRSIFHQPSLLLPSRFPVSSTGGGGGANLTTLRHKFRFHSIKSSASMASSFNPEQARSPPALPLPIPPVTKFKIALCQLAVTADKQRNIEHARKAIEEAVEKGAQLVVLPEIWNCPYSPDCLPLYAEDIEAGGDASPSTAMLSEVSRRLKVTIVGGSIVERSGDKIYNSSCVFGTDGKLKAKHRKIHLFDIDIPGKITFIESKTIAAGQTPTVVDTEVGRIGIGICYDIRFPELAMMYAARGAHLICYPGAFNMTTGPLHWELLQRSRAVDNQLYVATCSPARNADASYVAWGHSTLVGPFGEVLATTEHDEAIVISEIDYSFIELRRTNLPLLKQRRGDLYQLVDAQRLKSE >KGN54330 pep chromosome:ASM407v2:4:12404430:12405235:-1 gene:Csa_4G304760 transcript:KGN54330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEVELFGGWMSPFSRRVELGLKLKGIDYKYHEEDLKNKSDFLLTYNPIYKKVPVFLHNGNPISESLIILEYIDQVWNSLYPFFPQQNPYETAQARFWAKYIDDKVLAATLKAAKSSKREEKEKGLEETEETLKPLEKELQNKKFFGGNKIGIVDIVGTVIAYWIPAIEEGFGSELLTSKKFPKLTKWSEEIVKNSVVKQVLPPKSVLVAYLQVVLTAN >KGN53298 pep chromosome:ASM407v2:4:3592301:3595070:-1 gene:Csa_4G046610 transcript:KGN53298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIEKNFHMNGGIGNNSYAKNSHLQRKASDMVKHVTMEAIEKVYLSTGAPTSFGIADLGCSSGPNTLSIVKEIIQAFQSLSSDHLRQSSEFRVYLNDLPTNDFNSIFKALPDFCRELQNEGVNQNPSGFFIGAYPGSFYQRLFPSNCLHFVYSNYSLHWLSRVPEGVRDEFGKPVNRGTIYISERSPISVVEAYVKQFRRDFWEFLRKRAEEVVSGGRMVLILLGRDGTDHVDRGNSFMWHLLAEAFAILVSKGEVKEEELDSYDVNFYAANKEEIEEEVRREGSFGLERIEKFELEKKVKMNNNGGDESYGKEVAKSVRAIQESMISHHFGDSILDSLFLNYGTLLDEEMAKQEIKPITFVLVLTKL >KGN54433 pep chromosome:ASM407v2:4:13265807:13268456:-1 gene:Csa_4G329550 transcript:KGN54433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFIRTLPLPPSPSSNQLRRLLFPASSFPCLRGFRLLQFQPMDSFSTSANSHALPDSSCCGSSCGCGRDKEHLHDRDNSSDVIHVGSIPVHLNPKEREPKSYNYDESLPVHRQNTRRSRIDLGSKRDLKSNARSYQVERLEFLNDSCQEYKSSLPIHFGKKNEVFVSKLQSLDTGPKESVVTDNSLPFEPPFDICLPGGGNVKHRNIYVVKEGGTVKDYRLLRPGMVLLKHYITPREQINIVKTCQNLGIGPGGFYQPGYKDGAKLRLRMMCLGLDWDPQTRRYENKRVVDGNKPPDIPPQFTFLVKRALKDAHAFIKNNCNISNVEEILPSMSPDICIANFYTTRGRLGLHQDRDESKESLWRGLPVVSFSVGNAAEFLYGDKRNVDKAEMVELESGDVLIFGGESRHIFHGVSSIIPKSTPKFLLHHTGLRPGRLNLTFRKY >KGN53147 pep chromosome:ASM407v2:4:2419932:2435323:-1 gene:Csa_4G022340 transcript:KGN53147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSRALPHRSGAGIVKSIRLENFMCHSNLYIQFGEWLNFITGQNGSGKSAILTALCVAFGCRAKGTQRAATLKDFIKTGCSHAVIHVVLQNNGEDAFKHGIYGDVIIIERRISESTSAIVLKDCQGKKVASRRDELRELVEHFNIDVENPCVIMSQDKSREFLHSGNDKDKFKFFFKATLLQQVDDLLKNIFDNLRSANALVDDLESTIRPVEKELNELRGKIKNMEQVEEISQQVQQLKKKLAWSWVYDVDKQLQEQSAKIGKLQDRIPICRAKIDHQLGLVEKLRDRYIEKKTQIASMMERTSEVRRMKDELQETLTSATREKLGLEEEHGRKINYIQKLAKRVRLLEQQVQDIHEQHIKNTQAEESEIEEKLKELESETEAAKSTVMRLKEEENALMESLYSGRNEIKKIAEEIASYEKKGYEFSHSIQELKQHQTNKVTAFGGDKVIQLLRAIERHHQRFKKPPIGPIGSHLNLVNGDMWAPAVEIAIGRLLNAFIVTDHQDSLLLRRCANEANYRQLPIVIYDFSRPVLNIPAHMLPQTKHPTTLSVIHSENHTVINVLIDKGDAERQVLVKDYNVGKSVAFDQRISNLKEVFTLDGYKMFSRGSVQTILPPVRKPRSGRLCSSFDDQIKSLEKDALNVKQEAEQCRKRKRVSEEQLRDLEDNLNNAKRRCRSAERFLMSKNLELQDLRKSQVAETSSVPSSNVDELHQEISKIEEEIQENKMLLEKFRVRMKEAEAKAKDLKVSFENLCESAKGEIDAFEEVERDMLQLERKLHSAEKEKDHYEGIMTNKVLFDIKEAERQHQELERHRKESYSKASIICPESEIEALGDWDGSTPEQLSAQLTRLNQRLNNETRRCSESLEDLRMMYEKKERTIIRKRQTYKSFREKLDACQKALQLRWNKFERNASLLKRQLTWQFNGHLRKKGISGNIKVNYEEKTLSVEVKMPQDASSSSVRDTRGLSGGERSFSTLCFALALHEMTEAPFRAMDEFDVFMDAVSRKISLDTLVDFALAQGSQWIFITPHDIGVVKQGERIKKQQMAAPRS >KGN53241 pep chromosome:ASM407v2:4:3146308:3150492:-1 gene:Csa_4G036610 transcript:KGN53241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKRLNGALVLLWFIFVGQFCCTNVSATVEATVLHIFRLNLEDPNNVLQSWDPTLVNPCTWFHVTCNNENNIIRVDLGNAGLSGKLVPQLGQLKSLQYLELYGNNISGEIPDDLGNLENLVSLDLYLNGLTGPIPDTFGKLTQLRFLRLNDNKLSGLIPISLINISTLQVLDLSNNLLSGKVPNNGSFSLFTPISFANNLDLCGLVTGKPCPGDPPFSPPPPFVPQSTVSSHELNNPNGAIVGGVAAGAALLFATPAIIFVYWHRRKSREIFFDVPAEEDSEINLGQLKRFSLRDLQVATDNFCNKNILGRGGFGKVYRGRLADGSLVAVKRLKEERTPGGELQFQTEVEMISMAVHRNLLRLHGFCTTSSERLLVYPYMANGSVASCLRERPQSEAPLDWPTRKKVALGSARGLSYLHDGCDPKIIHRDVKAANILLDEEFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGIMLLELITGQRAFDLARLANDEDVMLLDWVKGLLKEKKLEMLVDPDLKENYDEIEVEQIIQVALLCTQSSPMERPKMSDVVRMLEGDGLAERWEEWQRVEVVYHETELTSSQTSEWMVDSTENVRAFELSGPR >KGN55573 pep chromosome:ASM407v2:4:23384493:23389449:1 gene:Csa_4G674740 transcript:KGN55573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEAFEEWKSKKFALTVPLNVVALRDSIPPSWIKEFIQSQGKRLKFTVKFNGSLESIFSELSVPVGKSKVKPSSIMVADVVSIGDSWLNFAIKKALIEPIQDVEDQDWYNNLSTKWKVLLRRNSEGEIDPEGKIWSAPYRWGCMVIAYNKVKFRKNNLAPMEDWSDLWCPELRGRISMVDSPREVIGAVLKYMGASYNTENISSQIPGGRDAIHQNLTLLAKQVRLFDSAHYLKAFAVGDVWVAVGWSSDVLPVVKRMSNIAVVVPKSGSSLWADLWAIPATSRIETEPIGGRVRGPSPLFHQWIEFCLQTARALPFKQEVVPGASPAAIEGPVVVPKELFEGKPKLDTNLIGGVPPSDILEKCEFLQPLSDSTLADYRWLAANMQKPEHRLMDRVHQAVSSLVRFVSQISLKNSTKISS >KGN53410 pep chromosome:ASM407v2:4:4370630:4374558:1 gene:Csa_4G052600 transcript:KGN53410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKPLTTEAIAITEKKMDMALDDIIKMSKNTGNKGRKQRRLPNKMQKFPNNATQDRPRKLQRFMDSRSSLRQGALANRRSNFQGNQFPLATEVARKAAVAPIRPRAFTRRAPNWNKTRVEAHPPVPRKPFTNGNFVPKVSAPAQPQTNTTPRQRPQTLDSLFANMKEQRLRVLSQRQNGGGAQQRNGGRQQQRPPWGKRPFW >KGN55105 pep chromosome:ASM407v2:4:20564126:20567547:1 gene:Csa_4G630000 transcript:KGN55105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAITCFSLSSKFGNLSLNGFSSSIPAAPSSSTLRSLSFSSNISLSAFSNGCLSMRKTERLHRYSVVCEAAPKSKVDSAAKRARQAEKRRIYNKARKSEIKTRMKKVLEALDGLKKKAEAQSEEVLPIETLIAEAYSVIDKAVRAGTLHRNTAARRKSRLARRKKAVEIHHGWYTPDSPAAA >KGN53107 pep chromosome:ASM407v2:4:2153828:2157080:1 gene:Csa_4G016460 transcript:KGN53107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEFLWTFAVQEVLKKVLKLAADQIGLAWGLDKELSNLSQWLLKAEAILGEINRKKLHPSSVRLWVEDLQLVVHEADDLLDELVYEDLRTKVEKGPINKVRSSISSLSNIFIIFRFKMAKKIKAIIQKLRKCYSEATPLGLVGEEFIQTENDLSQIRETISKLDDFEVVGREFEVSSIVKQVVDASIDNVTSILPIVVGKIMESTLSSHHLGKLSDEQCWSLFKKSANADELPKNLELKDLQEELVTRFGGAPLVARVLGGALKFEGVYEKWVMSLRTTTSIPLQDEDLVLSTLKLSVDRLPSFLLKQCFAYCSNFPKGFKFKKEELIEMWMAQGFIQLHEGRNEITMEENGEKYFNILLSRSLFQDIIKDDRGRITHCKMHDLIYEIACTISNSQKLQQEHIDLLDKGSHTNHRINNAQNLRTLICNRQVLHKTIFDKIANCTCLRVLVVDSSITKLSESIGKIKHLRYLDISNSKIEELPNSISLLYNLQTLKLGSSMKDLPQNLSKLVSLRHLKFSMPQTPPHLGRLTQLQTLSGFAVGFEKGFKIGELGFLKNLKGRLELSNLDRIKHKEEAMSSKLVEKNLCELFLEWDMHILREGNNYNDFEVLEGLQPHKNLQFLSIINFAGQLLPPAIFVENLAVIHLRHCVRCEILPMLGQLPNLEELNISYLLCLRSIGYEFYGNYYHPYSHKVLFPKLKKFVLSQMPNLEQWEEVVFISKKDAIFPLLEDLNISFCPILTSIPNIFRRPLKKLHIYGCHEVTGLPKDLQLCTSIEDLKIVGCRKMTLNVQNMDSLSRFSMNGLQKFPQGLANLKNLKEMTIIECSQDCDFSPLMQLSSLVKLHLVIFPGSVTEQLPQQLEHLIALRSLYINDFDGIEVLPEWLGNLTSLEVLGLYYCINLKQFPSKKAMQCLTQLIHVDVHNCPSSQILSHDLKAKAHAKANLVQW >KGN53217 pep chromosome:ASM407v2:4:2905774:2908570:1 gene:Csa_4G027920 transcript:KGN53217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKDRDHHHRHHRRRDSPTPMKKAQVFYYISRNGRLEQPHFLEIPLFPNHPLRLKDVLDRLAVLRGNAMPFLYSWSCKRNYKSGYVWNDLSENDVVYPAEGYEYVLKASQLVDLHASCEKLQQVHIPNNNPRQPVQEPNLPTKTRKQQLAPTPLPHHPHSDLEYDEDEDYEYDDGDKNAGEPPGTTQTPTESARCSTSKRFALNNDDELGIESGPSRNSVLMQFIGCGGSVGSKGKTVRRTEKGIGKGVVCKMGGNMMREEEMIKYMSENPRLGKLQREEKEYFSGSIVESIREDRHVIPPMLNKSNSYKEEKSKREELEEKKDEDEDEENENENGGIKGRCLPLMILTSTSSKQPKKP >KGN53714 pep chromosome:ASM407v2:4:7025368:7029017:1 gene:Csa_4G109030 transcript:KGN53714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIAEASPTTLAPLLLRNLATSLFVFADKSLINLSKKYKLLQLIHALIISSFLFFLRLLPSLFPSIHTVSDDCYPLKSPKDGSYGTGGSGDLGVSRALTQLLSIISHIPVSSRKYEVVRSLAEKLIDENHWEGIEELREVNRVVLSTAFDRSIGLIEAGMIERGFCQEDNDGENGGGGGSVGGPVEFGLGRVVRAVRFLGESACSRFGRVREVGNQSGSSVEKLAAEVLWLAQKMVSCGFGNEVCGRWASATQLGRLSLSAEPRLQASLVKVAVFLFKQCREMGKDEDEEESVKQQQMQMKLKMLISWLPLLCRGSSGTDAPILSIGERRELELGLEEMIGTLQQDEQEQVLALWLHNFTYLSSSDWPNLHASYARWYSASRKLLIHQDQ >KGN54182 pep chromosome:ASM407v2:4:11463096:11463657:1 gene:Csa_4G291940 transcript:KGN54182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSGARMATRTTRRALPNLKLTKKIKKTTNKKQPPQKIEIPVPVTQNDIVEFGDECSDGGCSTPKAERHRIPEILTCPPAPKKPRAVSDCSLRRSPIAFFAPPELELFFFVALPVPDISV >KGN52744 pep chromosome:ASM407v2:4:96157:97685:-1 gene:Csa_4G000650 transcript:KGN52744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLGSECSSGCESGWTLYLEQSFLSNGASHHIVAQEGGFCTEGYWKPKGTEEEDEEEEEVVEDLSMVSDASSGPPHFIEDEACSHEDDAHFSDVSKSATLGKRKGKKQRIKEYQCQKEPSSFLDDTASSPALNFTANNFTNQASMESFLGLSQTNHFEERSAFTEHFGFLQSSLSGNRLQKNQWFEEKRGIGMR >KGN53893 pep chromosome:ASM407v2:4:9050764:9052981:-1 gene:Csa_4G182240 transcript:KGN53893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVLTRSTLFIRFQSFSECRNLPVTFGVLFNDDRCANIFEALVGTLRAAKKRKIVSYDGELLLQGVHDNVEIILKAIPTTEVASTAAVKTA >KGN52761 pep chromosome:ASM407v2:4:181467:182544:1 gene:Csa_4G000810 transcript:KGN52761 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trypsin inhibitor 1 MEWKKIALVAMMGMLLMATFTESVGLGVDEEIIQLVSDGVNEYSQNIGKDTAPGCPRILMKCKTDSDCYPGCTCKPMGYCG >KGN52853 pep chromosome:ASM407v2:4:676558:677216:-1 gene:Csa_4G003670 transcript:KGN52853 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplast protein 12 MATISGVNLSSPRIMAKAAKTPKVQLLNVGWLRCSWKQSAQLGAIRLRVPPVHAAPDKISDKVEESIKNAEEACAGDPVSGECAAAWDEVEELSAAASHARDRKKESDPLESYCKDNPETEECRTYDN >KGN54170 pep chromosome:ASM407v2:4:11376189:11379591:1 gene:Csa_4G290850 transcript:KGN54170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSGLGGGFLSGNGGLLDLESPIRRPQKTQLVNPSLTQRHQLNMMNNFEGDHQSIGILDSKSLGQKDLLMAFNRGKAIASGCITNNYTSEEDEPSYTEDGECSEFLKGKKGSPWQRMKWTDEIVRLLIAVVACVGDDGEAGMGSKRKSGILHKKGKWKTVSKIMQSKGCHVSPQQCEDKFNDLNKRYKRLNDILGKGTSCRVVENPALMDSMPHLSSKAKDDVRKILSSKHLFYKEMCAYHNGQTIPGCQDVDFQGKILPVANFSKGNNESEDSDSDSDSGESDNEDDHSPVENRLWSSESRGRDKVSADDGPLWSNSVGKNEFEGQIDVFLSDPTKSHWERKVWIKKQMLQLQEQCNSFQAQSVELEKQRFKWLRYCSKKNRDLERARLENERMKLDNEQRVLQLKRKEMELELKRSDLAVGPLLAIDRIQGREQLDLGMH >KGN53084 pep chromosome:ASM407v2:4:2030697:2032669:1 gene:Csa_4G015740 transcript:KGN53084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCQSSHTFDSDESDIDIQTEIYEKGGSIDDIRNDLDFCLRDDDKVAEQSVVDLPMDGVEPYIGMEFSSRDEAREFYVNYGRRVGFTVRIHHNRRSRVNNQVIGQDFVCSKEGFRAKKYVCRKDRILPAPPITREGCQAMMRLALRDGGKWAVTKFVKDHNHLLLSPSKVPWKGSGKNLSEDEKDKRIRELSLELYNERQKYKRKCAAYEEQLKTIWKELEMHTECISNKVAEVVKSIREVEEEEESKGSNERWI >KGN53341 pep chromosome:ASM407v2:4:3848095:3848346:1 gene:Csa_4G048020 transcript:KGN53341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSKRDSLTRRTARRRKSENRRRAKTRKKIDRGVLRRPRREWGWCGGSWLSPAVREKEETRVDEGAAAEEK >KGN54637 pep chromosome:ASM407v2:4:15473712:15476323:-1 gene:Csa_4G411390 transcript:KGN54637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHQYMRYQPWKKGVSSGKTHFHRVEDEEGGEVGMMGCEEFYYSASAYKKANKPKFLFLLFLSFLSCSIIFAPHFFSSSFSPFYSFGVQNDDLSVDKEVFAPLCSSIPNGTICCDRSSIRSDICIMKGDIRTDSSSSSIFLYTSPDSPIEFDDDHGVIQVEKIKPYTRKWEKNTMDTIDELELIVKRKSNDIDQKHRCDVRHNVPAVFFSTGGYTGNVYHEFNDGILPLYITSHSMNKEVVFVILEYHKWWLTKYADILSQLSNYPVIDLRKNNKTHCFPQVIAGLRIHDELTVDPSLMEGGKSIVDFRNLLDKAYQPRIRELIRQEELEAKISLHRSKRPKLVVLSRKGSSRVITNEKLMVKMAERMGFEVKVLRPDKTTELAKIYREVNESNVLVGVHGAAMTHSLFMRPNAVFIQIIPLGTVWAAETYYGEPAKKLGLKYIGYEIGAKESSLYSNHNKDDPVLVNPDSITKKGWEYTKKIYLDGQNVRLNLGRFEKRLERAYYYCIARARDGRSH >KGN53980 pep chromosome:ASM407v2:4:9993608:9993781:1 gene:Csa_4G227360 transcript:KGN53980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRWIRDGCWSKGGWAACFECEPINAHGWTDEGVETDWCGCNCRAESSWAAGRWAIG >KGN52896 pep chromosome:ASM407v2:4:877569:878768:-1 gene:Csa_4G005540 transcript:KGN52896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVSSIDDSLFSCKGLVGSSTTTTTHFTVMKTSLFFAGDGFTVYDCKGKLVFRVDSYGPDSRYRDELVLMDPHGRCLFTVRRKRPSLHQRWEGYLRERINGQKPIFGVRRSSIIGRSSFTVEMYGNPGEEYQIEGCFGQRLCTVLNVKKEPVATIRRKVDASTNVLLGKDVFSLSLNPGFDGAFAMALVLVLDRINGEGVDSSGNPEQILD >KGN54506 pep chromosome:ASM407v2:4:14153151:14156178:1 gene:Csa_4G341560 transcript:KGN54506 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ef-hand calcium binding protein MSGYPNQPSGYGYGYGSTGGYGAPPPTAQPYNSSAYGHPQSQTQQPYAPVAAPYGVPSAPYGSAQGEKPPKDKPNQSYGGAGGGHQSYGGAASGGHGYPPPSAYGSPFASLLPSAFPPGTDPSIVACFQVADQDGSGFIDDKELQGVLSSYNQKFSIRTVHLLMYHFTNTNTRKIGPKEFISLFYGLQSWRGIFERFDSDRSGKIDSNELREALLSLGFAVSPMVLDLLVSKFDKSGGKSKAIEYDNFIECCLTVKGLTEKFKEKDTTYSGSATFSYEAFMLTVLPFLIA >KGN54533 pep chromosome:ASM407v2:4:14503821:14506324:1 gene:Csa_4G358710 transcript:KGN54533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLFISKHMAKVLFTSQSLNSFRCLPTLRCYSSRLTETKTKSSTKTVKATVMAEMINSKPWSSDLESSLASLSPSLSQTTVLQTLGFLRDTSKALQFFNWAQEMGYTHTEQSYFSMLEILGRNRHLNTARNFLFSIEKRSRGIVKLEARFFNSLMRNFNRAGLFQESIKVFTIMKSHGVSPSVVTFNSLLTILLKRGRTNMAKKVYDEMLSTYGVTPDTFTFNILIRGFCMNGMVDDGFRIFNDLSRFGCEPDVVTYNTLVDGLCRAGKVTVAYNVVKGMGKKSVDLNPNVVTYTTLIRGYCAKREIEKALAVFEEMVNQGLKANNITYNTLIKGLCEARKFEKIKDILEGTAGDGTFSPDTCTFNTLMHCHCHAGNLDDALKVFERMSELKIQPDSATYSALVRSLCQGGHYEKAEDLLDKLLERKILLSGDGCKPLVAAYNPIFKYLCETGKTKKAEKAFRQLMRRGTQDPPSYKTLIMGHCKEGTFESGYELLVLMLRKDFLPDFETYESLINGLLHMDKPLLALQSLEKMLRSSHRPNSSTFHSILAKLLEQGRTSESASLIQLMLDKNIRQNLSFSTGCVRLLFGAGMNDKAFQLVHLLYGKGYSVKMEELIRYLCHCRKVIQGSKLLLFSLESHQFVDMDLCNTVIFQLCEINKLSEAFSLYYKLVEMGVHQQLSCQNQLKVSLEAGEKLEEAEFVSKRMEPVEMGVHQQLSCQNQLKFSLKAGGKLEEAESVQKRMERRLKSKNSNPRV >KGN52779 pep chromosome:ASM407v2:4:254388:257746:-1 gene:Csa_4G000980 transcript:KGN52779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGNGQKAKMARERNLEKQKGAKGSQLDSNKKAMTIQCKVCMQTFMCTTSEVKCREHAEAKHPKSDVFTCFPHLQK >KGN54851 pep chromosome:ASM407v2:4:18246761:18247456:-1 gene:Csa_4G551140 transcript:KGN54851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPITFSFQCSIRRNGKSSLATDGQPLQFVQLRLRHFGQLVHTPSQQILQQTSPTLISDTLIPFSLWELEDPPFISYFFLFRFLSSYDISAAVCDAIFYNISSFAGQILLAADSNINVNFHIIADVDIVYTHWVDLDPAEDAPAVRKGASTSVIERVMKEKYDGNGGEEKEGDDQCSVCYEQLYGKRENENEKEKEVSRIPCGHMYHKSCILKWLKISNSCPLCRRELEE >KGN53462 pep chromosome:ASM407v2:4:4704832:4711322:1 gene:Csa_4G056530 transcript:KGN53462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSLNDDTFHQDLQSQANHASDYLSHQLQPPPPPRRPRGFAATAAAAMGPTTTTAATTGGKAKREREKEKERTKLRERHRRAITSRILAGLRQYGNFPLPARADMNDVLAALAREAGWVVEADGTTYRQSTPPSQSQGAAFPVRSGESPISSGSFKGCSIKAALDCQPSVLRIDESLSPASLDSVVITERDAKNEKYTALSPLNSAHCLEDQLIQDIRCRENESQFRGTPYVPVYVMLATGFISNFCQLIDPDGVRQELSHLQSLNVDGVIVDCWWGIVEAWNPQKYVWSGYRDLFNIIREFKLKVQVVMAFHASGGTESGDAFIKLPQWVLEIGKENPDIFFTDREGRRNKDCLSWGIDKERVLRGRTGIEVYFDFMRSFHTEFNDLFAEGLVSAIEVGLGASGELKYPSFSERMGWRYPGIGEFQCYDKYLQQSLRKAAGMRGHSFWARGPDNAGQYNSRPHESGFFCERGDYDSYYGRFFLQWYAQTLIYHVDNVLSLASLVFEETKFIVKIPAVYWWYKTSSHAAELTSGFYNPSNQDGYSPVFDVLKKHSVIVKLVCCGMPVAGQEVDDALADPESLSWQILNSAWDRGLTVAGENSLSCYDRDGYMRIIDMAKPRSDPDRHRFSFFAYRQPSALIQGAVCFPELDYFIKCMHGEIEGDMVPS >KGN53807 pep chromosome:ASM407v2:4:8020803:8023544:-1 gene:Csa_4G132690 transcript:KGN53807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLPDECPSGTLDLHSDILSTKASLPADTPVCVLKCKSVFKCKLCPRVVCLNEETLKAHLKSKRHARSEKLLNEGRLKIMLNNSGEIENPESLTESPPRLPAFPPEHRRRKNKSRQSNGSQAKRSSKKQKKHSNGSQMRGSTKNLPKKRRKIE >KGN55197 pep chromosome:ASM407v2:4:21206128:21210010:1 gene:Csa_4G639850 transcript:KGN55197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMVEAGFSVGSRIRTRDSSPESVVFTLESNYSVFSSTSASVERCSFASDAHDYDCRNSEISLHLEGNIEECKEENNGSDSDPKAIDSSVGRELNRLPGKREKMKVEKENSYIDAMDGCQPLNMARNSFSLALKECRDRRTRSEALLNKVDRQRAASLDLNTVTVSSPHLAIMRKSSFSPIMSDTSMLQSPAVTSCRPANAEIQKGWSSERVPLHKNYSSKQATTAFLPFSNGRTLPSKWEDAERWIVSPVFRDGVVRSAVPPPQRRPKSKSGPLGFPGIAYNSLYSPGMQMLESSKEANFVSSPFTPGIVAADGLGVHSSGHEADKPVQNQPCIARSVSVHGCSQTRSESSLTTSVAQNSSGVKNSTTNISCGVSRRDMATQMSPDDDFKSSLDRPPISIATSSVQPIGKLKSLSCSKSEVRDVEVDGRVTLTRWSKKHKSRIPCKGQVHDKDAEPVICAWDVSDTTRSISKVMREEAKITAWENLQKAKAEAAIRKLEMKLEKKRSSSMDKIIKKLKSAQKKAQEMRKFVLANQMSQVDVSSQGLVSSGRSPQRTSLSGCFTCHAF >KGN53819 pep chromosome:ASM407v2:4:8155781:8156159:1 gene:Csa_4G151750 transcript:KGN53819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIQSLIVIITIETFEHDKFNELIEQETLEYFDNKFAKEKPSDNDLSIFLDFVTSINDEHVLVDNVATHEQHALGNYLASGKEYDLGRDIKDDDEHILKTIGIDNEPDTSHPRRKL >KGN53132 pep chromosome:ASM407v2:4:2354884:2355833:-1 gene:Csa_4G017200 transcript:KGN53132 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aconitate hydratase MGLKRESIFFSVMLLLLLSNMATGRVLFHSTSLHADFETMNMDGKNRDGSRFLSSALPRGGNYINKPHAAEKAAVGRAGYQP >KGN53525 pep chromosome:ASM407v2:4:5182259:5184698:-1 gene:Csa_4G064080 transcript:KGN53525 gene_biotype:protein_coding transcript_biotype:protein_coding description:UPF0497 membrane protein f16 MDNKYTNNNPPGLSSSEDDSLAATGMRAADTLLRLVPMGLCIAALIVMLKNSEANDYGSVAYSDLGAFKFLVHANGICAGYSFLSAAVAAMSPPSTLSKAWTLFFLDQLLTYLTLAAGTVSTEVLYLAYNGDKEITWSAACGTFGKFCSKATASVVITFVVVAFYAFISILSSYKLFSRYNAPLPHPNSKQQLQTPVFHG >KGN53823 pep chromosome:ASM407v2:4:8247598:8251818:1 gene:Csa_4G152280 transcript:KGN53823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNLYGDYNQKIDYVFKVVLIGDSAVGKTQLLARFSRNEFSVDSKATIGVEFQTKTLVIDQKTVKAQIWDTAGQERYRAVTSAYYRGAVGAMLVYDMTKRQSFDHMARWLEELRGHADKNIVIMLIGNKCDLGSLRAVPTEDAQEFAERENLFFMETSALESTNVETAFFTILTEIYRIISKKSLAAGEEIDIGSNPALFKGTSIVVPGQDQDSGRKGCCFAS >KGN54567 pep chromosome:ASM407v2:4:14793645:14794448:1 gene:Csa_4G363980 transcript:KGN54567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSTVFLLSSPLPPPTINRLRVSSISSAASLPHLPSLPYWKTTPCASPLFLPRRNPFRVFSDDGDGDSGGPDDYDMDDEEMEEVDNKKDFDIEYDPLAAAAAAAAGSDGVGDENISIVQSKSFVSTQGWDSEMIVDYRINEEEFHKISLLHCDFFIRKPPDPDSDVYDFREMYVTPPDTDVYAIPKVLAPMPQKVLLSFICIYIHD >KGN54327 pep chromosome:ASM407v2:4:12394355:12395290:-1 gene:Csa_4G304240 transcript:KGN54327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKVEVFGAWFSPFSRRVELALKLKGIQYDYIEEEIYKKKSDLILKFNPVYKKVPVFVHGGKPIAESIVILQYIDESWKDNPILPQHPYHKALALFWAKFLDDKVLPSLMKARRSGEGKDREEAIGEAREGLRALEEELKGKKFFGGEKLGFVDIVANFIAYWSQAMDEAFGVQILTSELQNLPRLTQWCHHFLQHPIVKHNLPPKTQLLALFKSQFVDTN >KGN54657 pep chromosome:ASM407v2:4:15696313:15699873:1 gene:Csa_4G417510 transcript:KGN54657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLLRTPFFQATPPASVSAFSLSKSTLKPSSLSFTTSHSFICCFNSSKFSTRPPSLRFPKRPFASSGETEISELEEEVRDSEAEDSSVSYTGVEDATSDNDISDDSEVNTEDSTQSVIIAALQSYKQALADNDGAQMVEIESFLKSIEDEKLAVERKLSSLIEELSVEKDRVLRISADFDNFRKRTERERLSLVKNAQGEVVETLLGVLDNFERARAQIKVETEGEEKINQSYQSIYKQFTEILGSLGVVPVETIGKPFDPLLHEAIMREDSTEFEEGIILDEFRKGFLLGDRLLRPSMVKVSAGPGPEKSDETAPAEKLDSSEEFANSESESS >KGN53049 pep chromosome:ASM407v2:4:1869552:1869782:1 gene:Csa_4G012450 transcript:KGN53049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDNLSHQLPTRMTTFGDRLQCSLRQIPLATNFNDHLVTNCSDYFDNPVQRRSPSNIIMTTSNYQLSNSTNHLLAP >KGN53461 pep chromosome:ASM407v2:4:4699877:4703743:1 gene:Csa_4G056520 transcript:KGN53461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSGSKSSSSSLPAPETLSCSGRIRRGRSKGRRVFQSACLGTSSGSHHSDNDDNDSESENKKNAHDYSSTNQTAQALDQMKVEGYRRVKAEESVEMPCISSNATLNGWDQAGVAVTAPRAGSTSARVSSLQSLNTSSNFLSRFSFIPGNVSFRLSRASSLGSSRSYPVSSTNLSMLNDENEPPLSDRPARGLNGQDETQQGRDLLPASVASETHTQCYGDSPASFRLNTQPSGLSDNLQENQSVSSTHDMAGACDNFGVGSGSLSHPRTLPENESSEIRQSDRRTGSREPVERNFRFSRTLSVGRLRDRVLRRSSLSDFTFCPPQQEGDERDASHSGDDQHVWTAETRGLASEDNVMSSPTTSGFQASSLSSSIFSTQDFEVDTTRPREARYHDLLEHRSNFLERRRRIRSQVRALQRLGSRFENLSGHERSCILSGQHRTGHCTCRINNRDTNINDNTSARASISRIVMLAEALFEVLDEIHQQSVVLSSRPASSIGSLPAPNDVVDSLPVKFHSKLQKHQSEEAAQCYICLVEYEEGDSMRVLPCHHEFHTTCVDKWLKEIHRVCPLCRGDICSRSDALSDDVK >KGN53554 pep chromosome:ASM407v2:4:5461975:5466850:-1 gene:Csa_4G082310 transcript:KGN53554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVICQSLDFGRHVLDYVACGCSSNTPLDPHTVRSHVKLSTKGRKRRHQARTLICSQRKVGQCRVFSTTKPETVLNDINGGPQPFLELRKEESRSPISSTSMFEVVADDLQKLNQNLLSMVGKENPALVSAAQRIFGAGGKRMRPALVFLVSRATAGLVGLEELTVEHRRLAEIIEMIHTASLIHDDVLDDSDMRRGKETLHQLYGTRVAVLAGDFMFAKSSWCLANLENLEVIKLISQVIKDFASGEIKQASSLFDCEVELEEYLIKSYYKTASLIAASTKGASIFSGVDRDTTEKMYEYGKNLGLSFQIVDDILDFTQSTKQLGKPAGTDLAKGNLTAPVIFALEREPKLKDIIESEFSEAGSLEEAIHLVKSSGGIERAMELARQKADLAIQNLQCLPPSAFRFALEDMVTFNLERID >KGN55083 pep chromosome:ASM407v2:4:20410319:20419859:-1 gene:Csa_4G627820 transcript:KGN55083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSFTHFNHLLLLPLPPTTQFKFQAPFPKFQLQSHFSLQLFPQPPSISHFLANRPSGCRQLCLYSSNSLGFSPSDEDFDDELDRLLVLLPEEMRRRIREHEECHQLIEVVLDLGRKPLARFPTGDFLLSDSLVTVDDLRHATSKVGDFAIDNRAGISRTLHRISAIRNRKGVIIGLTCRVGRAISGSAILLRDLIQDGASLLLIGPPGVGKTTIIREIARILANDFKKRVMIVDTSNEIGGDGDIPHAGIGNARRMQVPDSDMQHKVLIEAVENHMPQVIIIDEISTKLEAMAASTIAQRGIQLVATAHGVTIENLIMNPLLEMLVGGIQSVTLGDEEASRRRVQKTVLERKGPSTFACGVEIISKTELRVHRSLDATVDAVLCGRYPNVEVRKINSTEEKETMQSDISISTIAQNKDDAPVSVSDTYYEQSGQAPSEMSFEVLPTAGESSEEDEGKFPLYLFVYGVLEASVIQGFKQLRMNDVAIHFTDNISEADALFALQPKLKKNAGIQAAAKSHDIPIYVVKTGSLVHITRALRALIIDQEDEGEDFESLGRMRSSEKIDALEEARMAIEQIVIPREESVELLPRPPHILSLQMDLIRKYHLQSERIGMETNVRLRILPLIANNVDENAKTQGKVDPTTEFDDFIGSNGDTNGTLYSVDRLPLLPE >KGN54091 pep chromosome:ASM407v2:4:10963426:10963746:1 gene:Csa_4G280680 transcript:KGN54091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLISSYFGFMSVLVDRVVEYRIFNENRNPFGFYALCLSALVDTVNTIPTIHLNQIQLPSFVIHFYCYVLARASQVFDEMPKWEVSFETTNCLFALMKRTTRGFWII >KGN52909 pep chromosome:ASM407v2:4:948297:951751:-1 gene:Csa_4G006160 transcript:KGN52909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSPQMEATRKELEDKNNDHKAMTVEGKARVRPRRRRPLFRRKWTLLDRRSFGGVMAMHLLSLLAPFYFTWPAFWLAVVLYILTGLFGVTLSYHRQLSHKSFKLPKWLEYTFAYMGVHALQGDPIDWASTHRIHHQFVDTERDPHSPSVEGFFFGHIAWIFDSYGLTEKVNPKYVESFKERDEKRKTFSNIFVGYLKYGRPKNVEDLQKQAFYRFIRSTYILHHFGLAIILYVVGGLPFLVWGMGVRIVCFQHVTFFLNSAGHLWGSQQWNTGDQSRNNWMVALFMFGEGWHNNHHAFEYSARHGLEWWQFDVTWWIILFLEAIGLATDVKLPSRNHMQKLAIQPKIE >KGN53059 pep chromosome:ASM407v2:4:1935056:1937902:-1 gene:Csa_4G013040 transcript:KGN53059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFFKFLNWFSFFFLCFSLFYYYRTPQISPYFLLNMAQGSSNSIFDFTVKDIRGNDVSLSEYKGKVLLIVNVASECGLTKSNYKELNVLYDKYKNQGFEILAFPCNQFAGQEPGNNEQIQETVCTRFKAEFPIFDKVDVNGKDAAPIYKFLKSQEAGRGLFGDGIKWNFTKFLVNKEGKVVGRYAPTTSPSKIEKDIENLLQSA >KGN55279 pep chromosome:ASM407v2:4:21622260:21625371:1 gene:Csa_4G643090 transcript:KGN55279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNKPTEDPLQPHPVKEQLPGIQYCINSPPPWPEAFVLGFQHYVLTLGFSVLIPSLIVPQMGGGNVEKAKVIQTLLFVSGLNTLFQSLFGTRLPVVVVGSYAYLIPTISIVLAKRYTSLTDPQDRFIQTMQGIQGALIVASCFRMVMGFLGFWRNTVRFFSPLSVVPCVTFTGLGLYHFGFPMLARCVEIGLPGLIIIVFISQYLPHLLKTKKPIYDRYSVLFSIVIIWLYAQLLTSSTVYNHKPTTTQKSCRTDQAGLLSTAPWIYIPYPFQWGGPTFNAGEAFAMMAASVVSLFESTGTFFAASRYGSATPVPASIIGRGSGWLGVGVLLNGMFGSLTGSCASVENAGLLALTRVGSRRVIQISAGFMIFFSVFGKFGALFASIPLPIIAALYCVFFGYVSSSGLGFLQFCNLNSFRTKFILGTSFFLGLSIPQYFREYYRRDLNLSEHIYSGHGWFNDVVVVIFMSHATIASLVALILDCTLFRENDATRKDSGLHWWEKFCLYSSDVRNDEFYALPFCLNKLFPSV >KGN55487 pep chromosome:ASM407v2:4:22918288:22921580:-1 gene:Csa_4G653480 transcript:KGN55487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCCQSSLLKLKKPSADVIGRGEHSLDRKNHHHQNGVDSSPADEFVPPFLEFSFADLKAATNNFSIDHIVSESSDKSPNVVYKGRLQKENNQRFVAVKKFTKAAWPDHQQFADEASGVGKLRNKRLANLIGYCCEGDERLLVAEYMPNCTLAKHLFHWEKQTIEWAMRLRVALYIAEALEYCSQAERPLYHDLNAVRVLFDENGDPRLSCFGLMKNSMDGKSYSTNLAYTPPEYLRDGTVIAESVIYSFGTILLDLLSGKHVPPNQALDMIGGKNITLLMDSHLDGKFSTEEATLVFELSSQCLQYEPRDRPSIKELVAALVPLQNKSDTPSYEMLGIPKREEIPLAPQEPLSPMGDACSRVDLTAIHQLLLVSHYKDDDGSCELSFQEWTQQIRDMLEARKRGDMAFRDKDFKGAIDGYTTVSYNS >KGN53064 pep chromosome:ASM407v2:4:1971452:1972260:1 gene:Csa_4G013580 transcript:KGN53064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMMKFLIVLVVLIAFTTHLCESFELERKDFESEKSLMQLYKRWSSHHRISRNAHEMHKRFKIFQDNAKHVFRVNHMGKSLKLRLNQFADLSDDEFSMMYGSNITHYNGLHANRVGEFMYERAMNIPSSIDWRQKGAVNAIKNQGHCGSCWAFAAVAAVESIHQIKTNELVSLSEQEVVDCDYKVGGCRGGNYNSAFEFIMQNGGITIEENYPYFAGNGYCRRRGVSIILIELSKFVI >KGN53262 pep chromosome:ASM407v2:4:3304254:3306629:-1 gene:Csa_4G038790 transcript:KGN53262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKIEDALISFSGHRFLVIKFQFITSHNDVNVVALRLDSGGRTPCVRVSKLLRFPYLNCGVETPSTSVSSKSKLRTEYDPDKAVEIYSSVSSHYTSPVTSRYAQEITIRRLAKARRFKDIESLIESHKNDPKITQEPFLSTLIRSYGRVGMFEHAMRTYNQMGDLGTPRSALSFNALLTACNNSKQFDKVPQLFDEMPKRYNFSPNKFSYGILVKSYCDAGSPEKAMEIVREMEENGVEVNAVTFTTILNALYKKGDSAEAEKIWETMISKGCELDVGAYNVRLMHEHGGKPEHVQALIEEMANSGLKPDAISYNYLMTCYCKNGMFDEAKKVYNDMEINGCNKNAATFRTLIYHLCRNGEYEKGYKVFKESVKMNKIPDFNTLKYLVEGLVEKKMMREAKGLIRTIRKKFPPDTLKAWREVEEGVGLASAGDDVSSKDDDETRT >KGN55376 pep chromosome:ASM407v2:4:22175499:22179648:1 gene:Csa_4G647490 transcript:KGN55376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIGSELQQDIEVVTQFTNTQKKKKFSHSHFFIYFSISKKERENPPPPPPPPAMMIAYLEPFLQDTDSRRPHDGSALCEALQIQLQGGVSTDSRDIGLAGKDFGGLHSVAPLALVTPAGADDVAKVVKSAVQSSNLTVAARGNGHSINGQAMTDGGLVLDMRAMEDNLRVVTINEFCYADVSGGALWEDVLKRCVSSYGLAPRSWTDYLSLTVGGTLSNAGVSGQAFRYGPQISNVAELEVVTGKGDTLICSENENSELFFSVLGGLGQFGIITRARVLLQPAPDMVRWIRLVYDEFERFAHDAESLIRRPEGDSFDYVEGFVFSNNDDPLTGRPTVPLDSNTIFNSSYLPETAGSVLYCLEVAVHYRNNDQVSTVDTDVERLLSGLGYVKGLRFQVDLSYIQFLSRVKRAEEEAVANGVWDAPHPWLNLFVSKSDIADFDRLVFKTLLKNGVGGPMLVYPLLRSKWDSRTSVVLPEGEVLYLVALLRFTPPNPELALVDKLVEQNREIINICNVNCIDFKLYLPHYHSEKEWKLHFGNHWSRFVERKALFDPIALLAPGQKIFTRISRKH >KGN54475 pep chromosome:ASM407v2:4:13738909:13742359:-1 gene:Csa_4G337320 transcript:KGN54475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYRRRQAITRASTFKEEIHYPSDELDHNSISSSSSSSSSLAAQAIRASATQRDSSHSSAFAGASSNFSPGHLRSKSFAGENIFKSDSKSGFWGVLARKAKAILEEDDIAIEDEPSRFQPINNSNRSQEPCQSTNCDSKKTDNPAIRKGLDAISTSLNQLGDTFEKAYEEGRTIVENKTADIIQETRKLQIRKKGNNTEGLYPAVNNQWQQPNIQSPEPNMQTHHETQLKASRDVAMATAAKAKLLLRELKTIKADLAFAKERCAQLEEENKILRENREKGDNRADDDLIRLQLETLLAEKARLAHENSIYARENRFLREIVEYHQLTMQDVVYLDEGMEEVTEVYPISTSPEITKMLSNSVSPRSPTSPSSPMEVLPVVPPPPPIQSKQDKDHHDKDSDEHPTSSTTFPEEEEEEEEEGTKKNPLPSSTSSS >KGN54490 pep chromosome:ASM407v2:4:13970228:13971542:-1 gene:Csa_4G338940 transcript:KGN54490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLVLSSRDEKIVCGAIELNEKLQKVLARHDALLSGQFMSTQNQFNGEEVGMSRLPANHYNHDEGEDEEEADQLFRRLRKGKACVRPEDEEDSSEERPSLGLLGLSIPVERANRPIIRPIDEKVSTTLEIQHGQGVSIPPPPVKHAEREKFFKDKKIDVGVGHMRGLSLHSRNASSSRSGSIDFNES >KGN52742 pep chromosome:ASM407v2:4:87570:88546:1 gene:Csa_4G000630 transcript:KGN52742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRAMYLGGNITTIFPLEILPSLPSLLPLPLNHHKQISAVIRSEKTMANAVAGDKRQYWLLKTEPAEWSWADQAANDGRTTWDGVKNKQAQKHLKSMKLGDRCFFYHSGAKARRVVGVVAVAREWYSSVDDEVVVDVEAVGEMREPVDLKEMKKRMEGMKNFALFRQPRLSVVPVTKEIWDKICELGGGFEGDGTEGGDGSEE >KGN55367 pep chromosome:ASM407v2:4:22124645:22126439:1 gene:Csa_4G646410 transcript:KGN55367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGEEVRVRSGERFRNGGCGNGQSFSSSLLDEIYRSIDDGGDKRSGELKFYRQKVLKKQGKVIGKAQSDVEDEEIASLHRALLIERWIEKKVAEKVSAQRRRSLTEGEIKFQLYQHDREEDVLFFSSTSSSSDSSFGGFSSSDTESMYGSKSLTPSCFAKFRPKPIRTSVSAPPPEKTEAKQRQSREKPQSKQSNQFVENKEKSRGFDENAMIKSKSRALKIYSNLKKVKQPISPGGRLSSFLNSIFTAGTPKKTGNSVSSTTLSEDPNSERKSKSGQTSTCSSATSFSRSCLSKSSPCSSEKLRNADRRTVRFYPVSTIVDEYCRPCGHKSLYEEEDPKFQIKNKAESAESTSRKNTTDYQQQMRKKNDFLIRNFHHPDNDLSEEDDETASCSSSDLFELDHLREMSGGCSNRYREELPVYESTTRVDTNRAIRHC >KGN55562 pep chromosome:ASM407v2:4:23290442:23293867:-1 gene:Csa_4G665660 transcript:KGN55562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGAIILAAQPLRVKLLRSNNSSTGNPSATAGSSNPSFMAAAGPKWAQKTVTLSPHRRGCHLITPKIMKEIGQDLSEFKCGLAHIFLQHTSASLTINENYDSDVQNDTETFLNKIVPEGTSAPWKHTLEGPDDMPAHIKSSLFGCALTIPITNGKLNMGTWQKSGLRQPHISIHTIFPIGGIEEAASTYRPSIKVLLNVPSPLLFVHIMHIALVVDWADSMAQYKLAVFGTPNVESCFFTLVSSSSPLQTIPP >KGN53936 pep chromosome:ASM407v2:4:9454613:9456678:1 gene:Csa_4G192080 transcript:KGN53936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLHEWEILSDDGLHDYKDDQKKIVSSKRRSGSDAKIVFDMNYFLCPSMDSSSKQHISQPSPSRLVKQPIPVHLQLDSPIIRIPDDDSLALKEKEKEKESIVIGVVPSAMSEKDQKGAGEADQDTVTQVFFKKMKENEFVDMKIDSPRSSNKGTKPQIDVGHYQFDDGGESKENITNSPRMNLMSQKSNKEIDANKDEMNWDGSVGGLNILKWSLNGIGAICSFGVAAATICILFHGGNQHKLRIQIYTHDKKLKQAVQQATRLNEAISAARGLPQTRAHITCGGHYDGI >KGN54551 pep chromosome:ASM407v2:4:14642419:14644983:-1 gene:Csa_4G361850 transcript:KGN54551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAFNLSPLSLLFLIFSLSFCGTFCLADWKSSAVNPFDSSILLPVKGNVYPLGHFTVSVTIGNPPKVFELDIDTGSDLTWVQCDAPCTGCTLPHDRLYKPHNNVVRCGEPLCSALFSASKSPCKNPNDQCDYEVEYADHGSSIGVLVKDPVPLRLTNGTILAPNLGFGCGYDQHNGGSQLPPLTAGVLGLGNSKATMATQLSALSHVRNVLGHCFSGQGGGFLFFGGDLVPSSGMSWMPILRTPGGKYSAGPAEVYFGGNPVGIRGLILTFDSGSSYTYFNSQVYGAVLNLLRNGLKGQPLRDAPEDKTLPICWKGSKAFKSVADVRNFFKPLALSFGNSKVQFQIPPEAYLIISNLGNVCLGILNGSQVGLGNVNLIGDISMLDKMMVYDNERQQIGWAPANCSKPPRK >KGN54745 pep chromosome:ASM407v2:4:16746250:16746907:1 gene:Csa_4G442600 transcript:KGN54745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRWTRGKETSCVVFVRLQSSSSWTFLPALCGEHNEECCRGIVQLELWGDAVKWGCDFKLNSSRECCLACKAMCDDQSRCVGVILGCSSTLGGIHNSQGASSSTDEREWSATSLEYHSTVRKWHEEILSAEFGVPS >KGN55325 pep chromosome:ASM407v2:4:21898567:21902625:-1 gene:Csa_4G645990 transcript:KGN55325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSLSPDANDGEAVRRDRILSSKLYFDVPSSKVPVIYSSSYDISFLGIEKLHPFDSKKWGRVCQFLIAAGVLRKDQIIKPLEAKKNDLLVVHPESYLNSLKNSSNVAKIIEVPPVALFPHCVVKEKVQRPFRNQVGGSILAGKVAKEKGWAINVGGGFHHCSAERGGGFCVYADISLCIHYAFVQLNISKAMIIDLDAHQGNGHEMDFAHDRRVYILDMFNPGIYPFDYEARRCIDQKVEVVSGTTTSEYLKKLDEALEVAATSYDPELIVYNAGTDILDGDPLGLLKISAEGIAKRDEKVFRFARARNIPIVMLTSGGYMKSSAKVIADSIENLSKQRLIDTESSPHRT >KGN53263 pep chromosome:ASM407v2:4:3306655:3314897:-1 gene:Csa_4G038800 transcript:KGN53263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDGLDEGSQVPSRTSRFAPKSSKFKPKPKSEVVPKPEPQQPLTKLEPQPPISKPEVVEPDARVEEKKEDGEEVEVKPKVESSLPNGVAKMDVETKSEVEEDAMVDDDPIEEDASEDMVVREIDVLFTPSVDSNSKLYVLQYPLRPRWRPYEFEGRCDEVRVKPSTGEVEVDLNVDIESNNYDNKADGTFQMKKQTLTSSWQPPLATSYAVGLLMGNKLHLNPVHAVVQLRPSFEHLNSGGPKRKNNVRADSDVKSEEHVDVNSAGASRKQKKQTGPSTEVKTRDEECWIPLKYYGLESDLSTRHLQSMVSQTVSPMQFSMSPHDYMDSLCPGTSTSGNKPKGPSKKYLLSLSLEERIKKLLTEGPPVQRFSALKHYAPDYETEEFIETLQQYAQLVQGLWVPKSLFLIPQDGSGRCARDFVLFLFSKNPVVSSSQINVPKSLRDRVKHFLNLFGVERPAFKDWKFKEKTDRLFLSNHVRIAEKQEELWNNVEKGFTFLRSIKSVHHANAATGKPGVVPKLEKQVSSDQTTTKSSNAPLNRKGAMSDETREALPKALQKLFQNHKVCSFQLICQGLRDLAVSQSTLPKADARMAVAAAYGVDAPPDELKKIISQVATEIHGLYVLTSSPEHPEYDPLRKVVIQLLRGRGPKAVLKKADVLEASKHELGRAINSNEYSKVMNEICISHGSSWTLKSGDGNSR >KGN55162 pep chromosome:ASM407v2:4:20989478:20990448:-1 gene:Csa_4G638520 transcript:KGN55162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLHSSPFMDNSAFLPLLRHITRRPKSSSTSTTTNGGSGGGSGGGLLKMFKLFPMLTSGCKMVALLGRPRKPLLKDNATTGTIFGYRKGRVSLAIQEDPHCLPIFVIELPMQTAALNKEMASDILRIALESETKSHKKKVMEEFLWAVYCNGRKIGYSFRRKQMSDDELHVMQHLRGVSMGAGVLPSPASEKDNLEGELTYMRARFERVVGSKDSEALYMINPDGAPGPELSIFFVRSQ >KGN55301 pep chromosome:ASM407v2:4:21754570:21755675:-1 gene:Csa_4G645270 transcript:KGN55301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLMLAFQVCDVKVPRLGFGEMVRQTYNVQEKTVEWKPRLQDPLAFNLYKWVHLIGSSTYNPDATSVPALLLYNSYFSNTRPPATAYFPSPRPA >KGN53579 pep chromosome:ASM407v2:4:5634415:5638650:-1 gene:Csa_4G083540 transcript:KGN53579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCNACWRELEGRAVTTTCGHLLCTEDASKILSNDGACPICDQVLSKSLMKPVDINPNDEWANMAMAGISPQILMKSAYRSVMFYNGQKELEMQYKMNRLMAQCRQKCEVMQEKFTEKLEQVHSAYQKMAKRCQMMEREMENLSKDKQELQEKFAEKSRQKRKLDEMYDQLRNEHESLKRSAIQPVTNFYTRNESDLFSNPVNLMDNREATRKGPREEIWPTRQNSGNSGHFDISVGSPAKQAAPMAMEAGNRRAGAHTAFGSGAGNPSMTLRNLILSPIKRPQLSRRPQMFTL >KGN53266 pep chromosome:ASM407v2:4:3326591:3329850:1 gene:Csa_4G038830 transcript:KGN53266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTRSVLRLNTTTCSDVQKAIAAGQQSGLCYINSLLLGRGELSSKDCRVSHSLPYSTFSISKALNFSPTFPFNRKTMAASSAKSVSRDVYLENFVTNRGNGFATPVRVFNHRSYGNFQKARMSLRRKQASNNCLISNSSIDLMRVKGNCFLQVGVTNLHALPHACYAAGTANSPAFDSNSRDDQFPNSTTLPSKGLLGERTLKLLSGSCYLPHPDKEETGGEDAHFICVEEHVVGVADGVGGWADVGIDAGKFARELMSNSINAIQEQPGESVDPAKVLEKAHSGTTAKGSSTACIISLSEKGLHAINLGDSGFIVIRDGSTIFRSPVQQHGFNFTYQLESGNGGDLPSSGEIFMIPVALGDVIVAGTDGLFDNLYSNEISAVVVNAVRSGLEPEATAQNIAALARQRALDRNRQTPFSAAAQEAGYRYYGGKLDDITVVVSYITNSTST >KGN53616 pep chromosome:ASM407v2:4:5912622:5913872:-1 gene:Csa_4G090350 transcript:KGN53616 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding protein hflx MLRIISLSQPRVSPLAHYVNTVCSASTSCLLPSNSPSSKLLHSRILILSSPFSHSSKHKKEDSDDLSLFNRDLTAPPKLFVVQPRLRPYTFLQAKLNEALCLANSLEEQRDGYFHIDFFDKDVPPYVVVQNPSVRGARADTYFGPGTVDTIKCHLNAAESKSEVDAIFVNATLSGSQQRNLEWAWNKPVLDRVGLIIEIFNAHAYTKEAKLQVFFMVPIKHIIALLLLDYTYSH >KGN53321 pep chromosome:ASM407v2:4:3691575:3697490:-1 gene:Csa_4G046840 transcript:KGN53321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISCMKIALPAQYSPCVRPANRQRYRGVAVASLRGDFATLGVSFTEGEGSLPKVVLTSPSGSEADVYLYGGCITSWRLANGKDLLFVRPDAVFNKKKPISGGIPHCFPQFGPGPIQQHGFARNVDWSVFDSESVEGNPGVTLELKDDSYSRSMWDFSFHALYKIILSERSLSTELIIKNTDKKPFSFTTALHTYFNASISGVKVGGLKGCKTLNKDPDPTNPLEGVEERDVVSFPGFVDCVYLDAPGELNLDNGLGDNILISNTNWSDAVLWNPHLQMEACYRDFVCVENAKIRKVQLEPDQSWTATQELRIT >KGN55447 pep chromosome:ASM407v2:4:22697189:22697946:1 gene:Csa_4G652110 transcript:KGN55447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESHTDGNPFSGELAGEEDDSGEFSEERSSLLELQLSSNSSDHSTNKPRIFSCNYCQRKFFSSQALGGHQNAHKRERTLAKRVQKFDWAAAAIPLHGGAFDRRSSSSLGLHAHSQQIQKPPTVGLFFGGAAAPSGSQLWPRPMFMGQQPAVGRLPAELGGRNFGRYDDVAEWTAIGTSNAGNNNNSNIVHSQTKQEKDQNNFLDLSLKL >KGN54898 pep chromosome:ASM407v2:4:18942119:18942621:1 gene:Csa_4G577370 transcript:KGN54898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKAIYYDLWFGIEGSGESGHVGDLVEFCGIVVVQDDVQTEEIFYNGERMFVKDGRESVIGNNKDNDSLTAVYLVGKSAMVVKARKRRRDLEATMENREREERKDGFGRRGKSGEGSVREEENRWKSEKIKKEHLGQ >KGN55391 pep chromosome:ASM407v2:4:22291324:22298211:1 gene:Csa_4G649610 transcript:KGN55391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLTNDDAHRRHHSSQFQIPTNAGDEDDFLPSTQTLLSSRSQKPLATSDLSLHISTPKRPRRSTQTATGKENVPSITYRDVGFKRQKNGAVALDDGEVFGASDIDLGCSLDLIQPSIVGCSYETHDVNSGEEIVDGDDKFSGAIDECKGSKGKGGYLVNSIESRLVNSRVDYDIGVSGSGDDKVSGDDFESDTELDLLLNLHSELDEEDGINREGFGIEATDFMLDEEGLIQCPLCGVDISDLSDEQRLVHTNDCIDKVDAEAQNVALTPDKKQTSGPRQSDNSKFSTVLKWLHDLGLSKYEGLFVREEVDWDTLQWLTDEDLNNMGITALGPRRKITHALSELRKESSLVETSTNSRAYSSTGQQSNNGSDGREGSTNGTNKTPPNKLITDYFPGFATNKKNPCSSSSVQKDVGKKIPDSLNKGKTAKRNVRNRKLGNVPVWSCIPGTPFRVDAFRHLRGDCFHWFLTHFHMDHYQGLTKSFCHGMIYCSTITAKLVNMKIGIPWERLQVLPLDQKINIAGVDVTCFDANHCPGSIIILFEPPNGKAVLHTGDFRFCEQMGGLSVFQTCRIHTLVLDTTYCDPQYDFPKQETVIQFVIDAIQAEAFNPKTLFLIGCYTIGKERLFLEVARVLRKKVYVTAAKLRILKCLGFSAEDMKWFTVNERESHIHVVPLWTLASFKRLKHVSTQYANRFSLIVAFSPTGWALSKGKKKSPGRRWQQGTIIRYEVPYSEHSSFSELKDFVKLVSPANIIPSVNNHGPDSARAMTSLLLSS >KGN53283 pep chromosome:ASM407v2:4:3491081:3492574:-1 gene:Csa_4G044980 transcript:KGN53283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATHYYCFPLFLIAFLLANSHLIHSTVTYDRKAILINGQRRILFSGSIHYPRSTPEVCLDVVETYVFWNVHEPYPGIYNFEGRFDLVRFIKTIQKAGLYANLRIGPYVCAEWNFGGFPVWLKYVPGISFRTDNEAFKNAMQGFTEKIVALMKSENLFESQGGPIILAQSNQVVFRHHFVV >KGN55344 pep chromosome:ASM407v2:4:21991840:21994287:-1 gene:Csa_4G646180 transcript:KGN55344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSGKWMKVFIGQRKSDKEDKEKLGSTKTKKWKLWRSPSGDLSTAWKGYKGGHKAASEGSDSPRAADSFTAAVATVLRAPPRNFRVVRQEWAAIRIQTAFRGFLSRRALRALKGVVRLQALVRGRLVRKQAAVTLRCMQALVRVQARVRARRVRMSVEGQAVQQLLNVHRSKADLLKQAEEGWCDSKGTLEDIKSKLQMRQDGAFKRERAIAYSLVQKQLKAIPNSTSRTNASIYALKNYEFDKNNWGWSWLERWMAAKPWETRLMEQSRTESFDVTPPSKSCIESVVSKHSKGSEPGLVKVRKNNVSTRISAKPPSSGQARSCSSPSSDFWYDESSASSSICTSTTPASGHAFSTIERTENGSYSRPSYMNLTESTKAKQKTNSHLSHRVQRQSMDEYQFLQKSAAFSNGDSKSSAGSDSSVNPFKPLMMPTRSDKNGTKLRS >KGN53438 pep chromosome:ASM407v2:4:4593946:4597135:-1 gene:Csa_4G055330 transcript:KGN53438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQEQRSPISRSLSRRRSFRYEVFNTDDRGWTSLHIGARKGDLKEVKRLLNDGMDVNSIAWGPKSKGLTPLHLAAEGGHLEVMDELLERGANIDARTKGACGWTPLHSAAKERRKEAVKFLVENGAFLPDDINDCRFNPPLHYCPGLEWAYEEMKRLHHDNSSSEETSCSSES >KGN53593 pep chromosome:ASM407v2:4:5726460:5727571:1 gene:Csa_4G083670 transcript:KGN53593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDPIHVASLSEIGCNEFGSNMLPNKAFSVYYAALHNDENDIIKGNYDWISSHIDSDGNYSSNFVSSIDSFGSLEDYESTDFNKEANDLSHQVELEDNCVIVDYHDDVSSEPPNQPSFKRTISNAFFSIKRLAMEYKQLAILKGYDATKSCQGTSLAAPHTMLLRDSDQTIQSSDSEWELL >KGN53268 pep chromosome:ASM407v2:4:3356985:3358848:1 gene:Csa_4G043840 transcript:KGN53268 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRAS family transcription factor MRVPVSNNQLSLPSSNPNPNPKLHSSPSTIAFNTPTTITTASSTTCYEPTSVLDLRRSPSPVAPDNPLSSADDRHNNHPLDWDEQALHNLDWDSIMGDLGLHDDSNSSLKNNTNHDHVPHFPEFLHSQSLDHTSHLLPPDFFLSEPFSNHPPTVLQSFNSFNPNNPSLDFLEDLIAAADCFDSNDFQLAHVILERLNQRLQSTSSTNPLHRAAFFFKEALQSLLSPSPNRHNRLSSWPDIVHTIKAYKAFSVISPIPMFSHFTTNQALLEALNASSIIHIIDFDIGFGGQYASFMKEIVEKAESRNVVLLRITAVVPEEFAIESRLIRENLCQFAQDLKIRFHIDLVPLRTFQTLSFKSVKFMEGEKSAILLSPTIFSRLGSINSVASFLGDVRRVSPCVVVFVDGDGWSDSGATSFKRNLMDSLEFYALMLESLDAAGASGEWVRRIETFVVRPKIVAAVEGAGRMAAPPWREVFHGAGMKPVALSQFADFQAECLLGKIQVRGFQIGKRNAELVLCWHERPLVATSAWRC >KGN53388 pep chromosome:ASM407v2:4:4197334:4204356:1 gene:Csa_4G051400 transcript:KGN53388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKMMRILKLMKNKDQGKSRGMPKDSKKETEVVGLVEDLYKSYQSIYEQYGHLRDEAERIFNSKSEDEEDKEDVSSSSSSSNSDSDLEYFSSEEVNTNNVHNLQDERSNNFHAQIQADELEKQIVQKNEALAKVDFLHRELDSVRSQKRELENRKNKEISENMALIVNLKQEISKKIGLEQKILEDKERVLDRIKELETELDTLHYRRREIEEQNIRMRSENQWLNTKNSELEMALTSKETEASSQTIALMEQVKNLKHKVDGSQAEKTKLEQEMERYKQEFSHKFSEMEAENNRLKSKIVDQERILKEKDETIITFNEKYKQARNCLPDVASSLVSTERKMEELAEELRSGLEDKIRILSQRILVAEQLHNESRESFRTRNKRHEQEKRQFEQKIVNHEAELMKLGNMNEFGMDRMARKFEEESAKLLNHILWITKELTFAKYWVRTRNNELKQLKTNLTRFVAQMEEKEEQEFLLREKLWNLEAKISKEGGEKLNLIRTLGQFEKKMTKMENILKEKDEEVFRLAEEKREVIRQLCVVIDHHRSRYDQLKDVMLEKVPDPEIFLVIELRRGRRRKSKVKFTVMDS >KGN54002 pep chromosome:ASM407v2:4:10387390:10387977:-1 gene:Csa_4G255930 transcript:KGN54002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLNIKKREIFYRKELDSYGKVRGDEELDRGRGWGWKVASLYSTYPVDAKAWFNMLEKCFKVVDCLEERKVRRNDASSLGSQTSRDIFKDKYYLSAYCEVKIDEFLILGKGSLSVVEYESKYTALSWYVEVIVASVSDRCRGLRGVYSRRSVPQL >KGN55111 pep chromosome:ASM407v2:4:20594485:20597229:1 gene:Csa_4G630550 transcript:KGN55111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSSNPLSLSVPDAAFDSWLRDSGYLEILDQRTSDLHRHSSAAPPARPTPTAAAPLATGFFISLFSRIATLLSIFTLNPFAKLSAADFSGPTPSWTTGFVGFFESYSFPSSPAQARLRVHENAKRYARNYASLFVLFFVCTLYQMPLALLGLISCLALWDIVKFCCDRWGLDKYPVLWQCLVRIAQCATVIILLFSNFQMAIFCALGIGYTGMILHAAFRKLTPTKPTSTVSRK >KGN54387 pep chromosome:ASM407v2:4:12890098:12895168:1 gene:Csa_4G311220 transcript:KGN54387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTLNTLPSLRLSSIHANRVRFQSSLSPVSVSFSRRRLSVVAAETDANEVKSKASDKAPAGGGSSFNQLLGIKGAAQETNKWKIRLQLTKPVTWPPLVWGVVCGAAASGNFHWTIEDVAKSIACMLMSGPFLTGYTQTINDWYDREIDAINEPYRPIPSGAISENEVITQIWVLLLGGLGLAGLLDVWAGHDFPTVFYLALGGSLLSYIYSAPPLKLKQNGWIGNFALGASYISLPWWAGQALFGTLTPDIIVLTLLYSIAGLGIAIVNDFKSVEGDRALGLQSLPVAFGAETAKWICVGAIDITQLSVAGYLLGAGKPYYALALLALIGPQVFFQFKYFLKDPVKYDVKYQASAQPFLVLGLLVTALATSH >KGN55369 pep chromosome:ASM407v2:4:22137767:22138959:1 gene:Csa_4G647420 transcript:KGN55369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYIYIERDTTSIACSSLFLISLFFYTFVLKRSGFLFLDIASACGCDFVFNPLSLPLFSELGFDSDGCERQ >KGN55090 pep chromosome:ASM407v2:4:20469657:20470520:1 gene:Csa_4G628870 transcript:KGN55090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMVAWLFTALVITQTYTANLASMLTVQKLEASISNIETLQKINASVGNGKGTFVKTYLEEVLDFPAESIKSYTTPNDLVDALRNKEIAAAFLEVPFAKLFLARFCKEFMIAGPTYLIGGFGFAFPRGYPLLRDVDKALLKVSESGKYRKLEESMIGSEKCEDTDVKDESSSLSPNSFFILFVLSGGVSTIALTLYTISAHKSCVQQNAIWRLMLAVIKRWRNHNRGFSRRVSDLPQTELKNCPKATNLQIQV >KGN55566 pep chromosome:ASM407v2:4:23322632:23332716:-1 gene:Csa_4G667190 transcript:KGN55566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAACCSWKTIPISSSSARGLLHRSSSSPSASHFVSNPTMSGGLPSAKPPASSRFSVHRLTNFRLPVELASMQSLMPLRSVTASPLFTSLLSFHNNSWGCLSKAMPEEKEILNADFNSKMLLKGLSYTELEKWVQAQGYRPGQALMLWKRLYGDNIWAHTGDELEGLNKDFKKMLIENAEFRALSLREILPSCDGTRKILFNLEDGLIIETVVIPCDRGRTTVCVSSQVGCAMNCQFCYTGRMGLKRHLTAAEIVEQAVFARRLLTSEVGLITNVVFMGMGEPLHNIDNVIKAVNIMVHEQGLHFSPRKVTVSTSGLVPQLKRFLNECNCALAVSLNATTDEVRNWIMPINRKYKLGLLLQTLREELRCKHKYKVLFEYVMLAGVNDSIEDAKRIVDLVQGIPCKINLISFNPHCGSQFRPTCKEKMIGFRNVLAEAGLTVFLRLSRGDDQMAACGQLGKPGIVQAPLLRVPDRFQMAMKLCL >KGN53826 pep chromosome:ASM407v2:4:8280989:8286973:-1 gene:Csa_4G153290 transcript:KGN53826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYSHTLLARKTPLGTVWCAAHLQHRLNKKDYEKTKISVVVDAIMFGEVPLALRTSSYLLLGVVRIYSKQIDYLKHDVDVLVMELRKMHMHASAILTLPDSVYQAPFYSITLPATFDLDALELDSDIYHDGVPDTHMKSQEEITLADQTYIGRDAYLDISFEEDVMHDSTHPGGSSDQFVSMEDDMIPPPHVDITMDVEVPSDFEDILNTGHDGDNMPHSFPEVEVTDAMNVQDFGPSNKGIPEDVLSPQNVPEMEVPRDVPVFSTKDVPIVSPPGGDVISEPPSPIDENINPDKLSIIEDKMTPTKTSLPHEQSAGPPTSASPPEALIQPSVEHVLQPTPPQQPRPRSRKRKQFFDKSTVLTNKFMKKALEDSSDLLRERRNIPSSSLEVWKLNNKLREKKVFHHPSITGLCHNLSDIFNVDYIATKCRTISLEEALENFGDARNVTSTSETLSGLIYAPPLAPEVASTPYTEIPSSVDPAGNIPSAGETFVLPTVAPSPEVSSSPQSRIPPTVNPASVSYSGEIEHIRDVEGNRGDETMGDLDASPERPMPSPRPSEGLVTPVPVSTEPSTSMFETPGTIDEGLGAEDLTLSDKQIGTADEDLYFLEVDSSPAGKSRSQGTRGVDSLSVRTRALGRYLRSLSPIKSISDNSNQDLSLNGILEGKRRKLCARMFYETLVLKSYGLIDVQQDVPYGDITLKLTPKLSMVQI >KGN53786 pep chromosome:ASM407v2:4:7813032:7814293:-1 gene:Csa_4G129030 transcript:KGN53786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFVTTMCIFQLTRNILINPDVRVKKSHRRMGVLDNEEEGEKYSEHSLRRFLRTRPPEIMPTINHYFSQDHK >KGN54977 pep chromosome:ASM407v2:4:19721801:19722510:1 gene:Csa_4G618420 transcript:KGN54977 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L27 MVKFIKPNKAVVVLQGRFAGRKAVIVRNFDEGTRDRPYGHCLVAGMKKYPSKVVRKDSKKKTDKKSRVKAFIKLENYQHIMPTRYTLDVDLKDVVNVDCLQSKVSKVAASKEIKKRLEDRFKTGKNRWFFTKLRF >KGN54121 pep chromosome:ASM407v2:4:11108441:11111097:1 gene:Csa_4G286940 transcript:KGN54121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNIIAIVSVIPKSNGSIIHYNDSLLLFFASSDLDRDGQQKPLIRGVAELIKNESTKAKHKYVAKVQVPKGYGEIGAVVVELETHSSEKFIDTVIVANSTSQNTITFSCTSWVQPKNPKSEQRSAKSFYVPRDEAFSEVKQTMFPSNSGNKDKLGTKPFTDFTQIDLMFRDGIALPSNPHEILQFNILSTLNASNQPAPPPVQPIPVQPNTPVIKFPPPEALKRDKFNWLSDSEFARQTIAGLNPYCIQLVKTTSNIKLAFEE >KGN54800 pep chromosome:ASM407v2:4:17523074:17523904:-1 gene:Csa_4G501830 transcript:KGN54800 gene_biotype:protein_coding transcript_biotype:protein_coding description:phloem lectin MAGQSTHYLAFPRASTITWGDDTRYWSWATVDFCSYAIEEARLLQVSWLDCRWSMDASDFKQDIWYNASVEVMLTSNASGWNVPLHLEIELPDGSKQESQIVLAGRQPNVWFKIPIGKFILGGSLTSGTIRFGFYNHEGNWKRGLNIRALAIQA >KGN55488 pep chromosome:ASM407v2:4:22926038:22927242:-1 gene:Csa_4G658480 transcript:KGN55488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGVFRRSISFPNKTPVKPSLSHHVRSISLPCRSHPLIFQLKDQIANLHSWSLNSDSHTAAWICDGLNHLKTVHNHLDDILNLPQTRDSLRHHPHWIDKLLEHFLRFVDVYGIFQTLILSLKEEHSAAQVAMRRKDEEKIALYVKSRKRLARQMAKLVSTVQKKTKIAEQGQAGVTADLAAVIEEVIGVTTTVSLALFNGISESFGTKKITWKWTRLDSVTKKVKKSAEEEKKGIQEFREIGSENLRELKKKGKEETKIAMKKMRDLEDWISDIENGSQRVFRSLISARVSLLNALSQQQEHKN >KGN55437 pep chromosome:ASM407v2:4:22596950:22597570:1 gene:Csa_4G652025 transcript:KGN55437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRAGRTSSSQNFEYSRVSPDDFENSTMATSGAISNTSAVTDSFPFLPSDGPCSRSLKSFASDTLKLSFFATVSIFSMIVDTEHLDVNMDPVFLLFSNKLDRSNPDDIDDINSNALKNFGVDSFGLSKSESSVFAAIVSFIVNERDLEKSLRNQGTRVIAPIVIRLPGSTTRIFEIKRFDSAENHGGHSNSALKTFLYIVMMFSS >KGN54097 pep chromosome:ASM407v2:4:10986756:10988247:-1 gene:Csa_4G285730 transcript:KGN54097 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase MAASSKVIVSLVLCLMMAVSVRSQLSSTFYDTTCPNVSSIVHGVMQQALQSDDRAGAKIIRLHFHDCFVDGCDGSVLLEDQDGITSELGAPGNGGITGFNIVNDIKTAVENVCPGVVSCADILALGSRDAVTLASGQGWTVQLGRRDSRTANLQGARDRLPSPFESLSNIQGIFRDVGLNDNTDLVALSGAHTFGRSRCMFFSGRLNNNPNADDSPIDSTYASQLNQTCQSGSGTFVDLDPTTPNTFDRNYYTNLQNNQGLLRSDQVLFSTPGASTIATVNSLASSESAFADAFAQSMIRMGNLDPKTGTTGEIRTNCRRLN >KGN55133 pep chromosome:ASM407v2:4:20790500:20790979:-1 gene:Csa_4G637730 transcript:KGN55133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDPLLTLLFPMETMVPESPSPPVLHYTVTLDIRTSIIFSFSIILFFLFLFHVLSGFLQWFLDVRRNGGGGEDVEAAMAEERRLKRAEKLPLPPTVRFGSEKMVRRRSEEECAICLEEFLIGENCQALPECNHFFHSECIDVWFSKKFTCPICRNCVAA >KGN55484 pep chromosome:ASM407v2:4:22907383:22910366:-1 gene:Csa_4G653450 transcript:KGN55484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEYGGENLEVDPRSGFCKSTKIFNSKRRPIPLPPNQSLDATTFISSRPHNGKIALIDASTGHHITYSDLWNAVHSVASSLSDMGIRKGHVILLLSPNSIHFPIICLAVMSIGAIITTTNPLNTPQEISKQISDSKPILAFTTQALIPKIATSKLPVVVIDGQIPKSQAKIVSTLSEMMRKKPSGSQIKERVEQNDTATLLYSSGTTGASKGVVSSHKNLIAMVQVVVTRFKLSEGEGTFICTVPMFHIYGLVAFATGLLSSGSTIVVLSKFEIHEMLSAIEKYKATYLPLVPPILVALVNAAEQIKGKYDLGSLHTALSGGAPLGKEVIEGFVEKFPNVAILQGYGLTESTGIGASTDSLEESRRYGTAGLLSPSTEGMIVDPETGEALPVNRTGELWLRGPTVMKGYFGNVEATSSTLDSAGWLRTGDLCYIDEDGFIFVVDRLKELIKYKGYQVPPAELEALLLTHPNISDAAVIPYPDKDVGQFPMAYVVRKVGSDISHNDVMQFVAKQVAPYKRIRRVAFVDSIPKNPSGKILRKDLIKLATSKL >KGN53629 pep chromosome:ASM407v2:4:6040482:6042569:1 gene:Csa_4G092440 transcript:KGN53629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSCHLRSSTTATVSTTVMFPHKFYFRFSPIFRPRVLGRSVKFRRLFDRISPVPVVTASINSVIASGNVIAAAAAAASGSGSVHGAVTSAITHVAVTAVAIASGACLSTKVDFLWPKVEEQPGSLVLDGVDVTGYLIFEDTKVQKAIEFAKKAHHGQLRKTGDPYLTHCIHTGKILAALVPPTGNRVLSNKYYRTPKFFTTFPTKEGYFRND >KGN55224 pep chromosome:ASM407v2:4:21359062:21361312:-1 gene:Csa_4G641570 transcript:KGN55224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGTSVVAVAAVCIAVLALLLTGLYFWKRRRWGIVESETIGKLQSVESSQQRSASGALKLHHQSESEGKRRLSNFYPRGVSQKPLFSWDDSPSLVNDAVENGWTQFAFTDYVSSSPTSRSRLLGLCSASEIEKEIPEAEISWEVSQGSADFMQKIRLNSGFKKMINNTISSYPASSVIKTALPLPGPPLASFPQEAYFEITILNIYGDENQPTGTAKEGERIKLIPENHSSKASSESLAYFTSNNKVSNVEESKLDGKGQEDEIVEGVMLSVGLISGGSAPSKLPGSYSGSIGFNSNGSVYLDGIKLVFESEKADWGRAEKVIGCGFDPKQKKVFFTVDSELVHVIHCKSEEFGSPLYPTLAANGDVTVLVNLGQSVFKYIPAQRTPNPCFVSPLVNNADGFHGNGYEDSRELFSMNMIDSQWFSRLTPKPSNNLVDDHREDDELSNDMESCVEIELFEIVVENEERIGSKT >KGN53319 pep chromosome:ASM407v2:4:3687060:3690152:-1 gene:Csa_4G046820 transcript:KGN53319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSSNGISPSLKVKTELYNPTANWVFVPLRRAFQYRSKCVMNRRSFAFRGIVASGVSVMGSSAVIQPAQGMERLPFKPEGYNFWTWRGHKIHYVVQGEGPPIVLIHGFGASVFHWRYNIPELAKKYKVYALDLLGFGWSDKALIEYDAMVWRDQVVDFTKEVVKQPAVLVGNSLGGFTALVSAAGLPEQVRGVVLLNSAGQFGDVNKLTEEPEETSFQKFISKPLKDFFQRIFLGVLFWQTKQPARIVSVLKSVYINSENVDDYLVESISIPAADPNAREVYYRLMTRFMFNQSKYTLNSVLSELRCPLLLLWGDLDPWVGPAKANRIKEFYPNTTLVNLKAGHCPHDEVPELVNSALMDWLATLQQLQPTL >KGN53480 pep chromosome:ASM407v2:4:4846081:4846495:-1 gene:Csa_4G056690 transcript:KGN53480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNKASNNNNNKKKRKKNDLISISMGEEMEQLVNMGFPDELAAQALAATGGKSTLKATEWILNHKSSSPSPKPNLPISSNPNLQPKLDRFFHFQPRPPPPSASHVQ >KGN52825 pep chromosome:ASM407v2:4:497804:500289:1 gene:Csa_4G001920 transcript:KGN52825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAIQHTTINTNGIKMHIASIGNGPVVLLLHGFPELWYSWRHQLLYLSSVGYRAIAPDLRGYGDTDSPGSHTSYTALHIVGDLIGALDELGIEKVFLVGHDWGAIIAWYFCLFRPERIKALVNLSVQFFPRNPAISFIQRFRAAYGDDFYMCRFQVPGEAEADFACIDTAQLFKTTLSTRSTEAPCLPKEYGFRAIPPPENLPSWLTEEDINYYAAKFKETGFTGALNYYRAFDLTWELTAPWTGVQIQVPVKFIVGDLDITYHFKGAKEYIHDGGFKRDVPLLEEVVIVKNAGHFVHEEKPHEINTHIHDFIKKF >KGN52954 pep chromosome:ASM407v2:4:1229684:1232075:-1 gene:Csa_4G007100 transcript:KGN52954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPNFDPNNNESGLKLLEDLTTNAKQIQEQVIQKILIQNSNTEYLKSFLHNHHSHSLDLQTFKHSVPVVNYEDIKPYIERIANGEPSHIISSQPITELLTSSGTSGGQPKMMPSTAEDLDRKTFFYNLLVPVLNKYVDGLEEGKGMYLLFIKPEMSTPSGLMARPVLTSYYKSKNFRNRPFNKYNVYTSPDETILCSDSKQSMYCQLLCGLVQRDEVLRVGAVFASAFLRAIKFLEDYWKELSDNIRKGELSQWISDPNCRASVSSVLTKSNPVLADLIDGLCGEKSWEGIIKKLWPKTKYIEVIVTGSMAQYIPTLEFYSGGLPLISTMYASSECYFGINFNPLSKPSDVSYTLLPNMAFFEFLPVEKNDGELSHCNGTTNTSVQEEFKTVDLVDVKLGQYYELVVTTFTGLYRYRVGDILKVTGFHNKAPQFKFMHRRNVVLSIDTDKTNEDDLLNAITKAKLLVEPLGVLLTEYTSYADTESIPGHYVLFWEMKKRSGSNYENFEELVEVDLMEECCSSIEESLDSVYRRCRSKDKSIGPLEIRVVKQGAFDALMDFCVSQGSSVNQYKTPRCIKSEEAIKILDSRVVARYFSKKTPFWEPFRGQYSH >KGN53752 pep chromosome:ASM407v2:4:7451818:7455372:-1 gene:Csa_4G121790 transcript:KGN53752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENYRPHHRLYAKIELKPNIAEAHKDCVAPVQYQFKTKFQSAREIPGGKCDVRATRGSRKGKHKKASAARKEKLSWGLLLLVLKPRGFKFTRALLYPSSFAPDMMNSFKPNASSTLRFLQFIAHSRHLSTLSPLTPTRSSFLISNNPFSPRPSSLISHPLFSATTIRYLRTGRDPNISYEITPPINWGIRIVPEKKAYVIERFGKYVKTLPSGIHFMIPFVDRIAYVHSLKEEAIPIPDQSAITKDNVSILIDGMLYVKIVDPKLASYGVENPIYAVIQLAQTTMRSELGKITLDKTFEERDTLNEKIVESINVAARDWGLQCLRYEIRDISPPRGVRAAMEMQAEAERKKRAQVLESEGERQANINIADGRKNAVILESEAAKMDQVNRAQGEAEAILVKAQATAKGLTLVSQALKDSGGVEFVPKSKPT >KGN54075 pep chromosome:ASM407v2:4:10889404:10896170:-1 gene:Csa_4G280520 transcript:KGN54075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLSSNSHGNLDEQISQLMQCKPLNEQEVRVLCDKAKEILMDESNVQPVKSPVTICGDIHGQFHDLAELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYPQRITILRGNHESRQITQVYGFYDECLRKYGNANVWKIFTDLFDYFPLTALVESEIFCLHGGLSPSIETLDNIRNFDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHSNNLKLIARAHQLVMEGYNWSHEQKVVTIFSAPNYCYRCGNMASILEVDDCKAHTFIQFEPAPRRGEPDVTRRTPDYFL >KGN53465 pep chromosome:ASM407v2:4:4725515:4726245:-1 gene:Csa_4G056560 transcript:KGN53465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPLRTKILPEFQGVINKSLKHWQFEHSPKQYRSRIRWKPIKFNTIHTYEQEEIDAVRVEWAEFVGRFV >KGN54921 pep chromosome:ASM407v2:4:19221467:19222125:1 gene:Csa_4G598520 transcript:KGN54921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSPEKLDDDYSANEDSNVSAELETSITLNGDLQEGNDSENKNLGNSNALANGLSSMLINIIRDFDSKADDTLKSQNHLSSSLDRLTTELDQLLEDAPFPFIMQHASRISNVIDFALQ >KGN53193 pep chromosome:ASM407v2:4:2764212:2764984:-1 gene:Csa_4G025720 transcript:KGN53193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRAAHTSVYDKNPEEQVRPSIVPDDVIQPQAAADNYWAPHPQTGVFGPASDNPAAVAAAANRAADGGNYSAVEEEKAWFRPTSLEDSEKPHGL >KGN52900 pep chromosome:ASM407v2:4:909664:910731:1 gene:Csa_4G006070 transcript:KGN52900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSKLEQKDMAVKPLRSFRKKKWAKINRSVAGLFGISVSYHRNLAHRSFNLPKWLEYLFAYCGVHALQGDPIGWVKIHRCHHRFVDTEKDPHSPIQGFLFSHVTWLLDSYVLTEKVGPKYFDDYEKIERNVVMVNMKQGRPENNVGDLEKQAFYRFLRKTYFLHLLLLAVLLYAMGGVPFLIWGMVK >KGN54799 pep chromosome:ASM407v2:4:17508158:17509148:1 gene:Csa_4G500830 transcript:KGN54799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQSTHFLAFPRAATITWGNDTRYWSWANVNLCGYPTEEARLIQVSWLDCRWMMDASDFRQGIRYNANIEVMLTSNASGWNFPVNLEIELPDGSRQESQIGLAGRQPNVWFNMPLGGFTLPDCVTSGTIRFRFFNHAAVWKRGLHIRALVIQA >KGN54905 pep chromosome:ASM407v2:4:19027686:19027988:1 gene:Csa_4G593905 transcript:KGN54905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVIKSKSEEKSLKRHRIEQKRGSQTNDHKYSRGSRVPPIKQRFQTLPLSRHVTQQHLGPTSKCSQSVVSHPNLVSRSCGRESIELLIQFVGAYEVHVR >KGN53365 pep chromosome:ASM407v2:4:4021010:4025530:-1 gene:Csa_4G050200 transcript:KGN53365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCSGLPAGRTSTAHPLDHSDAHHRPPSNGVIKVLPPDSDPSLPPPLPKQLPTSSAPPALGRVLARPFSDVRSFYSFRGELGRGQFGVTYLVTHKETKQDFACKSIATRKLIDQDDVEDVRREVQIMHHLTGHPHIVELKEVHEDRHYVNLIMELCAGGELFDRIIAKGHYSECTAASLCRQIVTVVHNCHSMGVMHRDLKPENFLFLSADENSPLKATDFGLSVFFKPGDVFKDLVGSAYYVAPEVLRRRYGPEADVWSAGVILYILLSGVPPFWAENDQGIFDAVLRGHIDFSSDPWPSISSGAKDLIRKLLHSDPKERLSAIEALNHPWMKEDGEASDKPLDIAVLTRMKQFRAMNKLKKVALKVIAENLSEEEIVGLKEMFKSMDTDNSGTITFEELKAGLPKLGTKLSESEVRQLMEAADVDGNGSIDYIEFITATMHMNRVEREDHLFKAFEYFDKDKSGYITMEELETALKKYNMGDETTIKEIIAEVDTDNDGRINYDEFVAMMRKGNQELTTTRRQK >KGN53427 pep chromosome:ASM407v2:4:4496299:4500290:1 gene:Csa_4G053260 transcript:KGN53427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDTRARAAAKALQLNSKHIPSRATTFNRLFAPIYAVGLLALFYYHISSLLNSTSLGSFFISISLFISDAILAFMWATAQSFRMNPLRRREFPANLKELLKNDSDFPALDVFICTADPYQEPPMNVVNTALSVMAFDYPTSKISVYVSDDGGSAMTLFAFMEAARFAATWLPFCTKNDVVERNPDAFFTSNHDWFSEREEIKIMYEKMKMRVETICEEGKIGDEYLNGEEECMAFNQWTKSFTSQNHPTVIKVLLESSKNKDTCGEALPNLIYVSRQKSVTSHHHFKTGALNALLRVSATMTNAPVILTLDCDTYSNDPQTPTRALCYFLDPKLGNDLGYVQFPQRFYGVSKNDIYCGELKHLYIINSSGMDGLLGPNYVGTGCFFVRRAFFGGPSSLELPELSQLNPNHVVERHIKSQEVLDLAHLVASCDYENNTKWGFKLGFRYGSLVEDYFTGYCLQCEGWKSLLCNPKRAAFYGDVPITLLSVVNQMKRWSVGLLEVTFSKYNPITYGVRSIGLLMGLSYAHYAFWPFCSIPVILYAFLPQLALISATQIFPKVWDVWFVVYILLFLGAYGQDLVEFILFEGTFQRWWNDQRMWMIRSGSSLLFGCVEFTWKSLGINSNFGFNVTGKAMDEEQSKRYKQELFEFGLFSPMFVPITTAAIVNLASFVCGLIEIWKSGGAWEHLFAQMLVAGFGVVNCWPVYEAMALRNDGGKLPPKLTFFCFSLALLLCSFAAFFR >KGN53452 pep chromosome:ASM407v2:4:4642618:4643238:1 gene:Csa_4G055460 transcript:KGN53452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKKEEEEEGRRGRRKKRKKEEEEEGRRGRRKKRKKEEEEEGRRGRRKKRKKEEEEEGRRGRRKKRKKEEEEEGRRGRRKKRKKEEEEEGRRGRRKKRKKEEEEEGRRGRRKKRKKEEEEEGRRGRRKKRKKEEEEEGRRGRRKKRKKEEEEEGRRGRRKKRKKEEEEEGRRGRRKKRKKEEEEEGRRGRRKKRKKEEEERRNEE >KGN52797 pep chromosome:ASM407v2:4:345417:345856:-1 gene:Csa_4G001640 transcript:KGN52797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSSPPALSILIFTIAFFVSSSSSSLVVTTMSSDRSLNWLSTEARCHGRSISECMMHIEFEMDSEINRRILATSSYISYKSLRANNIPCSRRGSSYYNCQPGAEANPYQRGCTAITRCRS >KGN54634 pep chromosome:ASM407v2:4:15442615:15445226:1 gene:Csa_4G410870 transcript:KGN54634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIVGLFLLLCFCAALLRRNEVRYIKNGLPPGTMGWPVFGETSEFLKQGPNFMNSKRARYGSFFKSHILGSPTVVSMDPEVNRYILMNESKGLVAGYPQSMLDILGKCNIAAVHGDAHKLMRGALLAIVSHTMIKDRLLLQVDEFMRSHLRNWDSQIIDIQEKTKQMAFWTSLKQTTSIESGPLWESFTIEFFKLVLGTFSLPIDLPGTNYRIGVQARNNIVKLLRQLINERGNSKIQKDDMLGYLLSEENKYKLNEEQIIDQVITVFYSGYETVSTTSMMAVKFLHDHPKVLQQLREEHLALREKKNPKDPIDWDDFKAMEFTRAVIFETSRLATVVNGVLRKTTKDLEVNGFLIPKGWRIYVYNREINYDPFLYSEPYTFNPWRWLDKSLESHNYFFLFGGGIRLCPGKELGIAEVSTFLHYFVTKYRWEEVGECKLLKFPRVKARNGFHIRVSSY >KGN54085 pep chromosome:ASM407v2:4:10949710:10950270:1 gene:Csa_4G280620 transcript:KGN54085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISISMAIISPISATHFLFLSFLLSFAIAEHEHSFARTMDRKLLGLEKEKLSHLRLYWHDVVSGKNPTSVQIVAPVSNTSRTRFGAVQMIDNPLTETKDPKSRLWGRGQGFYASASQDKLGLLMAMNLAFVSGIQRKLNRYIWKKPSWGESERDVCDRWRWSFQICERVCKSHNQEVQFQNRRCCC >KGN54284 pep chromosome:ASM407v2:4:12143138:12143476:-1 gene:Csa_4G296860 transcript:KGN54284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNPYGHYSYGYPYPHQGYPKYQGQGVPPNHNYGAGYTNYQHGHVHPYSCYDYSYLYQAYPYPPPSTTLIPPQYNYQQNYDTNIKGGILASMLSSVSGNGSIASFVSSLFFN >KGN53722 pep chromosome:ASM407v2:4:7111362:7115284:-1 gene:Csa_4G110580 transcript:KGN53722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENFALQSVSTTTSFSLHSPTSRLSLLHRSKPISQSLSFPSSLHFSRSTSLLQSTPPIPQSPFLSSRLSLFNPLFNPKLRQPFPMRTSASQSPDNQPVPPSPPPRQGAKPIPFLISIAIGLIVRFAVPKPVEVSAEAWQLLSIFLSTIAGLVLSPLPVGAWAFLGLTVTVVTKTLTFAAAFNAFTSEVIWLIVISFFFARGFVKTGLGDRIATYFVKWMGKSTLGLSYGLTISEALIAPAMPSTTARAGGVFLPIIKSLSLAADSKPNHPSSRKIGAYLIQSQFQCAGNSSALFLTAAAQNLLCLKLAEELGVQISSPWLTWAKFACAPAIIGILVTPAILYKLFPPETKDTPEAPAMAARKLEAMGPVTKNEWIMVGTMLIAVSLWIAGEALKIPSVVAAMIGLSILLTLGVLNWDDCLSEKSAWDTLAWFAVLVGMAGQLTSLGLVGWMSNCVAGLLKSLSLSWPAAFAILQASYFFVHYLFASQTGHVGALYSAFLAMHLAAKVPGVLAALALAYNTNLFGALTHYSSGQAAVYYGAGYVELPDVFKIGFVMALINGFIWTVVGCIWWKILGLY >KGN53763 pep chromosome:ASM407v2:4:7539659:7542089:-1 gene:Csa_4G123360 transcript:KGN53763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQKEERLPNRDDLAESLNEFFTSVSEMIKSDLLGSSNQLELLERMNLRVAEEYKGFGDVASGMRVFVEQLKSKSGGFDEYINQIEKIEHQVTEFEAVISMLDKHVSMLESKVLSVCQNTP >KGN53314 pep chromosome:ASM407v2:4:3667665:3670240:1 gene:Csa_4G046770 transcript:KGN53314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLVWFVHRLTFLFVASCIGLISFSVEAAIKRYQFDVQVQNISRLCHAKPIVTVNGKFPGPTIYVQEGDRVLVNVTNHAQYNMSIHWHGLKQYRNGWADGPAYITQCPIQRGNSYTYDFNVTGQRGTLWWHAHILWLRATVYGAIVILPKQGTPYPFPQPNGEFEILLGEWWNNDVEAVVNQGSRMGLPPNMSDAHTINGKPGPLFPCSEKHTFAMEVEAGKTYLLRIINAALNDELFFAIAGHSMTVVEVDAVYTKPFTTQAILIAPGQTTNVLLQANQAPNRYFMASRSFMDVPIPVDNKTATGILQYRGIPNTVLPTLPQLPASNDTSFALSYNKKLKSLNSPQYPANVPLKVDRKLFYTIGLGQNECPTCLNGTQLVASLNNISFVMPQIGLLQSHYFNIGGVFRTDFPDRPPTPFNYTGAPLTANLRTAVGTRLSKIAFNSTVELVLQDTNLLTVESHPFHLHGYNFFVVGTGIGNFDPAKDPAKYNLVDPVERNTVGVPTGGWTAIRFRADNPGVWFMHCHLEVHTGWGLKTAFVVEDGPGKDQSILPPPKDLPPC >KGN53392 pep chromosome:ASM407v2:4:4221757:4228084:1 gene:Csa_4G051440 transcript:KGN53392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNQPPITHDLFTDTERQNDAGAAFVLQSKGEWWHAGFHLTTAIVGPTILTLPYAFRGLGWGLGFFCLTIMAVVTFYSYFLMSKVLDHCEKAGRRHIRFRELAADVLGSGWMFYFVIFIQTAINTGVGIGAILLAGQCLEILYSSLNPNGSMKLYEFIAIVTGVMIILSQLPTFHSLRHVNLGSLLLSLGYAFLVVAACIIAARSKEAPTREYTLESSPKSRTFSAFTSISILAAIFGNGILPEIQATLAPPASGKMVKGLIMCYSVIFVTFYAIAGSGYWVFGNKATSNILQSLLPDSGPSLAPTWILGLAVIFVLLQLLAIGLVYSQVAYEIMEKQSADVKKGMFSKRNLIPRLILRTLYMIMCGFFAAMLPFFGDISAVVGAIGFIPLDFILPMLLYNITHNPPKSSLTYSINLAIIFVFSGVGLMGAFSSIRKLVLDAQQFKLFSNDVVD >KGN53627 pep chromosome:ASM407v2:4:6009310:6009659:1 gene:Csa_4G091930 transcript:KGN53627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKATYVYRDDLTKKNHSPPSSSSSPQRQSKEIKKVKKRVRFVDTEPEEEELEDETEKNVRIMVKLKKQEANQMLSRCFNGGVLEFGDVTFELMRIPPTRVSSSLVTS >KGN54828 pep chromosome:ASM407v2:4:17923761:17928362:1 gene:Csa_4G509540 transcript:KGN54828 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patellin-3 MTDQEVVITHVPLPDKPTNHLPPLPEPPVKDSFNPVGPVADAAESEVLKPAGDDILSADVDSFKEESTKVADLSDSEKKALEEFKQLIQEALNKHEFTSPPPPPSTLPAKVEEAPVQSEVVVDKTDELIDDATKRSDEKEEPPKSEDKTAETNEEEGEKVKKSNETTVPAEEKEVVAVKTESAVDDDGAKTVEAIEETIVAVVVSAATPTEEAVNEAANPTPAAVEPEEVSIWGIPLLADERTDVILLKFLRARDFKVKESLTMLKNTIQWRKDFKIEELLEEDLGSDLEKVAFMHGSDKEGHPVCYNVYGEFQSRELYQKTFSDEEKREKFLRWRIQFLEKSIRKLDFNPGGICTIVQVNDLKNSPGLGKWELRQTTKHALQIFQDNYPEFVAKQVFINVPWWYLAVNRMISPFLTHRTKSKFVFAGPSKSADTLLRYITAEELPVKYGGMSKDGEFEACDSVTEITVKPSAKHTVEYPVTQGCAVTWEVRVVGWDVNYGAEFVPSGEGSYTVIIDKARRVGSSSQDQQPVISNTFKISEPGKVVLSVDNPTSKKKKLLYRFKTKSL >KGN54388 pep chromosome:ASM407v2:4:12895264:12896168:-1 gene:Csa_4G311230 transcript:KGN54388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEQERGKLDARARQGETVIPGGTGGKSLEAQEHLAEGRSRGGQTRKEQLGHEGYQEMGRKGGLSNTGMSGGERAAEEGVEIDESKFRNK >KGN54661 pep chromosome:ASM407v2:4:15722107:15722991:-1 gene:Csa_4G418540 transcript:KGN54661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQVGDGVFEVLSTSGDTHLGGNDFDKRIVGWLAESFKRDEGAKIELSSLTQTNISLPFITVTSDGPKHIDTTLTRVVITGGSGFKGCTVVQFRSPIKKITGKDPNMTVNPDEMVAVGWRCQAPWLYTKS >KGN54501 pep chromosome:ASM407v2:4:14103355:14103645:1 gene:Csa_4G340520 transcript:KGN54501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMGDFGELGFFLVVLAVDWNICFYQAEASDSCITSMNCGSETLLYGNKEF >KGN52871 pep chromosome:ASM407v2:4:766621:770209:-1 gene:Csa_4G004820 transcript:KGN52871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAKSLSNLLFKGLAGLPATRTSRIVVPGLNYQHGMRYSTTVPNEPDTHDDFKPTNKLENSGLSLRDVVEQDVRQNPVMIYMKGVPDVPQCGFSALAVRVLKLYNVPLSARNILEDAELKSAVKSFSHWPTFPQIFIKGEFIGGSDIILNLHQSGELKEKLNDIAANQKTE >KGN55544 pep chromosome:ASM407v2:4:23167047:23172257:1 gene:Csa_4G664510 transcript:KGN55544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLRFEGSKAQPSPFPFIWAPSPVCFSDLSLLSSPDLTDGLFCYSDLYSPELPLSISFPLVMAANSPVQDPPTDASAKQSAPSPSPALVTPPLKIETPPSDSGQTPSAVPAPTPRPEDLPQSTSPDPIHLPSYSRWFSWNGIHECEVRFLPEFFDSRSPSKNPRVYKYLRNSIVKNFRECPSKKITFTDIRKTLVADVGSIRRVFDFLEAWGLINYSPSALSKPLKWDDRDSKSNASASNTGEPGGGSANSSAPKDASKRVCSGCKSICSIACFACDKFDLTLCARCYVRGNYRVGVSSSDFRRVEINDDTRTDWTDKETLHLLEALTHYGDDWKKVAQHVGGRTERECVAQFVKLPLGEQFHGYPDSEHIDNNCTVKDEASANLTLESTGKIGTSIPNKRIRLSPLADASNPIMAQAAFLSSLVGVEVAEAAAQAAVIKLSEMDFGGDGEIAIPVARNIGEQGNDAASHGGSCLSRGSTMDMEKAISHIVNVQMKEIVDKLNGFEEGELQMEKVFKQLDQMKSMLFVDQLNLLFNKECISTTVEEKSNMNVRT >KGN53234 pep chromosome:ASM407v2:4:3087550:3092011:1 gene:Csa_4G031050 transcript:KGN53234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSVFSEQILADKLSKLNSTQQCIETLSHWCIFHRGKAELVVATWDKQFHNSEMVQKVPLLYLANDILQNSKRKGNEFVTEFWKVLPSALKDVLENGDSHGKNVISRLVDIWEQRKVFGSRTRSLKDVILGEEAPPPLEFSKKRTRSVRIVKRDSRSIRTKLSIGSAAEKIVSAFHLVLSEYSNEETEMTNCNSAVQRVRKMENDVDFACSMAKNPQRKKLAKELEEEESVLKQCIEKLQSVEASRLALITQLKEALHVQESELENIRTQMQVAQAQAEEAKNMQNRLNDEGYVSKTSIIDSNAKAGQTPKKSAAAIAAEVADKLAASSSSQMIMTSVLSTFAAEEAKHTNLTKTNNGLNAFASKPMRSSANSITKPEASAVGSDPNVFMSMQPLAAPANHSYQSVMVPQPTMQSQASNSQTQYQMLPSAPSQQYLQLSNGVLTPYGYGSLPSSTPVPPPPMPHMVSPVVPLTQQMLQQTIPLAQQPSPMIQTQPVPFTQQPLAPSFRPLQPPGMVYYGHPPPSQ >KGN53671 pep chromosome:ASM407v2:4:6516451:6527054:1 gene:Csa_4G099740 transcript:KGN53671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVILKMFVVITDVVRSTLPTCIPPSYKGATIRYMYYVKSTLLGRWLSQENGRSHKESPKDQIEMEARLPLQVWVTQKTNGMLMEEGQNDAFQMDVFWKEMESDTDWIRANDIYDGTDEGYDSSRDEISSVSSYNPMREPFHRTFGSSLSLQSSAGRSSIKIAPFIEGERLSLSSNVARPRVSVAEVLYESADVASPQKSFAAVSPSQVLNFEKNQSTDDDAGAATSPRPKTIEPVASEGFIRGRSYNIRVDDQVLLRFCPKNSDSTYYFSDMIGGTLTFFHEEGTRRCLELSITLETSETVSRRFIHPSRRNSPTIVKVQSDHYEVVADLIQTSFLFSIPMDGPMSFSTPHVSLQWALRFEFFTTPKNVDWTRYEHPLLIEGREKSEWVLPITVHAPPSSAATAQNRNDRPFSLEPLWMHS >KGN54117 pep chromosome:ASM407v2:4:11085949:11086793:-1 gene:Csa_4G286410 transcript:KGN54117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYGQRQTTSSARAKTNHSLPNVPYDICLHLQWSEGIQKLVIQKVIVLHNWNIPQRLAESSKISSLC >KGN55217 pep chromosome:ASM407v2:4:21322482:21322763:1 gene:Csa_4G641510 transcript:KGN55217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDELGSWAVNAVNINPIIGSGSKAAGKWVEMKESCGEGVFEAMKKGNHKKVFMVGGLCEREGLYRCTGRKENGVQKGLNNMAAALFLREDVQV >KGN54741 pep chromosome:ASM407v2:4:16678088:16680298:-1 gene:Csa_4G439080 transcript:KGN54741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSGCQWRTEMLVTNAEQAILSKDLATLRSDLPFYMVPFTTRDLLFKKPEDNGEKFTSLLTAIGAYAERFSADPIIRRVLYLWKKLDKN >KGN53647 pep chromosome:ASM407v2:4:6255104:6258532:-1 gene:Csa_4G095570 transcript:KGN53647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLTATPNPESDSDGAEQVRDQRIVVPNKLITQAECVNTKDQAWFITTQIPTDLKIKVEEVTFNVHKYTLISKCGYIGRKELESSISTQEGNDLKLENFPGGSKAFEMVLKYCYGFPVDLNSSNVAPLRCASEFLEMTEEFEDGNLICKTESFLTFVVLLSWKESLAVLKTCESLSPWAENLQIVRRCCDSVARKLSRENIVGDTVSEDGWWLNDLTALRIDYFTRIITAIRAKGMIKPETIGQSIEQYARKWLPGTEMDPGLGEYTQDKSDLQISILSGRKDELGIVHSKENKAIIESLLSILPPQREAVSCRFLLQMLKMARVYSASPALISELEKRIGMVLEDANVIDILIPNCTYIDRGNSPNTQKERTMHDIEVVQRLLEYYSLFEQQQQQHRIGNSNVCKLIDNYLAELARDPNLSITKFQTLANSLSENARHCHDGLYRAIDTYLKTHPLLSEHDRRKLCKIMNCEKLSLDACVHAAQNDRLPLRTIVQVLFSEQVKMRSAMQEKEKAPSSDSDHDGNHLSTDREIKNLKTELESVKAQMAELQSDYSELQQEYEKISNKQKNIAGWGFGWKKIKNSFHTRIDGDEPAHQRHSRTSSRISLRRRLSMT >KGN55512 pep chromosome:ASM407v2:4:23047080:23056377:-1 gene:Csa_4G663710 transcript:KGN55512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYAKGGTNPKSKPNPTYQLTKNVYPIGGIQVEFPFRPYGSQLEFMDRVISTLDRAQREGHCHALLESPTGTGKSLSLLCSSLAWQKNYKIKNPDANPCHTKAAPEAATDPLGFGGGFIPEVQTPSKFACLYPDYPNISNARIQLSGQLLLLRNMLGFLLSTDTESSLPGPNNKSQKKKTAPTIYYASRTHSQISQVIREYRKTAYRVPMAVLASRKHLCTNPYVRGKDNLDEECKLLLKDQIAGCSEFKNANKVKCHPTLQKGGCHEVHDIEDLVKVGEAVKGCSYYAARSMAYNAQLVFCPYSYIINPVIRGAMDVDIKGAIVIFDEAHNIEDIARHGGSVDIEEDTLNKLQMELEDLCPIDSLVYQPLYEMTQDLTSWIDQRKTTLQKREFQHYVTCWTGNHAQRELQEANITQQCFPILLECATKAIKAASDTESDDAHLSGLSVITLEGLFSSLTYFFSRNGCHMSDYQLALQRYTKRDPGKAYAEWTVTLSLWCLNPAVVFRDISDLSLSVILTSGTLSPLNSFSSELGVRFGTSLEAPHVIDVESQVWPAVISTGPGNYPLNGSYKTADGYAFQDALGKSLEEIFFIAPGGCLVFFPSYKLMEKLRNRWSETGQWSRLNARKSLFVEPRGGAQEDFDSILKGYYDTIRLGDNFAIGKKSRGKKVKPNDSYVVGCENPKEGAALLAVFRGKVSEGIDFSDDNARVVIIVGIPFPNVNDIQVALKKKFNDAYKMSKNLLSGNEWYCQQAFRALNQAAGRCIRHKFDYGAIMLLDERFQEERNRTYISKWLRKSIKQFDNFEQSMEELKSFFSHIKERISNNKESELPNSENEEHITSTCPSSRRRMKIGKFDKFNHRGQKAHEDVKNCIIDLECSVETETRNHEFLSMNTVLEVPDSPIVQETPCVDIVGATSPRESKDERSTSTVIEAYSELSDQLSHQSLPLIKSTRSPLTSETSMLNTPERNVSVNAYSFAQDTESSLNMSVNSHTQKRRKSMGITITKLAQEEFLTDPKTKNPECNSVDRSSIISRNLTSPKDTNYEILLTEKKSNGLNVTQMPKLNDTSPVYLSSGLPMDKKLHLSCALCRSPLGRPENHLNITCSFTVSSKTHLISIYEERFKAQTANSSASIQLIITDILFVNQRLLVRSSKNSGRGIWSEEDGCVYNYVFCPFCYSDNCIGVQIMATDASNIPLLNKVMFFVDCLEIQDLKADTGKASINKEVSPVSSSAKSKYAVMEPIENFSYSPSPLTSGGWRSTKLKVRRKGSS >KGN54719 pep chromosome:ASM407v2:4:16352886:16353344:-1 gene:Csa_4G432970 transcript:KGN54719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGFFSLRSVAMLIVVAVSLLILPLVLPPLPPPPVLLLFVPVLIMSLLLFLALSPSEVPAEEHHETDGGDEDNSA >KGN54379 pep chromosome:ASM407v2:4:12771097:12775395:-1 gene:Csa_4G309170 transcript:KGN54379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPARNEHNGTFIWRNIPTLTTSLQSAQDISEGLWSNNAQLSRIPAPDFCRLQCKFACISVVEKRDQHEFSPSPSQLLTHPLALLALVPKDAALFAAGAVAGAAAKSVTAPLDRIKLLMQTHGVRVAHEGTKKAIGFIEAITTIGQNEGVKGYWKGNLPQVIRVIPYSAVQLFAYEFYKKLFRGKDGELSVLGRLGAGACAGMTSTFITYPLDVLRLRLAVEPGYRTMSEVALNMLKEEGIASFYYGLGPSLIGIAPYIAVNFCIFDLLKKSLPEKVQKRTETSLLTALISASCATLTCYPLDTVRRQMQMRGTPYKTVLEAISGIVAHDGVVGLYRGFVPNALKTLPNSSIRLTVYDFVKRLIATSEKEFQQLVEDNREKKSQTSN >KGN53192 pep chromosome:ASM407v2:4:2761767:2762369:1 gene:Csa_4G025710 transcript:KGN53192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVYDDINERPTFNGSGGTKGIWQFLARNHLMKWGKKVGQMAKTTARLSYWIIGTVIDILCRFSHHYDILWSV >KGN54443 pep chromosome:ASM407v2:4:13407761:13408980:1 gene:Csa_4G332100 transcript:KGN54443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNHKFRFSDMIPNAWFYKLKEIGGASRPKSFRSNKNPHAHPPPPPPPSKHKQQPPPPPPPPHSRSRKSYYFTRQLQSNDAYFINSPPPSPPLLPVPIPPRKSTKQPKPGRKQTSSRSSAKLLSSSSLACSCHTTAESIWTKSDSPPQFSTSPSDTSPDFRTDKILTAEASEHFEHDIVIDVSSNYSNNAVIGAFDELELPPIITKQKKKTETKQRTTTTTTTGTKKVAGNSPGVRLRIHSPKIGYRKMGGRKSVSSRRSLSESLAIMKSSYDPQKDFRESMVEMIVENNIRSSKELEDLLACYLCLNADEYHDLIIKVFKQIWFDLTQPSPPPL >KGN55045 pep chromosome:ASM407v2:4:20168185:20172206:-1 gene:Csa_4G625000 transcript:KGN55045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVERSFEAWEEVQRHGQDLADRLAQGFTGLIHSHISSPSFSWPNPPNSKLFDLEFPGQSFGIKDYGLTAHNSGINGVTSIFDIGNRIGQAGADFGACLNGMVQQFFRQLPVPFRQEENVIASIRMDMDKSWQRDDMGVAVQGNRVPECLRNSELADGVSDGVVDDEASGFDLKAIGHLGRAQGTINISSTYDSRSRDVESSLVARGDLWRVEASHGRTAAGNDNSSLFLLQLGPVLFVRDSTLLLPVHLSKQHLLWYGYDRKNGMHSLCPAVWSKHRRWLFMSMLCLNPPACSFVDLQFPNGQLTYVSGEGLTTTAFMPFCGGLLQAQGQCPGEMRFSFSCKNKWGTRITPIVQLPDKSFTLDLAQSLAWKRSGLLVKPTLQCSLSPTFGGSNPGFRAEIVHSVKKHLNLMCGCSFIAHPSAFASISIGRSKWNGNVGNSGVVVRVDTPLSNIRRTSFSVQINTGIEC >KGN55190 pep chromosome:ASM407v2:4:21155644:21159035:-1 gene:Csa_4G639780 transcript:KGN55190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASQSREGLELSDSDREDENGVTNVEDEEKYEDVEEEHQRSSERRPKTPSSVDEVEAKLRALKLKYGSSQKPTLKNAVKLYLHINGNTPKAKWITSEKLTTYSFLKSCRIDKGDNGDEEEEDDDDDEEEEGQDDSWWFLRVGSKIRVRVSSEVQLKTFGDQRRVDFVAQGVWALKFFSDEDYKIFVEKFKGCLFENTYGYEDTEENRLKVYGKDFIGWANPEVADDSMWEDAEEDFSKSPNSATPVRANDLMEEFEEAADGGIKSLALGALDNSFLVGDSGIQVVKNFSHGIQGKGMYVNIDHGNRGPRGGGSCLAYSTPKKALLMKAETNMLLMSPMNEGKPHTSGLHQLDIETGKIVTEWKFGKDGVDISMRDITNDSKGAQLDPSGSTFLGLDDNRLCRWDMRDRKGMVQNLATSSTPVLNWAQGHQFSRGTNFQCFATTGDGSIVVGSLDGKIRLYSINSMRQAKTAFPGLGSPITHVDVTYDGRWILGTTDSYLILICTLFTDKDGKMKTGFSGRMGNRISAPRLLKLTPLDSHLAGVDNKFRNAQFSWVTEDGKQERHLVATVGKFSVIWNFQQVKNGTHDCYRHQEGLKSCYCYKIVLKDDSIVDSRFMHEKFAVTDSPEAPLVIATPMKVSSFSISSSRLRG >KGN54902 pep chromosome:ASM407v2:4:18986215:18988003:-1 gene:Csa_4G580380 transcript:KGN54902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METRTPPNVLQNQSLQVQQIRVSSSTPNKVQEPIRLNEPAVGWRIFRRLSHIILVVHLWLVAVLVIYLTVRGLQAASKTHHFHPRKWYPPLLASTGSSGIIGFSWQAFTGCSPSMALKTAFWFSPVLSLASGVFFVIVGSRGGLAAGVILIVCSLILSVYVCWINHRLNYAIRLLSLSTKYPPKNTSIFVFGSIIIGILYASFLVIGIGGAIALRSDFTALFVAAILLILSWSLQVIKNIVQVTISCIKYLNLAEGSEKDIGAAFHDIIKNSVGTISLGSAIIPLFSFIQGSARSMRLVAGDSDEFLFSCANCCSGLASLLRSHGNRWGFVHVGVFNKGIVQASYDTWEAFKRAELEIVIHSDLTVSFCVLCGVSSGAICSIISGIWTLVIHKNYATELAIYAFLIGYFLCRIAMAWPQACVSAYYVAYAENPQNPRYDSTVPDQIQRLQRRSQFNQPQNLKTSEEADLDHSSSFSKRLA >KGN55387 pep chromosome:ASM407v2:4:22262075:22262254:-1 gene:Csa_4G648580 transcript:KGN55387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGVAYRDSDPGSSIFFYNLTDSVSKSFYNSTIFHSSSPVERLRNFSIMSSIRRTVENQ >KGN55231 pep chromosome:ASM407v2:4:21396485:21399329:-1 gene:Csa_4G641640 transcript:KGN55231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQSASSAAILSRRDSNHSHRSKIKSTALISPMHLDETDDVQEIIHGASDFISDLPDECLACIFQSLSSVDRKGCSLVCRRWFKVEGQSRHRLSLKAEADLSSMIPSLFTRFDAVTKLALKCDRRSTSIRDDSLILISLRCRNLTRLKLRACRELTDVGMAAFAKNCKGLKKLSCGSCTFGAKGMNAVLDNCSALEELSVKRLRGITDSTAEPIGPGIAGSSLKTICLKDLYNAQCFGPLLIGAKSLRTLKLFRCSGDWDALLRVIADRVTGLVEVHLERLQVSDVGLSAISNCLDLEILHLVKTPECTNLGIVALAERCKLLRKLHIDGWKANRIGDEGLVAVARNCSNLQELVLIGVNPTKVSLEILASNCRNLERLALCGSDTVGDSEISCIAAKCIALKKLCIKSCPVSDQGMEALAEGCPNLVKVKVKKCRGVTPEGADSLRLSRGSLAVNLDSGEPEHPDASASEGGIQDNVVDFHPIPNQVVPPTIASSSAGRSSSLKSRLGLLAGRGLVACTLRRWSGGNSSSRINN >KGN54382 pep chromosome:ASM407v2:4:12799987:12806365:-1 gene:Csa_4G310190 transcript:KGN54382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDFNLALVIVAIVVCVIIFIFNVYLLVNYQHPDDKNQAYFPKFVVVFGLSVALISILMLPADVANRQACKHALYNGACNLTLPMKDLWLAIYIVDAVLVFFIIPFAMFYYEGDQDKGIGKRIKSALIWVVVMAIVCGLLLGILYGLVGEVDFTVMHLSSNTASFPNSWDFSSSQPCIGNGARQCSAFTTSASSEKTWTMRTTFPEYVVALATIVGSVLFAIFGGVGIACLPLGLIFSFIRRPKAVITRSQYIKEATELGKKARELKKAADGLHQEERSGSKGRKWRKNVKAVEKELLQLEEDVKLLEEMYPQGEKAETAWALTVLGYLAKLVLGVLGLIVSVAWVVHIVIYLLIDPPLSPFLNEVFIKLDDVWGLLGTAAFAFFCFYLLLAVIAGAMMLGLRLVFITIHPMKWGATLMNSFLFNVGLILLCSISVIQFCSTAFAYYARATAAQEIFGHTLQSLRGIKYLYKYNVFQIAFIALAGLTFVYYAAFGWRRKKLSGRFQLSS >KGN54642 pep chromosome:ASM407v2:4:15525714:15526001:-1 gene:Csa_4G414910 transcript:KGN54642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSQAQRKKSGTNRACFGRSDLLPSRIIGNNESISKYSKFHIGSKFSRNCSSFSKSRPPRSYRLVERFERERCSRRHDTRWYGIQRISAPFEAT >KGN54773 pep chromosome:ASM407v2:4:17101966:17114374:-1 gene:Csa_4G491190 transcript:KGN54773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMDNNSVGNLEMVTEAGWYILGENQQHVGPYAFSELREHFLNGYLLESTLAWSEGQSEWQPLSSIPGLTTEVYGQDSNLPTTVPANNNDDDELEKYQKEVGETEATTKVSSPSGGRNFGLVEGDLERPTTPPEGEEEFTDDDGTPYKWDRVLRAWVPQDDAFFKHEQYRPEEMTFMQEEEVFPQLDADAPCTSIKEEGDSVPSTSIEADHITKETNGKSEETETKKNVKRKLSGNQVEKKEANKGPDGWFELKINTHVYVTGLPEDVTIDEVVEVFSKCGIIKEDPETKKPRVKLYVDRETGKKKGDALVSYMKEPSVALAMQILDGTPLRPGGKMLMSVTQAKFEQKGDKFVSKKVDNKKKKKLKKVEDKILGWGGRDDAKVSIPATVILRFMFTPAEMRADENLASEIETDVKEESTKFGPVDSVKVCENHPQGVVLIRFKDRKDAQKCIELMNGRWFGGKQIHASEDDGLVNHAMVRDLEADAARLEQFGSELEAD >KGN55399 pep chromosome:ASM407v2:4:22335134:22337372:-1 gene:Csa_4G650180 transcript:KGN55399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVEESVRLRLEILYAQLGKKHTFEEGVSNITTLLQDRYPDASPDLRKSFYYIICRVATLLRSRFTAPGFWLSGLKLFQFSLTLLSDQSEIKHLQACVAQAEEILRVIDDPPPQSQSTSSNTGYLFEGHLTVDPEPPQPQWLVQSNLVTAAAAALSNVESSQPSGENNNVSESVAGILLALNGDLETVINALNVDTVDQPPKAPPASKEVVANLPVTIITDEILAKLGKDVQCAICKENFAVDDKKQELPCKHAFHQDCLKPWLDSNNSCPICRHELPTDDQEYENWKEREREAEEARRGAENAVRGGEYMYV >KGN54677 pep chromosome:ASM407v2:4:15840477:15841194:1 gene:Csa_4G420180 transcript:KGN54677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPQTRENLAQNLSLSPLSRSSFSPAAVDPDPVDPPLSPPAVAVLEDPDLIREPKRRKHCPTALENLDELTSASNSSFAFTFDTKFCGFSAEITPKFGSFNSIAPEMERNQKKAEREVRVEEEESVHKVEEEEEEEIGIADALLEAINEEEERN >KGN54300 pep chromosome:ASM407v2:4:12215595:12216341:1 gene:Csa_4G297500 transcript:KGN54300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKIDALRRFLLPCFFPPTAATAAASSAVPKKRLSTSLRDDLETTTATLNEDPTHGQDSPATTPDSVTPKFAVSASIVAPPRPSKTMVIGTIFGHRRGHVWFCVQHDRLRNKPFLLLEFPILTHQLVNEMRFGLVRIALECNRVELGFCPLRSIPIWAMSCNGRKLGFAAKKKAGEPVRSMLKTMQSTTVGAGVMPSGFGSGSEEVMYMRANYEHVVGSADSESFHLINPDECPGQELSIFLLRSRNG >KGN54454 pep chromosome:ASM407v2:4:13543668:13544668:-1 gene:Csa_4G334650 transcript:KGN54454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTLHHPLPPPRDLPLKSGRRPLQPKNSLPNPVPSVAKIIKSKPEIIQISLSGDANKENHPPPTTVSIESCDLSLADELNAVKRKMERLRLDGERTEKMLRERDLVLELRMKELLQRSQEQRDLEMEVDRLFRLKELRSYCMRISPIRSLREKEREKIFTDAQFPEFEIGDGEESVGENSSLDSSEFVTGF >KGN52791 pep chromosome:ASM407v2:4:322148:329209:1 gene:Csa_4G001580 transcript:KGN52791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEESLSIILHDRAVEQAILSMKKGAYLLKSRRRGKPKFCPFRLSMDEKFLVWYSGNQEKQLRLSLVVKIIPGKMLPSLVNQLQITKKLESFSLIYSNCERSLVLTCKDKAQADCWFLGLSSIISRNHHPRPVTILKDQRGIVSCANSPAGFIRRKYNLGLLEDSADFPQVRSLCGSPTLSLSERCLSDGLSHSFDSFYPSDGQSEGDISAWGTPVAEPDVLNRGSLDETIYEKNALSRFVAPVHTSPYIEKNNILKDVMIWGEGIEGGLIGGGTERSASHKGMLVDALLPKLLESTMMLDVQSISLGGKHAALITKHGEIFSWGQGKCGRLGHKINMDLDHPKLVDSLNGIAAKSVACGEYQTCALTKAGEVYTWGDSRFGADFDCEENSRSRWLPQKLSGPLKGISISNVACGEWHTAVVSACGRLFTYGDGTFGALGHGNLISLSQPKEVESLNGLCVKSVACGSWHTAAIVDIMIDRFKFKSAVGKLFTWGDGDKGKLGHGDNERKLLPTCVAPLVDCDFAQVSCGRMLTVGLTNMGRVYTMGSSIHGQLGNLSSRDASVAIVEGKLKEEFVKAISSGSYHVASLTSTGRVYTWGKGAHGQLGLGDSDDRNLPTFVEALGDKQVESIACGSNFTAAICLHRSITSSDQSSCYGCKLPFGFTRKKHNCYHCGLYFCRMCSSKKTTNAALAPNKSKAFRVCDPCFNILRRHIHLDRSLKQENTRTQNSLKQQKVSGFERVDKRSMSSKHSPLLSPTKQNIDEMQSHWKFINQGENQQDLETFTSSGIPSWGQVSCPASFKMCDRENTKTLFSPSQNQTTANDLVHLKSPNSSAISLDRFLYGSSEKLSEEVQRLRTEVKNLELQCNDGDEKMQKCRQKIEEAWSVAREEAAKCKAAKEIIKALALRLHTISEKVSSRGDTKDEVDANKPHVTPVYSDSSNFGHFHSPSAVTCLPPELQLPKDRVIGDSLYNSPIVFSNTFKSLYGRPAFRHVSKSTDPDPIANRTSAKNGNANYLKDEWMEQYEAGVYITFTSLPGGHKGLKRVRFSRRRFSEREAERWWEENQVIVYQKYGIDGYTDSNHSQMED >KGN53865 pep chromosome:ASM407v2:4:8836811:8837050:1 gene:Csa_4G172530 transcript:KGN53865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPPVSKTSSTPSRNRSFNRLRRPFTQDFHAAIPLHWSAILRSRLIGIPDRSRHWLLILSQICPRGSKSSCILWPPFDP >KGN55294 pep chromosome:ASM407v2:4:21703787:21704179:-1 gene:Csa_4G644710 transcript:KGN55294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACNNKTHSRGRVAFSWENKPGVCKAAVAPPHGFCDGDDPLKKQLQPPPCTPARKGNKKLQKDGGAEDPFLAAYKECTSEDDEKTCKLKKNKSGFGGWMTTNFFCNLSCKQLTAVRDDSLISSPDSKRTV >KGN55297 pep chromosome:ASM407v2:4:21720601:21723663:1 gene:Csa_4G644740 transcript:KGN55297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEASSEPQQHSHYHHRGQVTSAVAVSNTMSNSFLASHHYNGDFLSVVPLKSDGSLCIMDSINTSQPQDILPNISPKLEDFLGGATHGKETTALSLDSIYYDQNAEVGSERQHSLNLLNQQEQQQHILFHSQRYYSAMYQYPAENPDNESHIASYCSEIRQDMEQRINGCCFGGMNCQDLKPLSLSMSPGSQSSCVTTPSQISQPGPSTMEIKKRALASQKQPVHRKSIDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCKKEGQTRKGRQVYLGGYDMEEKAARAYDLAALKYWGSSTHLNFPLKNYELEIEEMKNMNRQEYVAHLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFGTQEEAAEAYDIAAIKFRGANAVTNFDTSRYDVERIIASSSLLSGEFARRKKEHKPTNTIERKEPKQNVTQTDEGLEMSTNLDWRAVFHDNLLLNPSASVESIDQKSMTSSRYVNHVIGVVETESSNQETVNDSRKYKTHFSNASSVVSSLSSSRETSPDKSNGSSSVLFAKSPFGSNGSNWLPSPQMRLAPISLPVWNDA >KGN52907 pep chromosome:ASM407v2:4:932850:935843:-1 gene:Csa_4G006140 transcript:KGN52907 gene_biotype:protein_coding transcript_biotype:protein_coding description:Delta 9 desaturase MEAARKEVEDKHDKKKQFSMVNPKRAFFNRKWTTLDRRTAAGILFLHLLSLLAPFHFNWAAFWVAFALYLITGALGITLSYHRNLAHRSFKLPKWLEYFFAYLGVQGFQGDPIDWVSTHRYHHQFVDTDRDPHSPMQGFWFSHMTWMFDSYGLTEKIYPKNLEDFKEREAKRTLSRNWFVGFLKYGRPKNVEDLQKQGFYRFLRKTYLLHPIALAIVLYKFGGLPFVVWGMGVRLICVLHAALFVNSACHIWGYRKWNTNDLSKNNWIIGLISFGEGWHNNHHAFEYSARHGLQWWEFDFTWWVILLLQALGLATDVKLPTQLHKQKLAIQYKITSPCDSS >KGN54537 pep chromosome:ASM407v2:4:14514173:14518351:1 gene:Csa_4G358750 transcript:KGN54537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVGGVSVNGAGLMIGGGDVSRAHAAMALVQLINGGYHVITKVALNVGMNQLVFCLFRDLLALAILAPVAYVREKRIRLPMTRDLLISFFVLGLTGIFGNQLLFLVGLGYTNPTYAAAIQPAIPVFTFLFAVMMGTESVNLLKLEGQAKVGGTLVCVSGAIVMVLFRGPALIGNTESDLMSHNEISARGQPEPAGWLMSNFLEYGLDHFHVGVLCLIGNCMCMAAFLAIQARVLKKYPANLSVTAYSYLFGVILMVITSFFMTNESTDWNLTQSEFFAVLYGGVFASAINYGLLTWCNKILGPALVALYNPLQPAASALLSRVFIGSPIYLGSILGGSLIIAGLYLVTWASHRERQTTTVLLPHSTRSSEPLIHKDSLTNKFAYQIGHIFSGSASSPKSVD >KGN52886 pep chromosome:ASM407v2:4:831966:834375:-1 gene:Csa_4G004950 transcript:KGN52886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLKKATISLYFLLAIFSFSGSSRSILHQPHPQPLESSKINNTQNAGSCSYTVTIKTSCSSPAYTRDYISIAFGDAYGNQVYVPRIDDPSSRAFERCSTDRYDLKGPCTYQICYVYLYRSGYDGWKVDKVTISSYSSKSVTFNYNSKIPNDVWFGFNFCSGRQAVESTAAM >KGN54492 pep chromosome:ASM407v2:4:14000284:14000496:-1 gene:Csa_4G338955 transcript:KGN54492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLYKRMRSLDHHRQVMTLSITPSCIQYVAPNLDSHSAKDLEKMTPDELYEMSRSNFKCWCHDSRRVMQT >KGN55129 pep chromosome:ASM407v2:4:20766468:20776209:1 gene:Csa_4G637690 transcript:KGN55129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGGSLGLRTGSYASLHLQLQNGALQNSATSPLVHKASKTNLSSTRERERVIPLCCRYFGRRRVAMLLLFILALLVFVFGSFAVSRDSSDLKAPYEETTLRDLLNPAVLKTEEFKDIITSTHGNLINPPFPYSNRSLISYSFPRHSLPSPHPCINFALPPPAPANGKRTGARPCPVCYIPVEQAIASMPIAPSISPVLRNLTYIHDGNPIKTEPHGGSDFGGYPSLRQRNDSFDIKESMTVHCGFVKGSKPGNQSGFDIDEADLLELEEFHEVIVASAIFGNYDVLQQPINISEESKKFVPFYMFIDEETEAYMKNSSLLDSRKRIGLWRIIVVHNVPYADSRRNGKIPKLLLHRLFPNIQYSIWIDGKLQLVVDPFQILERFLWRQNATFAISRHYKRFDVFEEADANKAAGKYDNSSIDEQIGFYVTEGLTPYSLAKLPITSDVPEGCVLIREHIPITNLFTCLWFNEVDRFTSRDQLSFSMVRDKIMSKVNWSLNMFLDCERRNFVIQTYHRELLEHMPPPAREVLHRPSLVPDVHTVSKPSVHIVQKSPPVKRNSSKRGRSDKKSTSKRHRKVISGHREDNSL >KGN53849 pep chromosome:ASM407v2:4:8632505:8632879:-1 gene:Csa_4G166930 transcript:KGN53849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKYIVGSLLGACGVAYICDHLISDKKIFGGTTPSTVSNSEWLEETDKKFQAWPRTAGPPVVMNPISRQNFIVKTRPES >KGN55536 pep chromosome:ASM407v2:4:23140182:23140415:1 gene:Csa_4G664430 transcript:KGN55536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAPHSDCQKEPKKGDSQHYGRAPSAETKTESGEAITNTNTNTITITITITITVAVGVPSVVVVNLIGFDDGRKSEW >KGN52872 pep chromosome:ASM407v2:4:770829:776365:1 gene:Csa_4G004830 transcript:KGN52872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTAVKMSEDVWFTCLTHALSTETEEIMGLLLGDIEHSKNGSVTALIWGASPQTRSDRRKDRVETHPEQLAAASAQAERMTAMTGRTTRVIGWYHSHPHITVLPSHVDVRTQGTYQLLDSGFIGLIFSCFSEDANKVGRIQVIAFQSSDGKQNHLSRPISLSPVYRNSVIDVESSLSSSDNVSGNVGYGPGENPEQDTGDSMIAGALKGTGRSSELGYFFANADTNYQGKEKIGGSYLINNTNSGITDIDPMDLSESMQEAMHRSNIEMSAAEFSRKEIPLHVMPTASLIKLDSPLTSFTDLQHVLFEEERSAYNQAISNNMKDGKVHPLTFIHHTSTYQASMCKLIEYCLSPAISALQDRVKENEIRLALLAEEARNLEVEAAKANESVPGSPHQVTHGSRASASPTHRDLYPSTASVGARSGGSSMYRSRKGL >KGN53449 pep chromosome:ASM407v2:4:4636620:4636925:-1 gene:Csa_4G055435 transcript:KGN53449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGILGLSLGFKLVLWRARLAIPFAPFTEYCPQSLGSIMQCNLRELLRYGFAQSTRFWSFFALDLSIALLPVRSSKSTTPKLYTSLFVVKCPTINIVPQTT >KGN53677 pep chromosome:ASM407v2:4:6596371:6597257:-1 gene:Csa_4G101280 transcript:KGN53677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METALHRHFQSFNGTILYSNFQLYCSFKRARAAKQHHKLGESRINVSKCFFLGASSLLCRLSTSSSRQQQQIKVFGSRRRRINESSDTYVLLEPGEEEKFVSKQELETILKGWLENWPTPLPPDLSRFQSIEEAVTFLVKSVCELEIDGDVGSIQWYEVRID >KGN55454 pep chromosome:ASM407v2:4:22726999:22732262:-1 gene:Csa_4G652670 transcript:KGN55454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARTRIGVLAVAGFCSAVLIAALFSLLWLWIHRQRRNAGKSKRKDAEKGIGLAPFVTGKKGCVSVIDFKVLEKATGNFGESNVLGEGGFGRVYKALLDDNLVVAVKKLDCSGFQADTEFENEVNILSGIHHPNIICQLGCSSEGDSKLIVYELMENGSLETQLHGPSRGSALNWHMRMKIALDVARGLEYLHEHCHPAVIHRDLKTSNILLDANFNAKLADFGIAITDGTQNNNKIKLSGTLGYVAPEYLLHGKLTEKSDVYAYGIVVLELLLGRRPVEKRPTPQSHSIVTWAMPQLTDRSKLPNIVDPVIRNTMDLKHLYQVAAMAVLCVQGEASYRPLIKDVLHSLIALVPIELGGTLRLNPPPQ >KGN53178 pep chromosome:ASM407v2:4:2686626:2687195:-1 gene:Csa_4G025092 transcript:KGN53178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRYTKEVRKVSLEIMEAINESLNLSPTYLSSKMAEGVQVVAVNCYPPCPQPGVALGLPPHTDYSCITTVLQSSQGLEVMDPADGTWKMVPKIDGALQVHVGDHVEVLSNGLYKGVVHRATVNSERTRISITSLHSLGMDEKMKPAEELVNEHNPKKYKDSSFNDFLKFLSSNDLAEGKSFLNTLKLQD >KGN53976 pep chromosome:ASM407v2:4:9905228:9907287:-1 gene:Csa_4G218350 transcript:KGN53976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTLLKINNKYGFLQPLHGVSEKLSGVRGTKFHGQEFGFGQRKSRLKLKKGGCVGVKSSALLELVPETKKENLEFELPMFDPSKGLVVDLAVVGGGPAGLAVAQQVSEAGLSVCAIDPSPKLIWPNNYGVWVDEFEAMDLLDCLDTTWSGAVVFTNEQSTKDLARPYGRVNRKQLKSKMLQKCISNGVKFHEAKVIKVIHEEFKSLIICNDGVTIQAAIVLDATGFSRCLVQYDKPYNPGYQVAYGILAEVEEHPFDVNKMVFMDWRDSHLNNNMILKDRNSKIPTFLYAMPFSSNRIFLEETSLVARPGLQMSDIQERMEARLNHLGIKVKSIEEDEHCVIPMGGPLPVLPQRVVGIGGTAGMVHPSTGYMVARTLAAAPIVASAIVRCLGSDGRFMGDAISSEVWKDLWPIERRRQREFFCFGMDILLKLDLKGTRRFFDAFFDLEPRYWHGFLSSRLFLPELLLFGLSLFSHASNASRLEIMAKGTPSLVNMIGNLVKDRD >KGN53707 pep chromosome:ASM407v2:4:6910716:6925897:-1 gene:Csa_4G107490 transcript:KGN53707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAAEFERPDLSCGLASMDSSESRWVFQDDDQSEIDDYDDDDDPHDNAARHSMDLESEDEDNVEQKLIRTGPRIDSFDVEALDVPGAHRNEYEDFSVGKKIALAFQTLGVVFGDVGTSPLYTFSVMFNKVPINGDEDIIGALSLVIYTLILISLVKYVLVVLLANDDGEGGTFALYSLICRHAKVSLLPNQLPSDTRISSFRLKVPSAELERSLKIKEKLEASLTLKKLLLMLVLAGTAMVIADGVVTPAMSVMSAVGGLKIGVDAINQDEAVMISVACLIVLFSVQKYGTSKVGLAVGPALFIWFCTLAGIGIYNLVVYDSSVLKAFNPVHIYYFFKRNSTNAWYCLGGCLLCATGSEAMFADLCYFSVRSIQLTFVFLVLPCLFLGYLGQAAYLISNQNGAEHVFFNSVPKSAFWPVFFIANVAALIASRAMTTATFSCIKQSTALGCFPRLKIIHTSRKFMGQIYIPVLNWFLLAVCLVVVCSISSMYEIGNAYGIAELGVMMMTTVLVTIVMLLIWQINIIIVMGFAMIFLGIELIFFSSVLWGVGDGSWIILVFAVIMFFIMSIWNYGSKLKYETEVKQKLSMDLMRELGCNLGTIRAPGIGLLYNELVKGIPAIFGHFLTTLPAVHSMIIFVCIKYVPVPVVPQSERFLFRRVCPKSYHIFRCIARYGYKDVRKENHQTFEQLLIESLEKFIRREAQERSLESDGDDDTDTDETRCSRLLVGPNGSVYSLGIPLLAEFNEITRPITEVLNVPEEVQALESPDPSIPDAEQSLERELSFIRKAKESGVVYLLGHGDIRARKDSWFIKKLIINYFYAFLRKNSRRGIANLSVPHTHLMQVGMTYMV >KGN53103 pep chromosome:ASM407v2:4:2139662:2145697:1 gene:Csa_4G016420 transcript:KGN53103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFIKKRRKIVKRKGKGKERKTSPPLPFAPRFATLSSVHCVSPNNYRKPKTEEHPTKPKAKPIPQIPPCLSPFILPFLFPEPRRGINLKAHAPPPGYFVRLKNTGSKDDLYLSKKDRMRRWLCCTCQIEEAYPSAESEHLKSPRSYGDGHVQRKEAATFKSEAPKTPPPIEVPALSLEELKEKTDNFGSKALIGEGSYGRVYYASLNDGKAVAVKKLDVSSEPDSSVDFLTQVSTVSRLKHENFVELHGYCVEGNLRVLAYEFATMGSLHDILHGRKGVQGAQPGPVLDWMQRVRIAVDAARGLEYLHEKVQPSIIHRDVRSSNVLLFEDFKAKIADFNLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLSEDKVKQCVDPRLKGEYPPKGVAKLAAVAALCVQYEAEFRPNMSIVVKALQPLLKPPAPAPAVEP >KGN55030 pep chromosome:ASM407v2:4:20076734:20080090:1 gene:Csa_4G623380 transcript:KGN55030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMLCASSSTPTFSLHSTLTNGTTSNKSFPRNSLLVAFPLPHSKSSFLLTQTSISLTSNSKRSALQVRAQELDRVPLEQRWMFEGDELDGPDIWNKTWYPKAADHVNTEKPWYVVDATDKILGRLASTIANHIRGKDLPTYTPSVDMGAFVIVVNAEKIAVSGKKRTQKLYRRHSGRPGGMTVETFDQLQQRIPERIIEHAVRGMLPKGRLGRALFNHLKVYKGPDHPHEAQKPVDLPIRDKRINKER >KGN54648 pep chromosome:ASM407v2:4:15607772:15609427:-1 gene:Csa_4G416440 transcript:KGN54648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPRRNSISFSSSTNPQSLESPFHSQSQARSLSLSLALSFSHLKNFLKKPHAFPFLLSVFLLLTWIFLRIQHSSSQFSSRYHQATDSWSRDDDLKANLVRFNSGFPSPIAKDNRGWLLDPISLALGSGITGGAVTCASVHIGEIQPGAMRGNHRHHTCNETFVLWGARTKFRLENNNVGDKGYAEVIIGADEVAIAASPRGTAHALINMDPVRTTLFLGCQDGSINYNSSTSDFKVWKDL >KGN54093 pep chromosome:ASM407v2:4:10973590:10977646:1 gene:Csa_4G285690 transcript:KGN54093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSHLSAIPLRRPSSSSPPSLSHSANLKPVVLHVTSNSDDESCSTGDSKTPSKPLKGTQKLISRRWCLTCLCSSVTLMKSYGGTVTEAIANTMDGKPACRNCGGSGAVLCDMCGGTGKWKALNRKRAKDVYEFTECPNCYGRGKLVCPVCLGTGLPNNKGLLRRPDARQLLDKMYNGRLLPNS >KGN55510 pep chromosome:ASM407v2:4:23042703:23042966:-1 gene:Csa_4G663690 transcript:KGN55510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTRFEKREGKERSLLGMRKSFKGTLVAGGYKKEAVEVIRNGGANFVAFGRLFLANPDLPKRYDRSTFYTRDPVIGYTDHQIS >KGN53472 pep chromosome:ASM407v2:4:4819520:4823122:1 gene:Csa_4G056630 transcript:KGN53472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFGKFRDILSSVATRLSGRHSSTDAFKSSSSPPLIASPSPLVAGFVSPALKNNLRLSSSLQDLSTYRRLDLEEGNRGVENASPDFSPLQRENASSSFSKEKTLPGSSFWWLTRKWMRTVVLFLCLLLFCFLIYTVSMYIYSYWSQGTPRYYVVLDCGSTGTRAFVYQANVNYKKNGALPIAIRSYTGQKKKLKSQSGRAYDRMETEPGLDKLVRNMTGLKKAIKPLLQWAEKQIPKRAHESTSLFLYATAGVRKLPPADSKWLLDSAWSILKSSRFLCQREWVKTISGTEEAYYGWIALNYQKELLGATPREPTYGALDLGGSSLQVTFESKEQNESSLNIKIGNVDYHLNAYSLTGYGLNDAFGKSVVHLLRRIQEPEKLDLSNGKFKLNHPCLHSGYNEQYTCNQCGKLLDGGSKSGISLRLIGAPNWEECSALAKVAVNFSEWSNTSTGVDCDVQPCAITNNYPPPYGNFYAISGFFVVFRFFNLTSEATLDDVLERGHKFCEKPWDDAQASVPPQPFIEQYCFRAPYIVSLLREGLHITDKQITIGSGSTTWTLGVSLLEAGKAFTVATRLELRGYEIFKMKIDPLILMVVLFTSLFFLLALSCVRSALPRFFRRPYLPIFRHNAVSTTSVLNIPSPFRLQRWSPMSAGDGRVKMPLSPTVQGSQERPFGLGHGFSSSSGIQLMESSLHRSTSSGVSHSYSSNSLGQMQFDNSSVGSFWTPRRSQMRLQSRRSQSREDLSSTLSETHMVKV >KGN53413 pep chromosome:ASM407v2:4:4393854:4400092:1 gene:Csa_4G052630 transcript:KGN53413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLISLVNKIQRACTALGDHGEASALPTLWDSLPAIAVVGGQSSGKSSVLESIVGKDFLPRGSGIVTRRPLVLQLHKGDEGSREYAEFLHLPRKKFYDFVAVRKEIQDETDRETGRSKQISSVPIHLSIYSPNVVNLTLIDLPGLTKVAVEGQPESIVQEIEMMVRSYIEKPNCIILAISPANQDLATSDAIKISREVDPTGERTLGVLTKIDLMDKGTDAVDILEGKAYRLKFPWVGVVNRSQADINKNVDMIAARRRERDYFASTSEYKHLAHRMGSEHLAKVLSKHLETVIKSKIPGIQNLINKTISELESELSRLGRPVANDAGGKLYMIMEICRAFDQNFKEHLDGVRPGGDKIYNVFDDQLPAALKRLQFDRQLSMENVKKLITEADGYQPHLIAPEQGYRRLIESTLVTIRGPAEACVDAVHAILKDLVHKAMGETLELKQYPGLRVEVGNAAIESLERMREQSKKASLQLVDMECSYLTVDFFRKLPQDIEKGGNPTHSIFDRYNDSYLRRVGTTVLSYVHMVCASLRNSIPKSIVYCQVREAKRSLLDHFFTDLGKLEQKRLSSLLNEDPAIMERRSALAKRLELYRSAQAEIDTVAWSK >KGN55158 pep chromosome:ASM407v2:4:20947509:20950954:-1 gene:Csa_4G638480 transcript:KGN55158 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nbs-lrr resistance protein MAVTDFFVGEIATELLRMMVQLSTKSCLCKTTAAQIANSIQQILPIIEEIKYSGVELPAHRQFQLDRFSETLRRGIEISEKALQCGRLNIYRNLRLARKMEKLEKDICRFINGTMQAHILADVHHMRFQTTERFDRLEGVLLERRLESMKIRADASGEERWWVEEAFKKAEEEERYESNFVNIGTGLRVGKRKLKELVIGKEDLTAVGISGIGGSGKTTLAREFCKDPEVRRHFKERILFLTVSQSPDVEQLRRTIWEFVMGSDSVNSNNLILHGRPSNSALLVLDDVWSISVLENVIPNVTGCKTLVVSRFKFPEVLRETYEVELLKESEAIALFCHSAFGQQSIPLSANHNLVKQVVNECKCLPLALKVIGASLRGQSEMFWNNAKSRLSRGEPICESHENKLLQRMAISIERLSSKVRECFLDLGCFPEDKRIPLDILINVWKELHDLDDEEALAVLFELSQKNLLTLVKDARGGDIYSSYYEMYVTQHDVLRDLALHFSCQENVNDRKRLLMPKSDTELPKEWLRKSEQPFNAQLVSIHTGEMEEMDWAPMIFPEAKVLILNFSSSGYFLPSFLCNMPKIRALIVLNNNATHATLTNFSVFSSLVNLRGIWLEKISMTQLFDACTPLKHLRKLSLVFCKINNSLDEWAVDVSQIFPFLFELKIDHCNDLRKLPSSICEMQSLKCLSVTNCHNLSQLPTNLWKLKNLQILRLFACPLLKTLSPSICVLSCLKYIDISQCVYLTSLPEEIGKLTSLEKIDMRECSLIRRLPRSVVSLQSLCHVICEEDVSWLWEDLKSHMPNLYIQVAEKCFNLDWLKE >KGN54103 pep chromosome:ASM407v2:4:11022823:11024039:-1 gene:Csa_4G285790 transcript:KGN54103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPKLTPFVAVSLKLSKPTTELLPSSFVSTSMTALPMHGCDGSVLLEDVPGVIDSELNAAPNNGIQGLDIVDNIKAAVESACPGVVSCADILALSSQVSVVLSGGPAWIVPLGRKDSRIANRAAAANLPSPFETLDVLKSKFAAFGMSSTDLVTLSGAHTFGRARCFFFTGRFDNFNNTGLPDPTLDAAYREQLRQLCATPVTRVNFDPTTPDTFDKNYYTNLQNHKGLLQSDQELFSTPGADTIGIVNTFAASQLLFFIQFGNSMIKMGNLGPPPGTPSEVRLNCRKINPPTTFHDVI >KGN53021 pep chromosome:ASM407v2:4:1722589:1727158:1 gene:Csa_4G011680 transcript:KGN53021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIASSLCNASAKFPAEVMEVETMMEQKRTLCSVDESALTSLTPKRHKTDFSISSKERKDKLGERIMALQQLVSPYGKTDTASVLLEAMEYIQFLHEQVKVLSAPYLQSTPTVLLQEVEPCSHSLRSRGLCLVPISCTAGVARSNGADIWAPVKTISPKFEKHISPFN >KGN55272 pep chromosome:ASM407v2:4:21593759:21596773:1 gene:Csa_4G642530 transcript:KGN55272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKGPELFSDIGKKARDLLFKDYSNEQKITVASLSDIGVGLNSTVIKRGGLYSGDVAAQYKQKNAVLHLKFDTESNVSSVLTVTDILPSTHVIASLKFPNYNSGKLEAQYFHEHATFTTAFALNKSPSVDISATIGTPHIAFGAEASFLTNSGYFAKYNAGVSVTKADSKASAILADKGDSLRVAYLHLFEQLNGGAVVGEISRKFSTNANTLTVGCSYVLNSQTVVKTKLNNHGNLGALLQHQLSPKSFLTVSGAFDTNALTNYPKFGLTLLLKP >KGN53360 pep chromosome:ASM407v2:4:3981750:3981965:1 gene:Csa_4G050165 transcript:KGN53360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPLRSITVKPLQESRSDFLHTVSETGEPETAQVSLSSSGGSWDSWCTAPDEDLKKSILICSIERGIVLKK >KGN54660 pep chromosome:ASM407v2:4:15721418:15721609:-1 gene:Csa_4G418530 transcript:KGN54660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHRLVVLPSFKEDLLLPPSHKSVLHVVAQIVVLRLSVLQRRSIVTDMFNSIGDIMSQICFAC >KGN54242 pep chromosome:ASM407v2:4:11843748:11846717:-1 gene:Csa_4G295470 transcript:KGN54242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDSQPSMEETILVGDDLMMGPPSPIIPPEIASHVLEGVDLCDGILRNLFLCLQINDIEPFCQDEIAVYRQCAEKRDRELRQRLQDSECKLGSSMPLDAAKERATQLESEVTLLERRLILASGVEGIEGFRQRWSLHGRLTDTKKRLESLKKGIENRRDDEPARKTSSSKRWFF >KGN54216 pep chromosome:ASM407v2:4:11669011:11672101:1 gene:Csa_4G293260 transcript:KGN54216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTIDFFCASQASTAVDQPSSSPAGRFIDRHNPIIADARRSNVTSRTTNFPNPPCSSQYSPINPLPYHQLHAAAAAASPNVAGDQIRPSGVSGNHKDLKMKKKKKKSSSIITTDFVRWSCAKPSDLATPPGSMRYLLNDKSVPDGSMDRIPTPIPINKNQPSSNPQDPHHSKPTPQISSQDDSNKSPPSNQVVVLRVSLHCRGCEGKLRKHLSKMEGVNSFNIDFAAKKVTIMGNITPQGMLESVSKVKNAQFWPYADPTPTPTPNPNLNQNHHPNVLKKT >KGN55504 pep chromosome:ASM407v2:4:23027128:23029937:-1 gene:Csa_4G663630 transcript:KGN55504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFSCIRTRCKDASKVEIDNGSGRGKSYSNETGKGKESQNRGGNNRKCGAARSFPFRELATATRGFKEVNLIGEGGFGRVYKGRLESGQIVAIKQLNHDGLQGYQEFIVEVLMLSLLHHSNLVTLIGYCTDGDQRLLVYEYMSMGSLENHLFGLFPKRSPLSWNTRIKIALGAAQGLEYLHCTANPPVIYRDLKSANILLDDDFNPKLSDFGLAKLGPVGDNTHVSTRVMGTYGYCAPEYAMSGKLTLKSDIYCFGVVLLEIITGRKAIDTTKKPGEQNLVAWSRPFLKDRRKFVQLVDPLLEGRYPLRCLHHAIAIAAMCLQEQPMFRPIISDIVVALEYLASQSHASEQPREGVGSPSKLSPQGDRSAHVQDSRCGKSSTSK >KGN54279 pep chromosome:ASM407v2:4:12110177:12114320:1 gene:Csa_4G296810 transcript:KGN54279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIECLLLLQIGYRHIDCSPVYGNEKKIGSVLKKLFEEGVVKREDLWITSKLWCTDHAPEDVPKALDRTLKILQLDGSRTNYVNIANQKDQFQGYSPLGSFGTTFMFKGGDVLENPILKEIGDKVGKTPAQVALRWGLQMGHSVLPESTSKSRIKENFDIFDWSIPEDLFAKFSDFYQVSKHSCDDLYIIK >KGN55494 pep chromosome:ASM407v2:4:22953776:22955887:-1 gene:Csa_4G658540 transcript:KGN55494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGIRCSANSVPLTPITFLERAAAVFGDRISLVYGNVRFTWRETLQRCTKFASALVHVGISRGDVVAVLAPNVPATYELHFAVPMAGAILCTLNMRHDAAMVSTLLGHSEAKIIVADHQYLHIVKAAIEIMSKTMAAEELPRIVIVQEFDHPSSNINGFDSASDDLEYESLLNTGTLDFEIRRPIDERDPISLNYTSGTTSRPKGVIYSHRGTYLNTLSTVLLNDMSSMAVYLWTVPMFHCNGWSMTWGVAAQGGTNICQRNVNAKEIFANISLHNVTHMGGAPTVLNMIVNAPITEQKPLLGKVTVMTGGAPPPSNILYKMRELGFLIVHCYGLTETYGVATFCHWKPEWDSLPDEKQAKLKSRQGMQHIGVEGVDIKNPITMESVPADGKTMGEVMIRGNTVMIGYLKDVKATEEAFNGGWFRSGDLGVRHPDGYIELKDRSKDIIISGGENISTIEVESVLFNHPSVLEAAIVGRPDDHWGETPCAFVNLKHGSNATEEEIIKFCRDNLPHYMAPKTVVFKSDLPKSSTGKIQKLILKEEAKAMGTLSLAANRLAK >KGN55451 pep chromosome:ASM407v2:4:22720394:22721235:-1 gene:Csa_4G652640 transcript:KGN55451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRLPFLSAITKYKSPIEEIQPSYAMARPQKRYRGVRQRHWGSWVSEIRHPLLKTRIWLGTFETAEDAARAYDEAARLMCGPKARTNFPYSPSAIQSSNSRILSSNLTAKLHRCYMASLEISNQGSAEFKSDITAAFPAPASTSSVVEEIIPAVEEVPCAADWMVRSVKIESEFGELKLLEDDHIEQMIEELRYYGSMEFCL >KGN55523 pep chromosome:ASM407v2:4:23103974:23104156:-1 gene:Csa_4G664310 transcript:KGN55523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTINCPLPLVTTYGLRALLESYTDERSVVQRLPEFARRKILPYPMHLLLTHFSPQNTF >KGN54263 pep chromosome:ASM407v2:4:12014774:12015051:1 gene:Csa_4G296170 transcript:KGN54263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPEMEKTDKNGDECLTPKSCACRIPKVFKCPPPPKKKSFTGRKLAPPTRGYFQPPDLDTLFSMPPSSRWEACT >KGN55015 pep chromosome:ASM407v2:4:19963428:19966435:1 gene:Csa_4G622740 transcript:KGN55015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWYVPHMISTPIVIITSLVLLLLLFLGWKLADWIWFGPRRLEQLLRRQGFTGNSYKILHGDMKESAAMRKEALSKPMKFSNHIAPRVIPSVYHTIQRYGKNSMMWLGPLPRVHIMDPEQLKTVFSFFNDFQRPTTDPFIRLLIHGLVSLEGEKWVKHRKIINPAFHLEKLKFLKNKKYNRKRKTYNFKFLPTKSNRKIKETNREITTLVLGIINEKEKSMKAGEEIQTDLLSILMESNMNEIKHGRNSKDVGMSIQAVIEECRLFYIAGQETTATLLIWTMVLLSSYSDWQERARAEVFEIFGNKKPDYDGLNRLKVLAHYQKARKALCFVWYNFKSFEKKIPTTKGIDILSTRHPFHCHPAPK >KGN53359 pep chromosome:ASM407v2:4:3980960:3981973:-1 gene:Csa_4G050160 transcript:KGN53359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFFKSSSGAVHQESQLPPEELKETCAVSGSPVSLTVWRKSLLLSCNGFTVIDRNGNIVYRVDNYTGRPEEMILMDGLGKSVLTMCRSKKLGLGENWCVYEGEVEGKTKGRKNKPICRVKKNINILHVDPNRKVLAHVYDYRISKKKYAYSVEGSYGERSCKVLDGASRRVVAEVRRKEAVKGGVCFGGEVFVLVIVPGFDCGLAMALVLLLDQMFS >KGN54922 pep chromosome:ASM407v2:4:19242957:19248769:1 gene:Csa_4G604520 transcript:KGN54922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYYASKRFFNFIDVLKLLSTSNVFRLIKTDEFDCPFDMRSSKGGGRVESSSHIHTLHRRLHDALNLGTRFNEQNTRKWMCSDNEVQRHVVRSIAAFIESVPREVCYHHLVKDSLPDIVYSLVWILEDKNGAASSIAADVAIKLVSAIPNALLKPFILDLSHALSCLLPAHQIQISVACATALNLIILNVPSKNEEALWEILKKSEVVPHLIGIIRNFSGAVNPVECVQPLFSLLSTILCRWPLSRFPVWSDAKLMEALYDIYVKPDFSVRAEVLKLYSAIALCGIGAKKLLERGEGILQEMVECMGHSRPHHVRIEAFRLAQCIVINEEIGLKGMSSCCEPVVKAIINAMVECNLPPEMVTNQQICLLEEACRLVALITRWAGQHQNYFWKHGIDRALLCLLLGKCPKQLYECILLLEDQIHIVQDGLKSNCFPGLRVHIWEILGWLATNFNEDVYLNKSSNGLLIDVLLLCACLEFTELFMGWRQICQSDVVNASKNESTLRAIMMMIYSPSNYIASKTTSMLTKMLEPNKSYLRDFRHTLTGISCGIISGMPNILIVTNLLCLICCVGLPQYTMWDKNAEGRKAIVSFVKWCLSNEVHLDRLSYSPHLHFNFHERACCQGPSKEWEGRNVLLLYSFVGLAQLIQLGSLTNERDTSFLSIGFTEDGLISQLQDICSGCYSPGLKWYAAHILSLMGFYGFPSKFGNKIARALEGCAYSDIRFIHTNGKSINVHGVILAARCASLLPPNRLPVNEKDPNYSSFTDKNSSVKIQKEVCLSSHVDNDAMAKLLEYVYRGYLQAGEELAKKLRSLAKHCRIQTLVHILCRRRPKWGTPFPIFNLVAALGPVGHHFSDIILEAKSTKQTSWKCDFCALYVPHMHVHKVILWLSCDYLRALLQSGMKESHSEVIKVPVSWEAMVKLVEWFYSDKLPDPPSECLWHNMDDQEKLNELQSYVELCWLAEFWFLEDLQEVCLNLIVSCLEIARHLSVNVLQMAGDFSLWKLAEIAADIIAPLYSQLRNCGDLEALDERLLSMIRAASVRLSQEGN >KGN53434 pep chromosome:ASM407v2:4:4555833:4560299:1 gene:Csa_4G054800 transcript:KGN53434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEPDSDHPSPLFPKSNHRSSSDDRTPLMDFDLDLDIPWPLDQIPSFGSNPMSPFLLSTSDHLASPLWAFSEADDDDDSKFAAYACSVLGTSNSHSVPQKPTENQKFKILPVPSSSWGVLPSENPDGYCLIKEKMAQALRYIKESSDQHVLAQVWAPVKSGGKLVLSTSGQPFFLDSQSNGLHQYRMASLTFSFSLDADQDGYLGLPGRVFQQKLPEWTPNVQYYSSKEYPRLSHALNYNVQGTLALPVFDPSGHSCLGVLELIMTSPKINYAPEVDKVCKALEAVNLKSSEILDHPNNQICNEGRQNALAEILEVLTVVCETHNLPLAQTWVPCRHRNVLANGGGLKKSCTSFDGSCMGRICMSATEVASYVVDAHMWGFRDACLEHHLQKGQGVSGRAFLSHSSCFCGDVTQFCKTEYPLVHYALMFGLKSCFSICLRSTFTGDDEYILEFFLPPSIVDYQEQKNLLGALMATMKKHFYTLKVASGINLEDKEGLVEIIQASRNGGFDSRFEYIQIPRPVQLPPASDAMPKAVEVAALETLEQQSLMVHDAPKDENNGAWDGESHKSVPCPQNKEVKKTSERKRGKAEKSISLEVLQQYFAGSLKDAAKSLGVCPTTMKRICRQHGISRWPSRKINKVNRSLSKLKRVIESVQGAEGAFGISSLATSPLPVTVSSSSHPLTPEGSNQQNFVASQPSDSQYKETNTPEAQTNDTQARLEDRLHRGVLSPEEPIHEQNGFLPKFGNGLNNFRTGSGSREESAGTPTSHGSCQGSPANDSALANNPISIRQHEQCARRESPEVAFHPIDKLNISAPPCSIPDTLVMVEPEEPFGGMLIEDAGSSKDLKNLCASVADAVLDEQVPEFCWSNHHDIALRQPMDSVCHTVPHISLRQEPRRMTIKATYKEDIIRFRIPLTSGIVELREEVAKRLKLEVGTFDIKYMDDDREWVLIACDADLQECVDISKSSGSNIIRLSVHDLNVNLGSSCESTGGE >KGN55141 pep chromosome:ASM407v2:4:20831517:20836705:1 gene:Csa_4G637810 transcript:KGN55141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKWLHSTTFVDLPSHLILEVLTSGRLNAHDLVCLELTCKLFGGSHELYPLKFRSLVDFAAFQLCISHSTYSGMGEDSQRQLFDRCQGKWKRVFRFLQLVEKSSNIVETSEGNMQIATGRYHTLLISNGSVYSCGSSLCGVLGHGSETTQCVTFTRINFPPSIYVEQVSASHNHAAFVTQSGQVFTCGDNSSFCCGHRDTSCRIFRPRLVEALNGIPCKQVSVGHNFSVFLTRQGHVYTCGTNTYGQLGHGNTLEGPTPKIVQRLVEIGSVIQIAAGPSYVLAVVNDGRVYSFGSGSNFCLGHGEQHNEFQPRVLQTFRLKNIHVVSVSAGDEHAVALDSNGLVYTWGKGYCGALGHGDEIDKTIPQPLNSLKNHLAVQVCARKRKTFVLVDTGIVYGFGWMGFGSLGFPDRGVSDKVLRPRSLDSLRVHRVSQISTGLYHTVVVTEKGKIFGFGDNERAQLGHESLRGCLEPTEIFIHDLDDESIVSESG >KGN55166 pep chromosome:ASM407v2:4:21008571:21015431:1 gene:Csa_4G639050 transcript:KGN55166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSVASSVTNQLDKITAPYGSWKSPITADVVSGASKRIGGAVVDGSGRLVWLESRPSESGREVLVKEPEKLGGENIDVTPKEFSVRTTAQEYGGGAFMVSGDTVVFSNFEDQRLYKQSIKPHDSAPRPLTPDYGGPLVSYADGVFDLCFNRYIAVREDRRISSSSPTTTIVSIKLEGKAIEDPEVLVEGSDFYAFPRVDPKGKRIAWIQWHHPNMSWDKSELWVGYFSDSGEINKRVCVAGCEPELVESPTEPKWSSEGELFFVTDRKNGFWNLYKWFEADNEVSPVYSLNAEFSRPFWVFGINSYGFLPGNEGENYIICSYRQHGRSYLGVLGDGQISASLLDISFSDIDNITIGNHCFYVEGASAFHPPSIAKVTLKDKSLKVDEFTIIWSSSPDILTYKSYFSTPKLIEFATEVPGEKAYAYFYPPFNPIYHSSGDEKPPLLLESHGGPTDESRGILNLRVQYWTSRGWAFVNVNYGGSSGYGRPYRERLLRKWGIVDVNDCCSCAKYLVDSGVVDAERLCIAGESAGGYTTLAALAFRDTFKAGASLYGVADLHMLNAEMHKFESHYIGNLVGDERDFYERSPINFVEKFSCPLILFQGLDDKVVPPVQARKIYQALKEKGLHVALIEYEGEQHGFRKAENIKFTLEQQMLFFARMVGHFEVAD >KGN55273 pep chromosome:ASM407v2:4:21598173:21600254:1 gene:Csa_4G642540 transcript:KGN55273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLHPTTTTTTSKFSFHHQNMDISDDDDDDYSPTTTRSRKAREFTVKDAPQAVVEWYTIALDKKAALKKSAVKELALGHLLAMGWEFFYIQKKTREELRYKAPNGKVYISLRMACKAYIEQKGCVVSHSMTQMNGSQPEKETPSDQDLGNEKQRPRKAAKGTPPRNPPTADFSVHYNVASKPEKETPASSFEKPKDKYELVKSPVLPSEQDVWNEKPQPRKAAKGTSRRNQRTALSYLVDRELISPGDRVHCNVTRDGRLVTWRGSITNEGFIKCDCCSNLFPISKFEAHTGSTKHRPAANIFLEDGRSLLDCQKQLVQNNDQIQKETKATEKKVNHNDNADSDTHGLDKNDCICSVCHFGGELILCDLCPAAFHGSCLGIKGIPSGNWYCPSCCCKICGQVTYDFDDQVSSFDTSFVRCVQCEQNVHIGCVKSIQVLEDSNQTIDRENWFCTRRCEDIHMGLQNLLWKQIPVGDARENLTWTLMKHCPYKVSEHNRKKLNKALGVMHKSFRPVKDPITKNDLIEDVFLSKRSESKRLNFEGFYTAILERKNTVVTVATVRVYGDEVAEIPLVATRLKYRRHGMCRRLLNELEHQLIEMGVKRLTLPAVPEALNTWTKGFGFTKMTDSDRLDLIKYTFLGFQHTVRCQKDLLEKIKVSNNKKWWPSKKLCLDHEFSDNMCLDDWNSISESF >KGN54261 pep chromosome:ASM407v2:4:11996333:12003503:-1 gene:Csa_4G296150 transcript:KGN54261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFSRIGAALSRSARSKLQSNGHFGFCNRRILSVRETCLEANPFSNPCVSRVHGCLGLAKAYSSSTGVGAGKQLVSRKYLDNVNYFLANPRVRRLFSSGSPNKKNYENYYPKDQKEIPKAKDQKTNSKDSNSGHTDSQEKFTKQFQDLMILFFGCILASFVFDGSNEQKQISFQEFRNELLEPGLVDRIEIANRSVAKVYVRSSPRKTVQKEDDVPQVHDYGKPGTGNVSRYKYSFNVGSVELFEEKLEEAQKSLGIDPHDFVPVVYVHQVNWFQELLRFAPTALLLGTLYFMGRRMQGGLGVGGPGGRGGRGIFNIGKAQITKIDKNAKNKVYFKDVAGCDEAKQEIMEFVHFLKNPKKYEELGAKIPKGALLVGPPGTGKTLLAKATAGESDVPFLSISGSDFMEMFVGVGPSRVRNLFQEARQRAPSIVFIDEIDAIGRARGRGGFGGGNDERESTLNQLLVEMDGFGTTSGVVVLAGTNRPDILDKALLRPGRFDRQITIDKPDIKGRDQIFLIYLKKLKLDHEPSYYSQRLAALTPGFAGADIANVCNEAALIAARNESRVITMEHFEGAIDRVIGGLEKKNKVISKLERRTVAYHESGHAVAGWFLEYAEPLLKVTIVPRGTAALGFAQYVPNENLLMTKEQLFDVTCMTLGGRAAEQVLLGKISTGAQNDLEKVTKMTYAQVAVYGFSEKVGLLSFPQRDEMFEMSKPYSSKTGAIIDSEVREWVTKAYERTVQLIEEHKEHVAQIAELLLEKEVLHQEDLVRVLGERPFKTLEPTNYDRFKEGFRDEVDGAKEKTERGNPGNSSSPPLEPDIVPTL >KGN54135 pep chromosome:ASM407v2:4:11173070:11173456:-1 gene:Csa_4G287570 transcript:KGN54135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQRSHKPLQRNFPQNGCNQIVFNQRFGFSTILSIQIVYMHLHRRRQENNRIEYSSAVRQDSEVGDLGFSKGLAINGYGVPFLFAHLV >KGN54033 pep chromosome:ASM407v2:4:10654148:10655591:1 gene:Csa_4G269160 transcript:KGN54033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELAVHSSLSFFNYSNSLTPSLHRTRLSSLSIQFRPRGRSLLQPLQASSRWLLVPVGDGEWKHIGSKVEMPDAFEIVSNEVTVGRLPDKADIVIPVATVSAQHARIKNQEDRLLVIDLDSTNGTFINDKRLNPGVVAAVSSGNSITFGDIHLAMFQVAKLKTVEAASKIQEETEESISDSETS >KGN55442 pep chromosome:ASM407v2:4:22645810:22650502:1 gene:Csa_4G652060 transcript:KGN55442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKCQRNEVGSVVFDRASISSPAGSHFRLCAPFSTASFRRKIFDAVSCGGSSRYNYHHDGNVGGGDGTVSTAIRSLSEIVKEREAARPKRSNVKSEKLFDLLKLESSPESKPETKKKEEVLEEFKRVVKKLQDEDLVERRAAASGVRLLAKEDTEARGTLGMLGAIPPLVGMLDLEDDESKIASLYALLNLGIGNDLNKAAIAKAGTIHKMLKLIESETSPNPPVSEAIVANFLGLSALDTNKLLIGSSGAIPFLVKNLYDPHQESSSQVKQDALRALYNLSIFPSNIPFILETKLVPFLLNALGDMEVSERALSVLSNVISTSDGRKAISTYPNSFPILIDVLNWADSPGCQEKTSYILMVMAHKSYSDRQAMIEAGVSSALLELTLLGSTLAQKRASRVLESLRVDKGKQISDHLGGNSSAPMCGSLTSFTNPILGSAEALEGSDDLVSEEKKAVKQLVRQSLQNNMRRIVKRANLPQDFVPSDNFKSLTSSSTSKSLPF >KGN54281 pep chromosome:ASM407v2:4:12125648:12133067:1 gene:Csa_4G296830 transcript:KGN54281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQISFFPLNTGANIPSLGLGTWQATGDLLTNAIAAALKIGYRHIDCAQLYGNEKEIGSVLKKLFEEGIVKREDLWITSKLWCTDHAPEEVPAALDRTLKDLQLDYLDLYLIHWPVRMKHGSTGFAPENFLAPDIPSTWRAMEALFDSGKARAIGVSNFSSKKLGDLLEVARVPPAVNQVECHLSWQQDKLREFCKSKGVHLTGYSPLGSRGTTWLKGGDILENPILKEIAEKLGKTPAQVALRWGLQKGHSVLPKSTSDSRIKENFDVFDWSIPEDLFAKFSEFHQTCQQISDL >KGN55383 pep chromosome:ASM407v2:4:22229184:22234007:-1 gene:Csa_4G648540 transcript:KGN55383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNNWVFFIDLDGGSPYILPIEPFGGHLFSHISSFMDNSLFQSRNLYMTGSLALQEAFNCFSKIAGALLIWCSSTSTANVTREIADDLHGSNSRSFKNFSEVRGIRSGRLGSGGFHFSFNLDKELSNPIFLGKLGSFASKLKLLGKEAGKQQLHPLLTVAAALVPPLNNLSSDIMPVPLENSAVQVHGSMDQKPCEVAYRTHAGLMVPDVNWARNAIEPKTGIEFPMMLDNILDAENNSCLSSEVLVGTGSSIKKIVKIKSLKVYAFGFYVHPKSVCEKLGPKYASSPADELNERCDFYEDLLREDINMTVRLVVNYNGMKINTVRDAFEKSLRARLLKANPETDYHCVRTFGSLFTKDIPLPVGTTIDFRQTADGQLITEMGGTLIGAVRSKELCRAFFDMYLGDVPVSEETKKEIGKNVAGLIGRC >KGN53686 pep chromosome:ASM407v2:4:6675765:6677811:1 gene:Csa_4G102350 transcript:KGN53686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIDMVQGLVAHAWPSGLLCANLTKEINTFVTDLNMHPILTKCRALKSELELALIQFANDISSEAHVQVTISSKTFTKRWVLDCG >KGN53433 pep chromosome:ASM407v2:4:4541777:4544929:1 gene:Csa_4G054300 transcript:KGN53433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPKLTEVSQHFERFKAALVRHDYDSCDNLLSQLKVFLTGFRSLPPLFEDTPNAVEELTIARNIYEHAVLLSVKVEDQGAFERDFFQLKPYYTDAHNRLPPSPQEYPILGLNLLRLLVQNRIAEFHTELELLSPSALENPCIKHAVELEQSFMEGAYNRVLSARQTVPHETYGYFMDLLAKTFSFILYAFSAISRDEIAGCSEKAYDYLSINDACQMLLFSSDQELLDYVKEEHPEWEVTNGNVYFQKAKESGPCKEIPSLQLINQTLSYARELERIV >KGN53419 pep chromosome:ASM407v2:4:4424523:4427193:1 gene:Csa_4G052690 transcript:KGN53419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIVSSATIALSSQPFPIAAIVTFLCFLLAIFVAVRLVSVPYIRRTKTLQSESVGTRNCNCTCSLNGGVVIRGLDPRAEITSSTSTSMPYLNGRAVEVLEKAPVVVTERQTGASMMEQLVPEITTHALSYLDYPSLCRLSMTNSLMRKAANDDNAWKALYHKDFTLEQDTVTPINGWKSYYAATRTIMNINAQFYNIIRDRSLQAMSRFWLNADYVKCIHASGEFFSGYNAVVQSWQVAFNWEQGINFQVRDVRARVLTDMAWVSMKTYVDMDTGPFNVTNIYEFHNGRWYMVHHHSSVMLIVGEMEQQMVHG >KGN52774 pep chromosome:ASM407v2:4:240684:242479:-1 gene:Csa_4G000930 transcript:KGN52774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHSNVWNSHPKNYGPGSRTCRVCGNPHGLIRKYGLMCCRQCFRSNAKEIGFIKAS >KGN53862 pep chromosome:ASM407v2:4:8786092:8789917:-1 gene:Csa_4G171520 transcript:KGN53862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPLVLFRYGAIVMDDQNSDGSIGYTVLHNCSCQHSAPTFINIVDAAILRLATGDQNMTIQTRNHPLPMTKSQRLQRHDLDAFAAAIIINIAFCFIPASFAVSLVKERETKAKHQQMISGVSMLSYWISTYLWDAISFLVPTCLAFVLFYIFGMDQFIGKGRFLATAIIFLEYGLAIASSTYCLTFFFLDHTVAQNVVLSVHFFTGLILMVISFIMGLIETMAKTNSFLKVGSLDVFVYIEYSPKWFVF >KGN54818 pep chromosome:ASM407v2:4:17784849:17786278:-1 gene:Csa_4G507970 transcript:KGN54818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKASLKFREDQKPLLRAKIPLTILGLPFQSAIAAGTSKELTLKLSTHFESGPSFNLAYRPNDSSNPFSVIVKTGIASFGSPTSSPMLMSAEFNLIASGNPTFMLHFKPKFGDFTVKKSQSSSVMFQKVLKSEEESVDVKTAVSKAVLGLKVSASTAVPIMKSGAVRVRWGLRVPAAEGMKMGGGISFREVPFMVLDKIGFEHVDGGDTSTKEGSLGNGDLNLDSDCFSVKRQFEVLKLENGLLRKSIDDLRKKMKLFSNSGSRYKDRKGPELGGFDGLPPDDTAAGVEELRKPALSSA >KGN52784 pep chromosome:ASM407v2:4:287038:290725:1 gene:Csa_4G001520 transcript:KGN52784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLFLFTFLLCFLLFTSSFIDIVNAKPVFCDKKCKKRCSKAGVKDRCIKYCGICCGYCKCVPSGTYGNKHECPCYRDMRSSKGRPKEKRKKEKETHFVFFLTHARDPNPLPPPPVSFTVAAGASPLFRRSVKPSCRALPSDRLPSLRLLDGRRRLPGFLAFRYPSSEPGSKRLSSGFSVVERLLDKGRMRIVGLTGGISSGKSTVSNLFKAHDIPIVDADLIARDVVEKGTGGWKKVVSAFGEDILLSNGEIDRRKLGQIVFADPAKRKLLNQLLAPYISSGILWKIVKLWMKGYKVIVLDIPLLFEAKMDRWTKPTIVVWVDSETQLQRLVARDGQSEDDARNRINAQMALDLKRDKADIVIDNTGSLDDLNQRFREVLSEVTKPLSWTEFMLSRQGALTTLVVVIISAITCRKFYKSGV >KGN53327 pep chromosome:ASM407v2:4:3749478:3753589:1 gene:Csa_4G047880 transcript:KGN53327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMQQQHLDKMQLRQNYRNLWHTDLMRTIQADTSYCCFALWCGPCVSYLLRKRALYNDMSRYVCCAGYMPCSGRCGESKCPEFCLCTEVFLCFGNSVASTRFLLQDEFNIQTTQCDNCIIGFMFCLQQIACIFSIVALIVGSEEIQEASQLLSCLADMVYCSVCACMQTQHKIEMDKRDGVFGTQAMAVPPAQYMSRIDQPIPPSVGYPPQPAYGQPYAPQSQGYPAPGYPQPGYPQPGYPPTGYR >KGN53446 pep chromosome:ASM407v2:4:4625062:4626366:-1 gene:Csa_4G055410 transcript:KGN53446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAISIFFYFLLFFSSKVTAHGGGHHGFTTSLFRRDSPLSPLHNPSLSRYDSLIDAFRRSFSRSATLLTHLTSVSTACIRSPIIPDSGEFLMSIFIGTPPVNVIAIADTGSDLTWTQCLPCRECFNQSQPIFNPRRSSSYRKVSCASDTCRSLESYHCGPDLQSCSYGYSYGDRSFTYGDLASDQITIGSFKLPKTVIGCGHQNGGTFGGVTSGIIGLGGGSLSLVSQMRTIAGVKPRFSYCLPTFFSNANITGTISFGRKAVVSGRQVVSTPLVPRSPDTFYFLTLEAISVGKKRFKAANGISAMTNHGNIIIDSGTTLTLLPRSLYYGVFSTLARVIKAKRVDDPSGILELCYSAGQVDDLNIPIITAHFAGGADVKLLPVNTFAPVADNVTCLTFAPATQVAIFGNLAQINFEVGYDLGNKRLSFEPKLCA >KGN54909 pep chromosome:ASM407v2:4:19095428:19100581:1 gene:Csa_4G594430 transcript:KGN54909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSARKSRANATEKVWSHEEQQAKINEVKKLMGPIADKLPVLCSDASILRYLKARNWNTKKANKMLKETLKWRLEYKPEKISWEDIAQEAKTGKIYRATYTDKQGRTVLVMRPSSQNTESTTGQIRYLVYCMENAILSSNSTDGYMVWLIDFHGWNTSCLSMKVTRDTAHVLQNHYPERLGLAILYNPPKLFESFWVMVRPFLESKTSKKVKFVYSNNPESLKIMEENFDADKLESSFGGRNPIGFNYEDYSQRMMEDDKKMTHFIDSRCSSPTYKALLSKSQMLDSAAFDVDSQASDDESDTDEIPSNLRRPDDKLQEVTSATTSDSR >KGN55433 pep chromosome:ASM407v2:4:22569704:22579093:1 gene:Csa_4G651990 transcript:KGN55433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFRKFFYRKPPDGLLEISERVYVFDCCFTTEVLEEDEYKVYIGGIVGQLRESLTDASFMVFNFREGEHHSLITNILSVYDMTVMDYPRQYEGCPLLTMEMIHHFLRSSESWLSLGQQNVLLMHCERGGWPVLAFMLAALLIYRKQYAGEQKTLDMIYKQAPRELLQLMSPLNPLPSQLRYLQYVSRRNVGSEWPPLDRALTLDCIIIRCIPNMDGEGGCRPIFRIYGQDPFMAADRTSKVLFSTPKKSKLVRQYKQVDCELVKIDIHCHIQGDVVLECISLDNDLEREEMMFRVMFNTAFIRSNILMLNRDDIDILWHAKDQFPKDFRAEVLFSEMDSSASLISIELPNIEEKDGLPIEAFARVQEIFSNVDWLSPKADAALNVLQKITASNLLQEKLLSSGSLDRRQLLDLSLEKLILESETSEENIRSPRLKIQTKSKLSSELSKAASSVISKLEPSELQVALQLPPQSKIITQRIPQPSLSTPVSFRSSMQGSPRPILRYHSAPSALGITALLHDHSDFIGKELIHSGTTSSPSSARLSPTALDSPRDIQRSNLPISPLPLVLDARSSLENSLTTASTTTIPDPLPLHQLSLKPIKYLVSQPTQTTSQVRSQLSPSSLQPTSASYIGESPPSLNDSEASISSSSPLSRSSPSSCTKELISVSTPPPPPPLPHFDSPSALATSPPSSRTNGSIFPSSPQPPSTTKLLSSIKKTTQPAPQFSSSDDHLVSSESPIKNSKSVSPPPPPPPPPPPPPCFSPNLGTSVVSPTSVPPPQPPPPPPSWKDSTNTFMHVPPAPPPAPPLPPSSFSSTFTCGSSITPLGPPPPPPPPSSHAPQDFATVVRTLMNASGPPPPPPPSLHSSLGSNTVSSVPPPPPPPSLAVNVATTVNLTHVSPPPPPPPPLANSGPTLCPGVATSAPPAPPPPGFSMEGSATHAPPAPPPPGLSGNKLSNVNGTSSQSHVGVNNSNIPSVPGPPSSALFNAKGRGLGRMNSKNQSQPKRSNLKPYHWLKLTRAMQGSLWAETQKTDEASKAPEFDMSELESLFSAAAPNSDSGGSGNSNRRASGPKSDKVHLIELRRAYNCEIMLSKVKIPLPDMMCSVLALDDSALDVDQVDNLIKFCPTKEEMELLKGYGGDKDNLGKCEQFFSELMKVPRVESKLRVFSFKIQFRLQASDLRNSLNTINSASEEIRSSVKLKRVMQTILSLGNALNHGTARGSAIGFRLDSLLKLTDTRARNNKMTLMHYLCKVLAEKLPELLDFPKDLVSLEASTKIQLKYLAEEMQAISKGLEKVVQELANSENDGPISEIFCRTLKGFLSHAEAEVRSLASLYSNVGRNADALALYFGEDPARCPFEQVVSTLFNFVRMFARAHEENCKQLEYEKKKAQKEAAEREKLKLGTAKKESGFLMQTQI >KGN53428 pep chromosome:ASM407v2:4:4503421:4504105:1 gene:Csa_4G053270 transcript:KGN53428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDIRARAAAEDFQLNSQHIPSRLTTFNRLFALIYACGLFALFYYHLTSLINSTSLGSFFISVSLFISDAVLAFMWVSTQSFRMNPLRRREFPANLKELLKKDSDFPAIDVFICTADPYKEPPMNVVNTALSVMAYHYPTSKTSVYVSDDGGSAMTLFAFMEAARFAATWLPFCRENDVVDRNPNAFFTSTSNQDWNSETEEIKG >KGN53396 pep chromosome:ASM407v2:4:4248254:4248632:-1 gene:Csa_4G051480 transcript:KGN53396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQENRYNGAHELGGRGEECYFVFMKYDPEYERLRADRCGEGADELDGYLSRKHDEVLRRWLEPGSYRKISSFLIVDGFSVEINEHQVFQVSLNNEF >KGN53755 pep chromosome:ASM407v2:4:7479669:7480004:1 gene:Csa_4G122800 transcript:KGN53755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPTTSTPSLRNSTDPIDTGFGNWHSPIPYLFTGLAIVLGLIAVALLVLSCSYLHSPPDSDSSSGPNAATDEEKPPNHSQDSEPTIVVIMAGDDNPTFFAKQAAI >KGN53445 pep chromosome:ASM407v2:4:4621761:4623053:-1 gene:Csa_4G055400 transcript:KGN53445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATISLFFHLILFLISFSQTTIINGNNGFTTSLFHRDSLLSPLEFSSLSHYDRLANAFRRSLSRSAALLNRAATSGAVGLQSSIGPGSGEYLMSVSIGTPPVDYLGIADTGSDLTWAQCLPCLKCYQQLRPIFNPLKSTSFSHVPCNTQTCHAVDDGHCGVQGVCDYSYTYGDRTYSKGDLGFEKITIGSSSVKSVIGCGHASSGGFGFASGVIGLGGGQLSLVSQMSQTSGISRRFSYCLPTLLSHANGKINFGENAVVSGPGVVSTPLISKNTVTYYYITLEAISIGNERHMAFAKQGNVIIDSGTTLTILPKELYDGVVSSLLKVVKAKRVKDPHGSLDLCFDDGINAAASLGIPVITAHFSGGANVNLLPINTFRKVADNVNCLTLKAASPTTEFGIIGNLAQANFLIGYDLEAKRLSFKPTVCA >KGN54407 pep chromosome:ASM407v2:4:13017791:13018147:-1 gene:Csa_4G313130 transcript:KGN54407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFVVENLQPLGAVGCREAGNDANFTEASNVAVTDDDVTALDEVLVRLRVVEAADDGPHGGDRGIDLLNDGGAALVHGNSVVVVTRHRVRNSGSACLNLALEKLRHGGGVGYAMGGGG >KGN53706 pep chromosome:ASM407v2:4:6909327:6909610:-1 gene:Csa_4G107480 transcript:KGN53706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAATSNQPSRAHQYITLSSSIAPFFYSELYGGTPFTNYASRLSDSRTFLNNYESPCCAHVVAISAEGPDSEYKSSINDQKACKST >KGN52987 pep chromosome:ASM407v2:4:1470022:1476285:1 gene:Csa_4G009880 transcript:KGN52987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVELDFFAMENQSSSTPANSTLFRRQRSFKDIQSAISKINPAILKSLIVSGSADHHSDFTVPFAISPKFRSPNSDRNLSTTLPVYSPSESRLSSEIGSDDKYPLTIFYNETVAVFHVSRDEAKSILTFAEKSRSNSNGKSGAEQEKSVAEIPSNQYQQLLDVDPQDEDLPLARKRSLHRFLEKRKERLIPVGPYVCHF >KGN53565 pep chromosome:ASM407v2:4:5533850:5538072:1 gene:Csa_4G082420 transcript:KGN53565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRRSFLSRRFSSKSSSRGDLNALPGILPLSSDFDHSSPLSGASHPQSSWSSMLPELLREIIQRVEAEEHGWPNRQNVVSCACVCKRWRDITKEVARATPHSGKITFPSCLKQPGPSDLPHQCLIKRNKKTSTFFLYLALTPSFTDKGKFLLAARRYRHGAHTEYIISLDAEDLSQGSNAYVGKLSSDFLGTNFTIYDSQPPHNGAKPSNSKSSRRFASKQISPQVSAGNFEVGQVSYKFNLLKSRGPRRMICSLKCPLSEDNGSNKPCENSKMKKSEFLSSSSGLTILRNKAPRWHEHLQCWCLNFHGRVTVASVKNFQLVATVDHTQPGGKGDEETVLLQFGKVGDDTFTMDYRQPLSAFQAFAICLTSFGTKLACE >KGN54911 pep chromosome:ASM407v2:4:19120380:19127889:-1 gene:Csa_4G594450 transcript:KGN54911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPAHNTSLQRLQNVEKRIVRVLELAGGVMEELANPAGPRKEFVNNHCSEFMQFIKNQRNLTKEEEWRSKMEHHDQDLDIQVTLRDEIKSACEYRPFEKCDYSSRITNELCCKKLEYMVSKLDGMKQTIDDYQVKIEDS >KGN53142 pep chromosome:ASM407v2:4:2401739:2403647:-1 gene:Csa_4G022290 transcript:KGN53142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWTEVLACEHPVEMHPMWRQKKLREYCEERKIHVSAYSPLGGPGNAWGSTAVIENPIIKSIALKHNATPPQVALQWGLLKGSSVIVKSFNEDRLRENFGALDLKLDDQDCLEIEKLEEKKIMRGEYFVNDTTSPYKTIQDLWDAPNGLTRLNSLPNPYFYGFPNLNPVDLVSGCWNVVLENVLIDRGLKLLATGCPNLRKLGLVIGGSELGLLNVAKECELLQELELHKCSDCILQGIAAFENLQILKLVGSVDGFFNSLVTDIGMTIVARGCRRLVKLELSGCEGSFDGIKAIGQCCQMLEELTLRDHRMDDGWLAGLPYCENLKTLNIMSCQRIDANPGPDEYLSSCPALERLHLQNSQLRDKVSMMTCSTLQITAGKGSLCL >KGN54414 pep chromosome:ASM407v2:4:13077945:13078379:1 gene:Csa_4G314415 transcript:KGN54414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKSNEHTCVFNFRNGHNILSAGRSGARGGGGGGGGGGSASEDTEKPSRINFLLIQEQIIGRSESTVSADDGETGGESRNKNRSNAGEKNNTGGENNETNQDLAISEVATKQNKRLIGGAEEIEEDPRAKKGDQEEERGRIGEK >KGN54036 pep chromosome:ASM407v2:4:10661117:10662356:-1 gene:Csa_4G269190 transcript:KGN54036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGLKPLLIFFAHLILLIDTTAAQESNVNAMFLLGDSSVDCGFNTLFYPLLHRNFSLLPCDANATSSLLPFLLADKIGLPHAQPFYNQNESLEAILNGLNFGSPQATIMSNEQSYQSLNQQLRQVLDAIQLLRLQLGQHAARHFIQSSLFYLSFGEVDFINLYLLKSSERKYGGEEFARLLVSQMVIAIRNLQEAGARKIVCMGILPLGCSPRVLSEWRDSPADTLDKKGCVKEMNELVGKYNEVMEEEMVKLNAEFGDTQMIFCDVYKGMMEIIGNPTRYGFKESKSACCGVGWYNASAVGCVAMEIACRDVRRYVWWDLYNPTGVVNALLADSAWGNRPFSTICRPSTIQDLLFT >KGN55056 pep chromosome:ASM407v2:4:20237358:20241816:-1 gene:Csa_4G626090 transcript:KGN55056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIETLGILQDIESLVADKLQVVSYKWLSRSYLISSDTAKRLLQEFVEKHESGLQVVYALSGWLKKDPPSYHIRLVSGSKLPEAKQDFDGTCSIQVYSVQASIPKDPAALWNAEFVQAEELFKQPFTADNCLRDNRFCGISNSYVKRNVDEIPASVAASQPKSAVDLESSKKMTSYQNTTVLQPQKSEMPKVSPNVGLQSSTVVKEVKSEGNRTDHQASKPIAVKEKVASLPTNKKKGQGDKTCSSTGSSLANLWGRVPTKSKLGDDHADANRATAANPTVSSAEAQICAHEALQIENSDDDEQDVNIKRSSNESGRKRRVVFDFSDDEEFEDAVSLASPENPKDQSCLDLKQHTELPKGKAHLNNDEQLNGKLKIKEEKTSELEQSLVEEKQHNCSTEKNEVCAHENDSIKVENPVDATPASPKRRKVLRTRIDDRGREVNEVVWEGEEQKQKKDDVSSAKISDQKAVETTTNRPPAAKKSPALGNGGANPAVKAGAKKPGNAAGPKQGNILSFFKRV >KGN53870 pep chromosome:ASM407v2:4:8901748:8906143:-1 gene:Csa_4G179060 transcript:KGN53870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVKESHHRHYQAKQIPLFPLLSLICFVSIFLALSVFYKTSVIPRPHQTFQFINGVKSDRSKAAGGDSCDYSDGSWFHDPNLRTSRYDHTCKEIFKGWNCFAANKSNALEITNWHWKPEQCDLPHFDPVSFLEKFRNTNIGFVGDSLNRNMFVSLFCSLKRVPGEVKKWRPAGADRGFSFLKYNLTIAYHRTNILARYGWWSANADGGPLESLGYKEGYRVDVDIPDSSWMEAPNFHDVLVFNTGHWWWAPSKFDPVKSPMLFFEKSLPVIPPVPPDVGLDMVLKHMISYVEKRMPQGAIKFFRTQSPRHFEGGDWYQGGSCQRKHPLSPQQAEDLFSLTNNRTNVEVRLVNEHLFKALSGTSFHILNITPMSELRADAHPASAGGKKHDDCMHWCLPGLTDTWNDLFIQHLYNIRR >KGN54116 pep chromosome:ASM407v2:4:11083458:11085773:-1 gene:Csa_4G286400 transcript:KGN54116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKILQPHDFALKETYPKIGAVSITGDKLSSTYDLVEQMQYLYVYVVKAKDLPGKDVTGSCDPYVEVKLGNYKGTTKHFEKKSNPEWKQVFAFSRERIQASLLEVVVKDKDFVVDDFMGRAIFDLNDVPKRVPPDSPLAPQWYRLEDRKGDKVKGELMLAVWMGTQADEAFPDAWHSDAVTVGADAIASIRSKVYLSPKLWYVRVNIIEAQDLLPSDKSRYPEVFVKAILGAQALRSRISQSKSINPMWNEDLMFVAAEPFEEPLLLTVEDKVASNKDEILGRCLIPLQNVQRRLDHKPVNTRWFNLEKHIVADGEKKKEVKFASRIHLRICLDGGYHVLDESTHYSSDLRPTAKQLWKSSIGILEMGILSAQGLMPMKTKDGRGKTDSYCVAKYGQKWIRTRTIVDSFSPKWNEQYTWEVFDPCTVVTVGVFDNGYIGGGSGVKDSRIGKVRIRLSTLETDRVYTYSYPLLVLHSSGVKKMGEVQLAVRFTCSSLVNMLHMYSNPLLPKMHYIHPLSVIQLDSLRHQAMQIVSMRLARAEPALRKEVVEYMLDVDSHMWSMRRSKANFFRIMGVLSGFIAFGKWFDHICHWKNPITTILIHILFIILVLYPELVLPTIFLYLFVIGIWNFKHRPRHPQHMDTRLSHADATHPDELDEEFDTFPTSRSSDTVRMRYDRLRSIAGRVQTVVGDLATQGERFQSLLSWRDPRASALFVTFCLIAAIILYVTPFQVICLVGGIYVLRHPRFRHKLPSVPSTFFRRLPARSDSLL >KGN54228 pep chromosome:ASM407v2:4:11753597:11756280:-1 gene:Csa_4G293870 transcript:KGN54228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGGKAKKGFAGRRGGADSKKKPVSRSVKAGLQFPVGRIGRYLKNGRYSQRVGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKNRIIPRHVLLAVRNDEELGKLLAGVTIAHGGVLPNINPVLLPKKSERAAAAKEPKSPSKGTKSPKKA >KGN55215 pep chromosome:ASM407v2:4:21319644:21321108:-1 gene:Csa_4G641500 transcript:KGN55215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVKQISVCFVKPKSEWLPPESKHPYHLSPLDYPNLFSQYIQKGLLFHKPPHFFMPIFLHRLKNSLSTALLHFYPLSGRLVTITNHHHLHPSISIYVDCVNSPGAKFIHATLDITISDFLSPIDVPSVVYSLFDNHKAVNYDGHSLPLLSLQVTELLDGIFIGCSLNHAIGDGASYWNFFTMLSEIFQSPSPKHISISRPPVLKRWFPDGDGPIVNLPFNLPEKFMNRFKGPKFRERIFHFSAESIAKLKAKANADCGARGTISSLQSLTAFVWRSITRARRISEEQPTHCIMMANNRAKLEPPLSENYFGNVTKYLKVDANAEELVGKELGWAAWKLHDVVVNNTNKKFRETIEERLQSIHTIQVGRIFEPNTVLMASSPRFNKYGVEFGMGKAVTLRSGYSNKWDGKVTIYPGHEGGGSVDLEICLLPQNMVNLESDFEFMVAVSSSH >KGN55236 pep chromosome:ASM407v2:4:21420929:21421963:-1 gene:Csa_4G641680 transcript:KGN55236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYLCLLFLTTVAQIPSTSQQPSPPTDIFLLAGQSNMAGRGGVTNSTLTHHPTWDGVVPPQCSPTPYILRLAADLTWVEAREPLHADIDFLKTNGIGPGMPFANTILMDKPGGRTVIGLVPCAMGGTSIKEWQKGSNLYNHLLSRADASVLSGGKIKALLWYQGESDTENAEDSELYGGRLKKFFTGIRSDLKIPLLPIIQVGIASGEGEYKEGVRRGQFGIDLVNVMIVDALGLPLEPDGLHLTTTSQVRLGGLLADAYRRFPSHPLATPLTNAAPISRISTIFFPFVGFLRFCCHSYV >KGN54119 pep chromosome:ASM407v2:4:11090361:11093645:-1 gene:Csa_4G286920 transcript:KGN54119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLPQIAILGAGTFVKTQYLPRLAEISDLLIVKAIWSRTEASAKGAVDIARNYFPTVECKWGDAGLDDIIQDSSILGVAVVLAGQAQVDMSLRLLKAGKHVLQEKPAAASTSELEYALSNYKSLSANFSRQRLWAVAENYRFEPAFVERGFILDMGIHFIAGLRMVTAFETFFL >KGN53207 pep chromosome:ASM407v2:4:2842850:2848502:-1 gene:Csa_4G026840 transcript:KGN53207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELRKLEQVHTLITLMDSRGIPITSSSSSNRFIANFLLLLVQPCGELDFDDKFDLVSEYMPKFSEEFLGDVSLLLGDGDYRGKEMENTLQPYCDNKLDLGSSQNYCGEMAMVGLDAMQRANSTLEDFFRSYFMFHGMDANKPQSVFKYFPILSFTESYIYQLDTLNEKIVLGGFAFGESQETNEKSTKILSAIRSDPLQPLINLLKSHGLLTDRLVHELRSGEEYWALERDLCGALASNGKVSIEDVMRAIHLKSFDYRVLNLLLYQLRGKKVNDLHMEFLSISELLVEIADDL >KGN53085 pep chromosome:ASM407v2:4:2034061:2038486:-1 gene:Csa_4G015750 transcript:KGN53085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGPKPGSSATNKKAGGKKKEVKKETGLGLTNKKDDNFGEWYSEVVVSGEMIEYYDISGCYILRPWAISIWETMQVFFDAEIKQMKIKNCYFPLFVSPGVLQREKDHIEGFAPEVAWVTKSGESDLEVPIAIRPTSETVMYPYYSKWIRGHRDLPLKLNQWCNVVRWEFSHPTPFIRSREFLWQEGHTAFATKDEADTEVLEILELYRRIYEEYLAIPVIKGKKSEMEKFAGGLYTTSVEAFIPNTGRGIQGATSHCLGQNFAKMFEINFENEKGEKAMVWQNSWAYSTRTIGVMVMVHGDDKGLVLPPKVASVQVIIVPVPYKDADTQGIFDACSATLDTLTAAGIRAEVDSRDNYSPGWKYSHWEMKGVPLRIEIGPKDLANNQVRAVRRDNSGKKDIPRDSLVEQVKELLESIQQSLFDAAKVKRDTCIQVINTWEEFTEALGQKKMILAPWCDEEEVEKDVKTRTKGEMGAAKTLCSPFDQPALPEGTKCFASGKPAKKWSYWGRSY >KGN54355 pep chromosome:ASM407v2:4:12592624:12594861:1 gene:Csa_4G308460 transcript:KGN54355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVELPKVISPTLVLKLLKAEKNPNAALAIFDSACQHPGYAHPPFVFHHILRRLMDPKLVVHVGRIVDLMRAQRCTCSEDVALSAIKAYAKCSMPDQALNLFQNMVDIFGCNPGIRSFNSMLNAFIESNQWREAELFFTYFQTAGMSPNLQTYNILIKISCKKRQFEKGKGLLTWMFENGLNPDILSYGTLINALAKSGNLLDAVELFDEMSVRGVNPDVMCYNILIDGFLRKGDFVKANEIWKRLLTESSVYPSVETYNIMINGLCKLGKLDESMEMWNRMKKNEKSPDLFTFSSMIHGLSKAGNFNAAEKVFQEMIESGLSPDVRTYNAMLSGLFRTGKLNKCFELWNVMSKNNCCNIVSYNMLIQGLLDNKKVEQAICYWQLLHERGLKADSTTYGLLINGLCKNGYLNKALRILEEAENEGADLDTFAYSSMVHGLCKKGMLEQAVELIHQMKKNRRKLNSHVFNSLINGYVRAFKLEEAISVLREMKSKDCAPTVVSYNTIINGLCKAERFSDAYLSLKEMLEEGLKPDMITYSLLIDGLCRGEKVDMALNLWHQCINKRLKPDLQMHNIIIHGLCTAQKVDVALEIFTQMRQVNCVPDLVTHNTIMEGLYKAGDCVEALKIWDRILEAGLQPDIISYNITFKGLCSCARVSDAIEFLYDALDRGILPNAPTWNVLVRAVVDDKPLMEYALNTESRT >KGN54392 pep chromosome:ASM407v2:4:12930621:12933983:1 gene:Csa_4G312250 transcript:KGN54392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGITMWINSLGVGQLLRMNKLENGYHFQTQTRPMVAYSPRIERVTNASLASDKIISSKNNGSPLVIVPPPTTAPSTLGHYLASRLVEIGVSDIFSVPGDSNLVLFDYFVAEKGLNLVGCCNELNAGYAADGYARSRGVGACAVTFTVGSLSLINAIAGAYSEDLPVICIVGGPNSNDYGSKKILHHTIGLPDFSQELRCFQNVTCYQAIIDSLEDAQWQIDKAICKCLEESKPVYISICCNLVAIPHPSFSAQPLIPLSLSPKQSNQMGLEMAVEKAADLLNTAIKPVMIGGKKLRPAKAEAAFLELADSCGYAVAVTPSAKGMFPENHPHFIGTYWGTVSTAFCGETVEIADASIFVGANLDELETVGYSLAYKKNKAIIVKPDSVVFPNGESYGAVQMKDFLWALGKRLKPNSRAYENYRRIYIAESSPPESEAGEELRVNVLFKHIQKMLSSNMTVIAETGDSWFHSQKLKLPKSCGYEVQLLYASIGWSLGATLGYAQAAPHKRLLLCIGDGSFQMAPQDVSTMLKLGQKNIIFLINNGGYTIEVEIHDGPYNIINNWDYTAFVDAVNNHQSNCWTTKVHTEEELVNAIEIAMKDRNDCLCFIEVIAHRDDTSKELLEFGSRIAAMGSHPPKLLKN >KGN54566 pep chromosome:ASM407v2:4:14786099:14788545:-1 gene:Csa_4G363480 transcript:KGN54566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQSPVFGDDYAHGTHVQSFSSASLFPSSSRRDVYYSCGACGYELNLSSINRNTSTIGSKYGKSIKRGIISFLNIDESRFTQVDELQCVPHFSKNSWGLFRRRIKLLCRKCGNYIGNAHSNHTASSPLVSDGSDSSSLPNEVSRCMKYEVKIRALQPSSSNEFEPSII >KGN53967 pep chromosome:ASM407v2:4:9770129:9788139:-1 gene:Csa_4G197820 transcript:KGN53967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMEGLVPITRHFLASYYDKYPFTPLSDHVSRLSTEMLALANSLLDELPPTSEESTLLDEANQHPPHKIDENMWKNRENVEEILFLFEKSRWPQEVQKESATGESELANIIGKLEEKARNALHALVAFQSKNSEHVFNTVMTYMPQDFRGTIIRQQRERSERNKQAEVDALINSGGSIRDRYALLWKQQMERRRQLAQLGSATGVYKTLVKYLVGVPEVLLEFIQKINDDDGPMEEQRQRYGPPLYKLTTMVRLIRLCISLSWRRFDAGKLREHLGILEQAVDVYTSEIERFLGFIREVFNNAPFFISADVACAANERKSDSYKEISVPAGKTYEVSLSVESINSYIAWDFSLVQGKMNMDIGFSVECESPGGVKRLILPHKRYESDQGNFCTCMAGDYKLIWDNTYSTFFKKVLRYKVDCIPPVVEPVQPAAEE >KGN54794 pep chromosome:ASM407v2:4:17418980:17422184:1 gene:Csa_4G499310 transcript:KGN54794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAYGIDSKQEIQQNHGLITDYYSQNFRAEQPRRMGACAHLSAMDEVESSQHLNSCPSKPSSTIINLFESPASAFFATEQCMGIPPIQFQSGSSSFNSLSTIFQSSAENFSLDSAEQSGVDSEFSNTLQSVVKSQLCKRSFNGLPKGSFVEHKVFDGSSDTIKKHYSVPFKDQIGCYNSIAQPSFCSTSPRFSCLGGSIGPGSSSSSFSGNGFTTKTRIRWTQDLHEKFVDCVNRLGGAEKATPKAILKLMDSEGLTIFHVKSHLQKYRIAKYMPESAERRCDRRNCMNEVTELDAKTAMQIKDALQLQLDVQRRLHDQLEIQRKLQLQIEEQGKQLKMMFDQQQETNKCFFRTTTTDGLFNKPTPNNSNVLGYIDNPPIPTTVPAVDNIRNAQFPSKIS >KGN53048 pep chromosome:ASM407v2:4:1867784:1868632:1 gene:Csa_4G012440 transcript:KGN53048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAVQQLSTTTKSNQARFSRPRSVRTRSRLHGHVRLLRPSPKPESDMVALIHHAVDRGITRFGTSDNYGPFTNEILVGKAFKDGNRDKVEVATKFGNTFADDGKREIRGDPAYVRAACEASLKRLDVDCINLYYQHRIDTRVPVEVTLALAWVRHQGNDVCPIPGRTKIENLNQNIGALAVKLTPQELAVLEGFAAYDVVKGDRYGSALATWKTSETPPLSSWKA >KGN53846 pep chromosome:ASM407v2:4:8563779:8565574:-1 gene:Csa_4G165910 transcript:KGN53846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEFPSPLERTVASALLLLSISPSPPSTPISEDEWLFEEKNIEGKCSREMSAFCEHSNSSSSILTTSDASSHTPPLERLLFSTSPCRHQLKLNVVRKSRSKLVRISENRNLSSTDEVTLSSGSASSETTSCLSSSSSVVTSTPIHRLVTRAEKKLEMIRHAWRKKQIASAHMRRRAEAILSYLSDGCSSEVKIRKVIGDSPDTSKALRILLKLEEIKRSGTGGRQDPYMYKIA >KGN53702 pep chromosome:ASM407v2:4:6885729:6886685:1 gene:Csa_4G107440 transcript:KGN53702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHHLSHKKSRLPARKYNPKNDGSPRVPFFSDDEETPSTPKADALFIPRENPRALVIRPTDQWPSKANLDKSLPSKGTSVRENGKVAERTSSAINNLKDTNGNVVDNGISKENIHLNKVSQKPNGVHEDFYRTFVGHRAGEAAIVYEHGTDIEALMPKLLHSDYYTMPKIQELAAKERAEPGLCRHVKDFVVGRHGYGSIKFFGETDVRKLDLESIVQFNNREVIVYLDESKNPPCGQALNKPAEVTILNTKCVDKQTVHRYTEGPKVAKYK >KGN55526 pep chromosome:ASM407v2:4:23113980:23114537:1 gene:Csa_4G664340 transcript:KGN55526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPFRYLAGVNSSATSDTFEPRYDSDFVIILAALLCALICVLGLVAVARCAWLRHLAGDGGGAGSTRPPPPPASNKGLKKKILRSLPKYTFTAEFSAQFSDCAICLAEFAVGDEIRVLPQCGHGFHMSCIDTWFRSHSSCPSCRQILVVSQCQKCGGFPASSSSNGGTESRVKEEGDDKTNRFLP >KGN52921 pep chromosome:ASM407v2:4:1019287:1020897:1 gene:Csa_4G006280 transcript:KGN52921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSNYSSSTFDELKWVIQIRRTLEEELEDDEDYGIPVAIFKVPKLLMESDPHSYTPQLVALGPYHCWRPELHDMERLKLSAAKRAKKFSSTNLQFHELVQQMSKIEQKIRACYHGYLDINGETLAWMMAIDASFLLEFLLVYAGEFKILRRVSSRMSHLMDHAGTKPAHNAVLRDIIMLENQIPLFVLRKMMEFQSESSQQSDEILLSMMIGLFTELSPFNTTDGIPEIQISDCAHLLDFLYQMIAPKSKESSEINETPNEIDQSPQISHRFKQFLKRLISFTKRAIQSQHVKTIARLPWTILSTIPPFTVLKQPAEILFFREQQEAKEEPEPQNPNSNANRPPLTEEIAIPSVSELSKAGIHFSPSHGGISTINFDSQTGTLYLPTARLDVNTEATLRNLVAYEASSGIGALVLTRYTELMNGIVDTEEDVRLLREGGVIVNHLKSDEEGAWMWNGMSKSIRLSRVMELDKVIEEVNEYYNGLWRVKMGRFVKEYIYSSWPLIALTTVAWFLFLIGLQAFCSLFGCFRALPSKRS >KGN53150 pep chromosome:ASM407v2:4:2466037:2467986:-1 gene:Csa_4G022860 transcript:KGN53150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAYKYVSELWRKKQSDVMRFLQRVRCWEYRQHPSIVRVNHPTRPDKARRLGYKAKQGYVVYRVRVRRGGRKRPVPKGIVYGKPTNQGVTQLKFQRSKRSVAEERAGRKLGGLRVVNSYWINEDSTYKYYEVILVDVAHNAVRNDPRINWICNPVHKHRELRGLTSAGKKYRGLRGKGHLHHKARPSRRATWKRNNTLSLRRYR >KGN53778 pep chromosome:ASM407v2:4:7696127:7702450:-1 gene:Csa_4G126480 transcript:KGN53778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKILEKYERYSYAERPLAPNGDSELQTSWCQEYPKLTARLEIVQKNLRHYLGEDLDPLNLRELQSLEQQLDTSLKRIRSRKNQLMQESISILHKKEKDLQEENRQLANKVKENEKALVERGQCDVPNLVHNNQPIFGMTPPIPSLSFGANLNGRGSRGSDEDETRPTSINNIQIPAWMLRHVTENSNN >KGN54720 pep chromosome:ASM407v2:4:16363576:16364175:-1 gene:Csa_4G433470 transcript:KGN54720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTKPVSSTTISTSFCYKNSPPPPSSAIDATTRTRLPPSASLDSSLREEIRLELARATFPFLMARYSNMFLLFLWTLSLLVLPLLLPPLPPPPMVFLFVPLVIMMLLIFFALSTSQVPTTTVAS >KGN52876 pep chromosome:ASM407v2:4:798819:799467:1 gene:Csa_4G004870 transcript:KGN52876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLQRSAVSFRRQGSSGLVWDDRFLSGELKQTATTSEKESEENQDQGKSTAAAAAAAVEPISITIERSRSNGGRGYRTGKVSPAIEPPSPKVSACGFCSAFGKPAKKSRRTKTGKRRSR >KGN54357 pep chromosome:ASM407v2:4:12603462:12606424:1 gene:Csa_4G308480 transcript:KGN54357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLIFRVLVLLACIFPALVECRVRHYKFDVVLKNTTKLCSSKQIVTVNGKFPGPTIYAREDDTVLINVVNHVQYNLSIHWHGVRQLRTGWADGPAYITQCPIPSGQSYLYNFTVTGQRGTLLWHAHILWLRATVHGALVILPKLGVPYPFPAPNKEVVVVLAEWWKSDTEAVINEALKSGLAPNVSDAHTINGHSGPISNCSTQGGFTLPVKSGHTYLLRIINAALNEELFFKIAGHKLTVVEVDATYVKPFKTDTILIAPGQTTNALITADQTSGKYLVAASPFMDSPIAVDNNTATATLHYAGTLATTAATLTAPPPQNATPVANNFIDSLRSLNSNTYPAKVPLTIDHNLYFTVGLGINPCPTCKAGNGSRAVASINNVTFVMPTTALLQAHYFNINGVFTTDFPANPPHVFNYTGSGPSNLQTTRGTKLYKLKFNSTVELVLQDTGILTAENHPIHLHGFNFFVVGRGIGNYDAKNDPKSFNLVDPVERNTVGVPSGGWTAIRFRADNPGVWFMHCHLEVHTTWGLKMAFLVENGKGPNQSIIPPPKDLPKC >KGN54499 pep chromosome:ASM407v2:4:14078019:14085027:-1 gene:Csa_4G340010 transcript:KGN54499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARKRASAPQSVKEPSPKEIDKTRQSKYPVTDDPPIAPPKSGFIFKLILFFSIPYLYLIFYHYKIDLELRRSIIINAGLSLVGFFVTLRMIPVASRYVIRRNLFGYDINKRGTPQGAVKVPESLGIVVGISFLVLAIVFQYFNFTSDSNWLVEYNAALASICFMTLLGFVDDVLDVPWRVKLLLPSFAALPLLMAYAGHTTIIIPKPLIPYLGMDVLDLGWIYKLYMGLLAVFCTNSINIHAGLNGLEVGQTVVIAFAILVHNVMQIGASTDPEYKQAHAFSIYLVQPLLATSLGLLAYNWYPSSVFVGDTYTYFAGMTMAVVGILVDGIHNKRQGDEHLLLSTQNEL >KGN54290 pep chromosome:ASM407v2:4:12172628:12173438:-1 gene:Csa_4G297410 transcript:KGN54290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSPNMNSTYGYHSDEYIYPHKRSYPKYKAPVSRPHRARHTSYHEYEDYYPHRSPCNYQGRYPKYDAPPTRAPPPDHQCSYHEYEDYYPYQSSLYEGYPMYDAPTTKAPPPPQSKGKKNCRALTSMASSVAGSIGASFISSLLFN >KGN54943 pep chromosome:ASM407v2:4:19448892:19453906:1 gene:Csa_4G614170 transcript:KGN54943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFCSSDRIGVRQYNKSELPRLRWTPELHRYFVQTVEILGGRNQATPKKILQMMGVKGLKISHIKSHLQMYRSIKLENGSKCEDLVMRQGMKHRRRRTLHSCDIKTFFSSITSSNEILREEDEFCRDKTKDEPSSNIKDRIIYQQASESESESEEECVGESMLEDQTCDCELTLSLKPSMTQQIVERQLSDNQDNNTSSSNSNSNSLSSISQLNFKFVKLKDFPHPHHHINLDLTI >KGN53042 pep chromosome:ASM407v2:4:1840903:1846141:1 gene:Csa_4G012380 transcript:KGN53042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLGWMHRKFRQNNGQLPLKEYFVNGQQASDEQQYFPRTSTNNPFKQAQRDLDIARSDHEDYEDESLISISEIFPGFLAIGTLGSSEPATPKFSISIDHITESDQTEVTKNELKLINDELEKVLEAEAKDEGGSRQDSHANKVESNIYNNYVESGDHDAVVCPLQEYLFGSAVEMSTTMAKKENRTSLGELFQRSKVVEEGGGGRCDEKDEQKKVEKEGDNRYGMQLLKKKLKKKMFCAASKSTLSSNASGEVLDVSSATKLHKILHLFNRKVYPAESITMGKDQKVGDPHKVQKSYDKKKKTTITTTVDGRSSNNNEETTSTDEDIMIFPKQLILKQTLQSIQTRSGPPRFSDISDDDDDVDFNYWNKEQWIKSDSDYLVLEL >KGN54110 pep chromosome:ASM407v2:4:11057253:11057879:-1 gene:Csa_4G286350 transcript:KGN54110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLTLGWPMYIAFNNSGRVYDRFTSHYDPNSPIFTEKERLQVQISNAGVLTILYLLYKLAVTKGITWVIRIYLLPLTVMNVFVVLISCLQHTHSSLPYYDSSQWDWLRGNLVTVDRDYGKILNKMLHNITDTHVIHHLFPSMPHYNAAEATRAVKEVLGEYYQFDETPILKAAWREFRECVYVEEDDHEEGDSEAFRSKGVFWFRNKL >KGN54194 pep chromosome:ASM407v2:4:11557078:11558712:1 gene:Csa_4G293040 transcript:KGN54194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRREKKKRKKTENSAITLFLQPPASLFPRLVKQLRHCNFTRKQHRTRNSAISELEIKLSFEILRFFRPPFSPHLQPPDRSGSSDGDVLHTREQATIGFCGSLLDLEYALNLSPIPHRSLCGLHGRFHLFKLKGAFGFLNNE >KGN55524 pep chromosome:ASM407v2:4:23104892:23108639:-1 gene:Csa_4G664320 transcript:KGN55524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAISNSLVLTKSPQLQLTHGSNLKTVDRRLGSLSPTSLVLSPGWVGNAKLSTSRRSLSVQAAYRDDGRSSTGIFIGGFVLGGLIVGTLGCVYAPQISKVIAGTDRKELMKKLPKFIYDEEKALEKTRKMLALKIEQLNSAIDEVAAEYRSESPTNGLAMDSDEVESII >KGN52790 pep chromosome:ASM407v2:4:308557:312633:1 gene:Csa_4G001570 transcript:KGN52790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSKSKSVLLLCGDFMEDYEAMVPFQALMAYGVSVDAVCPGKKAGDICRTAIHELLGHQTYSESRGHNFALNATFDEIDFDKYDGLVIPGGRAPEYLAINSSVQSLVRKFSESGKPIASVCHGQLILAAAGLVRGRKCTAYSPVGPVLAAAGASWIEPESLAACVIDGNLITAATYESHPQYIQLFVKALGGNVSGSDKRILFLCGDYMEDYEITVPFQSFQALGCHVDGVCPNKKAGDTCPTAVHDFEGDQTYSEKPGHSFTLTADFEGLDASSYDALVIPGGRAPEYLALNPQVIAIVKEFMEAKKPVASICHGQQVLSAAGVLQGRKCTAYPAVKLNVELSGATWVEPDPIDRCFTDGNLVTGAAWPGHPQFISQLMTLLGIQVTF >KGN55299 pep chromosome:ASM407v2:4:21740470:21743577:-1 gene:Csa_4G645250 transcript:KGN55299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSFDWWGKDTHKGTPVVVKMENPNWSMVELEGPSEDDFLIAGESPTSRVREKGRGKNAKQLTWVLLLKAHRAAGCLTSIGSAMVDLAAAVRRRVASGRTDEEDADNDVTVGGREKENPTVKTRFYSCIKVFLWLSVLLLCFEIAAFFKGWHFGSPKLQLDYLWASPWGFKGAFGWIYAQWVLIRVEYLAPPLQFLANACIILFIIQSLDRLVLCLGCFWIRFKKIQPVLKPEDEDLESGEKGYFPMVLVQIPMCNEKEVYQQSIAAICNLDWPKTKLLIQVLDDSDDPTTQLLIKEEVHKWQQEGANIIYRHRVIRDGYKAGNLKSAMNCSYVKDYEFVAIFDADFQPTPDFLKRTVPHFKDNEELGLVQARWSFVNRDENLLTRLQNINLAFHFEVEQQVNGVFLNFFGFNGTAGVWRIKALEDAGGWLERTTVEDMDIAVRAHLHGWKFIFLNDVECQCELPESYEAYRKQQHRWHSGPMQLFRLCLPAVIRSKISIWKKFNLIFLFFLLRKLILPFYSFTLFCIILPMTMFVPEAELPAWVVCYIPATMSFLNILPAPKSFPFIVPYLLFENTMSVTKFNAMISGLFQLGSAYEWVVTKKSGRSSEGDLVSLVEREQKHQRGSSAPDLEELKEEIQKQEKKAALRKKHNRIYTKELALAFLLLTASARSLLSAQGIHFYFLLFQGISFLLVGLDLIGEQIG >KGN53398 pep chromosome:ASM407v2:4:4259202:4259893:-1 gene:Csa_4G051500 transcript:KGN53398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAPRPICTSTHLESPLDMVVFRRRAAYKLRGEYARLNFPNLKDFRNLGFADCGRLNALKNSVDAKIQAICLKMKKEKAKKNSKKSDPKNGAVSESCSMSSSSPAVEEWCRSGEMGCSSVVSEDGFWRSENSPPASSSSSGSAESSAAVAAEAVVGEGVCEGYSSLAKMPSFDPDLIWEVLAN >KGN54931 pep chromosome:ASM407v2:4:19345395:19348241:-1 gene:Csa_4G608070 transcript:KGN54931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRDAAELTPAKTTTTMAVPAAEAHPYAFHVSGPRNVTSPNWRDLINSSWKDGNYKRTVMACFIQAVYLLELDRQENRSNKENALAPKWWIPFKYKLVQTLIDERDGSIFGAILEWDRSAAMADLVVIRPSGAPRAVLALRGTLLKSPTIRRDIEDDLRFLAWESLKGSVRFNVALEALKSVAESYGSNNVCVAGHSLGAGFALQVGKALAKEGIYVETHLFNPPSVSVAMSLRNIGEKAEFAWKRIKSMIPSGSETIVNSSNTEEEKSYGGTGLKSWVSNLNRLKNPGVVGIGKWVPHLYVNNSDYICCSYTEKSDQVEKINEGKENVNTTNGRTVAAAAAAKLFVMSKGKQKFLEAHGLEQWWSDDLQLQLALHDSKLISRQLKSLYTISAAGKS >KGN54448 pep chromosome:ASM407v2:4:13505365:13506877:1 gene:Csa_4G333620 transcript:KGN54448 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific lipid-transfer protein MASNLITILKLGLTVTFLYAVIGGGVTTEAVVSCNQVITNLRPCVSYVTGGGYPSSNCCSGVKQLSTAARTTPDRQAVCRCLKSLVNGVKYNGQNVANAAALPTKCGVTLPYSINPNVDCNTIKM >KGN52967 pep chromosome:ASM407v2:4:1320374:1326335:1 gene:Csa_4G007720 transcript:KGN52967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNQENLDADGKAESVISIQTSEYDSWGNLGDDDIMLQKSAIFVQEAEKVPFVGDKEPLSSLEAEYKSGSPILLEKIKVLSGQYAAIRRTRGDGNCFFRSFMFSYLEHILESQDKTEVDRIKTNVENCRKTLRSLGYTEFTFEDFFALFLEQLESALQGNESSISHEELVIRSRDQSISDYVVMFFRFVTSGEIQKRSEFFEPFIMGLTNGTVDQFCKTAVEPMGEESDHVHIIALSDALGVPIRVLYLDRSSCDSGGLSVNHHDFVPATTEVASGSAASEIKIPFITLLYRPGHYDILYPK >KGN55269 pep chromosome:ASM407v2:4:21567867:21572841:-1 gene:Csa_4G642500 transcript:KGN55269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRKTQRKNSPTVDSDDDSSVSSSSTMRSDRMSVVGIEDFHFDKDTLLDQALDALYEKRGSTREKALASIVENLGNNLQYQFVEKKFATLLHQCLNCIKKGSSKEISLASHVIGLLALTVGEGDNAREILSESIPSISQALKSGSESSKISLLECLAIITFVGGNDIEEIERSLQLMWQVVIPKLGQNVVAVRQSAAIITAMVSSWSFVLTTMDGLKLNSKDWQESISFLSSLLDKDDRAVRIAAGEALALIFEIGILEKFSAETKGSTDGSTLEGSKPREWFIHIQGLKGKILNQVKNLSMEAGGKGSAKKDLNSQRNTFRDILEFFEYGYCPETSMKIGGDLLQTSTWTQLIQLNFVKHFLGGGFVKHMQENEFLHDVFGFTPKKKFPLDSEHRISTAEKRMFKSPNSIVNKARTQHLNKQRMLVEGRNIGHYAVNVGE >KGN52914 pep chromosome:ASM407v2:4:979055:980033:1 gene:Csa_4G006210 transcript:KGN52914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPQQRYRGVRQRHWGSWVSEIRHPILKTRIWLGTFETAEDAARAYDEAATIMCCTPNNLSTNHSASHHPSSAPLSSKLLTPTSIEKLQRCRMASLQMTKAQFAVRKSADPTQSPATKLDGGHWPEKAARWVPSREVEEVRRLEDEHVEQMIQELLDLGSFEFCPNNNNNHHHFGSTNN >KGN53008 pep chromosome:ASM407v2:4:1632835:1633820:1 gene:Csa_4G011070 transcript:KGN53008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFGKLSVLLIVLVVALTLLSNSSTVDAARVVPGDFASVNHLEMYPSAYEQAKHTVSCWLGRLSSGPSPKGPGH >KGN54092 pep chromosome:ASM407v2:4:10966142:10972727:1 gene:Csa_4G285680 transcript:KGN54092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVLRRGVRRGRARILQKHFEEPPRAGTYVKTRAAVAREAAEARPRPRTRLAIKELNKNREEEVIVISERDTCLEDKKVQQLEEEEEDKGAMGDESGGLSANKAAGIEEEGTSAPFPEKVQVGGSPMYKIERKLGKGGFGQVFVGRRLTGGNDRATGAAATEVALKFEHRNSKGCNYGPPYEWQVYNALGGSHGVPKVHYKGRQGDYYVMVMDMLGPSLWDVWNSSGQAMSAEMVSCIAVESLSILDKMHAKGYVHGDVKPENFLLGQPSTAQEKKLFLVDLGLATKWKDSSTGQHVEYDQRPDMFRGTVRYASVHAHLGRTASRRDDLESLAYTLIFLHRGRLPWQGYQGDNKSFLVCKKKMATSPEMLCCFCPPPIREFLEIVVNMKFDEEPNYSKLISLFEGFIGPNPAVRPIKTDGAQKIISQVGQKRGRLNIGEDDDGQPRKKVRLGVPATQWISVYNARLPMKQRYHYNVADARLSQHVERGIADGLLISSVASCSNLWALIMDAGTGFTNQVYELSPYFLHKEWIMEQWEKNYYISSIAGANNGSSLVVMSKGTQYTQQSYKVSDSFPFKWINKKWREGFHVTSMATAGSRWGVVMSRNAGFSDQVVELDFLYPSEGIHRRWDNGYRITSTAATWDQAALILSVPRRKPGDETQETLRTSQFPSTHVKEKWAKNLYLACLCYGRTVA >KGN55146 pep chromosome:ASM407v2:4:20862456:20862659:-1 gene:Csa_4G638350 transcript:KGN55146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHYKFLEPILRGIKLTRNQNTKTTGNSPKINRRVAAASKYGVGRRRVMETSDRKEIRRRSGPLEE >KGN52962 pep chromosome:ASM407v2:4:1297957:1301329:1 gene:Csa_4G007670 transcript:KGN52962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASISKLSTPISSPTLSSSFNPRSSLSSNSFLAFRPSSDFFTKLSASRLSVQRGSDLKGSLFVRCSQGDGNGIPVKRTVLHDLYEKEGQSPWYDNLCRPVTDLLPLISKGVRGVTSNPAIFQKAISSSNAYNDQFRELVQSGKDIESAYWELVVKDIQDACKLFEPIYDQTDAGDGYVSVEVSPKLADDTEGTVEAAKWLHKVVSRPNVYIKIPATAACIPSIRDTIANGISVNVTLIFSIARYEAVIDAYLDGLEASGLSDLSRVTSVASFFVSRVDTLIDKLLEKIGTPEALDLRGKAAVAQAALAYQLYQKKFSGPRWEALVKKGAKKQRLLWASTSVKNPAYPDTLYVAPLIGPDTVSTMPDQALEAFVDHGIVSRTIDSNVSEAEGIYSALEKLGIDWNEVGNQLEIEGVDSFKKSFDSLLNTLQDKGNSLKLVSH >KGN55448 pep chromosome:ASM407v2:4:22701991:22704196:-1 gene:Csa_4G652120 transcript:KGN55448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAVFRSAHRQFQSYYSKILTRRLWERHCSPSRTTTISASLFTRPFVSSAVPKSPFDAITLRVLRNEIEYQSSYAPPHQPATMFNSFTVEDHPGMQWITLKGKFKDTEDIKIEATMFDGCESVPKFGDDCEGEEDLRLHISVLVDISKGDGSEDLEFVCSAWPDSLDVQKLYVLKRDRMLASHYMGPDFRKLSGAIQTKFREFLAERGIDKELAVFLHEYMMNKDRCELIRWFKSVESFVER >KGN55174 pep chromosome:ASM407v2:4:21064736:21071113:-1 gene:Csa_4G639130 transcript:KGN55174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRAWARSRCSYRLIHLRRCSSSSSSSTAQKSIEGSNNLQNSHLVSPPAPILHRPQRSLLPSASPTSFSRTSIVTVSAAVVSALVASIVFLTSDSWSDRSHESYNHLYDGIEGAAQRSTDSFKKIFHHIKQTGVAASVLWQSLRSVMSSANHEVRSGFELRVAALLADIAAANASRRAAIVGAGGGAVVDWLLESVAVPRDGGGSQAESARALAYLIADPDVSASVLGRPRAVPNLLRFIFSCQPRRTKQHPRRSSFDISDSLKGRSMLVAAIMDIVTSNCDRLENLAFEPSLPAHAETRDIAAAIQVIEEGGLEFDEPNGGDDEDGGRGIKGIGIKILGGTTILGLSRVTWALANWSMASELNRLHIHELDQDGHAVMTALMAPERSVKWHGSLVARLLLEDRNLPLNDSVSDWSSSLLSTVSHASKNDDIPLAQAALCAFLASVERFPEAQKKIMERGLHLMRDAAVRTQKHGEVQESLAKALELLSTGCMHLSAEESQRWSAILLQWVFGKISSESLRSSATKILSCILEDYGPSSIPISQGWLAILLTEILGSIKKPAANGATQLQNDKVKTKIEQSNIVFASQVASQLASAVVNLAVHQFGATTDSLDTSPLADLLSREPFVAPLKSIKKENSPKFDAADSAMATLKGIKALTEVCADDSSCQSRIADFGILFLLRRLLLCDDYEKLAAMEAYDASRVLEAQELVSNASGEPSLSEKKNDSSSVRVPPTAHIRRHAARLLTILSLLEKVQKEIFSDEEFCRWLEDCANGAIPGCHDAKLQSYARATLLNIFCINRRASENGSLSDSESAESTNRKKNCPRYDDMVFLINPELPHWKVHEEKEQDTVGKDESSLSQANFIDSDGAAVARHGNDNTSLSHVSQNDSRPDSPLVDVVFIHGLRGGPYKSWRISEDKSSTKSGLVEKIDQEAGKLGTFWPGEWLSSDFPRARMFTLKYKTNLTQWSGASLPLQEVSSMLLDKLVAAGIGDRPVVFVTHSMGGLVVKQMLYKAKTENIDNLVKNTVGVVFYSCPHFGSKLADMPWRMGLVFRPAPTIGELRSGSPRLVELNDFLRHLHKKGLLEVLSFCETKVTPIVEGYGGWAFRMEIVPIESAYPGFGELVVLESTDHINSCKPLSRTDPSYTETLEFLQKLKSRYG >KGN52809 pep chromosome:ASM407v2:4:419818:428097:1 gene:Csa_4G001760 transcript:KGN52809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSSSSSSSSSPSPLVFSALPVMSLRERIVEKIRQNRVTLIVGETGCGKSSQIPQFLLEEDMGPILCTQPRRFAVVAIANMVARARKCNVGEEVGYHIGHSKHSSEKSKIVFKTAGVLLEEMRDRGLNALNYKVIVLDEVHERSVESDLVLVCVKQFLSKHHDLRVVLMSATADIGRYRDYFKDLGRGERVEVLAIPNSNQKSFFERKVSYLEEVTELLGIESDLQSSRYCNGFSPCASPAEIKSEVHRLIHNLLLHIHKNESDIEKSILVFLPTYYSLEQQWHLLKSHSSFKVYILHSSIDIEQALTAMRIWKSHRKVILATNIAESSVTIPKVAYVIDSCRSLQVYWDNNQKKDSPQVVWISKSQAEQRRGRTGRTCDGQVYRLVTRSFYHNFEDFERPDILRLSLRQQVLLICSTESKAINDPAVLLQKTLDPPDANVVEDALSLLVNMQALKRSPRGRYEPTYYGSLLASFSLSFDSSVLILKFGDIGMLHEGILLGILMDTQPLPVLRPFGENNLYAEYIKSYFDGESIDTIQLGFKEMALLGNLHAFHFWERVYKDKIRVEYLNKLVNPNKTQTTTSPPSKNEEEWCSFHSLVHSSLNHVSEMYEDIIHTLHQFRPRFLGMCDILRSSYAPTQFQHLCVLKCLENGDDQSSESRTCVSVPYVASSYSRTNQVAGKLADVIKQMKVFYAKEEPNNHSLSSMNNGFNDNGTSLCVYFLNGSCNRGSQCLFSHSLQSKRATCKFFFSLQGCRNGDSCLFSHDQSPSKSLSFKSTLCLPEDGIAHASTLEKYFPKSGGCILVMDDAGFHFSSNLARHCEPSKIICTTNLSHSDIYDSSLNDAKKIWELSHPDETIISNGENQIPWYDVKCILWFPRFASSKENLDIEKILLQNFFDLLAIRILADALHGVQVILTMNNIRFSQLQVEKLGRESFFFLSESFPYDERSFGELPDKITTKKGMLTSKPVSYVFDLRPPSSALFGNYRATLRQCLYNVERPSLVCP >KGN55385 pep chromosome:ASM407v2:4:22254830:22256703:1 gene:Csa_4G648560 transcript:KGN55385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTKRKMTWKSMIIPSCYTAVHRRLSESEDDERRRLSKKGSSQRLSLSDASNPSSPLSIDDLSNSLVGPKLHIFSFAELRTITHNFASSNLLGEGGFGPVYKGFVDDNIKPGLEAQPVAVKLLDLDGSQGHKEWLAEIIILGQMRHQNLVKLIGYCWEKEYRLLVYEYMARGSLENQLFRKYSAALPWSTRMKIALEAAKGLQFLHEADPPVIFRDFKTSNILLDSDYTTKLSDLGLAKDGVDCEDQPDMSCIMGTQGYAAPEYVKYGHLTTMSDVYSYGVVLLELLTGKPSMDRKTGEFLVDWAKPLLKDSKRLCRIMDPRLESSYSVDGARKAAALAHKCLNRNPKRRPPVTEVVDGLKSLQDFNDVFTAPFVYVVEDCCGENEKKGKEERVIEGNGLGPGVLGLGLNWKNWRPVSV >KGN53006 pep chromosome:ASM407v2:4:1615999:1619803:1 gene:Csa_4G011050 transcript:KGN53006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVELLFDDIFKIERLNPDGKKFDKVTRIEAKSEKFDMFMHLDINSEIYPLKEGEKFSMALAPTLNLDGTPDTGYFLQGNRKSLADRYEYVMQGKLFRISESSGHGGKAEIDASFGGLLMMLKGDPSHCSKFELDQRLFLLIRKV >KGN54867 pep chromosome:ASM407v2:4:18503645:18507234:-1 gene:Csa_4G563690 transcript:KGN54867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNSSSLSHTMAGIRPCCSTLPSRSNPRTSWLTLRTVPHRRTYPGKRVLQRKVGIKAEINFVNAEEAKKLIAVDGYVIVDVRDKSQFDRAHIKSCYHVPLFIENQDNDLGTIIKRTVHNNFSGLFFGLPFTKLNPEFVQSVKAQLSPQSKLLLVCQEGLRSTAAADKLEKAGFENIACITSGLQSVKPGSFDSVGSTQLQDAGKAGLVTIQGKISAVLGTVLICAYLFVTLFPEQAEKLLQMAPTG >KGN52966 pep chromosome:ASM407v2:4:1315609:1318082:-1 gene:Csa_4G007710 transcript:KGN52966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPDNIKFFNVGLLVLATLLLAKLISALLNSRSNKRPPPTVKGIPFIGGLLRFLKGPIVMLRKEYPKLGSVFTVNLLHKKITFLIGPEVSAHFFKASESDLSQQEVYQFNVPTFGPGVVFDVDYSIRQEQFRFFTEALRVTKLKGYVDQMVREAEDFFSKWGDSGEVDLKYELEHLIILTASRCLLGSEVRDKLFADVSALFHDLDNGMLPISVMFPYLPIPAHRRRDQARSKLADIFAKIIASRKCNGSSDNDMLQCFIDSKYKDGRSTTDSEVTGLLIAALFAGQHTSSITSTWTGAYLLCHKEYMSAVLEEQQELMGKHGDKIDHDILSEMDNLHRCIKEALRLHPPLIMLMRSSHSDFSVTTREGKEYDIPKGHIIATSPAFANRLPHVYKDPDRYDPDRFAPGRDEDKAAGAFSYISFGGGRHGCLGEPFAYLQIKAIWSQLLRNFELELISPFPEIDWNAMVVGVKGKVMVRYKRRKLSVS >KGN54010 pep chromosome:ASM407v2:4:10503408:10503698:1 gene:Csa_4G267450 transcript:KGN54010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDDEELFVDENRLKSLENREKIIEVRLELKDTLWHSRATLHAFAAHCPLCLTMSHMALWGFTK >KGN54560 pep chromosome:ASM407v2:4:14732171:14737296:1 gene:Csa_4G363420 transcript:KGN54560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDYALKVPAELESALRLKAVQYFVTKRPWLDLYGVNVRPVAPFGSSSRQPFVDPALIHRCLPDELLFEVFARMTPYDLGRASCVCRKWRYTIRNPVFWRNACLKAWQLSGVVENYKFLQSMYDGSWRKMWLLRPRIRTDGLYVSRNTYIRAGIAEWKITNPVHLVCYFRYIRFFPSGRFLYKNSSQKIKDVAKCMNFRASKADCIFGGHYTLSDDKVEAAVLYSGARPTVLRIRMRLRGTSTGANNRMDLLTLVTSGMNNNEVGDPEEDILGIVERWRDDETHNPDVPAVSHKRGLTPFIFVPFDQVETSELNLPVDKMDYFVPG >KGN52817 pep chromosome:ASM407v2:4:473240:476563:-1 gene:Csa_4G001840 transcript:KGN52817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVTTTGNEVPPNMTIYINNLNEKIKLEELKKSLNAVFSQFGKILEVLAFKTLKHKGQAWVVFEEVSSATNALRQMQGFPFYDKPMRIQYAKTKSDIIAKSDGSFVPREKRKRHEEKGRKKKEQHDANQAGMGLNPAFAGAYGATAHSQVPYSGGVMVPEAPAPPNSILFVQNLPHETTPMMLQMLFCQYPGFKEVRMVEAKPGIAFVEYSDEVQSTVAMQALQGFKMNPQNSMLITYAKK >KGN54503 pep chromosome:ASM407v2:4:14116070:14117068:1 gene:Csa_4G340540 transcript:KGN54503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQLTDDQISEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTEEEVDEMIREADVDGDGQINYDEFVKVMMAK >KGN52928 pep chromosome:ASM407v2:4:1087607:1088395:-1 gene:Csa_4G006350 transcript:KGN52928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIANRKGLNLKALSPNFCAPRQLEMSLRLLPNPGHPSLHLRRNCRLFHNLRPTNQPPPPPMEVEIKLRLPDSSSHHKLSHLLSSHHIKTHNQHNFFFDGPNAELSSNQAALRIRFYNEDSHCVLSLKAKPTISDGISRIEEEEEALEPSIGRACVEEPNRMMLMEESKILERVKEEYRIDGFVCLGGFKNVRRVYDWKGLKLELDETIFEFGRNYEMECESEDAERDRDLLEGFFKENGISYSYSQSSKLAIFRSGKLPPFF >KGN53810 pep chromosome:ASM407v2:4:8048519:8051814:1 gene:Csa_4G133700 transcript:KGN53810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSAEALQNDLRFQGPIDGNVLAAMALARCGVDRMFGVVGIPVTSLATRAVSLGIRFIAFHNEQSAGYAASAYGYLTGRPGVLLTVSGPGCVHGLAGVSNAMVNAWPLVMISGSCDQRDFGRGDFQELDQVEAVKPFSKISVKATDISEIPNCVARVLNSAVSGRPGGCYFDLPSDVLHQTISESEAERLLVAAEEFARREVIPRVPNSQIEEAISLLKHAERPLIVFGKGAALARAEGPLKKLVETTGIPFLPTPMGGRAPPAARSLAIGKCDVALVVGARLNWLLHFGEPPKWSKDVKFILVDICKEEVELRNPHLGLIGDAKEVLESINKEIKDDPFCLGKSHPWVEAISQKAKDNVAKMEVQLARDVVPFNFLTPMRIIRDAILALGSPAPILVSEGANTMDVGRSVLVQTEPRTRLDAGTWGTMGVGLGYCIAAAVASPDRLVVAVEGDSGFGFSAMEVETLVRYQLPVVVIVFNNSGVYGGDRRTPQEITGPYKHDPAPTSFVPSAGYHKMIEAFGGKGYHVESPEELKSALAESFSARKPAVVNIKIDPYAGAESGRLQHKN >KGN53025 pep chromosome:ASM407v2:4:1746165:1746413:-1 gene:Csa_4G011720 transcript:KGN53025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKFQKITTLLIVCVLVGVVLSQINVADAARILLSETPRDDHDHGVDYHIDPYSIVYQKAKESISCWLGKLSSGPSPSGPGH >KGN54505 pep chromosome:ASM407v2:4:14132857:14133156:-1 gene:Csa_4G340560 transcript:KGN54505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIISLSATSCRRRRRKVIIPHYGLMYRDVEVTCFAKNLDQNESFGFPRHVRDRCRGKAKQYINEYIFRDVRFPDVTKSCIGNILTQTSRKVQQRRHFFQ >KGN54203 pep chromosome:ASM407v2:4:11599769:11602273:1 gene:Csa_4G293130 transcript:KGN54203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIRCTLNFRVSAFFLLVSTFLSCHSEGAESAVVTLDSIVIYKTHEWLAAKPTVYFHCQGGNRTTLPDVQKEHVLYSFNGEESWQPLTEFKSKKCKRCGFYEEDSIKSDDVFEEWEFCPSDFTAPAGKYVRFNPKEFNATFLCLKCTAYSNVTSTSSSTSSITDGGEKGMQSAIIIVISIVASVVLIIGMVVGYKYWQKKRRQQDQARFLKLFEDGDDIEDELGLSDVI >KGN53016 pep chromosome:ASM407v2:4:1680430:1682336:-1 gene:Csa_4G011630 transcript:KGN53016 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stress associated endoplasmic reticulum protein MTTSRRLADRKVERFEKNILKRGAVPETATKKGKDYPVGPILLGFFVFVVIGSSLFQIIRTATGGGMG >KGN52917 pep chromosome:ASM407v2:4:997573:998595:1 gene:Csa_4G006240 transcript:KGN52917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLTTVIASVLALLLISQVLVEAGTEHKQNHQHVRKGWKDEEKRTRSRSNGRKRRRSDCDPFYQYLFGTCGQWPFPISPSDNPFWAPSRPPLPPLVFSPPPVYQPAPLVPSPPPLLASPPPPLTSPQNPSPPTPLVPSPPPPLQSTPTPSEMVPPPLVPSPPPLSFTPTPSTWFPPPLVSSPPPLLSTPTPLVTFSPPMPQFLLPPPVPDLPPPIEFTPDQPPFIPIFPPPLVSIPTDPPPFTIPQPPRTFFPPPLVPEVPDIPQDPLPFVSAPPAPDTGFNEPLMPLPPEPHFTAP >KGN54753 pep chromosome:ASM407v2:4:16849697:16850197:1 gene:Csa_4G448640 transcript:KGN54753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGDSQSHVGCKPLTTFVQTDTKTFREVVQRLTGPSESHTTSSKGFGSRRPTTTSKLHERRQLITRPKLQIVKPCPSHFKATIGEHPSYITSPLGTPSKILSKLSIGDEEKEELNNMEEEKAIKERRFYLHPSPRSMPIYAQPELLSLFPLESPKKVSNHETKIN >KGN52820 pep chromosome:ASM407v2:4:481045:482050:-1 gene:Csa_4G001870 transcript:KGN52820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPLAVTRLCEFWNKPGVAEADFGSVDTATMMKKFLTMKDPSPPIIPKGTLLATPEILPSWLTEEDIEYFASKFSKTGFTGGFNYYRALDLTWELTGPWSRGEIKVPAKFIVGDLDLVYDFPGAKEYIHGGGFKKDVPLLEDVVVIEGAAHFINQEKADEISSHL >KGN54829 pep chromosome:ASM407v2:4:17936621:17942175:-1 gene:Csa_4G515040 transcript:KGN54829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKYEVVKDLGAGNFGVARLLRHKETKELVAMKYIERGHKIDENVAREIINHRSLRHPNIIRFKEVVLTPTHLAIVMEYAAGGELFERICNAGRFSEDEARYFFQQLISGVNYCHSMQICHRDLKLENTLLDGSAAPRLKICDFGYSKSSLLHSRPKSTVGTPAYIAPEVLSRREYDGKMADVWSCGVTLYVMLVGAYPFEDQDDPRNFRKTIQRIMAVQYKIPDYVHISQDCRDLLSRIFVSNPSRRISLKEIKSHPWFLKNLPRELTESAQTIYYQRDNPSFSVQSVEEIMKIVGEARNPPPSSTTVKGFKWGTEEDDEEGDGELEEEEVEEEDDEYDKRVMPVYTVMYMYTNIQ >KGN55288 pep chromosome:ASM407v2:4:21673000:21674957:1 gene:Csa_4G644650 transcript:KGN55288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFELVRRGLGFSQRNKKWLVLLALTGVSGYGAYKVYHLPSVETKRKRLMRLFGAMISVADMVADSSEAIGVISKDLKEFLKSDSDQIPNSLKQISKIAKSGEFSESLEKVTEAFAVGMMRGYKSVTKNEQNSEADSTNSTFSSGVVEKLFSTNGTGFASVVVGSFARNLVMGYYSISGSVDASGNPQKIDNSGSEFPDVPRWVTVASNEKCKNVIADCIQVFVSTAVGVYLDKTMDVNVYNDLFSGLTNPTHQDKVKDMLVSVCNGAVETLVKSSHQVLTSSRSTSNLSPVSPCNGVSKIGDVPFSEGTYPKKMAVASSTEGTQNGWVDTVSSTLAVPRNRKFVLDLTGRVTFETTRSVVEYFLWKLMDGLKRSLDTVHDEVVGRGLEVIRYFCAKSSVIVTICLALYLHVFGGTRLLVPA >KGN52864 pep chromosome:ASM407v2:4:723872:724132:-1 gene:Csa_4G004260 transcript:KGN52864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNRSVVETLNLRFGKNLPEARSEERIDGERGRVIFGGISESVISVGLFDPETLRDNPNHLK >KGN54617 pep chromosome:ASM407v2:4:15220717:15224011:1 gene:Csa_4G378770 transcript:KGN54617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLNLEVPPSNGCEKVILSEEQHMKICEVRRLLGPLSGTSSIYCSDLSILRYLRARNWNVKKATKMLKATLKWRSEYKPEEIQWDEVAHEAETGKVYCADCKDRHGRTVIVMRPCRQNSKTVKGQIRYLVYCMENAILNLPSNQESMIWLVDFKDFDMSNISLKATKETAHILQEHYPERLGLAILYNAPKFFEPFWMVAKPFLETKTANKVKFVYSDDVNSKRIIEDLFDMDQLESAFGGNNNVGFNITKYAEMMKEDDKQMRCSWSAVNPNSLASEKAQSSGLVGSQITNRSESSENERTDGDIHITRKADGN >KGN55022 pep chromosome:ASM407v2:4:19996313:19997371:-1 gene:Csa_4G622810 transcript:KGN55022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEKKIDTLRETLEEYSAAVKDVTAAIFGGVEKALGIKEGELSELFKEGNQSMRMNYYPPCPEPEKVIGLTPHSDPVGLTILLQINEVEGLKIKKDGNSITVIPLPNAS >KGN53278 pep chromosome:ASM407v2:4:3421351:3429370:-1 gene:Csa_4G043940 transcript:KGN53278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKPHDPPLDFYKPRLQSHDPHPPPPPLSANSIPPPPLPPPPDLMDLDPSSTNPRVHDSQLPDSNLNAQLKDKVVVVVGARRRGRPPRAQLNLPPPPLRQKKDEEDVCFICFDGGSLVLCDRRGCPKAYHPSCIKRDESFFRSKAKWNCGWHICTSCQKASYYMCYTCPFSLCKGCIKGADYQCVRGSKGFCGTCMKIIMLIEKRAPDGESVQVDFDDKSSWEYLFKVYWIYLKEKLSLTVDELVRAKNSWQGSTIMDHKVGPNELLNGSIDKSQGAHNSYRNPKSQRKRPNRQQGSLNKFSSLVDRPSSNEQFSGSTKWGTTELMDLVAHMRNGDTTRLSPLDVQALLLEYVKKNNLRDPQQQSQIICDFRLTNLFGKSRIGHFEMLNLLQSHVHGKETAADNVTSSGAGTVINPVESKEKHDSESVDDCERKHKTNKKADESREQLHAIADEYAAIDAQNINLIYLRRDLIMSLIDDEKKFNDMVVGSIVRIQIPNNDEKHDFHRLVQVVGTSKISTPYTVGEKTIDAMLDILNLDKRESVSIEGISNQEFTEEECRRLRRSIKCGLVKRFSVSEILDKGRELHALKINDMLQKEISRLTQLHVQASEKGNVDELRDVAERLQRLKSPGEEHQHRLLEILEVRSDPTMDPSYESEEDKDESNKKRQGSLKRSRNCDFDDKEVELTSPRRGTNSNVCGIDVQKNLTSTSEQSRNISLTAHVNKEEECLPSDRICETSLAGRGLVPNNWNVPSQAETATPVSSDGNYQVVLPEASIPPLSIGLGSSSNDAEVERIWQYQDPTGKVQGPFSMTQLRNWNNSGHFTPDLRVWRITESQNDSVLLTNALNGCYNKASSIWQPNNHLLSLGRGSGLSLGGSDNHHNGQSNGVTDSSTNFVRFGIDSTKNRNSEQKDHIAVCDAENEPMMSTGSSSPSKDFCAPADTVNSIQSPRNLEVAHEPLKNSSSWSYPSLMNLLSSATLSLQPPVTEVHEAKENHSPNNEDQNSQTISLGGIHSQPGRKKRSNSEDCSSQSSGQNWIAPPAADASSREWNSNCSGLSLMGSFNPSEKIREILPDITLHSAPKPMTGDVDIKQSASSSVLVQNSGPSWSSAGGWGDGYMAAPGRPIEDLNSSFGLKSSDIIDDHETTGATINWGAIDDDSNDFNSLVDESVSDLLAEVEAMECLSGLASSASMMNCSEGLTRDSRTDCFFSVDGFNPAAEMGKVDALSSTANMQFPYHIRVKDEQP >KGN53102 pep chromosome:ASM407v2:4:2132473:2137770:-1 gene:Csa_4G016410 transcript:KGN53102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEISSDYADGFNPKFLSSEKPQSPSRLVDSALQISADHHNFPLIVSNQNPDSEVINSVTSASAQEDPETSVDKMVLCDSACGSSENGGNMGSLVVGKIQNLDLELGKEPLKVDAVHDFGTLDTGEDGKQDVAVDEVDVKDFARSVLSLDGNQDCAKEELVREGQLAADKEAFARTEKLLKKETDSESILEMKKKLLLEKIDAMLVPGDEIHLQEGDNPPSSGGIVDGCKKTMLMGEEKIADQQNNDSETMNVLRRSHLSLRNSLKIEVIDETALVEPVHVSRIGNGEGIGIVCPTRSMQMKVNKSHEPDKGGKKAKKSRRKAREGKLSEMHWNMGNLNEVDKVNGRQENAEGNKIVYSRKDMEALRFVNVAEQKRLWKAICKELLPVVAREYSSLTIKTGSTSDPRQPLVKREEASSIIREGCSESLDGEIEDMGGDDEITNFVISEPSCSLSQDSDDDKYYHSIQRPAFHVEGEPNFDSGPPEDGLEYLRRVRWEASHIPNVTVAKVDRSNFKKEQSVYMPVIPAIAQCPEHLLPSKEWENAFLADFSKLRQALSHSEEECMKSDFILHEKIDPLVPNLIAQPSVLPANDADSHQSKESSGSTSAKEKSCNDYPSLSAISKMNPIFRVSSLRKRINSFETQTTLSRADCLWLFALSAAVDTPLDGDTCAAFRSLLRKCASLRAEKTEIDNEVIMLNILSTISGRYFAQSEN >KGN54880 pep chromosome:ASM407v2:4:18747336:18751253:-1 gene:Csa_4G571760 transcript:KGN54880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKKDKAPPPSSKPAKSGGGKQKKKKWSKGKQKEKVNNMVLFDQGTYDKLVSEAPKFKLITPAIISDRLRINGSLARRAIKDLMARGSIRLISAHSSQQIYTRATNT >KGN54827 pep chromosome:ASM407v2:4:17907330:17909678:-1 gene:Csa_4G508540 transcript:KGN54827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHFSSLLHNFRQFLKTCIAHRDLRTGKSLHALYIKSFVPTSTYLSNHFLLLYSKCRRLSAARRVFDHTHDCNVFSFNTLISAYAKESYVEVAHQLFDEMPQPDSVSYNTLIAAYARRGDTQPAFQLFLEMREAFLDMDGFTLSGIITACGINVGLIRQLHALSVVTGLDSYVSVGNALITSYSKNGFLKEARRIFHWLSEDRDEVSWNSMVVAYMQHREGSKALELYLEMTVRGLIVDIFTLASVLTAFTNVQDLLGGLQFHAKLIKSGYHQNSHVGSGLIDLYSKCGGCMLDCRKVFDEISNPDLVLWNTMISGYSLYEDLSDEALECFRQLQVVGHRPDDCSLVCVISACSNMSSPSQGRQVHGLALKLDIPSNRISVNNALIAMYSKCGNLRDAKTLFDTMPEHNTVSYNSMIAGYAQHGMGFQSLHLFQRMLEMGFTPTNITFISVLAACAHTGRVEDGKIYFNMMKQKFGIEPEAGHFSCMIDLLGRAGKLSEAERLIETIPFDPGFFFWSALLGACRIHGNVELAIKAANRLLQLDPLNAAPYVMLANIYSDNGRLQDAASVRKLMRDRGVKKKPGCSWIEVNRRIHIFVAEDTFHPMIKKIQEYLEEMMRKIKKVGYTPEVRSALVGGDDRVWQREEELRLGHHSEKLAVSFGLMSTREGEPILVFKNLRICVDCHNAIKYISEVVKREITVRDSHRFHCFKDGQCSCGGYW >KGN53949 pep chromosome:ASM407v2:4:9545053:9549140:1 gene:Csa_4G192210 transcript:KGN53949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDSIKAAIGDAVLTSMWIFCASSLGVLTSVLYSAAGVYGIPLHPLLITTTLVFILVFVFNIIGALLGGASFNPTATAAFYAAGVGPTSLFAMAIRFPAQAAGAVAGALAIKEVMPIQYKHMLGGPSLKVDIHSGATAEGVLTFIISFAVLLIVLRGPSSPVIKTWLLAMATVALIVAGSSYTGPSMNPANAFGWAYINNRHDTWEQLYVYWISPFVGAILAAWLFRMIFPPPPPAPAKQKKA >KGN54735 pep chromosome:ASM407v2:4:16622080:16633720:1 gene:Csa_4G438530 transcript:KGN54735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAMPLCKSARAPSLTGLFAGTTNRFRSSQCTFMGANKLSFPSQRASGIKYTRKSLKNGKGLQVVCRAEKILVSNRGEIAVRIIRTAHELGIPCVAVYSTVDKDALHVKLADESVCIGEAPSSLSYLLIPNVLSAAVSRGCTMLHPGYGFLAENASFVQMCREHGINFIGPNPDSIRVMGDKSTARDTMKKAGVPTVPGSDGLLQSTEEAIKLAQEIGYPVMIKATAGGGGRGMRLAKEPDEFVKLLQQAKSEAAAAFGNDGVYLEKYVQNPRHIEFQVLADKYGNVVHFGERDCSIQRRNQKLLEEAPSPALTPELRKAMGDAAVAAAASIGYIGVGTVEFLLDERGSFYFMEMNTRIQVEHPVTEMVFSVDLIEEQIRVAMGEKLRYKQEDIVLRGHSIECRINAEDAFKGFRPGPGRITAYLPSGGPFVRMDSHVYTNYVVPPNYDSLLGKLIVWAPTREKAIERMKRALDDTIITGVPTTIEYHKLILDVEDFKNGKVDTAFIPKHEEELAAPHSIVLARDLSVA >KGN54229 pep chromosome:ASM407v2:4:11757846:11758703:-1 gene:Csa_4G293880 transcript:KGN54229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPKTKSTYPSHFYKTTGLSRLTTDEKLREAFSSFGQLVDAKVVTDRATGRSKGFAFVTYSTIDEAEKAREEMNAKFLDGWVIFVDPAKPREPRPPPQPQSETSEFGIRTNKTIGWCG >KGN54630 pep chromosome:ASM407v2:4:15413955:15420527:-1 gene:Csa_4G410830 transcript:KGN54630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPISSFLLLFAFSLPFVFGNPLDLYSSCSNLFNCGNITNVGFPFWGVGRPPDCGYPALQLACEGSNTTIVIMEIKYQILKYSFQNNFQTLTIARTDYMGTLCPKKFINTTIDYNLFNEIPTYRNITLLYCSSSTVAGQFSCPGYNAGFIQVSPMEFIPCNVNVIVPVSMNFFPPVSDVVNSTEVLKAFNEGFEVRLKEDGGRCRICEQSQGVCGYDLSSNRTTCYCRVGDSIDNGACRNLQAGGAPSSPPGENRSNKTSLIVGLSIGGATVLGLCLGCFVFCTTQRNKKRVMKLKSKDLPSPPSSGGIPTPSTFRSSSIPSYPYSRSNIENGSSYFGAQVFTYAELEEATHNFDRSRELGDGGYGTVYFGTLKDGRTVAVKRLYENNYKRVQQFTNEVEILSKLQHPNLVKLYGCTSRQSQGLLLVYEYISNGTVADHLHGKQANSGLLTWSVRLKIAIETANALAYLHRKDIIHRDVKTNNILLDNNFKVKVADFGLSRLFPINVTHVSTAPQGTPGYVDPEYYQCYQLTDKSDVYSFGVVLVELISSLQAVDVNRNRDDINLSNMAINRIHNQALADLVDPDLGFERDYAVRSMIKSVAELAYRCLQQTRDARPSMDEVVEALRELENEEVAARKAEVLVDLGSDNVRLLRNTSSPQSPESGPVTDKWMKVLNRTT >KGN54076 pep chromosome:ASM407v2:4:10900786:10907043:1 gene:Csa_4G280530 transcript:KGN54076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTSWADLAASSAAENVAAGSANNCSAGTTTAPGRSAYVPPHLRNRTAPADLPAAANSGPAVGNDRSGHAGSRWGGGPRNDYNNRSGYSGGSRGGGWGGSRGGGWDRGRGDREVNPFGDEEDTEEAFGEQENTGINFDAYEDIPVETSGENVPPPVNTFAEIDLGEALNQNIRRCKYVKPTPVQRHAIPISLAGRDLMACAQTGSGKTAAFCFPIISGIMKGQSMPRPARGARTVYPLALILSPTRELSMQIHEEARKFSYQTGVRVVVAYGGAPINLQLRDLERGVDILVATPGRLVDLLERAKVSLQMIRYLALDEADRMLDMGFEPQIRKIVEQMDMPPPGVRQTMLFSATFPREIQRLASDFLDKYIFLAVGRVGSSTDLIAQRVEFVHEADKRSHLLDLLHAQRANGVQGKQSLTLVFVETKKGADALEHWLCLNGFPATTIHGDRTQQEREQALRSFRSGNTPILVATDVAARGLDIPHVAHVVNFDLPNDIDDYVHRIGRTGRAGKTGLATAFFNENNASLARSLADLMSESNQEVPEWLIRFAARSAYTGGRNRRSGGGRFGSRDYRRESSFNRGGSDYYGGGNNNSGGGYGGGSGGYGGGGGGGGGGYSGSGVTSAWD >KGN52984 pep chromosome:ASM407v2:4:1447671:1453004:-1 gene:Csa_4G009360 transcript:KGN52984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNQSVLIKDTVYKLQLALYEGIKNENQLFAAGSLMSRSDYEDVVTERSIADLCGYPLCHSNLPSDNTRRGRYRISLKEHKVYDLEETYKYCSSACLINSRAFSGRLQDERCSVMNPDKLKEILKLFENMSLDSKENMGNNCDSGLEIQEKIESNIGEVPIEEWMGPSNAIEGYVPHRDHKVMTLHSKDGKESKDGSKAKIKPLGGGKDFFSDFSITSTIITDEEYSVSKISSGLKEMALDTNSKNQTGEFCGKESNDQFAILETPHAPAPPKNSVGRKARGSKERTKVSATKESTDNLSDAPSTSKNRSTNFNLMTEEPRGGFNDLSGTELKSSLKKPGKKNLCRSVTWADEKTDDASIMNLPEVGEMGKTKECSRTTSNLVNFDNDNEDILRVESAEACAMALSQAAEAITSGQSEVSDAVSEAGIIILPHPSDANEEASTDPVNASEPHSFSEKSNKLGVLRSDLFDPSDSWYDAPPEGFSLTLSSFATMWMAIFAWVTSSSLAYIYGKDDKFHEEFLYIDGKEYPSKIVSADGRSSEIKQTLAGCLTRAIPGLASELNLSTPISRLENGMAHLLDTMTFLDALPAFRMKQWQVIVLLFIEALSVSRIPSLASHMSSSRNLYHKVLDRAQIRSDEYEIMRDHILPLGRTAQLSDENDA >KGN53547 pep chromosome:ASM407v2:4:5424024:5424649:1 gene:Csa_4G081250 transcript:KGN53547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKFAGKDAFHLRVRVHPFHVLRINKMLSCAGADRLQTGMRGAFGKPQGTCARVDIGQVLLSVRCKDNNGQNAQEALRRAKFKFPGRQKIIVSRKWGFTKFSRADYLKYKAENKILPDGVNAKLLGCHGPLANRQPGRAFLSQNA >KGN54452 pep chromosome:ASM407v2:4:13529290:13529614:1 gene:Csa_4G334140 transcript:KGN54452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGRSTDKGRCDSLSRYARPIDFNGESSLNFCPDSVFFLLLKRKLGKKWYDAAKAKSSKEVDHEVEFRLGKRVWKFGL >KGN55023 pep chromosome:ASM407v2:4:19997673:20001567:-1 gene:Csa_4G622820 transcript:KGN55023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEKKIHTLRETLEEYSAAVKDVTTAIIGGIEKALGIKEGEMSELFKDGNQSMRINYYPRCPEPEKVIGLTPHSDSVGLTILLQINEVEGLKIKKEGNWITVMPLPNAFIVNIGDILEMVTNGKYKSIEHCATVNSKSERLSIATFYTPSLEKEIRPTPSLITPHSPPLFRTLTYQEYVKGLFSRTLDGKTYLDAMRIHPPST >KGN53272 pep chromosome:ASM407v2:4:3387444:3389308:1 gene:Csa_4G043880 transcript:KGN53272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLYLPLFPLLMALLLSVQSKTYWEDTQVLKQLKNALDPTSISSGSCVDSWDFSLDPCDSLFSQKFTCGFRCDAVVSGVSRVTELNLDQAGYSGSLSSVFWNLPFLQTLDLSNNFFSGSIPDSFSNLTRLRSLSLSTNMFSGEVPPSIGSLSALEELYLNGNGFNGSVPASFVGLVSLQRLELQSNGFTGVFPDLGVLKNLYYLDGSDNGFSGELPAVLPPSLVQLSMRNNSFEGVVPSSIRDLVNLQVVDLSHNRFSGSVPAVLFEHPSLEQLTLSFNQFSAMETPVSDGIRSGLIAVDLSDNEITGFLPPFLALMPKLSALSLENNNFTGMIPILYAFKTTAPEPGISPFVRLLLGGNYLSGPIPEPLRRMKADSATVRLAGNCLFWCPTLFFFCQGGEQKSPVECRSAGPIIP >KGN55080 pep chromosome:ASM407v2:4:20390870:20396195:1 gene:Csa_4G627790 transcript:KGN55080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMDLKHKGISWVGNMFQKFEAVCLEVDNIINQDKVKYVENQVSSASANVKRLYSEVVQGVLPPKGDPMTYEAKALAQRGHVPINAYFRSPSHNEGKAASNVVNKSSVGHGTSTTDQIDNRSQAYCQVPFVNEEVAQVPNHLSLELNADLPLKKNDDVFLDKGSPESMKENTVGELLSKNNDGSCTDKLTLMESDASDPLKHSLSNVNTDINDIKKRASSVCEGFDMQLEDDVLLVGSNDGVVTNKDESKSFKENTVNELLSEKNDGSLTDKLSLMESDASDPLSHSLNNVSTGINDVNRRASVVYDRFDLQLEDDVFLVGNNAGVLTDKDESTSSEENIYELLSEKNDGSLRDKLTLMESTATDPLSHSLSIVSTEINDSNKKASLVCDDFDMQLEDDVLLVENNDGVLTDKDESKSSEEDSSMKFNASDPLKHMANCTPCEVKVTNDEAILILDNSHLPVESSNLSWKNEGNLSNESSEFLKKSVTMESNTADHLNENHLNHVWSGTNFVGKEADDSNFLLKSVVPSGRMDHVMMDKDFNKSSLKGAIFEDDPRSHLLNLPRHANGISFTNEEAIMVFDRNHLQLETEILARKNDDTLTVKHSNESLIKDTILELEHDAIYPLKNQPRCTSNSTEYKIEEVSSVSNDSFRKLNSGVILGKNVKALTDKASDVSCKEQANLELSTELTLHCGEESIKESLCSYGNECEGDIVTLNGSLQETSIHCADVESIHNVEQASSFLVNNLLGFSQTKETTSKYLENGIGYSSNAVDATSSERASIVLTSGETVEETKPVSSLKPLAKGSFSAFRSSVSNLSSGTVVHEKPVEHNAHTECRSRSSFPVFNNPSYGNNASNMKLASSRSSLSSMESLVGTHASRANDTTFLPKFCTGRQGDISKSTSSRNPSFSTEGCPHDSNDYILDAELETVDLGHKVSHEDKCDLDYKALHAISRRTQKLRSYKKRIQDAFTSKKRLAKEYEQLAIWYGDTDMEFSTNSPQKLEKENPSTNYLSDSEWELL >KGN55519 pep chromosome:ASM407v2:4:23089366:23093531:-1 gene:Csa_4G664270 transcript:KGN55519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGIDFSPPFTLLEGGGYSKDNVSPTDDESSDSFNSLKQMSSGKPPRHLSVMRHSVSSLKLIGQADLSLDAETLGNKSPDGKAGFLPVFRSGSCSERGPKQYMEDEHICIDDLVEHIHVCEDFTSPGAFYGVFDGHGGTDAAAFVRKNILRFIVEDSCFPISVEKAIKSAFLKADYAFADASSLDISSGTTALTAFIFGRTMIIANAGDCRAVLGRRGKAIEVSKDHKPNCASEKLRIEKLGGVIYDGYLNGQLSVARAIGDWHMKGAKGSACPLSAEPELQELNLTEEDEFLIMGCDGLWDVMSSQYAVTMARKELMLHNDPERCSRELVREALKRNTCDNLTVIVVCFSADPPPRIEIPPTRVRRSISLEGLNLLKGVLDCNS >KGN52934 pep chromosome:ASM407v2:4:1113075:1115564:1 gene:Csa_4G006410 transcript:KGN52934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGVSLNTEPVGDEEGDYFEIEGDSVIADYVDQSGIFEGENPLPPAVGMEFESYEDVYYFYSCYAKEQGFGVRVSNTWYRKSKEKYRGKLSCSSAGFKKKSEANRPRPETRTGCPAMIKFRLMDTRRWRIIEVELDHNHLINPTSGKFYKSHKNLGVGTKRLLQLDSVEEVQKVRLFQTVVIDADHNGYLDVDEGEFGNRVDNSNQLKLNKGDALAIEDFLCQAQLTDSNFFYVLDLNEKGSLRNLFWVSSRSRAAYTYFSDVVYMDTSCLANKYQVPLVSIIGVNHHGQCVLFGCGLLAMETVESYIWLFRAWLTSVLGRPPQVIIADQHEELQIALTDVFPRASHCISLSDIMRKVPQKLRGMLEYETIEIAIFRAVYHSLKPEQFDALWEGMIQQHGLGGHKWLQELYEHRRQWVPVFMKDTFLAGVLSIVSGDVVPFFFQEYLGEHTSLKQFFEQYDQAIQSHHQLEVLADEDSKNSSLMLESRCYFEAQLCKLYTNEILEKFEREVEGMYCCFNRRKLNAEAPLMTYIVKEHVEMEGSRRDAREFEVSYNESDMEVQCNCGLFNSKGYLCRHALSVLTQNGIKEIPAQYILQRWRKDVKRNYILDYSYTTSIDTNSQIHRYDHIYRSIVQVIEEGRKSKEKYGIAVQGIKDILSKLRLGTDTSS >KGN55495 pep chromosome:ASM407v2:4:22957234:22960208:-1 gene:Csa_4G658550 transcript:KGN55495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPEKERDKYSLIVPTYNERINIALLVYLIFKHLPDVDFEIIVVDDGSPDGTQEVVKQLQGLYGEDRILLRARPRKLGLGTAYCHGLKHATGNYVVIMDADLSHHPKYLPSFIQKQLQTGADIVTGTRYVKGGGVHGWNLMRKLTSRGANVLAQTLLWPGVSDLTGSFRLYKKSVLEDIITSVVSKGYVFQMEMIVRATRKGYHIEEVPITFVDRVFGTSKLGGSEIVEYLKGLLYLLVTT >KGN53351 pep chromosome:ASM407v2:4:3909301:3910732:1 gene:Csa_4G049100 transcript:KGN53351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPATEYPGSFLGRISIRRNQVISMDGAHEQELEDLELFQKHVSERFSDLLPPPPSDDISSDAILSIAWLRKLLDEFLCCEAQFKALLIMGRDPSQIVKPPLDRLVPEFLDRVVKALDICNAVLHGIESVRQFQKLAEIAISALEQRPIGDGQVKRARRALNSLITSMAVEDKDFTNSKSTERAWSFGRRGGGATGTGTGTATPKYKDRIAGQFRSLSWSMAKGWSAAKQIQAMSSNLAAPRGGESSSLPQTVYLMSTVLLFVMWTLVAALPCQERGGLPTNFPVSKQMSWAQSMIGLQEKIAEEWKKKEKKGSAGLLEEMQRMEKLSQSLMEFTESFTFPLETEKAEEVAAQVAELSETCKKLEEGLVPLQQQIREVFHRVVRSRTEIVELLEYTAKASSPIV >KGN54223 pep chromosome:ASM407v2:4:11710386:11711034:1 gene:Csa_4G293330 transcript:KGN54223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKRIREQFEASDIAKSLTLLSYGIQESSDLKFQPQNAAVFECKTCNRQFPSFQALGGHRASHKRPRTSVEEPKDTADLKQKIHECGLCGQEFSSGQALGGHMRRHRVPPLAVAVAEKIPVLKRSGSTRVMCLDLDLNLTPLENDLKLLFGKMAPAVNLVL >KGN55372 pep chromosome:ASM407v2:4:22152233:22153950:1 gene:Csa_4G647450 transcript:KGN55372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDVDISNPSKVVPRSSPPKESENGGQFVVELSGVENGYSVQEQNKVNSQTNELIDSSISYGNDSQLANKPQNISSSNGNLILRRAILSKAKSRFGVQPVYTDSNMFEEENYPSSREQIGETSSRNFTHNTQKATPEKKDEKHKKVKVKTVIKWIGVFCIISCLVASLTVNPLKNRFLWGLKVWKWCLLATVILCGLLFTRWVMNVVVFLIERNFLLKKKVLYFVHGLKKSVQVTLWLTLVLATWESLFDRRNHMVSNSGINAKVLDLVTWTLVSLLIGAFLWLIKTLLLKIVASKFHMNRFFDRIQESIFHHHILQTLLMARTQEDESFAEFRCCRFSFESKKSDCQKAINIEKILQLKREKVSAWKMKTLVDAVTSSEMSISKTLDESYRNADDGEITDEMKVAKQTAKKIFKNVAPGKKFIEEKDLLKFMIDEAEVNLLWPHFEVDKTKKIDMKGLTNWVVRPCIYYLSSVILSHQAT >KGN55302 pep chromosome:ASM407v2:4:21755722:21757219:-1 gene:Csa_4G645280 transcript:KGN55302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEFSISDPTLWREALSTYSSQIEALGKPNLVSLDDFYRNELPLILHKRNPSPYITTSELSKLMQWKLTRGKWRPRLLDFVSSLDESLVKLASQKAFQCLPDISKAVSELTPLKGVGPATASAVLAAYAPDVAPFMSDEAMEAALGNSKDYSLKQYLLFANKLEQKAKELSLEGEIFTPSDVERALWSRAIGEKLKGSKSQLDPNNGGKTGTKRKRKTSK >KGN54639 pep chromosome:ASM407v2:4:15504192:15506460:1 gene:Csa_4G414390 transcript:KGN54639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQDGQVVVVMVPLAAQGHLNQLLHLSRLISARNIPVHYIGATNHNRQAKLRIHGWDPSALANLHFHDFSIPPIPCPPPDPAAETKFPAHLIPSFQTAAIHLRGPLENLLHSLSPHARRIVVIHDSLMASTVEDIDSIPNAESYNFHSVSAFAMAIYELEQEDQQTKGMETSIIKDLDIPSLDGCFTQEFWEFVELQFGVPRKFSGNLYNTCKTIEEPYLEILQRINHETKHWAIGPFNPLELSSSSHNIHPCLEWLDQQEANSVVYVSFGTTTALEDEQIAEIARGLERSEQKFIWVLRDADKGDIFNGEVRKSELPEGFEKRVKTEGKGLVVRDWAPQLAILSHGSTGGFVSHCGWNSCMEAITMGVPMVAWPMHSDQPRNSVLMTEVLRVGLLIREWSQRDKLVMATTIENAVRKLMASEEGHGMRKTVEELAVVMRQSVEENGVSREEFDSFISHITRDV >KGN55441 pep chromosome:ASM407v2:4:22638178:22643702:1 gene:Csa_4G652050 transcript:KGN55441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRRVSKLTRSALAAIDAPKLPHSRFLLSRSRSSSSSLDNFIAPLSVAKIFGSRLVDGSSMASAKYLATIFTRNFHSTLPSRYSATASSSQINQTDFTEMAWEGIVGAVDTARANKQQVVESEHLMKALLEQKDGLARRIFSKAGLDNSSVLQATVDFIAQQPKVTGETSGPIIGTHLGLILDNARKHKKEMGDDFLSVEHFVLAFHSDKRFGQQLFKNLQLSEKDLKDAVQAVRGNQRVTDQNPEGKYEALDKYGSDLTELARRGKLDPVIGRDDEIRRCIQILSRRTKNNPVIIGEPGVGKTAIAEGLAQRIVRGDVPEPLLNRKLISLDMGSLVAGAKYRGDFEERLKAVLKEVTASNGQIILFIDEIHTVVGAGATGGAMDAGNLLKPMLGRGELRCIGATTLKEYRKYIEKDPALERRFQQVFCGEPSVEDTISILRGLRERYELHHGVKISDSALVSAAVLAGRYITERFLPDKAIDLVDEAAAKLKMEITSKPTELDEIDRAVLKLEMEKLSLKNDTDKASKERLSKLEQDLSSLKQKQKELNEQWDREKSFMNCIRSIKEEIDRVNLEMEAAEREFDLNRAAELKYGTLISLRRQLEEAEKNLEDFRKSGISLLREEVTDLDIAEIVSKWTGIPLSNLQQSERDKLVLLEQVLHQRVVGQDIAVKSVADAIRRSRAGLSDPNRPIASFMFMGPTGVGKTELAKALAGYLFNTENALVRIDMSEYMEKHAVSRLVGAPPGYVGYEEGGQLTEVVRRRPYSVVLFDEIEKAHHDVFNILLQLLDDGRITDSQGRTVSFTNCVLIMTSNIGSHYILETLSNTKDSKDAVYELMKKQVVGLARQTFRPEFMNRIDEYIVFQPLDATQISKIVELQIKRLSDRLKQKNINLHYTNEALELLGTLGFDPNYGARPVKRVIQQLVENEIAMRVLKGDFQEDDSIILDIDRSSSAKDLPPQKRLCIKKANNDTTSEAMVAND >KGN54493 pep chromosome:ASM407v2:4:14007733:14009096:-1 gene:Csa_4G338960 transcript:KGN54493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVSYHSVHQPRCGVCLKHCKSFESLREHLMGPLSKSNCSKIFSEQGCGLCLRVLDGPESLSDHQDICCITAPVHQGTSLPPTDLSDCYEEDRSDRGLGAIAIDCVMAGGGSDGALDICVWICLVDEDEKLIFNTFVQPQIPITNYRHEVTGLKEEHMRYAMPLKNVQEKVLKLLLNGESIGRLRLNGGKAKLLVGHDLEHDLDCLRLNYPDHMLRDTARYHPLMKTNLVSHSLKYLTRAYLG >KGN55046 pep chromosome:ASM407v2:4:20172718:20175737:-1 gene:Csa_4G625010 transcript:KGN55046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRFRPLHSQIQLFNSQSTISLQFHLLPSTSRSFSLNIGERIAPEIVPGNRNTPPPFLCREAANSDGSVKSQEGKGRNEETVLKGKVGSEGNKKLTREDALLRKQLSPDMEMFVRHLYQEGYFNYANFLPDNKFVLSYFECRHGRDFIKSAAQRFGRDNQEIAKWLSGSDLRKVAFFGCPSTARKDVFAAKRLRKFFRIQEDTVCHKCILRQSCKYVNQGVWNEDTKNLNLGVVLQVTTQYALEAIPEQLIIPEDVKASVSRLLKEILNLSPTVSRN >KGN55096 pep chromosome:ASM407v2:4:20504716:20507675:-1 gene:Csa_4G628930 transcript:KGN55096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLRASLLKFSSFYSIPCHPLLPVSLSSSICSSPRTPFSIRCHSAFPLSHEPSSSASSEFPDVADNRWRPTCLYFTHGRCTMMDDPLHIKKFNHDISRELQVDVARSNHMRTQDFDYFLVLDLEGKVEIIEFPVILVNAKTMGVTGFFHRFVRPSRMSEQRINEYIEGKYGKFGVDRVWHDTAILYKDVIQQFEDWLVQHQLWRKELGGVLDRAAFVTCGNWDIKTQVPHQCSVSKMKLPDYFMEWINIKDVYLNFYTKKATGMATMMRQLEMPLVGSHHLGIDDTKNIVRVLQRMVGDGAHLQVTARRNPKSPQHVKFLFENRI >KGN55058 pep chromosome:ASM407v2:4:20250181:20250463:1 gene:Csa_4G626600 transcript:KGN55058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTTHTPKHVVPLVLVLQLTFLLMSTSFAQLSVSFYSNTCPKLLSVIRSGVQSAITKEARIGASLLRLHFHDCFVNVYI >KGN55326 pep chromosome:ASM407v2:4:21903224:21905784:-1 gene:Csa_4G646000 transcript:KGN55326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPQAGYDVELISSTESIKHEFWPLDPIDPGQAKFPCCLVWTPLPVVSWLAPFIGHVGICREDGVTLDFAGSNFVNINDLAFGSVARYHQLNREKCCFPTSLAAHKCKQGYQHSQYGTAITWDDGLQSSTRYFEHKSYNLFTCNCHSFIANCLNRLCYDGSMSWNMINVAALILFKGHWVDGMSIVRSFLPFVVVVCLGIAMVGWPFLIGLLSLSLLLVGWFVLGTYCIKSLLEC >KGN54951 pep chromosome:ASM407v2:4:19504136:19509083:1 gene:Csa_4G615230 transcript:KGN54951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVHLCSVSSLSIPPLNRTGIFTVRALGSKDFGTLTSQEEKVKLGGSELKVSRLGIGAWSWGDNSYWNDFGWNDVMTKAAKAAFNASVDSGITFIDTAEVYGSSLALGAINSETLLGRYIKEKKAQDPGVEVEVATKFAALPWRFGRQSVTSALKDSLSRLGLASVELYQLHWPGVWGNEGYIDGLGDAVEQGLVKAVGVSNYSEKRLREAYEQLKKRGIPLASNQVNYSLIYRLPEENGVKTACDELGITLIAYSPIAQGVLTGKYTPENPPTGPRGRIYTPEFLAKLQPLLNRIRDIGQNYNKTPTQVALNWLIAQENVVPIPGAKTAEQAIEFAGALGWRISNEEINELRSLAKEIKPVVGFPVEKL >KGN53067 pep chromosome:ASM407v2:4:1976950:1977542:-1 gene:Csa_4G014590 transcript:KGN53067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHDECKGSFELIVYFLFTLCSGCNLVLQCCNSYEYCVSCCQNPSRTKREQILKIKNAKPATAGRRNYSVIARIHSNGFSSEVERTRRMIICSINSSEVTHELREVLFRQSPQSDWDHRLAEAELRRTTLVAR >KGN55472 pep chromosome:ASM407v2:4:22831836:22833149:1 gene:Csa_4G652840 transcript:KGN55472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEEGDGSMKSIIKDEENAVGYHSNNVKKVRLNSTLAALLDDPILADVPKNPTLSHVDTLISLELGSAMRISVLKLDGTAIDVVIMNSATLKDLKLAIKKKVNEMEQSKMGHRHISWKHVWANFCLAHLNEKLLDDSSVLQDFGIRNNSQVRFIPYVMSKSSRTHSRRRKHRFFHGLNKCA >KGN53839 pep chromosome:ASM407v2:4:8492771:8506745:-1 gene:Csa_4G164350 transcript:KGN53839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPTKVMDPAFQGVGQRVGTEIWRIENFQPVPLPKSDLGKFYMGDSYIVLQTSQNKSSSFLFDIHFWIGRDTSQDEAGTAAIKSVELDASLGGRAVQHRELQGHESDKFLSYFKPCIIPLEGGVASGFKKVEEEEFETRLYTCKGKRVVRMKQVPFARSSLNHDDVFILDTENKIYQFNGANSNIQERAKALEVVQFLKEKYHQGVCDVAVVDDGKLDTESDSGEFWVLFGGFAPIGKKVSTEDDVIAEAMPAKLYSIADGEVSIIEDELSKSLLENNKCYLLDCGSEVFVWVGRITQVEERKTAIQVAEEFVANQNRPRSTHITRLIQGYETHSFKSHFGSWPAGSAASGNEEGRGKVAALLKQQGVGIKGMSKNTQANEEVPPLLEGGGKLEVWCINEDTKTPVPSEDVGKFYSGDCYIILYAYHSGERKEDYILYTWYGKDSIEEDQMTADRIASSMSNSLKGKPVQGRIFQGKEPPQFVALFQPMVVLKGGVSAGYKKFIADKDLEDETYSVDNVALIKVSGTSVHNNKAVQVDAVATSLDSSHSFVLQSGSSLFTWHGNQCAFELQQSAAKVAEFLKPGVTLKHAKEGTESSAFWSALGGKQNYVSKKAAPDIVRDPHLYTISSNKGRFQVEEVYNFSQDDLLTEDILILDTHAEVFVWIGQMVDTKEKPKAFEIGQSYIEMAVSLEGLSPKVPLYKVNEGTEPSFFTTYFSWDNTKAFAQGNSFQKKISLLFGIGHAVEDKTNASGQGGPRQRSEALAALNSAFNSSSGSKTTSTRPSGRSQGGGSQRAAAVAALSSVLTAEKKQGSDSPPAPNSRSPTSDDMGKGDEESFQTEKEDTKEDEDKETGNFSPSFENDGGDSTPKQGGGQDFDAETIDSTFSYDQLKARSDNPVTGIDFKRREAYLSMEEFETVFGMAKEAFYKLPKWKQDMQKKKVDLF >KGN54196 pep chromosome:ASM407v2:4:11568030:11573689:-1 gene:Csa_4G293060 transcript:KGN54196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIIHNGLQISSKLDSPWPKVSSHLHFHPRAWVEAVHNHVPQFSPVILNCRPSPRSLVRCSSRIEREGDPSTAEANRTSSVRGTIEGSESKVSSIRNVALCVATAVAFGIGVGLKDGVGKASEFFAGYLLEQSLSVDNLFVFVLIFKYFKVPTEYQNRVLTYGISGAIVFRLTIILLGAATLQRFEAVNLLLAAILLFSSFQLFASNEEDDGDLSDNFVVKTCQQLIPVTATYDGDRFFTLQEGVKKATPLLLTIAVIELSDIAFAVDSIPAVFGVTRDPFIVITSNFFAILGLRSLFILVADGMSELEYLQPSIGVVLAFIGSKMILDFFGFHVPTEASLGFVAISLSTGVLLSLLKKAD >KGN54342 pep chromosome:ASM407v2:4:12485535:12488288:1 gene:Csa_4G307350 transcript:KGN54342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAATPSPCHVSSPVISRSLSRLSPFHLSLSSVVHPPCRGNRRHSGSSVSSTVRCAAVGAAKEAETKRKGTFQIETLTNWLLKQEQAGVIDAELTIVLSSISMACKQIASLVQRASISNLTGVQGAVNVQGEDQKKLDVVSNEVFSNCLRSSGRTGIIASEEEDVPVAVEESYSGNYIVVFDPLDGSSNIDAAVSTGSIFGIYSPNDECLADIGDDSTLGTTEQRCVVNVCQPGSNLLAAGYCMYSSSIIFVLTIGQGVFAFTLDPMYGEFVLTQEDIKIPKAGKIYAFNEGNYQLWDDKLKKYIDDLKDPGPSGKPYSARYIGSLVGDFHRTLLYGGIYGYPRDKKSKNGKLRLLYECAPMSFIVEQAGGKGSDGHQRILDIQPTEIHQRVPLYIGSVEEVEKVEKYLA >KGN54899 pep chromosome:ASM407v2:4:18962074:18964883:-1 gene:Csa_4G578870 transcript:KGN54899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVTAGVSDTVIAVRDKLRGKIGQTKVKRYWPGKAPEWADDADEDGDIRMARAAALEKAFPRQEDSDISRKDDPRLRRLAESRIDNREEIRADHRRIRQAEIVSTIEEETRRQEGLDAEEEDEDALEERRRRIKEKLRQRELEEAAFPEEEEEEEPEEEEEEESEYETDSEDEPTGIAMVKPIFVPKSERETIAERERIEEEERSLEELRKRRLEERKAETKHIVVEEIRKDEEIQKNLEMEANIADVDTDDEINEAEEYEAWKVREIARIKRDRELRDAMLKEREEIEKVRNMTEEERREWERKNPKPAPPPKQKWKFMQKYYHKGAFFQEDADDNAGTAGSDNIFHRDFSSPTGEDKMDKTILPKVMQVKHFGRSGRTKWTHLVNEDTTDWNNPWTYNDPLRAKYNAKMAGMNAPITKPKGSKKLKDWESR >KGN52736 pep chromosome:ASM407v2:4:44912:49999:1 gene:Csa_4G000570 transcript:KGN52736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALQILKSSLSLPSISHSNFGSQSTRFVSDFDSLLLHGRRRSLRYACVYRRFTFRCAAKDTDKETNGEEPPESLFMKELKKRGITPTSLLEDTNSSDFELGGEMTGENRDFSRRSAVSTEVNKSLSNQRERSMQLNSEGLEGLIPRAKLLLTIGGTFFLGFWPLIIITVSFFFGLYFFLGASFIHDGQTPISPPPYVDPYALLEDERISQIAPPVN >KGN53117 pep chromosome:ASM407v2:4:2223050:2227810:-1 gene:Csa_4G017050 transcript:KGN53117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIHRGHPSWGRPWPQFVMAFAILLLSANVDFVAGNAYVYASPPPPPYEYKSPPPPPTPTYSSPPPPYSAPEYKSPPPPVYEYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPVYSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPTYSPPPVYYYKSPPPPTYSPPYSPPYYKSPPPPVYYSPPPKPYTPPYYPPHHHHLVFKVVGKVYCIRCYDWAYPEKSHDKKHLKGAVVEVSCKAGKKEVVAYGKTKSNGKYSIEVKGFDYAKYGGKACKAKLHAPPKGSMCNIPTNLHWGKVGAKLRVKSKTKYEVVLYAKPFAYAPKKPYKECMKPKPYYPPPYVYKSPPPPTPVYYYKSPPPPSPTYYYKSPPPPSPTYYYKSPPPPSPTYYYKSPPPPSPTYYYKSPPPPSPTYYYKSPPPPSPTYYYKSPPPPSPTYYYKSPPPPSPTYYYKSPPPPSPTYYYKSPPPPSPTYYYKSPPPPSPTYYYKSPPPPSPTYYYKSPPPPSPTYYYKSPPPPSPTYYYKSPPPPSPTYYYKSPPPPSPIYKSPPPPVYSPPPPYYYKSPPPPVYSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPEKALPPVYIYASPPPPPHY >KGN55405 pep chromosome:ASM407v2:4:22404086:22408357:-1 gene:Csa_4G651230 transcript:KGN55405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYLNSVLSCSSQIHAAEEAPVSGGGLSQNGKFSYGYASSPGKRSSMEDFYETRIDGVEGEIVGLFGVFDGHGGARAAEYVKHNLFSNLISHPKFISDTKSAIADAYNHTDTEFLKSENNQNRDAGSTASTAILVGDRLLVANVGDSRAVICRSGTAIAVSRDHKPDQTDERRRIEDAGGFVMWAGTWRVGGVLAVSRAFGDRLLKQYVVADPEIQEEKIDSSLEFLILASDGLWDVVSNEEAVAMTKPIQDPEEAAKRLMQEAYQRGSADNITCVVVRFLANQGSPSRGTTG >KGN52765 pep chromosome:ASM407v2:4:194030:194417:-1 gene:Csa_4G000840 transcript:KGN52765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLIPYLFHAMKKQKPRHSYRSQSVGSSRSYHLLITANDESSHRRTRSDYQPPTFEFLDQRSTRELTHSRSVNKVAFGSSTRPSNGFESNFYSYTGQVSNRPAYNYGNPSR >KGN55230 pep chromosome:ASM407v2:4:21394713:21395348:1 gene:Csa_4G641630 transcript:KGN55230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLQVTYGSQCKSPLPVLERQDSYYTAPRLDSTAPPEADGTQRPILYNLLPYGARPPPSPTQPNESAAALWSQFLPYGSPPPTTSAYNTTTAPPAGYPIHSPPPPGSSFPGIYPPPSR >KGN52833 pep chromosome:ASM407v2:4:568445:572777:1 gene:Csa_4G002500 transcript:KGN52833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNRASSPSSSPVKITISSGSKAGNGIISMGLTSPISRASISNNPNSPLSGRGNRASSGGNRRSSGGKFVSMSKDEAVEESNSEFVTYTVHIPPTPDHQSISDSQTSLPEDNANMGKSQRSFISGTIFTGGFNSVTRGHVIESLANPTEQMKLGLVCGMKGCDEALEGKTMVPCDCGFSICRDCYLECVGNGGGRCPGCKEGYTSVSDDEAEDQALPLPSMADAKLDKRLSLVKSFKAQNHPPDFDHARWLFETKGTYGYGNAVWPKDGYGFGSGANGFDHPPDFGEKSRRPLTRKVSVSAAILSPYRLLIIIRLVALGFFLTWRVRHPNHEALWLWGMSITCELWFGLSWLLDQLPKLCPVNRATDLSVLKDRFESPNLRNPKGRSDLPGIDVFVSTADPEKEPPLVTANTILSILAVDYPVEKLACYLSDDGGSLLTFEALAETASFARIWVPFCRKHGIEPRNPEAYFGQKRDFLKNKVRLDFVRERRKVKREYDEFKVRINSLPESIRRRSDAYNAHEEVRAKMKQREMGGNPSEEIKISKATWMSDGSYWPGTWVLGEADHSRGDHAGIIQAMLAPSNTEPVYGSIADGKNLIDTTDVDIRLPMLVYVSREKRPGYDHNKKAGAMNALVRTSAIMSNGPFILNLDCDHYIYNSLALREGMCFMLDRGGDRICYVQFPQRFEGIDPNDRYANHNTVFFDVSMRALDGLQGPMYVGTGCIFRRTALYGFSPPRATEHHGWFGTQKTKLLLRKSRVSKKEDDEMAVPINQRGQFIVQSLSVTFLIFLLAITITLCLLAILEIKWSGITIHDWWRNEQFWLIGGTSAHPAAVLQGLLKVIAGVDISFTLTSKSATPEDGDDEFADLYVVKWSFLMIPPITIMLVNMIAIAVGVARTLYSPFPEWSKLVGGVFFSFWVLCHLYPFAKGLMGRRGRVPTIVFVWSGLLSIIISLLWVYISPPPGVQDHMKFQFP >KGN53412 pep chromosome:ASM407v2:4:4388106:4392604:1 gene:Csa_4G052620 transcript:KGN53412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMAKSILVTGGAGYIGSHTVLQLLLGGYRAVIVDNLDNSSEIAVRRVKELAGDLGKNLSFHKLDLRDKRALEKVFASTPFEAVIHFAGLKAVGESVQKPLLYYDNNLVGTITLLEVMAAHGCKKLVFSSSATVYGWPKEVPCTEEFPLSAANPYGRTKLLIEEICRDIYRSDSEWKIILLRYFNPVGAHPSGYIGEDPRGIPNNLMPFVQQVAVGRRPALTVYGTDYSTKDGTGVRDYIHVVDLADGHIAALRKLDAAGIGCEVYNLGTGKGTSVLEMVSAFEKASGKKIPLVTSARRPGDAEIVYASTYKAEKELNWKAKYGIDDMCKDQWNWASKNPYGYEPPKSN >KGN54059 pep chromosome:ASM407v2:4:10777323:10778497:-1 gene:Csa_4G279870 transcript:KGN54059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPIEVDECRGVLRVYSDGSIVRSSNPSFSVPVLDDGSVLWKDLLFDPIHNLHLRLYKPAHISSPKLPVFFYIHGGGFCIGSRTWPNCQNYCFRLASELSALVISPDYRLAPENRLPAAIDDGFAALRWLQAQAESDHPDPWLAEVADFSTVFISGDSAGGNIAHHLAVGLGVGSPELAPVQVRGYVLLGPFFGGTVRTRSEAEGSKEAFLNLELIDRFWRLSIPIGSNTDHPLVNVFGPRSLNLEAVEMDPIVVVVAGADLLKDRAVEYVEELKKQGKKIDLVEFEEKQHGFFTIDPNSEASNQLMLLINHFVAQHSL >KGN55283 pep chromosome:ASM407v2:4:21647525:21650494:-1 gene:Csa_4G643620 transcript:KGN55283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISPLTTNHLDPMVLSFRLSLSILLHLHPLFSASAYAHWTVNSLPGFSGDLPFSLETGYVGVGDREEFQLFYYFVKTYSNPKTDPLILWLTGGPRCSSLSGLAFESGPINFEGELKEGSLPQVLINPYSWTQNSSIIYLDLPVGTGFSYTKTSQDHKSGDHEQVQHSLQFLKKWFDDHPEFISNPFYIAGNSYSGMIVPIVALQILEGTYKHIFSFINFQGYILGNPFTIPHASENFRILFARNMALISDELYESLETSCQGEYVNIDPNNVECLKHYDTYTKCASVVKQGCILWPKCPSLKEPQTRFGQRRSLKSSLVGQRCRQYDAILAYYWANNDQVRKALHIHEGSIGEWIRCRGKEYYNFELTSAFPYHVNLSSKGYRSLIYSGDHDMVVPHMETHAWIKALNYSVVDDWRPWFIDDEVGGYTRSFANNMTFVTVKGGGHTPEYLREESSIVFKRWIIGESL >KGN54795 pep chromosome:ASM407v2:4:17446826:17447147:-1 gene:Csa_4G499320 transcript:KGN54795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLCATVSVQLFLICSGLLAPMMLGLLMFGPSPPLLDSWIRTIDPSSTFTVKSLMDDLVGSIDFHVKEFYSAI >KGN55532 pep chromosome:ASM407v2:4:23127408:23128821:1 gene:Csa_4G664390 transcript:KGN55532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALMKGEPLLRKLAVFAGYIVLPGAMAAALIYSPPDYIYSKKNEKDDKSSK >KGN53560 pep chromosome:ASM407v2:4:5506883:5508357:-1 gene:Csa_4G082370 transcript:KGN53560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHPFFTPLLLSFFFFISLSLALVPSNQTIKFVNQGDFGEFSVEYEATYRPLPISNSPFQLMFYNTTPNAYTLAIRMAIRRSESTIRWVWEANRGRPVRENATLSLGTDGNLVLAQSDGTLIWQSNTANKGVVRLKMLPNGNMVLLDSNGKFVWQSFDSPTDSLLVGQSLRLGGVTKLVSRASAKLNVNGPYSFVMERNAMSLYYKSPNSPKPMRYFAGFSNWFTVEKGTLTRVTLRAEVDPRQGFATELTLNYEVAGTENGGPILSRPKYNSTLTFLRLGIDGNLRLFTYNDKVDWSPSEITFTLFDREFNTGNTESECQWPERCGQFGLCEENQCVACPTEKGLLGWSKTCMAKKVSSCDPKSFHYYKVEGVDHFLTKYNKGEGLRQKDCEKKCNLDCKCLGYFYQTKGSLCWVANELKTLIKVDNSTHLGFIKTPNM >KGN53906 pep chromosome:ASM407v2:4:9181808:9190653:-1 gene:Csa_4G187850 transcript:KGN53906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSSFPFPLLLLLSILSFPLSSHAPHDTAIKSINLEHPAEDVFPSSLPGAIDIKCDRVRISGISRLKLGSFASSFRVTAVPSVVIPERQHTKLQVCFHWNNSLGLCQCEDDKWKTFQKGLWSCTMSPYEVRYVDVKVIDARSLSGPVTIAVEEDFQQWRLLCLALGFLLLLLAPVVSSWVPFYYSSSMAIGIFLVVLIILFQGMKLLPTGRKNAFYLTVYGSVLGAGSYLVHHFAMLVNSILLSFGLKEEMHNPVAIFLLLGIILSGAALGFWIVRKFVISDDGNVDIGVAQFVKWAMRIIGATFIFQSTPDAPLALGAFVCCWAACYLISSLKHRGSIFVRNQSYTRSGSPWKQQNKKIVGKRIHPEFLSRSSPQDRRWRVSDRHSPAWPNSPVNGAISPSSGMQDRDYYSTFHKTSNRKKFTKKTWDDFTRNSTRQALTEWASSPEFTDWIMEQADRIQLLQSDGSDEAVGSESDSTSENVGGGSRFSFLNWSACESATCGEVDLAHT >KGN54070 pep chromosome:ASM407v2:4:10861739:10862042:1 gene:Csa_4G280470 transcript:KGN54070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEIRMEFSRGKYAMTADCRLHYFFALEEMKFNEIINVPQKYLLIQLITFVSICLGPIEFDFS >KGN55142 pep chromosome:ASM407v2:4:20837886:20839861:-1 gene:Csa_4G637820 transcript:KGN55142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSLFSHDVSDLCLGKPPLRPLSLSATLADALLALQFSHDYFVSVWDCRLPKRGCTGAVDGGAAGGDFECCRCVGKLCMVDVICYLCKEENLLSPSSALQASVSEILPQIPGIVMHLEPSASLLEAIDLVLQGAQNLVVPIKTRLGSNSRRKQLKNSTNGIHGGHEFCWLTQEDIIRYLLGSIGLFSPIAALSLDSLGIICTNALSVNYHSPASSAIGAISHSITNQTSVAVIDGDGILIGEISPFALAGCDKAVAAAIMTLSSGDLMAYIDCGGPPEDLVKVVKARLKDSKLEGMLEEFTNSPSSIGSPSFTSSSSDEEFSPSPSSRRYRRSSSYSARITRRAEAIVCHPRSSLVAVMIQAITHRVNYVWVIEDDCSLIGMVTFLDMLKVFREHLETSE >KGN52838 pep chromosome:ASM407v2:4:599384:600181:-1 gene:Csa_4G002550 transcript:KGN52838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEDGVSEKWYQGLRRYWKRKNYKKLNGSSRRRRRLAQVELGSTRNTRRRFWRIKISPKFRFLRKIPSPKKLLLRLRDAYVNMMLGFANSRVFNTSYGGGAAVCDGIPGFGQRLAKEYDEKMILEIYKSVVAEQREMIHRPVAAGSAPETAQFR >KGN53031 pep chromosome:ASM407v2:4:1790122:1792542:-1 gene:Csa_4G011780 transcript:KGN53031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPPPITSLPPPHFPFPQPQEPNLLEDPNVWTSKFLVMGHRGCGMNILHSSDSRFKFMKENSILSFNAAAKFPVDFIEFDVQVTKDGCPVIFHDCLILTEEKGVIVEKRVTELMVEEFLSYGPQHDPWKIGKPLFRRTVDGGVYEWKVENDAPLCTLQEAFEKVEHSVGFNVELKFDDLIVYEEEQLAQMIQQVLEVVEMKAKDRPIIYSSFIPDAAQLVKKLQSSYPVFFLTNGGLKIYPDIRRNSLEEAINVCMVGGLNGVVAEVTSILRNPAAVDKIRNGGLSLITYGQLNNLPEAVYVQRLLGIEGVIVDVVKEIAEAVSNTISSEQEESSHEQQMNMKARTKPNLSQKRACFLLNGEQGCSQNISLSSHIDNIIQLYQCK >KGN54237 pep chromosome:ASM407v2:4:11795201:11799384:-1 gene:Csa_4G294940 transcript:KGN54237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTAATMIVWRMRLGLALRAALACGIVGAVTIFGPAPLRRLLAFSAFSYFTTISMILSDTVSVGDAVRGVWHVMWAVVFVLVSSVPCLWLIGPGRFTSAASAAIAVAVSGFVVALPERTHLLTKRIAFGQLVIVYVGTVIHGGQISFVKHPIRVASSTAAGALAAVAAMMIPFPRLAFFQIRKLSKGYCENGWKRIEAMVEGVGAKTKGEAVALMVEAKSLSTNATKLLQTIKSNMRGVIWERRQTCFDVEEKLEEMEVAMKGMEAALTSPSMVFGSVDEQLSNFLNNLKPKAILKLQQFKITVPPTSTTAPETKPSFSTPLPLNISPITPQILPTSFFLRCMEILLYDSTAGRNLVSDVEIGQRVNGEKATQLGDHGTKKTSWGILSNMLPTNQSLCFALKCSITLGLAVFLGLTYTKPNGYWSGLTVAISFATEKQAVFTVANARAQGTAIGSIYGVLCCFILKKYEYLWLLPLLPWVVFTSFLVHSRMYGQSGGIASALGALLVLGRKDYGVPSEFANARITEACIGLLCFLTVEIIFNPTRTATLAKTEFSTTLVALEDFIKRVILIPQKNLNHETSNFVSLIQHHKILRSHVSQLEKFIVEAGFEPNFWFTPFQGSCYEKLLKSLQKTLDILQIMLHEIKFLSLELNRSGLIVKELHDSLTEDMGIFSKKLGCSLKFMEKLSLIKSLKELQNKNQNQCLDMEMGKKGSNDGCKAFALLEEDVEKIVGSFCQHANEILSKAYSNDEVEGNLKGQMTLCLSSIGFCMECLMRETMVMEKEVLQVLKLENPSIHINLQELSTRVDAYCTK >KGN55476 pep chromosome:ASM407v2:4:22846265:22851367:1 gene:Csa_4G652880 transcript:KGN55476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDHDNVFDESYEKTDTGAVIRNGREILFQAFSWESHKYDWWENLESKVHDIAKSGFTSAWLPPPTHSIAPQGYLPQNLYSLNSSYGSEDMLKTLLLKMKQCKVRAIADIVINHRVGTVVGHGGMHNRFDGILLPWNEYAVTSCSGGLGNRSTGDNFHGVPNIDHTQPFVRRDIIAWLKWLRSSVGFQDFRFDFARGFSAKFVKEYIEAGKPMLSIGEYWDSCNYNGHDLDYNQDGHRQRIINWIDGTGQLSAAFDFTTKGVLQEAVRRQLWRLRDCQGKPPGVMGWWPSRAVTFLDNHDTGSTQAHWPFPANHIMEGYAYILTHPGIPTVFYDHLYEWGDSVHDEIIDIRKHQDINSRSSVKILEARSDLYSAIIGEKLCMKIGDGSWCPSGTEWTLATSGWRYAVWQK >KGN52998 pep chromosome:ASM407v2:4:1575780:1575995:1 gene:Csa_4G010970 transcript:KGN52998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFRINSPSTRKWYRKSIGKKIGDVVGCKSEKNSGGGVPERAGKGIYRLLAGVKARSRYWREWGRGKWRKK >KGN53242 pep chromosome:ASM407v2:4:3160771:3161568:1 gene:Csa_4G037610 transcript:KGN53242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGQKRNFMATTAVVSPVGSPTSGNISDSSTKEQDRFLPIANVSRIMKKSLPANAKISKEAKETVQECVSEFISFITGEASDKCQREKRKTINGDDLLWAMTTLGFENYVGPLKIYLNKYRETEEEKHSLARQEDPSLSPPKSAAEHIIGGKSANSVMDFQNFNSGFYSLGNQIQNQGNQKSFNIIDGVGFGENLRGFNINGVHENGEGNRARAMAAHLQQSSGW >KGN53644 pep chromosome:ASM407v2:4:6230527:6237790:1 gene:Csa_4G095050 transcript:KGN53644 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphorylase MGTTHRNGCTMVRMQTPQSNALNNKQQNKLKPNSLHANSQIFLHSICSCDPLRLKFMAATSQFTLALNPPHSFSHSYSFPSLIGLSSRYRQSKFLLLSTSSWRSPKRTFLVRNVSSEPKLKDPVADEESPTAATAFAPDASSIASSIKYHAEFTPLFSPDRFDLPKAFFATAQSVRDALIINWNETFELYERLNVKQAYYLSMEFLQGRALLNAIGNLELTGPYAEALSKLGYELENVASQEPDAALGNGGLGRLASCFLDSLATLNYPAWGYGLRYKYGLFKQKITKDGQEEVAENWLEIGNPWEIVRNDIKYHIKFYGKVVIGSDGKKNWTGGEDIEAVAHDVPIPGYKTKNTINLRLWSTKAPTEDFDLAAFNAGEHSRASEALASAEKICHVLYPGDDSIEGKILRLKQQYTLCSASLQDIVERFVRRSGANIKWEEFPEKVAVQMNDTHPTLCIPELMRILLDLKGLSWEEAWNVTQRTVAYTNHTVLPEALEKWNFELMQRLLPRHVEIIELIDEELIRTIISEYGTADLKLLREKLKELRILENVDLPAAYSDLFIEPEESSTIASTEVLKRSKEADSVDKDEFVEVDDELESKGIQDKKVEPTPPPPPPKMVRMANLSVVGGHAVNGVAEIHSEIVKDEVFNAFYKLWPGKFQNKTNGVTPRRWILFCNPDLSKLITNWIGSEDWVLNTEKLGGLKKFADDEDLQNQWRIAKRNNKLKAVSFLKEKTGYTVSPDAMFDIQVKRIHEYKRQLLNILGIVYRYKKMKEMSAKERKETYVPRVCIFGGKAFATYVQAKRIVKFITDVGATVNHDPEIGDLLKVIFVPDYNVSVAELLIPASELSQHISTAGMEASGTSNMKFAMNGCILIGTLDGANVEIRQEVGADNFFLFGAEAHEIAGLRKERAEGKFIPDPRFEEVKEYVRSGVFGSCDYEELIASLEGNEGFGRADYFLVGKDFPSYIECQEKVDEAYRDQKKWTRMSILNTAGSYKFSSDRTIHEYAKDIWSIKPVELP >KGN54188 pep chromosome:ASM407v2:4:11511136:11513261:-1 gene:Csa_4G292980 transcript:KGN54188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQHQIVQSPARLGLTNPNSPSIQNPTPPKLPPSQQSHHQNQSNLSSSTPSSTLLPLLPPLPRAQALLLQMASLASKLFEVSPNRSLWLTAFRGSLPTFLTSQTQSSPSTLLDSTPSSSKEILSLFNVLQTQLFEAVAELQEILDLQDSKQKIAYEIRAKDAALRAFANKLKEAEQVLDVLFDDYADYQRPRRDKTEGDAENESLCSTTVASQLRLSDILSYAHRISYTTFAPPEFGAGQAPLRGALPPAPQDEQMRASQLYNFANLDVGLPKAVESTEKTIEPMIEPPAPVRPDANPLAAIQGLLPPNITVPSGWKPGMPVELPGDLPMPPPGWKPGDPVRLPPMDSLPVTRVDEPQLRPNALPGLHKPPEPIQVRHVQLDILDQDDDSSDYTSDEGSSEDDD >KGN54588 pep chromosome:ASM407v2:4:14963979:14964462:-1 gene:Csa_4G372100 transcript:KGN54588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKKRFEKVSPLPNAPPPSEFGGRTTEEAKQGRKEGEEAIMTYGDGSLRRQPNGHQLSSLSLLSVCLTPLPLPPHSSIPSCFQTLTSGDLFMHQYCMNYDVSYPSPKNEKSQGRASSGSGGVTNYKSTTCVIL >KGN55252 pep chromosome:ASM407v2:4:21491832:21494639:1 gene:Csa_4G642330 transcript:KGN55252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKQGFFSALKGEIVRGLSPGRSRAKSPARSASPMSGLLRRRKNHQMSQPELVIERSGSLRPEEALSPLKEGPDGNESRESKEGKWGSWMRGQLCRAPSAVSCSAQKRSDLRLLLGVLGAPLAPVHVSSTEPQPHLAIKDIPIENSSAQYILQQYTAASGGQKLQNSVSNAYAMGKVKMIACEFETANKVVRTRNSSKDAESGGFVLWQMKPDMWYVELALGGSKVHAGCNGKLVWRHTPWLGAHAAKGPVRPLRRALQGLDPKTTASMFANARCIGEKSVNGEDCFILKLCTDPSTLKARSEGPAEIIRHTMFGYFSQKSGLLIHLEDSHLTRIQNNGSDAVYWETTINSFLDDYRPVEGIMIAHSGRSVVTLFRFGETAMSHTKTRMEEAWTIEEVAFNVPGLSIDCFIPPAELRYASMSEACDFSRGQGLKNAMAAAAYRAKVAALEKNPDNKVKVIWKPDI >KGN53995 pep chromosome:ASM407v2:4:10235278:10237516:1 gene:Csa_4G246410 transcript:KGN53995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEPGKRPNQNMGHFCAAVIGGGGGGDDGETNHQPHQHCVIELHGGADSFHDGASVEISQHANSNNDYYSSAGHLSASEMESLAALCDTFLPSVAPSTAADRSTAFFFETSASAIGTPDRVGEMIKERVKHPKKWLVRVTLWLLSTWYGTYILCGNKSLSNHFPYFERFSKVPQKKREQIALSWSLSYFFLLRMLFRTIKIFCLLAFFTQVSSFFLFVTFIKNT >KGN54840 pep chromosome:ASM407v2:4:18097956:18110949:1 gene:Csa_4G538080 transcript:KGN54840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIHFFANKVVYCCCICLLLCDITFIQFHFVIRFQLLRTEHRHVGSTNFNLLSSRSHTIFTLTIESSSCGESGEGEAVNLSQLNLIDLAGSESSKAETTGIRRKEGSYINKSLLTLGTVISKLTDGKANHIPYRDSKLTRLLQSSLSGHGRVSLICTVTPASSSSEETHNTLKFAHRAKHIEIQAAQNKIIDEKSLIKKYQNEIRCLKEELDQLKRGIMTVPQLRDSEDDIVLLKQKLEDGQFKLQSRLEQEEEAKAALLGRIQRLTKLILVSTKASQSSRFPHRPSLRRRHSFGEEELAYLPYKRRDLILDDENIDMYSSIEPNSEANEDTVKEEKKTRKHGLLNWLKLRKRDSGLGTLTNTSDRSSGMKSTSIPSTPRADQNNVPTESRLSHSLQTESSPSADLLSDAREEKEAPEENIFDPETPLTSIKSSDQIDLLREQQKILSGEVALHSSALKRLSDEVARNPQKDQIHFEMKRLKDDINAKNQQIAFLEKKIADASPNKMTDLEIMHEIDELTAQLNEKSFELEVKAADNRIIQEQLNQKISECEGLHETVASLKLQLADTLELRNTPKDERLAQEVDELKHKLAELTESKEQLELRNQKLAEESSYAKGLASAAAVELKALSEEVAKLMNENERLASELAASKSSPSQRKSTIGMRNGRREVISKRTDIGPSAAELKRDLAISKERELSYEAALLEKDHREAELHRKVEESKQREAYLENELANMWVLVAKLKKSHGNETDDHSTVDTLRLDDDRLI >KGN55184 pep chromosome:ASM407v2:4:21109828:21110856:-1 gene:Csa_4G639230 transcript:KGN55184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPHYLDLSSKNGPQSLANAVARAAESPLPPPTRALLISYEASLSVLLSNLVVGIHNVKSKMMMMRDREIEREVGKEFCGMSILGQVRLWKYANF >KGN54847 pep chromosome:ASM407v2:4:18164685:18165902:-1 gene:Csa_4G540120 transcript:KGN54847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYLPFFPHFFPDLISIAERMATVKHKILVLSGKGGVGKSTFSAQLAFALAAMDFQVGLLDIDICGPSIPKMLGLEGQDVHQSNLGWSPVYIESNLGVMSIGFMLPNPDEAVIWRGPRKNGLIKQFLKDVYWGELDFLVVDAPPGTSDEHISIVQCLKATGIDGAIIVTTPQQVSLIDVRKEVSFCKTVGVKVLGVVENMSSLCQPLLDMKFLKTTEAGEQTDVTEWVGEYIREKAPELLNLITYSEVFDSSGGGAAKMCREMDVPFLGKVPLDPQLCKAAEEGRSCFGDQKCGVSASALKKIIEKLIQNQGFSTMSIDAKA >KGN55242 pep chromosome:ASM407v2:4:21451949:21452566:-1 gene:Csa_4G641740 transcript:KGN55242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAAGTDTTSGTIEWAMSLLLNHPMVMEKAWIEIRDCIGENQMVEEGDVSKLKYLEAIIYETLRMFPAAPLLVPHECSEDCSIEGFEIPKGTMLMVNAWAIHRDPKVWEDPTSFRPERFLNWEGVESYKWIPFGMGRRACPGFALAQRSMGLTLATLIQCFEWEKVDENEQIDLSEGSGITMPKAKALEAMCKPRNSMLHLLAQL >KGN52930 pep chromosome:ASM407v2:4:1091376:1094475:-1 gene:Csa_4G006370 transcript:KGN52930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSSSMLTQYDIEEVQCHCDNLFTQQEIVSLYQRFCQLDRNSKGYILADEFLSVPEFAMNPLSQRLLKMVDGLNFKDFVAFLSAFSAKASIQRKIEFMFKVYDADLNGKVSFNDMIEVLQDLSGPFMSDDQRKQVLSQLLEEAGYTKEVHLTQDDFVKILANSDLKMEVEVPVD >KGN53598 pep chromosome:ASM407v2:4:5762571:5762720:1 gene:Csa_4G088710 transcript:KGN53598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEGEGSTKLWDNEGEGDKEFWRRETAQKGVGEGDRRDSEKGKREEREG >KGN54820 pep chromosome:ASM407v2:4:17808482:17816037:1 gene:Csa_4G508480 transcript:KGN54820 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbon catabolite repressor protein MLIVLRVHLPSDIPIVGCELTPYLLLRRPDTSVITDDVPESAPIDGYFLKYKWYRVQNDRNVAICSVHPSVQATLQCLGCLKAKVPVAKSYHCSTKCFSDAWQHHRVLHDRGTSAVNDSVNEDEELFGRYNSAGPGVINANLSGGVSSTSLTNGSTPFYPSSTTQRSGGETWFEVGRSKTYTPSADDIGHVLKFECVVVDAESKLASGPVNTLLTSRVIPAPSPSPRCLVPVSGVDVIGQLDSSGRASSSGSFTVLSYNILADVYATSESYSYCPSWALSWPYRRQNLLREIVGYHADIVCLQEVQSNHFESFFAPELDKHGYQALYKRKTNEVYNGNTQTIDGCATFFRRDRFAHVKKYEVEFNKAAQSLTDAQIPTAQKKSTLTRLAKDNVALIVVLEAKFGNQGADNLGKRQLLCVANTHVNDHQDLKDVKLWQVSTLLKGLEKIAVSADIPMLVCGDFNSVPGSAPHSLLAMGKVEPSHPDLAVDPLNLFRPPSKLIHKLQLVSAYSSFARMGASIGSEKQRKRLDPTTNEPLFTNCTRDFIGTLDYIFYTVDSLTVESLLELLDEESMRKNTALPSPEWSSDHVALLAQFCCKPRIRR >KGN53172 pep chromosome:ASM407v2:4:2660280:2664190:1 gene:Csa_4G025050 transcript:KGN53172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRESPRKCSHCGFNGHNSRTCGNFSKGNSNNYYYCVKLFGVNLMENRDESMRKSLSMGNLNLHSCNNVLDLNNTTTVNNVTGDNTAAAASTDDAGYLSDGLIHNKRRKAAHERKKGKPWSEEEHRTFLIGLKKLGKGDWRGISKNFVTTRTPTQVASHAQKYFLRKMNANDKKKRRASLFDIPEIKNNFSRDCPASGELPSQILLPKNNSPDNQSQVNNLGTQLINRFPHLCLDTPHFIPQQTNGSSSPSSIPFVVGVSPNNNNNNIPLVNIGRSRVSPNAAMAAHPSGIPHSPRSSPTRTLLMQPGASALAMAAAASTFDQSADALELKIGLPQSPQPNNLSSQTPGAIRVI >KGN54589 pep chromosome:ASM407v2:4:14971069:14971296:1 gene:Csa_4G372600 transcript:KGN54589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRDEEGRKKEENEVRETDDWKSGKKKEKPLKKKGKVRIVLGGMNSERECDVLRMQRERG >KGN54206 pep chromosome:ASM407v2:4:11608262:11610045:-1 gene:Csa_4G293160 transcript:KGN54206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSPFRLPFLLLLLALLAFSSTAEIKSLKISSDNRPMILFEKFGFTHTGQVSISVSSVAVATSLAETDPSRLGFFLLSEESLLQVLLEIQQNPQFCVLDSHYIQRLFTFRDLSPPPQSSFSHSYPVTAPNEYSLFFANCAPETSVSMQVRTEVFNLDRDGSKDYLSAGLTQLPSLYFVFSLAYLAFLGLWIYAGITNKRSVHRIHLLMGGLLLMKALNLICAAEDKHYVKNTGTPHGWDVLFYIFQFIRVVLLFTVIVLIGTGWSFLKPFLQEKEKKVLMIVIPLQVLANVASVVIGETGPFIKDWVTWNQVFLLVDIICCCAIIFPIVWSIRSLRETSKTDGKAARNLAKLTLFRQFYIVVIGYLYFTRIVVFALKTIAAYKYQWVSNAAEEIASLVFYMVMFYMFRPVERNEYFVLDEEEEEAAELALRDEEFEL >KGN55180 pep chromosome:ASM407v2:4:21091445:21093829:1 gene:Csa_4G639190 transcript:KGN55180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGFGGRFYWGRRERVGKVEGIVVAFAWMSSQERHLKRYVDMYSSLGWNSLVCHSQFLNMFFPDKAASLAFDILKELIEELKIKRCPIVFASFSGGPKACMYKVLQIIEGYHESQQHSSDDYQLVRDCVAGYIYDSSPVDFTSDLGTRFILHPTVMKASQPPRIASWAAHNIASGLDALFLNRFESHRAEYWQTLYASVSMKAPYLILCSEEDDLAPYQTIFNFAQRLQDLGGDVKLIKWNGSPHVGHYLHFPIEYRAAVTELLSKAAGVYCQRTRPNEEVTAVDKMNCDSCNTTPDVRKAASPSSSFRDSALAPDDHLLFSSAMDGFDYRIIGSMRDEHMEGVMRLSNTPSTIPHGVLGQILYDACVPKNVEDWDIGSSSSSKAVLREHTRRHTSFNPIKLMRRSRL >KGN53344 pep chromosome:ASM407v2:4:3863930:3866887:1 gene:Csa_4G048540 transcript:KGN53344 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase MALKVYADRMSQPSRAVLIFCKVNGIAFEEVKVTLSKFEHLTPEFKEINPMGKVPVIVDGRFKLFESHAILIYISCAFPGVADHWYPADLFRRAKIHSVLDWHHSNLRSGAAPLIFNTVLAPLFGRPLNPQAAAEAEKLLSKSLSKIESFWLKGNGKYLLGGLKPSIADLSLVCEIMELELLDEKDRSRILGPHPKVREWIENTRNATNPHFDEFHKILFKLKETLQKQHSLKLTSKI >KGN52968 pep chromosome:ASM407v2:4:1335131:1348807:1 gene:Csa_4G008220 transcript:KGN52968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFAKLDDSPMFRKQIQCLEESAELLRERSLKFYKGCRKYTEGLGEGYDGDIAFASSLETFGGGHNDPISVAFGGPVMTKFTIALREIGTYKEVLRSQVEHMLNDRLLQFVNIDLLEVKEARKRFDKASLLYDQAREKFLSLRKGTKSDVASLLEEELHNARSTFEQARFSLVTALSNVEAKKRFEFLEAVSGTMDAHLRYFKQGYELLHQMEPYINQVLTYAQQSRERSNYEQAALSERMQEYKRQVDRESRWSSNGSNGSPNGDGIQAIGRSSHKMIEEVMQSAAKGKVQTIRQGYLSKRSSNLRGDWKRRFFVLDSRGLLYYYRKQCNKSSASLSQLAGQRNSSELGSGLLSRWLSSHYHGGVHDEKSVAHHTVNLLTSTIKVDADQSDLRFCFRIISPTKSYTLQAESALDQMDWIEKITGVIASLLSSQAPERCLAGSPMGSGHHRSTSESSSFESSDFDQTAVEEYTSERSLSSSHMDRPSRNLQQQRSVMKIEKPIDILRRVCGNDKCADCGAPEPDWASLNLGVLVCIECSGVHRNLGVHISKVRSLTLDVKVWEPSVISLFQSLGNTFANSVWEEMLQSRSAFQVDLVTAGLYKSDKQNMHFISKPSHSDPISVKEKFIHAKYAEKAFVRKPKEIQYPHLVAQQIWDGVRSNDKKAVYRHIINSEADVNAVYKQVPCGSLTLAKVMLMQEPTGLEQHNYKGSDFVERSTSSSISEGTSEGQAMEGLDGCTLLHLACETGDIGMLELLLQCGANVNAIDSRRQSALHHCITKGRTALARLLLSRGADPRAVNGDGKTPLELAVELKFNDVEILAILSDANG >KGN55568 pep chromosome:ASM407v2:4:23346938:23354054:1 gene:Csa_4G669200 transcript:KGN55568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSMRRRLACCTRDRGISMDMDEQERIITFNGLESCVLNNQTYENDSRSSRADECTTDSLEDHDSSSSSSKDACGSFSSKWLAMHRDEQDLDEWELPESPQHLYMKEKHDYSVQVSDMDAMKEKFSKLLLGEDVTGGQKGLSSALSLSNAITNLAASVFGELWKLEPLSDERKSKWRKEMDWLLSPTHYMVELVPTKQNGTSGRVMEIMTPKVRGDVHMNLPALQKLDSMLIGTLDSMVKTEFWYSEVGSRAEGKCKSMGQSTRWWLPLPQVPSTGLSESERKKLLNHGRVVHQVFKAAKSINESILHEMPVPTVIREAVRASGKATLSEELYKILTSGSGPADNMLNQLNLKSDHEVLEAINRLEAAIFSLKEKYTEQSGNKSPVRTSWPFVKDPTAGIDKLKLLTDRAEILLQLLKSKYPNHPQTFLDVSKIQYGKDVAHLIMEAYSRVLGNLAYSILCRIRDVLQEDAMCNPNSPAPTCCFPGMSLLNNCSDQISILHAWQPLSGHLNSPDMTFSSSKVRENSPTTTPSRNRAWCIGREVCRSVSSGSNSP >KGN52910 pep chromosome:ASM407v2:4:955212:958533:-1 gene:Csa_4G006170 transcript:KGN52910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFDGSATPTTNNLRQRNSNGGGGKVVNKDLNGGGNCYKGTGMGIGKASFMRWRVEDVAYAAKNHWIPCIFGLGMLFFVHVEYTLRMVPPASPPFDLGFVITRSLHRVLSSWPELNTLLAALNTVFVAMQTSYILWTWLIEGRLRPTLAAVFTFTCRGILGYSTQLPLPQGFLGSEMDFPVGNISFFLFFSGHVAGSVIASLDMRRVQRWGLAWTFDFLNLLQVIRLLGTRGHYTIDLAVGVGAGFLFDSLAGHYEACLQRKNLDNKLAKTFT >KGN54671 pep chromosome:ASM407v2:4:15793546:15793744:1 gene:Csa_4G420120 transcript:KGN54671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLKIKGVNSKNTEEKPKSSWQVLCRPLAQAYHIYGGGARHLRSHLGLLA >KGN55253 pep chromosome:ASM407v2:4:21496332:21498251:1 gene:Csa_4G642340 transcript:KGN55253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLTNFNGAGVGFGFGVGCGFGIGWGFGGMPLNFLGLGAGGGCGVGLGLGWGFGTAFGSKYRSSRMIFQGMEFDNKDQNQSYDMKDSKDLSRNSRKV >KGN53003 pep chromosome:ASM407v2:4:1606718:1609197:-1 gene:Csa_4G011020 transcript:KGN53003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSTLVGPLQLHFLQLGLRQNLTNRSLRCGTAAPPPNIICGLRKGSNRPLGLSRVPSNEAIQAVQSLKLAKSTSKMEDVINTKLGRLLKADLFDALSELQRQNELELSLQVFKFMQNEEWFEPDLRLYHGMIMLMGKNKMIEMAEEVFHKLRKDGLEPDTRAFNEMMGAYLQVDMIERAVETYRLMIASGCTPDELTFKILIKNLEKFREEFAVVVKKDCNEYLDNPQKFFNDNGQKLTTKVRIL >KGN54544 pep chromosome:ASM407v2:4:14594409:14598214:1 gene:Csa_4G361780 transcript:KGN54544 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid permease MANNHHHHSLNISAPPHPASAADTAFDDDGRPKRTGTVWTASAHIITAVIGSGVLSLAWATAQLGWVAGPVVMMLFSFVTYYTSTLLAACYRSGDSVNGKRNYTYMDAVRNNLGGFKVKLCGLVQYVNLFGVAIGYTIASSISMMAIKRSNCFHKSGGKNPCHMNSNPYMISFGIMEIFLSQIPDFDQLWWLSIVAAVMSFTYSIIGLVLGIIQVTDNGKFKGSLTGVSIGSVTESQKIWRSFQALGDMAFAYSFSIILIEIQDTIKAPPSEAKTMKKATFLSVAVTTVFYMLCGCMGYAAFGDLAPGNLLTGFGFYNPYWLLDIANVAIVVHLVGAYQVFCQPLFAFIEKNASSRFPDSKFINEDINIPIPGFRPFKLNLFRLVWRTIFVIITTLVSMLLPFFNDIVGLLGALGFWPLTVYFPVEMYIAQKKIPKWSTRWISLQILSMACLIISIAAAAGSVAGVIQDSKSIKPFQTTY >KGN53184 pep chromosome:ASM407v2:4:2717301:2720710:-1 gene:Csa_4G025140 transcript:KGN53184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNALQATNRNFRHAARILGLDSKLEKSLLIPFREIKVECTIPKDDGSLVSYVGFRVQHDNARGPMKGGIRYHPEVDPDEVNALAQLMTWKTAVADIPYGGAKGGIGCNPRELSNSELERLTRVFTQKIHDLIGIHTDVPAPDMGTNAQTMAWILDEYSKFHGHSPAVVTGKPIDLGGSLGREAATGRGVVFATEALLAEHGKQIKNMTFAIQGFGNVGYWASKLIHEKGGKVVAVSDITGAVTNPNGIDIQELYKHKESTGSLVNFQGADDMDPNELLVHDCDVLIPCALGGVLNRENAGSVKAKFIIEAANHPTDPEADEILSKKGVVILPDIYANAGGVTVSYFEWVQNIQGFMWDEDKVNTELQRYMTRAFHNIKNMCKTHDCNLRMGAFTLGVNRVARATLLRGWEA >KGN54001 pep chromosome:ASM407v2:4:10375045:10375392:-1 gene:Csa_4G255430 transcript:KGN54001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERNKIKCNEKKRRGKKKRLISKARKENSVLPVENTSLLEVDFKGLAKRTQGSLTKEIWDLGRRNQEVELEIKVNEEATKEFEKERDRNNLLEEEVSICNKGRQKIQAESACRHT >KGN54159 pep chromosome:ASM407v2:4:11293286:11298756:-1 gene:Csa_4G290750 transcript:KGN54159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGNTKHLLLAHLFDKPCFLGLLAVQAEDISGFHVNTHIPIVVGSQMRYEVTGDPLYKEISTYFMDIVNSSHSYATGGTSVHEFWRDPKRLADALGTETEESCTTYNMLKVSRNLFKWTKEIAYADYYERALTNGVLSIQRGTDPGVMIYMLPLGSGSSKAISYHGWGTPFESFWCCYGTGIESFSKLGDSIYFEEELQTPTLYVIQYISSSLDWKSGNVLLNQTVDPIHSEDPKLRMTLTFSPKGSVHSSTINLRIPSWTSASGAKVVLNGQSLGNNINGNFKSVTNSWSSGNKLSLELPINLRTEAIDDDRSEYASVKAILFGPYLLAAYSNGDWEIKTQQADSLSDWITHVPSAYNTFLVTFSQASGKTSFALTNSNQSITMEKYPGQGTDSAVHATFRLIIDDPSAKVTELQDVIGKRVMLEPFSFPGMVLGNKGKDERLEIADANSEGHSSDFYLVEGLDGKNGTVSLASIDNEGCFVYSGVNYESGAQLKLSCKSKLSLDDGFDEASSFLLESGASQYHPISFVTKGMTRNFLLAPLLSFVDESYTVYFNFNA >KGN55396 pep chromosome:ASM407v2:4:22323643:22328348:-1 gene:Csa_4G649660 transcript:KGN55396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSVTSAAGATLLPSSTAVVCRRRRWILLSNKTNRREYTLKRGIEDSSPLFNKFSKRTATSVSGTSSAFMKTMISNGYGGRNSNVNKIYKQLESCLVIPPPRGRPPRAIIKFLGGAFIGAIPEVTYSYLIEFLAKEGFLIISVPYNVTFDHADAARQVFERFHVCLDTVLASGLPDEDISPAQLVNLPLFSVGHSNGALLQVLTGSYFSEKIPKANAIISFNNRPATEAVPYFEQLGPLTSQMMPVVQASPVYSMARSASDNARKILLDAAGAIVPDSDREVLNSLTKFVDQLPSVFDQVTEGVSEFRPTPSENRDCFKCNYNIQNTLLVKFISDTIDETDLLEQTLKPRVEAINGTLEKVELSGNHITPCVQEPKWEVGYFYTPADAVAQALKSLSLRETRELAKTLTNWFERFQA >KGN53230 pep chromosome:ASM407v2:4:3061320:3066803:1 gene:Csa_4G031010 transcript:KGN53230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIANANTSPTMSGVSTTVDNTSTPADRARWVANPPDPPGICRDLIDWLRQTMFPDPTKLFPLKNKTGTAVLGRVLKGVFPILCWGQSYNLGKFKNDVLAGLTLASLCIPQSIGYANLAKLDPQYGLYTSIVPPLVYAILGSSREIAIGPVAIISMLLPTMIQKIQDPAADPFAYRNLVFTTTFFAGIFQAAFGLFRLGFMVDFLSQAAIVGFMGGAAIVIGLQQLKGLLGITHFTNKTDIISVMEAVFASFHHLNNDQWNPLNFIIGSSFLSFILITKLLGKKYKKVFWLPAMAPLVSVILSTLLVFLTRADEHGVKIVKRVPPGLNPISTQNIQIHTPHISQILNAALIVAVVALTEAIAVGRSLASMKGYNIDGNKEMVALGFMNLAGSLTSCYTATGSLSRSAVNFSAGCETPVSNVVMAVTVMISLKMFTKLLYFTPNAILASIILSALPGLVDIHQAYNIWKIDKLDFLACLAAFFGVLFLSVEFGLLLSLVISFAKIIVTSIKPGTEILGKIPGTDTFCDIHQYPMALNTPGVLIVRVKSGLLCFANANFVKDRILRFISSQEASGKGITQFLVIDLSNLMNIDTSGIASLEELHKNLATSGIEMAIANPKWQVIHKLKVSNFVAKLKGRVFLSVGEAVDACLSAKMGAAI >KGN54369 pep chromosome:ASM407v2:4:12692722:12695937:-1 gene:Csa_4G308590 transcript:KGN54369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTFAGTPPSPFDDATNGFEPRLASQRFETFSTFEAESADDSSPIFGNLPYDQGDGVEPTPPTNFSAGGGFSSFSSQQNGKGFDGGFGESDGPILSSPTAMEPEEGFPLREWRRLNAIRLEEKEKREMELLEEIIDEADQYKIEFYRRRKLALDHSKATNRDKEKQYLANQEKFHAEADKNYWKAIAELIPNEVPTIEQRGKKDKEKKPAIVVIQGPKPGKPTDLSRMRQIHLKLKHNTPLHMKPKPPPAEPKAESKKDSSAAGATLAAGSGSAASRTAAVATSEGRTVG >KGN53269 pep chromosome:ASM407v2:4:3359830:3361779:-1 gene:Csa_4G043850 transcript:KGN53269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQQQTDQEHQSVQISQLYNGLYIQMLPQQVHLGESKPRRRRKKNRGSETEAASGGKKRKLTAAQVRLLESNFGSEHKLESERKDRLASELGLDPRQVAVWFQNRRARWKNKKLEEEYSNLKKLHESVVVEKCRLETELLKLKEQLLEVEKEKERVRMGEGGDGPLSSSSPSPSMSMEAMEMEQPISLGEISPLYEEDVFYYMPENYCIYGMEWPNPYL >KGN54402 pep chromosome:ASM407v2:4:12998827:12999957:1 gene:Csa_4G312840 transcript:KGN54402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDGVADEHSHNFIQQRGQEQEHQKSSGFLKNFISNMASSEGTDEKKTDHEYQENGEKSSGFLKNFMSNMVSAEENEGKTDQEKEEEEEGKTNGFLKNFISNIVSGEETEEKAEEEEEEGKENGFLKTFMSNKVNEGEREEKTEVEEEKGGGFLRNFISNMVSSEGNEDDDGKMASNDMVSQKTGEEERDKSSGYKSVEEKGGDNGSGGGGGGGGIIDNIVSHLPASLQGDAVTTSDEAAILIHSIIHE >KGN54596 pep chromosome:ASM407v2:4:15022176:15022514:-1 gene:Csa_4G374640 transcript:KGN54596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNEIGMVGIGGNFGSVIVGSGGNASPIGSGGKLIFGNVGCGNFGRVGFGKPGNGGIRVGIAGRFGTKGGVVCERWRAAWPKLMLERAKVAVKAMIKNLEVAIFFPFDKMI >KGN53888 pep chromosome:ASM407v2:4:9014182:9014463:-1 gene:Csa_4G181210 transcript:KGN53888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATADKFSFLGHRERRVGDLFDKTTSEPLFVISTPSGFGAYEETSTPRLIKRVNSTSSQLLTRMVNRGLGILCRTLTSLRLIYHSDMSSGESE >KGN52958 pep chromosome:ASM407v2:4:1268947:1275186:1 gene:Csa_4G007630 transcript:KGN52958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRVDTNKVERHRNKSSMTKKRGKASKGKKGEEPLDHSKKGANELIKKKKSRKMSKASILNVGYTTIEDLVNGPTCASISTSIPPGNDQKKHEKVEGNKGTSGFIFMCNGKTKPECYQYRVFGLPKGKIEVVKNINSDTKLFLFDIDLKLLYGIYQATGNGALDLEPTAFNGQFQAQVKFEIFKDCLPLHENAFKHAIKDNYDGHRKFKQELSSTQVKRLISLFRPIPKKSFAKRSDIRPNVGIQSSFKSARTQEAVKSYPLEKPLFGVHCLPILETGPQHDVHHGHDIHQGKYNPFEPGFHVSQSHSQLQPRLLRTDGPTRHFEPYHHPRPFEPYHPEQAQAYFPEGSFRHPPESYASIRNTNETNHGDHRFVYGNQYFTTQFLSDYLPGFYSHRPSSTTLHTAPLPKAWNNSPPPYRVEDRMTSPHHSYYPTVVSQERVPMVYASVPQGTAFSNLRYGEPNLPPSSYYYSSAATRPNYR >KGN53023 pep chromosome:ASM407v2:4:1733432:1736588:-1 gene:Csa_4G011700 transcript:KGN53023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRGVWQLKKLVVSYCDWGGSSRGIRAFMESQMPAFKEKNPQLEVVTELIRGQHPHLKGFFRSNNNRVVCVKNMDPEEIHSCAMRLRNSLGRKVVKLRTRHVTKNPSVQGTWNTDVRF >KGN54341 pep chromosome:ASM407v2:4:12480874:12483559:1 gene:Csa_4G306850 transcript:KGN54341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGQTYLLQVINVALNNQLFFKLANHKFTVVAVDATYTDPYITDVIVLAPGQTTDVLVKANQPIGSYYMAASPYAHTQPQIGFPNTITRAVVTYDGASHSTTPIMPTLPGFNDTPTAHKFYTNITGLVGARHWVPVPRHVDNHMFVTFGLNLASCGAVNGSTCGGPNGQRLSASMNNVSFVIPNDAGLSMLEAYFHKVEGVYSRDFPDEPAVKFDYTNSSLGLDSSLIFAPKGTKVKKLKFNSTVEIVLQNTAFIAKENHPLHLHGFNFHVLAQGFGNYDPIRDPYMFNFVNPQIRNTIAVPIGGWAVIRFQANNPGVWLMHCHLDVHLPWGLAMGFEVENGPTPSTRLPPPPFDLPKC >KGN52991 pep chromosome:ASM407v2:4:1497401:1501091:-1 gene:Csa_4G010410 transcript:KGN52991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGSKNGVKVVMKIALVFLLVSSSWIFPETLGQEISSSNSLLQDGRDFVRKNDGLEAIKEADDTVRVDPLNHFNKYRGGYNITNKHYWSSTVFTGAVGYGIGVVWLVCGIAYGGFLVATLCCTGKGRGKRKLKKMPHLGQEFYLWTILLAAFFTILAIVGCGVVIGGSTRFDKEAKNVVKIIIETANGASNTIQNTTSAMKDMISNLEASKTTGSYRIQETSGTLTSTSHNLDAQAANIQWQANKNRLLIHKGLNIVYIVTMVTMSLNLGAVIAMSVFGILRLQRLLHLFILLCWILTVLCWIFFGLYLFLNNFSSDTCKALEMFQENPNNNSLSSILPCEQLLTAKSVLTDVSSEIYDLVNQVNTQIAISYPDIALVCNPFSQPPYYEYQPQNCAANTIRIGDIPKVLKLLTCADENNGGCENGQFMSNFEYKTVEAYTNSIQDFLNVYPGMESLVECQTVKDAFSKILEHHCKPLEKYAYMVWVGLVFVSIVMVCLVLIWTIRANIDQKLHHFDGSVQPNSSTPKTMEMANH >KGN53727 pep chromosome:ASM407v2:4:7180692:7181314:1 gene:Csa_4G111620 transcript:KGN53727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITSLVALIFVSFFIASAFAQSPAASPSLSPTKSPSKAPSHNSPKSSPAVAPTPSSLKSPPSPPSSSPSTSPSPASSPASISSPPADAPAPSGNGAASITFSVFGSVAVALYAVVLMI >KGN53189 pep chromosome:ASM407v2:4:2749409:2753321:1 gene:Csa_4G025190 transcript:KGN53189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILINCSSCRTPLQLPTGATSVRCSICRAVTFVADPRGFPPPPPSPTHHSYFPFHRHHHPSPPPTHSYYPSPPPTHSYYPSPPPTHSYYPSPPSPLYPTGGSRSPKRAVICGISYKNTPHELQGCINDAKCMKYLLVNRFNFPDSSILMLTDEETDVYKIPTKQNIRMAMQWLVQGVQPGDSLVFHFSGHGLQQRNYTGDEIDGYDETLCPLDYETAGTIIDDEINATIVRPLPYGAKLHAIIDSCHSGTMLDLPFLCRMHRSGSYRWEDHRPPSGVYKGTNGGEVISFSGCDDDQTAADTQAMSKVATTGAMTFSFIKAIESGQATTYGNMLNSMRSTIRNTDLNPGGDIVTSLITMLLSGASFSGRLKQEPQLTAHSTFDVYSKPFSL >KGN55497 pep chromosome:ASM407v2:4:22963216:22970010:-1 gene:Csa_4G658570 transcript:KGN55497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDKKEKNSITVAPFECAWLKDLRFREAGRGCVAFEASAHNDVTLVFRENVGSQHYHYKRDMSPHYTVIIGSHRNRRLRIIADGRTVVDVEGVALCSSSAFQSYWISVYDGLISIGKGRYPFQNMVFQWLDTNPNCSIQYIGLSSWDKHVGYRNVNVLPLTQDHISLWKHVDNGDEGEDDVELEFEDEYKDYKNWGLEHFLENWDLSDILFCVDSGETLVPAHKAILFASGNFPSNLSQVVVQLHGVSYPVLHALLQYIYTGQTEILESQLGSLRDLASQLEVIALVNQCDDMMGQLKLNKKLLDSGNRVELSYPRTQPHCTTVFPSGLPLNIQRLKQLQCTSEFSDVSIYIQGHGFVAHVHKIILSLWSMPFERMFTNGMSETASSEVYIRDVSPEAFQTMLKFMYSGELSKDGTVESDVLLLQLLFLADQFGVSLLHQECCKILLECLSEDSVCSILQVVSSIPCCKLIEETCERKFSMHFDYCTTANIEFVMLDESTFRKILQCPDLTVTSEEKVLNAILMWGLEASELCGWMAVDELMTFSTPEILFGERLQSVQDLLSLVRFPLLPYDLLKKLENSSISRKIRTFKNLVKEAIDFVKLEPSSLEDKKKNNVRYQHRRSSYKELQYICDGDSNGVLFFAGTSYGEHQWVNPILSKKITITTSSPPSRYTDPKVLVSRTYQGTSFTGLRVEDGKTCSWWMVDIGEDHQLMCNYYTLRQDGSRAFIRYWNLQGSFDGKTWTNLRVHENDQTVCKPGQFASWAVTGPNALLPFRFFRVLLTAPTTDASNPWNLCICFLELYGYFL >KGN54914 pep chromosome:ASM407v2:4:19162407:19165975:-1 gene:Csa_4G595970 transcript:KGN54914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASAEMALVKPISKFCSRTLEFGSPRTRAIPFSYSKFTAIKMSATSSQSPPKPSKNANKASIKETLLTPRFYTTDFDEMETLFNTEINKNLNQAEFEALLQEFKTDYNQTHFVRNKEFKEAADKMQGALREIFVEFLERSCTAEFSGFLLYKELGRRLKKTNPVVAEIFSLMSRDEARHAGFLNKGLSDFNLALDLGFLTKARKYTFFKPKFIFYATYLSEKIGYWRYITIYRHLKANPEFQCYPIFKYFENWCQDENRHGDFFSALLKAQPQFLNDWKAKLWSRFFCLSVYVTMYLNDCQRTAFYEGIGLNTKEFDMHVIIETNRTTARIFPAVPDVENPEFKRKLDRMVEINEKLIAVGETNDLPLVKNLKRIPLAAALVSEILAAYLMPPIESGSVDFAEFEPQLVY >KGN54983 pep chromosome:ASM407v2:4:19773394:19773741:1 gene:Csa_4G618480 transcript:KGN54983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDTAKSPLSKSMMDSENASATGNNNMPLPLPHSPPQTTYCIISTSQVQKKET >KGN55522 pep chromosome:ASM407v2:4:23101568:23103833:-1 gene:Csa_4G664300 transcript:KGN55522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATHAALASTRIPTNTRLPSKTSYSFPTRCSSKRLNVAEFNGLRSASLSSSNNGREGSFFDAVAAQLTPKAVASTPVRGETVAKLKVAINGFGRIGRNFLRCWHGRKDSPLDVVVVNDSGGVKNASHLLKYDSMLGTFKAEVKIVDNETISVDGKPIKVVSSRDPLKLPWAELGIDIVIEGTGVFVDGPGAGKHIQAGAKKVIITAPAKGADIPTYVVGVNEKDYYHDVANIVSNASCTTNCLAPFVKIIDEEFGIVKGTMTTTHSYTGDQRLLDASHRDLRRARAAALNIVPTSTGAAKAVSLVLPQLKGKLNGIALRVPTPNVSVVDLVVNIEKKGISADDVNAAFRKAADGPLKGVLAVCDIPLVSVDFKCTDVSSTIDSSLTMVMGDDMLKVVAWYDNEWGYSQRVVDLAHLVADKWPGAGSGKSGDPLEDFCQTNPADEECKVYEA >KGN55502 pep chromosome:ASM407v2:4:23002743:23017374:-1 gene:Csa_4G658620 transcript:KGN55502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIRLGFILSIYLLQVLCFVLVYAEMSNTGLQLSTNGTSGEKHSEGYCVMYGICAKRPDGKALNCPTGAPSVQPDNLLSSKIQSLCPTITGNVCCTEQQFDTLRSQVMQAIPFLVGCPACLRNFLNLFCELTCSPNQSLFINVTSVLKVNNSLTVDAIDYYVADAFGEGLYESCKDVKYGTMNTRAMQFIGADAKNFKEWFAFIGKQAGPGLPGSPYAIGFPPTMSVSSGMKHMNASAYSCGDTSLGCSCGDCPSAPVCSSTATPVFHRKNSCSVKIGSLKVKCVDFTLCILYIIIASAFLGWSLFYRKSQKSPSSGTKTMPNIMDGGSLHSATRKKDESLPMQMLEDAPQIRSRIQLSVVQGYMSNFYRKYGTWVARNPTLVLISSLAIVLLLCIGLLRFKVETRPDKLWVGPGSKASQEKEFFDSHLAPFYRIEQIIIATVPDSVHGKPPSILNDNNVKLLFDIQKKIDGIRANYSGRSISLSDICMKPLDQECATQSVLQYFQMNPENVDNYGGVDHLEYCFQHYSSADSCRSAFEAPLDPSTALGGFSGNNYSEASAFLITYPVNNAINKEGNESGPAVAWEKAFIQLAKAELLTMTQSQNLTLSFSSESSIEEELKRESTADVITILISYLVMFAYISLTLGDRPHLSTFYVSSKVLLGLSGVVLVMLSVLASVGFFSAIGVKSTLIIMEVIPFLVLAVGVDNMCILVHAVKRQSVELPLEGRISNALVEVGPSITLASLSEVLAFAVGSFIPMPACRVFSMFAALAVLLDFLLQVTAFVALIVFDFLRTEDKRVDCFPCIKSSRYAGSDKGITQKNPGLLARYMKEIHAPALSIWIVKIIVISIFVGFTLASIALCTRIEAGLEQKIVLPKDSYLQGYFNNISEHLRIGPPVYFVVKNYNYSSESRQTNQLCSISQCDSDSLLNEIAKASLIPESSFIAKPAASWLDDYLVWISPEAFGCCRKFTNGSYCPPDDQPPCCTSSGGGGSCGLNGVCKDCTTCFLHSDLNGGRPSTAQFKEKLPWFLSALPSADCAKGGHGAYTSSVDLKDYENGVIQASSFRTYHTPLNKQVDYINSMRAAQELSSRLSDSLKIEIFPYSVFYMFFEQYLNIWRTALINLAIAIGAVFIVCLIITCSLWTSAIILLVLAMIIVDLMGVMAILNIQLNAISVVNLVMSVGIAVEFCVHLTHAFSVSSGDRNQRMKEALSTMGASVLSGITLTKLVGVLVLCFSRTEVFVVYYFHVYLALVLLGFLHGLVFLPVVLSLFGPPSRCVFVEQQDNRPSTSSQS >KGN54934 pep chromosome:ASM407v2:4:19363609:19373685:1 gene:Csa_4G608100 transcript:KGN54934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANVSVAAEWQLLHNRYYRKPELYPMRWKHIDLGRNKVACAPFGGPIAIIRDDSKIVQLYAESALRKLRIFNCAGIQLAETVWRNPGGRLIGMAWTDDQTLVCVVQDGTVYRYNIHAELLEPNFSMGKECFEQNVVECVFWGNGVVCITEANQIFCISDFKNPNACKLSDPGIEDLPHCMVVIEPQYTMSGNVEVLLGVGEACVIAVEEDGVQRLGEGILDGPLQRMAVSLDGKWLAAFTHDGRLLVLTSDLQKIILDRECESALPPQQLAWCGMDSVLLYWDDMLLMMGPDGDPVRYFYDEPVFLIPECDGVRILSNTSMEFLQRVPDSTVTIFRIGSTSPAALLYDALDHFDRRSAKADENLRLIRPSLHEAVEACVDAAGHEFDISRQQTLLRAASYGQAFCSNFNRERIQEMCRLLRVLNAVRNPEIGIPLSIQQFKLLTPPVLIARLINAHQHLLALRVSEYLGMSQEVVIMHWACSKITASANIADATLLEVLLDKLKLCKGISYAAVAGHADKIGRRKLAAMLVDHEPRSSKQVPLLLSIGEEDTALIKATESGDTDLVYLVLFHIWQKRQPLEFFGMIQARTQARDLFITYARCYKHEFLKDFFLSTGQLNEVAFLLWKESWELGKNPMASKGSPLHSPRTKLIEKAHSLFAETKEHIFESKAAEEHAKLLKIQHDLEVSTKQAIFVDSSINDTIRTCIVLGNHRAALKVKTEFKVSEKRWYWLKVFALATTRDWVALETFSKEKRPPIGYKPFVEACVEADEKAEAVKYIPKLADPRERAEAYARIGMAKEAADAASQAKDGELLGRLKLTFAQNSAASSIFDTLRDRLSFPGVS >KGN52948 pep chromosome:ASM407v2:4:1189102:1193601:-1 gene:Csa_4G007040 transcript:KGN52948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLKLILTHARRHSFARPPSLSTSHFLHFRRSLSSSQSDSNPDPDSPPDQSSPRTSRSVPIQPVSYAVKPKPQADENQDSPVTLQSPPPPQGPPPRPEGRRTWTREDVRYMKDAPTITPVSYAARVAPLPEDTGSASGGGEEGVVTEQMEEERKKIEAANQWRRRVLRVAEEEKVTAPFPMLIKVEKKEQKVVLDLIDAIRQVKAAAKGNFDETVEAHARLGVDARKMQVLGNMTLPHSIGKTVKVAFFAEGADAEEARAAGADIVGGLELIEQIASSRKFNADKCFSTPEMMRRIGKISKILRQRGLLPDPKLGTVTSDIKGALKKAREGHMHFKMDSTSIVHVGLGKVSHSEEFLRENIGAFVNALLLAKPVGLKKASKYAGYLNSFHICSTMGPGFPITIQSLSKVADQYNRKYLSGVVR >KGN53828 pep chromosome:ASM407v2:4:8291933:8292109:1 gene:Csa_4G153550 transcript:KGN53828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLFLHGPLNVMDVSKNLPLGTLMVDRVKELHADIHAFRNDWVESVFPSGFAPNVITL >KGN53932 pep chromosome:ASM407v2:4:9423451:9429028:1 gene:Csa_4G192040 transcript:KGN53932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALKAVVLIAGGDSNVRGTIQFVQDSNGATHVNGRISGLSPGLHGFHIHALGDTTNGCNSTGPHFNPLKKDHGSPGDSERHVGDLGNIYAGPDGVAEVSISDRLISLKGPHSVIGRAVVVHADPDDLGKGGHELSKTTGNAGARIGCGIIGIQSSV >KGN53958 pep chromosome:ASM407v2:4:9626676:9627500:1 gene:Csa_4G194260 transcript:KGN53958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPCSTDIKTRKSKALGKLGVKVRHPKVSRKLKNLDNQKGYWQGVKTLCVACLDECLWKFHEWHKTSPMRSNVTRHARDKVPHNKDYKRSKLCDRACARKVDMRQGHAGPWWVHSVA >KGN52887 pep chromosome:ASM407v2:4:836044:837165:-1 gene:Csa_4G004960 transcript:KGN52887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQQKKFRGVRQRRWGSWVSEIRHPLLKKRVWLGTFETAEDAARAYDEAAILMSGRTAKTNFPLSTAVVTNESRNFAPFGSLSAILNSKLRKGYCKSLPPSLTCLRLDTKSCQIGVWQRRTGRYRVVNSNWLMMVKLDEKKNDDEYRVTDHELLEKGVEDEVRVSNEAGSLDEEERAALQMIEELLNKK >KGN54460 pep chromosome:ASM407v2:4:13586596:13589751:1 gene:Csa_4G334710 transcript:KGN54460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATNWESSSGGASDTGDEDNYEQDINDEEECLSASGCLRKLQFRKHASTARWNDQMGMAEVLENKGSLWTTTGIVRCGKIYCSIEETLFLIEVGALHLLDHDNSSLSLKDVYKKVAEGRSGRLWEQFEVYRHLKSLGYIVGKHRVPWSLKNVRNDCDISSPSSTENKGASDVKSDDEQSICRLLNAIQLDEVTPIFDVFLPHNKFRKSSPGDPNFMVCLTRGYPPSKEEIEVLERTSRGIPMKYCHVEHGRVCFFSFDKVELPLLP >KGN54711 pep chromosome:ASM407v2:4:16280581:16280838:-1 gene:Csa_4G431910 transcript:KGN54711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMLLLLLYIALVMPAKTLKTIQLLPCKTLARRSPSPSDVKFHLSPPRSIRRREASSVRTPLFVAISPLRRITVVSVQPSSIRQL >KGN53717 pep chromosome:ASM407v2:4:7083586:7084375:-1 gene:Csa_4G110040 transcript:KGN53717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTYKGRGDLLLALPSAIPLLLRTIGHHYFSINNSTSTRNDQAPYSAVTIPLLLGTLQEIDFFPMHKDVLDKTTKYVEKGSLDAGLHGTPDTDVVNVGNRVFMTSWSSIRIGASLMLRQNTLSPVSFFKRQEYVTKPDVKWH >KGN53813 pep chromosome:ASM407v2:4:8103881:8104168:1 gene:Csa_4G141210 transcript:KGN53813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKVQGTGLCLLVVVAIFLSSVRLGEAVSCNPTELSPCVSAITSSTAPTAACCQKLRQQEPCYCGYLKDPKYKAYAESPRVRAIASQCRVPIPKC >KGN55358 pep chromosome:ASM407v2:4:22085982:22094059:-1 gene:Csa_4G646320 transcript:KGN55358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQFKGQPRLPKFAVPKRYDIYLKPDLCLCKFSGSVAIDIDILSDTRFLVLNVADLLVHHASVSFTNRNSSKVIQPSSIQECKVSQILVLEFVETLPFGLGTLRMDFEGILNDNMKGFYRSTYEHNGEKKNMAVTQFEPVDARRCFPCWDEPAFKATFKITLHVPSELIALSNMPIVEEKVNGNLKTVSYLESPIMSTYLVAVVVGLFDYVEDHTTDGVKVRVYCQVGKANQGKFALHVAVKTLDLYKEYFDVPYSLPKLDMVAIPDFPGAMENYGLVTYGETALLYDDQHSAAANKQMVATVVAHELAHQWFGNLVTMEWWTHLWLNEGFATWVSYLATDSLFPEWNIWNQFLEESNYGLTLDGLAESHPIEVEINHASEVDEIFDAISYGKGASVIRMLQSYLGADCFQKSLASYIKKHSCSNTKTEDLWAALEEGSGEPVNNLMSSWTKQQGYPVVTVKVKDEKLVFDQSRLLSSGSSGEGQWIVPITLCCGSYDVRKNFLLQKKTESVDIKEFLGCSISKCCGGNDKYCDWIKLNVDQTGFYRVKYDEDLAAKLTNAIEKKHLTSTDRFGILDDAFALSMACQQSVTSLLTLMGAYREELDYTVLSNLISICYKLERIAADAVPESLDNLRQFFTNIFQFAAEKLGWDSKPGESHLDAMLRGELLTALALFGHEQTIEEANRRFLAFFDDRSTPLLPPDIRKAVYVAVMQTVNASNRSGYESLLRIYRESDLSEEKKRILRSLASCPDQNIILEFLNFLLSSEVRSQDAVVGLGVKWKARETAWTWLKTNWEEISKNFESGFLIGRFVSATVSPFASYEKAREVEEFFASRVKPSMARTLRQSIERVHINSRWVQSVQKDHDLPDAINELAWRRC >KGN54775 pep chromosome:ASM407v2:4:17121043:17122314:-1 gene:Csa_4G492200 transcript:KGN54775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAEAEKLITEMDERGLEIDEFTQSALTRMYIDAGMLEESWSWFWRFHLAGHMSSECYSANIDAYGERGFILEAERVFVSCQEEKKCTVLEFNVMIKAYGVVKDYAKAQKIFDSMKSNGVVPDKCSYSSLIQILTGADMPAMALAYLKKMQSAGLVSDCIPYSVVISSFSKLGHLEMADKLYREMVKHGVQPDIIVYGVLINAFADAGSVKEAINYVNAMKRDGLSGNTVIYNSLIKLYTKVGYLKEAHEAYKMLEQTDDGPAIYSSNCMIDLYSERSMVKEAEEIFESLKKKGEANEFTFAMMLCMYKKIGRIQEAICVARQMKEQGLLSDLLSFNNMISLYAMDGRFKEAVSIFQEMMKAAVQPDECTYKSLGVVLLKCGVSKQAVSKLEVTAKKDAQSGLQAWVSVLSSVVGMNVNEEDF >KGN52916 pep chromosome:ASM407v2:4:992612:995122:-1 gene:Csa_4G006230 transcript:KGN52916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHYSDIVGPERKNRGIQMENNPSCPPPRLNINLKNLPPINNPRNVSGSHSSIPAKFEKSRYIRRVPQFMPSDHRPEIRSNDYAGLPMKLAYSDSARALNTKTFPVAPSADSFVRKKDTCKDLASRCSCCRHDSTKEGTPEKRAAKSLLFEICTANHWQPPLFECCEEEGPSHAKKYRFKVRIEMKGDCEAVVECYGNLQTRKKVAAEHAAEGALWYLNHLGYRFKR >KGN53104 pep chromosome:ASM407v2:4:2146414:2149099:1 gene:Csa_4G016430 transcript:KGN53104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAEFLWTYAVQQVLKKVLELAADQIGLAWGLDRELSNLSQWLLKAEAILDLLLVVHEADNLLDELVYEYLRTKVEKGSINKATPLGLVGEEFIETENDLSQIRETISKLDDFEVVGREFEVSSIVKQVVDASNQYVTSILPIMGMGGIGKTTLAKTIFNHEEIKRHFDETIWICVSEPFLINKILGAILQMIKGVSSGFDNKEVLLQELQKVMRGKRYFLVLDDVWNENIALWTELKKCLLCFTEKSGNGIIVTTRSIEVGKIMESTLPSHHLGKLFDEQCRSLFKESANADELPMDPELKDLQEELVTRFGGVPFVARVLGGAPKFEGVYEKWVMSLRTTTSIPLQDEDLVLSTLKLSVDRLPSFLLKQCFAYCSNFPKGFKFKKEELIEMWMAQGFIQLHEGRNEITMEENGEKYFNILLSRSLFQDIIKDDRGRITHCKMHDLIYEIACTILNSQKLQEEHIDLLDKGSHTNHRINNAQNLRTLICNRQVLHKTIFDKIANCTCLRVLVVDSSITKLPESIGKMKHLRYLDISSSNIEELPNSISLLYNLQTLKLGSSMKHLPYNLSKLVSLRHLKFSIPQTPPHLSRLTQLQTLSGFAVGFEKGCKIEELGFLKNFKGRLELSNLNGIKHKEEAMSSKLVEKNLCELFLEWDLHILREGSNYNDLEVLKGLQPHKNLQFLSIINYAGQILPPAIFVENLVVIHLRHCVRCETLPMLGELPNLEELNISNLHCLRCIGNEFYGSYDHPNNHKVLFRKLKKFVLSEMHNLEQWEELVFTSRKDAIFPLLEDLNIRDCPILTSIPNIFGCPLKKLHVCGCDEVTRLPKDRSTTLHFH >KGN53517 pep chromosome:ASM407v2:4:5109260:5116682:-1 gene:Csa_4G064000 transcript:KGN53517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNGAIPRAEDAGNSLEKIKRQLASGSGRNLLQGPLLKRSETLRKWNERWVILDPTTGKMEYKIRRNEATIKGSIIFDANSNITVSPVNFHGLPKYDGCCIYIGTPQKQDYFLCAETPGAARAWVSTLHATQLVLKAHKEAVNSLSGNGSAKLGTVATVVAAANSTAQESSKEIERAMQISLRNTLGIIANKATDGQMDDLAIMKETLRVKDEELQNLARDLRARDSTINDIAEKLSETAEAAEAAASAAHMMDKQRKIVCAEIQRIKEDSEKQLDASILKLKEYEAKLMDMSRERDQLIKQRDAANQEAHMWRSELAKAREQAVILEGAVVRAEEKVRVSEADAEARIKDAKQKELAALQEKQELMAYINRLQAQIQRQHIQVFEEKMESCPGNDGSLLTKHVDSSDDNVDKACLSDSRAIPVSGEVNLQPIGDGEWSDIQTTEARIADVREIAAETEGSSLDITVVSQPVNSHHEQDVSSSNQP >KGN53054 pep chromosome:ASM407v2:4:1898816:1900292:1 gene:Csa_4G012500 transcript:KGN53054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELVEMDDVTLKKLKNVMADFSKDRDWDQFHSPRNLLLAMVCEVGELSEIFQWKGEVPRGLPDWKEEEKQHLGEELSDVLLYLVRLADICGIDFDKAVLRKLELNGKKYPVELCKGSSRKHTEIICKEDCNENGGKEEDPTK >KGN55185 pep chromosome:ASM407v2:4:21117324:21117596:-1 gene:Csa_4G639730 transcript:KGN55185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRKSKNYYPRAKLSREQLLLIFRNHDSDKDGRLSTTELTEAFRYIGAFLPTYRARHALLHADSDRDGFIGEKDFESLVNYAEQRQYTII >KGN53615 pep chromosome:ASM407v2:4:5909401:5909589:1 gene:Csa_4G090340 transcript:KGN53615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSSEMELQGRRHYKFGENMMENLENSNLENKGQQRLLVWQKRKALMKKKLLRNAADCHQR >KGN52905 pep chromosome:ASM407v2:4:920341:922912:1 gene:Csa_4G006120 transcript:KGN52905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNWVELDQGSLLMDTLKEESKKMGKVHQMTFGRRKWTNGDKLKASLILSFHVLCIFAPFHFNWSAFWVAFVLYILTGLFGISISYHRNLSHKSFKLPKWLEYVFAYCGVHAIQGDPIDWVSTHRYHHQHTDTERDPHSPVQGFWFSYVIWIFDTITLTKKVCPEYFIDYKDKKRGAFSLVTKYGSPNNVADMEDDPFYRFIHDTYLLHPIALGVLLYIVGGTPFFIWGMCVRATVYMNVVFMINSICHLWGKKQWNTKDSTRNNWWISLPSFGESWHNNHHAFEYSARAGIEWWEVDIGWYVILFLQAIGLATDVKQPSQAHMQRLSMDKPNEDCQE >KGN54731 pep chromosome:ASM407v2:4:16565313:16566023:1 gene:Csa_4G437510 transcript:KGN54731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQIPAINRNPFEGKKSMAGAVFFDRSAYSLPEKKEHNKRQNSNSVGDQQPRMGKEAKDLWRKEKNSGNKESEVNAFCSPKIPSVNSSLNELIATNSVGEEQQPRVGKEAKDLWRKEKNSGNKKSKVNAVCSSKIPFVNSSLNELIGTNKKESNLIGPTKAPCKGSSGFWYSNKEEEEMGPTNIKDNGSLSLPNEALQQSISLGNKNKRKVKGKKRSNKKSLVQTVVNDLQKSKNY >KGN53468 pep chromosome:ASM407v2:4:4738817:4743817:1 gene:Csa_4G056590 transcript:KGN53468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKHELDAPVSSRQIDLKKSFKLAVRPLLTSCSREEFRECFSRFTTAEQDYLHRLFIQVITSLHGNIEDEFESLCVETQVGLVFDNVEQLLEEQDLDPLYSKKTNIVEIYNFLSMTKKEEIQHLKDMLKTAEEQNWVIQGRIDDLRKGVQDASGMADAVKKLRN >KGN53511 pep chromosome:ASM407v2:4:5053636:5071820:1 gene:Csa_4G063450 transcript:KGN53511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKKKQKKGVQKPKCKLNSNVGSAITQALQRFCLTHDEVFTFEADLSKRERALVHEVCRKMGMTSKSSGHGDQRRVSVYKSKLQMETVKFSEKTKTVLDDLFSMYPPDDGELGKETVGNHHKKADKPRRRKDDIFWRPSMTKEELTKKVGSYTLKNVANMKKISERSKLPIASFEDVITSTVESHQVVLISGETGCGKTTQVPQFLLDYMWGKGETCKIVCTQPRRISAVSVSERISYERGENVGSDIGYKIRLESKGGRHSSIVLCTNGILLRVLISEGLGKLTMEASRKSRKNVVSDLTHIIVDEVHERDRYSDFILTILRDLLPSYPHLRLILMSATIDAERFSKYFGGCPIISVPGFTYPVKNFYLEDVLSIVKSSEENHLDDSIVGVSDGEPELTEEDKLDLDESIDMAWLNDEFDPLLELVASGGSSQIFNYQHSVTGLTPLMVLAGKGRVSDVCMLLSFGATCELQAKDGSTALELAERGDQKETAEAIRKHLESSMSNSKEERRLIGAYLAKNSNSVDVRLIEQLLGKICLDSKEGAILVFLPGWDDISKTRERLSINPLFKDASKFLIISLHSMVPSKEQKKVFRRPPPGCRKIILSTNIAETAITIDDVVYVIDSGWMKEKSYDPYSNVSTFQSSWISKASAKQREGRAGRCQPGICYHLYSKFRASSLPDFQVPEIKRMPIEELCLQVKLLDPNCKIEDFLQKTLDPPVFDTIRNAILVLQDIGALSLDEKLTELGKKLGSLPVHPVTSKMLIFAILMNCLGPALTLACASDYKDPFTLPMLPSERKKAAAAKAELASLYGGHSDQLAVVAAFDCWKNVKGRGQEVRFCSKYYISSSTMTMLSGMRRQLEMELVQNGFIPEDVSTCNLNACDPGILHAVLVAGLYPMVGRLLPPQKKGKRAVVETGSGSRVLLHPQSLNFELSLKQTDSHPLIVYDEVTRGDGGTHIRNCTIVGPLPLLMVAKDIAVAPAKESNNRKGGTKNKNKGNGKAGIDETAQEKMDIENKSNQQPEEMIMSSPDNSVTVVVDRWLQFWSKALDIAQLYCLRERLSSAILFKVKHPNGVLPPVLGASMHALACILSYDGLSGISLESVEMLTSMVNATEIGHFAPGRSIGTHKKVSWFHKLHPNYNDFTVPEANGTSILNDPLSQNLLPTPDFRTANPSDPSSPYVRTSPNSVYARSTPQSQREHKPFKLGKLSRDQDAAAFQAGKTLSRPRC >KGN53479 pep chromosome:ASM407v2:4:4845092:4845400:1 gene:Csa_4G056685 transcript:KGN53479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCTYEIVVFFPCFIISNMLTLKCLLYIIKSNNSYRRFEVGSILIGVFYIAIVNLDGVGSSCSSDSRDLQSIQSSPSIAITISRYEINSILPNLNTHGNSSS >KGN53526 pep chromosome:ASM407v2:4:5189632:5194933:1 gene:Csa_4G064090 transcript:KGN53526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDQDSCSTPGVSIPEFTLTSPDLVICAGSPDIPVDNYCDSPEFLEIKGCKPMESSMELSFENSFSGIEVKYNQRTPSVRFSKLCETYEHELSPESSFELAPPPPVTNSLQSQELLQAFSINSGSSNDAVTFDGINYVEDNWYKGGDTIRSDEIEHPLYQTARYGNFCYNLSSLEPGNYVVDLHFAEIVFTNGPSGMRVFDVYLQDQKVVSGLDIYARVGGNKPLIVSDLKTTVDVKDLTIRFEGLMGRPIVCGISVRKDIPSNIKEVERLEGVGSSQLENSEMSRDGSELIVKEKYIEFQKDFELMKNELATARKDVEELKKENNQKGRECQEAWKSLNELQNELMRKSMHVGSLAFAIEGQVKEKGRWFSSLRDLTRKVKIMKMENIKLSEEVLAFKNCFVDMNEMTSKIQTAFKQQSDLQENLKTKFVEGAKERKELYNKMLELKGNIRVFCRCRPLNTEEIAYGASMVVDFESDKDGELIVKSNGAPRRIFKFDAVFGPQANQGDVFEDTAPFAASVLDGYNVCIFAYGQTGTGKTFTMEGTEGARGVNYRILEELFRLTKERQKLHRYKVSVSVLEVYNEQIRDLLVSGSQLGNSAKRLEVRQISEGIHHVPGMVEAPVDNMNEVWEVLQTGSNARAVGSTNCNEHSSRSHCIHCVMVKGENLLNGECTSSKLWLVDLAGSERIAKVEVQGERLKETQNINRSLSALGDVISALATKSPHVPFRNSKLTHLLQDSLGGDSKTLMFVQISPNENDLNETLCSLNFASRVRGIELGPAKRQLDMSEFLKCKQMTEKTKQDMKSKDLQIRKMEETIHGLDLKMKEKDQKNKNLQDKVKELEAQLLVERKLARQHVDAKIAEQQMKNELEDHKSAPLRPQLASRPLGSQKNLHGSFNNMLGKEQINLTHPLTENNGFKPSFPFSPVDGATKSTDSAEKENNPEMVERCFVPPKRTGRASICTMARRVPMTLAPRRKSLIPLPSIPSSTHLPSPMLTLAADKIDEGNDGSDDSNCFPDQAQCESPKEIKYGGKKLSNVLRRSVQKKIKMKSPMQQHMRRGGINVGMEKVRVSIGSRGRMAAHRVLLGNGRRVTKDDIQSKKEKERGWNMGTTVGRTVI >KGN53379 pep chromosome:ASM407v2:4:4141791:4143852:-1 gene:Csa_4G050830 transcript:KGN53379 gene_biotype:protein_coding transcript_biotype:protein_coding description:6-phosphogluconate dehydrogenase, decarboxylating MEASTALSRIGLAGLAVMGQNLALNIAEKGFPISVYNRTTSKVDETVDRAHNEGNLPLFGQYNPRDFVLSIQRPRSVIILVKAGLPVDQTIAALSDHLEPGDAIIDGGNEWYENTERRIAQASERGILYLGMGVSGGEDGARHGPSLMPGGSFQAYSNVQDILKKVAAQVDDGPCVTYIGEGGSGNFVKMVHNGIEYGDMQLISEAYDVLKHVGGLSNSELADIFSEWNRGELESFLIEISADIFKVKDEHGDGELVDKILDKTGMKGTGKWTVQQAAELSIAAPTIAASLDCRYLSGLKDERESAAEVLKEAGMTDSVGSVRSGIDKKKLIEDVRQALYASKICSYAQGMNLLRAKSLEKGWNLNFGELARIWKGGCIIRAVFLDRIKKAYQRNPNLASLLVDPEFAREMVQRQAAWRRVVGLAISAGISTPGMCASLAYFDTYRRARLPANLVQAQRDLFGAHTYERVDRQGSYHTEWTKLARSADAGVGIFN >KGN55116 pep chromosome:ASM407v2:4:20654795:20657308:1 gene:Csa_4G631580 transcript:KGN55116 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2c MTGKEILHKMKEKVGLCSSDPDSGKGKSKMSKHVTHGFHLVEGKSNHAMEDYFVAEFKQVDHHELGLFAIFDGHLSNSIPDYLRSHLFSNIINEPDFWTDPKNAVKKAYEQTDAYILEKAVDFSHGGSTAVTAILIDCKTLIVGNVGDSRAVICSKGEAKQLSIDHEPSVERKSIEERGGFVSNFPGDVPRVDGQLAVARAFGDRSLKQHLSSEPYVVEETIDDNTEFVILASDGLWKVMSNEEAVESIKHIKDAHAAAKHLTEEALKRKSKDDISCIVVRFH >KGN53203 pep chromosome:ASM407v2:4:2825516:2832161:1 gene:Csa_4G026800 transcript:KGN53203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLYLTALVLVCFHYFAVSDFQGDALYAFKKALNATSSQLGDWNLNHVNPCSSWSNIMCNGNNVTAITLPTMGFTGTLSPEIAVIKSLSTLNLEGNYITGGIPAEFGNLTNLVTLDLGNNSLIDQIPSSLGNLKNLRFLTLSQNHLTGSIPETLSTLPSLINLFLDSNNLSGQIPEQLFQVSKFNFSGNKLDCGNNSRWSCDSDSTNSGASNKSKVGLLAGPISGLMVTLLLVGLLLLLCKHRYKGYKGEVFEDVPGEIDRKIAFGQLKRFAWRELQLATENFSEENVIGQGGFGKVYKGVLADGTKVAVKQSTNYERLGGDASFLREVEMISVAVHRNLLRLIGFCTTQTERLLVYPYMQNLSVANRLRELKPGEPILDWPTRKRVALGTARGLGYLHEHCNPKIIHRDVKAANVLLDEDFEAVVGDFGLAKLVDVKKTSVTTQVRGTAGHIAPEYLSTGKSSEKTDVFGYGIMLLELITGQRAIDFSRFDEDDDVLLLDHVKKLEREKRLNIIVDENLTNYDIREVETLAQVALLCTQQSSASRPTMSQVIRMLEGEGLGERWEEWQHLEVIRRQDYERMQRRFELGNDSIYKQEAIELSGGR >KGN53916 pep chromosome:ASM407v2:4:9286595:9296060:-1 gene:Csa_4G188732 transcript:KGN53916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKQRGIKSEYLGSTQTDSTVQAKAESGQYNVLFMTPEKACSVPMSFWSKLKKAGICLFAVDEAHCISEWGHDFRVEYKQLDKLRDVLPDLPFVALTATATEKVRSDIINSLKMKDPQVTIGSFDRTNLFYGVKSFNRGPLFMNEFVLDISKYVASGGSTIIYCTTIKDVEQIFKALEEAGISAGIYHGQMDKKSRAESHRLFIRDELQVMVATIAFGMGIDKPNIRQVIHYGCPKSLESYYQESGRGGRDGIASVCWLYYTRSDFAKADFYCGESQTENQRRAIRESLMAAQQYCSIATCRRNFLLGYFGERFHSDKCGLSFTWFYSLNLLNSQRIFQYAICGDQTVKRIALTRPSTKARLANIDGVNQHLLKMHGDLILEAVKRLSQQVSLSLDGEYREEGNGQGTTMRKLYTEPNQRRPLAPAKFEAWKMWHEDGLSIQKIANFPGRLAPIKETTVFGYIVDAVQEGYEIDWTKFCDEIGLTCQIFSDIQSAVTKVGSAEKLKAIKDELPEEINYAHIKACLVMQSRGMSPEGLDRKTDEPMTGASVLSGSPTSIQKKEEPCVIETPSNGEEEISVSLKRQKVCELEEEHRVPIKATESLLVEWLKNNDGVTLDNMMKQFKLKGCEEESLVALLNSLEGDFVIYKKNNIYKLM >KGN55213 pep chromosome:ASM407v2:4:21299078:21302016:-1 gene:Csa_4G640990 transcript:KGN55213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGYLQPYWFKSSVPSSFLSLLEGQYGDDDAIQSINLASKCLQYEARDRSDIKFVISAVTPLQKLEIRPL >KGN54049 pep chromosome:ASM407v2:4:10734734:10738101:-1 gene:Csa_4G274790 transcript:KGN54049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDADMEDYGFEYSDDEPEEQDVDIENQYYNSKGLVETDPEGALAGFAEVVRMEPEKAEWGFKALKQTVKLYYRLGRYKEMMDSYRVMLTYIKSAVTRNYSEKCINNIMDFVSGSASQSFGLLQEFYQTTLKALEEAKNERLWFKTNLKLCKIWFDIGEYGRMIKILKELHKSCQREDGTDDQKKGSQLLEVYAIEIQMYTETKNNKKLKSNRKTIMDDPFIRNYIEDLLKNVRTQVLLKLIKPYTRIRIPFISKELNVPEKDVEQLLVSLILDNRIDGHIDQVNRLLERGDRSKGMKKYTAIDKWNTQLKSLFQTVSNRVY >KGN54405 pep chromosome:ASM407v2:4:13010217:13012004:-1 gene:Csa_4G312870 transcript:KGN54405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQIMNKVGSYWFNQKASKEIGSIGDDINSLSTSIQGGTSWLVNKIKVQWRHAITMYWPRDIILVSLLQKIVHYFDSSSLVFRRKNAKTFARPAEGL >KGN55092 pep chromosome:ASM407v2:4:20481657:20483813:-1 gene:Csa_4G628890 transcript:KGN55092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLPPKIPSFPQNWPPFPPPPTSMPNSLSSPPPPPPSSTSSSAASWLDDFLDFSTARRGLHRRSISDSIAFLETTTTTTTNNNINNPFSDQCRNSSSAALLHPPPPFDRLDDDQLMSMFSDDISIPQLPPNSPSNPSTPSDQNSNNDDKNTPTDEVMFSSPLPPPMKNEPADGEISSSSQVLQQSQPPPPPSSAVDNSIDPKRVKRILANRQSAQRSRVRKLQYISELERNVTTLQTEVSALSPRVAFLDHQRLILNVDNSALKQRIAALAQDKIFKDAHQEALKKEIERLRQVYHQQSMKKMSNNQNGAAQPQAALSGEGTPPSKAGETEKEKALS >KGN53864 pep chromosome:ASM407v2:4:8827326:8833588:-1 gene:Csa_4G172520 transcript:KGN53864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPAWRQLKVMLRKNWLLKIRHPFITSAEILLPTVVMLLLIAVRTQVDNTVHPPQPHIQKGMFVEVGKGISPSFQEVLVSLKKNGEYLAFAPDTVETKTMLHLMSLKFPLLEVVTRVYKDEQELEAYIRSDLYGNCNVAKNLNCSNPKIKGAVVFHEQGPELFDYSIRLNHTWAFSGFPNVRSIMDMNGPYLNDLELGATNIPTMQYSFSGFLTGKLQAILLIEDNNTVLISGLQQSRSREYSVIC >KGN54552 pep chromosome:ASM407v2:4:14649254:14652141:-1 gene:Csa_4G361860 transcript:KGN54552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTLLLVSILFASFAVSLSDKFLFADSEQVKTLRFGSSVLFPVRGNVYPLGHFTVLLNIGNPSKVFELDIDTGSDLTWVQCDVECIGCTLPRDMLYRPHNNAVSREDPLCAALSSLGKFIFKNPNDQCAYEVEYADHGSSVGVLVKDLVPMRLTNGKRISPNLGFGCGYDQENGDLQQPPSIAGVLGLSSSKATIVSQLSDLGHVSNVVGHCLTGRGGGFLFFGGDVVPSSGMSWTPILRNSEGKYSSGPAEVYFNGRAVGIGGLTLTFDSGSSYTYFNSQVYRAIEKLLKNDLKGNPLKLASDDKTLELCWKGPKPFESVVDVRNFFKPLAMSFKNSKNVQFQIPPEAYLIISEFGNVCLGILDGSKEGMGNVNIIGDISMLNKIVVYDNERERIGWASSNCNRSPRNEASW >KGN54809 pep chromosome:ASM407v2:4:17676915:17679112:1 gene:Csa_4G507390 transcript:KGN54809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEALAAVTGFLHKLLTGRWLMLFASLIIMAMNGSGYMFGLYSNHIKSVFGYDQSTLNLISFFKDLGANLGVVSGLLYEVAPPWLVLSVGAILNFFGYFMLWLAVSGRTAAPGLRLMCVYMSVAANSLSFGNTAALVTCLRNFPLHRGCLLGLLKGYIGLSGAIMTQLYHAMYGEDNPEGLILMIAWLPSAISLASLPFIRLINSNNNQRNDLKPFYNLLYISLALAASLLAIIIPQTKTHFSKTDYIAVASPIVLFLLLPLAVVVNQELTLHNHPPPITSILVQSPSPQLTTMSRSSNWYKNIFTGRPMLGDDHTILQAILSVDMAILFVVTTCGVGGALTVVDNVAQIGASLDYPTRSISSFVSLMSIWNFLGRVMAGYVSEFLLIKYRLPRPLMLTFVILLSCIGHIMIAFGVPNSLYFASIITGFCLGAQLPLTATIISDLFGLKHYSTLYNVGSVSSPVGSYIFNVRLAGRIYDREGERQRNFGFGYFGA >KGN55117 pep chromosome:ASM407v2:4:20657444:20658877:-1 gene:Csa_4G631590 transcript:KGN55117 gene_biotype:protein_coding transcript_biotype:protein_coding description:Squamosa promoter-binding protein MDAKSFEGKHNFKDKTVVDDDFEYETEEEEVGGGGGGGSNSNACNALGYFDNEKKKRGAAVSGRGKSGGSVSLPSCQAHNCAADLSEAKRYHRRHKVCEFHSKAAIVMVAGIRQRFCQQCSRFHELTEFDEAKRSCRRRLAGHNERRRKSSAESQGESTSRKGSAPQAQSKESHCRQLVEDQRSRIQMAPPGSSGYKHLHIR >KGN55187 pep chromosome:ASM407v2:4:21133791:21134517:-1 gene:Csa_4G639750 transcript:KGN55187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMFRPKTKSNSPPVSSESAYSAKELRQIFNQMDTNEDGGLGLFEIKNALKKLDAEMPVHLAYSALCHADRNRDGKLGEEEIDQFVKYMMSKGYVV >KGN53566 pep chromosome:ASM407v2:4:5539034:5543287:1 gene:Csa_4G082430 transcript:KGN53566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKKHVIFRVHLLKYGPETVFLFGPKVKKAEGPLTENSPIGRSSEGSSSLFRARFRDAEVEKTMEQRRSKMRIAIIHPDLGIGGAERLIVDAAVELASQGHNVHIFTSHHDKNRCFEETLAGTFPVTVYGDFLPRHIFYRLHAVCAYLRCIFVTLCMLFMWSSFDVVLADQVSVVVPILKLRRSSKVVFYCHFPDLLLAKHTTILRRLYRKPIDLIEELTTGMADLILVNSKFTASTFAKTFKHLDARGVRPSVLYPAVNVDQFDEPHSSKLSFLSINRFERKKNIELAISAFAKLGTLEGCTLQDYNVADVSLVIAGGFDKRLRENVEYLEELKNLAEREGVSERVTFITSCSTLERNALLSQCLCVLYTPKDEHFGIVPLEAMAAYKPVIACNSGGPVETIKHGTTGFLCSPNSQEFSVAMAKLVQDRAMGARMGKEARQHIVNSFSTKIFGQQLNQYVVDIARLKRD >KGN54293 pep chromosome:ASM407v2:4:12180896:12181745:1 gene:Csa_4G297430 transcript:KGN54293 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase, beta-like region MRITNMMIRISPIRLTSAVRKITTTITMRMGSRGPLRRLAIRYPTGSRRRTVRLENAFGMNIDSTNVAAINPERRTILFANRASARGELAEGEFMAAVDEVAELLRELGAKWMDIEVAVIAAVEHAVDGFTADIAAAMVHLVDQSKAVGVEKGG >KGN54702 pep chromosome:ASM407v2:4:16133710:16134062:1 gene:Csa_4G430850 transcript:KGN54702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFETLGFDLCFYVSLLGELSGEREERLHLTQALSLHALNWILKFGGNVVLTMNTGF >KGN55328 pep chromosome:ASM407v2:4:21910123:21918810:-1 gene:Csa_4G646020 transcript:KGN55328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHLLRKLHIGGGLNEHQRLSDARPVTRPSSSPSPGPSPNSNPSGSSSSGSSSSLSMASSTTMGRLEAVESVVDPAASGDVGGGCVDFNALEEEFQVQLAMAISASDPDSRQDTESAQIDAAKRMSLGCSPSVSGSKALAEFLSLQYWSYNVVNYDEKVMDGFYDLYGITASSSTRGKMPLLVDLKEICVTSDIDYEVILVNRLLDPELQQLERQAYNIFMECRVSEYGFILSGLVQKIADMVVARMGGPVGDAEEMLRRWTRRSYEMRSSLNTIILPLGRLDIGLARHRALLFKVLADRINLPCILVKGSYYTGTDDGAVNMIKIDNGSEYIIDLMGAPGTLIPSEAPSGQFSNYGFDRRPADVIEVPEDTPILQNEGAEAVSISSTQDEVADVCNLISKEASDLDAQSKENIRNFIEEIQSGSSGYDFAKLLESESSACEGSLGAFAQSASAQKKKVKKVSKYVISAAKNPEFAQKLHAVLLESGASPPADLFSDIESQDNGESKETFQMYPINGKGIDVGLQSHSYILASHGQSSATSTEAEYLNNVVHENKQKVPSGGLSEEQMANTNANNHSIFWPHSMKNEGFVFVDVNGEAGKLVDVNGTFHREHMDDVLLTSDTDSHKKLGSALVSEERRLLQDKSGGTLQCFDLCEKPLENLLQTDDSKLHASDEHNETINPILGEVAEWEIPWEDLHIGERIGIGSYGEVYRADWNGTEVAVKKFLDQDFSGAALVQLKCEVEIMLRLRHPNVVLFMGAVTRPPHFSILTEFLPRGSLYRLLHRPNSQLDERRRLKMALDVAKGMNYLHTSHPTIVHRDLKSPNLLVDKNWVVKVCDFGLSRVKQNTFLSSKSTAGTPEWMAPEVLRNEPANEKCDVYSFGVILWELTTCRIPWKGLNPMQVVGAVGFQNRRLEIPQDVDPAVAQIICDCWQTDSQLRPSFSQLITRLRRLQRLVQKTDSGNQISE >KGN53382 pep chromosome:ASM407v2:4:4156094:4159605:1 gene:Csa_4G050850 transcript:KGN53382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRSGEASNQKPAVEVAKDKNGVEQVLLRNSRGASARVSLHGGQVLSWKTEKGEELLFTSSKAIFKPPKAVRGGIPICFPQFGARGNLEQHGFARNKIWKIDDNPPPLNRGEIKDKSCIDLLLKPSEDDLKIWPHSFEFRLKVCLAADGNLILISRIWNINCKPFSFSIAFHTYFSISDISEVRVEGLEVLDYLDNLHDKQRFTEQGDALTFESEIDRVYLSSSDLIAVLDHERKRTFVVRREGLPDVVVWNPWEKKAKSIVDLGDEDYKQMLCVDGAAVEKPITLKPGEEWTGRLELSVIPSS >KGN52950 pep chromosome:ASM407v2:4:1200380:1205146:-1 gene:Csa_4G007060 transcript:KGN52950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEKVGDEGTKKLKGRKSSWATLRRVDSLNLEAGRVPATATHRLPTVNWQRTLSLAFQSVGVVYGDIGTSPLYVYASTFSSGNIENTDDVIGVLSLIIYTIALIPLLKYVFIVLWANDNGDGGTFALYSLLCRYVKVGLIPNEQPEDRELSNYQLVVPSNLRRSQKVKEKLENSMFAKIVLFLVTIAGTSMVIGDGVLTPSISVLSAVSGISSLGTDAVVGISVAILVILFCIQRFGTDKVGFSFAPIIFTWFAFIGGIGLFNLFKHDPSVLKAFNPKYIFDYFKRNGKEAWVSLGGVFLCITGTEAMFADLGHFNVRAIQISFSSIVFPALLAAYSGQAAYLRKFPDHVAHTFYDSIPDPLYWPTFVVAVAASIIASQAMISGAFAIISQSLSLGCFPRVKVIHTSPTYEGQVYIPEVNYLLMLACVIVTAAFKTTENIGHAYGIAVVAVMIMTTAMVSLIMIVIWKTSIWLIVLFILVFGSIELLYFSSVLYKFTQGGFLPLVLAVFLMAIMVVWHYVHRERYIFELKNKVSSGYITELANNPDVNRIPGIGLLYSELVQGIPPIFPHFISSIPSVHSVIVFVSIKSIPISKVTPNERFLFRQVEPREYRMFRCVVRYGYKDIVTGSDEFERQLVESLKQFIRQEHIMLEGVPIDPPVSVATVHVEGETASAEVANHSSRRSSGSIQPVGESKSRGSSNGTADRQVLGVAAVEEEMAFIEKAMKKGVVYLLGEAEVVAEPKSSLIKKMVVNYAYSFLRKNFRQGENVLEIPRTRLLRVGMTYEI >KGN53007 pep chromosome:ASM407v2:4:1620854:1630057:1 gene:Csa_4G011060 transcript:KGN53007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQTHVFTGLALASTPSSSFQPSSSKPATLCVASKLLRTSFLNGGGNTLQITRMNSLHLDRSHGSRRGGALGARMNLFDRVARLVKSYANALVSSFEDPEKILEQTVLEMNDDLTKMRQATAQVLASQKRLENKYKSAQQAADDWYRKAQLALQKGDDDLAREALKRRKSYADNANALKAQLDQQKGVVENLVSNTRLLESKIQEARSKKDTLKARAQSAKTATKVSEMLGNVNTSSALSAFEKMEEKVLAMESQAEALGQLTTDDLEGKFALLEGSSVDDELANLKKELSGSSKKGELPPGRTVSGSAFPVRDAEIESELNELRQRAREL >KGN53534 pep chromosome:ASM407v2:4:5249220:5258140:-1 gene:Csa_4G064660 transcript:KGN53534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQLQMSWQPSLLSQKRRNGPPLGLKNLGNTCYLNSVLQCLTYTPPLANFCLRNQHSSLCDFASSDADRKRECPFCILERRIVRSLSLDLTLDSPLNVQSCLRIFAEHFRLGRQEDAHEFLRYVIDACHNTCLRLKKLRRNGSYNGGATTGSSTVVKEIFGGALQSQVKCLSCGNDSNKVDEIMDISLDVLHSSSLKEALQKFFQLEILDGSNKYKCDNCKKLVVARKQMSILQAPNILVIQLKRFEGIFGGKIDKAIAYEESLQLSNFMCKGSQDSRPEYKLFGTIVHSGFSAESGHYYAYIKDASGRWYCCNDSYVTVSTLQEVLSEKVYILFFSRTNQRPVTASTALASNGVKSHECNRSDGSKVSKHSVVTKTAQTRSHVEQSSRKELSSLSKVDKPTFSSRGKSNMNGHSNMNGHSNTIRAPSTINGKIVLEEDQSIKENEKENVNSLPLENGARHKSSLGNGNSKKSFEVEHDVIEREHKSVLVSSNGNGGSMGMKADKHDPCNINPMNNKFTSGRGSNHDEVDNASNCPSEVRRAKRKSDFCILFQQDAQSRERVEDLKQDLKRETLSVLRSCGWLEEVFNCMRSRKRLCLREMDTTQSCNDLKKLLIEEAKQKFISRIPESLKGDLIEKLQLFSQEK >KGN54444 pep chromosome:ASM407v2:4:13437155:13441791:-1 gene:Csa_4G332110 transcript:KGN54444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSNIGYAETDPSGRYGRFREILGKGATKTVYKGFDEVLGIEVAWNQVHLKDVFHSPEELQRLYSEVHLLKNLNHDSIIRFYTYWIDTHRRTFNFITEMFTSGTLREYRQKYRNIDIEAIKNWARQILHGLVYLHGHDPPIIHRDLKCDNVFINGHLGQVKIGDLGLAAILHDSQHAHSVIGTPEFMAPELYDEEYNELVDVYSFGMCMIEMLTLEYPYSECFNPAQIYKKVTSGKLPNAFYEIKDLEAQRFVRKCLENVSKRVSARELLLDPFLAPSNANNASHNEELLSSSLSPEKSIMARRTDLAISGSINPKDDSIFLKVQIKVKNGKSKNVYFAFDILNDTTIDVATEMVKELEIISDWDPLEIAVMIEKEISSLIPDWEEWKLPKIQHQDSFNYEQDHDGDNDNDNDDDDENYATPHPFYYCGSSHGSSSDSLHAFYSSRENPNHYFGGMKDTSNTTEWFREDDTSSCCSLNSFNYSDLSFYSNNEDEYEYDSNIKGREPQYVSTTKQPTRFCPTMKIDSHHLRHKDNKIIPNREVFESRSRSNNSPRLTRVKSMVNLRSETLHRYLVEMLLKKRLFNTVGAMENIGYQKP >KGN54094 pep chromosome:ASM407v2:4:10976528:10980175:-1 gene:Csa_4G285700 transcript:KGN54094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIARKLSASNLKSFLFLRFISHLSSSPICSNPSILFPLPSTLSRSFSSKPTSPQAFSLSRDGNFDETTSRFSLVCPGCGVHMQDSNPKHPGFFIKPSKKDSNYRLLTHLVPVDDESECSEFLKRGLVIEPEKEKTEEDVNEKPQKPTVCSRCHSLRHYGKVKDPSVENLLPDFDFNHTLGGRLVSTTGTRSVVLIVVDAADFDGSFPKKVANLVSASIENNSAAWKQGKSGNVPRVVLVVTKTDLLPSSLSPEKFEHWVRQRAREGGINKITSLHMVSSIRDWGIKNLVEDVIELAGARGNVWAIGAQNAGKSTLINSIGKHVGGKITQLTEAPVPGTTLGIIRVEGIFPAQAKLFDTPGLLNPHQITTRLTREEQKLVHISKELKPRTYRIKVGHTIHVAGLMRLDVEETNLDTIYVTVWASPYLPLHMGKTENATKMQEDHFGIQLQPPIGKDRVEELGKWVRREFRVCGTSWDSSCVDAAVAGLGWFAIGLKGEVVLSIWTYEGVDIVLRSSVIPHRANFFEDAGFTVSKIVSKADQASNKPLGQSEKKKKSDPEVHVLAQT >KGN54108 pep chromosome:ASM407v2:4:11046106:11050268:1 gene:Csa_4G286330 transcript:KGN54108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQINKPLLTLPPLLKITSNLGAYLTSACSVFAFPMPPLMALKANAMTPTYLHRSFFTPQTPSLPPIKRYHRLHTHIRLRCRSSLVDEQQKEVVSFSQPENSLIDALIGVQGRGRSVSSQQLSNVERAVSVLEGLEGVRDPTNSSLIEGRWQLVFTTRPGTASIIQRTFVGVDFFSVFQEIFLRTNDPRVSNIVKFSDAIGELKVEAAASVKDGKRILFQFDRAAFSFKFLPFKVPYPVPFKLLGDEAKGWLDTTYLSPSGNLRISRGNKGTTFVLQKQTEARQKLLLAISTDKGVEEAIDKLISENQNENKFEEELLEGGWNMLWSSQMETDSWIENAANGLMGMQVIKNGQMKFGVDMLLGLRFSMIGTLVKSGDNAYDVTMDDAAIIGGPFGYPLGMESRFKLQLLYNDGKIRITRGYNNILFVHVRVAESKQV >KGN54530 pep chromosome:ASM407v2:4:14490055:14490255:-1 gene:Csa_4G358680 transcript:KGN54530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVFLPLKLPPFLGLSLRRVADNPASLTCIAFEPSFLLRLRLQREQQVVQHVFDD >KGN55153 pep chromosome:ASM407v2:4:20912271:20916066:-1 gene:Csa_4G638420 transcript:KGN55153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERPETELISIPATPRVSTPEILTPTGQRSPRPPSKEAKSSTAWTPTSFISPRFLSPIGTPMKRVLINMKGYLEEVGHLTKLNPQDAWLPITESRNGNAHYAAFHNLNAGIGFQALVLPVAFAFLGWSWGILSLTIAYCWQLYTLWILVQLHEAVPGKRYNRYVELAEAAFGERLGVWLAVFPTVYLSAGTATALILIGGETMKLFFQIVCGPLCSSNPLTTVEWYLVFTSLCIVLSQLPNLNSIAGLSLIGAVTAITYSTMVWVLSVSQQRPPTISYEPLSMPSASSSVFSVMNALGIVAFAFRGHNLVLEIQSTMPSTFKHPAHVPMWRGAKVAYFFIAACLFPVAIGGYWAYGNLMPSGGMLNAIYAFHSHDIPRGLLAITFLLVVFNCLSSFQIYSMPVFDSFEASYTSRTNRPCSIWVRSGFRVFYGFVNFFIGVALPFLSSLAGLLGGLTLPVTFAYPCFMWVLIKKPTKFSFNWYFHWTLGWLGIAFSLAFSIGGIWSLVNSGLKLKFFKPS >KGN54114 pep chromosome:ASM407v2:4:11073030:11080948:-1 gene:Csa_4G286390 transcript:KGN54114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTGKSFEDKFSKLHPSLPLNTRFGIIGGGPSGLSAAYALAKLGYNEVTVLEKNQDVGGMCESVEIEGKIYDLGGQVLAANSAPTIFHLAKETGSELEELDSHKLALIDTSGEYQDIRVADDYTSSISLTLELQDKAKDSGYIGVHAVSAFASDLTPTYLEAHGLTSVPKSVAYGYTASGYGFVQDMPYAYVHEFTRTSMAGKIRRFKGGYGGLWKRISESLPIKVHCQTEVVSVRRSSKSVTLRVMDRDKNFTSLEFDKIIISGSFPFRNCRTYRSSSPKLSEEEAEVMDMSHLEEELFSKVYTIDYYTTVLKIDGLNHLPLGFYYFGKHMDNPETIGYPVAMQKFYPDTNIFLLWSYGNSADITGPNVTELAMNTIAKMGGEVKKVILQRRFKYFPHVCSKDMEDGFYKRLELELQGSLNTYYTGGLMAFELTERNSSYAMALVCKHFANDNSSLTFSYAKPMFLFQSKPERDAMGLGELPGVEFPNLSSLDGYLRHWGSHHVTQDRVLYNWLNEEGLVLGQRTYRELHLNASCIAQKLLSNQKPSIKPGDRVLLIYVPGLDFIDAFFGCLRAKVLPVPVLPPDPLQRGGQALLKIEYIAKSCGAVAILSTLGYHSAVRVGKVKNMIGLMREKGKSSAVWPKLPWMHTDSWIKNFANLTPPDTMADQSDPHPDEVSFLQFTSGSTGDAKGVVITHGGLIHNVKLMRRRYKSTSRTVLVSWLPQYHDMGLIGGLFTALVSGGTAILFSPLTFIKNPLLWLHTMSKYKATHSAGPNFAFELVARRLEVNKGKVQTYDLSSMVFLMIAAEPVRKTTLKKFLELTAPFGLTEEKMAPGYGLAENCVFVSCAFGEGIPIFVDWQGRVCCGYVDQDNADIDIRIVNPGTGKELEEDGKEGEIWISSPSAGIGYWGREELSQETFRNELQNHPGRRYIRTGDLGRVIDGKLFITGRIKDLIIAAGRNIYPADVEKTVESSSDLLRPGCCAVVGVPEEILMEKGIQVPDCSDQVGLVVIAEVKDGKPIAKDIIDQIQNRVAEEHGVSVASIKLIKPRTISKTTSGKIKRFECLKQFVDGTLNVVPDAIKLRRTFLRSFSTGTCKEGITPRFQQTNLSRASVQPDPRISNRDIEEFLKGLVSELTNIPINKICATESLLSYGIDSILVVRAAQKLSNFLGVPVGAVDIFTASCIADLASISENILAKSRAQSTKNTTNLTFETNCALVRMETISWTHQFGIWFFQLLALIFVAMMVIFPAYLSMSAFLSSLPILHDLTDNIYLMNYLLPLTLAPLAWILCIVSSCICIAFFGNSFLRPNYALTPEVSIWSLDFVKWWALYKAQDVSSKVLAVHLRGTVFLKYWYEMFGARIGSSVILDTVDITDPSLVSIGDGVVIAEGALIQSHEVKNGVLSFLPIRIGQNSSVGPYASIHKGAILGEEVEVPALQRIEGIETTSGNRCFEKGSEPRRTGGERQERDTIYHFLGIYLLGFLGSLSAAIVYYFYIWLSQSSPSLQHFAFLCLVGAFHWIPFTVIAYATIFAEVPSNAISFAILFSSMYLFHGIILCILTFFVKKLLTSKPQMEQTPLKIWLCHRIITASHLRFAKLLSGTEAFCIYLRLLGAKIGKHCSIRAINPVSDPELISIRMGVHLGDFSRIISGFYSTNGLTRGKIEVQENSVIGSQSIVLPGSLIQEDVVLGALSVSPMNSTLIRGGVYVGSRTPVMIKNTMHILDERIEKMDRIYKKIVGNLAANLAATTLKVKRRYFHRIGVSGKGYLTIYDDIKGLPDHKIFSPGKSYPVFIRHSNSLSADDDARIDARGAALRILSDGSDTTPLLDLTLKTGNAFYARTIADFASWLVCGLPAREQHVKKVPHIRDAVWNSLRLTNSYTELHYYSNICRLFRFNDGQEMYVKLKLRPYDKTIDEDSGKVEPIGILPPETGAIPRADDDKRPLLFLAEDFLNRVNSPEGVRYVFQIQTRPVPQDEAEQDIALDCTKPWDETEFPCQDIGEIEIHQSLSKEESEALEFNPFLRCHEVDVISATSISQSASIDHGRSLIYEICQHLRNGTPLPEAWKIFLQQSDTKVDLSGCPMAAALKERSNEKTALDRTWYQNLWLTFFQPTLQTALPYFIMGLVIFPPLASVMHLKENKKLPLHWLLPLLWVSSGIIAALCCVVAKWILVQKKKEGETIGIWSIRIFMDTTWQAIKTVVGDYFMEMTTGSFIFVLIMKLMGSDVDMNQGTYVDSMGALLNPEMVRVHRGGSVGREALLFGHIYEGGGVVKFGNIEIGEDGFVGSRAIAMPGVRVESEASIAPLSLAMKEEIIRAT >KGN53791 pep chromosome:ASM407v2:4:7861223:7863935:-1 gene:Csa_4G129570 transcript:KGN53791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNVTNHASSTTIIFLFAFFFLSIPVAGDSQPPYTPVDNILIKCGFNGNSSVLGDTRSWIGDVNSKFFPSDFHYNHDSIALSPLTQPSSPVYETTRLSPSQFAYSFPVSPGQKFIRLYFYSASYPNFDRSKAIFSVKLGLFFTLLHDFNASFTADAFGSNEMVREFCVCVDGNNEKLNLTFTPSNQDSYAFISGIEIVSMPSFLYYTPIVPNDGGLSIRDLKLVGQNNPSFEIDKYTSLETVYRVNIGGPFIPPVEDTGMFRTWSDDTNLLDGYIHDDARLLNTTIHLHYSLIPTYTAPELVYRTARTMGSNETDNKSYNLTWEYLVDPGFYYMLRLHFCEIDPEISDINERMFLIYIKDKIAEKNMDVFRLANGKGIPYLKDYIVLVPSAGTTKKKVKLSVKLQASADEWKTRWATVLLNGIEIFKLNNSNGNLAGENPEPPLIFSPTQTPPLSSETNSKLVIVVVISVVVGVLVVVLALGLFVLHRWKTCTDHSSSDGTSWWAPYSISTNKSSKTRSSNLPSDLCRYFSLAEIRAATKNFDDIFIIGVGGFGNVYKGYIDDGGTQVAIKRLKQGSKQGAHEFKTEIEMLSQLRHLHLVSLIGFCNDENEMILVYDYMSHGTLRSHLYGNNEQPLTWKQRLQICIGAAREYYRRQQLTEKSDVYSFGVVLCEVLCARPPLMRLADKKQTHIAGWVQRCAQNNTIAQIIDPNIKNEISPECLRKFVEIAVSCIQDEGMMRPSMNDVVWSLEFALQLQDASKNNGCEDGVKGGSHEYERDEEKEMEEEEESIFSSSVDRKWMGSSDMTTLNSEESGKGMSRIVFSEILEPTAR >KGN53884 pep chromosome:ASM407v2:4:8974746:8975087:-1 gene:Csa_4G179680 transcript:KGN53884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNYWPPRPPIPIPNPNEEKILSGSKLKRHRFEFQLLINKLLNTVRDWECSNHYNYYTALRFQISFNSTPESGVEKEASTKDHNPNKYCLILSVNHTAIIYYRKFHAGVEFSL >KGN54959 pep chromosome:ASM407v2:4:19562717:19564673:-1 gene:Csa_4G616780 transcript:KGN54959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRCRNDELWDFEHEILGGDDIILGIDGGTTSTVCVCIGLSDPRVVSPSMSCPMLARVVGGCSNHNSVGETAARETLEQVMAEALSKSGSIRSSVRAVCLAVSGVNHPTDQQRILDWLRDIFPCHVNLYVQNDAVAALASGTMGKLHGCVLIAGTGTIAYGFTEDGREARAAGAGPILGDWGSGYGIAAQALTAIIRAHDG >KGN54034 pep chromosome:ASM407v2:4:10656222:10661025:1 gene:Csa_4G269170 transcript:KGN54034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTSYRPELLTVAVAVVAVGVATACYFYVAKKSKPKGCLDPDNFKEFKLVKRTQLSHNVAKFRFSLPTPTSILGLPIGQHMSCRGKDSQSEDAVKPYTPTTLDIDVGYFELVIKMYPQGRMSHHFREMREGDYLAVKGPKGRFKYEPNEVEAFGMLAGGTGITPMFQVARAILENEVDRTNVHLIYANVTYEDILLKEELDSLATTFPTRFSIYYVLNQPPEEWDGGVGFVTEEMIRLHCPAPSPNVRILRCGPPPMNKAMAAYLNSIGYTSQMQFQF >KGN54519 pep chromosome:ASM407v2:4:14355938:14358327:1 gene:Csa_4G351120 transcript:KGN54519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDIMSPAVDSDSKLGSDPTSRIAEVKEWLGSEFGRAGKEVPDFEYTPFSVSHLHNLCNLSQAKTRAAEILAKDFRLKAAEYRAQAARIREILESVGMAQENLSSNVVSSAQVLANVANLLNIRDTELSSFLVAMGDISLRKISVEEKRAKVEKDSKVLLDHTRKAIARLTYLKRTLAQLEDDVAPCEAQMDNWKTNLAVMAAKERQYMQQCANYKAMLNRVGYSPDISHGILVEMAEHRKELEKKTKPMLDTLRSYQDLPPDKALAALAIEDKKRQYAAAEKYLEDVLHSALTTTQ >KGN53925 pep chromosome:ASM407v2:4:9369078:9373759:1 gene:Csa_4G188990 transcript:KGN53925 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoribosylanthranilate transferase-like protein MATGQLRKLIVEVVDARNLLPKDGHGSSSPYIVVDYYGQRKRTRTIVHDLNPTWNEVLEFNVGPPSSVFGDVLELDVIHDRSYGPTRRNNFLGRIRLSSTQFVKKGEEALIYFRLEKKSLFSWIQGEIGLKIYYSDCVTPARVEEGDAINTVEQPTTEGDAINTVDQPTTEPELKPKEQKPEPDSELKQSPLLEQQDVTQQTDELASIEGQIAPTTENLADKGNAAPEVETLGVESSTSPTEIPTPAVETVSSETHPPVEAMEQGREAPPKTSSEEKQPTAESKEEAEINLTPQPIKRSMPIPSYTLEATESRTMEQSTFDLVEKMHYLFVRVVKARSLATNSHPIVQIEAFGKRIKSNPARKSNVFEWDQTFAFSRGAADSASMMEISVWDGKVNDAVSPTDVDGRNFLGGLCLDVSDILLRDPPDSPLAPQWYRLERERNDAAFGGYLMLATWIGTQADDAFPNAWKTDAGGNFNSRAKIYQSPKMWYLRATVIEAQDVVPITAVKEALFQVKAQLGFQVSVTKPVVTRNGAPSWNQDLFFVAAEPMTDHLIFTVESPRSSKSPTVIGVVKIPLTDIERRVDDRKVTARWCTLAGVVDEKGSSYTGRIQLRLCFDGGYHVMDEAAHVSSDYRPTARQLWKPPVGVIEIGVIGCRDLVPMKSTATGKGSTDAYCVAKYGSKWVRTRTVSNNFDPKWNEQYTWQVYDPCTVLTIGVFDSMEESENGDRPDSRIGKIRIRISTLKTGKVYRNFYPLLLLTTAGTKKMGELEIAVRFVRSAPPLDFLHVYSQPLLPLMHHVKPLGVRQQDLLRGAAVETVVGHFSRSEPPLRREIVVFMLDAESHSFSMRKIRVNWYRVINVASTIIAAVKWIDDTRSWRNPTATILVHALLVILIWFPDLIIPTISFYVFVTGAWNYKLRSSEHIPSFDSKLSMTDIVERDELDEEFDDVPSTRSAEVVRMRYDKLRVIGTRVQSLLGDLATQGERVQALVTWRDPRATGIFTGICFAVAVVLYVVSLRMVAVAFGFYYLRHPVFRDRLPSPALNFLRRLPSLSDRLM >KGN55268 pep chromosome:ASM407v2:4:21560570:21567812:1 gene:Csa_4G642490 transcript:KGN55268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNRYNHDGNKGLRKDQKKYIPKNQIQSTNELPNPKPTLSTSLKQSLPNPSDSTAAPSMSRIQMGANGDWVSSRASGGSFVNYLPQDEAVATGLRAEEGALDPVESQRVVDLLNRELSRLLKLNAKEFWREVAMDTSLHEFLDSFLKFRTRWYDFPHRGANGTVAGVIVGENELSRRVFMALYRMSSNRDPGARAADSLSLKDHGVLLQEKKLLDLPKLLDICAIYSHENEDLTRILVDNAIKSQPSIHQTLPSVISHFLRIVSMMHERCSSSLETLFSSSSHGGSGYSKLQADFLEVIDFINDAIVSLDSFVTAYRLAAIFFCSAVEISCGNEDLLGMLARLHDLLLPSLQQGFQIVLMPQGDEMISNVATSLKMLALRIVSFGWKLLEICYLDDEVFGNDLPIPVSMKMFPANVEDPVIRADILIQTLREINGISQQASDKQLGQTFLQHMEKNHSTMNRINSLRKKGWMFVDDEQFNYLSTIVMYTPTSGIKDPSLSKAPMISHISEVDEDAAMLESKICQIKDLFPEYGSGFVAACLVAYNQNPEEVIQRILEGTLHVDLLSLDTSLETMPVPNSSATANNRKDKGKGKLFEPSTVPYTDQVSRGKDLPSEGPSVSSTSVGRFVRKSKDDVPYSETLDSRNEADSVRTAALISQYEYEDEYDDSFDDLGISIAETATEDNEDLVGQRPSSHLSSSMNSTNGSSAQNAPNSKWGSRRTPQYYVKDGKNYSYKVAGSIAVANSDEASLVTQAQKELIYGLGRGGNLPLGAVKKLTESQQDSQPDVSAVDPRDNVRKSWGRGRREREREGGAAPGMPEGEGKQPNVAEVSDRGGRGGNRGRGRRGGGDHHRKDRAMKKHFAGLSGF >KGN55363 pep chromosome:ASM407v2:4:22107722:22109285:-1 gene:Csa_4G646370 transcript:KGN55363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDWDETMPLPGDVIEGVAEGDNDELFVSAKAKADLSSQLGRINQQFEVVWLKVKRGDATLKLRARIIQEKASILQRKFTIRAATDDRHVAILGDLTLDQCSELQEMSRRISNLNGGEFNRKGVKYEWSKKLDQNLPDHRSSVISSILFMPLKGEHSMEATTSRCMAWFCAAVSSGAPLVFVNIQSEQIVNVTQKKNRNTRKESWWSKQQYNTPSLRVVHGIRLWFLPGVSEVGLEMIPAPGDVRFGMDIQRTEEGFICVSSVTKGSAADRCGLGSLLEEAMSTNYLLLISRLEGRSVIPSNVSSTGLIHCCDQAEIRSTLVSAMDRMDSVRLHIMALPDSSDAQANETSKLQTTRKFLP >KGN53896 pep chromosome:ASM407v2:4:9074165:9077685:1 gene:Csa_4G182270 transcript:KGN53896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIALSGSSVSHSLFSSSFSSNQRQSSFFKTSDIFEIKLKTRKMEHTHLKLSTTVNCCSGLTQEVENNKVNVVIETEKRHEIFEEIKHRFLSFKRNTYMKNLEHFQRLSDAQSPKFLVISCADSRVCPSNVLGFQPGEAFLVRNIANLVIPFENGPSETQAALQFSVNTLEVENIFVIGHSCCGGIRALMSMQDENPSCFITNWVINGKNAKIRTKAAASTLNFDQQCKRCEKESLNNSLLNLLTYPWIEEKVKKGNLSIHGGYYDFVDCTFEKWTLDYEASNFNEETRRLAVKNREFWS >KGN55335 pep chromosome:ASM407v2:4:21948024:21949660:1 gene:Csa_4G646090 transcript:KGN55335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHVLSTSCCLKISSSKKSYSNPSTDNFTSFSSSCTSKLCKSPMPRLVWQEKKLPRKCIVRATTLPANQEAPATQSGSGSLDASNGLSKTQRVMVIGGDGYCGWATALHLSKKGYEVAIVDNLVRRLFDHQLGLDSLTPISSIHNRIRCWKSITGKTIELFIGDICDFEFLTETFKSFEPDAVVHFGEQRSAPYSMIDRSRAIFTQHNNVIGTLNLGTMGEYGTPNIDIEEGYITITHNGRTDTLPYPKQASSFYHLSKVHDSHNIAFTCKAWGIRATDLNQGVVYGLRTDETALHEELYNRFDYDGVFGTALNRFCVQAAVGHPLTTRGYLDIRDTVQCVELAIANPANPGEFRVFNQFTEQFSVNELAALVTKAGEKLGLDVQTITVPNPRVEAEEHYYNAKHTKLIELGLKPHLLSDSLLDSVLNFAIKYKDRVDTKQIMPSVSWRKIGVKPRTIAA >KGN52818 pep chromosome:ASM407v2:4:477337:477972:1 gene:Csa_4G001850 transcript:KGN52818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPFSTLNTLSILFRRGYATAPEGVAARLGGIMKKKEESLRIGREKKAVSWVPDPVTGYYRPENCGAEMDAADLRAMLLNSATK >KGN55413 pep chromosome:ASM407v2:4:22459448:22460042:1 gene:Csa_4G651800 transcript:KGN55413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERHVITASSPPPSTSPTTFVQADTNSFRDLVQKLTGFAGDSEKLPVTHLSKLSSSKPFPPPAADYHTGPRRSPFKLQERRHTIRKLEIQLALTRPFKNSSPSHTRRVDSPVPGPVTPLAAESLFFRRPSVTSPLSPPVTAEDKAIADSGFYLHPSPRSSQPPELLNLFPSKFPK >KGN54428 pep chromosome:ASM407v2:4:13184316:13186784:-1 gene:Csa_4G325530 transcript:KGN54428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKAPSTLSAAYYEHEDKYHDELHRSISQRNLLRSEDSKIGSANVPSPSAKFQNMVERMDGSSWSVPSSRGILEQKIKLRSSITFCKEWIKDPLNIALLLWMICVAISGAVLFLVMTGMLNNLLPNKSRRDVWFEVNNQFLTALFTLMCLYHHPKRIHHVIVLCRWKPGDILMLRKVYCKNGTYKPNEWKHMMVLLLLLHINCFAQYALSSLNLRYKKPERSVFGVSICLAVAILAAAGAGLYSIFSPLGKDYSPSEDENPNRIKESISFESRIVDKPQWRGGLFHFLDDIKTACLSLFCSFCLFGWNMERLGFGNMYVHVTTFVIFCFAPLCLFGLAANTVDPWSVKVAFCLIGILLSVFGLLYGGYWRIQMRKRFDLPKNNSFWGKPNVADCAQWLFCCCCSLAQEVRTADYYETMKDNLCKNRTNDADKNEVLSPLPREGRTVHGLRSNLASPIWDSVKLTETMAKKDLNSNRLLDESDEVEQLMSPPTLSSMQRDQRPLSL >KGN53161 pep chromosome:ASM407v2:4:2520498:2521988:-1 gene:Csa_4G022965 transcript:KGN53161 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulation receptor kinase MAQLMNLAIGVILVAVLVVLLLIFLNRRGKGKDNDEYNDIERKQEREKGEEEREELVRFQGGQDLTIVDILEAPGEVIGKANHGTLYKAYLQGSNAVRLLRFLRPVCTAGLEDFVSEIEFLGSIRHPNLVPLLGFYSGPRAEKLLIHPFYRRGNLAQFIRDGNGDSHRWGVINKISVGIAKGLDHLHTGLQKPTIHGNLQSKNVLLDRNYEPYVSDFGLHLLLNSSSAQEVVEASAANGYKAPELIKMKDATEETDIYSYGVILLELLSGKEPFNEKPTVPDEDFYLPSFMRNAVLGHRIADLFHPEILLYSSIDGNHVTEEKILKFFQLAMACCSPSPALRPSMKQVLRKLNEIRT >KGN53518 pep chromosome:ASM407v2:4:5117800:5125382:-1 gene:Csa_4G064010 transcript:KGN53518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSIGRKVTGFSLLSNANKLGVVPFSSSFSSSSGGHGRGRGRGAFPSGPFDFTPPVPNQEHSNASKQEPIDSRPTPGLGHGRGKPTPSSPLRPSFSSFSPSVRPSSVGRGRGDASPSIRSPPEPDSEPKKPVFFSKNNAGDSAASTSLGGLHRVSGERNLPESLHSEFSGVGRGKPMKQPVPEDQPKQENRHLRPRQEGDGPGAGERGRGRGFEPRIGRGEPWRNTNRMVSKDGPDGEVGGGRGTSGYRGRGARGPYRRGARGSFRTGERRERRSGHDKEDGYAAGLYLGNNEDGERLAKRIGTENMNKLVEGFEEMSGRVLPSPLVDQYLDGMDTNFMIECEPEYLMGDFENNPDIDENPPIPLRDALEKMKPFLMAYENIQSHEEWEEIVEETMQSVPLLKEIVDAYGGPDRVTAKEQQGELERVAKTLPQSAPNSVKQFTNRVVLSLQSNPGWGFDKKWQLMDKLVEGFSKRYK >KGN53183 pep chromosome:ASM407v2:4:2715362:2716755:-1 gene:Csa_4G025130 transcript:KGN53183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIAISASLPRASNSNHVSMKKKQRVHLAKPGYSSTTKNPTPKVISTLDVVNRDDGAAQQYRRGNAASAVVKEEEDNNDDWNNNGERFTDKRWKNGTWDLNMFVQNGKMDWEGVIVEEAKRRKFLEIHPEAATNQEPVVFRSSIIPWWVWLTKSYLPQAELLNGRAAMIGFFMGYAVDALTGVGIVGQSGNFICKTALFLTVIGVLLFRQSEDIENLRNIAEEATFYDKQWQSSWQKPK >KGN53623 pep chromosome:ASM407v2:4:5994098:5994262:1 gene:Csa_4G091895 transcript:KGN53623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNPNNNPTNQQQDPDQNPAYLTHDLDHPIGSYASPSLYDFNPGITSPVFRKDS >KGN54161 pep chromosome:ASM407v2:4:11304749:11309023:-1 gene:Csa_4G290770 transcript:KGN54161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRKYSRESSDEDEVKGNRRTSRDNDRQDRDKRHQDKNLHREVERGDKKKSYESFDEGEQRTERNKSRHDRDRRHHDEKRHSRGQERENRKESYQSSDEDERKNRRHDRRQRETENENKNYDRRLDHDSKDERDKELLRKSDHRRNRTDSDRERRHSKHDYKHNNFQDQRDKEASGRREDRRNIGEKEKVGTGTIDHLQPHRQEKQTENNLNPDSSNLGKSGGVYIPPFKLARMMKEVQDKSSIEYQRLTWDALRKSINGLVNKVNATNIKNIIPELFAENLIRGRGLFCRSCMKSQMASPGFTDVFAALVAVVNTKFPEVGDLLLRRIVLQLKRAYKRNDKPQLLAAVKFIAHLVNQQVAHEIIALELLTVLLENPTDDSVEVAVGFVTECGSILKDLSPKGLHGIFERFRGILHEGEIDKRVQFLIEGLFAIRKAKFEGYPAVRPELDLVEQEDQLTHEISLQEEIDPEITLDIFKSDPNFLENEKRYEDLKKNILGEESEDEEDRSDAGSDESEDEDEEDESEEEDEEQMQINDETETNLVNLRRTIYLTIMSSVDFEEAGHKLLKIKLEPGQEIELCVMLLECCSQERTYLRYYGLLGQRFCKINKVYQENFDKCFVQQYSMIHRLETNKLRNVAKFFAHLLGTDALPWHVLSYIRLTEEDTTSSSRIFIKILFQELSEHLGIRLLNERLTDPTMQDSFESIFPRDNPKNTRFSINFFTSIGLGGLTENLREYLKNMPRLIMQQQKPVSDSDEESQSSDSSDSDSSSSESKSDSEDSEIEDRRREKKRRKTRR >KGN55339 pep chromosome:ASM407v2:4:21963784:21966241:-1 gene:Csa_4G646130 transcript:KGN55339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMMMKSNLMVDENLSVLTCASGETMTRTEISTTTPMYSHQSSSSINQPTPPLPPLPPPPPSKKKRNLPGNPDPEAEVIALSPKSLLATNRFICEICKKGFQRDQNLQLHRRGHNLPWKLKQRGNKEVRKKVYVCPELTCVHHHPSRALGDLTGIKKHFCRKHGEKKWKCEKCSKRYAVQSDWKAHSKICGTREYRCDCGTLFSRRDSFITHRAFCNALAQESTNFNSNPTPKITSHLFPSINFPLKFEHQDPFFPPPPPPPPPPSHQNPNNPTSSIGFGLPGLGPGHLFASSCPAWEVNNNNNNSCSAEIAPFFHHMVGFEEAASFEEALNGILMSNSNRGNKNGGKGGGAAGEITRDFLGGLRPVDSSMFQNHDHQMINNDMSNLDSPSTFGVHGQNQNTTCWRQN >KGN54759 pep chromosome:ASM407v2:4:16913359:16913957:-1 gene:Csa_4G454670 transcript:KGN54759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKYEVVKDLGAGSFGVTKLLKNKHTKELVVMKFIERGPKVDSNVGREIIDHRLLQHPTVVLGATHLGIAMEYAAGGELFDKICNYGRFHEDAV >KGN53015 pep chromosome:ASM407v2:4:1673031:1675088:1 gene:Csa_4G011620 transcript:KGN53015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFLLLKVVPNLSRRILTRDLTTPSQTHIGKKIILFDGKDAYINRLCDSKLFKEAIDILCGQSRLREAVQLLYRIEKPYASIYLTLLKFCLKQRALKEGKQVHAHIKTSGSIGLYISNRLLDMYAKCGSLVDAEKVFDEMVHRDLCSWNIMISGYVKGGNFEKARNLFDKMPNRDNFSWTAIISGCVQHNRPEEALELYRLMQKHDYSKSNKCTISSALAASAAIPSLHMGKKIHGHIMRMGLDSDEVVWCSLLDMYGKCGSIEEARYIFDKMEERDVVSWTTMIHTYLKNGRREEGFALFRHLMNSNIMPNDFTFAGVLNACADLAAEDLGKQIHAYMVRVGFDSFSSAASALVHMYSKCGDIENAKSVFEILPQPDLFSWTSLLVGYAQHGQHDKALHFFELLLKSGTKPDGIAFIGVLSACAHAGLVDKGLEYFHSIKEKHGLTRTIDHYACIIDLLARAGQFTEAESIINEMPIKPDKYIWAALLGGCRIHGNLELAKRAAKSLFEIEPENPATYVTLANIYASAGMRAEEANIRETMDSRGIVKKPGMSWIEIRREVHVFSVGDNSHPKSKEILEYLSELSKRMKEVGYVPDTNFVLHDVELEQKEENLSYHSEKLAVAFGIISTPSGTPIKVFKNLRTCVDCHNAIKFISNITGRKIIVRDSNRFHCFEGGSCSCKDYW >KGN55285 pep chromosome:ASM407v2:4:21658310:21659089:-1 gene:Csa_4G644620 transcript:KGN55285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLSNNISLRRRSISMAFPTVVFPSSLFLLFLSRFISTAHATRGSYSTVNQYLPGFPGLLPFQLETGLEDEEANKEGIPQLILNPYSWTKHIVIVLPEGTGFSYDTITPSSFKPGDFSQI >KGN54647 pep chromosome:ASM407v2:4:15604913:15605964:-1 gene:Csa_4G416430 transcript:KGN54647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVKKRMAVLVGCNYRNTKYELHGCINDVMAMREKLMSRFGFKESNIQVLTDEPGSLLMPTGANIKRALGRMVGKAESGDVLFFHYSGHGTRVPSMKHGNFLGQDEAIVPCDFNLITDIDFRHLVNRIPKGASFTMISDSCHSGGLIDKEKEQIGPSTIVNGEKLSLPSMPNTAKEKTIPFQSVLHHLSSLTNINTTDIGTHLLESFGEDASLKFQLHPRELDTVDLLKPDAGILLSGCQANESSADMNPDSAGGKAYGAFSNAIENVLEKNPTALSNKQVVVMARERLKQQGLGQQHPCLYCSDENAEAVFLRQHP >KGN53369 pep chromosome:ASM407v2:4:4059419:4060050:-1 gene:Csa_4G050240 transcript:KGN53369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSTKNCITITVLALFLFSSSSCSALPMVKKQKHKPCKQLVLYFHDVLYNGKNAKNATSAIVAAPEGANLTILAPQFRFGNIVVFDDPITLDNNLHSNPVGRAQGMYIYDTKNTFTVWLAFSFSLNYTAYKGTINFVGADPILVKTRDISVVGGTGDFFMHRGVATIMTDAFEGEVYFRLRVDIKFYECW >KGN55167 pep chromosome:ASM407v2:4:21016689:21023361:1 gene:Csa_4G639060 transcript:KGN55167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPCALLRLFRFPSPSSLFISNFNPLNRASINTLSTRKQFRSYNKTMTSSMSSSPNTTNDPPQLSDQLPKITAPYGSWSSPITADVVTGASKRLGGTAVTANGHLIWLESRPTESGRGVLVKESVKEGDEPCDITPKEFSVRNTTQEYGGGAFTVAGDIVVFSNYSDQRLYKQSLNSDLSPQALTPDYGGRSVSYADGVFDSRFNRFITVQEDGRQSSLNPITTIVSVELDGKDINEPKVLVGGNDFYAFPRVDPKGERIAWIEWGHPNMPWDKSELWVGYLSENGEVYKRVCVAGGDPKLVESPTEPKWSAQGELYFITDRQTGFWNLYKWFEANNEVAPIYSLSAEFSRPLWVFGTNSYDLLKTGDGRNIIVCSYRQRGRSYLGVLDETQSSLSLLDIPFTDIENIALGSDCIYVEGSSGLHPSSIAKVTLNERSLEVVGFTIIWSSSPDILKFKSYFSLPEFIEFPTEVPGQNAYAYFYPPSNPKYQASPNEKPPLLLKSHGGPTAETRGNLNPSIQYWTSRGWGYVDVNYGGSTGYGREYRERLLRQWGIVDVNDCCSCARFLVESGKVDGEQLCITGGSAGGYTTLAALAFRDTFKAGASLYGIADLRLLRADTHKFESHYIDNLVGNEKDYFDRSPINFVDKFSCPIILFQGLEDKVVLPNQSRKIYNALKEKGLPVALVEYEGEQHGFRKAENIKFTLEQQMMFFARTVGRFQVADAINPLKIDNFD >KGN54763 pep chromosome:ASM407v2:4:16940265:16940903:1 gene:Csa_4G456680 transcript:KGN54763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDGMEKYEIVDDYLGSGSFGVTKLVRNKNTKELFAVKFIERGPTIDENVEREIINHRSLQHPNIVILTSTKLGIVMEYAGGGQLFRRISNSGCCTEDEVSLTYLLSLIYHEMSLSLVVLFLTRFIQNLIAQNPTYHTPKS >KGN54838 pep chromosome:ASM407v2:4:18053855:18054241:1 gene:Csa_4G526580 transcript:KGN54838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRMKKSSAEKECDSWVWISRTSWFGGNRRSWLRKLSRQVQDGENAHTNSQYSLTLSLRSSLFAPLPLSLASCAISGKARQGKKGSRSPVRPTLNGELTRQCYKSDRSPGFRLLRTRKLLDEIRRNVF >KGN53688 pep chromosome:ASM407v2:4:6713015:6718534:-1 gene:Csa_4G103850 transcript:KGN53688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRYVGQSYSEISQFSSMKSFGLAINATFCLFGAVGDIFHAAPNIDHSQDFVRRDIKEWLNWLRNDIGFDGWRLDFVRGFSGTYVKEYIETSNPAFAIGEYWDSLAYEHGNLCYNQDAHRQRIVNWINATGGTSSAFDVTTKGILHSALHNQYWRMIDPQGKPTGVVGWWPSRAVTFLENHDTGSTQGHWPFPRDKLAQGYAYILTHPGTPTIFYDHFYDFGIREMINELIEARQRAGIHCRSSVKIYHANNEGYVAQVGDTLVMKLGHFDWNPSKENHLDGSWQKFVDKGSDYQLWLRQ >KGN54762 pep chromosome:ASM407v2:4:16929966:16930239:-1 gene:Csa_4G456180 transcript:KGN54762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDYMYPSETSSGRQSPYGCVGSFTKTSKPRWLRHLSKGEPLPHDEHELDYRRLEIRKAS >KGN53913 pep chromosome:ASM407v2:4:9260749:9264548:-1 gene:Csa_4G188410 transcript:KGN53913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METRAVNRGSNRIGHVNKVQYVRRDFKKRKCRKIRRSIPVVPMALQELFVSCREVFKGPGTVPLPCDVEKLCRILDNMKAEDVGLSSSLQFFKPNVPVKGSPRVTYTTIYKCDNFSLCIFFLPATGVIPLHNHPGMTVFSKLLLGKMHIKSYDWVDPTNSDDTAQPCEKRLAKLKADAVFTSPCSTSVLYPTSGGNIHSFTAITPCAVLDVLGPPYSMEDGRDCSYYKEHPYASFPNGDMGLGEEDQGEGYGWLEEIEVPENSEMDGIEYLGPQICDI >KGN53460 pep chromosome:ASM407v2:4:4691943:4695929:1 gene:Csa_4G056510 transcript:KGN53460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDICLFIVGQPLKAALLINYDPTGPSRLLSTIAEQEGILLNPIELNQFVDFIKRDKPQNESFSIGLNQYIMTSVHENWFCARCMNTIKHAGEGVIIVQTTAFILIAMYDGSIAAASRAMAAADQLSWVLARKNF >KGN53301 pep chromosome:ASM407v2:4:3610158:3613978:1 gene:Csa_4G046640 transcript:KGN53301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNWFSLMVQTPNLIRRISTKIRDCREMAAPKSPFQIILGSSSMARRRILSEMGYEFTIMTADIDEKAIRKERPEELVVALAEAKADAIMSRILATGVQLNNDAHPTLLITADTVVVYEGTIREKPSNKDEARKFIKGYSGSHASVVGSVLVTNLMTGTRKGGWEEAEVYFYDIPEEIIDTLIEDDVTFKVAGGLMLEHPLTLPLVEAVVGSTDTVMGLPKALTEKLMNDAL >KGN54527 pep chromosome:ASM407v2:4:14472063:14476702:1 gene:Csa_4G358650 transcript:KGN54527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGPLSKKKLGLRIWAQAQDSRVVCSCGASSPGMVPVISGELLGVGRHSKGRNCRLPAPVTGRHEDDEFLAQFLESEVLSEVSDKEEGNVQEEPKPKRARIEQISPNEQREVVSVTSSSSQSKGVVPGRIESGIFSKIPPELFRHILKFLSSEDLISCSLVCRFLNSAASDESLWRRLYCLRWGMLPRTKKLRQCPWKKLYIQRDEEDMTQLVRDCSSEFKEYYIQMQAAKRSQAPLPSQVQDDQIILDRTMADQVSTWKSSRGLTDKIVLDHTCSGETCTYYQIGDAFVCEKTGLVHGMVFLFDILLSFISIKFCYILVSNFRHVFFLVCDDTCREVIMDPNDEQLVCTISGHCFDTLLLPDAMEPDTEQQQAGGTDEAEPFMGSGRFARAYLLGYNCADEAELEATLRFC >KGN55130 pep chromosome:ASM407v2:4:20780049:20785461:1 gene:Csa_4G637700 transcript:KGN55130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRRSSKMLKNKGVISLDVIDVDKDEASDAMFIHQKFDANDKGKGIYHHGDHQLKKTLGSHSFNPDVEILESTNEVESNFPSASHNLIDLDNPCSYSSNEDDDWLGIDEFMDVDAYSALQAHFDHMDIPPDIEAPIPWMTQDQNGNKTNIETSRTSWSTLAKPQSVPGSVNHLGKSTTQVQVKEVSNGLHLPADINVLNHLHSFGSFPPQDIQPNKKPAASRRDKHELHNGGETSDASYSVKKQVTSHGMPHPNFPESVDFIEYLGAGHSMPQWPEYAKTKTKEPFFPPHMASGFYGSFNPFVPPTLGEVVDVPWVQGSTQNLPNIAPNDSISEAIPPADKDKFLGNFENFKQFDTIDDHSDHHYASKGSSLNQASKKWTKKIQDDWKILQNDLPETIFVRVYESRMDLMRAVIIGAQGTPYHDGLFFFDIFFPPRYPDLPPQVYYHSKGLRLNPNLYNCGKVCLSLLNTWRGNGNENWVPGMSTMLQVLVSIQGLILNTKPYFNEPGYAYQSGSEAGENRSHMYNEETYILSIKTMLFNIRRPPKHFEDFVRGHFYQRAHDILVACKAYINGAQVGSLVEGGVQDLELSDKSCSDSFKQSVTHLLSQLVAAFKGIGVSDCDKYLQMLSPTVPNTRKRPSRPVKLK >KGN53923 pep chromosome:ASM407v2:4:9353423:9358470:-1 gene:Csa_4G188970 transcript:KGN53923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEDAKHRSRTKYKGKTKFEEYLDMETGTRNPGLSAEEDLELERKLAKKLKVKAGKLRGVDDGINVLFEGIPSIVDFPGEEVLQFSEECAVEETKKNPLGKKGNRRKSLDQALDMESELTTVVEEENASKKNKKRKKKKRISEKLDDVVTEDSAGDESMPVESHCVEAAVDKVPPKAQKYVAPYLRLQKGAEPEDHTQLRRRVRGLLNRLSESNIESVTGEMSTVFHSISRSIASQIIGDEILASCSRGPRGNEQYAAVFASFVAGMGCLVGTDFSARLMASLAKTFEDEYLNEDNLSLRNLTLLLSYLCVFGVCASDLIYDFLIILSKRLTEIDVSTILTVLQCCGMKIRADDPTAMKSFIVSVQSKVNELKAASGDGLQNINGKRMEFMLETICDIKNNKKRSKDDPAHHTRIKKWLQKLGVDDIIIRGIKWNKLLDPDKKGQWWLSGDLSTTSDNVEEFANTIDKEVLEAQKMLQLAAAQRMNTDARKAIFCIIMSGEDYVDAFEKLIRLDLSGKQDREIMRVLVDCCLQEKVFNKYYTVLASKLCEHEKNHKFTLQYCLWDQFKELDTMQLIRSMNLAKFVAEMITSFTLSLAVLKSVDLSDIRLLTAKRIMHFRMLFDAIFERPDKLIWNVFTRVAVNPELEPLRSGMLFFIKEYMIKTSKVNAEKFKLIKKALNNVEGILM >KGN55346 pep chromosome:ASM407v2:4:22005687:22008883:-1 gene:Csa_4G646200 transcript:KGN55346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWEPICLTLAAAAGNNIGKILQKKGTVILPPLSFKLKVIRAYAFNKTWIIGFLMDIFGAVLMLRALSLAPVSIIQPVSGCGLAILSIFSHFYLKEIMNVVDWMGIMLAGIGTIGVGAGGEEQKASAISVFHLPWLAFIMTILFVLLNGWLHFYKRQRREQELMEFEVVEEIIYGLESGILFGMASVISKMGFLFLEQGFHQILVPICILISICCSATGFYYQTRGLKHGRAIVVSTCAAVASIVTGVLAGMLALGEELPSSPTGRLFLLLGWLLIIIGVILLVSSSRLIRRLTWLYRRFKRSGVDRNFGHRSGSAVRLRDSSPSAIIQTTTLQNLLSSKAKADA >KGN55084 pep chromosome:ASM407v2:4:20425448:20426375:-1 gene:Csa_4G628320 transcript:KGN55084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLCTLRIGIHFLKDSGFRALGYLYDPIDCVKPAVATEPGKSSIFSLWIEEKGGSAGRGFWTLMSIRMNHPFHGGKSLPARQEDSKLQILSRKVVHFSGSRRGVETHKNSGMEMEKRCNSSSFGNEHAEPNLLHVKPALFRSGKSYGFMKPCAMARIRSQHP >KGN55469 pep chromosome:ASM407v2:4:22813635:22814279:-1 gene:Csa_4G652810 transcript:KGN55469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTSSSSSKTRSSGPVLRSLSPGGRFCNSPSLSSSTSAFASSSTFYSSSSTFRHDDHRDFPNHNHHTRSTSPSRVNVYSSPSLTSSVRFFIDNRSSSPNRSVIVSRKNRPVSNVKRTCMCSPTTHPGSFRCSLHKNVSSGGGHSQVVSFPSTRLNMWRSAMANSIVRIGGVEGELVKRALTTLIRPSSHQQRRRASFQPRSSRLSVMSKAADGA >KGN52995 pep chromosome:ASM407v2:4:1554431:1563367:1 gene:Csa_4G010940 transcript:KGN52995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENPFSTKEEGTMSWGPSRTQAETLTSTDVGMRIMSPEDVLHSFSELMSFDSYAGWGNNCSTMDQIFTSCGFSSIPPMSTCPSMEGSTFPEGMSVSHEAFSLNEIDGTSISVANSFTCGDKMMFQQPDTGFGVSEVSDNTNEAGSKSNDDLLDSCLISRPIGWSLDERMLRALSLFKESSPGGILAQVWVPVKHGNQFFLSTSDQPYLLDQMLTGYREVSRSYTFSAEGKLGSLLGLPGRVFTTKIPEWTSNVRYYSKNEYLRMEHAIGHEVYGSIALPVFSNELEKSCCAVLEVVTTKEKSDFDAEIDIVSRALEIVNLRTVAPPRLYPQCLKQNQKSALAEIMDVLRAVCHAHRLPLALTWIPCCITLEAVDDAARVRVKEKIISPKEKSVLCIEETACYVNDKATQGFVHACMEHHLEEGQGLAGKALLSNYPFFYPDVKTYDINKYPLVHHARKFGLNAAVAIRLRSTYTGDDDYILEFFLPVNMKGSSEQQLLLNNLSGTMQRMCRSLRTVSKEELMGAKDPDTGFQSGLIGKSATTSRRNSQSTVTDSETRVSNSVNNGTEAECPKKQMTNGLRRQGEKKRSTAEKNVSLSVLQQYFSGSLKDAAKSIGVCPTTLKRICRQHGILRWPSRKINKVNRSLRKIQTVLDSVKGVEGGLKFDPTTGGLMAAGSLIPELNGQNNLLFSDNNTSIRNLEPFLQDVNSVPPISFNGQNSAMKLEMEDSFVTMPQRISSRNILIPEKEPNVCQLDCSEGSKSTGLDAASCQLADLDMMGGWEVAGNATGSIIAKKSNRLDFVENDLRSSDADCQFMAKSSCSFAAADEMGTVLEGTDGINEHYQPTTSSMTDSSNGSGLLIHGSSSSCQSVEERKHLQEKISCVDSDSKIVVKASYKDDTVRFKFDPSLGYLQLYEEVGKRFKLNHGTFQLKYLDDEKEWVMLVSNSDLQECLEVMDEIGTRNVKFLVRDITSAVGSSGSSSCFLPRGS >KGN54045 pep chromosome:ASM407v2:4:10713056:10719381:1 gene:Csa_4G269760 transcript:KGN54045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYFVQNPELALKATTKKEKPFTFIPIPTPNFALLSFPSPIFAAPARPHRHHAPISFSSPITLLAAALCLSSLSITIPASTSRRQLCGARLSSPLLAHLYSSASSDYGPSQYHLYSPAVRLLSSLLTSIPNSGDFYFIFPTNLGLGVFREGRVRVQGKTMAVTAPGQLNVNESPSWGSRSVDCFEKLEQIGEGTYGQVYMARELKTGEIVALKKIRMDNEREGFPITAIREIKILKKLHHENVIKLKEIVTSPGPEKDEQGKPDGNKYKGGIYMVFEYMDHDLTGLADRPGMRFSVPQIKCYMRQLLTGLHYCHVNQVLHRDIKGSNLLIDNEGNLKLADFGLARSFSNDHNANLTNRVITLWYRPPELLLGSTKYGPAVDMWSVGCIFAELLHGKPIFPGKDEPEQLNKIFELCGAPDEVNWPGVSKIPWYNNFKPTRPMKRRIREVFRHFDRHALELLEKMLTLDPSQRISAKDALDAEYFWTDPLPCDPKSLPKYESSHEFQTKKKRQQQRQHEETAKRQKLQHPQHARLPPIQQSGQAHAQMRPVPNQPIHGSQQPVAAGPSHHFVKPRGPPGPGRYPGGNPPSGYNHSSRGGQGGYGNTQYAQGRGGAYGSGSMSGAGPRGGAGGGGGYGVGAPNYPQNGPYPGSSGTGRGSNVMGGNRNQQYGWQQ >KGN53246 pep chromosome:ASM407v2:4:3196792:3198651:1 gene:Csa_4G038640 transcript:KGN53246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLINNPAKSDLFWAGSLSLPRPIKLSLFLSLSLFLSRAFNGLRSSALSRTEGQVKEVNRFWCLRL >KGN54100 pep chromosome:ASM407v2:4:11005024:11006627:-1 gene:Csa_4G285760 transcript:KGN54100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNISRRHAPLCFTDLYKNSFHCLTFCSLQNSKMASSSANAVISSFFFLSLLIGGSFAQLSETFYDQTCPRLANVVRASVKKAIESDIRAGAKLIRLHFHDCFVNGCDGSVLLEDAPGIVSELNSPGNQGIQGLEIVDAIKADVERECPGIVSCADILAQASKDSVDVQGGPSWRVLYGRRDSRIANKTGADSNLASPFETLDQLKAKFRNVGLNTMDLVSLSGAHTFGRSRCRFFSHRFANFNNTGRPDQSLNPDYRSFLEGVCSAGADTRANFDPVTPDVFDKNYYTNLQVGKGLLQSDQELFSTPGADTIAIVNSFAEREGTFFKEFRQSMINMGNIKPLTGGQGEIRRNCRRVNSNSGLLGGEGEGSEGHDVM >KGN54927 pep chromosome:ASM407v2:4:19306128:19311814:1 gene:Csa_4G607040 transcript:KGN54927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPLTYSLYLLSFSHLQCLLFQTFTFQCLCAEASAQLYFSVFVPLSDQNPCSNFVQTFNGLAFLSKDFTLNSALSAIFIKFLIIWRRELGVHNTILSFHVSHIMEQTRHNRRINCSGSTPSEESALDLERNCCSHSDLPSFSSPTLQPFASAGQHFGFNTAYFSWPTPIRLSVGTEERANYFANLQKGVLPDILHPLPKGQRANTLLELMTIRAFHSKILRCYSLGTAIGFRIRKGVLTDIPAILVFVSRKVHKQWLSPIQCLPTALEGPGGVWCDVDVVEFSYFGAPNPAPKEQLYTEIVDDLRGSDPCIGSGSQVASQETYGTLGAIVRSQTGGRQVGFLTNRHVAVDLDYPNQKMFHPLPPTLGPGVYLGAVERATSFITDELWYGIFAGINPETFVRADGAFIPFADDFDMSTVTTSVKGVGQVGDVKFIDLQSPISTLIGKQVVKVGRSSGLTTGTVLAYALEYNDEKGICFLTDFLVVGENQQTFDLEGDSGSLIILKGENRDTLQPIGIIWGGTANRGRLKLKVGQPPENWTSGVDLGRLLNLLELDLITSDEGLKAAVQEQITVSATVIGSIVGDSSPPDTTLPKEKSEEKSEQLGFQIQHMPTEVEPSAKDRPLLETEFHLEPGMNRAPSVEHQFIPSLFSCSPSHQNSTLDRAVSQNLSLLRSDCEDLCVSLQLGDHEAKRRRSDASVSMEELK >KGN54646 pep chromosome:ASM407v2:4:15585513:15591536:-1 gene:Csa_4G416180 transcript:KGN54646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRQNSQLSSTSRRDPPPESLRAKSIGCMSGIIHLLSKYQNRHKKSLTFGKSKQEKDIIVFSSTSQNSDHTSVSAVSISPATPPPQRQRRSRSDKNREGKGTEVVDFSRKRISFDLPTRSPTLSVEIRRSSSVNPPTKIGKSPALVARLMGLEAVPAAEETSREKRRKLLGALEKCDNDLKALKKIIMAFRSPEIDHLPSMEIAGNGVNGDKVGRISVRKCRDFSCSDEEKKPCSRLHHLNRINRAAKQRENGGEETKQEPKKKNPAAIIEEDRIISISSINPITRLQERIMKLQQMSSIDHLEAKASSRIIMEINMKKKKKQQEKDEDQPLTWRSKAMGESLEEISKEISWGLKHEMAKIGMALQHHIYGDLIDEMLTDIMHFSSTFSSFHSSSIPPFEACRRRLRF >KGN54882 pep chromosome:ASM407v2:4:18773314:18773759:-1 gene:Csa_4G572270 transcript:KGN54882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREAADAPSNVPTTGKVAEAALDGPGAGDAASSEAKTMLREAATVMKAAQAKFFISMMKV >KGN55388 pep chromosome:ASM407v2:4:22267814:22268919:-1 gene:Csa_4G648590 transcript:KGN55388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKVISGKEQMAPVTQHSGQVIDVESVKCECCGLTEECTPAYIGRVRERYEGRWICGLCAEAVKDESLRSQSNITTGEAVKRHMKFHKAFKSSIPPLNPTEDLISAMKQLLRRSLDSPKKDSSRSLGRSNSCFSAIPGRRSH >KGN55136 pep chromosome:ASM407v2:4:20807217:20813579:1 gene:Csa_4G637760 transcript:KGN55136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGPLGAVIGRYPSSDGNAQMGGIIRHNRKCRDIVFLVIFIAFWVGMIVNSSFGFNQGNPLRLTYGLDYKGNVCGDKHANPGLRELELKYWLNPNQVYQSGLKDSQFKLADARSICLMDCPTPSEDSLNWVCDYPEGEIRLSMDDWIDRNYDYFEFLTPEMRNSSVNLQGPCYPVIFPSVNVYWSCQFLARPSNVSLTHWKMMGGMNIDADLIIDKSIHKSTNSRSSVLKRYMADIGKSWPVLIVCGGILPLFLAVIWLLMIRHFVAAMPWVTVVLFNILIVSVTMFYYLKAGWIGNDAITPIIGDHDPYVHIFGRELNHMRAAAVLMTFVMVVSVLTSIAIIRRIIMATSVLKVAAKVIGEVQALIIFPIIPYAILAIFYMLWLSAALHLFSSGQVVQNNCNSNCCAYDLASKLVNCNHCCGYSIRYTRHIDIAIFFHLFGCYWATQFFVACSSTVIAGSVASYYWARGETSPEIPFLPVFSSMKRLARYNLGSMALGSLTVSFMESIRFILESIRRKLKVASTTPDSRIGRAVHNTSRFCLRCIEWIIKSVNRNAYIMIAITGKSFCKASAIATELIINNILRIGRVNVIGDVILFLGKLCVSLSSALFAFLMLDTHKYRSAHNKISSPLFPVLVCWGLGYVVATLFFGVVEMSIDTIILSFCQDSEEHQGTAQYAPPLLMETLNDQNEMQRLTQGPPSS >KGN53750 pep chromosome:ASM407v2:4:7427590:7428564:-1 gene:Csa_4G120780 transcript:KGN53750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCASKPKGMDLHPSEVSATPTNKPQTQATEIVTQGNNDGGKSASTTLVDLSKSNETKQDKAGGDVELEPASGVPNYDKSTALNLTQAEKVEGIAKESEDKSEAIVKNTQTKEGHPRMTIGADAAKLDATPTPTSEPKNMAPLDVAI >KGN53467 pep chromosome:ASM407v2:4:4734461:4735568:1 gene:Csa_4G056580 transcript:KGN53467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKHRFGYALSLSIVVSLALIAFVSCVAAELHRTKTKDLKLDGKLCYLPESQAFGYGVAALACLVMAQVIGNILLCTSCSINSREKKRSEQPPKRPNLATFFLVVSWASFTVVILLLSTASSMSRQQPYATGWLGGECYLVKSGVYVAAAILILISICSTVGSAVTVRINESRKSTTLPK >KGN53210 pep chromosome:ASM407v2:4:2862402:2866430:1 gene:Csa_4G026870 transcript:KGN53210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPSQNLFRCSNRLKLCSFTNSLPRNNNHFCPSFSSLSLQSLNRFHFHAHKLLTTHNNSTSRHRIYCHYGIGVYESEDNARSGDFNLESVLLLSEFIFLFSSAVFLVVFVLNFVGSSSKKGILMLMGDRGLVWGFPLLVATVVLNSWIRRLQWRRISWGKTSDGLKVNLLDRFEKLEEDLKSLMIVIRGLSRKLEKLGIRYMVTRKTLKDPIAETAVLAQINSEDTRTLAVQEDILEKEFLEMQKVLLAMQEQQQKQLELIVAMGEKRKLMESKQTRDQEQTRIDGQNSANVESKELEAYEI >KGN52789 pep chromosome:ASM407v2:4:304302:306864:-1 gene:Csa_4G001560 transcript:KGN52789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQLEIVSKETIKPLFSTPPELKLHHLCLFDRHAPYLYLHFLYFYQNSSHSKLLKHSLSKALTFYYPFAGRLKDDCSIDCNDMGATILEARLRCPMSEFMNVYNLRHDEALKLVCFDDMNDGSKDQRYNPLLCVQLTRFECGGEVLCVFLSHKLADASTFTNFMNHWASLSRTGDHDMPLLPPPRFDAGSLFEAATVDDVLGEGSKIVKREKNLVSKRMVFKASKIAALKATVCDKVENPSRVQILAAFIYKAAISASKSTLSIHHSRTPITNYLLRIAINLRTRLIPPMPATLSGNIISFFLTSATTTAEQKEIELSSLLSDMKRNMKEFCNKYPRNYKAEEWSSLYKLHIKESMERMNNPEDQIVYACSSWCRFPFYDADFGWGKPVWITTPTILSKNVIILMDAKDGEGIEAFVSLEEEEMAVFEQNEELLSYCELES >KGN53656 pep chromosome:ASM407v2:4:6356676:6362047:1 gene:Csa_4G097630 transcript:KGN53656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSISHAILPKLAFHYQLPHSSSNHTGFNADKFFTISSRKHSGRIFSSLDVKDGRKNTAIDFNDPDWKLKYQADFEKRFNLPHITDVFVDAVPIPSTFCLKMRTPVSDVFAGGYPSDEEWHGYINNNDRVLLKVINYSSPTSAGAECIDPNCTWVEQWVHRAGPREKIYFKPEEVKAAIVTCGGLCPGLNDVIRQIVITLEIYGVKKIVGIPFGYRGFSDKELTEMPLSRKVVQNIHLSGGSLLGVSRGGPSIDEIVDSMQERGIDMLFVLGGNGTHAGANAIHNECRKRRLKVAVVGVPKTIDNDILLMDKTFGFDTAVEEAQRAINSAYIEAHSAYHGVGIVKLMGRSSGFIAMHAALASGQIDVCLIPEVPFQLHGPHGVLRHIKYLIDTKGSAVVCVAEGGGQNLLQKTNATDASGNIVLSDIGVYIQQEMKKYFKELSVPVDVKYIDPTYMIRACRANASDGILCTVLGQNAVHGAFAGYSGITVGICNTHYVYLPIPEVISYPRLLDPNSRMWHRCLTSTGQPDFI >KGN52815 pep chromosome:ASM407v2:4:462717:469972:1 gene:Csa_4G001820 transcript:KGN52815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFAIENVESGQAISSISGTGKCESYFIFSIETSLGDALRIFLYFMGLAYCFVGLSAITARFFRSMENVVKHSRKVVEIDPHTNTEIIRYEKVWNFTIADISLLAFGTSFPQISLATIDAIRNIGNLYAGGLGPGTLVGSAAFDLFPIHAVCVVVPKAGELKKISDIGVWLVELVWSFWAYIWLYIILEVWTPKVITLWEALLTVLQYGLLLTHAYAQDKRWPYLSLPLARTERPEEWVPPEIDICKQDNPCREEFQAHENEQRSIVDIFSIHDSDGKVYHEVPGHDIAESSNSNIPEEMDGKADHPHVLKIWKQQFVDALSLETSESKQRNNIYLRSARLCWQLIVAPWRLLFAFVPPYHIAHGWVAFICSLMFISGIAYVLTKFTDLISCVSGINPYVIAFTALASGTSWPDLVASKIAAERQTTADSAIANITCSNSVNIYVGIGVPWLISTTYNFIAYKEPLKIKDAGGLSFSLLVFFSTSVACIVVLVFRRVTLGAELGGPKVWAWITCIFFMVLWVIFVVLSSLKVSDII >KGN54304 pep chromosome:ASM407v2:4:12242105:12246510:-1 gene:Csa_4G297540 transcript:KGN54304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGFEVYGHVPQQSRRDKLRIGIGNTISTNQIHHNHHHHHHNNFFPLYHDPSSFFSPDFDDFHQNPSSNFQLFHHNYPSSALPPLPSDQQPPPLSLDLNLQHRYASFRSTSLLKTSKFFKPAQQLLHDLFDYAAPNISDDKLLPDSAVFDSLEGDIPIAPAADETHTTKSRLITMLHEVYRRYKLYYQQMQAVVTTFEYAAGLGNAAPYANLAIKAMFKHFRFLKNAIADQLQFNKQQQQQPNPYSQRSIHNHSPGFLDHQPVWRPQRGLPESAVTILRAWLFEHFLHPYPTDTDKLMLANQTGLSRSQVSNWFINARVRLWKPMVEEIHMLETRQAQKSQQKEDKNNNNNNIENNSALNNINNNNDPLSSTNESLLKPHHNNNASSSNHHHHPSLDLSNTNFFPTHHPHAAPGNISLTLGLHQNHPGGIALTEPFPINAAHHFNLGLEANGEGFVNEWF >KGN53670 pep chromosome:ASM407v2:4:6507356:6508269:1 gene:Csa_4G099730 transcript:KGN53670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGSRFSIFGTGAAAADKVEKSAKSEFFPGLKLRSDKDVYRPGDPVVVTIEICSSVPQLDCSLLIERLRFEIIGLHKLDAQWFSTQKPIPGSKQRRGEHIFMDCSVQSIVSSQIISSGAMKSCKPMLLYAQHFCTSVVDMHAVHLNITHFTSWDCCSFSLIHRVRIF >KGN54495 pep chromosome:ASM407v2:4:14029919:14036407:1 gene:Csa_4G338980 transcript:KGN54495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEDLWDWPYDQGFSFFDANESSYNLESGWQADFYFGNGKDVIEENAMNEKYCVQVLKILIRKADADIDDLEENLLLLQCNLAWTESRNQFEACCTALREKIDVLDHSMKSLRQSDKINTNDQSSLHRQQAEKLYEILKPFLGDNCEQDDGQDQHATVNNQSPDTEMELISPLCETSSILGSKVKSEETGVKSILLAGDTMPNGSVQKHKENDCIHDIEVKAKITTGGFCLNSFVTEENSCLKTDDRKLVSKVKIEEAKEHLINNSSKSRRLKSASNVVGECNLLKGQKQGKSVAEKANPDVPRQRDGLSGSKRSFDPNIEEKLIDFLLRTKRNKSDAGPALPQSIGIGASSCLSSNTIGMVDNYLKASETPKPGSFDSSNVLIMLLTKLQGQQGNVMVRTHTKETDKLLPEDSNNVNVSREKSHLNMDHKRKAFTERRGESKLHTSISKEKKSRKTGAIGEDVSLDRPLEWKPSQPKAEMQDGAFDVEKNLGPLSQSKGTSKMLVGEEFIDLSLVDTSSDQIKPNGGTGDDNQTVKSRATIDDQIAKILALLPSSALELQKLTLVDLRVIAKELNLTKYHKLRKTVLLDLLVSRLKSY >KGN55289 pep chromosome:ASM407v2:4:21676949:21677591:1 gene:Csa_4G644660 transcript:KGN55289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAITSTCSSFFSIRSNSMEPRVRTSSPSHGSPSCGKLDGVATWLINGFVTAFFGSLERCSCIRIATAEDDGDEGNDIPLIPNDGNLRQEGTAGGRRRAGKGKK >KGN52885 pep chromosome:ASM407v2:4:826628:829284:-1 gene:Csa_4G004940 transcript:KGN52885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKKLMAGFVVAIVIGICVIFALRKHHHPESNADPNNNDPVITNFKAIDVIQYGAAGDGKTDDSRAFLKAWKAVCEANTPSAMLVPSTKTFLLNPVQFQGPCMSSSVGVQILGKIVAPSDLDAWKVFDAKNWLLFSNVSRLVIQGNGEIDGQGAAWWDRDSDGKPTALAIYDCDGLHLSGLTHSNSPQSHMHIVRCNHASISKLNIVAPENSPNTDGIDVAHSSHVKIHNCNIGTGDDCIAISEGTSNIHIANIQCGPGHGISIGSLGKDGTSSSVEDVRVQNCHLKGTMYGARIKTWQGGAGYARKISFQGITLDQVHKPILIDQYYCNGKTDCKNQTSAVEVSDVLYQGLHGTSATEVAVELSCSETVGCRNIVLEDIDIRYADSDKIAQSSCDDAHGTSTNTFPAVDCLKP >KGN53022 pep chromosome:ASM407v2:4:1728348:1732828:1 gene:Csa_4G011690 transcript:KGN53022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSQHPPPLQILVRGSDGFSIWNGPPFIDNQPTINKLDKVACTSTKFSEDGSKLLVTKSETLTIYECRGFGEIKSFEVPNLLAAALSPCGTYLQTFQKSSTPQEKNVVLWKVDTGGLVYSQFQKNMTKVSWPAIRFSSDETVACRMATNEIQFFDAKDFSKGVIHRLRVPGVTAIELSRTPGTHIAAFVPESKGVPASVQIFACGDSQTQPIARRSFFRCSTVQLNWNNGSTGLLIVVQADVDKTNQSYYGETKLNYLTTDGVHEGLVPLRKEGPVHDVQWSQSGSEFAVVYGFMPARATVFDKKCKPLLELGEGPYNTVRWNPKGKFLCLAGFGNLPGDMAFWDYKEKKQLGRTKAECSVTSEWSPDGQFFMTATTAPRLQVDNGIKIFHYNGALYFKKMFDKLFQADWKPESSDKFGEIDLLVKSVESLNVDQKKTQGQGSKVSQTSQKTAPSNPPVQKPAAYRPPHAKNAAAIQAELLGEGPKETLSKNAMRNKKKREKQKEKKAGEAASSANDV >KGN53162 pep chromosome:ASM407v2:4:2520751:2521206:1 gene:Csa_4G022970 transcript:KGN53162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQICNSMSKNCISHEARQELCSHWLLKLQLPLGQMRNSTVNVDRNQKHTIPMDCWLLQASMKMIQPFRNTNRDLVYNSPSVRVTVPICQAESS >KGN53583 pep chromosome:ASM407v2:4:5654774:5657294:-1 gene:Csa_4G083570 transcript:KGN53583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGFRVLHLVRPFLSFLPEVQSADRKIPFREKVIYTVIALFIFLVCSQLPLYGIHSTTGADPFYWMRVILASNRGTVMELGITPIVTSGMVMQLLVGSKIIEVDNNVREDRALLNGAQKLLGILIAVGEAVAYVLSGMYGSVSQLGVGNAILIIVQLCFAGIVVICLDELLQKGYGLGSGISLFIATNMCENIIWKAFSPTTINSGRGAEFEGAVIALFHLLITRTDKVRALREAFYRQNLPNVTNLLATVLIFLIVVYFQGFRVVLPVRSKNSRGQQGSYPIKLFYTSNMPIILHSALVSNLYFISQLLYRKYSGNFLVNLLGIWKESEYSNGQTIPVGGLAYYITPPSSLADMAANPFHALFYLVFMLSACALFSKTWIEVSGSSARDVAKQLKEQQMVMPGHRESNLQKELNRYIPTAAAFGGMCIGALTVLADFMGAIGSGTGILLAVTIIYQYFETFEKEKVSELGLFGF >KGN53220 pep chromosome:ASM407v2:4:2923264:2926551:1 gene:Csa_4G028440 transcript:KGN53220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGTNSKIENNEALRLCKERKRYIKQAIDSRYALAAAHVCYVQALRNVGVSLRRYAEAEVLIESSLSTSATEIDKTPSHSSYPSPCPSHTADASESPLQESPISPPIATISYMVAGGGTPLTVKVRPSNHSFVYEESVADSSPLPPPPPPPLHESGPSWDYFDTNDEIESFRFLGTGGMDVSFEDERMWKQFKGEMIDPTKDKSHEGTSKLEAVQKAGDNGENLSSFEAVEERNLEMTRREDKEVNSASLSNKVVLEQSASRGGMELEKRLCTEQEDPSEFITHRAKDFLSSIKEIDNRFQRASESGREISRMLEANKIRVGYLEENGSISGFAFLDPLRRLRLVCCPAKPALYSHEEAHKTKVITWKRSTSTRSSSSRNPLAAKDDDDSGSEFVEEFCMISGSHSSTLDRLYAWERKLYDEVKASESIRKEYDRKCDQLRYLFAKDYSTQVIDKTRAVVKDLHSRIRVAIYSVDSISKRIEKMRDEEMQPQLIELIQGLIRMWKAMLECHHSQYITISLAYHSKSTAMGTPRADAQRQISIQLQQEIECFGLSFANWINSLASYVGALNGWLQHCIQPQDRSKSRRPFSPRRVIAPPIFVLCRDWLIGIDDLPSNELSNAIRAFLGELNCSISQQAELQRKQKLVEANTGEELEGKADENATFSSNISCIHSSLTKVLDRLTKFSEASLKMYEDVRQKSEAAQSTYLNYKPVRY >KGN53550 pep chromosome:ASM407v2:4:5440280:5440769:1 gene:Csa_4G081280 transcript:KGN53550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTYAIVLIAGGSVIGAVMILWCVCKFGRSRKKKTITYNMPKRTPQRNLDIERGQLPKNNNNGGMTILGGAAAGLATAAVVTTLSSSGGGGGGDSGSGGDGDGGGGGGCGGGCGGCGGGCGGGCG >KGN55067 pep chromosome:ASM407v2:4:20295742:20297783:-1 gene:Csa_4G627170 transcript:KGN55067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSPFPPPKTLNPSSPFLNSTSLTPFSNPLLQTLTLKPHHTHYYKPLSIISGISYPYQISLFSRPDIRTHAGRSKKKPGGPSPGRIEGNADFRRKLRDNARRKTQKLAESHFYRRKKSNRNYADNFSEDELQQIGLGYDRMVRFMEKDDPNLRHPYDWYKYGEFGPYSWRGVVVGEPIRGRFTDERVTIISEVKDHEEWEKIEQSEMAADFSTGLQRMDKSKGFRYFWVFVRHPRWRISELPWQQWTLIAEVVLESGKERLDKWSLMGRLGNKSRKNITQCAAWMRPDIIYVKKPVYQCRFEPQDEFFQAMMPFLDPKTEQDFLFELQDDEGNVEWVTYFGGLCKIVRINPKAFIDDVVNAYEKLSDEKKSKCLEFLLSNHPVPLLHPYTKEWKAKLEEEELGCDAPDEMENRRRDDNVITEWIETDNEEEYEEQPKEDIVMEDMDEDEDEDEEDDDEQEEGNQEEEEDEGYWDERFRKAISSPEELEKLFKRSGEMADELYEKENVGRRRATAMKDGDEVEMRGKKPKVKAEEWEYIGYGPWRKKIKKSQIPPELFLRSTVRPFTYRNLVKEIVLTRHAILDGEIGV >KGN55406 pep chromosome:ASM407v2:4:22416183:22417200:1 gene:Csa_4G651730 transcript:KGN55406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKHNVDSRPWILDVVPFIVVILITAHVLALVYWIYRLVTDNRPQRRKAH >KGN53591 pep chromosome:ASM407v2:4:5705894:5710286:-1 gene:Csa_4G083650 transcript:KGN53591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCHFTRMPYIHMRLLGTTFTVKLAPNPALWKISYYPVANINFPSNAAPINHQMSIVRNDSVFSPFNIFNRTSFSQAFLFMVDEGRNSNFGECYKSKCSSCSIEKQVLSNKDDSPENLETENDKEWQRRKKIGLANKGRVPWNKGKKHNLETRTRIKQRTIEALRDPEVRRKMSEYPRIHSDQVKVKISSSLRRVWGKRLMKKRLNETFFLSWMESIAVAAKKGGKEEQELDWDSYDKIKQETLHQELRRVAEKEKLKAMRENAKMKKVQRRVGKKEKGDDNAKTKKLKMCSRRRDEGKRKGKEDDNLRKKKKSTTIERSKLKQRLKKIRKKISINGAVTAQGSIASVAPQNPCWEKLDLDLIKKGQTWKEASLADQIQVAKNRKAESTACKVLIASTLAFQCTGVAER >KGN55102 pep chromosome:ASM407v2:4:20539450:20541716:1 gene:Csa_4G629480 transcript:KGN55102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVSSFSHYDSAASDNSNHHLPPGFRFHPTDEELITYYLLKKVLDTNFTGRAIAEVDLNKCEPWELPEKAKMGEKEWYFFSLRDRKYPTGLRTNRATEAGYWKATGKDREIYSVKTCSLVGMKKTLVFYRGRAPKGEKSNWVMHEYRLEGKFAYHYLSGSSKDEWVISRVFQKSGSGCGGATSSNGGSSKKARFIPGSINVYPEPSSPSSVSLPPLLDSSLYSAPATGTAASGNITDRDSCSYNSPTAREHVSCFSTTTAGGSFNMPNYDFATPSPLLAADPSPRFHRNIGLSAFPSLRSLQENLQLPFFYSPVNLCSPPVPVLNNGNDVAGCSSGGNWGVSDEPKVMNSTELDCMWSY >KGN55066 pep chromosome:ASM407v2:4:20295908:20296864:1 gene:Csa_4G627175 transcript:KGN55066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRSYPNFTIQNSMPCQYNFLHKIPISERPYCRSQEQLWRNLTLLYLLPPWPIPNILPFFCFHFWFLPSHFHLIPVFHGCCPSSPHILLLIQLISHFSTAFKQLLKLFWTTYCLPKPLIPVTLIFFFFLISLLLFIIILFVLVLVLIHVLHYDILLGLLLVLFFIVSLNPLCDHIVVPTSIFHLVWGITSQLLLLQLSLPLFCIWMQQWNRVVTQKKLQTFGFLLIAQLFVSIHYIIYKCLWIYPHNLTQPTKISHPFNISFVILQLKEKILFCFGIKKWHHCLEKLILWLKSALINRFFHIYDIGSHPSCTLSYVLS >KGN55421 pep chromosome:ASM407v2:4:22508467:22510356:-1 gene:Csa_4G651870 transcript:KGN55421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLREAKTMFNGLRENGLAPDSVTYNMMMKCYSKVGQVDEAVNLLSEMIRNGCELDVIVVNSLIDSLYKAGRVDEAWQMFDRMKDMKLSPTVVTYNTLLSGLGKEGRVQKAIELFESMIEKKCSPNTISFNTLLDCFCKNDEVELALKMFSKMTVMDCKPDVLTYNTVIYGLIKENKVNHAFWFFHQLKKSMHPDHVTICTLLPGLVKCGQIGDAISIARDFMYQVRFRVNRSFWEDLMGGTLVEAEMDKAIIFAEELVLNGICREDSFLIPLVRVLCKHKRELYAYQIFDKFTKKLGISPTLASYNCLIGELLEVHYTEKAWDLFKDMKNVGCAPDAFTFNMLLAVHGKSGKITELFELYKEMISRRCKPDAITYNIVISSLAKSNNLDKALDFFYDLVSSDFRPTPRTYGPLIDGLAKVGRLEEAMRLFEEMSDYGCKPNCAIFNILINGYGKIGDTETACQLFKRMVNEGIRPDLKSYTILVDCLCLAGRVDEALYYFNELKSTGLDPDFIAYNRIINGLGKSQRMEEALALYNEMRNRGIVPDLYTYNSLMLNLGLAGMVEQAKRMYEELQLAGLEPDVFTYNALIRGYSLSENPEHAYTVYKNMMVDGCNPNIGTYAQLPNQS >KGN55426 pep chromosome:ASM407v2:4:22526523:22529332:1 gene:Csa_4G651920 transcript:KGN55426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRISLPVQTLTIALSFLLLFHSVHCFNLFGIRPVDFKKGDDLKVKVKGLTSTKTQLPVSYYSLPFCRPEKIEDDAENLGEILLGDRSENSPYVAKMLEHQLCNIVCRIELDGEGAEELKEKIEDEYMVHMILDNLPLVHPIQIFEHESPLAYQLGFHMGLKGYYSEEQAKYFIYNHLSFTIKYYRDIQSNSTRIVGFEVKPFSIKHEYNGKWKERNTRLSTCDPITKVMVMNSDGPQMVEEGKEIIFTYDIEFQESDVDWPSRWDAYLATRDDQMHWFSILNGLESILVTSGILAVIVWRIYRDIFNYNDLETQDRAQKVTGWKLIHGDVFRPPCNSDLLCVHVGTGVQILGMILGTMLLAILGLLSPCSRGDLTTTMLLLWIFMSLCAGYVSARLYKMFNGTDWKKIAFKTAVTFPSVIYIIFTVLNGLLRAQKSSVVVPSWAMFVLLLLWIGISAPLVFVGSYVGFKKATIEKPVKTNSLHRQIPRQSWYMNPISVVLIGGMLPFSTVFVELSFSLTATWLNQLYWFFGFHLLVFIILTVTCAEISIMLCYLQLCREDYRWWWRSYITSGSVAIYLFLYSISYFSKSLEITKLISMLLYIGYMLVASYAFFVLTGTIGFFACFWFTRVIYSSVKFD >KGN53905 pep chromosome:ASM407v2:4:9178983:9179219:1 gene:Csa_4G187840 transcript:KGN53905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNEERRTKNEERRTKNEERRTKNEERRTKNEERRTKNEERRTKNEERRTKNEERRTKNEERRKDFFWFWILWKWRNE >KGN55552 pep chromosome:ASM407v2:4:23212471:23218465:1 gene:Csa_4G664590 transcript:KGN55552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDPGAQVVPPIFIHQSLTSRYTDLPSIPKKRPLSYHQGQLHPHTWNPKAWDWDSSKFLTKPSNLNNTTLDDHDDTLRLNLGGRYVEDPVSKPPKKVRPGSPASVTYPMCQVDNCKEDLSNAKDYHRRHKVCELHSKSSKALVAKQMQRFCQQCSRFHPLSEFDDGKRSCRRRLAGHNWRRRKTQPEDVTSRLTRPGSRGPPSTGNLDIVSLLTVLARAQGKNEDQSVKSLLSANSDQLIQILNKINSLPLPADLAAKLPNLENFKGKAPPQSSLQHQNKLNGNPSSPSTMDLLTVLSATLAASAPDALAMLSQKSSVSSDSEKTRSSCPSGSDLQNRPLELPSVGGERSSTSYQSPMEDSDGQVQGTRVGLPLQLFGSSPEHDAPPNLTASRKYFSSDSSNPIEERSPSSSPPLLQTLFPVQSTEETTSNGKMPIRKEVNGVEVRKPPSSNIPFELFRELDGARPNSFQTIHYQAGYTSSGSDHSPSSLNSDAQDRTGRISFKLFEKDPSQFPGTLRTQIYNWLSNCPSEMESYIRPGCVVLSVYMSMSSIAWERLEENLVLHLKSLVHSEELDFWRSGRFLVYTGRQLASHKDGKIHLNKSSKAWSNPELTSVSPLAVVSGQKTSFLLRGRNLKIPGTRIHCTSMGGYISEEVMGLSSLGLSSEGIYDEIHSRSFKVGDVSPTTLGRCFIEVENGFRGNSFPVIIADATICRELRHLESDFDEFKVPDSSLESHSSVSSQPRLRDEILQFLNELGWLFQRERFSYELDNPDFLIRRFRFLLTFSAERDFCALVKTLLDILAKKCLITDGLSMKSLEMISELQLLNRSVKRRCRQMVDLLVHYHVSGVGDSEKKYLFPPNFIGPGGITPLHLAASMADAENLVDALTNDPLEIGLECWSSQLDESGRSPQAYALMRGNHNCNELVKRKLADRKNGQVSVRIGNEIEQLEVSSGERGRVKGRSCSRCAVVAARCNRRVPGSGTHRLLHRPYIHSMLAIAAVCVCVCLFLRGSPDIGLVAPFKWENLGYGTI >KGN54485 pep chromosome:ASM407v2:4:13888163:13891283:-1 gene:Csa_4G337910 transcript:KGN54485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILVKIARAFGASHIIAVDVQDDKLLKAKTIGATHTINSRKEDAIEKIREITGGMGVDVAVEALGRPQTFMQCTQSVKDGGKAVMIGLAQAGSVGEIDINRLVRRKIKVIGSYGGRARQDLPKLVKLAESGIFNLSDAVSRKYKFEDSNQAFQDLNEGKIIGRAVIEID >KGN54039 pep chromosome:ASM407v2:4:10669980:10670769:1 gene:Csa_4G269210 transcript:KGN54039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEHQKIHPLHDVEAPSPTPAAPTDPLVPRGTQKSDAADTTATPVQYPPFQRTIPVMHSKPPKKRRSCCCRCRCWTISILVLLLVLIGIVIGILYLVFRPKLPEYSIDRLQVSQFTLSGNDRLDAVFNLTLTTVNPNKKIGIYYEGGSHISAWYTETKLCEGALPKFYQGHRNRTVLNVPLVGVTENATALFTTLQQQHQQTGNIPLNLNVRQPVRIKLGSLKLMKVKFSATCRLLVDSVSANSDIVIKNSNCKFKLRL >KGN52739 pep chromosome:ASM407v2:4:58429:62356:-1 gene:Csa_4G000600 transcript:KGN52739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALSIASNSWFISHREKHYTSRTMAKPFGKIPLGRRTGGYFFTIFAPITEDRLRFSARDDSESEPSSSSIAVVSDERGGGNDNEMAELSAGEHGGEEREKQQEMDWKTDEEFKKFMGNPSIEAAIKLEKKRADRKLKELDREGANNPIVGLFNRIARDNLEKEKERLEKAEETFKALDLSKLRGCFGFNTFFATDVRRFGDGGIFIGNLRRPIEEVIPQLEKKLSEAAGREVVLWFMEEKTDDITKQVCMVQPKAEIDLQFESTKLSTPLGYFSAITLCVATFGTIALMSGFFLKPGATFDDYIANVVPLFGGFISILGVSEIATRVTAARYGVKLSPSFLVPSNWTGCLGVMNNYESLLPNKKALFDIPVARTASAYLTSLALAVSAFVIDGGFNGGDNAMYIRPQFFYNNPLLSFIQFVIGPYSDDLGNVLPYAVEGVGVPVDPLAFAGLLGMVVTSLNLLPCGRLEGGRIAQAMFGRSTAALLSFATSLVLGIGGLSGSVLCLAWGLFATFFRGGEEVPATDEITPLGDDRYAWGVVLGLICLLTLFPNGGGTFSSPFFSAPFFRGDL >KGN54305 pep chromosome:ASM407v2:4:12258665:12265433:-1 gene:Csa_4G303040 transcript:KGN54305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKRLEGEELQRSQVNGGGGGGDDEKWVADSSVDYKGRVPLRASTGAWKASLFIIAMEFGERLSYFGIATSLIIYLTKVLHEDLKTAARSVNYWTGVTTLMPLLGGFLADAYFGRYATVLFSSVLYVLGLILLTMSALVPSFKPCDSNDHVCLQPRKTHQIVFFLAIYLISIGTGGHKPSLESFGADQFDDDHSKERKKKMSYFNWWNFGLCSGLLLGVTIIVYIQDHVSWGAAYVTLMTMMVISVFIFIAGRPFYRYRQPSGSPLTPLLQVLVAAICKRKLPHPSNPSLLHEFPKTTNNAHGRFLCHTQKLKFLDKAAVYEENNGGPAEKQSPWRLATVTKVEEMKLILNMIPIWLSTLPFGVTIAQTSTFFIKQASNMNRKIGDGGLILPPTTIFCLAAIGMIVSITIYDKVLVPMLRRTTGNERGINILQRIGIGMLFVIATMIIAALVEHKRLQVVAENPKTGSLTMSVFWLAPQFLIIGFGDGFTIVGLQEYFYDQVPDSMRSLGIAFYLSVIGAGSFLSSFLITVVDKITGRSGHTSWFGKNLNTSRLDKFYWLLAAVSAANLCVYVLIARRYSYKNVQRRVAVADCYEDEKGRENGDSVV >KGN54453 pep chromosome:ASM407v2:4:13530962:13538061:-1 gene:Csa_4G334150 transcript:KGN54453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSGQPLLASSESPSVIEYRSQSRNRGSVGCLCRSASFTSSSYDDAHSDIVDVKENCASPFGDNAWSSEDCLSRSISLSRKRQFSTVGSLLSQQFPFGYPTQDRRRLVSWGAMEMHNINDNNPESFELSRVQEKLHKAQRSRHKSMVFEDNLQHDDNPRSIYINDPRRTNDKYEFTGNEITTSKYTLITFLPKNLFIQFHRVAYLYFLAIAALNQLPPLAVFGRTVSLFPLLFVLCVTAIKDGYEDWRRHRSDRNENNKQALVFQSDDFRLKVWKKIRAGEVVKICADEVIPCDMVLLGTSDPSGLAYIQTMNLDGESNLKTRYARQETASAVAEGCSYSGLIRCEQPNRNIYEFTANMEFNNHKFPLSQSNIVLRGCQLKNTEWIIGVVVYAGQETKAMLNSAMSPAKRSKLEGYMNRETLWLSIFLFIMCLVVALGMGSWLVRHKERLDTLPYYRKRYFTNGADNGKRYRFYGIPMETFFSFLSSIIVFQIMIPISLYITMEMVRLGQSYFMIEDKHMYCRASSSRFQCRSLNINEDLGQVRYIFSDKTGTLTENKMEFKRASVHGKNYGSNLSEEYPSMLYSIPATLGRRRWKLKSEVAVDTELIKLLHKDLNGDEKIAAHEFFLTLAACNTVIPIHMDDKSNYANGELSEEGFETINYQGESPDEQALVAAASAYGYTLFERTSGHIVIDVNGENLRLDVLGLHEFDSVRKRMSVVIRFPDNTIKVLVKGADTSMLNITSIDSDRDEFIKLTTENHLCEYSKEGLRTLVVAAKDLNDSEFELWQSRYEDASTSLTERAVKLRQTAALIECDLKLLGATAIEDKLQDGVPEAIESLRQAGIKVWILTGDKQETAISIGLSCKLLTSDMQSIVINGNSENDCRQLLADALAKYGIKSTQCGSQRPKLRNCENECHDHDIPKTPSMSDFTEGKEDLTDKPLALIIDGNSLVYILEKELESELFDLATSCDVVLCCRVAPLQKAGIVDLIKSRTDDMTLAIGDGANDVSMIQMADVGVGICGQEGRQAVMASDFAMGQFRFLKRLLLVHGHWNYQRVGYMVLYNFYRNAVFVLMLFWYILCTAFSTTSALTDWSSVFYSVIYTSIPTIFVGILDKDLSHKTLLQYPKLYGAGHRQEAYNLRLFWFTMIDTLWQSLVLFYVPLYIYNESTIDIWSLGSLWTIAVVILVNVHLAMDVQRWVYITHAAVWGSIVITYACMVVLDSIPVFPNYWTIFHLAKSPTYWLTILLIIVVALLPRYLFKVVNQRFWPSDIQIAREAEVLRKRKGREQIGSKRDRDSN >KGN55408 pep chromosome:ASM407v2:4:22424079:22427135:-1 gene:Csa_4G651750 transcript:KGN55408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVKDQSRVDFIHSKIAGELESVDRLRGSKATKIPAKSGATIGSGNYIVSVGLGTPKKYLSLIFDTGSDLTWTQCQPCARYCYNQKDPVFVPSQSTTYSNISCSSPDCSQLESGTGNQPGCSAARACIYGIQYGDQSFSVGYFAKETLTLTSTDVIENFLFGCGQNNRGLFGSAAGLIGLGQDKISIVKQTAQKYGQVFSYCLPKTSSSTGYLTFGGGGGGGALKYTPITKAHGVANFYGVDIVGMKVGGTQIPISSSVFSTSGAIIDSGTVITRLPPDAYSALKSAFEKGMAKYPKAPELSILDTCYDLSKYSTIQIPKVGFVFKGGEELDLDGIGIMYGASTSQVCLAFAGNQDPSTVAIIGNVQQKTLQVVYDVGGGKIGFGYNGC >KGN53191 pep chromosome:ASM407v2:4:2758722:2761700:1 gene:Csa_4G025700 transcript:KGN53191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMHSIFLPKAFIVSSFGGVFSDHLLQRGSSKCDGKYMFDGGIVKLFRNNSLNFASKAVVDDNCIISSRWHGCIDEEELSSESCNRLIRDYCKVGDVDSAMSLLAHMESVGLHATMTSYTYLIEALGNVGRTLEADIIFQEMISFGCKPRTVVCNALLRGFLRKGLLDLASGVFVLMSDLDIKKNQETYEILLDYHVNAGRLEDTWSIINEMKRKGFELNSFVYSKVIVIYQNNGMWKKAVGIVDEIRKSGISMDKHIYNSIIDTFGKYGHLSEALEVFKRMQQDGVVPDITTWNSLIQWNCKSGNLATALELFTDMQEQGMHPDPKIFITLISFLGEQGKWDVINQNLDSMKLRGHKNSVLVYEILVDIYGQYGQFQDAEKCISALKSAGLLASCSNFCIIANAFAQQGLCEETVKVLQLMEAEGIEPNLVMLNVLINAFAVAGRHSEALAIYHHIIEVGISPDVITYTTLMKAFIRAKKFAKVPEIYKEMESAGCTPDRKAREMLKSVTAILEQRHYR >KGN53478 pep chromosome:ASM407v2:4:4844409:4845913:-1 gene:Csa_4G056680 transcript:KGN53478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPRTIDDVVGQDHLLAKNSILRSSLDCNRLPSIVLWGPPGTGKTSIAKAIVGSTSSFSQSFRFVSLSAVTSGVKDVRDAVEEARKIRIKNNKRTVLFLDEVHRFNKSQQDSFLPVIEDGSIIFLGATTENPSFHLITPLLSRSRVLTLNPLKPHHVTLILKRAVDDSDKGLARTISMGVQIGEDAIDFIAANCDGDARTALNALEISAITAAARTNSVQIDDRNVEDPDENTTNFESSVAVVTLDDVKEALQCKHIAYDKAGEEHYNLISALHKSMRGCDADASIYWLARMLEGGEQPLYIARRLVRFASEDVGLADPLALNQAVSCYQACHFIGMPECNVILAQCVAYLALAPKSIAVYRAMGAAEKVVRESVGQNEGVPLHLRNAPTKLMKEIGYGKGYIYTPDNPSATQSFLPPSLKGYKFLNWPDSEDTKK >KGN53700 pep chromosome:ASM407v2:4:6862739:6875382:-1 gene:Csa_4G107420 transcript:KGN53700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAIFNKLRNLDAYPKINEDFYRRTFSGGLITLASSFFMLFLFFSELRMYLHAKTETQLVVDTSRGGELHINFDLSFPAIPCSILSLDAIDISGEQHLDIRHNIIKKRIDHLGTVIEARPDGIGAPKIEKPLQKHGGRLEHNETYCGSCFGAEASDDDCCNSCEEVREAYRKKGWAITNQDLIDQCQREDFIQKVKDEEGEGCNIEGSLEVNKVAGSFHFVPGKSFYQSSFNFLGLLALQTSDYNVSHRINRLAFGNHYDGLVNPLDGVHWEYNEQNVMHQYFVKVVPTIYKNIRGRTVHSNQYSVTEHFKSVEFGSSQSIPGVFFYYDLSPVKVTYTEEHVPFLHFMTHICAIIGGVFSVAGIIDAFIYHGQRKMKKKVEIGKFG >KGN55127 pep chromosome:ASM407v2:4:20748636:20750371:-1 gene:Csa_4G637180 transcript:KGN55127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIPCLSRNLRNFSNPYFYSIYSFAPRSPFLLYRFSRFYTILASDSAAGSSLICNSTSQSVPSLCLFERCNGGTSDLNLALFRHHYRSCRAFSSFSLEKRQCGTGNLNVSKRNVTSNQLSNIINIIRENQEDLESKLDSPNVRLTNVLVGQILEMLNKHKISASRFFNWVSVQSCKFPCNSDVYSLLIDNFGRLDDYEGILPVLIEFGLKGIELNHKAFGFLLPLSNEHSMKLSVVKLVKLLNEAGGTCRLSGIMALIEMFCSLGSFGMAKFVIEITEKRSSFYYIIVREKCKQKDFEGARCTLDEMRQVGCIPDAGILNYLLSSLCKNDKFGEAHNLLEEMLEQNCSPNSLTFEIIICHLCKIGNIESALGYLDMMVAGGLMPRLSTHAAFVKSYFSSQRYEEAYQYAVDSSLKYVTTQNATYSLLATLHEKRGNLVDAQKILSELMDAGLKPHFHVYTRLLKKLQVQGRGDLANDLKRKISNVSLQSGIQTE >KGN53277 pep chromosome:ASM407v2:4:3413663:3420579:-1 gene:Csa_4G043930 transcript:KGN53277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRPLVSTSSSSPMHMTSFLAPTQRYATGALFALALHQAQIHQTRPLGFSDDDARAEERTSSCSGSSSDSVSEDPELWVHESSALLRPVFRFLEIDSAAWSGLEETAGSGTAKHHIGAFLRLLSEDNENSSEMIEQELALSKSVDAIEENMEKNIISSQSKEKYREYEDEWREKCLPAEAKSKFEEFNKQTESTDGSVVVKQPDDGAWNEAIEQPIEEEKMLSHQRKVVILYELLSACLADISVNKKQSRQRKGYDARHRLALRLLSTWIDIKWMKMEAIETMVACSAMALAKSVAEMGEESPTKESNWDKWKRGGIIGAAALTGGTLMAITGGLAAPAIAAGFSALAPTLGTIIPVIGASGFAAAASAAGTVAGSVAVAASFGAAGAGLTGTKMARRIGSVDEFEFKAIGENHNQGRLAVEITVSGFAFDDKDFVRPWEGLTDNLERYALQWESKNIIAVSTAIQDWLTSRLAMELMKRGAMMTVLSSLLVALAWPATLLAATDFIDSKWTIAVDRSDKAGRILADVLLKGLQGNRPVTLVGYSLGARVIFKCLQYLAETEKNAELVEKVVLLGAPISIKDQNWEAARKMVAGRFVNVYSTNDWMLGIAFRASLLTQGLAGIQPIDIPGIENVDVTDVIEGHSSYLWATRQILEQLELETYYPVFRSSSDRQ >KGN54725 pep chromosome:ASM407v2:4:16429916:16431186:-1 gene:Csa_4G434980 transcript:KGN54725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMTQKRMSNPSTISKALKYIPELQQQVEGLRRRKEGLVTKLNEENLKQIRKNNKEPWMSSFCAVNWLSETEALLQIALEDQTHTQLPFSQILLSLEEDGLLLLTASSFRSFNGRLFLTLLLQAKANTLPRVLQEILNKKLEPL >KGN52795 pep chromosome:ASM407v2:4:341906:343507:1 gene:Csa_4G001620 transcript:KGN52795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRILFLVILLFALPNCESWNWFSSSSSSASSFNRVEGGSGAEFAIEGFDDQKGVRRIENAKNKLTVSNSCWESAYRHLFAGCSEIFAADEKRSRFAWHLSDCFQKDSGRPSFPNCDAKSPMAKCLKYLNEHEHRIYLEFYLETNSICHQLQANAFKLDTERLVNELKRSSEAAEGKLESIEEKSETLLQSSYEISDSLNSTGTQIQKMAQTSRKLEDHMGIVLKHSEAVYEQSKKIETSQLELQEGQLKLRKTLEEGMEMLQDSYTDLGQEMDNLRVETIEIEKEITKVGDSMSLKMKYLQSTADDIGNMAGLSLDKQQELLDAQSTALNGLHSLSKVQSEALEESRNKLQQLAEYGHKQQEELLQRQGQLQQLHDRLMDNSKSILEAQVSF >KGN54879 pep chromosome:ASM407v2:4:18741862:18742355:1 gene:Csa_4G571750 transcript:KGN54879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCEKVNKREEKRRGNDGGWDWQRTARSSVRRRSRSRSRSRGSQGGGEAEGKDKGGNQEGIGDEKLGHLKRIGLIWKEKRKVERD >KGN55462 pep chromosome:ASM407v2:4:22784247:22784789:-1 gene:Csa_4G652740 transcript:KGN55462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNHYNPFSFTHKLKSPISCFRPDHDPELHDNPSSPTSPALKSMTSDLPELRGMCRSLVARISWPSRRRYHHSTDFRYDPSSYALNFEDEQLRYDDEFPIRDFTSRLPASPPHVNLMRF >KGN52992 pep chromosome:ASM407v2:4:1507828:1520522:-1 gene:Csa_4G010420 transcript:KGN52992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPSGNHQEAGQPSSSFDGGNPSNGNSTPVPAADNSSSALAMKHNPGISTDWTSDEQVTLEEGLKKYAAESSVIRYAKIAMQLPNKTVRDVALRCRWMNKKENSKRRKEEHNLTRKNKDKKERVSDSSMKSAQVAARPNVPPYGMPMIPMDNDDGVSYKAIGGTTGELLEQNAHAMNQISSNLASFQIQDNISLFCQTRDNILKIMNDLNEMPEVMKQMPPLPVKVNEELANTILPPTSHSLQS >KGN53395 pep chromosome:ASM407v2:4:4239795:4241692:-1 gene:Csa_4G051470 transcript:KGN53395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGWSWDQKSLIGELIQGMELTKQLRAELGSASGEESKGSLVQGILSSYEKALLILKWNGPMNQLQMVEATPGLPSSPISVNGSPSSDDSGRVLKDPQDSRKESKKRKTQPRWTEQVKVNSETGFEGPHEDGYSWRKYGQKDILGATYPRSYYRCTFRNTQNCWAVKQVQRSDEDPSVFEITYRGKHTCSQGNYLAQTCHSPDKQEQKETDGDHHELQPLQENLFGNQTIQNIEKLENKASTFCFGSSSTSVGCKDIVNAGFSSLAIDTHSALGTFPQSFTSPTSPDKNYFTPSPCQRSNVGGTHSVQNLDPDVHEIFSANTSATNSPILDWDFPFDSDHINPNFPFNSQGFFY >KGN54704 pep chromosome:ASM407v2:4:16142712:16147088:-1 gene:Csa_4G430860 transcript:KGN54704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPRTQKPKPKPRSPLIFFFVSLSAIAFLFLFSSLISTNGSSSFPSSNSIQKIFRLKNLTQKQRRNRHFFSVNDKFLYWGNRIDCPGKHCESCEGLGHQESSLRCALEEAMFLQRTFVMPSRMCINPIHNKKGLLHQSNSSSEESWEANSCAMDSLYDMDLISDTVPVILDNSKSWYQVLSTGMKLGARAVGHVEKVSRIELRDSSRYSNLLLINRTASPLSWFMECKDRNNHSAVMLPYKFLPSMAAENLRDAAEKIKGLLGDYDAIHVRRGDKIKTRKDRFGVDRSLHPHLDRDTRPEFMLKRIAKWVPAGRTLFIASNERIPGFFSPLSARYKLAYSSNYSDILDPVVQNNYQLFMIERLIMAGAKTLIRTFKEDDTDLSLTDDPKKNTKAWQIPVYTDEERR >KGN53282 pep chromosome:ASM407v2:4:3483749:3485928:1 gene:Csa_4G044470 transcript:KGN53282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLEMESSKDPENQLMIEGNIAQNMKIDPERARFPCCIVWTPLPVISWLVPFIGHIGIGREDGVILDFAGPNFVCVDNFTFGAVARYLQINRDKCCISAHRSEEELREVDHSREISTWDDALRRSTQEFQHRAYNLLTCNCHSFVANNLNRLGFRTGGWNVVNLAALIFLKGRWVSKGAVIRTFLPFVVVFSIGLALGDTTFLTFLAFFTFFLVGWFILGTYVFKNLVQL >KGN55008 pep chromosome:ASM407v2:4:19920554:19921682:-1 gene:Csa_4G621200 transcript:KGN55008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDREQEDLQFLGFFGIFTESFNIVLSWRKIFSKITLTLILPLTVVFLAHIQVTELLFFKILKNEDSLNSIPKNSSKYTKLSDIITSEWISFWLFKAAYFTFFLILALLSSAAVVYTVACVYTGKEITFSKVIGVVPKVWRRLMVTFLWNFVIVLIYNMAFGVLIVLWSAIFGFGGIGVLVISGLSVSYVVGFVYISVVWHLASVVSVLEDVYGIQAMLKGKELIKGKMVLSCGVFFTFTVLFLGGQLVFQTLVVLGSSKYYGLRIVLGILCFLLLFKVFLFGLVAQTILYFVCKSYHHQNIDKPLLSDHLEVYHGDYVPLKEQDVQLGEL >KGN54577 pep chromosome:ASM407v2:4:14876658:14877515:1 gene:Csa_4G370550 transcript:KGN54577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKVEKTAVESSLAPPPPPFKKKYKGVRMRSWGSWVSEIRAPNQKTRIWLGSYSTPEAAARAYDAALLCLKGASASLNFPEIANSIQIFDNNSHFRRHHHHIHTSDDAIMSPKSIQRFAAAAANGFPDNVGTVVTPPSSAVSSPSTSTSSTPSDQPEDEMSVIVTSDGFYNEFDQPTAEMESWCNYFDALQSPKYIDQIFTDGWLDFDSMAEGKMDHFEEEESDIRLWSFC >KGN54836 pep chromosome:ASM407v2:4:18043341:18048253:1 gene:Csa_4G526560 transcript:KGN54836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTGSAKARKKSKNQSPEVKFQLDLTDCSRRKDLLSAITLCETAVSEKLKFNQQHFNTLLYLCSTAISDPSLKESAVSFGFRVYNLLQSIGVIPNEATVTAVARLAAAKRDGDSAFELVKTIGKYKVTPRLRTYDPALICFCENLEVDKAYEVEQHMNSAGVELEEPQISALLKLSSDTGKEDKVYEYLHKLRRFVKCVSESTAKIIEGWFCSEKASDIGESTMDIGLIREAILSNGGGWHGKGWIGKGNWVVKRTNVYSSGKCCCCAQQLVGVDISCAETENFAQSLAALAIEREAQPNFISFQVEAVVKELCKMSGGKWPLVLWHNKRTRASLDNSSHRKVVEEWIDKGVLYSTPIGSNDDWYWLYAAVKLKCLLVTNDEMRDHIFELLGNDLFLRWKEKHQIRYTFVKGQLRLEMPPPYSVVIQESETGSWHVPIAANDSELERTWLCVTRPGVSAASDVAVNGETCESIEAQGSCASMSVKLLDSSVARKRKERPSSTS >KGN53898 pep chromosome:ASM407v2:4:9081363:9081893:-1 gene:Csa_4G182290 transcript:KGN53898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSPKYFMTLTFMATLVFSAIDSSRAARRLLQTAPPNMPGLPSLPKLMGMPPLPPLPMGPGMPSIPNLPQPTFPTIQPSLPKLPPLPSLPVNIPATGVVFPPLPTLPSIPTTFPSIPFLTPPPATTSSP >KGN54385 pep chromosome:ASM407v2:4:12851442:12857906:1 gene:Csa_4G310710 transcript:KGN54385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLASSPSGLMAKPPSVARLKPHLPFLTVVLCAFAFLALFYTERITFFSSASIFKLKSCPRKSTPFKTREKPKAEEQWRDPLMDDRFEFDPEECSVVNGKWVFNRSIKPLYTDESCPYLDRQVSCVKNGREDSDYRHWEWQPDECRLPRFNAEIALKKLRGKRLMFVGDSLQRGQWQSLVCMVEWMIPEDQKSLKRGRFHSVFTIKEYKATIEFYWAPFLVHSNSDNPIIGDPRQRILRVDSVANHSKHWTNVDILVFNTYVWWMSGVRIKSLWGSFENGEEGYEEFDTPIAYTMGLKTWANWVDSNVNPNITRVFFTTMSPTHTRSMDWGRVNGSKCFNETKPIKNKKFWGSGADKGIMSVVSKIVHKMKVPVTFINITQLSDYRIDAHSSIFTETGGNSPPSLQIPATRVSEGHFHVSGFYENLRFTMATIISRSAKHLHYANLSFSLHRMRLHSISPSPSTSSSVLAGANFHDPAFPLHSGPGMNFLATYMRRLYSTTSTTADSQSAASEKSAESNSSEGDKSGNSNEGQDAGKPVRGGPVSWLSFLLLVATGAGLVFYYDREKKRHIEEINKASTEVKQGPSVGKAAIGGPFKLVNHDGKQVTEKDFFGKWTLLYFGFTHCPDICPDELQKLAAAVDKIKKAGIKIVPVFISVDPERDTVEQVREYVKEFHPDLVGLTGSSDEIRNVARAYRVYYMKTEEEDSDYLVDHSIVMYLMGPEKMEFVKFFGKNNDVDSLADGVIKEIKQYKK >KGN53991 pep chromosome:ASM407v2:4:10165645:10169344:1 gene:Csa_4G243390 transcript:KGN53991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNCANQRFLSLGQNLPPDWGCIPKQPDSLKAPHGAIGSERNGALTLSSDPFQGTCARSAAEDASPYYNLDIDDARFSSWALPGSLVVTKGVLSHLTWGRVESVNPLRGRRLEGHAGVRRGDIDVETRHREWIIHHSVATTSVEDSNLSHPHDGAHGRPKASGATCVQRFGGSRDPAIHTKYRISLRSSSMRELRYLLPRVVVSNTTRMLHPPHADAGVRGRRIHFKFLGVTFAWFDILGIDNDPFAGSPTETLLRLLLPLNDKVQWSSRDVAHRELPTSQRSEHFTGPFNQYERRAVCTKGTDVGNAS >KGN54791 pep chromosome:ASM407v2:4:17401701:17401970:1 gene:Csa_4G499290 transcript:KGN54791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVVENANNIAKSYYDAACCHAIKYFLRARSQYVEDSFCGADVAKGSCDADVAEGFGGADIMEGLCDADVTVDFCDVDVAESFYDAGVT >KGN53584 pep chromosome:ASM407v2:4:5657709:5658236:-1 gene:Csa_4G083580 transcript:KGN53584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPTSIALKTHDPSQISFLSTHFHVPPSLTRHPSNLRLTSLTFTSRLLLQPASSTVSTAFGSIGDGGRCWSNGFDYKVFVKMS >KGN54081 pep chromosome:ASM407v2:4:10926030:10931139:1 gene:Csa_4G280580 transcript:KGN54081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAEVEKTSHIESKNVKMSGATISSAAPVGSQKVSMFAAKTGFVIPKNKLSGSLVPIFRVNKKLGGNESSANGENDKQTQRNTKWGPDLTQDTAVRKGRLIAYQTRLEQIMELLKSGTLEVPKTQDSTLECENVEDNSPGSQANNKTSYNELLELEKREVIGEILKLNPSYKAPPDYRPLLKEDRLPLPVKEYPGFNFIGLIYGPSGENQKRLEKETGAKIRICGVKAGTGEKDEIKPTDVHGIQNTYEELYVYMSADTFDKIDAAISVIELLITSISGNLATGSTLSDLVSTEESSSSQADGTTVSDMGQNPMPNQGVMQQGQFYGPPSVPGQFHYPSTWPSHNLTPAPGFISPQNPPSSIINNPIHLSTPSSNVPNVPSLFAHPPAPVSFNPAFRGPPVPPPRQQLHAQDVQQPFMAQTSHVGQPRLHALPHQRLPSLVPSNVSKPNFTSSGPLPSGLLPNMAGSSLPQLVPSSFPPGSRPDHPLAPNIVGSSVSMGANNMGQMAPSLPPPFGPRAAPPQGLNISGAAPADTAAANVDGYASFPSGPSTPQATGINVNHHNTAPIPSHQMGHRPPFSVPSALLPSPAHNPPGNFIGGSASNPPTPPTNTSNFTFQPRGPQNPSPQTILNLNIQNTPTGPTLQQPASGAPSFHPSAPNFLRVANQPFPGPQAGSQIGPRTQLHQRNFGPGFQMPNLPGNFPHRPGTSIQFEQDFHMRPRQPELRFTPPQYSSNLTFVSGKLLPPSSGGQQVYDPFSPTSVSGPQHQGSNPLR >KGN55165 pep chromosome:ASM407v2:4:21003543:21004910:1 gene:Csa_4G638550 transcript:KGN55165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKVLFIYLVCLEQISDLSMLKAETHKFESHYLDNLVGDEIDFYERSPINFVEKFSCPLILFQGLDDKVVPPIQARKIYQALKEKGLHVALIEYEGEQHGFRKAENIKFTLEQQMLFFARMVGHFEVAD >KGN53032 pep chromosome:ASM407v2:4:1793344:1794637:-1 gene:Csa_4G011790 transcript:KGN53032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDYKCIKIERQNPNSGVFHLRLHRPSHYNALTTQLFTELRQAFSHLDQNPDVHVIILSGSGKHFCAGIDLKSTASNFEKHLSEERGRAGERIRREIKWMQESITAIEECRKPVIASIHGGCVGGGIDIVTACDLRYCTAEAVFSVREVKLAITADLGTLQRLPRIVGYGKAAELALTGRNFSGLEAKELGLVSRTFDSISKLQDGVLKIAEEIASSSPLAVVGTKAVLLKSRDLNVEQGLDYVATWNSGTLLSDDLKEAISAQASKRKPKFSKL >KGN54026 pep chromosome:ASM407v2:4:10604009:10604644:-1 gene:Csa_4G268100 transcript:KGN54026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSVEKQEHRDVAFKLVGKCIKKRSGKRSFVGVRQRPSGKWVAEIKDATHDIRMWLGTFNTPEEAARAYDEAACLLRGSNARTNFTLASNSSSALSFKIRNLLIHKITLKRSSITETHAHVAHSETNQEMHMFDNNAVWSSCNGEIEVGFCHFTHSCCDLPLGFNFDMDESLSALNGITQHLGNAYDDAFDTPAAHIDYTDFSSFFFVPT >KGN54888 pep chromosome:ASM407v2:4:18835917:18839487:1 gene:Csa_4G572330 transcript:KGN54888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIYKWSTEVQSSLSLPPMASPFKPPFLLSLAFAFFILGYSSSEEPTLLTFKASIKDSTNSLSNWVSSSQTHFCNWTGIACVTSSSPSLLSVSAIDLQGLNLSGEISSSICELPRLAHLNLADNRFNQPIPLHLSQCRSLETLNLSNNLIWGTIPDQISLFSSLRVLDFGKNHVEGKIPEGIGALKSLQILNLRSNLISGTVPSLVFHNLTELLVVDLSENSYLLSEIPSEIGKLEKLEELLLHSSGFYGEIPSSLLGLRSLSVLDLSQNNLTGKIPEMLGSSLKNLVYFDVSENKLVGSFPNGFCSGKSLVSFSVHTNFFAGSLPNSLNQCLNLERFQVQNNGFSGDFPEALWSLPKIKLIRAENNGFSGEIPESISMAAHLEQVQLDNNSFSSKIPWGLGSIRSLYRFSVSLNRFYGELPPNFCDSPLMSIINLSHNSLSGRIPEPKNCKKLVSLSLAGNSLTGGIPTSLANLPVLTYLDLSDNNLTGSIPQGLENLKLALFNVSFNRLSGSVPFSLISGLPASFLQGNPDLCGPGLQTPCPHGHPTNHMYGLNKMTCALISLACVLGVLSLAAGFILYYRSYRPKSRLDNWHSVYFYPLRISEHELVMGMNEKTAQGCGGAFGQVFILSLPSRELIAVKKLINFGRRSWKSLKAEIKTLAKIRHKNIIKILGFCHSDDAIFLIYEFLHKGSLADLICRNDSCLNWNVRLRIAIEVAQGLAYIHKDYVPHLLHRNVKSSNILLDADFVPKLTDFALHHIVGESAFHSTVASESSHSCYIAPEYKYNKKATEQMDVYSFGVVLLELLTGRQAERSESTEDSLDVVQWVRRKVNITNGASQVLDPSVSEHCQQQMLEALDIALQCTSLMPEKRPSMLEVAKALQLIGSTTNLQDATFLGAEDSSVSS >KGN54236 pep chromosome:ASM407v2:4:11791849:11794087:-1 gene:Csa_4G294930 transcript:KGN54236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAGVCGKRVGFEEIFGSSSSPTACSSAKRSRWSTFGSPTRSDFGSGPDDSASVLLQMFPGVGAEVPSFDDFSARGHSATIGNCSTVPDERTATCSQMSHEKIEEAKDVGSTVAEGNGMHGSKWVDMFVQEMAGAVDVGDARIRAARILEAFEHNVTVNSRESEELKHASLKEHLQNLVNDNQILKRAVAIQHERNLEQEEKTREVHQLKHVLCQYQEQIQSLEVRNYTLNLHLQRAQSVSGHFHQDIF >KGN53639 pep chromosome:ASM407v2:4:6191678:6192642:1 gene:Csa_4G094510 transcript:KGN53639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYNATSTSLTVNAYVTTLSVKVQKYPARFLLYLPRVFFLLDHSLQSTILKPLPNLPPHFLLISQSLSRLDFLERIISLLH >KGN54930 pep chromosome:ASM407v2:4:19333646:19335427:1 gene:Csa_4G608060 transcript:KGN54930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSNDSREWCRTSGYYVDAQMWQETYDYRPGLTPVEPSNGMELPPAGLPDIFALYGKASRIILDAISFYLNLRSSPFTEILDNVPLRSREISSSVLSVCCYGRPSFHGEHHHKLTAQEDSQLAMYTSDHDNQIDKSLITLFKADKAGLLIKDFNGRWILVDGDLGPQDAIVYPGLALYQATAGYVNPALLRTDVNNIQGSMYGRCSLSFKLMPKSMTSLSCSEMRAAGHGVDVQFQLPVPVDDFMQRSHSTDQLFNRPNFQNFSFSTSQDGSIKMRRRKNNSSTKPLPPSKRLRLEAQRVLKEKVQDIADKKGIKLRFCNLKDCESHIHTLDSPCASTRMEIGWPPGVPFVHPHDLPNKAKIGFLEAYEPGWTDSHDVELSLTEPGQVGQQSTNCK >KGN54065 pep chromosome:ASM407v2:4:10836424:10839999:1 gene:Csa_4G280420 transcript:KGN54065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGNRDHRPPLPPLGGGRSSSSISLAAPTSWASTTSVSASGKRIQREMTEFNLDPPSDCSAGPKGDNLYHWFATIFGPSGTPYEGGIFFLDIIFPSDYPFNPPKVVFKTRIYHCNVDSTGNVSLDILKDNWSPALTIAKVLIAVKSIFANPNPYDPNAPGVAHLYLADRAKHDEIAAEWTLRFAK >KGN54235 pep chromosome:ASM407v2:4:11786768:11790558:-1 gene:Csa_4G294920 transcript:KGN54235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSPEFHGTTNPTSGGASVSYFQRTVRLLDWWLITAGNDSNGKTLAVAGLTSKPGQPVRVFSSAPIVKRHDVFTLETADGICVVLKGFLNKLRATDNGFTPQVFKHFVFGFPPNWETHAANCFEAGASNSTAAGGNASDTDNLSCRSRSVTGNGLDHGDSMAEETMQTTSATETPAPFTGADVQDEEVENKGKKERESRKKVTKKIISDSPGSGVSKNTRGRKEKECLVSPECRSYGRSRSGRVLLPTMEFWRNQLPVYDSDRKLRGIREEQQDKKTTLTTKRKEEHRSKKAKR >KGN54383 pep chromosome:ASM407v2:4:12815507:12835911:1 gene:Csa_4G310200 transcript:KGN54383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNSLLFEGLMIDFVSIRQMHCQALAQAELIPCSNSCNSKFFIPSKAMVGMMMAVNSRERLYGLINSTKIASDIPSKLARLRQLKNVLLPEDPVLLSELLPRILELQSDRFSPIRKFVTEMIGEIGFKHIDLLPQIVPLLITVLTDDTPAVVRQSITCAIDLFRISLVKIAMKGLYSSEMDNSLQSLWTWMLKFKEEIYSIAVHGNGGMSLLALKFVVEVILLYTPDPSVSTEPPACEESSVDFNISWLRGGHPILKIRDLSTEASQSLGLLLDQLRFPKVKSLNNTKIIVLINSLSTIANRRPAFYGRILPVLLGLDRSGTIFNGLHAPGVHFALKNAFLNCLKCTHPGALPWRDPLIGAVREMKVRGGADPSLNQVSTDNGSVKEEQGDGHLVSANFFDEKAAVLSTSSVMQNNLGRKRAGEPDSCDLSEDGNGSGKRARPTTNVSDTEEPSKEIGRSTVVSKQNASSSGTSPTEDVDTGPAQQLVTMFGALVAQGEKAIGSLQILISSISADLLAELVIANMRFLPPHQPDTGGGELLQNMCIVGSDVQAKYPSSFVADVLSLSSTFPPIASLLDSSRSLSDHMKPQEEEDHHAVPVPIVDRVGTSHDFENAITPTNLPGSKASISEAEEVCSIIPSSIHDMGNLDSGIPGLDSSVQSDGMSDTAVTPSLASSGFDESNQENISTLDLTSSKLSGEKSEELSPKAVVSDVNSLASSTATSAAVSFQLVLPKMSAPVVDLVDEEKDELLKLAFVRIVEAYKQIAVAGGSQARSSLLAYLGVEYPLELEAWKVLQNHILADYVNNEGHELTLRVLYRLFGEAEEEHDFFTSTTAASVYETFLLTVAETLKDSFPPSDKSLSRLLGEAPYLPKSVINLLECMCSPGNSENADKDTLSGDRVTQGLSAVWSLILLRPPIRDVCLKIALQSTVHLSEEVRMKAIRLVANKLYPIPSISQRIEDFSKEMLLSAISDLATDMTDADGLASESHKDAHPEKSLVESSAIGKDISSDTHPSSISQVDTSLPISEAQRRMSLYFALCTKKHSLFRQIFVMYKDASKGIKQAVHDHIPILVRTMGSSSDLLEILTDPPSGSENLVMQVLHILTDGIIPSSELVFTISKLYNSKLKDVEIMIPVLPYLPKDEVMMIFPHIVNLPGDKFQAALLRILQGSSQSGPVLNPAEVLIAIHGIDPDRDGIPLKKVTDACNACFEQRQTFTQQIIAKVLNQLVEQIPLPLLFMRTVLQAIGTFPALVDFIMEILSRLVGKQIWKYPKLWVGFLKCVLLTKPQSFNVLLQLPPTQLENALNKTAALKAPLVAHASQPNIRSTLPRAVLTVLGITLDAQNTSQVQSSQTNMVDSSNSEKEVAVPEKSKESSVAG >KGN53138 pep chromosome:ASM407v2:4:2375856:2376344:1 gene:Csa_4G022250 transcript:KGN53138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVVWKKLLSIVRLIVPSKANAKRLSSTNDIDGMKKKKFPPRPFDEESSAAPRNGNY >KGN54594 pep chromosome:ASM407v2:4:15017259:15017597:-1 gene:Csa_4G374620 transcript:KGN54594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNEIGMVGIDGKFGSVIVGSGGNASPIGSGGKLIFGNVGCGNFGRVGFGKPGNGGIRVGIAGRFGTKGGVVCERWRAAWPKLMLERAKVAVKAMIKNLEVAIFFPFDKMI >KGN52741 pep chromosome:ASM407v2:4:86051:86741:1 gene:Csa_4G000620 transcript:KGN52741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKLFTSIYPFLFLFLFFSSSSTTPIAARPVPGFSNAFLGTSRDGVVVEDDVLEEESCDEIGEEYCLMRKTLAAHVDYIYTDTQKP >KGN52828 pep chromosome:ASM407v2:4:509262:514731:-1 gene:Csa_4G001950 transcript:KGN52828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERWNIPKPVGRKKKGLEVAVSFLFIWIRQLKEDEVMKSPQSEGQFTRSPSVRERVEDTLSAHRNELVSLLSRYVDQGKGILQPHHLIDELENIIGDDDGKLHLSTGPFGEILKSAQEAIVLPPFVAIAVRPRPGVWEYVRVNIYELSVEQLSVSEYLHFKEELVEGQFNENLILELDFEPFNANFPRPIRSSSIGNGVQFLNRHLSSVMFRNRESLEPLLDFLRAHRYKGSGIMLNDRIQSISKLQSALSKAEEHLSKLLPSTPYSDFEYVLQGLGFDRGWGDTAERVLETMHLLLDILQAPDPSVLETFLGRIPMVFNVVILSPHGYFGQANVLGLPDTGGQVTRLIPDAKGTTCNQHLEKVIGTEHSHILRVPFRSENGILRKWISRFDVWPYLETFAEDAASEIIAELQGIPDFIIGNYSDGNLVASLLAYKMGVTQCTIAHALEKTKYPESDIYWKNFEEKYHFSCQFTADLIAMNNADFIITSTYQEIAGTKNTVGQYESHTAFTLPGLYRVVHGIDVFDPKFNIVSPGADMTIYFPYTEKQLRLTALHDSLEKLLYDPEQNDEHVGTIDDRSKPLIFTMARLDKVKNITGLVELYGKNARLRELANLVVVGGYVDVKNSKDREEMKEIEKMHDLMKKYKLHGQFRWISAQTNRARNGELYRYIADTRGVFVQPAIYEAFGLTVVEAMTCGLPTFATCHGGPAEIIEHGISGFHIDPYHPDQASALLVDFFEKCKEDPSHWIRISEGGLRRIYERYTWKIYSERLMTLAGVYGFWKYVSKLERRETRRYLEMFYILKFRDLAKSVPLAVDDH >KGN53029 pep chromosome:ASM407v2:4:1773704:1773856:1 gene:Csa_4G011760 transcript:KGN53029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAKGRNKRRPFQTHAAGHYHDRPPPFTSESGGCGSGTPPYVRREQKRNA >KGN54434 pep chromosome:ASM407v2:4:13285356:13286923:1 gene:Csa_4G329560 transcript:KGN54434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRERKKAAAAAPNSSHHNSKEFRASTSSTAVVNVESVERGFLINVFLERNSPGLLVRILEAFEKLGLGVLDADISCSDCFQLQAFGEENEGRKIIKAQVVKNAVKQAIKEWSESDGQT >KGN55542 pep chromosome:ASM407v2:4:23157158:23159696:-1 gene:Csa_4G664490 transcript:KGN55542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMKFLSLLILASIPVLKVLLITGLGSFLALPSIDILGQEARKHLNGVVFYVFNPALVSSNLAETITYSNMVKMWFMPFNILITFIVGSLFGWIVIQWTKPPPHLRGLILGCCSAGNLGNILLIIVPAVCQEKGSPFGDSDKCTTYGMAYVSLSMAIGAIFLWSYVYNIVRVSSMSHITADPASNNLPITNTSSIEEPLIHNQPLVVYNDDDDDVSNSKKLLVLEENAVISSSKSKREASAAVRITTFIKSLNLKALFAPSTIGAIAGFVIGLIPQLRNLLIGADAPLRVIDDSAALLGNGAIPTVTLIVGGNLLRGLRGSESELKKSIVVGIVLVRYVALPLTGILIVRGAAKFGWVGSDPLYLFVLLLQFAVPPAMNIGTITQLFGAGEAECSVILLWTYVLASISLTLWSTLFMWLVG >KGN53558 pep chromosome:ASM407v2:4:5486759:5492167:-1 gene:Csa_4G082350 transcript:KGN53558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGWQMKQFLRALPPVRAGGDLWRLRNSDSSVAQTIAVVEEISNIPNVRLYSYKELRKATENFRSENKLGQGGFGSVYKGRLGNGTLAAIKVLSMDSSQGTREFLAEINVISVINHDNLVKLHGCCVEGQHRILVYPYLENSSLDKMLFGRGHRNIQFNWQTRCKICIGVAQGLAFLHEEVQPHVIHRDIKASNILLDKDLNPKISDFGLARLLPANLTHVSTRVAGTVGYLAPEFAIRGQATRRTDIYSFGVLLLEIVCGRYNINRRLPAEEPYLLEMVWEHHEKGQLLELVDISLRQDFVTEQACRYLKIGLLCTQDMPKLRPSMATVVKMLTGEIDISDQTISRPGMLSEFMLRKDVLCKKGESTAEDSGKPIDSTSSSRHMDTSYATITFDSIFDRSN >KGN54483 pep chromosome:ASM407v2:4:13878013:13880322:-1 gene:Csa_4G337890 transcript:KGN54483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSLFPAQPDDHDISIGGSQYRLLLTSNDLLLQWNRITFWKLSMDLKAFTHSYAPVSFLAMNASGLYLFSGDGSTVVMHVSLNLNSGSSSDFFRFGRLGFDGRFKIMSFINGGFVEEFLGPSEICQIPTICGKLKLCSAGTCSCPPSFTGDSRGGCVPADSSISLASSCGNISSLDSKSSFSYLRLMNGVDYFANTFMEPVTHGVDLQFCKYLCSKNCSCLGLFYENSSSSCLLIWNQIGSIMSANKGRVGFIKTLQITPISEGRSRKRIPLVGLILIPSSALFLVITFVVLLLWFRRWRISVMLQRSDSSSSAELEMSLIPGLPIRYSYNEIATATNNFKTQIGSGGFGIVYKGTLSDKTIVAVKKITSFGVQGRRNFCAEIGVIGNIHHVNLVRLKGFCLQGRHRVLVLEYMNRGSLDEALFVDGDDPVLEWKDRFQITLGTARGLAYLHSGCDHKIIHCDVKPENILLNDSLGVKISDFGLSKLLTPEQSGLFTTLRGTRGYLAPEWLTSSTISDKTDVYSFGMVVLEIVRGRKNWLLQEEERVYFPLLALQMHMEGRYLELVDPRLEGKVRSDEVEMLVRVGLCCVHEDPAMRPTMANVVGMLEGGIPMADPIVESLSFLYLYGRRFSEATMVENLTLQDPFALQRALTLATSTSTRHGHPHNREKNNNDIISTFSYISSQQVSGPR >KGN53027 pep chromosome:ASM407v2:4:1757535:1763084:-1 gene:Csa_4G011740 transcript:KGN53027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCVSIQARPGSFSKRTKDINTLPDHAFIESVRKSSVRHAASILSHDSTGDNIFEKYRFGKELGRGEFGITHQCFDIETGDTFACKTISKSKLRSEINVEDVRREVAIMRSLPKHPNIVTFKEAFEDNEAVYFVMELCEGGELFDRIVSKGHYTERAAANVTKTIIEICKLCHENGVIHRDLKPENFLFADESENSQLKAIDFGLSIFFEPDQRFGEIVGSPYYMAPEVLRRSYGPEIDVWSAGVILYILLCGVPPFWAESEEGIAHAIVRGNIDFERDPWPKVSKEAKELVVGMLDPNPYNRMTVEEVLGHPWIQNKNQARNVSLGENVGIRIKQFTLMNKFKKKVLRVVADHLSDEQMEGIRRMFHMMDTDKNGDLTFEELKNGLHMIGHSLPDPDVRMLMDAADLDGNGTLSCEEFATMSIHLRKMSTDELLTQAFSFFDKDQNGYIEYDELREALMDDNEKVIQDIISDVDSDKDGRISYNEFKAMLTTGMDWKMSSRQYSRAMLHALSLKLFKDKSVAVQN >KGN54078 pep chromosome:ASM407v2:4:10913102:10916046:-1 gene:Csa_4G280550 transcript:KGN54078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSHLGLIFFTFFVFLTSIPSLSAAGSDAPTVYDVLPKYGLPSGLLPDSVLDYTLSSDGQFVVHLAKPCYIHFDYLVYYHKTITGKLEYGSITDLDGIEVQKLFLWFDVKEIRVDLPPSDNIYFQVGFINKKLDIDQFKTIHSCQDNALATSLGSWKRILELPPPIGDIQMLITE >KGN52808 pep chromosome:ASM407v2:4:412294:416456:-1 gene:Csa_4G001750 transcript:KGN52808 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fiber protein Fb14 MASGWGITGNKGRCYDFWIDFSDCMSRCREPKDCSLLREDYLECLHHFKEFQRRNRVYKEEQRKLRAAEREAKEGKHVDAAHA >KGN54846 pep chromosome:ASM407v2:4:18163789:18164158:1 gene:Csa_4G539620 transcript:KGN54846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPQRIPIHHNFLNKTIPSPNFSKCFKLPASCVGTNLSLASVVSSRTPADFDFGLMLGSVLRDGRRGDDDIGRVSLEIKEMEEEGTKEEMRDE >KGN53118 pep chromosome:ASM407v2:4:2239771:2239958:1 gene:Csa_4G017060 transcript:KGN53118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPDATIGSDKQDPVFAMKKFQRFIGSMDLAKALAPHEVSTKAFKADIIL >KGN54865 pep chromosome:ASM407v2:4:18476968:18480113:1 gene:Csa_4G561690 transcript:KGN54865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDDSTKVLDLIKDLVLRLLSHNPTSNSSPTSSDFQKSLRYAIRILTSRMTPSIAPDAAAIAESIKRRLATEGKSSQALTFADLYTKFASKTGPGSVNNKWAVLYLLKIVAEDRKCRQTQFESSMLLPNLVASDPVLGKKSGAAPEKEWQKGVLLVAKDPENLRDVAFKEFSNLLKEENEVTEEVLVRDVLYACQGIDGKYVKFDNNSDGYVLSNLVKASRATRTMVRKLCEMGWLFRKVKGYISESMERFPAEDIGTVGHAFCAALQDELSEYYKLLAILEAQSMNPIPLVSEAASSGNYLSLRRLAVWFAEPMAKMRLMAVLVDKCRVLKGGTMAGAIHLHAQHGDPLVLEFMRRLLRRVCSPLFEMVRSWVLEGELEDIFSEFFVVGQQVKAESLWREGYRLHAGMLPSFISQSLAQRILRTGKSINFLRVCCEDMGWADAATEAAAAAGTTTKRGGLGYGETDALESLVDEAAKRIDKHLLDVMHKRYKFKDHCLAIKRYLLLGQGDFVQYLMDIVGPELSEPANAISSFKLSGLLETAIRSSNAQYDDPDILDRLKVKMMPHGTGDRGWDVFSLEYEARVPLDTVFTESVMSKYLRIFNFLWKLRRVEHALIGTWKTMKPNCITSCSLTKLHHGVKLQLLSTLRRCQVLWVEMNHFVTNLQYYIMFEVLEVSWSDFSNEMEAAMDLDDLLAAHEKYLHSIFEKSLLGEQSQTLCKSLFVLFDIILRFRSHADRLYEGIHELQCRTIESSLPSRDKSKKSRTTERSLETASWIADGKKALTQRAGEFLRNVEQDLAALAKEYSSLLEEFISQLPLQQHVDLKFLLFRLDFTEFYSQLRPHV >KGN55374 pep chromosome:ASM407v2:4:22156551:22161758:-1 gene:Csa_4G647470 transcript:KGN55374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEMEASLSGDSGFSSEAGPSHSHPPGPHRFPLAAQPEIMRAAEKDDQYASFVYDACRDAFRHLFGARLAVAYQSETKLLGQMLYYVLTTGSGQQTLGEEYCDINQVSGPYGLSPNPARRALFIVYQTAVPYIAERISSRVASRGIMLADSLSDEFYGEIASGDASSQSLLTMEIQPSSTPGSASYTMRLRAKISRLWLRTVRCWPSVLPLVREFLQLALRTNLMFFYFEGLYYHISKRAAGIRYVFIGKPSNQRPRYQILGVFLLIQLCIIAAEGLRRSSLSSVSSSINPASFGANPSSSGRGLPVLNEEGNLISVDGDSGNWVSDPSHSEFNTGSGISKCTLCLSNRQHPTATPCGHVFCWNCIMEWCNEKPECPLCRTPINHSSLVCLYHSDF >KGN55007 pep chromosome:ASM407v2:4:19915160:19918977:1 gene:Csa_4G621190 transcript:KGN55007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGHRVEALCFVMGKTKISSGERDTNGATTDQTKLAKSLIDPVDKTVDRDLNLVRELGLKLVYAMNTHVHADHVTGTGLIKSKFPGAKSVISRASGSKADVLIEPGDRISIGDLFLEVRATPGHTSGCVTYVTGDEPDQPYPRMAFTGDTLLIRGCGRTDFQGGSSKQLYESVHSQIFTLPKDTLIYPAHDYKGFSVSTVGEEMAYNPRLTKDLEEFKKIMENLNLAYPKMMDIAVPANLVCGLQDPAC >KGN52831 pep chromosome:ASM407v2:4:536653:538678:1 gene:Csa_4G001980 transcript:KGN52831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLKLQKRLAASVLKCGRGKVWLDPNEVNEISMANSRQNIRKLVKDGFIIRKPTKIHSRSRARRMKEAKRKGRHSGYGKRRGTREARLPTKILWMRRMRVLRRLLRKYRESKKIDKHMYHDMYMKVKGNVFKNKRVLMESIHKSKAEKAREKTLSDQFEAKRAKNKASRERKIARREERLAQGPGEKPAAAAPVAASQAPQVSKKAKK >KGN53061 pep chromosome:ASM407v2:4:1966151:1966453:1 gene:Csa_4G013550 transcript:KGN53061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEMGIVKRVMLGSEGWEVSEQGLGLHGDVGLLYGPPQAGRRYDRSHSREPWGKGVTLLVGKALKSEPGVRDKHHLVDGKFEANGDDIYRKNKEWDNNEC >KGN53285 pep chromosome:ASM407v2:4:3516824:3520100:1 gene:Csa_4G045000 transcript:KGN53285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSSDSALKALSKIACNRLQKELVEWQVNPPAGFKHKVTDNLQRWVIEVNGAPGTLYANETYQLQVDFPEHYPMEAPQVIFLHPAPLHPHIYSNGHICLDILYDSWSPAMTVSSICISILSMLSSSTAKQRPDDNDRYVKNCRNGRSPKETRWWFHDDKV >KGN54208 pep chromosome:ASM407v2:4:11620496:11620849:-1 gene:Csa_4G293180 transcript:KGN54208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLDELRLIGQLVEAKRSEPRPSSTQFFFYEAIARFLCQQFRSKFYNDYRKRSLSNHATSINWASLFLGPKSEPISFNKP >KGN54830 pep chromosome:ASM407v2:4:17974154:17974354:1 gene:Csa_4G515290 transcript:KGN54830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGKQELNEALKKYQTTIGKASHSSEGAEKNPSDDPESEQRKEERPLKTMAINEVEIQKITLVIYS >KGN54378 pep chromosome:ASM407v2:4:12763891:12769280:-1 gene:Csa_4G309160 transcript:KGN54378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVAVQLSLPSCAFESSSLIHSGRLRNRRQIFCNRTDLPHREDDDKNGVDCSREQIQRRVILVEKYGNSALKKYFLDDNQRLQFFLDEQTSPTSNGFKESRFSETKLSWLPGLIKDFILPTGFPESVSDDYLQYMIRQFPTNVTGWICHTLVTSSLLKAVGIGSFSGTTTAASAVAIRWVSKDGIGAVGRLFIGGRFGNLFDDDPKQWRMYADFIGSAGSIFDLATPLYPSYFLPLASLGNLTKAVARGLKDPSFRVIQNHFAVSGNLGEIAAKEEVWEVVAQLLGLAIGILILDTPGLVNSYSVLSATWLSMRLLHLWLRYQSLAVLHFNTINLKRARILARAHVLHNKVPGTVECNSEESILVWERFTRPSIIFGVPLEEMMGSERSSTVMKLLKLYANEKYILMLDSQDKDLKVFVSFKVGASSMTVLRSIWQTYWLNKHLDTTENVIHQLAQSLSEMEDKFNEFVQLLEGAGWDTHQLSLKVPNNILLDVS >KGN54852 pep chromosome:ASM407v2:4:18249618:18250775:-1 gene:Csa_4G551640 transcript:KGN54852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVAGWQTVALFGLLSWIALASYINITHKLRSSLQPWVTQHVVTGAPLILRIQKYQNSFFDALFSGLSCIVSVPFYTAFLPLLFWSGHGKLARQMTLLMAFCDYLGNSIKDVISAPRPSCPPVRRITATKDEEENAMEYGLPSSHTLNTVCLSGVRFMLGCDFEQNSLFILGYETRSSTQIFDVGVGDVDSDFIPSESSTIGLAHPVRETRKMISRIMPSLSLQSDIVWLARMLL >KGN54270 pep chromosome:ASM407v2:4:12045580:12046130:1 gene:Csa_4G296240 transcript:KGN54270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEDNQKSKAPQYQKVGESTTSIIPKSYKELMQLFQPEPPENKVWPSLVGLTAEEAEKKIKEEMPEVYVTVVPPNHAITFDLRFNRVWLFVDSSGKVRQTPSIG >KGN54275 pep chromosome:ASM407v2:4:12087782:12091466:1 gene:Csa_4G296280 transcript:KGN54275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIPLSFCFHMLSLGIDLESSSSCNIVKEIPEAFPFPTEDLVVKAVAGWAHCVSVTEAGEVYTWGWSECIPSMKTLRDLAIGGGLLKDSTGKQSLTTAEQAGPQDSNGVDRMDSQLDNKRVGEETAKRRKINSVKEDTEISSPGDDLFTTLPCLVNFGPGVKIAAVAAGGRHTLALSDMGQVWGWGHGGEGQLGLGTRVKMVSSPHIIPCIELPASAKDRSSVIYQASKAAAGKVLGNYVKGIACGGRHSVVVTDTGALLTFGWGLYGQCGQGNTNDLLRPTCVSSLSSTQVESISAGLWHTVCITAEGLVYAFGGNQFGQLGTGTDQGETIPRLLDAPILEGKCAKRVSCGARHNVVLTDDGQLFSWGWNKYGQLGLGDSIDRNIPSKVSIDGCLPRNIVCGWWHTLMLAEAEPSK >KGN53483 pep chromosome:ASM407v2:4:4850070:4853534:-1 gene:Csa_4G056710 transcript:KGN53483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHIMNYNHFLINLTLSSLLPLLAFLFMINPTPSFLSNLVVFGDPVDCPHHHHPHHHHENPDEDDQSVISSICDNFPTALVPLETTNTSIFCVDQNSCCNFTTIQAAVDAVPNLSIKRNIIWINAGIYYEKVMVPKTKANVTFQGQGYTTTAIVWNDTANSSHGTFYSASVQVFSSNFIAKNLSFMNVAPIPAPGDVGAQGVAIRIGGDQAAFWSCGFFGAQDTLHDDRGRHYFKDCYIQGSIDFIFGNGRSFYESCQLVSMANPVPQGSKFVNGAVTAHGRASADENSGFSFVNCSIGGTGRVWLGRAWRPFSRVVFANTVMTDIIAPEGWNDFNDPARDQTIFYGEYNCSGAGANMSSRAPYVQRLNDTQVSSFLNLSFIDADQWLQPYSLF >KGN55286 pep chromosome:ASM407v2:4:21663015:21664918:1 gene:Csa_4G644630 transcript:KGN55286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMYQPSGRRREADTELGLGGGGQVLDVETAVKDGILGGGGGGGGLISEGGMTEKLDLKEIVEELENIEVPSVFICPISLEPMRDPVTLCTGQTYERSNILKWFSLGHITCPTTMQELWDDSITPNNTLHQLIYSWFSQKYLAMKKRSEDVQGRALELLDTLKKVKGQARVRALKDLRQVVALNSAKNTVEENGGVALISSLLGPFTSHAVGSEALGILVNLDLDAESRRNLVQPAKISLMVDMLNEGSTDTKINCTRLIETLLNGDVCGADTVSSLSLLVGLLRLIKEKKHQNGVLAGLRLLKALCSQEPVRNSLVSIGAIPQLIEILPNFDPECLEIALHILDSLSSLSEGRVALKDCPNTIPNLVKLLMRISESCTQLALSILWAVCKLAPEECASQAVEAGLAAKLLLVIQSGCNPVLKQRSAELLKLCSLNYTATHFISKCKLTRTIQ >KGN54641 pep chromosome:ASM407v2:4:15516403:15518214:1 gene:Csa_4G414410 transcript:KGN54641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSAIQMLNNVTKCVAFLQSCADHQNLNKGKQLHSLMITYGFSPSPPSITSLINMYSKCGQMGEAILVFYDPCHERNVFAYNAIISGFVSNGLASKGFQFYKKMRLEGVMPDKYTFPCVVRTCCEVMEVKKIHGCLLKMGLELDVFVGSALVNTYLKNGSMEDAQKVFGELSIRDVVLWNAMINGYAKIGCLDEALEVFRRMHVKGVAPSRFTITGILSVFASRGDLDNGKTVHGIVMKMGYDSGVSVSNALIDMYGKCKHIGDALIIFEMINEKDIFSWNSIISVHEQCGDHDGTLRLFDKMLGSGILPDLVTITTVLPACSHLAALMHGREIHGYMIINGLGKDDENGAVDNLLVSNAVMDMYAKCGSMNNALKIFDSMSKKDVASWNIMIMGYGMHGYALEALGMFSQMCEAEFKPNEVTLVGVLSACNHAGFVSHGRLFLAQMESTFGVIPTIEHYTCVIDMLGRAGHLEDAYEIVQKMPIQANPVVWRALLGACRLHGNAELAEIAARQVLQLEPEHCGSYVLMSNVYGVIGRYEEVLEVRKTMKEQNVKKTPGCSWIELKDGVHVFRTGDRTHSELNALTNQLCDIGFILDEVLNLY >KGN55342 pep chromosome:ASM407v2:4:21980265:21980414:-1 gene:Csa_4G646160 transcript:KGN55342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKPRGREWGNVMVTNKLKLLSHDYVMREREKWAGVGPIATGSHVVHVR >KGN54742 pep chromosome:ASM407v2:4:16697901:16698290:-1 gene:Csa_4G441080 transcript:KGN54742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAEASSSSKAMETRLTNVEKTVGEIQGELGEIHSMLGEILQNLTITFETHKEPAKLALIQRKQILEKQDSGDDMAANINFPLNEGTDFARNLGKTKITRNPYPEFQMKKEHNPRNLYQARFKPEDYHK >KGN53966 pep chromosome:ASM407v2:4:9764292:9768734:1 gene:Csa_4G197810 transcript:KGN53966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLYKSLSRRVLLTSAAMKRRITTDARQILMSPSLTSIDFPDIWTSSSCSDYSSITKSYDDQQTATVIDGRAIAEEITSGITSEVRRMKNATGNVPGLAVIMVGQRRDSQIYVRNKIAACEEAGIMSEISNLPEDCTEDQVLNALSRFHEDPSIHGILVQLPLPQHLDESKILNSVHLEKDVDGFHPLNMGNLAMRGREPLFLPCTPKGCVELLIRSGVEITGKRAVVIGRSNIVGLPTSLLLQRHHATVSIVHAFTRNPEQIAREADIVVAAAGVPNLVRGSWLKPGAIVIDVGINPVEDPSCEFGYRLIGDVCYEEASRVASAITPVPGGVGPVTVAMLLLNTLESAKRAHNFT >KGN55452 pep chromosome:ASM407v2:4:22724073:22724822:1 gene:Csa_4G652650 transcript:KGN55452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSHKTFRIKKKLAKKMRQNRPIPHWIRLRTDNTIRYNAKRRHWRRTKLGF >KGN53375 pep chromosome:ASM407v2:4:4117065:4126294:-1 gene:Csa_4G050790 transcript:KGN53375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDSTSRICVKNLPKYIDDNRLRTLFSEKGEITDVKLMRTKDGKSRQFAFIGFRTEHEAQEAIRYFNKSFINTHRIACESAWKVGDPKIPRPWSKHSKAKKGSKDGMEVEDDKNSNFLGSKEEGDDLKLSIQDDDPKIQEFLQVTQPRINSKLWANDILVASDADQNRKGKEKPSQMKKMDRKRSELVNTDEDEAQEMQTSLHKNRGMKNWSDSESSDNDNINEDAKNEGESIKKKLEKKNVQMVNSKSPLEIKAREEDHSDHCDDVADVHHMEKSSSTLEDKKDEMLESGRLFVRNLPYATTEEELEEHFQKYGTVSEVHLVVDKDTRRSKGLAYIHYTLPESAKRALEELDNSIFQGRLLHVMPAELKKTLEKPEANILEGQRSKSFQKKREEERKTSEASGNTRAWNSLFMRPDTVVENIARKYGVSKGELLDREADDLAVRVALGETQVVAETKKALTNAGVNVASLEEFASGKADGHKRSNHILLVKNLPYGSSQGELANMFGKFGSVDKIILPSTKILALVIFLEPSVARAAFKGLAYKRYKDAPLYLEWAPDNILSQKPMAGNVEDEKVGEGDTRRVMLEQAVEGIPDVDLDPDRVESRSLFVKNLNFKTTDESLRNHFSEHMKEGKILSAKVKKHVKKGQHVSMGFGFLEFDSVETSTSVCSNLQGTVLDGHALILQMCNVKKDDQGKRKVDKEQSSTKLLVRNVAFEATGKDLRQLFSPYGQIKSLRLPMKFGKHRGFAFVEFVTKQEAQNAFQALSNTHLYGRHLVLERAKEGESLEELRARTAAQFSNDQDPIVSKKRKQMADFDEDRMKLQRTD >KGN55520 pep chromosome:ASM407v2:4:23093878:23094117:-1 gene:Csa_4G664280 transcript:KGN55520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFNLEWAYGGGEAHMGMRRKGIAEKRKGKSQITFYLSRNPQHLVVSNEEMPGVEPEDDVVYLGATVNGPKRTRERDER >KGN54371 pep chromosome:ASM407v2:4:12705201:12710149:1 gene:Csa_4G308610 transcript:KGN54371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLGFKSVVFHGDVRLGELDAIPATDQNFQFPNNEIRIHRISPPSERCPPLSVLQTISSFSLRCKLQSSLPVEQPHLIQLHYSCFHELKTAIVLVGDEEIHLVAMPSKQKNFPCFWCFAVPCGLYLSCLGMLNLRCLAIVFDLDETLIVANTMKSFEDRIEALRIWIAREADPLRISGMSAELKRYVEDRLLLKQYIEHDTVNDNGRIFKAQLEEVPPLNGNCEKVVRPIIRLLDKNLVLTRINPEIRDTSVLVRLRPAWEDLRSYLTARGRKRFEVYVCTMAERDYALEMWRLLDPEAHLIATKQLLERVVCVKSGSKKSLLNVFQSGSCHPKMAMVIDDRSKVWEDKDQPRVHVVPAFTPYYAPQAETANAVPVLCVARNVACNVRGCYFKEYDESLLRRILEISYEDDVVDLPPAPDVSSYMMSEEAGFVPNETVNAPICEGMNGAEVERRLNQPDDKHVIDMANNPTTSQTDARADTSQLPTPLNPNITGPKSSRTLLPSQKPGLLGAPVRRDISSDHDMKRGLLAMKPSIDLRTQTFGDPPILSRMSPQISASGLQVLGASLVDEDSSQGHQNRRPSGLIPESDASKSDKHRSHQNLFSNNSQGVAAISQNHASNNNKEHQTEAGIVSIPPPSLYIGVLQEIGRRCSSKVEFKTVVSTSKDLQFSVEVLFTGEKIGVGLGKTRKDAQQQAAENALHNLADKYAAHIKPLMGPMDADLDKLSIGVENGFLWDTAAPAVNELPKEEDVWHKENSSEVRITSNPSDR >KGN54565 pep chromosome:ASM407v2:4:14773861:14780177:1 gene:Csa_4G363460 transcript:KGN54565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAYIVGVLVPVVVTLFFRNSKKAKKRGLPVDAGGDPGFAVRNSKCPEPVETAWEGIFTLAELFEYSCKQHQDRRLLGTRKLIEKETEVTADGRSFEKFHLGDYEWLTYGQAFEAVCSFASGLVQIGHNKGERAAIFADTREEWFIALQGCFRRNITVVTIYASLGEEALCHSLNETEVTTVICGQKELSKLLGISGQLKTVKNVVCMDEIPQSIINSEPNGNWKITSFAKVQLLGQECNVGAELPMSADIAVIMYTSGSTGLPKGVMMTHANVLATVSAVMTIVPGLGKKDTYLAYLPMAHILELAAENVMAGVGCAIGYGSPLTLTDTSNKVKRGTKGDATALVPTVMTAVPAILDRVREGVLKKVNGTGGLAKKLFDLAYSRRLSAMNGSWFGAWGLEMLLWNFLVFRKVQAILGGRLRFLLSGGAPLSGDTQRFINICLSAPIGQGYGLTETCAGGTFSEFDDLTVGRVGAPLPCSFVKLIDWPEGGYLTSDLPRPRGEIVIGGPNVTLGYFKNEEKTKESYKVDERGMRWFYTGDIGQFHADGCLEIIDRKKDIVKLQHGEYVSLGKVEAALSVSPYVDNIMLHADPFHSFCVALVVPSQHAVEGWASKQGIDTSDFAELCHKEEVVKEVQASLVKEAKKARLQKFEIPAKIKLLSNPWTPESGLVTAALKLKRDLIRKAFSEDLSKLYA >KGN54364 pep chromosome:ASM407v2:4:12670509:12673788:1 gene:Csa_4G308540 transcript:KGN54364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPLTLVKRIQKINSQEAALGISEEASWHAKYKDSAYVFVGGIPYDLTEGDLLAVFAQYGEIVDVNLIRDKGTGKSKGYAFVAYEDQRSTNLAVDNLNGAQILGRIVRVDHVSKYKKKEEEDEEEEQKKREARGVCRAFQRGECTRGAGCKFSHDEQRAADTGWGAAEDASSKWGHDKFDSRKNHDKELHAKGRKTSDNLGRHPRETSGSLRQENRPRGWDDSKESELKSREDHGGKDEKRSRRYDLDGNSDPKSGDDQYKGEEKRSSRNHDDNRKDEKRSRKHDNDDEMKLEDDRYRKEENRYRRNQDNELPQHSRRDYKTREEDRSSKRHGDKEYPPKLREDDKRRERDVSVRHRSESYRRENPDEKRDYRSSHGGRDSSSHSHRERNEDRRR >KGN53181 pep chromosome:ASM407v2:4:2703655:2704557:1 gene:Csa_4G025110 transcript:KGN53181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKEGMVGIPKVINYLSSVLQRVSDSNDKENRMITKRNNSGFDGISRPSISIQSYLERIFKYANCSPSCFVAAYVYLHRFLHNHHPSNLHIDSFNVHRLLITSVLVSAKFFDDLYYNNAYYAKVGGISTMEMNHLELDFLFGLRFQLNVSPNTFHIYCSYLQSEMLSTTQPKLQIQTPIEQLHSFPEDPEARLTV >KGN53095 pep chromosome:ASM407v2:4:2107386:2110628:-1 gene:Csa_4G015850 transcript:KGN53095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADFLWSFAVDEVLKKTVKLVAEQIGMSWGFKKDLSKLRDSLLMVEAILRDVNRIKAEHQALRLWVEKLEHIVFEADVLLDELSYEDLRRKVDARPVRSFVSSSKNPLVFRLKMANKIKAIAKRLDEHYCAASIMGLVAITSKEVESEPSQILETDSFLDEIGVIGREAEVLEIVNKLLELSKQEAALSVLPIVGIGGLGKTSLAKAIFHHEMIRENFDRMIWVCVSEPFVINKILRAILETLNANFGGLDNKEALLQELQKLLRNKKYFLVLDDVWNENPDLWNELRACLLKANKKFGSVIVVTTRSDEVANIVETNHQRHRLRKLSNDYCWTLFEKCAFGSDLPVTPRVDHVIREELVKRFGGIPLVVKVFGGMVKLDKNKCCQGLRSTLENLIISPLQYENSILSTIKLSVDRLPSSSLKQCFAYCSNFPRGFLFIREPLVQMWIAQGFIHLPSGSNVTMEDIGANYFNTLLSRSLFQDVVKDDRERILYCKMHDVVHDVACAISNAQKLRLSGKSNGDKALSIGHEIRTLHCSENVVERFHLPTFDSHVFHNEISNFTYLCVLIIHSWFIHQLPDSIAKLKHLRYLDISHSLIRTLPDSIVSLYNLQTLRLGSKIMHLPTKLRKLVNLRHLEFSLSTQTKQMPQHLSRLLQLQTLSSFVVGFDKGCKIEELGPLNNLKGELSLFHLEHVKSKTEAMAANLAMKENISDLYFQWSLLSEREDCSNNDLNVLEGLRPHKNLQALKIENFGGVLPNGLFVENLVEVILYDCKRCETLPMLGHLSKLELLHIRCLDSVKSIGDEFYGNNNSYHNEWSSLLFPKLKTLHISQMKSLELWQEIGSSSNYGATFPHLESLSIVWCSKLMNIPNLFQVPPKLQSLKIFYCEKLTKLPHWLNLCSSIENMVICNCPNVNNNSLPNLKSMPNLSSLSIQAFEKLPEGLATIHNLKRLDVYGELQGLDWSPFMYLNSSIEILRLVNTGVSNLLLQLPRQLEYLTALRSLDIERFSDIDSLPEWLGNLTSLETLNLRYCKNLKSFPSIEAMSNLTKLSRLETYECFQLKLDEGSYERAKIAHVHDISC >KGN53663 pep chromosome:ASM407v2:4:6412596:6419146:-1 gene:Csa_4G097700 transcript:KGN53663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSSSSTASRPPDSSFRTIRPSNLHYLPRSPNASDFPSKFSAQQNCPNRANFAIDLVLEHRTLSKCSVELLIAKCISKPDNYIIPQVGSVAAFLFFKQWVSALEYMVALWELRLTGFHDFTPILKPRINLPSDVDELHERLQNLFAERIKLLMDGDKVRHWQNKYDLVMVQINRISDTLRRPLRIDAAFKLNEKKKGLLVEKESIVRKMEEFNSAMRYILDHVEGKKLETSDSHGMGIFTFDGTINWNRIHSLILRECRRLEDGLPMYSCRQEILRQIQYQQVMVLIGETGSGKSTQLVQFLADSGLSGSKSIVCTQPRKISAVSLAHRVSEESRGCYNDDDYMSCYPSFSSAQQFKSKIIYMTDHCLLQHYMNDKKLSGVSYIIIDEAHERSLSTDLLLALLKSLLMVRIDLHLIIMSATANADQLSKYFFRCGIFRVPGRSFPVDIKYVPSSNEGISGSCIVPSYVNDVVRMAYEIHWQEKEGAILAFLTSQMEVEWACENFHAPGTVPLAFHGKLSFDEQFRVFQDHPGKRKVIFATNLAETSLTIPGVKYVIDPGWVKDSKFEPGSGMNILKVCRTSQSSANQRAGRAGRTEPGRCYRLYTESEFELMSPNHEPEIRKVHLGIAILRILALGVKNVDDFDFVDAPSAEAVDMAIRNLVQLGAITLNNKVYELTNEGRNLVKLGIEPRLGKLILSCFDCRVRREGVVLSVLMTNASSIFCRVGRVEDKLKSDCQKVQFCHPDGDLFTLLSVYKQYEALPKERKNRWCWENSINAKTMRRCQDAILELERCLKQELHIIIPSYWLWSPLKPSDHDRNIKKCILGSLAENVAMFTGYDRLGYEVAMTGQHVQLHPSCSLLIFSERPKWVVFGEILSIFNEYLVCVTAFDADDLLTLSPPPLFNISNMEKHRLEGRVLSGFGKTVLKRVCGKSNSNLLSLTAHVRKVFSDNCIGIEVNINQNEVMLFSRTENMDEVCHFVNDVLEYERKYLLNECMEKCLYHGNGGSTPVALLGAGAKIRHLELEKRYLTVYALCLNVDSIDDKEFFTSLENFVSGTICGIQKVPNSGHDVDNKERGYRITFLTPDAAEKASKIDCDSFCGSLMKIIPSRLTAGCDNKMFTFPPVKAKVFWPRRLSKGFAVVKCNINDVGFVLNDFSSLLIGGRFLRCEPSIKYNDCVTISGIDKELSEADILNVLRTTTDRKILDLFLVRENAVDNPPVNSCEESLLKEISPFMPKLNPHVKCCRVQVFPPQPKDFYMKAVITFDGRLHLEAAKALEFLEGKALPVCLPWQKIKCQQLFHSTLSCTIDIYRVIKHQLDSLLESFRRIDGVECTLSQNVNGSYRVKLSANATKTVAELRRPVEELLRGKIIDDASLTPAVLQHLTSRDGFDLINLLQRENGVYILFDRQRLSLRIFGASEKMAAAERKLIQSLQLIHESKQLEIHLRGKSWPPNLLKAVVEKFGPDLNALKQKFPGAGFTLNTRRHILYVQGSKDLKQEVETVIFELATISGGSGERPDDADCCPICLCDIEDDRFELEVCGHHFCRQCLVEQFESAIKNQGRFPICCAKQKCGTPIVLADMRTLLSSEKLEELFRASLGAFIASSDGAYRFCPSPDCPSVYRVARPDMPGEPFVCGACYSETCNRCHLEYHPFLSCEQYRVFKEDPDSSLKEWRKGKENVKNCPVCGYTIEKTEGCNHVECRCGRHICWVCLEYFGSSDECYAHLGSVHMTIV >KGN55238 pep chromosome:ASM407v2:4:21430611:21436085:-1 gene:Csa_4G641700 transcript:KGN55238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSPENRVASDSADTTSKNDSEDDDDNGVQNPPSHPCPFSEGEKVLAFHSFVIYEAKVLKTEYQLKEWRCYVHYLGWSKTWDEWVGLDRLLKFTEENVQKQQELNEKRGTDKKASRASHIKPKNVVKGKKRKNDASKEKGAMNVEKLVSIQIPVKLKKQLVDDSEFVTHLGKLVKLPRTPNVDDIMKKYLEYRLKKDATKDESIGEIVKGLICYFDKALPVMLLYKSERQQYEELMINDVSPSSIYGAEHLLRLFVRLPELLSQANIEEETLMELQQKLVDLLKFLRKNQNAFFLSSYHVPENMETSTNNADD >KGN52770 pep chromosome:ASM407v2:4:216941:218406:-1 gene:Csa_4G000890 transcript:KGN52770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGAISEREWISLSGAYTAEESDFMANLLSNYCIPNELNSDLSLEIPSSYWASSNEPSYYSSDASDSSNIYALSQPNNNLPYTFNDSNPTLWLPNNGASLSLDFSIEDVRNADCLDDNGSNIRKTRQCSRLQQSPADSVVNGKSSQPKRRANDVMVEEAMRDDKVGPVSVENFSRKRPQSLLDVEKTKRSGRARKTSKIASGSCNEEDQIVSPNGQCTSSFSSEDDCNEAQENNGGITSSSTSNGKPRASRGSATDPQSLYARKRRERINERLRILQSLVPNGTKVDISTMLEEAVQYVKFLQLQIKLLSSDDLWMYAPIAYNGMDIGLNLKLMKQDNASQ >KGN53872 pep chromosome:ASM407v2:4:8910275:8910460:1 gene:Csa_4G179080 transcript:KGN53872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNKSDDSDLQRTELMYRLARRATQGRTGFCLTEIPFNTVETSIQPMNEMERCMKRFPVSK >KGN53979 pep chromosome:ASM407v2:4:9971702:9972168:1 gene:Csa_4G220860 transcript:KGN53979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSVSNGCAGVSKRLTSARGVLWGGQMSTTTMEANGRSCEWLAAEGFGGPLGWAVVVDDNGSKQSELWTASSIVFRCVGGFKWVFSNGGERFQRMGRGFQKIDFTRGVPLGWADVVDDNGSK >KGN52737 pep chromosome:ASM407v2:4:50738:53298:-1 gene:Csa_4G000580 transcript:KGN52737 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin alpha chain MRECISIHIGQAGIQVGNACWELYCLEHGIQPDGQMPGDTTLGGGDDAFNTFFSETGAGKHVPRAVFVDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTVGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPNYSNLNRLVSQVISSLTASLRFDGALNVDVNEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSAFEPSSMMVKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPTVVPGGDLARVQRAVCKISNSTSVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAESAEGEDDEGEDY >KGN53641 pep chromosome:ASM407v2:4:6195551:6198048:-1 gene:Csa_4G094530 transcript:KGN53641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVPTFFQIRNFNETISKPNKRVCVCKWDVVVSKDNLPTFVSYSKHHTWEIHDNDSSVVTISTRARKSRRGLKALLHHVRCSNGLDFEHSKVSEKLSQVWECSTNEKQLRSLGSYFGRLQGVGDRKNLDSLKKMKVLDTGQFKAKKELQLLDAYFQKVDKGLHKHPLSSFDEQEEGKLVFTTSPSEDRVKDDELQIRHVKLSGMIHKSMPNKTQLQEEEVCDLYLISALVSINIAVFLFEVASPVKNSELQLFSLPSLYGAKINELILVGEWWRLVTPMFLHSGVLHVALSCWTLLTFGRQVCREYGPFTFFLIYVLGGVSGNLTSFLHTPDPTVGGTGPVFAMIGAWLSYQFQNKDVMTKDVSDKMFLKALVAAVISSILSNIGPIDEWTHTGAAFSGMLYGFLTSPIVEVNDASSSSSSRRGQEKGIKLVRKYANPCRSLAFFVLFIMGFISLVFFIQPPFHHFGLLSVLQL >KGN55118 pep chromosome:ASM407v2:4:20664432:20666464:-1 gene:Csa_4G631600 transcript:KGN55118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYSNRSVVAFRFSKALFEQCHGAAAAAAAVPPFSPAPAVSISYKVDTSEERDVTGAKAEELIAEMVKDGKVRSTVEVAYDATKGTAEKVKDTVIAEANENVVDTTEYRSMENMDDQKQ >KGN54817 pep chromosome:ASM407v2:4:17764590:17773982:-1 gene:Csa_4G507960 transcript:KGN54817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQGIGRGDLDTSPVQSLDGSFRKFISGFLQNGVDLSPAQSLDESFRKSHSAQLGYSPSGTASSGKFVPVSRRVYKVLKEYKKKLIDFEIFNQSLEDWIVENTSCHSADEEPNFPSPFPIDEIHEFDLALEGVLFQQLFRMPCSPFSDDLIEDEFLALEDFFHAIINGLWRTFWHKSRPLPFFVSCPRNLGSKFYTVEKAISRGKVGELQGLGLISRAGDELHARWDQVVQFALFKPSILSEDGLKLSARVVCEALFYGLHLLISRSLSKISTIRNYDSVFVLILDSKYGGVIKLGGDLSQLDINSANPYQSAVDWMRNYAEVCVSPVDRIWNKLGNANWRDLGTLQILLATFYSIIQWHGLPRHSITSVASDHGLRLQKRWMECRVSENENTVVPFEQSNGHAGEIVELEQMDIHVYKNQASRLKLRPGEILIVDDQRQGQKSFQVQGSLVGVINRCLYTAVSIDHPAELLTLYVGAHVSNLEQSWEDMSLWYQVQRQTKVLNILKSQGISSKYLPEIIASGRILHNGPCKKETPGGRCDHPWCGTPVLLTSPVGEQLSWIVARDGRFSSEEALRCCRDCLAALRSASLASVQHGDICPENIIRVSVHESRSSYSYIPISWGRAVLEDRDSPAVNLQFSSSHALQHGKLCPSSDAESLIYLLYFICGGSMEQQDSIESALQWRETSWAKRIIQQELGEVSALLKAFADYVDSLCGTPYTVDYEIWLKRLSKAVDGSSDRGKSVDEVDITSKLEDVAESSGISGAGA >KGN53105 pep chromosome:ASM407v2:4:2149173:2149589:1 gene:Csa_4G016440 transcript:KGN53105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGLQKFPQGLSHLKNLKEMIITECSQDCDFTPLMQLSSLVNLDLVLFAGNGAVQLPQQLQHLTALRSLIINDFDGIEVLPEWLGNLASLEVLGLYYCRSLKQFPSKKAIAMSHPISPCGCLWLSTTTQVRRFCAMMF >KGN53730 pep chromosome:ASM407v2:4:7213118:7213472:1 gene:Csa_4G112640 transcript:KGN53730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSSSSSSRNSSYRDTSVFFSVHSCQPYPSISRLSSISVYSSVSVLSIVRPAHDPRSRSLLEPLSSYTRAIPFLAT >KGN54471 pep chromosome:ASM407v2:4:13702071:13702449:1 gene:Csa_4G337280 transcript:KGN54471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGWRWLEAALPLGIIAGMLCVMGNAQYYIHKAAHGRPKHIGNDMWDVAMERRDKKLVENLSAASHS >KGN54314 pep chromosome:ASM407v2:4:12331657:12333405:1 gene:Csa_4G303130 transcript:KGN54314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGVEVFGFWPSPFSLRVELALKLKGIQYQYVEEDVLNQKSDLLVKYNPIYKKVPVLVHHGKPISESLVILEYIEETWKHSPILPQDCHEKALARFWAAYIDGKVVSALGKVCGSKGEVIEEAVEEAKEALEPLEKELKSNKFFGGEKIGFLDIVGILIAFWIPASEEALGIEMLTAHKFPNLIQWIEELANDNVVKELIPKKDDLVTHMKTVLGKN >KGN54129 pep chromosome:ASM407v2:4:11149860:11152419:1 gene:Csa_4G287020 transcript:KGN54129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYKGTVTVTVNPKKNEAFLTIEVIYLEFVSVELDSKGNQQIIGCTAGLKCGGDVSKLFETSIDVPGDFGEIGAVIVELDDDVKERFIDTITIDFKKCTLLTFSCKSWVQPKNKHAEERIEAPFYVPRDENFSEEKQGSVLAENEALLGKAPFSDLPELDIKTPFAASKINQLPFDIPSLVSSNQPPPLNSLDDEPSSSVELPLPESYKRDKYNWLSDIEFARLTLAGLNPYSIQLVKSLPFMSKLDEGDYGPRESKFTHELVQELLGCRIKVCKDILNNGKKFSHQVLIQPICGYGGLRKPMSFLTILAFINLLFTGMYIHIYIHTYMHAYIYYQI >KGN54970 pep chromosome:ASM407v2:4:19661523:19663981:1 gene:Csa_4G617370 transcript:KGN54970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSLPGPRATPTQIDAVRYSPVINCQQVLTHKSDLHLEPDFERLRIISVLGCKLQFQAREQRRRCFVSKEFDKLGRSGRIEEAVAVFLQMTERNIVTYNSMISAYAKNGRIANARELFDLMPQRNLVSWNSMIAGYLHNELVEDAARLFDRMFKRDIYSWTLMITCYTRIGELEKARELFNLLPDKQDTVCRNALIAGYAKKRLFREAKKLFDEMLVKNVVSWNSILSGYTKNGKMQLGLQFFEAMGERNVVSWNLMVDGYVGVGDLDSAWMFFKKIPTPNVVSWVTMLSGFAHYGRMTEARNLFNEMPTKNLVSWNAMIGAYVRENQIDDAYKLFMEMPEKDSVSWTAMINGYVRVGKLLQAREILNLMPYKNIAAQTAMINGYLQSGRMDEANEIFSQISVRDSVCWNSMITGYAHCGRTDEALRLFQEMVCKDMVSWNTMIAAYAQAGQMDKALEMFNEMQERNVVSWNSLITGYVQNGLYFEALNCFILMKQQGEKPDQTTIVCCLRASANLAALNVGVQLHHLTIKTGFGNDLFVKNAILTMYAKSGRVPEAENVFAEIKNKDVVSWNSLIAGYALNGCGKEAVELFEVMPLRGIIPDEVTFTGLLSACNHGGFVDQGLNLFKSMTETYSIKPQSEHYACVINLLGRVGRLEEAVEIVQGMKTVSSAKIWGALLWACRIHHNLELAKYSAERLLALEPQNASNYVLLSNMHAEAGRWDMVERVRVLMKENKAEKQPGCSWIEIDNQLHCFLSKAPPDLRPEICNILKTVRNTEWMLDYRNMLDIL >KGN53987 pep chromosome:ASM407v2:4:10131737:10133876:-1 gene:Csa_4G242870 transcript:KGN53987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENPKMGVKNPSKIPPSSSPRSRNSPRFPLHLDLPDISPAAKTICEVLVRVSRNEVDGALLATGLAPSPELVQEVLRVSYNSPSSAIKFFRWARQLAKQSAYSWNLMIDLLGKNELFEEMWNGIRTMRQEKILSLPTFVSVFGSYCSAGRSKEARMTFEVMDRYEVEKDVVAVNSLLSAICSEENQTSEAWEFFEKHKEKIPLDGESFAILLEGWEKEGNVEKAKVTFDEMVKRVGWNPENVSSYDAFLITLVRGGRSEDAIKVLLKLKKNRCLPGLKFLSNALDSLIQQNDANHAILLWDIVVGSGLVPNLIVYNAIIGLLSENSKIDDSFRLLDSMVFHGAFPNSLTYNLIFSSLIKNKKVKEVSQFFREMVKNECPPTPSSCAAAITMLFDGYDPETAIDIWNYMDENHIEPMDTSANALLIGLCNLNRLTEVRRFADDMIDQRIDILESTMKLLKNCFYQQRGNFRENYDGLLRRWRASSIL >KGN52738 pep chromosome:ASM407v2:4:55116:57451:-1 gene:Csa_4G000590 transcript:KGN52738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLRLRSLESNQSLRIEVPDPSTLDHLKHTLLQTLSSSFSADSLHLSLNRKDELQASSPEDSLHSLGITSGDLLFFTFKPPEFSSLGATSIQSFQPPLPGSSSQVSSSSLPQVEGKQLLGIDCDLKKPRLENSEPESVVPESSSAELTACSMIEESDCEEMEVEEEPTVVVENRCSRPIFLRRVLKEELGYDRNAHKLLVTAVHAVLLESGFVLINPNLGFDDSPFRMPEDWPSPSFTMSLWYTIPELLTKREKNSTMTEVVLLKFQSLGYFVNVYGSLSYSRGSSVYRVSLDERKFAPNLDLIWVDSVSNYILDEKEGNPEKQVFEFWKIVKDALALPLLIDICEKTGLPPPASFMLLPADVKLKILEALTGVDIARVECVCTELRYLASSNELWKMKFNQEFGLEDGVSGNRNWKAKFVEYYEKEKQRNRRTSNMRDLINFGRRRRPPYPFPVPHIIGGDFDIVPGIRLPVYGVPGQSLPRIPRRHAVIPHYDLRGR >KGN54692 pep chromosome:ASM407v2:4:16038074:16041596:-1 gene:Csa_4G427280 transcript:KGN54692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIQQHSPLKLEPWNDLNGKVVMVTGASSGLGREFCLDLARAGCKIIAAARRTSRLQSLCDEINELDFSVSSSALASPISPTGAVESRPAVAVELDVCGHGKSIEESVTKAWDYFGFIDTLVNNAGLRGSVKSPLELSEKEWDDTMDTNLKGQWLVSKYVCILMNKANRGGSIINISSISGLNRPLEMGAVAYITSKAALNTFTKVMAMELGAHKIRVNSICPGLFKSEITKDLMKKDWIKNVARRMVPLRTFGTSNPALTTIVRYLVHDSSKYVSGNIFIVDAGSTLPGVPIFSSL >KGN55467 pep chromosome:ASM407v2:4:22805885:22809596:-1 gene:Csa_4G652790 transcript:KGN55467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPLRSLRRSSPSIPSLYSIRWLSVNQSSSSSASPSTSDADRLWISSFLKWSSGILLASGLGFVCVSANSATPTLAFADSAVVDSAEDAVVEHPRSSSFFRKFSLPEISSKFLFGEEFRRKVFFNYEKRIRLYSPPEKVYEYFASFRAPDGELLMTPMDLMRAVIPVFPPSESHLVRDGYLCGERNPGELRCAPSNLLMLFDINNDGHVSFKEYIFLKTLLSIPESSFIVTFKMFDLNNDGEIDKEEFKKVMALMRSHNRQGAQHRNGHRSRLIFDGCVENGGLVEYFFGKDGNAGLQLEKFIQFMKDLQIEMLKLEFAHYDYKLRGTISAKDFALSMVASADSSRLRELLDRVSRMDNKPHFMDIRISWEEFKDFDTLRRKLQPFSLALFSYGKVNGLLTKNDFQRAASQVCGINLSDEVVDIIFHLFDTNLDGELSSEEFVRVLHNRERDVAQPVEAGMMGLFSRCWSCEISP >KGN53653 pep chromosome:ASM407v2:4:6320350:6335355:-1 gene:Csa_4G096620 transcript:KGN53653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPLRGNLRFVPTLFDKQGAQLLAVGFLVLSRTWISDRIASLNGTTVKYVLEQDKASFIRLIGVSVLQSAANAFIAPSLRHFTARLALGWRIRLTKHLLKSYMMNNAFYKVFYMSNKSIDADQRLTNDLEKLTADLSGLVTGMVKPSVDILWFTWRMKMLTGRRGVAILYAYMLLGLGFLRTAAPDFGELTSQEQQLEGIFRFMHERLRTHAESVAFFGGGSREKAMIESRFKKLVDHSLLNLKKKWLFGILDDFITKQLPHNVTWGLSLLYALDHQGDRAMTSTQGELAHALRFLASVVSQSFLAFGDILELNRKFLELSGGINRISELDELLNAAHSVTRGSTTNKRDFHSEDVISFSRVDIITPAQKMLAKKLTCDVLQEKSLLVTGPNGSGKSSIFRVLRGLWPIASGKLTKPSQNTKEDQWGCGIFYVPQRPYTCLGTLRDQIIYPLSREEAEMKSSKLYAKGETSVDNVLDMHLGTILQHVRLNYLLEREEGGWDANLNWEDILSLGEQQRLGMARLFFHKPNFGILDECTNATSVDVEEHLYKVAKSMGITVVTSSQRPALIPFHSLELRLIDGEGNWELRSIEQ >KGN54508 pep chromosome:ASM407v2:4:14174218:14175529:-1 gene:Csa_4G342570 transcript:KGN54508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGNTGDESAFSYNEGNGNGPKEWGKLKADWKSCEEGKYQSPINIVEEDVQVLPKLGKLRRDYNPAPAIVKNRGHDILFRSPSVVLHSWVFCLPPLFVFLHLHEFAILRSPAVLRSWVFCLPLSFVFLHLHEFAILHPPFSVRRSFSVRRSFSVRGSSVHVGLKKEEEKSSPNRSVFRLLHVGSFLSSPISVLFTWVRS >KGN53423 pep chromosome:ASM407v2:4:4450812:4452505:-1 gene:Csa_4G052730 transcript:KGN53423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENNPQSFRQFSDQLRVQTGNLANLSLNDSIWSNSYVSKRPEERRNFDVRNGGEVNSLNNFKPKVSDSDVNRFNDGWNSFKPSVASDLNNWSNTSNSMNLSSTGYEKNALNVFDNGWNSFKSKGSDFNAFNDGWKLNSANMGVDPVIGGAQKGINGGFNKGVYSKIGNFNSNSFVNLKNYKNSGKVEEEKGGKTGKKNSNKNNSGENNNDNKEANKNALDKRFKTLPPSESLPRNETIGGYIFVCNNDTMQENLKRQLFGSFNMLIAASFGGTNIDPTAWEDKKTPGESRFPAQVRIVTRKICDPLEEDSFRPILHHYDGPKFRLELNIPEALSLLDIFGEQSS >KGN53320 pep chromosome:ASM407v2:4:3690206:3690596:-1 gene:Csa_4G046830 transcript:KGN53320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEETDEKKKVSRGNSLCIVFTIISLEFSATWKGQGTGFRSTQSLKRYKSDQALAKTSPQRPNPHQPQILQVLTSQLENLTSQDIRFFFFIPITSILQFT >KGN52756 pep chromosome:ASM407v2:4:164674:165111:-1 gene:Csa_4G000770 transcript:KGN52756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKTLVVLAMVFVLLVANSVRATDIITIDEATEVNSDEVNKAGLEMIFAPRKLKLRNGGSRLGVCLLVGKPCLSDADCPSGCYCKPVPLLNIGYCGFL >KGN53265 pep chromosome:ASM407v2:4:3325539:3326589:1 gene:Csa_4G038820 transcript:KGN53265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWNGNMCEMAIAFLKNKETGGGFELAFVIHFGSPLALLSLPRPFTRSLLDLFHTDTGSKLIETFSESKAFAKRD >KGN53753 pep chromosome:ASM407v2:4:7469602:7470460:1 gene:Csa_4G122290 transcript:KGN53753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVESSNTKNNKDSKEVKEKSSSIECWKCKGLGHMSKDYVNKKVMVIRNWIIDSKNECDEHDAPLEEESDAHDDEYIEERM >KGN52749 pep chromosome:ASM407v2:4:131559:135166:1 gene:Csa_4G000700 transcript:KGN52749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAPKQKWTSEEEAALKAGVVKHGAGKWRTILKDPEFSSVLYLRSNVDLKDKWRNMSVMANGWGSREKARLALKRLHAPRKDENAVGPSVAAQSEDELAEAKSVSLSSDIKQITGPKRSNVRKEEEEEEEEEEEKEVERIERDARYDCHRWEKRLDNLIIEAITTLREPGGSNKTKITSYIEDQYWAPPDFKRLLSSKLKFLTASRKLVKVKRKYRLPSVAASERRSSMLLLEDQQKASVRADKDDMCILAKAQIDLELAKMRTMTSQEAAAAAARAVAEAEAAIAEAEEAAREAEAAEADAEAAQSFAEAAMKTLKGRNLPKMMIRV >KGN55572 pep chromosome:ASM407v2:4:23375894:23379585:1 gene:Csa_4G669240 transcript:KGN55572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAIRKQASKFREQVAKQQQALMIKLGHFGTEPLLADEAEIQCHQQLHNLYNSTRTAKHFQKNLVRGIEGFISLSTKQMAIVRRLADDCSKYGANNQNSCPALATAVLNFSTSHSSIEDKKESLLGILGDQVCDPLRAQITGAPLEDARHLTHRYDKLRQEVEIQAAEVLRRRAKSRDSSISAESATRLENAEARLTELKSTMMALGKEATTAMQSVEAQQQQVTYERLRTMVDAERSYHQHALTNLEMLNYELIQLAQSDGSLSTVALATDTNTVPTIRNGEENDQPSEYKKTTSKKSDARGPLDENKDYIIAKVIHPFDAQAEGELSLSIDDYVVLRQVWPNGWSEGECKGKTGWFPSAYVEKQENILATSRSLSDSKSP >KGN54418 pep chromosome:ASM407v2:4:13104171:13104509:-1 gene:Csa_4G314450 transcript:KGN54418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLCSCLFDWNIKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKGLVLVRQ >KGN54872 pep chromosome:ASM407v2:4:18622187:18622803:1 gene:Csa_4G569710 transcript:KGN54872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENEIGHDILKNPRAQDLESALKKVVWPETMLDITPTGKYQLLPHNLNTEAIIWLVFVKKKIMPTRHDNNISMERVMLVYCIMKEILVEVKDGIWSLSILHCIIAIHTKNVKSKRLKIKERCKEVEEVLDGMMTLKNKMRKKYPTETQNQDKEEALISKKGL >KGN54557 pep chromosome:ASM407v2:4:14702958:14706801:1 gene:Csa_4G361910 transcript:KGN54557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSSAISLCFVFLFALHSSLLLLTVAGTSDSQEFVVELDHSNFTHFVTNLDFLIVSFYSPGCGRCKKLAPEYEKAAYILSKHNSHLVLAKVNISKQANVGLAGSDGRISIKIVRDGGKNVQEYKGPYDADGIVKYVKRQYGPASIEINTLEDAQIFLSDNKIAIVGIFPHFSGEEFENYTILAKNLRPTEEFFHTSDAKLLPQGESSVAGPLMRVFKPFDELFVDTQDFDVNALEKFVEESIVPTVTIMDGDQINQRLVDNFMYNSNSKVMLFINFSSEVAASLKYKYHELAELYKGDNLSFLMADIGVSSHAIKQYGIKDDQIPFVILLSDGTKYLKSNVEPDELSPWLKKYKFAFISFLLEFYAPSHKVCKELASVFEDLAISYQRDPDVIIAKFDIFANDILHDFEIWKLPTVYFKSADGNISQYIGYATKEDFREFIEKNRSKPADEQLDVKDEL >KGN53420 pep chromosome:ASM407v2:4:4427792:4429365:1 gene:Csa_4G052700 transcript:KGN53420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSLLSVPAPFFHSSHRSRFTTSFPFSSSRPSPFLSSSSSSSSSSSVVDEELNSSSPDQLSLQSESDPDDSSFRGCKACGKEEIERGCNGEGRMQGGIATVPGFGWWPIKAYRPCPGFVASGGRYKRRGQSMDEVTSGGGRTDASASVGRKDSSRYNPAISFLT >KGN53527 pep chromosome:ASM407v2:4:5204386:5205935:1 gene:Csa_4G064100 transcript:KGN53527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDYSIFPPDSDLDFSFTSTTTDRTFTTSSSARTSLARSSLTLSFNESRLSAAAAALNLRPYHRSDSHWSAIRAATTLSSDGHLHLRHLKLIRHLGTGNLGRVFLCHLRDNDHASFALKVVDKEALSNKKLLQVQTEAEILASLDHPFLPTLYARLDVSHYTCLLIDYCPAGDLHSLLRKQPGSRFSVAAARFFAAEVLVALEYLHALGIVYRDLKPENVLLREDGHVMLSDFDLCFKSDVVPTFHTWTRPGPQATGSCFGWRTSPEFEEEIVGEFVAEPTSAFSKSCVGTHEYLAPELVTGGGHGNGVDWWAFGVFVYELLHGTTPFKGVNKEGTLRNIASSEGVKFRGGGEEEGGMGEARDLIERLLVKDPGRRLGWEKGATEIKRHPFFEGIKWPLIRTYRVPEVYGMMRKGRAQVSHVREVTERIRRRGWWNWRKVMRKRLIKNGSCSKSHSNTNYYSYSSKKKTG >KGN54313 pep chromosome:ASM407v2:4:12321811:12325170:-1 gene:Csa_4G303120 transcript:KGN54313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESLIEKSQTIGHIVLENETLGHLIEEHGRESSSSSDFLTSETTGLEEHSHSGSEDSSPPSSSSWSTRKAEAPDCIKANGVVNGDKNHVDNRKLEKKVSSSSEIEMMKERFSKLLLGEDMSGCGNGVCTALAISNAITNLCATLFGQLWRLEPLPSEKKLMWRREMDWLLCVSDHIVELTPTWQTFPDGSKLEVMTCRPRSDLYVNLPALRKLDNMLLEILDSFEDCEFWYVDQGILAPETDGSSSLERMLQRQEEKWWLPVPRVPPGGLQENSRRQLQHKRESTNQILKAAMAINSTTLADLEIPEPYLEALPKNGRACLGDLIYRYISSDHFSPECLLDCLEMSSEHQATEIANRVEASIYVWRKRTNSKPATNTTKQSSKSSWEMVKELMVDSEKRELLAERAETLLLCLKQRFPGLPQTTLDMSKIQYNKDVGKSILESYSRVLESLAFNVVARIDDLLYVDDLTKHSDHQLSSISKLGVISHKNSPLHFPVPISGTPYKTPFATPSFSPAQTASPAKGERSPFIPGTKIPQRGFGVKKVLTDYLSVEARGGKELSNKIEKAEPITNSKLERQASQYRGGVASSPRQRSVVEE >KGN53935 pep chromosome:ASM407v2:4:9444029:9445687:1 gene:Csa_4G192070 transcript:KGN53935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDGDYIDGTLTSYKKIGYTSVFFYASWCPFSLHLRHTFETLSFLFPQMEHLVVEQSSTLPNVLSKYGVHSFPSILLVNGTSRVRYRGRKNILSLVRFYNRITGLKPIPYYNNAELVTIESVGRPVIQLAKPSSPNNILKSDPLLAFSFVFVCLRVAMFKLPHVLHQLNNLCRSCVPHLNLEIFGETRQLMGRILQMLDIRRAWAKLRLYKTKNIHKGARNARVWASSLASVSLGESSSSRST >KGN54696 pep chromosome:ASM407v2:4:16061042:16061667:-1 gene:Csa_4G429300 transcript:KGN54696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIQEHSPLKLEPWNDLNGKVVMVTGASAGLGREFCLDLARAGCKIIAAARRTSRLQSLCDEINELDFSVLSSALASPISPTGAVESRRAVAVELDVCGNGKSIEESVRKAWDYFGFIDTLVNNAGFRECKQFN >KGN53249 pep chromosome:ASM407v2:4:3206251:3211067:-1 gene:Csa_4G038670 transcript:KGN53249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRSKKHSQNNDSTQEEGKINELRAALGPLSSRSSKYCTDACLRRYLIARNWNVEKSRKMLEETLKWRAAYKPEEIGWDEVAFEGETGKVSRANFYDRHGRSVLIMRPGMQNTTPSEASVRHLVYLLENAIMNLGEGQEQMCWLIDFTGFTMKTNVSVKIAADIINVLQNHYPERLAFAFLYNPPKFFQAFWKAIKYFLDPKTFQKVKFVNPKDKGSVELMKSHFDMENLPSVFGGKATLEYDHQQFSQMMGQDELKAAQFWGFDEKAQHSVNGPSSGPEVGPEPVTSTN >KGN55031 pep chromosome:ASM407v2:4:20080656:20080961:-1 gene:Csa_4G623390 transcript:KGN55031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKIALHCTNVSPAARPNMSSVVSMLEGRQGIEDIVSNPSVTKEARNAAWTRLLQDNDRSNNANQKHGLLADVSTTGSSTSGSDLYPINVSQYLNNRDTIL >KGN55171 pep chromosome:ASM407v2:4:21042078:21045987:-1 gene:Csa_4G639100 transcript:KGN55171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNNGSDSQPQEPNQRHQQQPHPWIPPMQYPPPPMVMPHHMMTPQHYMAPPLPPPYMHYHHQYHHHHLPIQPSQPLKGSGSENKTIWVGDLHHWMDESYLHSCFSSVGEISSMKVIRNKQTGLSEGYGFVEFLSHTTAEKVLQNYSGMFMPSTEQTFRLNWATFSTGDKRSDNDPDLSIFVGDLAADVTDSLLYETFSSKFPSVKAAKVVIDANTGRSKGYGFVRFGDDNERSQAMTEMNGIYCSSRPMRIGAATPRKSSGYQQQHSSQGGKKFVIRNDAEEALQKLNGTVIGKQTVRLSWGRNPANKQFRDFGNQWNGAYYGGHIYDGYGYGLASPHDPSMYHAAYGAYTVYGNHQQQVEQMKLL >KGN53797 pep chromosome:ASM407v2:4:7908912:7909184:1 gene:Csa_4G130620 transcript:KGN53797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDIGGTFIDQAPNAMDLVGSNGVLPIRDLNLSDCNLVDEDIPDDIKCFSLLEILDLSKNCFVRLKN >KGN54125 pep chromosome:ASM407v2:4:11135449:11135809:1 gene:Csa_4G286980 transcript:KGN54125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRDGIEIPPSSHDILKFNIIATLNSSNDQPAPPSVDQSNIIQPDSTKLRFPPPESLKRDKFLWLSDGEFARQTLAGLNPYCIQLVKVSDD >KGN54043 pep chromosome:ASM407v2:4:10698114:10704543:-1 gene:Csa_4G269740 transcript:KGN54043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASSSKLEEDKALRLCRERKKFVKQALHGRCSLATAHAEYIQSLRCTGTALKIFVQPEGPVESPLYTSTFATPEPLAFTEKSASQFSFPSPSFSHHMDAAGNLSPSPSPPASSRFQANHMQFRGSFAHKVEEKLPSPVIGTVTSSETPPSAKPQTSERPQTLSFEGSSAPQEGTWDFFFPSNNHEFSFHDGHEVNNGGVEFENADGAKYFKEEDGNFEYGDKEGKSSLHGGEESQNSEDEFDEPASETLVRSFENFNRVHDDGASNTSPNMHTVKSVASEPELVNQGKNHSPGLSPLRTTSSLVPLTTVFGKATAKEESVENTAVHKDLFSSMKEIEILFIKASESGKEVPRMLEANKLHIRPIFPGKENPSLSSTLLKSCFSCGDDPGVVREEPVQTATKYLTWHRTASSRSSSSRNPLGVNSKEDVEDYSSNLFENFCMNSGSHASTLDRLYAWEKKLYDEVKASEMVRKEYDLKCKMLRHLESKEVGLPKIDKTRAVIKDLHSRIRVGVHRIDSISKKIEELRDRELQPQLEELIEGLSRMWEVMFDCHKHQLQIIKAASYHGNMKISMHSETRRYNTIYLETELASLSSSFMKWITAQKSYLHSIDGWLLKCVTLPQPKGKRRVQAPPIKNYGPPPIYITCSVWLEKIKELPTKEVVDSIKDLAAETARFLPHQEKNPRKGKVAKNLSILTSFKGDNDSESIGNNLLQGEASESLISGFDHLRPSLVKFFEKLNNFADSSVKMYEELGKTIQEFKSHYEQWKAQRLEKQAGI >KGN54607 pep chromosome:ASM407v2:4:15100513:15102120:-1 gene:Csa_4G377700 transcript:KGN54607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLFFPTTSLLFIFIFSHQFLHRSVFGAVGVNYGLNSDNLPKPNEVINLYERCGINIVRIFEPNHEILHALCGKENLVLWLGTRNEDIEGFATNQEVANAWVNANVVRYYKDVNIAYITVGNEVVPGDAASPFVANAIKNMMQALDNAGVQSDIKVTTVVAMTVLEVSSPPSAGAFSAIAARTMKDIGNVLESSCAPILVNVYPYFAYASNPQQISMSYALFTSTSPVVVDGDLQYFNLLDAMVDSFYAALEKIGVEGVRIGISETGWPTKGNEPFTSVENALTYNKNIVEHVSSGVGTPRMPNLQYDVVLFEMFNEDLKSPGVEQNFGFFDPSMNPVYSFWNC >KGN54168 pep chromosome:ASM407v2:4:11357326:11360094:-1 gene:Csa_4G290830 transcript:KGN54168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLNFSINNNNNNTCFSLSPFQHYSSSSSSSSYNSHYHHDHLFSLSYSNDNNSNTLKNMTTSHYNPSSSSSSSSQVLLPLLSLSPARVEQDHHHPHNNIIDHDHNVIDYDQSDVTVALHLGLPTPSSSSNNSDLILRLSSTEISDQEDHTHQLQELSSNNSITSNSNGVNKGQYWIPTPTQILIGPTQFSCPLCFKTFNRYNNMQMHMWGHGSQYRKGPQSLRGTQPTAMLRLPCYCCAIGCRNNIDHPRSKPLKDFRTLQTHYKRKHGMKPFTCRKCGKAFAVKGDWRTHEKNCGKLWHCTCGSDFKHKRSLKDHIKAFGLGHAAYGIDDHHNHHHSFDNEDDDPASDIET >KGN54790 pep chromosome:ASM407v2:4:17400476:17400805:1 gene:Csa_4G499280 transcript:KGN54790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRCFPFLFIYLFLFIMSSSTILIMATSRNLLPLPPTTLTNNALPFTQQSSRYLVATTTSTMTHSTLKMPKENQEQTSTMTHSTLQSCMPKGPITWSSPSPKRNGVQC >KGN53488 pep chromosome:ASM407v2:4:4875313:4882255:1 gene:Csa_4G056760 transcript:KGN53488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAATALWNLPSALFHGNPHRNEIGFLGMSRQPIKYLKYNLRAPVVRCQSTDVGEPKTKRNLLDNASNLLTNLLSGGNLGSMPIAEGAVSDLFGRPLFFALYDWFLEHGSVYKLAFGPKAFVVVSDPIVAKYILRENAFSYDKGVLADILEPIMGKGLIPADLDTWKQRRRVIAPGFHTYYLEAMTKVFADCSERSILKLEKLLGEGELQKDKTIELDMEAEFSSLALDIIGLGVFNYDFGSVTKESPVIKAVYGTLFEAEHRSTFYIPYWKVPLARWIVPRQRKFHSDLKVINDCLDGLIRNARETREEADVEKLQQRDYLNLKDASLLRFLVDMRGVDVDDRQLRDDLMTMLIAGHETTAAVLTWAVFLLAQNPSKMKKAQAEIDLVLGKGKPTFELFKELKYIRLIVAETLRLFPQPPLLIRRALKPDTLPGGYNGDKNGYAIPAGTDIFISVYNLHRSPYFWENPQEFEPERFQVKRASEGIEGWDGFDPSRSPGALYPNEIVSDFSFLPFGGGPRKCVGDQFALMESTIALAMLLQKFDVELRGSPESVELVTGATIHTKSGLWCKLRRRSQVN >KGN53659 pep chromosome:ASM407v2:4:6386729:6387157:1 gene:Csa_4G097660 transcript:KGN53659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRFSLYFQVLWIFYWSHQLSTRAKFKILRKILRVKWWDNDLVNEEKIAKWFKTNTHLSQEEEDQFLLRKNSIMTFIAGAKSEADLQTVMETVIHTIYDDDKENDVEDTRISSNSFANDIDFDNDPYYNFDISDPYFDSLLE >KGN54083 pep chromosome:ASM407v2:4:10941988:10943480:-1 gene:Csa_4G280600 transcript:KGN54083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLVGHVAPGFGFFIIGLWHLFNNIKIEACNNNPPNTAIFLSWFPTSRFKYLEPFLIMVGASASIAMELFIGPQRHQPFDIDGTIPSYHLHNFEHSNISMTFFVFAAFCVVLDQVRPKAYFELTQFVGTVAFGQELLLFHLHSADHMGPEGQYHLLLQLLVVVSFATTLLGIALPNSFLLAFVRSLSIVFQGLWLILMGFALWTPSFIPKGCFMHLEDGHKVVRCAGDEPLHRAKSLINIQFSWLLIALTILSLSFYLVLLKLYQQQTPDPKKNNPVQYFSLITNDDEEEDAEAATSTPTKTSSYNVVSVPKSFIQMGHKLADTDMER >KGN54368 pep chromosome:ASM407v2:4:12688716:12691789:1 gene:Csa_4G308580 transcript:KGN54368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTNDTEGEVVMLLDMRTVEKEMDNDNLLHRSCSEDLDPNLETVSFSLSEANNNGGCSPVSKNVVSPVPPRKIRTEDFLDSENEKSDYDWLLTPPGTPLFPSMETESQKNTTNKNDMMNSRSTALKPRLVNIQEECNSVSNIASKHPNLQSGQLNSSCASNKKPSSKASSATASRSATPTSRQTLTKTTKPSRSATPTSRVNTKASAPPVRSSTPAKTTAQSSTPTEKSVTTTKQTSRSATPNRCPSKPTCSSITSRPNGRSSSTSKSNARSSSNPRPSRGTFPSIKTRPSKPSEAPKFTLDEAASPMPERPASTTKGRPIVASSAKSSSGRTMSNGKTRQKPNSPSKQFASNGSSAYNSGKVFPFKPRIRCPDDNEVSPVVMGTKMVERVVNMRKLVPPKQGDYRPSIGDPSSKSSADIPGFGRTLSNKSLDMALRHMDITRSISGKVRPVITKTQTSSMNNGSSRSTKAGTTGVSDSPLATSSNGSSAPSAWSNSIRLDESETEDNELSTEMVSS >KGN55113 pep chromosome:ASM407v2:4:20624339:20626899:1 gene:Csa_4G631060 transcript:KGN55113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSGASDRSKEAVGMMALHEALRTVCLNSDWTYSVFWTIRPRPRVRGGNGCKVGDDNGSLMLMWEDGFCRGRVSECLEEIDGDDPVRKAFSKMSIQLYNYGEGLMGKVASDKCHKWVFKEPTECEPNISNYWQSSFDALPPEWTDQFDSGIQTIAVIQAGHGLLQLGSCKIIPEDLHFVLRMRHTFESLGYQSGFYLTQLFSSNRNPSSSPMASKQSAIPIHPPPNLLNWAQRPIPSAPTSLLPSPNFHSSNRLGFPQSKDETHMFLLPHSSEARMEDVMGDHENDIKWPNGLSLFNALTGRADDAKLLFNPESLGTKPDQSHIPLILEPKNANPNSDPATMHNMNGGNSNEFLSLDSQSESARKMENKFKRSFTLPARMASSSSSTSLDHHPHQSVEYRNSEQAGMYSDVMETYLE >KGN54777 pep chromosome:ASM407v2:4:17130977:17134181:-1 gene:Csa_4G493210 transcript:KGN54777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIINQMDIFNTTYHATARPFPAPFQGAAVGKRPFPYFFISISSVLSAPSGRCRFKSGPPLVSAACKLCCRQKLLPVAEVLNFGGGTNLLCTKMATKRMKMAITDNPKKLANLIDLVNLPSTLREFVGHSQISRLGCFKCVWSYIKTNNLQDPTNKNVVICDEKLKSILLGKPKVELAELPALIKLHFPKNSK >KGN55144 pep chromosome:ASM407v2:4:20855257:20857779:1 gene:Csa_4G638330 transcript:KGN55144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRFFPNQMPRFPAEAPPDELASSHSYSATLIPTELLSLPDAALSDRLRQAALNLKETVVRETWTLSGRHPQDNTLYTGALGTAFLALKSFFVSKNENDLKLCSEIVTACESLSKTSRHVTFICGRAGVCALGAVAAKFANDGRLVDHYLAKFKDIKLPSDLPNELLYGRAGFLWACLFLNKHIGQNTISNNFMRSVVDEVIEAGRTLGEKSKSPLMYEWHGKKYWGAAHGLAGIMHVLMDMELKPDEVEDVKNTLRYMIKNRFPSGNYPSSEGSESDRLVHWCHGAPGVALTLGKAAEVFGDDEFLQAAIDAGEVVWNRGLLKRVGICHGISGNTYVFLSLYRLTGDLMYLHRAKAFACFLHQNAEKLISEGKMHSGDRPYSLFEGIGGMAYLFFDMVEPNAAKFPSYEL >KGN53149 pep chromosome:ASM407v2:4:2456773:2464842:-1 gene:Csa_4G022850 transcript:KGN53149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKPEAATDSQLATDNDATNLSRTFRYLMATQFLSRGIPFIFNLWIVRHLTEEDYAVYAVQFHLFVTCVLFLSREGFRRACMRAELSDSTSVEENSRRLLKVAWLSFPLGLFITLAACNLVLWWRNIPLSNPYAQAILINGCACILELLAEPLYILSQTLLLLKLRLLVETMATFSRCMTMYILLVRGSNMEKGIIFALSQSAYGACLFLGYWVYFLNFRAFKWSDLFPFRVGNMKDFDKKLSNMCILFTLQSFRKLVLQEGEKMVLVWLDTPYNQAVYGLVDKLGSLVVRLVFLPFEESSYTTFARSASGEYPDKTRKLAICLSEALKLVVLIGLIFMAFGPSYSYALIRLLYGQKWSDGEAPTALRYYCLYIIVLAMNGTSEAFLHAVANESQLKKSNDSLLLFSFIYVMLNFLLIRSSGVVGLIFANSINMILRITYSAIFIKGYFKNPSFSFNSCLPSGWIFLLLSGVLTLISERLFLDQQKFWSTFSLHFSIGLACFLVSLCIIYRRERSFFNKIVRFRQHSD >KGN53836 pep chromosome:ASM407v2:4:8435875:8436778:1 gene:Csa_4G161840 transcript:KGN53836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDIETSQGDACEEGLAAKLVRLARRLSLKALGREALMLGVLKRHKAFKVAWDMYRGYVTGHEHRECSNAFKERRRESCGQDARHAESEASTWLDILRACSCRRAACSHGQRLAVP >KGN55390 pep chromosome:ASM407v2:4:22287286:22290436:1 gene:Csa_4G649600 transcript:KGN55390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRAHLKVPSISSRTSFACPFLLFCRFSSLSSSSSQWFSLLRSAIAMADLKLGKRAHARIVTSGDLPDRYLTNNLITMYSKCGSLCSARQVFDKSSDRDLVTWNSILAAYAQFADSSYENVLEGFRLFGLLREFGFSITRLTLAPLLKLCLLSGFVQVSETVHGYAVKIGFELDLFVSGALVNIYCKYGLVGQARLLFDKMPERDAVLWNVMLKAYVENSFQDEALRFFSAFHRSGFFPDFSNLHCVIGGVNSDVSNNRKRHAEQVKAYAMKMFPFDQGSNIFAWNKKLTEFLHAGQIVAAIDCFKTLLRSTIGHDSVTLVIILSAAVGADDLDLGEQIHALVIKSSFAPVVPVSNSLMNMYSKAGVVYAAEKTFINSPELDLISWNTMISSYAQNNLEMEAICTFRDLLRDGLKPDQFTLASVLRACSTGDEGEYFTLGSQVHVYAIKCGIINDSFVSTALIDLYSKGGKMDEAEFLLHGKYDFDLASWNAIMFGYIKSNKSRKALEHFSLMHEMGIPIDEITLATAIKASGCLINLKQGKQIQAYAIKLGFNNDLWVSSGVLDMYIKCGDMPNALELFGEISRPDEVAWTTMISGYIENGDEDHALSVYHLMRVSGVQPDEYTFATLIKASSCLTALEQGKQIHANVVKLDYSLDHFVGTSLVDMYCKCGSVQDAYRVFRKMDVRKVVFWNAMLLGLAQHGHVDEALNLFRTMQSNGIQPDKVTFIGVLSACSHSGLFSEAYKYFDAMFKTYGITPEIEHYSCLVDALGRAGRIQEAENVIASMPFKASASMYRALLGACRTKGDAETAKRVADKLLALDPSDSSAYVLLSNIYAASRQWDDVTDARNMMKLKNVKKDPGFSWIDVKNKVHLFVVDDRSHPQASLIYEKIEDLMKRIREEGSYVPDTDFTLLDVEEEEKERALYYHSEKLAIAFGLISTPPSATIRVIKNLRVCGDCHSAIKCISKLTQREIVLRDANRFHHFRNGTCSCGDYW >KGN55298 pep chromosome:ASM407v2:4:21736089:21738613:1 gene:Csa_4G645240 transcript:KGN55298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLENDEMGFRNSNDGLMNCPSTMAMGSFFGSGWDPLVSLGQSENYGAASSMVSSHGEFSNSFPVVLENHGGSGTTQQGVQYPTDLEMGSKLPCFGSGNFSEMFGSFGVAGQILGGCSSNYRNGGTTNTTQTSQENLPLAGEEILPLSVGSPNGKIRKRGLDSTFPFSSNKKSDMKLKKDVSGDSSSTQEEKNAEMEQNIGGNSRGKSTGKQTKEKSSNSAEAPKENYIHVRARRGQATNSHSLAERVRREKISERMRLLQELVPGCNKITGKAVMLDEIINYVQSLQQQVEFLSMKLATVNPDVNVDIERILSKDIFNIRGSSGNVLGFDPGLSAVSPVPPHRMFQFQGTMSSMPTTSTQFPPMPQTMLESDLQSLLQMGFDSGSTIDNLGPNGRLKPER >KGN55444 pep chromosome:ASM407v2:4:22659661:22675802:1 gene:Csa_4G652080 transcript:KGN55444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLVERLRVRSERRPVYNLDESDEEFDYKRKKPGSAQEILEKLERDDKKEDACQTCGESENLLSCETCTYDYHPKCLIPPLKAPLPSNWRCPECVSPLSDIDKILDCEMRPTLAGDSDASKLGSKQVFVKQYLVKWKGLSYLHCTWVPEKDFIKAFKTHPRLKTKVNNFHKQMSLNNNAEEDFVAIRPEWTTVDRILACRGNDEEKEYLVKYKELSYDECYWEFESDISAFQPEIDKFHKIQSKSRKQFSNKNKSSHGDFGEVKKKQKEFQQYDSSPQFLSGGTLHPYQLEGLNFLRYSWSKQTHVILADEMGLGKTIQSIAFLASLYEENIAPHLVVAPLSTLRNWEREFATWAPHMNVVMYVGTAQARTVIREYEFYFPKNHKKVKKKKSGQIVSESKQDRIKFDVLLTSYEMINFDVGTLKPIKWQSLIVDEGHRLKNKDSKLFSSLKQFSSSLRVLLTGTPLQNNLDELFMLMHFLDAGKFASLEEFQEEFRDINQEEQILRLHRMLAPHLLRRVKKDVMKDLPPKKELILRVELSSKQKEYYKAILTRNYQLLTRRGGAQISLINVVMELRKLCCHAYMLEGVEPDIEDPEEAYKQLLETSGKLHLLDKMMVRLKEQGHRVLIYTQFQHMLDLLEDYCSYKKWQYERIDGKVCGAERQIRIDRFNAKNSSRFCFLLSTRAGGLGINLATADTVIIYDSDWNPHADLQAMARAHRLGQTNKVMIYRLVTRGTIEERMMQMTKKKMVLEHLVVGRLKAQNINQEELDDIIRYGSKELFADENDEAGKSRQIHYDDAAIDRLLDRDQVRDEEATVDDEEDDEFLKAFKVANFEYIDEVEAEEAAKRASMGSQPVASNVERATYWEELLKDKYEVHKIEEFKALGKGKRSRKQMVSVEEDDLAGLEDVSSEGEDDNYEADLTDGEANSSGVPSVKKPYRRKSRVDSSEPLPLMEGEGRSFRVLGFNQNQRAAFVQILMRFGVGDFDWKEFTSRMKQKTYEEIKEYGTLFLSHIAEDITESANFSDGVPKEGLRIQDVLIRIAVLLLIRDKAKFVPESLSAPLFTDDILSRYQGLKGGKHWKEEHDRLLLLAVLKHGYGRWQAIIDDKDLKIQEVICLELNLPVINLPVPGQTGSLVQNGGNTPNTEPAGSESREKENGGGNDASSDVQGGGTDTANQSQLFQDSSIYYHFRDMQRRQVEFVKKRVLLLEKGLNAEYQKEYFGDSKGNDITSEDIENESKVSNLPGASTVETDTQKADQLPQVDPISSRETSAACDDNPDRLELSRLYNEMCKVVDENCRELVHAATGSYHSSSDVKVNLLPLGKIIEDVDRILSPQPNPTKEQSTSDSVRQPAVVESPSTDVSLKSSLTNQNPDSEKADVATNMEVDPSTESEPQKESKSMQIDLDPITEEPEPSVSHVPASKDPNPNQPESASQLERSRVDEMEVEGSKEIGAAKEHSIDDPKAGVIVLDD >KGN53338 pep chromosome:ASM407v2:4:3834511:3840007:-1 gene:Csa_4G047990 transcript:KGN53338 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase MWIFGWKGPSGFSARSTAEEVTEGIDGNGLTAIVTGASSGLGEESTRVLALRGVYVIMAVRNIEAGRKVKEAVLKESPSAKIDVMELDLSSMESVRKFAADYIASGLPLNILMNNAGVMATPFMLSHDGIELQFATNHLGHFLLTNLLLETMKKTVLESKKEGRIVNLSSEGHRITYGEGIRFNKINNESEYRTILAYGQSKLSNILHAKELARRLKVEGVEITANALHPGSIATNLLRFHSTINAVTNLVAKYVLKNVQQGAATQCYVALNPQVKGVSGEYFVDSNIANPTNHAKDMDLAKKLWDFSVDLTNPK >KGN53500 pep chromosome:ASM407v2:4:4971196:4971966:-1 gene:Csa_4G062360 transcript:KGN53500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKFLKPNKAVIVLQGRYAGRKAVIIRAFDDGTRDRAYGHCLVAGIKKYPAKVIRKDSAKKTAKKSRVKAFIKLVNYRHLMPTRYTLDVDLKDVVTVDSLQSKDKKVTAAKETKKRFEERFKTGKNRWFFTKLRF >KGN53551 pep chromosome:ASM407v2:4:5445576:5446256:1 gene:Csa_4G081290 transcript:KGN53551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLDLKPSILIVIICTSLVVVAAILCCFCIIGCGYRKKDANQLPTPSVSIGRSRPLQTYRDIEFGQGIRTYRQDSTPRSERSISSDTRGSQRGEQSIFTDARGFQTSEQSIFPDTRSSRRNERSILPDTQSSRRSEQIDRQDTRESRIKDGGMAILAGAGAAIATAATVLGLSSYQGGEDIDGDYVDNCDDGTDGGGVYGGGVGSNSYGNGSQL >KGN54032 pep chromosome:ASM407v2:4:10652867:10653725:1 gene:Csa_4G269150 transcript:KGN54032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHCSHCLTHREPDRFDDFVCCSGCGKVLGQFFGGKYIDHSKPILGTATKLKKRKKEKKQQLEKIRMDTTDQLAA >KGN55528 pep chromosome:ASM407v2:4:23117893:23121739:1 gene:Csa_4G664360 transcript:KGN55528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPNWTLQLHSLSFQPYVWVRKPPALSAISQLGIGSKWDGIRYGHLNCNSDRSRIGGNFVVKSGEGSASTDSGGNVEGDEIVLKSGTGSVASEDYIGKMQEMIISSPPGVFLMNKCTRNGLAIGFCVVTACLAIVARVYLMRKSRNSHSGSVADLVRRGQLRSDRRGISKPLKYNDPFNNPLVKVDKSNSSVEMCGKVYRLAPVTLTKEEQNIHQKRRSRAYQWKRPTMFLKEGDSIPPDVDPDTIRWIPANHPFATTASDIDEDLAQNNVYQKHGVPFRIQAEHEALQRKLEALQSDQKLNNLFIDPGSVKEFERPFKSKSKSDEQVEQSSSDHQTGESKPPNSFGGQSSSDEAQKS >KGN52785 pep chromosome:ASM407v2:4:293232:294317:1 gene:Csa_4G001530 transcript:KGN52785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSESSLKLPLIDFSNLESGGPKWELAKAQVKEALEEFGCFEASFDKVPVEVRKGLFEALEELFNLPLETKLRNVSQKPFHGYVGQYPMAPLFESMGVDDSTIPQKVQDFTNILWPQGNPTFSKVMETYSQQLAELDEMVRRMVLESLGVEKYLEEHLESTNYLLRVMKYKGTESNYETKIGLHSHTDKNIVTILYQNHVQGLQVKTKDGKWINFQPSPDSFVAMIGDSFHFGWGFLI >KGN54698 pep chromosome:ASM407v2:4:16067806:16068204:-1 gene:Csa_4G429315 transcript:KGN54698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFRQVSNDKICHRNPRLPNDLNLPSGRSTTTNLHNNKRSVHWTIDNQLLIPPRKSITIAINFLVNRVVFALKVRSNSVEQIALDDRLSMNLKRIKDGELGVLRVIFVERRRWKRKNWGGTGYPLDSVMEKI >KGN54464 pep chromosome:ASM407v2:4:13633572:13636249:1 gene:Csa_4G335240 transcript:KGN54464 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acid phosphatase 1 MIIIGEMVRRAREIISMIFFAVLSSATGVTPPYWSRGGGASCSPCLSWRLAAETNNVEPWRTVPAHCFSCIGAYITGGQYQHDVRFVVEQIMSYAEGITVAGDGLDAWVLDVDDTCISNVDYYKVKRYGCDPYDPPAFRSWAMEGACPAIQPVAELFTKLMKSGFKVFLVTGRDEETLGQVTVENLHREGFIGYERIILRTAAEKGRSAVEFKTEIRRRLVEQGYRIWGNVGDQWSDLQGQFVGKRTFKLPNPMYFVP >KGN53585 pep chromosome:ASM407v2:4:5662673:5665862:-1 gene:Csa_4G083590 transcript:KGN53585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALMISSTLPFPLLLSPKRHQFPLLPFPLKPLITKSNPNPSSKMVVRAQSFETLASGAVNLLQSNPATWHSALLSNLLIFLLGSPILVSGLSPSGIASAFLLGTLTWRAFGPSGFLLVATYFVIGTAATKVKMAQKEAQGVAEKRKGRRGPGSVIGSSAAGCVCAVLMINKVGGEAFAQLWRLGFVASFCTKLSDTVSSEIGKAYGRITYLVTNFKVVPRGTEGAVSLEGTFAGLLAAIALAFVGCLLGDVTASEAIVCVIASQIANLGESIIGAVLQEKEGFQWLNNDVVNVINISMGSILAVLMQQLILGH >KGN54498 pep chromosome:ASM407v2:4:14071770:14073146:-1 gene:Csa_4G340000 transcript:KGN54498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPKNHTLDGTQKSETLLIFFFPQVLNFLLSLPQLFGFIACPRHRLPRFDPQTGLLTGTNDGTLVNFFLRIFGRKTEKSLCTYLLVFQGVFCCFCFLLRYLLAGWYK >KGN54730 pep chromosome:ASM407v2:4:16519223:16544418:-1 gene:Csa_4G437010 transcript:KGN54730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDKDYFADEVGVLSPNQLEVEELYAGEVGYLSASIRSVADARVGDTITHHGRKAEDSLPGYEEATPMVFCGLFPVDADQFPELRDALEKLQLNDAALKFEPETSSAMGFGFRCGFLGLLHMEIVQERLEREYNLSLITTAPSVVYRVNCVNGDTVECSNPSLLPEPGKRRSIEEPFVKIEMLTPKEYIGPLMELAQERRGEFQEMKFITEVRASITYALPLAEMVGDFFDQLKSRSKGYASMEYSFTGYRESELIKLDIQINGDRVEPLSTIVHNNKAYSVGRALTQKLKELIPRQMFKVPIQACIGSKIIASETISAIRKDVLAKCYGGDITRKKKLLRKQAEGKKRMKAIGRVDVPQEAFMAVLKLEKEVL >KGN53169 pep chromosome:ASM407v2:4:2610886:2612241:1 gene:Csa_4G023040 transcript:KGN53169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEHQPYQQSHQQPGSQPRYQVVKAATAATAGGSLLVLSGLILAGTVIALTIATPLLVIFSPVLVPAVITVSLLIMGFLASGGFGVAGITVFSWIYRYVTGKHPPGADQLDLARHKLASKAREMKDRAEQFGQQHTSGPQTS >KGN53304 pep chromosome:ASM407v2:4:3632953:3634385:1 gene:Csa_4G046670 transcript:KGN53304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFKNQQTTSSSHHHLPFQYSLRPRTDPPPPPPPPPPPNLDPAPASPTSTPLRYSQCLKNHAVKTGGHVLDGCGEFMPSGEDGTPDSFKCAACECHRSFHRRVLEEEDITNNTRLHILTSAPPQYNTQFSNGNNNNKQYPGRTRVAPMMMTFGGSTEAPAESSSDGGAEASGKQKKRCRTKFSGEQKGKMMELANKIGWKIQKADEEEVLKFCNEIGVKRQNFKVWMHNNKQLPPTNNNSSG >KGN54321 pep chromosome:ASM407v2:4:12353528:12354396:1 gene:Csa_4G303690 transcript:KGN54321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQVQVLGFWESAFSRRVELALKLKGVEYQYFEEDLPHNKSDLLLKYNPIHKKVPVLLHHGRPIAESLVILEYIDDIWKENYPILPQHPHQRALARFWAKYIDDKVVGAVVKAAGSRGEDREKAIEEAREALEALEKEIEKNNELFGGDDIGFVDIVGTVIAGWVPAIEECFEFQLLTTDNFPNLIKWSDQLVQHSIVNQILPPKNEIVAFMKANWKF >KGN54738 pep chromosome:ASM407v2:4:16647966:16657473:-1 gene:Csa_4G439050 transcript:KGN54738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILGAGKFCSILSRAPLQTHRALTLKLRMNQNSTQHNASKFNHQSRVHEFQKVMGTDHSGGWDICWEQGLTPWDLGQPTPLIGHLCATGALPNGRVLVPGCGSGYDVVQMACAERYVVGLDISDKAIQKAIELSSSSPNMRHCTFLKEDFFSWRPKELFDLIFDYTFFCAIEPVMRQAWGQQIQRLLKPNGELITLMFPIDDDHIGGPPYKVSVSDYEDVLHPLGFKAVLINDNELAVPPRKGREMIGRWKRSLAQPSL >KGN55458 pep chromosome:ASM407v2:4:22752478:22752678:1 gene:Csa_4G652705 transcript:KGN55458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFHRCKCDLEITAQSSDNYTASSLSPTGGSKFRSARVSSPAGRSFGRLDGLIGNLFRTDLPGSNI >KGN55370 pep chromosome:ASM407v2:4:22139029:22141406:1 gene:Csa_4G647430 transcript:KGN55370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVVVSLIEGNFLLKKKVLYFVHGLKKSVQVTLWLASVLSTWEPLFNQRNHRSSRTTGKILDAITWTLVALLIGSFLWLVKTLLLKILASKFHKDRFFDRIQESIFHHHVLQALLGPPLMQEVESAAKFSRCLFSWENKKSDLKKIIDTGKIHHLQREKVSSWTMKVLVEAVTSSAMSISQILDESYYNVDDGEIDHEMEIASVVASKILRNVALPGKKFIQEEDLLQFVVKEEIDLVLPHFEVDETKRIGKKALKKWVVKVFQERKTLAHALKDTKTAVKQLNNLVTAVVIIVMAVIWLLLMEIATSKVLVFLLSQLAVAAFMFGNACKTTFEALIFVFVMHPFDVGDRCVVDGVPLLVEEMNILTTVFLKLNNEKVYYPNSVLATKPISNYYRSPDMSETTEFSINFATPLERIGAMKEKIKRYLEKNPQHWRPSHLMVVKEIENVNEIKIALYSTHTMSYQDYGEKMKRRSELVMELKRIFEELKINYTLLPQTIHLFPVESH >KGN54089 pep chromosome:ASM407v2:4:10961343:10961748:1 gene:Csa_4G280660 transcript:KGN54089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARYNYYYRYPYLEYLTLPPLQLCVFVVILLVVMAFSWYFFYYSFLEDFIFQLKLFLLTVPLLLLLLLHLLSFGFSFLLPLPEQDSLHRAGGSPWGVAILLVFFLYVISHQSHYHQRWFPFGYR >KGN52919 pep chromosome:ASM407v2:4:1008350:1011614:-1 gene:Csa_4G006260 transcript:KGN52919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQLTFKPKTPKTLTNPSLIHLFSSNSSAPSDPNDETADTSTASPRSSISSYLSDVRARLKQDQQFSSSPSARRPADSFTSPFNRSTSPASKAASLEEIRKNLSEFRNRSSVPPPSDLGSTPSSSSSWQRGISFQDLYKNNSMRKGEDSNDAPANSTGGGRIGMPFDSIKESLRKVSSARGMQSELKSGDSLSLSAFKDSLKLKPSDPVMGGTERLPVSVFGKEMKEKKDGKNVGLKTEFVKMYSYDELGNKLRNLRPDKEKGKNWFSLTELNDRLVKLRTMEEKETESRIGGISFQDLRASLMQMKISDDEREKKATLQRLDIMGQLVRTPNYMLEPPNEHLVEKYFHPDNMSSAEKMKIELAKVREKFKMSESDCGSARVQVAQLTTKINHLTAVLHKKDKHSKKGLLGMVQQRKKLLKYLRRTDWDSYCMILNTLGLRDNPDYKA >KGN55482 pep chromosome:ASM407v2:4:22894805:22896017:-1 gene:Csa_4G653430 transcript:KGN55482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRFERAISISQNGGAPRFELPTNPQELQSPESLSIVLRNAQKLLSDYAIFSLPRIAERFEQDGSSSDPNVRGQIQEELVQVGLRMQQFGALLLDLGSSILTLRMEQSTVDLQDLAERVVSTNCPMDVFRAVVESSARISGSSAHDIANHLCTHDSLAEEYLEILATDISRRLESNSEQED >KGN52842 pep chromosome:ASM407v2:4:609663:612974:1 gene:Csa_4G003080 transcript:KGN52842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVESNSAPPPPTSSSSTPSPSGKRARDPDDEVYLDNFHSHKRYLSEIMASSLNGLTVGDPLSENLMDSPARSESMLYQRDEMSWQYSPMSEDSDDCRFCETSTNLFPSQSDSSVPTSPVSPYRYQRPFSGVAPSTGTNTSLGCSTTSPVTSLQPHQRGSDSEGRFPSSPSDICHSADLRRAALLRSVQMRAQPPGPSSMELPYCSMPEPGPNIEAEDRPCSCIKSLVDERVYQLEECSSMGLGVSESEYNEQKSCKDLNRDMKDSRSGG >KGN52803 pep chromosome:ASM407v2:4:385903:386867:1 gene:Csa_4G001700 transcript:KGN52803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAIIIKNTKGTKNKSPNLYFPTFSKQANSHSPSSSFFLLLSLSLLYIFYSSSLFPPQHHNFSINGFSITFYFSNANFFQKQVF >KGN54786 pep chromosome:ASM407v2:4:17344561:17349599:1 gene:Csa_4G496760 transcript:KGN54786 gene_biotype:protein_coding transcript_biotype:protein_coding description:PAD4 MESEASTFESCHVMAALLGSTPLLLQSWEFCAAANAASPESFTTVVIDDVAYVGFSGVQVLPRCGGGGRELVALDGEGVEAELFWPLNRHREELQEPAMADSGILKMFVDIYTHKNLVETITKVMERSKSIVITGHSLGGAAATLCTLWLLSFLHTKTHHHPILCITFGSPLIGNESLSRAIQRERWCGKFCHVVSNHDIMPRLLSTPLSSLSPKLHILLRYWHLSMASPTFGKLATQLTEREKEELFHIVLAHSNRISDLGEGTVQSQFWPFGNFFFCSEHGAICLDNAISVLKMLYLMLKTSAPNLSIEDHLNYGYHVKKVGVQYMERKNFNSSCPPNSSYEAGLALALQSAGIPFQDEVAQIAEHCLRTASRIGQTPNMNAAKLAISLSKITPYRAEIEWYKASCEEADNQLGYYDCFKKEDASVRHDRVNMNRHKLATFWNRVINMWENNELPPDFNTRAKWVNASQFYKLLVEPLDIAEYYHRDMHIVHGHYLKCGRERRYEIFDKWWRGREVTEEGNTQRMKYASLTQDSCFWARLEEAKDLLEIIKRDGDVRKLAPIWKSLENFERYARGLIERKEVSKDVIAKNSSYTLWAQELRALKLNM >KGN55571 pep chromosome:ASM407v2:4:23369293:23370009:-1 gene:Csa_4G669230 transcript:KGN55571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSTQLLELGRLEKKGKKRIKRESLKRENNGYYSYKDVSDNEEHGESKGRRRRKRRKKGQFGTTRDVLHMNFWYFTSTISHILRKAKAFYNECCCDAFEDERMKKNEVISIDPYFSMPVLPYTTMANCM >KGN54401 pep chromosome:ASM407v2:4:12992750:12996575:-1 gene:Csa_4G312830 transcript:KGN54401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHLVGGWSAVATALCALLLFLSLPPSASEKVTVSVYYEALCPFCANFVVYHLVKLFQNGLISAVNLRMIPWGNAWIQPDGSFFCQHGPDECMLNTIEACTISVYPDTEEHFRFIHCVEGLTVQNRHNEWTKCFDIAQLSAIPIDCYRNGHGKMLEQYYASETTRLNPPHRFVPWVIVDNHPLQEDYQNFMAYICKAYKGSAVPEACKSINLKNRQYLDNASGGSQVCYATTTRNSTL >KGN53316 pep chromosome:ASM407v2:4:3673487:3675976:1 gene:Csa_4G046790 transcript:KGN53316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSAATAQVRASHILIKHQGSRRPASWKDPEGRIIKNTTRESAVSQLTVIRNDIISGKAKFEDIATRISDCSSAKRGGDLGPFGRGQMQKPFEEATYALKVGEISDIIDTDSGVHIIKRTA >KGN54376 pep chromosome:ASM407v2:4:12753048:12754708:1 gene:Csa_4G308650 transcript:KGN54376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQNLQPAIKTLTQERIKLNVGGELFETTVSTIRSGGPESLLYALSYRSIDDRNPIFIDRDPEIFSVLLSLLRTNRLPSSARRFSKQELSDEAVFYGIETNFNLAISPPPFNGIDASIVANVRPTSDGVVSSFTAANGDGSIWLAHGGQISSYDRNLIHERTIRTHLDEISSIRRVWPEIAALGSNTTSGLHFYNFYSGRHIGSSHWSDPTDPRIYKARVTAIADSPTSIFAAFDCPHRENSILLIDKSSLKIKCELGRQLGSSAKNSVAGKLTWIPESNVLFGSAVTCGAFGYSGYMRLWDARSGKVVWETNEPGSGRSSRFGDSFADVDVDVEGLKVLKVCSKSGDLGVADLRNLGDDPWVYLKDKNPGMGNTSRKGIGNVKIHCFRKEVLVGRDGELEVWSTVEGRGSGDDESKGNSEELYCRNYVDKSEDSEKGIIKEIEGGGDRLFVSRENAEGIEVWETSTFSGVRSVL >KGN53964 pep chromosome:ASM407v2:4:9688260:9688439:1 gene:Csa_4G194810 transcript:KGN53964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNFNCHGTTIMNLTNIALRPAMVESTLALYDPPLSTSTLTATPIRTDPNSRPKNGGE >KGN53239 pep chromosome:ASM407v2:4:3136378:3140402:-1 gene:Csa_4G036590 transcript:KGN53239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFQTQFLKKALREANSKALHGFSRPTFCASFSSIPSSYAAMGADESKPVLPPFDYEPRPYKGPLTDEIFQKRKKFLGPSLFHYYQKPLNIVEGKMQYLFDENGRRYLDAFAGIVTVSCGHCHPDVLAAVNEQNKLLQHATTIYLHHGIADFAEALAEKMPGNLKVVYFVNSGTEANELAMLMARLYSGNLGMIALRNAYHGGSSNTIGLTALNTWKYPIPQGEIHHVVNPDPYRGVFGSDASGYVKDVQEHIDYGTSGKVAGFIAETIQGVGGAVELAPGYLKHVYDIVRNAGGVCIADEVQTGFGRTGSHYWGFETQGVIPDIVTMAKGIGNGLPLGAVVTTPEIASTMAQKIQFNTFGGNPVCSAGGLAVLRVIDRERRQAHCADVGSHLLERLRALQEKYEIIGDVRGRGLMVGVELVTDRKEKTPAKTETAVLFEKLRELGILVGKGGLHGNVFRIKPPMCFTKDDADFLVDALDYSISKL >KGN54908 pep chromosome:ASM407v2:4:19060777:19088167:1 gene:Csa_4G594420 transcript:KGN54908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVNNDDATRQIFSRCLLNCLHIPLWRCYIRFIKKVNERKGMEGQEETRKAFDFMLSYLGVDISSGPVWMEYIAFLKSLPALSSQEESHRMTAVRKVYQKAIITPTHHIEQLWRDYENFENSVSRQLAKGLVSEYQPKFNSARAVYRERKKYVDEIDCNMLAVPPTGSSKEELQWMSWRRLIAFEKGNPQRIDSASSNKRIIFTYEQCLMYLYHYPDVWYDYAMWHASNGSIDAAIKVFQRALKALPDSDMLKFAYAELEESRGSLQSAKKIYESLLSDGVNATALAHIQFIRFLRRNEGVEAARKHFLDARKSPNCTYHVYVAYAMMAFCLDKDPKIAHNVFEDGMKRFMNEPTYILKYADFLARLNDDRNIRALFERALSTLPLEESAEVWKRFIHFEQTYGDLASMLKVEKRRKEALSQTGEDGASTLESSLQDVVSRYSFMDLWPCTSSDLDNLTRQEWLAKNISKNSEKSSLPGGTGFLDTGSAGFMSHSIPSTKVVYPDTSQMVIYDPSQILGILPTATASGLPANPSNPVSVASGAPTSVFDEILKATPAALIAFLANLPAVDGPTPDVDIVLSVCLESDLPTVPLVKSGATPAQVSGGPVPTTSDLSGSSKSHAFSNSSLKHTRDKQSGKRKDYDRQEDNESTTVQSQPMPKDFFRIRQIQKARGATSSQTGSASYGSAISGDLSGSTG >KGN53744 pep chromosome:ASM407v2:4:7333954:7335637:1 gene:Csa_4G114250 transcript:KGN53744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKFEGRIDAIYREIETTKGVIRRLPELEESLKGLAEQRRKQFKETQKMFARLSVELMLGRGGDLCSPFFDFSHALFSKLEDVGRSL >KGN53850 pep chromosome:ASM407v2:4:8633612:8640194:-1 gene:Csa_4G166940 transcript:KGN53850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQIKHAVVVKVMGRTGSRGQVTQVRVKFLDDQNRYIMRNVKGPDLTKHLTTPADDQQHLCEITILLGIFFISSEGPGSRSFLFANFSINFIASPLITSISTFSHFRNRFLACDSVRAANPETLNRDGLVGALPSAVKTNQECCRSSPSRTIHHCGSLISRCTTAAGVFSGVILRKISMEEANEILKNKRIEGISWLCSLSESELDLLISIKMLVLQRAKAVGHENLAEKFDLKMQRAIGFVLMEHLKGELRTSDVSDLSQSALNACNLLDSNLEKILSIDEIMASICSDRRKKPGKRPQEKVDSRYKKNDKL >KGN53212 pep chromosome:ASM407v2:4:2874190:2876338:-1 gene:Csa_4G026890 transcript:KGN53212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKVGMKFNVEEDDSTELPKFKDVKKMANEINTKLNSELESRNKESAVGKAEGLESVKRNKNSAVEKAEGLKSVKRNKDSAVENAEGLKLQLAEKTEQLSVLKNSELQTESRIEELEKKYRISKESEEKTKDLILAQTEQLEQAKVSLEESKLEIQSLHEKLQKCSSNTNNDNHNIPGNHEFESLKFELESTKQNLALLKNELKLATEAEENNKKAMDDLAMALKEVATEANHFKGKYSTSEEELKQRKEETENLRTTLKTIEEKNKTLLQEARKEADLYKSTVDRLRLEAEESLLAWSGRETSLVDCIRRAEDDRYNAQQENRRLMDSLRLADLKNMTSKEEIKKLRDILKQALNEATVAKEAAGIAIEENSQLKDCLVEKENALDFVSTENETLKVSQASALEEIKELKQLLEEATKKEGNGKEESKSKEENKSKEESKNKEEGKEQVEMTKSKPPLSPSPNQNPSPSPAEKEDTFGKRLGKAFSFSFLELRISPQKKEVEEEAEDEEPEMEETLKGSIFDENVDSPGSARLHERRPSLSQYSEDGELMHFDGEDLDQLEEGNLDELEGDRNSRKKKALIRRFGDLLMRRRSFQQKEGTIT >KGN53318 pep chromosome:ASM407v2:4:3678574:3685533:-1 gene:Csa_4G046800 transcript:KGN53318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASESALSRSHSARAADVISTVSERSEAVDPILETLKSLQITTPILQSPPTEGSLTDILVRKPPSSSNAVTVNPQVLLELFSIYRKWQDGKVQEINKNQEDIENKIEVTDALAVKLLQRLNFSVSAVKSASQHLSEVHSLEVEIGELKGRLTEVISNCDALCRRIASEGPESLRSSIKPFVLATADTGSSCNSSSEQVALNTDPHPPEPKLN >KGN55349 pep chromosome:ASM407v2:4:22030995:22036011:-1 gene:Csa_4G646230 transcript:KGN55349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METENADELASSTASTLLPLASAAQQPYVSELLSFTLDRLNKEPELLQVDAERIRRQIQEVAVGNYRAFIAAADALLAIREEVSSIDKHLESLISEIPNLTSGCTEFIESAEQILEERKMNQILLTNHSTLLDLLEIPQLMDTCVRNGNYDEALDLEAFVAKLSTMHPKIPVIQALVAEVRRTTQSLLSQLLQKLRSNIQLPECLRIIGYLRRIGVFSEYEMRLQFLRCREAWLTGILEDLDQRNAYEYLKGMINCHRTHLFDVVNQYRAIFSDDTSGSEENYDSGLLFSWSMHQITSHLKTLKIMLPKITEGGSLSNILEQCMYCAMGLGWVGMDFRGLLPPLFEEAVLNLFSKNMATAVDNFQLVLDSHRWVPLPAVGFPASTIGEESQEDVTPPSYLMEHPPLAVFVNGVSAAMNELRHCAPLSLKQVIAQELIKGLQAVSDSLLRYNTTRMLKDNESGLFLQLCRAFIEVAYPHCATCFGRCYPGGAMLILDAKNLYDGIGRLLTVSSSRELPKTVSNAEEKTITENGEMPALENGGTSDADKEDQKSPSLISNEKHSEGEAEQNN >KGN55319 pep chromosome:ASM407v2:4:21872574:21874092:-1 gene:Csa_4G645920 transcript:KGN55319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGICISSASSQIRHETNKVGSIIIEEDHYKSCYSVCGIQKLESICSKQGSKGINQDAAFAYQEYGEVEESDLFGVFDGHGPNGHIVSNLVKNRLPSLLLGEVTAKSSLVKRKSFNAWKEAFETSFKVMDKEIKLQENLDGSCSGSTAVVMVKQEDYLVIGNLGDSRAVMGRMREDGGIKAVQLTTDLKPGLESEGERIRRCKGRVLALKDEAHIQRVWLPNEDSPGLAMSRAFGDFALKDYGIINLPDVSFRPLTSLDRFIVLATDGIWDVLSNDEVASIVWAAESEEAAAKAVVDAATEAWKRWPSSKQDDCTVVCHFLQTPKQ >KGN54056 pep chromosome:ASM407v2:4:10769946:10770668:-1 gene:Csa_4G279850 transcript:KGN54056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQGVLLRSPPGTRQQPLLRDKSGNRVKEKRRFAEVAGGTAAECAAICCCFPCSMMNLLILTVYKVPVGLCKKVWNKRGKRREIAKKNAGAGGKEWAGNDDGGGEKEDWGESLPSSYLSSEDIELEKEMWERFYGTGFWRTPSQRET >KGN53696 pep chromosome:ASM407v2:4:6842317:6843789:1 gene:Csa_4G107380 transcript:KGN53696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESIWCFVLEELKQIRSKRTTRVPPIEDFSALHRNRGEKKFSNSALGKKRANVSVTSANINDRLRHGIQLESVLGSREVSCGLGRLALVIRDSTSKVQLASVHLGFEPILLFFN >KGN54636 pep chromosome:ASM407v2:4:15465668:15465898:1 gene:Csa_4G411380 transcript:KGN54636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDERWLDKLWCMERKVTNSDLQSASCATEEKWDKTGDNRRLVKEDSTTATEDEEGGSGSETKKGMVKSAARFCFRR >KGN54751 pep chromosome:ASM407v2:4:16799951:16813596:-1 gene:Csa_4G443640 transcript:KGN54751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPAVQAGPGGGGGGEVAAAPRPGQQQQQQGGFGQSLTGIIRIAVFWYFASKFFAPKKPSDPAILMSNHFQKGEPLDMWLYLSEHERFDDFGNEGALVWHENGIPYAVWGPESTRSLSFKYYPSEALKQNGSLYAHVFFARSGYTPDPSDPEFQPLATFGRTHPVVLYLPKSKAGKRRSLLGNTEGSDTGEILKEVVDDNQVDVKDDGPVEWVSYWKPNVTINLVDDFTRYAHNGVPPNIAPYLNVEPTTGNYYPTIFFNEFWLLRDKLVRLNETVNELVLNLEVAPISMMKWQLFLQIDQSFQIHRSYGSMIEGEADELKRVFLEGNPYLLAVTMVVSLLHSVFDMLAFKNDIQFWNKNKSMEGLSAKSVIVSFISQLIVFLYLLDNDTSWMILASSGIGCCIEFWKIGKAMHIEIDRSGRIPRLRFRDRESYAGNKTKEYDDLAMKYLSYVLFFLVACSSVYSLMYEQHKSWYSWILSSLTSCVYMFGFIMMCPQLFINYKLKSVAHLPWRQMTYKFLNTIIDDLFAFVIKMPTLHRLSVFRDDVIFLIILYQRWIYPVDRKRINEFGFGGEENQETETTSANAIKEDDKKTN >KGN55558 pep chromosome:ASM407v2:4:23248459:23252755:-1 gene:Csa_4G665140 transcript:KGN55558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEQNRFPQHERGKRWGGCWGALSCFHSQKGDKRIVPASRLPEGNVVTTQPNGPQAAGMTNQATVITPSLLAPPSSPASFTNSALPSTVQSPSCFLSLSANSPGGPSSTMYATGPYAHDTQLVSPPVFSAFNTEPSTAPLTPPPELAHLTTPSSPDVPFAQFLSSSEDLKGTGKANYIASNDLQAAYSLYPGSPASSLVSPISRTSGDCLSSSFPERDFRPQWNSSASLQDGKYPRSGSGRLFGNEKAGTSLASQDSNFFCPATFAQFYLDNTTFPHTGGRLSVSKDSDVYSSCGNGYQNRHSKSPKQDVEEIEAYRASFGFSADEIITTTQYVEISDVMEDSFTMRPFTSTSLSAEESTEPPLLGEKLKSSHTTLQSQRSIKSAPEETCTEMPALCNGYKDNKLQRQPGDISGSSTSNQVEKDVFSRIGSSKNSRKYDLGLSCSDAEVDYRRGRSLREAKGNGSWHD >KGN54770 pep chromosome:ASM407v2:4:17070720:17073530:-1 gene:Csa_4G481190 transcript:KGN54770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLPVFAGFWQQMQKAEAVIIDGIRIGVLPDVVTYNTLIDGYCRFSGMDAAYSVLYRMREAGISPDVITYNSLIAGATRNFSLEQSLDLFEEMLQSGITPDIWSYNTLMHCFFILGKPDEAYRVFKDIILKDLSPHPVTFNTMINGLCKHGYTSNAIMLFRNLQRHGFIPQLVTYNILINGLCKVDRLRAAIRMLNEAMDSGLEPNAVTYTTLMKSCFRSRQYERGFEIFSKMKNKGYAFDGFAYCTVSGAFLKLGRFEEAKFCMEQMIKNDVGIDITFYNTFINLYCKEGKLEAAYKLFDEIEPRGLECDVYTHSIITNGLCRVGNIEGAMQHLNCVYTTGFASNLVALNCLIDRLCKAGQIDRAIRLFESMETRDSFTYTSLVHNLCKARRFRCASKLLISCSRDGMKVLKATRRAVIDGLCSSGFTSEARKLKFKLRLARHFR >KGN53260 pep chromosome:ASM407v2:4:3299119:3301366:1 gene:Csa_4G038770 transcript:KGN53260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGTEQCQLSQKEADIQMMLAAEVHLGTKNCDFQMERYVFKRRNDGIYIINLGKTWEKLQMAARVIVAIENPQDIIVQSARPYGQRAVLKFAQYTGAHAIAGRHTPGTFTNQLQTSFNEPRLLILTDPRTDHQPIKEAALGNIPTIAFCDTDSPMRYVDIGIPANNKGKHSIGCLFWLLARMVLQMRGTVRPGYKWDVMVDLFFYREPEEVKEKEEEEAVAPPDYGIADFGSAPVAVDQWVPDAQWGAPNVAPTAAAASVSNAEWAQESVRAADGWGVAIPPPAPSLEAAAPPASDW >KGN53993 pep chromosome:ASM407v2:4:10210044:10210319:1 gene:Csa_4G244900 transcript:KGN53993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHRSLHLNSFFPLVALLLLLLLLHSLFVTSSRPLHGIHPHNPHAITPPAPVSLETSFSINRYKYVETDAFRPTSPGHSPGVGHNEPPGKP >KGN54027 pep chromosome:ASM407v2:4:10605131:10619977:-1 gene:Csa_4G268110 transcript:KGN54027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPQSTQLQQAQLAAILGPDLAPFETLLSHLMSSSNEQRSQAELVFNLCKQTDPDSLSLKLAHLLQFSPQPEARAMAAVLLRKQLTRDDSYLWPRLNPSSQSSLKSILLSCIQREDSKSISKKLCDTVSELASGILPDNGWPELLPFMFQCVSSDSPKLQESAFLIFAQLSHYIGDTLVPHIKHLHGVFLQCLTSTTSSTDVKIAALNAVISFIQCLSNSADRDRFQDLLPPMMRTLMEALNNGQEATAQEALELLIELAGTEPRFLRRQLVDVVGSMLQIAEAESLDEGTRHLAIEFVITLAEARERAPGMMRKMPQFISRLFAILMKLLLDIEDDPAWHAAENEDEDAGETSNYSVGQECLDRLAISLGGNTIVPVASELFPAYLATPEWQNRHAALIAMAQIAEGCSKVMIKNLEQVVAMVLNSFQDPHPRVRWAAINAIGQLSTDLGPDLQVQYHQQVLPALATAMDDFQNPRVQAHAASAVLNFSENCTPDILTPYLDGIVGKLLLLLQNGKQMVQEGALTALASVADSSQEYFQKYYDAVMPYLKAILVNATDKTKRMLRAKSMECISLVGMAVGKEKFRDDAKQVMEVLMSLQGSQMEADDPTTSYMLQAWARLCKCLGQDFLPYMSVVMPPLLQSAQLKPDVTITSADSDNDIEDSDDDSMETITLGDKRIGIKTSVLEEKATACNMLCCYADELKEGFFPWIDQVAPTLVPLLKFYFHEEVRKAAVSAMPELMRSAKLAVEKGLAQGRNETYIKQLSDYIVPALVEALHKEHDTEICSSMLEALNECLQISGSLLDESQVRSIVDEIKQVITASSSRKRERAERAKAEDFDAEEGELIKEENEQEEEVFDQVGEILGTLIKTFKASFLPFFQELSTYLTPMWGKDKTPEERRIAICIFDDVAEQCREAALKYYDTYLPFLLEACNDENPDVRQAAVYGLGVCAEFGGSVFKPLVGEALSRLNVVLRHPNARQPENVMAYDNAVSALGKICQFHRDSIDSAQVVPAWLNCLPIKGDLVEAKIVHDQLCSLVERSDVELLGPNNQYLPKIAAVFAEVLCAGKDLATEQTAGRMINLLRQMQPNLPPSTLPSTWSSLQP >KGN53065 pep chromosome:ASM407v2:4:1973127:1973342:1 gene:Csa_4G014080 transcript:KGN53065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLREGSFCGYRIDHTVVVVGYGSDEEGDYWIIRNQYGTQWGMNGYMKMQRGTRNPQGVCGMAMQPSFPVKY >KGN54421 pep chromosome:ASM407v2:4:13124166:13125728:-1 gene:Csa_4G314480 transcript:KGN54421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSMLGKFASLRNLLVRVLLFSILVIIGRFAYVVTIAGESCSIGDFCFFSLPETFNFMIPGGGGRTGSAALFTDNADPRVIGPPRPDLYSSEDWIKTVQFYSSVFQDLITEGYLSPNSKSLCIETPAGADVSALKDIGVSNSVGIFKKALKPLVIKGEAHRIPFEDNTFDFVFSGGSRLDISRRPHDFASEIARILKPEGFAVVHVSAKDTYSFNSFVDLFNCCKILKTKDIDIVLPSMPSTREYVLKKEYRILENGLLKLNEDGVSYKKNCSVPGYKLALFRNAEPLILEEPLKPWLTLKRNIQNVKYLPSMAEISFKNRYVYVDVGARSYGSSIGSWFKKQYPKQNKTFEVYAIEADQTFHEQYKSKKGVTLLPYAAWVRNETLAFEINKDPGQGKEDKGASRGMGRIQPVQSLGQFDGEVNQIQGFDFANWLKNTVSEKDFVVLKMDVEGTEFELIPRLFETGAICLIDEMFLECHYNRWQRCCPGQRSTKYEKTYSECIDLFASLRQSGVLVHQWW >KGN54231 pep chromosome:ASM407v2:4:11765832:11768638:1 gene:Csa_4G294390 transcript:KGN54231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDCFSFEKQIKQFLSKREDLKLLNSSTAISSSSISITNICTLSLLCHSTSTSPVIVQHISAFFTVTANLFKILPAFNVFVELTQWLLLLASSSSSNSTSSSHIVHHLPPDFTPKQLIETLRRQTDEVAALRVFNWASKQPNFVPSSSVYEEILRKLGKAGSFEYMRRVLEEMKLSGCEFDRGIFLIFVESYGKFELYDEVVGIVKVMEDEYRIKPDTRFYNVLLNVLVDANKLKLVESAHSSMVRRRIRHDVSTFNILIKALCKAHQVRPAILMMEEMPSYGLSPDETTFTTIMQGYIEGGNLDGALRIKEQMVEYGCPCTDVTVNVLINGFCKQGRIDQALSFIQEAVSEGFRPDQFTYNTLVNGLCKIGHAKHAMEVVDAMLLGGLDPDIYTYNSLISGLCKLGEIEEAVKILDQMVSRDCSPNAVTYNAIISSLCKENRVDEATEIARLLTSKGILPDVCTFNSLIQGLCLSSNHKSAMDLFEEMKGKGCRPDEFTYNMLIDSLCSSRKLEEALNLLKEMELNGCARNVVIYNTLIDGFCKNKRIEEAEEIFDEMELQGVSRDSVTYNTLIDGLCKSKRVEDAAQLMDQMIMEGLRPDKFTYNSLLTHFCKTGDIKKAADIVQTMTSSGCNPDIVTYATLISGLCKAGRVQVASRLLRSIQMKGMVLTPHAYNPVIQALFKRNRTHEAMRLFREMLDKSEPPDAITYKIVYRGLCNGGGPIGEAVDFTVEMIERGNIPEFSSFVMLAEGLCTLSMDDTLVKLVDMIMEKAKFSEREISTIRGFLKIRKFQDALSTLGGILDDMYPRRSYRGRRE >KGN53817 pep chromosome:ASM407v2:4:8124018:8124740:1 gene:Csa_4G146240 transcript:KGN53817 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lipid transfer protein family protein MKNFPITIVVCIFVVATTVALLNGAPPVVAQVECDPSQLSSCTAAFFGMTPSQTCCNKLREAQPCYCEYINDPDLRSFVNSSAARRIAKSCNISLPTEADCSK >KGN53851 pep chromosome:ASM407v2:4:8642222:8644669:-1 gene:Csa_4G166950 transcript:KGN53851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPEFPNLGKHCNFAECKQIDFLPFTCDCCHQVFCLEHRSYNRHSCPKADRWDVTVVICPLCAKGVRLIPDQDPNITWEIHVNTECDPSNYDKVTKKKKCPVPGCRELLTFSNTIKCRDCSLDHCLKHRFGPDHKCPGPKKLEPTGFPFVGLLNRSRKEEKGPKKALSTTSSSKWTAFLNAASSVKASAEASMTKLSNEFSQTWISSGSSSNGGGGNGQIEQCPQCSAKFSSVSSLIDHVEKVHERGGNRTGPKKVVIDACPKCSRGFVDPVALVEHIERDHGGTSRA >KGN53495 pep chromosome:ASM407v2:4:4913090:4918972:1 gene:Csa_4G056830 transcript:KGN53495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAINQLLRSTLRSQLGTFAKTVISSGRASQEHAILAPFLSRLHSTQASAQKEDSGFKGHDMLAPFTAGWQTTDVNPLIIERSEGSYVYDIDGRKYLDSLAGLWCTSLGGNESRLVAAAMKQLNTLPFYHSFWNRTTRPSLDLAKDLLEMFTARKMGKVFFVNSGSEANDTQVKLVWYYNNALGRPDKKKFIARSKSYHGSTLISASLTGLPALHQKFDIPAPFVLHTDCPHYWRFHLPGETEEEFSTRLAKNLEDLIIKEGPETIAAFIAEPVMGAGGVIPPPATYFEKIQAVVKKYDILFIADEVICAFGRLGTMFGCDKYNIKPDLVSLAKALSSGYMPIGAVIVSPEISDVIHSQSNKLGSFSHGFTYSGHPVACAVAIETLKIYKERNIVEKVNSISSRFQDGLKAFSDSPIVGEIRGTGLILGTEFVDNKSPNDPFPPEWGVGAYFGAECQKNGMLVRVSGDTIMMSPPFIISPQEVDELISIYGKALKATEERVKELKAQR >KGN53660 pep chromosome:ASM407v2:4:6393566:6395038:1 gene:Csa_4G097670 transcript:KGN53660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFEGDEESSSPQILTKSPFRYNSPLVQVSLIGLVCFCCPGMFNALSGMGGGGQLDSTVADNASTALYTTFAIFGIIGGGVYNILGPRLTLFAGCSTYVLYAGSFLYYNHYKDQTFAIIAGAILGVGAGFLWAGEGAIMTSYPPPGRKGTYISIFWSIFNMGGVVGGLIPFVLNYHRTTASSVNDGTYIGFMCFMSIGAVTSLAILPPSRVVRDDGSRCTNIKYSSVSVEFVEILKLFLNWKMLLIVPAAWSSNFFYTYQFNNVNGALFNLRTRGFNNVFYWGAQMLGSIGIGYIMDFSFKSRKTRGLFGVSLVALLGTGIWAGGLANQLRYSRNKVLDKLDFKESGSEFVGPFFLYFCFGLLDAMFQSMVYWVIGALADDSETLSRYSGFYKGVQSAGAAVAWQVDNHHVSFMRQLVVNWSLTTLSYPLLLVLVFLAVNDDVHDEKKFIDETRKEVNSSIIT >KGN53152 pep chromosome:ASM407v2:4:2477310:2482063:-1 gene:Csa_4G022880 transcript:KGN53152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSINSNPNPNSAIETLVPYPPDYSTPEDHDRDPNSLLKEIKGENSGFSSGNGHAENQPADGVNYVPKVEIIQKPLVSENGFTNTHSGTDKDFSGGEEETTSRRRRRSRWDPQPESNEQSGGESGSGARKRKSRWADDDPKPVIQLPDFMGGIEFDPEIQALNSRLLEISRMLQSGMPLDDRPEGARSPSPEPIYDNMGIRINTREYRAREKLNTERQEIISQIIKKNPAFKPPADYRPPKLQKKLYIPMKEYPGYNFIGLIIGPRGNTQKRMEKQTGAKIVIRGKGSVKEGRLQQKRDLKHDPAENEDLHVLVEAETQESLEAAAEMVEKLLQPVDEVLNEHKRQQLRELAALNGTIRDEEFCRLCGEAGHRQYACPSRTSTFKSDVLCKICGDGGHPTIDCPVKGTTGKKMDDEYQNFLAELGGTIPESATKQTPTLAIGSGTSGTNPPWANNTTSASNTPQASVGANGVKPAKEYDDTNLYIGYLPPTFDDDGLIRLFSTFGDIVMAKVIKDRVSGLSKGYGFVKYSDIQMANNAIASMNGYRLEGRTIAVRVAGKPPQPTVPPCPPASTVPTYPVSSQPVGVYPSQQFMPGGPLGNVPPPTSYSATPVPWGPPVPSPYASYPPPPPGSNVYPAVQGQAMPPYGVQYSQVQTAPPGAPSQPVTSGEAQQSFPPGLPSENPTSQPLQTTAYGNTLYSMPPSAQPSYPPSYGYSAYYSAVSTHPLPMSAPTTDQPQPPSGAAPWATNPPMPPPMPSAEKTSSGADAEYEKFMADMK >KGN55378 pep chromosome:ASM407v2:4:22195807:22197314:1 gene:Csa_4G648000 transcript:KGN55378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKILWYLLFCALFVSVFSAGDSNVTDNPADKLVVVLNKNRTAHKLSPLKDNPGLACLALQYIKAYQGKCEAVGGPDGMKPPNSAFAETFAPNCGVVVSSLAPITGRLLGCQSKYVHAPEAFSDVLMENNKSLEILYYKNNTEVGAAVTGTDGGSPYFWCVLFSNGTTSNSFAFEGGVAKLTRPGCYSGADDQCSGTNKQFSTSRMLLSAFTSLVAITFAFGL >KGN53276 pep chromosome:ASM407v2:4:3408420:3411967:-1 gene:Csa_4G043920 transcript:KGN53276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGMLPQSRMAAGAACKRVGQLVANSGLRRLGVSNVSSFESSIFDHSANNLSASISLISRYRHYECRQISQLVKSNGKRLFLVDTLALVRKLEGQGVPSNQAEAITAAITEVLNDSLENISHSFVSKGEMQKIEMIQDSNLSKFKSEVQSSQGHHFSLLGHETEKLRNDIEKMRSELRYEIDKVTAGQRLDLNLERGRIRDELANQNAETTNLTNKLDREIHGLRAQLEAAKYDVIKYCIGTLVSISAVGLAVLRILM >KGN54370 pep chromosome:ASM407v2:4:12697013:12698693:-1 gene:Csa_4G308600 transcript:KGN54370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGSLFLCLVLVFISCLKKDVVSQKYLNFDKNYVVMSSQDHVLRLNGGTEAQLTLDQAGGGAFQSLLKYGSGYFEMQIKLPSNHSPGVLHSDIGSDNVHDEIDFEFLGTDGPSYILQTNVFADDVGGREERLHLWFDPTLAFHNYGILWNSHQIVFFVDQVPIRVFKNLTSIGGRYPSQGMLVQGSIWNGEGWASNGRKVDWSQAPFQANYKSFGILGCQIGNQCDSQTLPWNNQDKWELNPKQQSDYENVKRKYVYDTYCLSPRGKNYRECHP >KGN53219 pep chromosome:ASM407v2:4:2913674:2915017:-1 gene:Csa_4G028430 transcript:KGN53219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVEFHGEIRSHNDEDDRDQEPEEEISYDDLKKRMWRDRQRMKKMKERHDEEEPESAAREEASRRKKMARAQDSILKCMDKIMEACKAQGFVYGIVPEKGKPVTGSSESLREWWKDDVRFEQDAPMAIAKFLPKSLRITDEEEDKEEEHNKNRDKQSSDEIMTKRKCVFEQEPILESLLYPCQNQWCPQSEAVMGFMDKKARTEHETQCICGGERSEEFSDEQSMDTHLKSVVEWMNWELGRAEAGREEARIEDAGDGSGSSTAEDYGSGYWNMDLNASPAEDLSGQQQDSTSIWDLRYDWGAEE >KGN53682 pep chromosome:ASM407v2:4:6660321:6661116:1 gene:Csa_4G102310 transcript:KGN53682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINKIKVKKMKVTMMMIKVIVTVATDRGGQVQDNMEGGQQYQLNPLTRESQFTHVTQDDHSHRANGPRIGAIGKPFICRRSVHDDAQIYTDSVVSRMEGKSLDRQYGHEQYVYNNSNYVILG >KGN54740 pep chromosome:ASM407v2:4:16675129:16675494:1 gene:Csa_4G439070 transcript:KGN54740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKPCGSSHLSPLERRLARREEEEATQATIQGKRWNSPEIEVTDARECGYCRNAVEEDTNLFRAWVTRAMVEQRHPVHRRARTAAETVPLGCRIVIRT >KGN55529 pep chromosome:ASM407v2:4:23122597:23125197:-1 gene:Csa_4G664370 transcript:KGN55529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDQNTTQRRDSNTQLLDELEALSQSLYQTHISTTRRTASLALPRSSLPSIPSAEDVGIVKTDDKFNKPRSRRMSLSPWRSRPKLDDEDKLQTERNRLSSSQPEPRKLDDATPEKKGIWNWKPIRALTHIGMQKMSCLFSVEVVTVQGLPASMNGLRLSVCVRKKETKDGAVNTMPSRVSQGAADFEETLFLKCHVYCTPGNGKPMKFEPRPFWIYAFAVDAQELDFGRSPVDLSKLIEESIEKSYEGTRIRQWDFSFNLAGKAKAGELVVKLGFQIMEKDGGIGIYNQAQSKESKSGKNFGRKQSKTSFSVLSPRLTSQSEAWTPSQTRASTDLPGMDDLNLDEPAPVPSTSPSIQKSEEPKIEDLDLPDFDVVDKGVEIQDKEEEVEKEESEKSVEEKSTSSEVVKEVVLDQAHLNRLSELDSIAQQIKALESMMENENVGKNDEESDSQRLDADEENVTREFLQMLEEEDGTASFNNNSKLSYPEIPPLQLEETEDSSQAESKSYISDLGKGLGCVVQTRDGGYLAAMNPLNTQVSRKDIPKLAMQISKPFILGSTQSLSGFELFQRMACSGVEELSSKVVALMSSDELMGKTAEQIAFEGIASAIIHGRNKEGASSTAARAIAAVKAMATALSTGRKERISTGIWNLNEIPLTIEEILAFSMQKLEEMSVEALKIQAEMAEEEAPFDVSALNVKTGGKDQNQFHPLDTAIPFEDWMKKLNFSGYGSKKEEEGVTVGVVVQLRDPLRRYESVGGPVVGLIHATEVEMEEKTSKYEEERRFKVTSLHVGGLKVRGGGKRNAWDSEKQRLTAMQWLVAYGIGKAAKKGRHLVSKGPDMLWSLSSRVMADMWLKPIRNPDVKFAN >KGN53605 pep chromosome:ASM407v2:4:5820322:5827231:-1 gene:Csa_4G089270 transcript:KGN53605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQRMPRTSQMVDLEMDRQGQNYLHAEPSVILPGTSNFPQHGMQSMVTASGNAPNPETHYLPDPYDVSMLHGLNQYGSVQHHHSLGLSTAAPGNYYYSYITPPSSNGLLPAPLNHNVTDQLPSSSNYGIQTSSNGYGRNTYFVDEISDPRKRKITEGIPGNVQHLNGLASTSSSMHLSNSRLPDEVAMVGASSFPPPQSRWSGPRNSARAGSSGTRRDSILPPDHNHSTIGNNRGQHLQPTNSSFWLDQHLQANCGNGSASSWNQTSTAPFMHGTNTNGGLLETMNLGVHRYHETAGNRNSRNIQHPSVNHGHHIHNHPSAVVQRIRGHNFQFYPQVTAASYGFPLNSSYGTMNPHSLEIGRRQPGAVAPTGHGLHRIPRASVAADTTTRHHSIPQLRFLQADEVALLEIPDLYEVGNLVDHHRDMRLDIEDMSYEELLALGERIGNVSTGLTEEIIKTQLKTRSYIASTTVVNLEEEEEEEGSNLDQDVDYCIICQDHYQNLEKVGTLYCGHEYHASCLKKWLLVKNVCPICKSEALATDRKER >KGN54985 pep chromosome:ASM407v2:4:19791242:19793166:-1 gene:Csa_4G618500 transcript:KGN54985 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucosyltransferase MKKLELIFIPTPIIGHLTSALQLAHLLVTRHPFLSITIFIIKIPFPTRSADQIQSLCSSYANHRLRFFTLPEQPIPGNTNKTTILKPLVESQKQNVADAVANLIAAPDSPTLAGFVVDMFCIPMLDVAKQFSVPTFVFYTSSASFLALLFHLQELYDYEFNHDMDQLLNSVTEFALPGFKNPIPRKVISTIFYDKETIEWAHNLTRKFREASGFLVNTFSELESGAINWFANQNLPPVYAVGPILNVKEKNPQIERDEILKWLDEQPPSSVVLLCFGSMGIFNESQTKEIADALERSGVRFIWSIRQVPPESVLPEGFVDRTSGMGKVVGWAPQMEILEHPATGGFVSHCGWNSVLESLWNGVAGATWPMYAEQQLNAFHMAVELGVGVEVSLDYSMVGAAEGELRADKIEAGIRKLMEGSEEMKKGVMVKSEESKKATMEDGSSFNDLNRFIDHVFHKINTC >KGN55354 pep chromosome:ASM407v2:4:22062880:22065024:1 gene:Csa_4G646280 transcript:KGN55354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNIQERKERRWMLERKLASSDVPKEEQINLIKDLERKETEFMRLKRHKICVDDFELLTIIGRGAFGEVRLCREKKSGDIYAMKKLKKSEMLMRGQVEHVRAERNLLAEVASHCIVKLYYSFQDAEYLYLIMEYLPGGDMMTLLMREDTLTENVAKFYIAQSVLAIESIHRHNYIHSTTRK >KGN55468 pep chromosome:ASM407v2:4:22812822:22813102:-1 gene:Csa_4G652800 transcript:KGN55468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFYRRPTKHMSPFQIRCVCSVGIPSPSFHVFRLLPSRSFRVRKEVPPGDKFRHETYADLLEMKF >KGN53540 pep chromosome:ASM407v2:4:5351894:5355012:-1 gene:Csa_4G075210 transcript:KGN53540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMAKLWVVVSLVMMILTPAYSEFPPTCKRIECPSYDVIGTGDGFEIRRYNSPVWASTAPIPDISLREATRAGFLQLFDYIQGKNSFNEKIEMTGPVITEISPSDGPFCESSFVVSFYVPKINQADPPPAKGLHIQRWNSTYVAVRQFGGFVTDANIGSEASALDESVFDTKWGAAISKSRGAAGPSIYTVAQYNSPFEFEGRVNEIWFLFDIEDVAAQA >KGN54225 pep chromosome:ASM407v2:4:11717044:11720037:-1 gene:Csa_4G293840 transcript:KGN54225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPQVSQWCVSAKPFFAVVFLQFGLAGMDILSKAALNQGMSNYVLVVYRHAVATIVIAPFALIFDKKVRPKMTIPVFAKLMVLSLLEPVIDQNLYFMGMKYTTATFAAAMCNILPAITFVMAWILRLEKVRIKSIRSQAKIVGTVATVGGAMIMTLMKGPIVELFWVKERANNPQQRGEISLQHTIKGSIMITIGCFSWACFMILQAITLKAYPAELSLTAWICLLGTAEGTVVALVMERGNPAVWSITWGTKLLAAVYSGIFCSGLAYYIQGLVMKDKGPVFVTAFSPLSMVIVAIMSSFILGERLYFGRVLGAVVIIVGLYLVVWGKNKDENCSSSEDLKLPTKQILEDNKTMETLTIEPNSCIDLKNNNEQK >KGN55186 pep chromosome:ASM407v2:4:21129426:21129945:-1 gene:Csa_4G639740 transcript:KGN55186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSFVFSNGVSDYKRCPVPFSRDQLRDIFLKHDGDGDGRLSRLELKSAFESLGSNWSRFRARQSLKAADADGDGYVTLDELDRLLDYAVRCEYAII >KGN52832 pep chromosome:ASM407v2:4:540160:545900:-1 gene:Csa_4G001990 transcript:KGN52832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVYVLSRRSSASHLFGMARYATTSLRSYSTSFREERDTFGPINVPSDRLWGAQTQRSLQNFEIGGDRERMPEPIIRAFGVLKKCAAKVNMDYGLDPTIGKAIMQAAQEVAEGKLNDHFPLVVWQTGSGTQSNMNANEVIANRASEILGHKRGDKFVHPNDHVNRSQSSNDTFPTVMHIAAATEINSKFIPKLKTLHSSLYSKSIEFKDIVKIGRTHTQDATPLTLGQEFSGYTTQVKYGIDRVLCTLPRMYQLAQGGTAVGTGLNTKKGFDVKIAAAVAEETNLPFVTAENKFEALAAHDAFVETSGSLNTVAASLMKIANDIRLLGSGPRCGLGELILPENEPGSSIMPGKVNPTQCEALTMVCAQVMGNHVAITVGGSNGHFELNVYKPMIANALLHSLRLLGDASASFEKNCVRGIQANRERISKLLHESLMLVTALNPKIGYDNAAAVAKKAHKEGSTLKEAALKLGVLSEEDFDKHVVPEKMIGPSDC >KGN53366 pep chromosome:ASM407v2:4:4028075:4035541:1 gene:Csa_4G050210 transcript:KGN53366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRLIRRNLTINVFGMKTRWKNFCSDSAAKVSGSNGNHSYVQGEKPGSVDNFPQHDIAIVGGGMVGMALACSLASMPLTKQLTIAIIDSNPALKGGASIKKGSPPDPRVSTVTPATISFFRDIDAWKYVEEQRHAYFDKMQVWDYTGLGYTKYHARDVNKEFLGCVVENKVLQSSLLSRVQCTDAQTTIYSSRLTSMDLHPSGSPTVAANISSKTTLNDPGHLAKLDLSDGSSLYAKLVVGADGSKSRVRELAGFKTTGWNYSQNAVICTVEHTQENRCAWQRFLPSGPIALLPIGDNYSNIVWTMNPQEATDRKSMDQDDFVKDVNSALDSSYGPPPNSSIFGSQNFFSWLKPDVTLSVDEGFEVPPKVVKLASERMVFPLSLNHAKNYASKRVVLIGDAAHTVHPLAGQGVNLGFGDAHSLSKVIAEGVALGSDIGEVTLMKKYEAERKPANIAMMAILDGFQKAYSVDFGPLNILRAAAFHGANYISPLKKSIISYASGDQRLPLFT >KGN52971 pep chromosome:ASM407v2:4:1356383:1357195:-1 gene:Csa_4G008250 transcript:KGN52971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYCSELQYLANPVTSHVQSAEQHNQDVNSLGLISSRKTAEAIDILKLMSSTFLVALCQAIDLRHLEENLKSVVKSTVSQVAKKVLTTSSNGALHPSRFCEKDLLKVVDREYTFAYIDDPCSATYPLMQKLRQILVEHALKNGDSETNENTSIFQKIGAFEEELKAILPKEVENVRLAYENGNSEIENQIKDCRSYPLYKFVREELGTRLLTGEKVISPGEECEKVFTALSQGKMIDSIFECLKEWNGAPLPIC >KGN53989 pep chromosome:ASM407v2:4:10144691:10145207:-1 gene:Csa_4G242890 transcript:KGN53989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQGLLLKLCLTATHYFKSLMLQILSTQFDLLEDLNLLIQSILIYLPTALLPHSLASRIFSILSAESRARNSTINTLTERRSEVDYLIRHFKALLPSSSTTNSSEE >KGN53895 pep chromosome:ASM407v2:4:9061141:9069683:-1 gene:Csa_4G182260 transcript:KGN53895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTIDEKISSMLDLLRRHNMLPELNSISQPPSQLEKSAHAKLTEEFAAKTKELRHMKGEELQELGIEELKQLEKLLENGLNRVIETKDEKFLKEIVTVKEKESLLMKENQRLRNKLMETLINRDDQQEEEEAVVLIAGNSVGSKSKSNTSNSSSSQNPNSQDYDDISLKLGL >KGN53190 pep chromosome:ASM407v2:4:2754505:2756952:1 gene:Csa_4G025200 transcript:KGN53190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHNNVIPSGHFRKHWQNYVRTWFNQPARKTRRRNARQEKAVKNFPRPTAGPLRPVVHGQTLKYNMKVRAGRGFSLEELKAAGIPKKLAPTIGISVDHRRRNRSLESLQANVQRLKTYKAKVVVFPRRARKFKAGDSTPEELANATQVQGPYLPIGREKASVELVKVTEEMKSFKAYDKLRVERTNARHVGARLKRAAEAEKEDKK >KGN55124 pep chromosome:ASM407v2:4:20735565:20739818:-1 gene:Csa_4G637150 transcript:KGN55124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVEVVGFEMVNGPMDSADNSVLKSKENGDLDQLPEKNATIKFGSHEDEPVKEQADGSSTTNVPKDAADEWPAPKQIHTFYFVRHRAYDDPNVKAKIDLADKEIQKRSQARFQITEALKGKRGERAELITQMKALRDDNRQFKSIVDEKIKEIEPLNQALGKLRNANNAGRNGGLCSSEEELNAVIQSLQYHIQHESIPLSEEKQILREIKQLEGTREKVIANAAMRAKLQDSMVQKEALQDQVKIIGGDLDGVRKEQQAVRAKIKQLDDALKAIDNEIKTLQDELTSVTEKRGRAHESIQQLRKNRDEGNAHFYQSRSLLNKARDLAAQKDVKALEELASNEVEKFMSLWNGDKAFRDEYEKRILPSLDGRQMSRDGRIRNPDEKPILAPAEPAPPQTEMAAKPNIKRTKEEPKPVPSDTLPAQKVDKEVKHKVGKSARPLEQEDKAEDEIHGLEKLSKDIPKEPEVDLAKLKEMKRAEEIAKAKLAMERKKKLQEKAAEKASLRAQKEAEKKLKEIIYIHTHIDREKKAKKKATTSGSFATLPDEEPTDADAVEEESTEVEKVNETAEAPVPVKTKIPKETVVRRPGRQRGLDSVPKVIRKRKKSINYLEWAGPAAAGAAVLLVILLVLGYYYYYLL >KGN54593 pep chromosome:ASM407v2:4:15003476:15005305:1 gene:Csa_4G374120 transcript:KGN54593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSLNPNADPFFYTAPFSSPPMKLQCSPIPRLILGPVPLHANYLVPYLHQHHHPMFSGFFNGIVPPQVALCSPRSDGFCGGGGGGGSISYSQPQRCEGDRKMKWVPTKLHFDVADDNNNSDQVLPFRTQTTTLMIKNIPNQFRQNHLLNILDKHCKWKNASYEKKHSLDSCRRSEYDFVYLPMDFRKYWYDGQISNLGYAFVNFTNPTAASEFREAFHRRRWDVAVSKKVCEIKIAKLQGLKALNNALKDKIFWCHADSYLPVMLEPSSDGYRRYRAMPVGKRMDRPPPGSKKK >KGN53652 pep chromosome:ASM407v2:4:6309003:6311591:1 gene:Csa_4G096610 transcript:KGN53652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIKAPDHLPSPAEDCEQLRKAFQVERHSDIRLIIEQLLIIIPFHNCCVGLLVDQRAGWGTNEDLIISILAHRNAAQRSLIRKAYAETYGEDLLKALDKELSSDFERIVLLWTLEPADRDAFMVNEATKRLTSNNLVIVEVACTRTSIELFKVRQAYQARFKRSVEEDVAYHTSGDIRKLLVPLISSLQYEGDEVNKTLAKSEAKILHEKIAGKEYNHDEVIRILTTRSKAQLLATLNHYNNEYGNAINKDLKADPNDEYLKLLRTTVKSLTFPERHFAKILRLAINKLGTDEWALARVVASRAEIDMERIKEEYYRRNSVPLGRAIAKDTSGDYEKMLLELIGHSDA >KGN53407 pep chromosome:ASM407v2:4:4347233:4347882:-1 gene:Csa_4G052080 transcript:KGN53407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISNLISSLNKKLQAVEISSRCKRTKKTAYVYRDNLTKKNHSPPSSSSSPQHQPEEIKKVKKRVRFADTEPVIIAISTDREEEELEVETEKKVVRITVKLTKQEANRMLSRCSNGGVLEFGDVASELMRIPPTRVRSSLVAN >KGN53080 pep chromosome:ASM407v2:4:2020842:2023994:-1 gene:Csa_4G015700 transcript:KGN53080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSMARSFLQVAATEEVASPLRVVQIEGLVILKIIKHCKEFSPALVTGQLLGLDVGSVLEVTNCFPFPTREEDEEIEADGANYQLEMMRCLREVNVDNNTVGWYQSTFLGSYQTVELIETFMNYQENIRRCVCIIYDPSRSNQGVLALKALKLSDSFMELYRTNSFTGEKLREKNLSWVDIFEEIPIKVSNSALISAFMTELESDSPVTQCDYDRLQLSTNPFMERNVEFLIECMDDLSVEQQKFQFYYRSLTRQQAQQQSWLQKRRAENMTRKAAGEEPLPEEDPTNPIFKPLPEPSRLDSYLITNQIANYCNQINGVAGQSFNRLHLMKALHDN >KGN55188 pep chromosome:ASM407v2:4:21140085:21145276:1 gene:Csa_4G639760 transcript:KGN55188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIPKPYPHSNPLISVSSFIHHHCTKLGAELSNRLEDTKRFAGTLARRWPAQANWRSFPTPAFASLARHTHALAATLSPDQVAKSLVGTAVYTVSNSNNEFVLISDPNGAKSIGLLCFRKEDAETFLAQVRSRKRELRSNAKVVPITLDQVYLLKVEGIAFRFLPDPIQLKNALELKASETGSSFDGVPVFQSDLLIVKKKNKRYCPIYFTKEDIEKELLKVPKARRFGTSQHIMVGSLEDVLKKMELNENNSAWEDLIFIPPGKSHSQHFQEVGKA >KGN53291 pep chromosome:ASM407v2:4:3548906:3549886:-1 gene:Csa_4G045060 transcript:KGN53291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPLGSSSGSPSSDEDLRLIVDQRKRKRMISNRESARRSRMRKQKQLDDLTSQVGQIRTENEQIAVNINFTTQLYVNLEAENSVLRAQMVELRHRLDSLNEIIRFMNSSSRHVYDSEENDEVCGIDGFVDSWGFPFLNQPIMAAGDLFMC >KGN54166 pep chromosome:ASM407v2:4:11340752:11341165:-1 gene:Csa_4G290810 transcript:KGN54166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMCSKCHKDMMLKQDQAKLAASSIENFVNGSSSGSVEVPESVTDGAISVEPKTVQSHALPAMGSVEGEKPSEGPKRCNSCKKRVGLTGFNCRCGNVFCAVHRYSDKHDCPFDYHMAAQNAISKANPVVKAQKLDKI >KGN54784 pep chromosome:ASM407v2:4:17270413:17273453:1 gene:Csa_4G496250 transcript:KGN54784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSPSGRLSQFSGRRRIEDVLARYINLPDHDRGSVVQNKEFLLGTLNNLKTENDIAQQLTNPTSSNSNVEELQQEVGTLRHELQLAEQQLRLFEPDFLSFTSNGEINSCEKNLLDTLARITQRKKDLLSTHLSPYEPPNGIQIYLDQQDGIPTSFESDVGHWLPESNGQNNPNQICVASESSSIPQSGQYPTATVYDQVVSQAAAGTNINVGVGVGVGVGGYDIANANDDGFSPWHHNYTTTQLLSSFIPQTSFDVVKNEIGEACMNTMVPQQQVDSISNGNQMPPSDGSANYDNVKLSQLNVD >KGN53921 pep chromosome:ASM407v2:4:9344419:9350651:1 gene:Csa_4G188950 transcript:KGN53921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGVSDPSLIRLNIGGKKFRTTLDTLTQREPDSMLAAMFSGRHTLCQDPEEGSVFLDRDGKHFRHILNWLRDGIVPFLSDIDLSELLREAEYYQLLGLIDGINDLLSKRKEDEEPQSELKRVDIIKCIQSEKVRLRGVNLCGLDLSKLDLSYVDFSYASLKSVFFSRANLQCAKFRDADAEGSIFHNATLREYKINIFFSSHHIFFLIFLRIALIIVFCLERCEFTGANLRGALLAAANLQSANLQDACLVDCSFCGADLRSAHLQGADLTNANLEGANLEGANLKGAKLPNANLRSANLQRAYLRYVNLRDTQLEGARLDGANLLGAIR >KGN53938 pep chromosome:ASM407v2:4:9470876:9471521:-1 gene:Csa_4G192100 transcript:KGN53938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPLRFILLFLSAILAGYFAWRSASSSSSSSDIFQATEASDKSAPENENRSGTEDRLGVNRMVEKGFWTFVDMASGRFLWRNLNGSILNKNKQVKED >KGN53196 pep chromosome:ASM407v2:4:2784321:2786254:1 gene:Csa_4G025750 transcript:KGN53196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLSAAIFLYSIAILSSWTVLGSSDFNVLDYGAIGNGKTDDSKAFLKAWNDVCGATEESPTLHVPDGKTYLLNPLKFQGPCKSKQLGGTLMAPTKDEWISDGKGQWIQFFDIEGLNLQGGGRFDGQGSLWWKDCDHKHCKRPTALFFHNCNGLQMKGMKHINSAKNHISINMCHDVIFSHLHISAPEDSPNTDGIDISESTNIFIEDSFMATGDDCIAINNGSSNININGITCGPGHGISIGSLGKDGEYNVVENVHVSNCLLRSTQNGIRIKTWEGGYGYAKNITFEKITMKNAKNPIIIDQYYSSYAYSRKMKGMDIKVSDVTYREVNGTSANEDAITFNCSQARCSNIILDNVNIKMTNPGEKAKAVCQNADGKVLSAVPPVSCLSKSH >KGN54226 pep chromosome:ASM407v2:4:11733236:11738473:-1 gene:Csa_4G293850 transcript:KGN54226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNLNVEEVFFSIARDIKQRLADTDSKAEPQTIKINQPDQGAGAAQAAQRSACCGS >KGN55234 pep chromosome:ASM407v2:4:21408713:21410305:1 gene:Csa_4G641660 transcript:KGN55234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNYRMAKPHCYPSLSISTHHNTSLLLDSLYCFEDEVEDGHSNSQPKFQPFSINLNINSPNSVFLSDWEDDELVSLFSKENGNKLHNTLPHNPSLAAARSKAVHWILKVNSHYSFSAHTAVLAVDYVDRFLSTPHFHIEKPWMTHLTAIASLSLAAKVEETQVPLLLDLQVEENEYFFEAKTITRMEILVLSTLVWRMNPVNPLSFLDYIVRRLGFKDQLCSQLLCKCERLLLSVIIDCRFVCFLPSVLATAIIFQVINDIEPHLATKYHNQLMGFLQIDKDKMEECSRFILEASWKGQRKEWKNNKQRFGLVDMSCSSNGGNRNVDTMVSSPETASKKRKIDEQHP >KGN54131 pep chromosome:ASM407v2:4:11158737:11161115:1 gene:Csa_4G287530 transcript:KGN54131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVEVIASVTVKPKTKGPTYPYANIILKFASIELDSDQQQKPFIKCVVEPILPDDETEEYKKYVGEVEVPEGYGEIGAVIVELEGDTTKYEKFIDTISITDKKSRNSTTFSCKSWVQSKSVLDQRRVFFSTKKRSKERFYVPRDEEFSEVKQHYFPSSEPDNKDLLGKDSFSDLPHIESMFREGIKAPHAPHKLLTFNLSTIVTPDKPDLHSNSNSSVPSSLLHYPPPESYRRDRYSWLSDTEFARQTLAGLNPYSIQLVTRLPLMSELDPDTYGPQESAFNHTKVQELIGCSIEVNEWMVIPSGNKFLHQALRPQICGYGELPKLMSLLMILVFTNLLSTGTYVIYPYIHGKKKK >KGN54903 pep chromosome:ASM407v2:4:19018415:19019103:-1 gene:Csa_4G593880 transcript:KGN54903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKERRGVTDKAGETKDGKRGDERDGRIGNRNFERRKKRNRDGGEAVKVKVKVKVKVKVKVKVKVKVKVKVKVKVKVKVKVKVKVKVKVKVKVKVKVKVKVKVKVKVKVKVKVKVKVKVKVKVKVKVKVKVKVKVKVKVKVKVKVKVKVKVKVKVKVKVKVKVKVKVKVKVKVKVKVKVKVKVKVKVKVKVKVKVKVKVKVKVKVKVKVKVKVY >KGN55464 pep chromosome:ASM407v2:4:22796317:22798861:-1 gene:Csa_4G652760 transcript:KGN55464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCADVLSSSSALIDMLITAYGTVLLRDALSSFPALIDMLTAYGTVLLRLHCWKSMEEFCFALSSSSPALINMLTAYGTLLRVHCRKMLEELCFASLLLWNDAISTVVMAPLRVSSGENSTPLADDTSITILMFNARFNLPSLHFGSCAAAAYNLRWTEVMPRLPTAGTYKLLGCE >KGN52854 pep chromosome:ASM407v2:4:679476:681794:1 gene:Csa_4G003680 transcript:KGN52854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALCSTRFLFILFILSAIPIAFLISLELANPPSHVYYYHSTGYFRECAKWDDLGRRFLVGFMSGGGVGQVSVPDDYTPETILEEVPVIKDADLQGNASLGIVVDRPRNRLLVVFADLLANKYSGLAAYDLSSWKRQFLTHLSGPNDEKSFADDVAVDSEGNAYVTDAKNNKIWKVGVDGKFLSTINSPLFISKQWYKNLVGLNGIVYHPDGYLLVIHTFSGSLYKIDLVKGEEVKLINVTGGSLMLGDGLELLSPTKLVVAGNPAGRLVESTDGWETASLVSTCSGLKHRLATSATVKNGRVYLSHMIGIGYPKKKHALVEAVFSA >KGN53299 pep chromosome:ASM407v2:4:3601347:3605626:-1 gene:Csa_4G046620 transcript:KGN53299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLGKAIYTVGFWIRETGQALDRLGCRLQGNYYFQEQLSRHRTLMNIFDKAPVVDKDAFVAPSASIIGDVQVGRGSSIWYGCVLRGDVNSISVGSGTNIQDNSLVHVAKSNLSGKVLPTIIGDNVTVGHSAVLHGCTIEDEAFVGMGATLLDGVYVEKHAMVAAGALVRQNTRVPCGEVWGGNPAKFLRKLTEEEMVFISQSAINYSNLSQVHAAENVKSFDEIELEKVLRKKFARRDEDYDSMLGVVRETPPELVLPDNILADKVAKSS >KGN53733 pep chromosome:ASM407v2:4:7267141:7267495:1 gene:Csa_4G113160 transcript:KGN53733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIDMSTNSEIPKGKSAFNSCTMPPVHPRNPDTCMFGRYKLQQVQKLQQNKQEEAFYPY >KGN53954 pep chromosome:ASM407v2:4:9568797:9572570:1 gene:Csa_4G193240 transcript:KGN53954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPFHHHHRRDDDEDGEPPYPPPGHRPPHSDPPPPLVPHIHHHTHYGQTPPPPPPSYVDYQSNFSPEPPVPNYGYSSGPPPPVVHHHSHNPHSPTVIHQHSHQSDSPFSNRPTVKVYAKANPNFSLAIRDGKVVLVPSDPDDPAQHWFKDEKYSTRIKDEEGCPCFALVNKATGQAMKHSIGASHPVQLTRYEPNVVDESVLWTQSKEFGEGYRAIRMVNNVHLNVDAFHGDRYSGGIHDGTHIVLWKWKEGENQLWKIVPY >KGN55397 pep chromosome:ASM407v2:4:22331605:22332434:-1 gene:Csa_4G650160 transcript:KGN55397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLESIKEDPITIYHIGRDCNHLWYFSVSPFEGFHQLLQGVAEVSDVENFRALDTKAASEECI >KGN55455 pep chromosome:ASM407v2:4:22742283:22745900:1 gene:Csa_4G652680 transcript:KGN55455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADETLVADLLLRLKQSQAVLPSKSPLPMSVPFTWGIKQPRSRMSTATATATVPVRCGDVVLKRNNKDVDSTRCSPTTPLSWSGGASPSATLDGFEESSRPATLSQAASRFKGAAGNESAAGNTTKRLRRKKTFAELKEEESILLKEKIHLKMELATLRANLEEQRAKNESLKKMKVDLNFKYTEKFITNSNMMMTTTTMMPEESSSTLTHQRESSSPTLPFTTSGSGSSEAQSQKNLKSTEEDCVFLLPDLNMIPSED >KGN54810 pep chromosome:ASM407v2:4:17685269:17685689:1 gene:Csa_4G507400 transcript:KGN54810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLQFTQQNQACTRTKKMANLSSFRVAIIIVIFAVSMILVPQGTSALKCQDRLYVGGCIQHECGQKCSQLHHGGTSKCISTDPTFTKYACYCFYNCPEN >KGN53123 pep chromosome:ASM407v2:4:2283413:2285478:-1 gene:Csa_4G017110 transcript:KGN53123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFNHSLLLILALIVMASVVSGDESPIKPKVKVVKGKRLCDRGWECTWSTYCCNLTITDYFETYQFENLFAKRNSPVAHAVGFWDFHSFILAAAQFEPLGFGTTGDKKMQMKEIAAFFGHVGSKTSCGDGVVTGGPLAWGLCFNKELSPSQDYCNDYFKLTYPCAPGAQYYGRGALPIYWNYNYGKIGDALKIDLLNHPEYIEQNATIGFQTAIWMWMNPVKKSQPSPHDVFVGNWKPTKNDTLSKRVPGFGATMNILYGDSICGKGDIDPMNNIISHYQYYLDLMGLSRDESGTHDTLTCAEQVPFNPTYVPPASSS >KGN53582 pep chromosome:ASM407v2:4:5643510:5643974:1 gene:Csa_4G083565 transcript:KGN53582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSSISMALLTSLPAAPTSPCISTVCFIDNPNILMGNLNLSLISIAPPWLSVLTHCSLPWLLSVAITKSLMLPISFSRAIMTCPFFTSQTQMLPSSAADIKCFPSFTMTIETTAASCFVDHGISSELVPVRCLFLCLNERLYTKSLEICGISS >KGN53486 pep chromosome:ASM407v2:4:4870033:4871593:1 gene:Csa_4G056740 transcript:KGN53486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLESLSVKNLENEMRWQRNGQDVEVPEVDETQPLVLVVLNVYKLEKGPHFSIMSPAIISNSLFLHINSLCYSIYPPHILLPAAAPLTLPRRANTNIFPPTSTLVATSSITFGSPTSDTFQPQPPRLPGEVQALLEHSDFGLRTLTILEKTNGYNYSRGEA >KGN53681 pep chromosome:ASM407v2:4:6637243:6642467:-1 gene:Csa_4G102300 transcript:KGN53681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCQVSLLKSIPLRLIANKLTFNQLSLARNLEWTNVGRLHCLLPWWEDTTVSFMKSGGYNVISQIKQVKHRALVIAGEDDRIIRFKDAVRLHCELPNAVLRVITDSGHLPHVDSPASVSKLITHFVLHDCC >KGN53110 pep chromosome:ASM407v2:4:2166629:2169870:-1 gene:Csa_4G016490 transcript:KGN53110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVVQIVSREIIKPAPNCRTKLKEYDDHQKTFKVSFMDMLSPECYIPITFFYPNTHYFNNKEETSFRLKLSLSKTLAQFPILATKIKDNLIHHSFDDDDDDDIIGSLFVEANIKMSLDEFLKSPNLNLLNEFLPFPFIPNQVEEPRQIGVQVNFFTCGGIGIGVSLLHTLIDGTTMSSFIKCWAKFCEDQEDYYEGKFCGGDYSLTCSLFPYREVSNGYRLISSETPFVVEKGKKSTTRRFVFDEMAISKLKDKAKSTRVCNPTTVEVATCFIWKYAMKAASGCKLFDPWQSVLVHTVNMRKRITHPPLSENNIGNLFWRSCAYFKSLPNKDINLADLQITVRQSISEVNNNNFFQQAIASQCTTLLSSLQRLHQLYAKSSESYLFTSWRNMGFNGVDFGWGKPLWVAGGGNVFDSITRNLVILMDTVVGNGVEAWVVLDDVTMKLLENDYEFLEFASLNPSIC >KGN54462 pep chromosome:ASM407v2:4:13596575:13600997:-1 gene:Csa_4G334730 transcript:KGN54462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLQSFLAASSLLLLIYFLSFIAADLNSDQEALLDFISSVPHGRKINWDPSTPVCTTWVGVTCTSDLSNVLALRLPAIGLYGPIPANTLGKLDALRTLSLRSNNLNGNLPSDVLSLPSLKFLYLQRNNFSGKVPSSLSPSLTFLDLSFNSLTGNIPKSVQNLTHLTGLNVQNNSLNGSIPDIGHLRLKQLNLSYNKLSGPIPASLQSFPTSSFEGNSLLCGSPLKNCSVGAPLPSPPPASLPPPKKKSEKKINIGAIVAIGLGGAAVLFLLVVLIVVCCMKKKDGESSAAAVKGKGKRTEQPKEDFGSGVQEPEKNRLVFFEGCSYNFDLEDLLRASAEVLGKGSYGTTYKAILEEGVTVVVKRLKEVVAGKKEFDQQMEIVGRMGQHPNVVPLRAYYYSKDEKLLVYDYAIAGSFSALLRGSREGGRAPPDWETRLKVSLGCAKGLAHIHSASGGKFIHGNIKSSNILLTQDLNGCISDFGLTPLMNSPAIPSRSVGYRAPEVIETRKSTQKSDVYSFGVILLEMLTGKAPSQSPGRDDVMDLPRWVQSVVREEWTSEVFDVELMKYQNIEEELVQMLQIAMACVSRVPDMRPTMDDVVRMIEEIRSLDSGTRPSSEDNKAGEGEGEGDDDLNTQTM >KGN52813 pep chromosome:ASM407v2:4:447267:451159:1 gene:Csa_4G001800 transcript:KGN52813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSELIFRGHDETQPAADAYSPKPPKPWLSVTRPIRYMLREQRLVFVLVGIAIATFVFTLFPSSNSPSRVGYDPIPTELARWSNTPSYEHRAGFQVHRVMNSGGKIPLGLKRKGLRIVVTGGAGFVGSHLVDRLIERGDSVIVVDNFFTGRKENVMHHFGNPRFELIRHDVVEPLLLEVDQIYHLACPASPVHYKFNPVKTIKTNVVGTLNMLGLAKRVGARFLLTSTSEVYGDPLQHPQVETYWGNVNPIGVRSCYDEGKRTAETLTMDYHRGADVQVRIARIFNTYGPRMCIDDGRVVSNFVAQALRKEPLTVYGDGKQTRSFQYVSDLVEGLMKLMEGEHIGPFNLGNPGEFTMLELAKVVQETIDPDAKIVYKPNTADDPHKRKPDITKAKDLLGWEPKIPLRKGLPLMVSDFRQRIFGDHKDNSGANVAA >KGN54603 pep chromosome:ASM407v2:4:15079083:15080118:-1 gene:Csa_4G377170 transcript:KGN54603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQQQWQEAKWKRRRQMQGRRMQHHFKEGFHSINPKASIFSRIPCLLTTFQMSGTKSTDVMLEIGLGTGNLTKKLLECGKMVIAVRIDLQTVLEFLFKEMYKTELPYLDICVANIPYQISSPLTFKLLNHQPSFPSAIIMFHRWGDSGQPGDKIHCRLTVDSSVEPMEPRIEVKQKEWDGFLIICSIQENKTFCSIIRQKSAISLLEKKYKTFCALNVLQQDFADNDDGDFDYSRFDDSNEDQTMKSMVMEMTEDQNSLKLYSWA >KGN52804 pep chromosome:ASM407v2:4:390637:397076:1 gene:Csa_4G001710 transcript:KGN52804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSNWLSSPSCSMFESSGEDHALGPIFLWLRFIFLSPCAQRVLLSSVDLLFLVVLLAFALQKLFSRFRSGDRMNSDISKPLIGSNRPLITTTILFKLSLIVSGMLTICYLVISILTFSSSVQSTWRIVNGPFWLVQALTHAVIAILIIHEKRFKASKHPLTLRIYWVINFAIISLFMASAIMRLASTGATAELNLTLDDIISIASFPLSVVLLFVSIKGSTGVLVAIAAKEEFDGQSDLIELASSKLNLSSFASASIVSKAFWLWMNPLLSKGYKTPLQLEEIPALSPQHRAEVMSALFESKWPKPHEKCSHPVRTTLIRCFWKEIAFTASLAIVRTCVMYVGPVLIQRFVDFSGGKRSSPYEGYYLVLILLAAKFFEVLTTHHFNFNSQKTGMLIRCTLITSLYKKGLRLSSSSRQDHGVGQIVNYMAVDTQQLSDMMLQLHAVWLMPLQVTVGLVLLAAYLGFATLVTLLGLIGILIFVVLGSRRNNRFQFNVMKNRDLRMKATNEMLNYMRVIKFQAWEEHFDNRIQAFREMEFGWLTKFLYSMFGNITVMWSAPIVVSTLTFGAALLLGVKLDAGVVFTMTTIFKLLQEPIRTFPQAMISLSQAMVSLGRLDQFMLSKELVEDSVERTEGCHGNIAVVVENGRFSWVDDTNGEIVLHDINLKIKKGELAAVVGTVGSGKSSILASILGEMHKLSGKVHVCGTTAYVAQTSWIQNGTIEENILFGLPMDRERYREVVRICCLVKDLEMMEYGDQTEIGERGINLSGGQKQRIQLARAVYQDCDIYLLDDVFSAVDAHTGSEIFKECVRGALKGKTVILVTHQVDFLHNVDAIFVMKDGTIVQSGKYKELVEGGMEFGALVAAHETSMEIVDSSNPTLEVSSPKPPHSPSQHRVAANGENGHVDQPEAEKGSSKLIKDEERATGSVSLEVYKHYCTVAYGWWGAAVAIFLSLVWQGSLMAGDYWLAYETSAERASTFNPTFFLSVYAGIAVLSVLLVVARSFTFVFIVLKTAQIFFSQILSSILHAPMSFFDTTPSGRILSRASNDQTNIDVFIPFFVTIATAMYITVLSIFIVTCQYAWPTIFLVIPLVYLNVWYRGYYLATARELTRLDSITKAPVIHHFSESIQGVMTIRSFRKQDQFGGENIRRVNNNLRMDFHNNGSNEWLGFRLEFLGSIVFCTSTLFLILLPSSIIKPENVGLTLSYGLSLNAVMFWAIYMSCFIENKMVSVERVKQFSVIPPEAAWRIKDSLTPSSWPYRGNVDIKDLQVRYRPNTPLVLKGLTLSIHGGEKIGVVGRTGSGKSTLVQVLFRLVEPSAGKIVIDGIDISTLGLHDLRSRLGIIPQEPVLFEGTVRSNIDPIGQYSDDEIWKSLDRCQLKEVVASKPEKLDSPVVDNGENWSVGQRQLLCLGRVMLKRSRLLFMDEATASVDSKTDALIQNIIREDFRSCTIISIAHRIPTVMDCDRVLVIDAGKAREFDRPSQLLQRPTLFGALVQEYANRSLEL >KGN54981 pep chromosome:ASM407v2:4:19753678:19754725:1 gene:Csa_4G618460 transcript:KGN54981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQWLNMYNQNNLSNTQLPFHLSHHDHLSSNNNSDVVSDSTVVTTAVSSANTPPASSSGLNPDGTRVAKPVRKRSRASRRTPTTLLNTDAANFRAMVQQFTGGPSNANNNLHNFATFGFPCNSQTLFDPASAAAYQVQDPAQQQSVLQFQNQPPPPLMFSLSNSGGGDAFYQQGGGSRSNNNNNNINYTGGF >KGN53902 pep chromosome:ASM407v2:4:9120267:9126552:-1 gene:Csa_4G187320 transcript:KGN53902 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cationic amino acid transporter MGFLGCSGEETGRGGQSSRVGFKALIRRKQVDSANSSSPSSSGHHQLAKELSVPHLISIGVGATIGAGVYILVGTVAREHSGPALAISFLIAGIAAALSAFCYAELASRCPSAGSAYHYSYICVGEGVAWLIGWALILEYTLGGSAVARGISPNLALLFGGNDRLPSFLARQTLPGLDIVVDPCAAILVLIVTALLCLGIKESIAAQAVVTGANLCAMMFVIVAGSYIGFKTGWVGYELPTGYFPYGVDGMLAGSATVFFAYIGFDAVASTAEEVKNPQRDLPLGIGLSLSLCCALYMMVSIVIVGLVPYYEMDPDTPISSAFAEHGMQWAAYVVSTGAVTALCSTLLGSLLPQPRILMAMSRDGLLPRLFADVNKKTQVPVKSTIVSGIGAASLAFFMDVSDLAGMVSVGTLFAFATVAVSVLILRYIPPNEVPLPSSLHESFDPLSLPIHTSADVVDGQDTEINSTKDSVSRPLLSKVDSSVDIPIIGSYLTRRGYTLNDKNRRKFAGWAILFTCVGALLLTYAATNLRLPSYVRYSVSGTGVFLLLSALVVLSCIDQDDARHSFGYTGGFTCPFVPLLPIVCILINTYLLINLGVGTWTRVSVWLVIGVIVYVVYGRNHSTLRDAIYVSTEHAEKIAEHAEKINNYSREVLV >KGN55292 pep chromosome:ASM407v2:4:21691200:21691466:-1 gene:Csa_4G644690 transcript:KGN55292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINVVKRASGGDGKLLEKDSFEEEMKGDPVIILPLSVSGYILLLVFLRGVIGVYDEPAIWLDGSLIYFSSWFCKLRLPFNIFCTSVRE >KGN53520 pep chromosome:ASM407v2:4:5131711:5136252:-1 gene:Csa_4G064030 transcript:KGN53520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVLSKVAVVGSGISGAACAWSLARNGVSVTLFESARGPGGRMSQRREIAEDGRELHFDHGAPYFTVNSPEVLSLVREWESKKICAEWKEGFDIFDCFSNQFTSTEQERVSGRYVGTPGMNSICKALCHEPGVESKFGVSVGRMEWLEKDNSWLLLGIDGQSLGQFEGIVASDKNIVSPRFTSVTGRVPPLDLSLVPDLAIKLQNIPVIPCFALMLAFEQPLSMIPVKGFFIKNSEVLSWAYCDSSKPGRSTACERWVLHSTKEYAERVIAEYGLQKPSDAMLKKVAEELYQELQSVGLCTPRPFFMKAHRWGSAFPAASIAGEEKCLWDESKRVAICGDFCVSPNIEGAILSGLAAASKFQKIVSYL >KGN54198 pep chromosome:ASM407v2:4:11582107:11583465:-1 gene:Csa_4G293080 transcript:KGN54198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMATCKEGIQWSGNKMEVDKGLKTLECLRGRLLAERQASRSAKEEAELMGEKLLKLENQIKKETELRNKAEKRLKLLIKKLESLNNASTSVTSTASEISTNSSPESQESKSEKLVSTIPKNQCQKILNITTSKPHDEKKPNRNAPYRLNSSPNSESPNNSYIDPQHTSEIPHTKDQSFSVEVKEREHYIDNLAESVPGAAPVANSETGAMKMKEIHERATEVHDALKHIRGNVQSSFERRNHMKAILSM >KGN53292 pep chromosome:ASM407v2:4:3555011:3557519:-1 gene:Csa_4G045070 transcript:KGN53292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFVEPPSGIRSMGKHYYTMWQTLFEVDTKYVPIKPIGRGAYGVVCSSINRETNEKVAIKKIHNVFENRTDAMRTLRELKLLRHIRHENVIALKDVMMPIHRKSFKDVYLVYELMDTDLHQIIKSPQPLSHDHCKYFIYQLLCGLQHLHSANILHRDLKPGNLLVNANCDLKICDFGLARTSMGRDQFMTEYVVTRWYRAPELLLCCDNYGTSIDVWSVGCIFAEILGRQPIFPGTECLNQLNLIITILGSPKEADVEFIDNVKARNYIKSMPFSRGIRLSHLYPQAEPLAIDLLQKMLVFDPTKRITVDEALQHPYMSGLYDPKFNSSVEVPLNLDIDDTLGEPKIREMMLNEMLYYHPEAVSTFS >KGN54322 pep chromosome:ASM407v2:4:12354884:12355315:-1 gene:Csa_4G303700 transcript:KGN54322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLIPSLFGTRSVFDPFLSDIWAQTGAGEVSSFANTQVDWKETPEAHIFKADLPGLKKEEVKVEVEDGGILQISGERAVEKEEKNEKWHRVERGKGKFTRKFRLPQNAKVDEVKAAMENGVLTVTIPKVPEKKPATKSIEIAG >KGN53901 pep chromosome:ASM407v2:4:9116096:9116371:1 gene:Csa_4G187310 transcript:KGN53901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDLQLFFIDYPCGSSCKFWRWKSSRSSRLCSTTLLYPLIFLLALSVYGKTGVVFVVRNGLADPLLHGKCSEYEEDKRNSDYERANLRLSI >KGN53429 pep chromosome:ASM407v2:4:4505690:4507799:1 gene:Csa_4G053280 transcript:KGN53429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYEKMKRRVENICEKGKVEDELLNGEEERMTFNQWTKSFTPQNHPTVIKVYYYLFLLMNFVVLDSSKNKDISGDLLPNLIYVSRQKSVNSHHNFKTGALNTLLRVSATMTNAPIILTLDCDTYSNDPQTPARALCYFLDPKLEKNLGYIQFPQRFRGVSKHDIYGGELKHLFLINPLGMDGLLGPNYVGAGCFFVRRVFFGGPYSYEAPELSQLSPSHVVERPIQSQEVLDLAYLVASCDYENNTKWGLKLGFKYGSLVEDYFTGYRLQLEGWRSVFCNPKRAAFHGDVPITLLSVMNQTKRWGIGLLEVNFSKYNPITYGVRFIGLLMGLSYANYASWPFWSIPVIVYSFLPQLALISATQIFPKVGDAWFVIYILLFLGAYGQNLVDFILAGETFRRWWNDQRMWSIRAGCSLLFGFIEFTLKSLGINSNLGFNVTSKAMDEEQTKRYKQELFEFGVFSPMFVPLTTAAIVNLASFAGGVIRILKSGGAWEHLFAQMLVAGFGVVNCWPVYEAMALRNDGGKLPPELTFFSVSLALLLCSFATFF >KGN54398 pep chromosome:ASM407v2:4:12984262:12985698:1 gene:Csa_4G312800 transcript:KGN54398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHQDDDRQSYVHHHIARKLNFNAPLLTTRRPAANVDLDRLSISGSARISLSTTSDRVPFCWEQAPGKPKEYVERCHAAAHGGDAITPRPTLPPGWWRPPVQTRRNSRHLHCNDGHRCDDNVDVDDDNDENSSGSGYVLSDVMDVFSLSEAIDIVEKAEKARKFSDELNLKELKLYEEEEEEEEGKNNGNYNCSSNFMIERFLPDATALAASSMLNRHAFSPQRVAKRPFSPPKGCGLVVLFPWRIKHHHQLCGVKSPVRDGGRSLGSKSSAKQKKHH >KGN54132 pep chromosome:ASM407v2:4:11161494:11162284:1 gene:Csa_4G287540 transcript:KGN54132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVTVYVLVGGWRKERKPSISKAFKRFQASLKDLEQQIDENNKNNKLKNRHGAGVVPYDVLKPTSTYGITGRGVPYSVST >KGN55233 pep chromosome:ASM407v2:4:21399928:21404626:1 gene:Csa_4G641650 transcript:KGN55233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSQLLSTRTFVILMAASFLFRGHPLLRLGLKRRGQCTGIVQVTCRFCCSATAASTPSDGDLTAGDNATSVVSVSEPPKYLRWDEPDYRKWKNQEEEILGDIEPIIFLTKEILHSHRYADGERLTLEDERTVVDRLLAHHPHAEDKIGCGLESIMVDRHPQFRQSRCFFVIRTDGGWIDFSYQKCLRAYIRNKYPSFAERFIREHFKRGS >KGN53834 pep chromosome:ASM407v2:4:8398107:8398361:1 gene:Csa_4G161820 transcript:KGN53834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGSGHRVNESPAKGKASEATLFFYMWSVNETHTYRDTRDRKRRGENNVRFNKNGGRQIGVDECTMKKMMVNHGEILSEWRRRK >KGN54111 pep chromosome:ASM407v2:4:11058268:11058771:-1 gene:Csa_4G286360 transcript:KGN54111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKGSPNRSKLALGERITHTKPPFTITQIKKSIPSHCFNRSLYRSFSYVIFDSILLSTFYYVATTYFHTLSAHPLLLHYLAWPLYWLSQGIVFTGFWVIAHECGHHAFSDYQFLDDFLGFLLHSSLLIPYFSFKISHRRHHANTASLHRDEVFVPKPKSKIPWYGVN >KGN55282 pep chromosome:ASM407v2:4:21638549:21642796:-1 gene:Csa_4G643610 transcript:KGN55282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVISFRLSLSILLLLLLHALFSADANSHWTVNSLPGFSGELPFSLETGYVGVGDWEEFQLFYYFIKSYSNPKTDPLVLWLTGGPGCSALSGLAFESGPINFEGEVKEGSVPEVVINPYSWTQIYLLAPVFRMLKLPKIINQEIANKFNIVFNFWKRCNTFFFFLLFLIVSNKKFISNLFYIAGNSYAGMIVPIVALTILEGTYKHIFSSINFESLETSCQGEYVNIDPNNVECLKHYDTYRKCTSGVGIGCILWPTCPSLKEPGKMSNRRSLNSISVNRKCRQYDAILAYRWANHDQVQKALHIHEGSIEEWIRCRKNEYYNYELTSVFSYHVNLSSKGYRSLIYSGDHDMQVSHMETRAWIKALNYSIVDDWRPWFMEDEVGGYTRSFANNMAFVTVKGGGHTPEYAREESSIVFKRWIIRESL >KGN55025 pep chromosome:ASM407v2:4:20010690:20014568:-1 gene:Csa_4G622840 transcript:KGN55025 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium-bile acid cotransporter MQSLPSDTCVLRPYRYSLSTFRPRTSLVTSSSSSFNIPLNSSLSSFSLVGLRRESPLLLFGDPIWNGNPFVCSQTKRRDFGLLVPPLRCEPSSNGNSVQGERSLMQWIELIGEALSTAFPVWVALGCVLGLLRPASYAWVQPRWTVLGITLTMLGMGMTLTLDDLRGALAMPKELISGFVLQYSVMPISGFLVSKLLNLPSYYAAGLILVGCCPGGTASNIVTYIARGNVALSVLMTATSTMAAVIMTPFLTAKLAGQFVAVDAAGLLMSTLQIVLLPVLGGAFLNQYFHGLVRFVSPFMPPIAVGTVAILCGHAIAQSSSAIRMSGQQVVLAAALLHASGFFFGYVLARLLGIDIASSRTISIEVGMQNSVLGVVLASQHFGNPLTAVPCAVSSVCHSIFGSVLAGIWRQSKD >KGN55496 pep chromosome:ASM407v2:4:22960293:22962667:1 gene:Csa_4G658560 transcript:KGN55496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSLGIPVKLLHEAAGHVVSVELKSGELYRGSMIECEDNWNCQLENITYTAKDGKVSQLEHVFIRGSKVSFMVIPDMLKNAPMFKRLDARIKGKGASLGVGRGRAVAMRAKAQAAGRGSAPGRGAPPSGRR >KGN53180 pep chromosome:ASM407v2:4:2695353:2698868:1 gene:Csa_4G025100 transcript:KGN53180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKYLALPFSSSSSSSSSTLPSLLLPISSSLSKPTPNFSKPFVQSNFSIKPISPFPKTHRPISSKPPSMNLLNKLGFGSKPSPEMSAIAQGPDDDIPAPGQQFAQFGAGCFWGVELGFQRVPGVTKTEVGYTQGHVHNPTYEDVCTGQTYHSEVVRVQYDPKECSYESLLDAFWARHDPTTVNRQGNDVGTQYRSGIYFYTPEQEKAARESMERHQKTLNRKIVTEILPAKKFYRAEEYHQQYLEKGGRFGFKQSASKGCNDPIRCYG >KGN55570 pep chromosome:ASM407v2:4:23361135:23368180:1 gene:Csa_4G669220 transcript:KGN55570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAPLSSSLHQFPIRSLTRNIVRNMSAVQSPKDLKFISVEGADIYSRSKSDGIRFRFVSYNILAQVYVKSSFFPHSPSSCLKFVLLLSLSIDIVKCTCMMKLYLFSEMRVMAECQEVDEYDSFYKGNLERCGYSSLYIQRSGQKRDGCGIFFKHEKAELIVEDRIEYNDLVGSVQDDSGSCEDKSVDVVTSASNDVESNKGSSPKTTVADRGDPNDPRVRLKRDCVGIMAAFKLEQPFHHVVIVANTHLYWDPEWADVKLAQAKYLLSRLARFKSLVAEKFECTPSVLLAGDFNSTPGDKVYEYLVSGNSSSGFSPECLDQELPLPLSSVYDNILGSEPSFTNFTPGFTGTLDYIFFSPSDFIRPISFLELPESEWPEIIGGLPNHSYPSDHLPIAAEFEITME >KGN53588 pep chromosome:ASM407v2:4:5683624:5697459:1 gene:Csa_4G083620 transcript:KGN53588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFPGSLLIRILAFFLPWILLFQAFGSDAQLLPESEVKTLQTISSKLENLSWNVTRSSCIRGEGFSNQAFQGNQILRNISCNCTSTLCHVTIVLLKGLNLTGTFPAEFGNLTHLQELDLTRNHINGQLPSSLANAPLVKLSLLGNRLNGSIPKEIGEIGTLEELILEDNQLTGSLPASLGNLNSLRRLLLSANNFTGKIPDSFGKLTNLVDFRVDGNGLSGKIPEFIGNWINLDRLDMQGTSMENPIPSTISQLKNLTQLRISDLKGSFISFPNLTDMINMKELVLRNCLINGSIPEYIGEMNKLSTLDLSFNHLNGDIPETFQNLMKRKIDFMFLTNNSLSGEVPGWILSSKKNIDLSYNNFSGSTLASCQQSPVNLIASYPPATNHQVPWCLKKDLPCSGKAEYHSLFINCGGTRVTADGHDYEEDLTTEGKSNFFSVSEKWAYSSTGVFLGDENADYLATNKFGLNVSGPRYYQNARLSPLSLKYYGLCLRSGSYNVKLHFAEIMYSNDQTFSSLGKRIFDISIQGKLVKKDFNIVDAAGGVGKNFTVEDKNVLVNGSTLEINLYWAGKGTTAVPDRGVYGPLISAITVTPNFKINEGGLSSGALAGIIVSSFLVVIILVLVFLWMTGYICKKEDLANELSGIDLQTGHFTLKQIKAATNNFDPKSKIGEGGFGPVYKGVLSDGALIAVKQLSSKSKQGSREFVTEIGMISALQHPNLVKLYGCCVEGNQLLLVYEYMENNSLARALFGREEQRLHLDWRTRKKICLEIARGLAYLHEESRLKIVHRDIKATNVLLDKDLNAKISDFGLAKLDEEENTHISTRIAGTMPKEEFVYLLDWAYVLEEQGNLLELADPDLGSNYSSEEAMRMLNVALLCTNPSPTLRPTMSSVVSMLEGKIAVQAPIIKRTSSGQDPRFRAFEKLSHDSRSQISSSTVSLDAEPQKSMLMDGPCPDSSVTIDTNGNNHYHSASSDPLENHRRVDNLKDSAN >KGN54025 pep chromosome:ASM407v2:4:10600540:10603636:1 gene:Csa_4G268090 transcript:KGN54025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREIISIHIGQAGIQVGNSCWELYCLEHGIQPDGMMPSDTSEGVAHDAFNTFFSETGSGKHVPRAIFVDLEPTVIDEVRTGAYRQLFHPEQLISGKEDAANNFARGHYTVGKEIVDLCLDRVRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTIYPSPQVSTAVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIDRPTYTNLNRLISQIISSLTTSLRFDGAINVDITEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVPEITNAVFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTVQFVDWCPTGFKCGINYQPPTVVPGGDLALVQRAVCMISNNTAVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEGADDDDDHEDY >KGN54736 pep chromosome:ASM407v2:4:16637027:16637253:-1 gene:Csa_4G438540 transcript:KGN54736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLSVISQRKVRMMSSHHAPYALGDTRVIMAGTKSCDPAKAATRLHEVGIASKMPMLLAT >KGN53610 pep chromosome:ASM407v2:4:5865420:5875042:-1 gene:Csa_4G090290 transcript:KGN53610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLFILAKTLFDIHIQPADGLAPVWDKDVRFYSVNNSSGSPIAYFYFDPYTRPSEKRGGAWMDEVVSRSCVLAQDGTPARLPIAHMVCNQTPPVGEKPSLMTFREVETVFHEFGHALQHMLTKQDEGLVAGIREIEWDAVELPSQFMENWCYHRDTLMGIAKHYETGESLPEEVYLKLLAARTFRAGSLSLRQLRFASVDLELHTKYVPGEPELIFAVDQRVCKQTQVIPPLADDKFLCSFSHIFAGGYAAGYYSYKWAEVLSADAFSAFEDAGLNDNEAVKETGRRFRETVLALGGGKAPLEVFVEFRGREPSPEPLLRHNGLLAGLATA >KGN54539 pep chromosome:ASM407v2:4:14523954:14527317:-1 gene:Csa_4G358770 transcript:KGN54539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHEYCSPSTPLVDILDKYHKQSGKRLWDAKHENLSNEMDRVKKENDNMQIELRHLRGEDITSLNYKELMALEEALENGLTGVREKQSEFMKMMRTNYQKEMVAMGDSVREMDIGYNQRMRDFNSQMPFAFRVQPIQPNLQERE >KGN53806 pep chromosome:ASM407v2:4:8006006:8007631:1 gene:Csa_4G132685 transcript:KGN53806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNVLFLVATYVIGKEKILLQIASRCNRKIMVDAKKMTVLRVLGYGESGVFTEHESESDVHVVGWNVLGQTWPFFRPNFVRMKDIMVEKGYSKVVGFVPTGWTYEFKHNKFSVRSKDSLEIHLVPYSEHSNYNELSEYVKFLRPKRVVPTVGLDVDKLDSKHADKIKKHFAGLVDEMANRKEFLKGFQRGSGEMSDDVDENATKAETEFLEFKEKEISSCMKISVKTEASSSGMGLSSLQEVGSTDLFESNDGEQQKIIQELRECLPAWVTQDQILDLISSSGRNVVDAVSNFYERETEFYEHISTLTDICPKYPSKVSDSPFIFPATDNKKVVVLGEIDISSGHASKSSSPRGTAKTKTSPAKRKRNIQDKPKNKSRKNLILQSDGSKQSTITKFFRKTPHFSDCPKMESIEEQNCQDQSSPNGITEYVNKIDKFIQIINGDESLKTLVASLLVKTNGDMNMALDLYYTNHQERLGQNEKGHIFSANPIQTESNSDECLSTQKKNMLENIGLETDLSKKINETFVSLPPEDYDPVKHGSY >KGN53596 pep chromosome:ASM407v2:4:5741881:5744787:1 gene:Csa_4G083700 transcript:KGN53596 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malate dehydrogenase, mitochondrial MKASILRSVRSAVSRSSSSNHLLTRTFATESVPERKVAVLGAAGGIGQPLALLMKLNPLVSKLALYDIGATPGVAADVGHVNTRSEVTGYMGEEQLGKALEGSDVVIIPAGVPRKPGMTRDDLFNINAGIVKSLCTAIAKYCPNALVNMISNPVNSTVPIAAEVFKKAGTYDEKKLFGVTTLDVVRAKTFYAGKANVPVAEVNVPVVGGHAGITILPLFSQATPKANLTDDTIVALTKRTQDGGTEVVEAKAGKGSATLSMAYAGALFADACLKGLNGVPDVVECSFVQSTVTELPFFASKVKLGKNGVESVLDLGPLSDFEKEGLEKLMPELKASIEKGIQFANAN >KGN54441 pep chromosome:ASM407v2:4:13359507:13361209:-1 gene:Csa_4G331590 transcript:KGN54441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAFHDDTPPPGLPRADSLPEGILFGKYELGKLLGCGAFAKVYHGRNVLTGQSVAIKAVNKLKVLKGGFTAHVKREIAIMRRLRHPNVVRLFEVLATKTKIYFVMEYAKGGELFGKVSKGRFSENLSRRYFQQLITAVGYCHSRGIFHRDLKPENLLLDDNFDLRVSDFGLSALADQIRPDGLLHTLCGTPAYVAPEILAKKGYDGAKIDVWSCGIILYVLTAGYLPFNDSNLMAMYRKIYRGDFRCPKWTSPDLQRFISRLLDTNPESRITVDEIQRDPWFVKGFQEEKFQFQELDDSASDVYGDVNNAKCLNAFDLISFSPGFDLTGLIKEETEFSSCVERFLSAESPEKIIVTVEEVTKSENAAVEKNGWGMKVEGLDGGFVVVIDIFRLTEELVVVEIKNRVREIEQDRQIWKDVLRPQLECLIYRSD >KGN53470 pep chromosome:ASM407v2:4:4775662:4778000:-1 gene:Csa_4G056610 transcript:KGN53470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGKDKHDESDKGLFSHLAHGVAHGAGYPSHGYPPPGGYPPPGGYPPPGGYPPPGGYPPSHGYPPQGYPPAGYPPGAHPPGAYPGPSAPHHSGHGVAGMLAGGAAAAAAAYGAHHVAHSAGHHYPHGVAHYGHGHGHGGKFKHHGKFKHGKFGKHKHGKHGMFGGGKFKKWK >KGN52999 pep chromosome:ASM407v2:4:1579387:1582072:-1 gene:Csa_4G010980 transcript:KGN52999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLDFTSWSSTIRNLCLNAKHQEVLSVFVHKFQCSSGFKPDNHIFAAIFKSCAALFAINIGKALQGYAVKQGEIACQSVYKGLLNLYARCGAFDECWKLFEQLNHRDVVTWNIILSGYCRSQIHDTKAIRLFVKMHAEGEVKPSAITIASILPVCSRVGKGVVGKSIHSFVMKSGLDRDTLVGNALISMYAKSGQPWYDAYAAFNSIIHKDVVTWNTIISALAEKNLMFDALQLFSLMLEEPIEPNYITIACILPVCASFGNNVSCRFGKEIHGYIHRRTELIEDISVCNALMNLYLRVGQMEEAEILFSHLKQRDLVSWNTLISGYSLNDKWLEAVDHFCKLLCLGSDPDSVTLISVLPACAYSQNLRIGKMIHGYILRHPVLSEDSTVGNALVSFYTKCNDVKSAFHSFSLISSKDLISWNSVLNAFAEFGNTTQFPRLLHLMLRERFKPDHFTILSIINFCITVLGGCKVKEVHCYSVRACLFEADYGPTILNALLDAYSKCGIIDYALKIFESSSGKRNLVTCNSMISCYVNCKSPNDALTIFSGMSETDLTTWNLMIRVYAENNCPRDALGLFRRLQIKGMKPDAVSIMSLLPVCNELASFRLLKECHGYSFRSRFEDVYLDGALLDAYAKCGAVDCAYKLFESSSQKDLVMFTSMISGYAIHGMGEEALKVFTNMLESGVKPDHVVVTSILSACSHTGLVDQGLNIFHSMEEVIHIKPTMEHYACVVDLLARGGRIKDAYSFVIGMPIQPDANIWGTLLGACKTHHEVELGLVVAEQLFETKADDIGNYVVMSNLYAADAKWDGVLEVRKLMKEKELKKPPGCSWIEVEGEKNFFLAGDSLHPQRNMIYNLLNTLHQQIKRTVDIT >KGN53302 pep chromosome:ASM407v2:4:3624324:3626040:1 gene:Csa_4G046650 transcript:KGN53302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMHHYGGGGGGSASSFSVFLSVPNHGAADMYSSSTNYASSSSSPSSVDCTLSLGTPSTRSSEFDGDKRAAAAAARNHHRRSAGSYVSNFCWDLLHPKHKTSGRGGGGGGASNNNINAAVSNGGDPLLARRCANCDTTSTPLWRNGPRGPKSLCNACGIRFKKEERRAAAATVNSSVAESNHHHHHHHHPMFNGSYTNSNTWVPQQLPATTQKHPCLSAAIGNEDVGPENGISFLSWRLNVANTDHRPTLVHDFTR >KGN54163 pep chromosome:ASM407v2:4:11315812:11317448:-1 gene:Csa_4G290790 transcript:KGN54163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSHPSSSPPELDLHTLRPIKVLGKGAMGTVFLVHDRISDESAHFPFALKVVEKSSFASKVDAERRARWEIQVLSRLSFPKPPHPFLPDIVGSFESDEFLGWAVPYCPGGDLNVLRYRQLDRVFSPAVIRFYLAEIVCALDHLHSMGIVYRDLKPENVLIQQSGHVTLTDFDLSRTLTRRTVKDVVSLENTGHEKPPIAVRRKPGRNLTRWIMATSNVKNGLKKAKSARVSPVSRRKSSFTNGERSNSFVGTEEYVSPEVVKGDGHEFAVDWWALGILTYEMLYGTTPFKGKNRKETFRNVLVKAPELIGKRSDLTDLIERLLVKDPTKRLGYAGGACEIKEHAFFNGVKWDLLTEVTRPPFLPQREETEWTGNVQLGCVSITEYFHKERSPPSFPASPSSTTPSTSFSWENNKMSLTEF >KGN53795 pep chromosome:ASM407v2:4:7888916:7889277:1 gene:Csa_4G129610 transcript:KGN53795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKRFEGRLAAWLGGGYNLLSVEKEKDRLGWLDKKNVWDAQLMKETTSIYNERQRLATVVESMRHGSSGRGWKLMTTISEKQNRQR >KGN54351 pep chromosome:ASM407v2:4:12540576:12550765:1 gene:Csa_4G307930 transcript:KGN54351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVSMDLNASPVPEDDEETFERHEEVYIAPEEHIVTGVSIARREREERKRRLKRDRSLERPVHEYQQPARDQFYPSKNQKSDRNRLPPGWLDCPAFGQEICWMIPSKVPLGESFNDCIAPGKRYSFKQVIHQQRVWGRKLGLVIDLTNSYRYYTTSDLNKEGIKYVKIPCKGRDSVPDNKSVNTFVYEVIQFISRQKQQSKKYILVHCTHGHNRTGYMIINYLVRALSISVTQALKMFSDARPPGIYKPDYVDALYTFYHERKPDAVVCPSTPEWKRSSDLDLNGEAVPDDDDDGGPAALLNENHDDGAQVMTNDDVLGDEIPEDQERGLKQFCYQMLKLNPGARANLQFPGSHPVSLNRDNLQLLRQRYYYATWKADGTRYMMLITMDGCYLIDRGFKFRRVQMRFPYRNANDGQVEKIHHYTLLDGEMIIDTMPDSQKQERRYLIYDMMATNYVSVIERPFYERWKMLEKEVIEPRNFERQNIYQSRNPHYRYDLEPFRVRRKDFWLLSTVTKLLKEFIPRLSHDADGLIFQGWDDAYVPRTHEGLLKWKYPEMNSVDFLFELGEDDSQVLILFERGKRKTMEGNRVKFKDGDPSFYSGKIVECSWDSDEQVWVCMRIRTDKTTPNDFNTYKKVMRSIRDNITEEDLLKEIHEIIRLPMYADRIRNDSKAAQHTNSTRRR >KGN54515 pep chromosome:ASM407v2:4:14306643:14309497:-1 gene:Csa_4G350590 transcript:KGN54515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGIVLSGGLISFIFSRNPSAFSSLVSGGALLVLSTLSLKIWRQGKSSFPFILGQAVFTASFFWNSYQTYSLTKNVFPTAIYAALSAAMLCFYLYVVISGGNPPPKKLKPSPSAA >KGN54317 pep chromosome:ASM407v2:4:12338557:12340114:1 gene:Csa_4G303160 transcript:KGN54317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEEEVKVFGFRSGSYSRRVELAFKLKGVDYEHIDEDLLNNNKSDLLLKYNPIYQKVPVLVHNGKPISESRVILEYIDETWTQNYAILPTDPYERALARFWAKYIDEKVVPAIEKAFRSNEEEREKRIEEAQEMLEPLEKELQNKLFFGGDKIGFVDIVGLVIPGWMHASQEAAGFEVLTIHKFPNLNRWIQDYLNHSVAKEVLPQKDFLVAFLSKVVFGRNN >KGN54764 pep chromosome:ASM407v2:4:16955054:16955561:1 gene:Csa_4G457180 transcript:KGN54764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKYEIIKDLGAGSFGVTKLCKHKQTKELVAVKFIQRGPTIDANVEREILNHRSLRHPNVVRFKEVLLTTTHLALAMEYASGGELFQRV >KGN55533 pep chromosome:ASM407v2:4:23130435:23132785:-1 gene:Csa_4G664400 transcript:KGN55533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTMEQILSELNGEELNEQGLPPGFRFHPTDEELITFYLASKVFKGSFCGVEIAEVDLNRCEPWELPDVAKMGEREWYFYSLRDRKYPTGLRTNRATGAGYWKATGKDREVYSASNGSLLGMKKTLVFYKGRAPRGEKTKWVMHEYRLDGDFSYRHACKEEWVICRIFHKNGEKKNSMFQCQTYLLESALSSSTTSNSLPPLLETNPTTTLVECQSQAAMQLQLQAFQNPFQIRQPPENDLKRFMSSVMSQSNLLSSSEQLHKSSISINPIITNAANNNNNMLYAPSPSILFKSLLSHQDSTVLLKQQQQQHQQQQFNKAADSSSFTTHNFDPAEAEANSNLMDIKKMMVPNASPCSYHQHPLFFETECNSPPPLPAHVSLHDTSTSISFNRPAYQTLLDPHINILHAHGDSWPLDA >KGN52794 pep chromosome:ASM407v2:4:336665:340138:-1 gene:Csa_4G001610 transcript:KGN52794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSALLLVLFLFAVWFPAIDRLTAASTTAVKVGNVSKVEDAVNFRIYYGQSFKVIKNSIDGKSYLLIQNTSKMAGRTKYCTSRIKSYVIPLSNYSLDTDLFPVSFFELLGLLGSLKGITSESVTSECVLKQYEKGEIQIINKTETQQLAQFAAHFIADVDQPQSCNFATFLPSSEDTPLQKAEWIKFLGAFANVEPRANQIYTAIKENYMCLKNIATTRKTFKPIVAWMGYYDGIWSFTKDAYKLKYIEDAGGENVDDSINKITYNVSNPDDLDAFHGILCTVEVIIDETFTSDPTAYNLSTFLQLINIQDQSCLSFLSTQSIWRFDKRFHNSNAFDWFDGAISQPQLVLADIIEVLFPTGNFTTTYFRNLAKEGVTNIGSEMCERDISSALEPTIIACG >KGN53811 pep chromosome:ASM407v2:4:8092030:8092619:1 gene:Csa_4G135200 transcript:KGN53811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRLSITSLCVLVAVVEMMALLTGARSVDAVECIPQELTPCIDAIKSSSVVPSSICCMKMEEQAPCLCQYAKIPSFKPLIAGGQRVAAVCGVTLPVC >KGN54287 pep chromosome:ASM407v2:4:12157290:12157952:-1 gene:Csa_4G296890 transcript:KGN54287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLPKSVNHHPPTPTPMNYFPDTGYPHELSSFLHGHKLTHMIRNPLIPSRTHRVCDVCRESIHDNFYHCKDCHFHVHSYCTRLPNNLRHIIDPDHKLCLYKLSYGHCSICKEDCSSLWVYGCTVCEVNIHLNCLRKSTVQQTSGSRGMHHHYAPPPWGTWPPPQQQFHGWGYPNPYNNNHHGNSPSSSFGSLFGSTMFSLVESLGIGALTDFIFGSISF >KGN55264 pep chromosome:ASM407v2:4:21536831:21537227:-1 gene:Csa_4G642450 transcript:KGN55264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKFLGVVILPPAIPLGLAKYDFATYPKKKRKKDTTFVWTLSASKERSGFGAKQLEAKPPRPEYSQKRNKLPMSCAIKQEEKENSKINCGVRVKLKRKKAGNGKEATS >KGN53710 pep chromosome:ASM407v2:4:6943327:6949440:-1 gene:Csa_4G107510 transcript:KGN53710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFLVHSKVGKEMDVGFPCGRGEIQIRFWELFMIYEHGVIVDDFQETDDLKAHDLKAHSVVYVGIDNSLAGCIYYEDGIREDARHVVDTLSRQGINTYILSGDKRSNAEYIASLVGIPKEKVRSGVKPHEKKKFISELQENNNIVAMVGDGINDAAALATADIGIAMGGGVGAASEVSPIVLMGNRLSQSAARIYF >KGN53385 pep chromosome:ASM407v2:4:4179353:4180509:-1 gene:Csa_4G051370 transcript:KGN53385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKTIMNYCYWLVMMMMIMGSCVDAFTHIVGGSHGWRVPENDSFFDQWAKPRTFGVGDRLVFPYRAGANNLVTVKKADYDTCGEEEVIYMYFLGPTVVNLTKAGDYYYFDGIGKHCEAGQKLHIQVGTKEGSSGSDPLPFNLETFGIHTNLGPALSPQGQMDAESVSQAQSPSGTPAHPSNAFLLLPTPMLLALIIPTLFSIFLSFPFPYIK >KGN54709 pep chromosome:ASM407v2:4:16258686:16260782:-1 gene:Csa_4G431890 transcript:KGN54709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEMQQLREKLAVAERTAKAEAQMKEKYQLRFKVLEEKLRSSNGNPKLALEVRGISTGVSRRQSLGGAELTRQTSNGYLSRRHTNSHTGSLQSNSASALLKTTKISSRSFDGGSRSLERDKLVQDVVVKNNSTKIVSGEIQFSETTAPYEENEDGIGIEKTKTEQEDLVSGALYDMLQKEVITLRKACNEKDVTLKDKDDAIEMLARKVDTLNKAMEVEAKKMRREVASMEKELAALRVSRESDQRPRRQSAPRGAVVGSQSLPVRNVRNR >KGN53313 pep chromosome:ASM407v2:4:3665520:3665882:1 gene:Csa_4G046760 transcript:KGN53313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLRCRTSPSSGGAYLEVGFYLFQLKEHRDGEGLDDSQFLRDENCPECRLRKMGKATSMTTERRLAISTDWKMESDDSEIYGDNQKLLVALIL >KGN54941 pep chromosome:ASM407v2:4:19413893:19423276:-1 gene:Csa_4G608170 transcript:KGN54941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVTSKLMAIVVDQEDDDQVILPGFRFHPTDEELVWFYLRRKVEKKPIAIEIIKQIDIYKYDPWDLPKVSNVGDKEWYFFCKRGRKYRNSIRPNRVTGSGFWKATGIDKPIFSTLKLSSNDHNANANIIGLKKSLVYYRGSAGKGTKTDWMMHEFRLPFNNNNIIPHQHDHNNAEVWTLCRILKRIPSFKKYIPTLHNNNNDNQTYPKPQNPNNSNIIFTSKPKTNFQSQPYSTGHHHLIHLETKPKPFEQLTNPNLTLPSCASSFESSPICLSSFWNGVEGEGGGDDDNFATNGGWDELRPVVQFASNNSKVVENKARKKPKAVHGVGTPKLLRHGTHTTRPRASLHRAYLATSSFQSNTRPDPDNICPTCQITEFSNICQS >KGN54367 pep chromosome:ASM407v2:4:12688308:12688685:1 gene:Csa_4G308570 transcript:KGN54367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTKPRSAELVRYFKNSAIPPSKHNSTRFPKPLLNNISSFHSHFPHPISTPTLPPATHDSDLSFGESID >KGN52937 pep chromosome:ASM407v2:4:1127712:1129742:1 gene:Csa_4G006440 transcript:KGN52937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATATATGVLKIGVPAPAPAPAPPPLKAKSGRHPTNFASLTMRYPNYFLNTRMKFPAVRALGQGGNPSGDDDNDAGGFINQEDVEFLLKLGAGSIAGGFGIKYGSIIFPEITKPNIVQALIMISTPVVVAIWLLIKQSREETQS >KGN53310 pep chromosome:ASM407v2:4:3656465:3659882:1 gene:Csa_4G046730 transcript:KGN53310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNGIGGGELCSCSVNIFRFNSLALVFFHARAISPAHLLLRSSSLFFATSPPSSPFPSPSLFFSPAASLQGHCPGSTSTYNMSRPMEEDTSKNEEEEFNTGPLSVLMMSVKNNTQVLINCRNNKKLLGRVRAFDRHCNMVLENVREMWTEVPKTGKGKKKAQPVNKDRFISKMFLRGDSVIIVLRNPK >KGN54012 pep chromosome:ASM407v2:4:10515334:10517549:1 gene:Csa_4G267470 transcript:KGN54012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMMFTVLLCLSAATMSMVRGEDPYFFFTWNITYGTISPLGVPQQGILINGQFPGPNINSTSNNNIVINVFNNLNEPFLLHWSGIQHRKNSWQDGLLGTNCPILPGTNFTYHFQVKDQIGSFFYYPPIAMHRAAGGFGGLRVNSRLLIPVPYADPEDDYTVLIGDWYTKSHTTLKQILDNGHRSIARPEGVLINGKTAKGDGTDEPLFTMKPEKTYKYRVCNVGLKTSLNFRFQGHTMKLVEMEGSHTVQNDYQSLDVHVGQCFSVLVTANQEPKDYYMVASTRFIKNVLIGKGIIRYTNGKGPASPEIPKAPMGWAWSLNQFRTFRWNLTASAARPNPQGSYHYGSINITRTIKLFNSATKVDGKLRYAINGVSHVDPETPLKLAEYFEIAEKVFKYDMISDEGPTEGATAVTIAPNVVNATFRNFIEIIFENHEKSLQSWHLDGYSFFAVADEYNIPDHTLLCGLVKGMPLPKPYTI >KGN52762 pep chromosome:ASM407v2:4:185908:186618:1 gene:Csa_4G000820 transcript:KGN52762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRMDKNIGLAVATMMVMMLLIATVVESASPTEKITMIELVTNHHAASSFPRKMMRNGKGNGVCPIIGRPCNPEYGGCGPFSDTCACYTAGHCGDFVG >KGN53719 pep chromosome:ASM407v2:4:7089933:7094257:-1 gene:Csa_4G110060 transcript:KGN53719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHDDVDMIGSDANDAVELDDMKKRLKDMEDEAAALREMQAKVEKEMSSVQDPASAAASQANREEVDSRSVFVGNVDYSCTPEEVQQHFQSCGTVNRITIRTDKFGQPKGYAYVEFVEPEAVQEALLLNESELHGRQLKVTAKRTNIPGMKQYRPRRSNPFMGARSRSPYVAPYFFSPYGYGKVPRFRMPTRYGPYY >KGN53354 pep chromosome:ASM407v2:4:3938870:3943537:-1 gene:Csa_4G049620 transcript:KGN53354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSAPLFASPAAATTSHQSLSLSLYPPSFPTTKFTPLSSFSSSSSLPSFRVNSAASHSVSSTTAIKSDSPSKTLPFRVGHGFDLHRLEPGYPLIIGGISIPHDRGCEAHSDGDVLLHCVVDAILGALGLPDIGQIFPDSDPKWKGAASSVFIKEAVRLMHEAGYDIGNLDATLILQRPKLSPHKEAIRAKLSELLGADPSVVNLKAKTHEKVDSLGENRSIAAHTVVLLMRR >KGN54944 pep chromosome:ASM407v2:4:19454437:19459299:-1 gene:Csa_4G614180 transcript:KGN54944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKSQAGELLKYLVLSDPVVPYISIPAGVLASKLVYDLTDMISSVYFKSYSNLSRIQRVEWNNRAMSTVHAIFITAAALYMVFLSDLYSDQYFGPITFRNSTISTFGLGVSVGYFITDLVMILWFYPAIGGMEYVIHHLLSLLAVAYAMLTGEGQLYTYMVLISETTTPGINLRWYLDTAGMKKSKAYLINGIVIFFAWLVLQMHVFGQFLVFVVPSILWIMNLTWFSKIFRGLKKTLAKRQ >KGN54973 pep chromosome:ASM407v2:4:19680805:19686757:-1 gene:Csa_4G617400 transcript:KGN54973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDNYSNVSVSSSSSLNSSFQDTEDDHTIARILAEEENLKFDNKLGKRLSHLDSIPHTPRVNGEIPNVNDATLDHERLSGRLVTYGLAELQMEGDGNCQFRALADQLFRNPDYHKHVRKAVIKQLKKFRKLYEGYVPMKYKTYLKKMKKSGEWGDHVTLQAAADRFGAKICLVTSFRDTCYIEILPKDNSPHKELWLSFWCEVHYNSLYASGDLPTRTPRRKHWLSIF >KGN53637 pep chromosome:ASM407v2:4:6167520:6170155:1 gene:Csa_4G094000 transcript:KGN53637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKICCIGAGYVGGPTMAVIALKCPSIEVAVVDISVSRINAWNSEQLPIYEPGLDGVVKECRGRNLFFSTDVEKHVSEADIVFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVSKSDKIVVEKSTVPVKTAEAIEKILTHNSKGIKFQILSNPEFLAEGTAIKDLFNPDRVLIGGRETPEGQKAISTLKAVYAHWVPEDRILTTNLWSAELSKLAANAFLAQRISSVNAISALCEATGANVSQVAYSVGTDSRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLPEVAEYWKQVIKINDYQKNRFVNRVVASMFNTVSNKKIAVLGFAFKKDTGDTRETPAIDVCKGLLGDKARLSIYDPQVSEDQIQRDLTLSKFEWDHPTHLQPMSPTTVKQVSVVWDAYEATKEAHAVCILTEWDEFKTLDYQRIYDNMQKPAFIFDGRNVVDVGKLRDIGFIVFSIGKPLDPWLKDMPAVA >KGN54604 pep chromosome:ASM407v2:4:15084406:15088827:-1 gene:Csa_4G377180 transcript:KGN54604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSEPTLVPEWLRSSGSLSGSGIAQQFASSSSHSDISSQGHYSRSRTSKSISDIDKPHFDFLDWSSSSSTRRSSSNGSGKNAYSNFNRNHRDRDREKEKDMSNHGDSWGYDFSSPLVNVFSSRAEKETLRRSHSMVSRKQGDLFPQRVAVDLKSGGYNHKANSNGFHLGSTINGITDKAVFDKDFPSLGSEERQGGPDVGRVSSPGLTTCVQSLPIGSSTLIGREGWTSALAEVPTTVTGSPAAPSSIQQTANSGLGSPNATTPRKMAEALTQAPTRGRVTSQSTELSVKTQRLEELAIKQSRQLIPVTPSMPKVSVLSTFEKSKSKGASRTAEMNVPGKGGQQQLSMMQHNSQPLRGGQVKSDSPKTTHGKFLVLKPVWENGVLKDGSNPINNVNSRTANSQPSSVASSATSNTSRNQNNLTPSSSLERKVAALDLKSGSTLERRPPSAQSQSRSDFFNLIKKKTLVNGSTCLQDSGICTSPIKEKSGIANGEVVSAAVHPSAVTDDEVASNGDTSEEVQRFSEVVNKSLSPNKALCTDEEEAAFLRSLGWEENSGEDEGLTEEEINAFYQQYMNLKPSLKPIRCKLPESSSAV >KGN52944 pep chromosome:ASM407v2:4:1164480:1165411:-1 gene:Csa_4G006510 transcript:KGN52944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFPIPEPSPSETSTIISASESSPPCPKGDRTTDQINPNSHMGLDLTLSNNDSDHGSNPELNLIDCFDANLATEPTDTETEPRVFSCNYCQRKFYSSQALGGHQNAHKRERTLAKRTQRVGSGSNFGLAHRYSSLASLPLHGSFNRSLGIQAHSMVHKPSLHVSPIGSSGIYGHSGWSRQPLDQHPAIGRLMQGNSHVGSHRGISSTTGAARFEGVKRFSPVAVRETGGPPRRWEDSGGTAVGVGVGVVLVI >KGN53073 pep chromosome:ASM407v2:4:1995216:2000942:1 gene:Csa_4G015140 transcript:KGN53073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFACPIRIPALSITFARRITCSAMSKGSSSSLPTSSNEVPPNPGISSVKSSNGVSEPETRVFVRRRVKKIAESQDSGFEVEPKIDTKRSCPPNIEDFAFKRTKDSPGSRKLKPPLDLLLNGIEDSNPTTHKGKAERGKPPVNWEKVLKGIREMRSSEEAPVDTMGCGRAGSTLPPKERRFAVLASSLLSSQTKDHVTHGAALRLQESGLLTADAMDKADEETIKSLIYPVGFYSTKAKNLKKIARICLMKYGGDIPRSLAELLLLPGIGPKIAHLIMIMAWNDVQGICVDTHVHRICNRLGWVSGKGSKQVGFGQTICTPLRPKCGNCSVSDLCPSAFKESSSPSPKLKGSSSTKKL >KGN53741 pep chromosome:ASM407v2:4:7317341:7317583:-1 gene:Csa_4G114220 transcript:KGN53741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQKAKNDGEISEARRIEAQGGAIVRLTQVINDLTDRLDRMNVALRNRHQGEVLNEGVENEKDEDNETLLARQNPTERRV >KGN53863 pep chromosome:ASM407v2:4:8796654:8820754:-1 gene:Csa_4G172020 transcript:KGN53863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDATLFLQLQQVMDSFIIFASQEMETNILHVNSKNTLSNFSSRTSLPNMPWTQFSPSKIRIAPFPTREYVDDEFQSIVKKVMGVLYLLGFLYPISRLISYYAFEKEEKIKEGLYMMGLKDWIFHLSWFISYAVQFSISAGIITLCTMHNLFKYSDKTVVFIYFFSFGLSAIMFSFLISTFFNRAKTAVAVGTLSFLGAYFPYYTVNDETVSMIVKTTASLFSPTAFALGSINFADYERARVGLRWSNMWRASSGVNFLVCLLMMFFDALLYCAIGLYMDKVLSRENGFGYSWRCCLRNFFLKKRTIVENQTPVECKIADAEISDSVVEAISLEMKQQELDGRCIQIRNLHKVYDTKMGKFCAVDSLQLTLYENQILALLGHNGAGKSTTISMLVGLFLPTAGDALVLGKNIITDMEEIRKGLGVCPQNDILFLELTVREHLEIFATLKGVKEDFLERTVVDMVNEVGLADKINTPVNALSGGMKRKLSLGIALIGDSKVIVLDEPTSGMDPYSMRLTWQLIKRIKKGRIILLTTHSMDEADELGDRIAIMANGSLKCCGSSLFLKHKYGVGYTLTLVKVKSAPTVSVAADIIYRHIPSAVCVSEVGTEISFKLPISSSSSFESMFREIENYMQRTVSNSAINCSLETSADIEKDHLGIDSYGISVTTLEEVFLKVAGCDLDVAPCEQKKSSLLTGSMVTDISVHHVPSKISESQHFGKSEKSGFLALAVKRACGLIFSTVFSIINFLSLGCCGCDLLWRSKFWQHTKALFIKRAITARRDRRTVVFQLLIPVLFLFVGLLFLKLKPHPDQQSVTLTTSEFNPELIGGGGGGPIPFDLQWHISKQVAHYIEGGWIQKYKPSAYKFPDTEKALSNAIEAAGETLGPTLLSMSEYLMSSFNESYQSRCADTYL >KGN52746 pep chromosome:ASM407v2:4:113256:119372:-1 gene:Csa_4G000670 transcript:KGN52746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGGTQKSDEFQPHPIKEQLPGIDFCVSSSPPWPEVILLGFQHYFVMLGTTVALSTIIVPLMGGGNVEKAEMINTLLFVAGINTLLQTWFGTRLPVVIGGSYAFIIPAISVALSRRFNFYIDPHQRFRESMKALQGALIVASFLPMIIGFLGLWRIVARFLSPLSAVPLVTLTGLGLFALGFPQLANCVEIGLPELVIVVLLSQYVPPLMKGKRALFDRFAVILSVAIVWVYAEILTAAGAYKNKAPSTQFSCRTDRSGLISAASWIKFPYPFQWGRPSFDAGDIFSMMASAFVALIESTGTFIAAARYGSATHIPPSVLSRGVGWLGVGTFLDGIFGTGVGSTASFENAGLLGLTRVGSRRAVQVSAGFMLFFSVLGKFGAVLASVPLPLMAALYCVLFAYIASAGLGFLQFCNLNSFRSKFVLGFSLFLGLSVPQYFNEYLFISGHGPVHTKARWFNNIVQVIFSSPATVAAVVAFFLDITLMRNHSATRRDSGRHWWGKFYSFNLDTRSEEFYSLPWNLNRFFPSF >KGN54339 pep chromosome:ASM407v2:4:12459403:12463682:1 gene:Csa_4G305340 transcript:KGN54339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGARCSKFSLCWFHSHLKPSVLEPSDLENGNKNDRNLWPSFSEFSFERLKAATGSFSSENIVSEHGEKAPNVVYKGKLDNGRWIAVKRFTKLAWPDTRQFLDEARSVGNLRSERLANLIGCCCEGEERLLVAEFMPNETLAKHLFHWESHPMKWAMRLRVALYLSQALEYCSGKGRALYHDLNAYRILFDQDGNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYLRTGRVTPESVVYSYGTLLLDLLSGKHIPPSHALDLIRGKNFLMLMDSALEGHFSNDDGTELVRLASRCLQYEARERPNAKSLVTALMPLQKDTEVLSYVLMGITPENATSTQPLSLTPFGEACLRKDLTAIHEMLDKNGYKDDEGIANELSFQMWTSQMQETLNSKKHGDTAFRARDFATAIDCYTQFIDGGTMVSPTVYARRCLSYLMNDMGQEALGDAMQAQAISPEWATAFYLQATCLFSLGMENDAQEALKEGTKFEAKKNKN >KGN55179 pep chromosome:ASM407v2:4:21087770:21087971:-1 gene:Csa_4G639180 transcript:KGN55179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAAGCSPINCRDFGFNYFAINSDIVSISDAAFTTPVLLTWRFSIIDRACS >KGN53039 pep chromosome:ASM407v2:4:1823288:1823661:1 gene:Csa_4G011860 transcript:KGN53039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLYSVFIGEPLSNLPWISPIFPWVADMTEWTVDLYFSSSISILIQCVLETDRVGQREIYGFDFSFEKTGYGWIQGKLIDILQFGRFWLLT >KGN54158 pep chromosome:ASM407v2:4:11290644:11292222:1 gene:Csa_4G290740 transcript:KGN54158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTALRCFSLLLILAVSSVVHACPPSERAALLAFRAALHEPYLGIFNSWTGDDCCNRWHGISCDQVTHRVADISLRGEAEDPIFERAHRTGYMTGSISPEICKLTRLSSVIIADWKGITGEIPRCITSLPFLRILDLIGNRLSGDLPADIGRLRRLTVLNVADNLISGSIPASLTALTNLMHLDLRNNKFSGQLPRNFGNLRMLSRALLSRNQLSGSLPDSISKIYRLADLDLSQNQLSGVIPSWIGRMAVLATLNLDGNKFSGSIPPSILVSGISDLNLSRNYLTGNLPDVFKSQSYFTVLDLSYNNLKGPIPKSVSEASYIGHLDLSHNHLCGPIPNGAPFDHLEAASFVFNDCLCGKPLKAC >KGN53009 pep chromosome:ASM407v2:4:1635465:1646026:1 gene:Csa_4G011080 transcript:KGN53009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLCGSLSALNLRWDKGVPKVTSRCCSPLSCEKSNGLAFWGSEIVGDGLKVSGRHVSRKLYKGTLPLKVVCVDYPRPQIDDTVNFIEAASLSASFRASARPSKPLKVVIAGAGLAGLSTAKYLADAGHKPVLLEARDVLGGKVAAWKDDDGDWYETGLHIFFGAYPNVQNLFGELGINDRLQWKEHSMIFAMPNKPGEFSRFDFPEKLPAPINGIWAILRNNEMLTWPEKIKFAIGLLPAMLGGQSYVEAQDNLTVQEWMRSRGVPDRVTTEVFIAMSKALNFINPDELSMQCILIALNRFLQEKHGSKMAFLDGNPPERLCEPIVEHIQSLGGEVRLNSRIQKIELNNDGTVKRFSLNDGNVIEGDAYVFATPVDILKLLLPDDWKAIPYFKKLEKLVGVPVINVHIWFDRKLKNTYDHLLFSRSPLLSVYADMSVTCKEYYNPNQSMLELVFAPAEEWISRSDSDIIDATMVELAKLFPDEISADQSKAKIVKYHVVKTPRSVYKTVPDCEPCRPLQRSPIEGFYLAGDYTKQKYLASMEGAILSGKLCAQAIVKDCEALAAREQRRVAEAGVR >KGN53074 pep chromosome:ASM407v2:4:2002281:2006879:-1 gene:Csa_4G015150 transcript:KGN53074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPIRLWRLPKQDFSELMGYFRAVYRADERSPRSLHLTAEAIAMNPGNYTVWHFRRLILEALNVDLHDELNFLDNIAESNTKNYQIWHHRRWVAQKLGTDAANKELEFTRKIISLDSKNYHAWSHRQWVLQALGGWEDELDYCHELLKEDVFNNSAWNQRYFVITRSPLLGGLKSMRESEVNYTVEAILAHPENESSWRYLRGLYAGDTQSWIIAPQVSSVCLKVLGSRINFVFALSTLLDLLSHGFPSTQAFRDAVDALRVPDDNQTDSNLAKTACLILERVDPIRVNYWLWQKSKLPQ >KGN53622 pep chromosome:ASM407v2:4:5985166:5991870:-1 gene:Csa_4G091890 transcript:KGN53622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMGFSSVGNGGSSSSFSNLSHLAPPFTLDRSATRPFSNPLVDMTEPSSVVPLNSTTAATIHNWLPSTSNTSGLDFFSNSTPEFDWLSFSTGSKYPRSQSMMEPPDNHGPLLGSISMSSTDPSLFGNTSDGLTTGIGQEKPYYPSYASTSCNKGGPMVIVDQPTYDYPSNSHVVAFNAPPYTDFSHGSSPSGFERSVEEAAHSIHMFDLNKCNDFVRECPSEELLSEQNLNIEQPKNLRMSNMDAHYAFPGCHPKTKTRTAPSNPASSFQNSQYLQNAPYQKILREQGARLSVPTSIASSPNTLSIRTPVLGTDSFIWNIGPCHISGNGDHFFEGKQGGDHLRNLKKSFPVNSDSQEFFSTENHGTCIDKHDPIIHVLQNNVHYAEGSPDHTLKVGMGLHVPDASPQFSLDLKTIETATTESSSESFDQYNLAAVDSPCWKGAPISGVSPFQAFEISTSSHVKTVEVNNDVKLSLSQVPPSSAEDSMEVSVHEPNESTIGRFMEKGATSSAKLPSIADSSLLATQKTRDSMKAGEFYSEMGWFHPTTGCIHEPVEDGGDLYSSISSPQSKYKNNLLSGKKIAPTSYTKKHADARLNSDNSSENGLNHLSCDVAKHVQNLPFELVKVFLGESNSKIDIRILVDTLHSLSELLLVCHSNGLAALHQKDVKALETVMNNIDFCLKSVGSQGSLSPEQRTSQNIEHFHQLHSDVGVLKSQSQMTKIEGENLECLSNGGNDVDKINQYILSVKKDNKAADSLYLRNRIDSVKEDSMTKALKKAMSENFHDDEERPQTLLYKNLWLEAEAALCASNLRARFHSARSGMEKHESPEVREQAKNCDEALISDACPGINTIGKLASKTKVGSTSFVSFQTSPAVSVTSHADDLITRFHILKCRDDAARHKDDGNSVLSFDVEVSDKQDVAEKLELDKKQTAVTSIKDIDSSFPTSKIKGDDFGNALPSISPTATGSSHIDDIMSRFQIIKSRGEHTSSLDVGMVQKNTNSHCREIDVLVHKGDPVHSVGISAMNHAIADNKHDDNLDASVLARQDVLRRRGNNISSIPAGEQVLEVEVEHLFPESKKMHWPFDENKVRKGGLGVEMEHFKGCEAGNGSRSHIEGKGPADCSDGSSSADWEHVLWCE >KGN54949 pep chromosome:ASM407v2:4:19483860:19489614:1 gene:Csa_4G614220 transcript:KGN54949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVEDEEPALKRMKVASTELRGLSNGSSILERVGGSSRELMARPLPSEGDNRKVIGSRGVIRKDEFVRIIANALYSLGYKKSSALLEEESGIPLHSSTVNIFMQHILDGNWDESINTLRKIGLSNESMVKSASILILEQKYLELLQADRVMEALKTLRTEIAPLSINNNRIHELSSFIMSPSLGGLIGSPGEEHLRAKSRAKLLEELQKLLPPAIMIPENRLEHLVEQALLLQRDSCVYHNASDQEMSLYTDHTCGKNKIPSQTLQVLQAHSDEIWFLQFSHNGKYLASSSSDLSAIIWEVNSNGKVSLKHKLSGHEKPVSLVSWSPDDSQLLTCGVEEAIRLWDVSSGKCLHVYEKAGARLVSCGWFPDGKSILAGINDKSICMWALDGKELDSWKGQRILKISDLEITDDGKKIITICRENAILLLDREAKIDKWIEEDQIITSFRLSKDNKFLLVNLLNQEIHLWSLVGEPNLVSKYKGHRRTRFVIRSCFGGLEEAFIASGSEDSQVYIWHRGSGELIESLPGHSGSVNCVSWNPTNPHMLASASDDHTIRIWGLKGLNIKRNNAYSNGVHYCNGGTGT >KGN52893 pep chromosome:ASM407v2:4:865713:869014:-1 gene:Csa_4G005510 transcript:KGN52893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFFKIATFLFVFSLLFIQTNTAIVKSQSIDQINPGFRASASEFNHTNGVFLLSKRSVFALGFYAGAKDNTFSLGIIHIFSSRVIWTANRDSLVNDSAFFVFNETGDAYLDVSGQNQTTVWSTETANEGVVSMQLLDSGNLVLKSKNGSFIWQSFHFPTDTLLPGQIFWEGLKLKSYPNDNDHSNFLEFKQGDLVLSAGYQNPQIYWALSNDSRKIQRATTGGSGYSLFAILESNYWNFYGTNGELLWSFKIFWQLNRKDRWISVLNTDGTISFLNLENRKSAEPEPIRIPAEICGVPEPCNPLFICYFDNHCQCPSTVFEKNFNCKLPSVPCNGSSNSTELLYLGENLDYFALRFSTPAFNSDLSSCKTACSSNCSCNVMFYEPVSRNCYFFNEIGSFRRSEGGSGGYISYMKTNLPINGNNSETNPSPNRRKHIVLMSLLMAAMTLGFMGLLCFLFYRQKMKELLSSIDEATEEDIFLNEISGGPIRYSYRQLRRATKNFSTKIGDGGFGSVYLGKMGDGSRLAVKKLERIGQGGREFRAEVSLIGGIHHVNLVKLKGFCSESLHRLLVYEYMSNGSLDKWIFNKKEDDLFLDWSTRFNIALGTGRALAYLHQECESKIIHCDIKPENILLDENFTPKLSDFGMAKLMDKQHTSIFTQLRGTRGYVAPEWITTLAISDKSDVYSYGMLLLEIIAGRKSYDADYPPEMAHLPSYATRMVGEQKGFRVLDSRVAGEAEGDWRVEAAVQVAVWCVQEEPSLRPPMRKVVQMLEGVSPVPMPPCTAEMGANFWWSSDGLGMKLNGCYSEVRLSDVRLSGPR >KGN54180 pep chromosome:ASM407v2:4:11454425:11456182:-1 gene:Csa_4G291920 transcript:KGN54180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGALFVVGAPVVDSLPPCLSFDSSPVTNMSLRSGGDLVLQRKSKAKSYLTVSKSVDLRSSFVNLGDEWQLSAGGGRQSKRNQRRNRRLVVVNEFAGQYEDSFDDVKMQIQNYFTYKAVKTVLNQLYEMNPTQYRWFYNFVVNHKPGEGKRFIRTLVKEKQDLAERVMITRLHLYNKWVKKCDHAEIYKGISDENLELMRERLMETVIWPSDD >KGN55191 pep chromosome:ASM407v2:4:21160544:21162542:-1 gene:Csa_4G639790 transcript:KGN55191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSSNSSLKSDALLDQMKQHLSTDAGKEIAKKVGYVYQLNISPKKIGTDVVVYTIDLKKGEVIKGPYEGGKPDATLSFKDEDFIKIALGKMNPQMAFLRGALQIKGSISAAQKFTPDIFPKPAKL >KGN53010 pep chromosome:ASM407v2:4:1647657:1648445:1 gene:Csa_4G011090 transcript:KGN53010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFVRQVWYHNLAQELAILNDHLFKFPVIVMDTEFPGFLRSTPRGAPQEHLYQDLKFNVNHLKILQLGLTLMDENEHVGLSWVFTFSDFDEQTDLSSPTSMQYLKNNKGFEFKKQRKDGIPSAEFRRAFLPIFSSNRITKWITFHGIYDVAYLLKLMIIKAMPESMVEFAIIAQRFLGTVNDLKYMIGHCERLMKGELGLKRLAELLDVNDTVFSGGSDSLLIALAYVKMKKLKLSSENTGGFLYGFQYRIRGYKTFCYRY >KGN53125 pep chromosome:ASM407v2:4:2297133:2308176:1 gene:Csa_4G017130 transcript:KGN53125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSQMRIVFGLLTFVTVGMIIGALLQLAFLRRLEDSIGTEFLPAGRLHKAQYDSQHQLPRGFPNWINDKEAEILRLGYVKPEVVSWSPRIIVLHNFLSTKECDYLKGIALARLEISTVVDTKTGKGVKSDFRTSSGMFLSHHEKNFPMVQAIEKRISVYSQVPVENGELIQVLRYEKNQFYKPHHDYFSDTFNLKRGGQRIATMLMYLSENIEGGETYFPKAGSGECSCGGKTVPGLSVKPAKGDAVLFWSMGLDGQSDPKSIHGGCEVLSGEKWSATKWMRQKSTLVP >KGN53818 pep chromosome:ASM407v2:4:8129311:8129860:1 gene:Csa_4G146250 transcript:KGN53818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNLGFTSLPLLVVAMAALLTGARVADAITCNTMGLSPCIGAMTSTAPPSTTCCSKLREQQPCFCQYMKNPSLGGYVKSARAKAIISSCGVPYPKC >KGN55246 pep chromosome:ASM407v2:4:21464890:21468135:-1 gene:Csa_4G642270 transcript:KGN55246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKENIFRFTRASKKRAADAAATLDDRSSNKRRVVLGELPILQNASSSLDRKSRSRATRHRRRVKSKDTAGTSAAAEINTLPQAEGDVKLSDEPNSEDPQMCRVYASDIYEYLRAMETDPRRRPLPDYIGRVQNDISANMRGILVDWLVEVAEEYKLVSDTLYLSISYVDRYLSLNAISRQKLQLVGVSAMLIASEEVVEMEAEILKSLEFELGNPTIKTFLRRFTLVAQETYEFNTLQFEFLGYYLAELSLLDYNCVKFLPSLVAASVTFLARFMIQSKKHPWTSRLEHFTGYKPADMKDCILLVHDLYLSRRGGALSAIREKYKQHKFKFVSVMPSPPEIPIPYFEDVRI >KGN54864 pep chromosome:ASM407v2:4:18456478:18470751:-1 gene:Csa_4G561190 transcript:KGN54864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDGNNSNRGLVSANAANRNNNNNNGSHHKKPEWLQQYDLIGKIGEGTYGLVFLAKIKPPSPSRGKSIAIKKFKQSKDGDGVSPTAIREIMLLREISHENVVKLVNVHINHADMSLYLAFDYAEHDLYEIIRHHRDKANHPLNQYTVKSLLWQLLNGLNYLHSNWIIHRDLKPSNILVMGEGEEQGVVKIADFGLARIYQAPLKPLFENGVVVTIWYRAPELLLGAKHYTSAVDMWAVGCIFAELLTLKPLFQGQEVKGPPNPFQLDQLDKIFKVLGHPTIEKWPTLANLPNWQQDVQHIQGHKYDNAGLYNVVHLSPKSPAYDLLSKMLEYDPRKRITAAQALEHEYFRLEPLPGYNALVPNQPGEKVINYPTRPVDTTTDFEGTTSLQPSQTVTSGNAVNMQGGHMARSVPRAMGVGMPRMPHQSMAAYNLASQAGMGMNPGGIPMQRGVSSQAHQQQQVILPSRNNKDVTEWFQESLRLLLG >KGN53498 pep chromosome:ASM407v2:4:4960411:4962213:-1 gene:Csa_4G061850 transcript:KGN53498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSLIPHSPINSTSNNSPSSMKSKRSDHHLDPDSNPSTAADSSPDHPPSKRLNSCHDHDHDHDHDPPPPLDPTDSTGLRLLGLLLQCAECVAIDNLQEANDLLPEISELSSPFGTSPERVGAYFAHALQARVISSCLGTYSPLTIRTLNQTQSQRIFNALQSYNSISPLIKFSHFTANQAIFQALDGEDRVHVIDLDVMQGLQWPGLFHILASRPKKIQSLRISGFGSSSDLLQSTGRRLADFATSLGLPFEFHPVEGKIGNLTNPGQLELRSGEAVVVHWMHHCLYDVTGSDIGTLRLLSTLKPKIITIVEQDLSHGGSFLGRFVEALHYYSALFDALGDSLGMDSIERHVVEQQLFGCEIRNIIAVGGPKRTGEVKVERWGDELKRLGFKPLSLRGNPAAQASLLLGMFPWKGYTLVEENGCLKLGWKDLSLLTASAWQPT >KGN54992 pep chromosome:ASM407v2:4:19816037:19818083:-1 gene:Csa_4G620550 transcript:KGN54992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKFELVFIPIPGSGHLASMVEMANTLLARDHRLAVTMIAFKLPLDPKANEYIQSLSAQSLTNNNSIQFIVLPELPDIPNNGNRFFLEVVLESYKPHVKQALISFLTTSTNHLAGFVLDSFCSTMVDVANEFKVPSYVYYTSCAAYLAFSLHLEQLYTQDNSSNEVIQQLKDSDVNLSVPSLVNQVPSKTIPSVFFINNFAVWFHEQAKRIRFDVKGVLINTFEELESHALSSLSTDSSLQLPPLYSVGPVLHLNKNTETMDDGDVLKWLDDQPLSSVVFLCFGSRGAFKKDQVEEIARALERSRVRFIWSLRRPGNVFQSSIDYTNFEDILPKGFLDRTQNIGRVISWAPQVEILGHPATGGFVSHCGWNSTLESLWHGVPMATWPMYAEQQFNAFDLVVELGLAVEIKISYCIELKEQANPIIMAEEIERGIRKLMDNNKNEIRKKVKTKSEECRKSVIEGGSSFISLGKFIDDVLSNSTTGGN >KGN53557 pep chromosome:ASM407v2:4:5484261:5486102:1 gene:Csa_4G082340 transcript:KGN53557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPMKRPISGDQRSHYRIEARNLCYKTSESFDGLNWVCGRGGGSSKRGPKFILKNVNCEARAGEITAIAGPSGAGKTTLLEILGGNIPLKKISGQVLVNDQHMLEKMFPRISGYVTQDDALFPFLTVEESLMYSALLRLAGGRKEAESRVRKLMKDLGLEQVAGSRVGGGSNRGISGGERRRVSIGVELVHDPAVIFIDEPTSGLDSVSALHVMSVLRRMVVSQGKTVLLTIHQPGFRILELFDRLILLSSGVVMHNGSLDHLEEMLKLANHQIPHHVNVLEFAIDVVDSLAMHASETFNRLHYLQNRVENQTIRVPRLNKDEEHISYQNSRSKEVVILGQRFFKNTFRTKQLFATRVIQALVAGLVLGTIFFKTGNNNGRTALQTRLGFFAFSLTFLLSSTTEGLPIFLRERMILMRETSRGAYRVSSYVLANTLIFLPFLLMVSLLFAASVYWLVGFKNEVSGFFYFSLVIWMVVLMSNSFVACFSALVPNFITGNSVIAGLMGSFFLFSGYFISKKNIPNYWLFVHYLSLFKYPFECFLINEYGGEQGKGRCIESKEGVCTTYGEMFLRQQGLKESQKWTNLAVMLGFIVGYRILSFVILWYRCNKIRS >KGN54591 pep chromosome:ASM407v2:4:14974880:14979595:1 gene:Csa_4G372620 transcript:KGN54591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREGLLCDETNDVISGINKKKKSEDDKDDNHAGKLTRHPQVIVAPPVVIVARNRSQAGNRRVTPTTIITTQSTETTAITDTAGAPVAASTTGSATSVEKHLPNGDLYTGSFSGGVPHGLGKYLWTDGCMYEGEWRRGKASGKGKFSWPSGATYEGEFKSGRMEGVGTFIGSDGDSYRGSWSSDRKHGLGQKRYANGDFYEGTWKRNLQDGHGRYVWKNGNEYVGEWKNGVISGRGVLIWANGNRYDGQWENGVSKGNGTFSCADSSWNKNMKIHHLNGTFYTRNGSDHSFKSNGLLENENLTITLRKRSSVDIARGSVTERNFPRICIWESDGEAGDITCDIIDNVEASMLYKDGFGLDRDIFRQFKKNPCCFTGEAKKPGQTISKGHKNYELMLNLQLGIRHSVGKHSSVVRGLKSSDFDPREKFWTRFPTEGSKTTPPHTSVEFRWKDYCPVVFRCLRELFQVDPADYMLAICGNDALREFSSPGKSGSFFYLTQDDRFMIKTVKKSEAKVLIRMLASYYQHVSRYEDSLVTKFFGVHCIKPIGGQKTRFIVMGNLFCSEYRIHRRFDLKGSSHGRTTDTPEGEIDETTTLKDLDLNFVFRLQRNWFSDFMKQIDRDCKFLESEGIMDYSLLVGLHFRDDNTYNKMGLSPFLLRTGNKDSYRNEKFMRGRRFLEAELQDMDRVLSGRKSLIRLGANMPARAERLTRRSDFDQYTPGGMNHLTPSRSGEIYEVVLYFGIIDILQDYDISKKLEHAYKSLQVDPSSISAVDPKLYSKRFRDFIGRIFIEDR >KGN52849 pep chromosome:ASM407v2:4:660410:660660:-1 gene:Csa_4G003630 transcript:KGN52849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEPEDTRNEELRGGREKMEEFKGFMGPYCDRPVLGGRWPVFRFCGAVHTFLSLK >KGN52778 pep chromosome:ASM407v2:4:250496:252195:-1 gene:Csa_4G000970 transcript:KGN52778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGALRRSSGISGLLHFRHFSHLKGSKAAFEATAIVRKQNQPIRPYFRLPDSFRKAKEVGVFSSGQHSSASSFFSIVKVEFLEWYLAMIKCRPVLTKSITSAIIYTAADLSSQTISLSSSESYDLIRTVRMAGYGMLVLGPSLHYWFNLMSKLFPQKDLFSTFKKMAMGQGLFGPFMTAIFFSLNAFLQGESGAEIIARLKRDLLPTMLNGVMYWPVCDFITFRFVPVHLQALVSNSFSYVWTVYMTYMASLEKAVS >KGN54310 pep chromosome:ASM407v2:4:12306719:12307366:1 gene:Csa_4G303090 transcript:KGN54310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFVNFKCLFLGFLLTFVPFRNGVIVVEGSSRPFSISPILKLQKGFKGYYDSQIFATMGIECKCCDEIGGKCSTEFTTSCSNLHCSPWKQY >KGN54436 pep chromosome:ASM407v2:4:13297744:13298726:1 gene:Csa_4G330070 transcript:KGN54436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKTIILKTFTPIPIPIPIPIPIQIQNRIPPAHLPIPKQRTELVAAAFSSSFSVGNRRAERRPVNFAPAAESNTDPEDDNEGDLETGGGDRRSNFLLELKKM >KGN55275 pep chromosome:ASM407v2:4:21606839:21607183:-1 gene:Csa_4G642560 transcript:KGN55275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVCFYCSVGGLSEKFEFCVVKQWKMANGRYLLECGCYVFAFFTCQYLYVHNVFNTCMYCLVFQSLTCPFGSIKNTSPSFSNLYFMVYIVSSSSSPLSWLLFLRVFLGLCYFYN >KGN55225 pep chromosome:ASM407v2:4:21363352:21365298:1 gene:Csa_4G641580 transcript:KGN55225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNTNFVSNPMLPLPLLPSSSQLLFSNNPIHFKHRVSVVVKPTFQASFRWRIRATAENGASSASTSQIDMVRNREGIFTAKQSKVVVLWDLDNKPPRGPPYEAAMALKRVAQRFGQVVDMSAYANRHAFIHLPQWVVEERRERRQLDILERKGLFTPSESYVCGVCGRKCKTNLDLKKHFKQLHERERQKKLNRMRSLKGKKRQRYKERFVSGNHKYNEAARSVITPKVGYGLASELRRAGFFVKTVEDKPQAADWALKKQMQHSMSRGIDWMFLVSDDSDFSEMLRKAKEANLGTVVVGDRDRALGRHADLWVPWMGVENGDVTEEDLVPKKWRMRRRDKEVDEGDDGFSVSSFYGDIAGSDLESVVEELASTSTEFNGLRISAFSEGEDDEEEDDDWVEEDVAPDYLLVMSDEEDGYF >KGN55232 pep chromosome:ASM407v2:4:21398459:21398842:1 gene:Csa_4G641645 transcript:KGN55232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGPIGSAVESVIPRSRFTDNSSRAEQLSRTAFMPLAPNVQDPQESFFKPLQFLAKAAMPTSVNSRHARSLRRVKLRHRREMRISESSRMLVDLRSHFKASLVTASNRVKREGIIEERSASALRERR >KGN52979 pep chromosome:ASM407v2:4:1400674:1406632:1 gene:Csa_4G008820 transcript:KGN52979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAMAFDPILDYSKTHRIILLVDLNPLLHIQSPSSYLIAITSTAKILLSFLPFSSSTLFSFRFFFSSLSPLLSSSKLRNLIPSCPLSLPFDHPTVTFDSLSNAIDLLLKLHQFPLCEASELMASQASWLAASMRQLLHDYTWNSVIEDLESASESFDCLGVRKNLVVLFSPFSELVGCLPEFLGVAVDDECVKDQDLFSRRFHELFEGVNTAFSQSDIQFSWINVSHESTENRMNNDELKEKYSFLKSGIRNLGWGFCSMNSIVLGSALLPFGLIYPKIGLPLRNLDIYKFQKKVQARLCLEILDRSEKPLECKFCNLELFEWKTLPENRCNDRLLVPGGLKMRSDGYVQRKVSLELLGDGGVKLNVKAVQKCRELVSDKVHLSYPFLVLESSETPLKIIQGSNGKFFADEVLEMMALELDECKMPKPIPFFELLMSFLYEEGYWALVSISNANGGSHLGILKPFMVSSALLFVIDKEFYPFMLDPNNEDMCLEEMGTAEGNNTCKPGGDLNKSCNMVDFDASLSVKCSQDGDGKMKAVKKSRQSIQNFTWADFCKVAYEHGKIDLENAYFGRYCNSSKKLKFFKSWVKQIRKSTLCGLLLPEKLQLKQDSLIKKDDGLVQLQEESKEPATSSGQENSLAKASETLAEATIDHHLETCDDFFNNLSNKIQQGLESEVVDLGALAERLVSSAIYWLSEKHEVQGGTSDDQPIARKFDSSISCSVATKLNKLLLREPEDLATKPKIHGLSFDESSLGSAGQTSEHIVREHELQIFFRMEILRSLIILNISESMKQKFVKDICLLLETIQCHLEGGFFGDWSIKNYVGKIIKSRYGQSLGEVVHRIYEKMDLLLFVDENKSTNHPLFSEDSNNSWRGNLLSDEVGDNYSSNDPVSVENKAHQNDNEKFPGLNNVYTSKLIKAQEMRERARRFGSFTSWAPDLHRVWAPKQTKARKPRTNHLKTASKRKYSNRESNDLVCETPEKSQSFQRENRDGDGNQSCRSVSKALFTDIDS >KGN55089 pep chromosome:ASM407v2:4:20467316:20469562:1 gene:Csa_4G628860 transcript:KGN55089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGNHETGRNASATMIVGEKGRIGAIVDVSSRIGKEEILAMHMAIEDFNSLSNQNFSLVIRDSRNDPNLAALAAKDLITVQQVQALIGPQTWEMASVVAEVGNENQIPVLALANEIPKWATERSKFLVQASPSQLNQMRAIAGIVSSGDWHLVNVIYEDSDLSTNGVFLYLVHALKDVGAEVGQFVGLSQFDSDLFSELEKLRRGSSRIFVVHMSFKLALRLFEIANEMGMMGKDYVWITTDSFTSLVHSFNVSINSILQGVVGVKSYISERNPPYHEFYLRFCQRFRLEHFDEHNNEPGVFAVQAYDAAKTAALAMSEIQDKGNDLLDKIKLTDFQGLGGKIQFKDRKLAPADTFQIINVIGRSYRDLGFWSDKLGFSQDLQENSSSSLLMKELDNVFWPGGSLKTPRGWVVPTDSAPLRIGVPTNSMFKQYVRVEEDPTGNNLTFNGLAIDLFKAMLDYLPFAPHVFCPFNGTYNDLVKEIYLKNFDAAIGDIAITTKRIGHAEFTHPYSEVGLVMIVPTRKDTSNKALLFTKPFTVTMWILIAVVTAYNGFVVWFIERNHCPEHQGSMFDQAGAMLCSSFTTLFSLHGNRRHH >KGN54337 pep chromosome:ASM407v2:4:12450202:12450513:-1 gene:Csa_4G304830 transcript:KGN54337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEMRSVDGVLKRSMKNKEIYVEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEKPAKTEYLNKKGDNALF >KGN55287 pep chromosome:ASM407v2:4:21668000:21671691:1 gene:Csa_4G644640 transcript:KGN55287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWHNKRGSLKQSLSSPSESDAMSWLARSIANSLRLEDEDGEHNDAVSPIPSDPPSPSTSPPNLMDSQSQLHDEALSRGVKDDLTEFKQTLTRQFWGVASFLAPPPPHPRLDGDLPAPPDWKPSDPSNHSDPSISGDEEDEEYEDHPSDPVEVLKMRSNYDAFSKSGNLQGECYETVDWGDAVGITDEVLTFATNIAMHPETWIDFPIDEEEDNDDFEMSDAQKEHAFTIEHLAPRLAALRIELCPCHMSESYFWKVYFVLLHSRLNKHDAEILSTPQVAAARSMWMQELQKQTKPESYWGDRDTFELKDSSDVLQEDNSPMAFHDTHSGSTLPWTFTSEPSMSSVSSNYETEKYQMESSETQFIDKSVIVEKPLIKNGDKKSTVGSSSKLIVQNYEEESDNDWLEEDSELGGCNGTILPLENEEDISFSDLDDDDMVLPAKFKIASKE >KGN55028 pep chromosome:ASM407v2:4:20032479:20035318:-1 gene:Csa_4G622870 transcript:KGN55028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLGSIFSICRDAAGVAGHIFAFGLFLSPLDTFRRVIRNKTTEQFSCLPYIYALLNCLICLWYGTPLISPRNTMVMTVNSIGAVFQLVYIMLFITYAEKGKKIKMLGLLLGIFGLFIVIVIGSLQIADLSLRRNVVGILSCASLVSMFASPLFIINLVIRTKSVEFMPFYLSLSTFLMSISFFLYGLFNYDLFVYAPNGIGTLLGSVQLVLYCYFSRVAREESREPLIVSYA >KGN53208 pep chromosome:ASM407v2:4:2849416:2852228:-1 gene:Csa_4G026850 transcript:KGN53208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSIPNAQPMANTFFPLFLFFLLFHFPSLSPAKLQLNFYSNSCPQAEAIVRSVMHKAFIREPRSVASVMRFQFHDCFVNGCDASMLLDDTPTMLGEKLSLANINSLRSYEVVDEVKETLEKVCPGIVSCADIIIMASRDAVFLTGGPDWPVELGRLDSLTASQEDSDQIMPSPRANATSLIDLFSKYNLSVKDLVALSGSHSIGKGRCFSIMFRLYNQSGTGRPDPAIEPRFREELFKRCPHGVDENVTLNLDSTPYVFDNQYFKDLVGGRGLLNSDETLYTFGETRKYVRFFSKNQSAFFDAFVEGMSKMGDLQSGRPGEVRRNCRVVNGQSVII >KGN53491 pep chromosome:ASM407v2:4:4897883:4903998:1 gene:Csa_4G056790 transcript:KGN53491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRKHGWQLPAHTFQVVAITVFCLLVVAFYAFFAPFLGGHVWEYILVGVYSPVALLVFILYVRCTAINPADPGIMSKFDNRVTAPNNNQGLSSKGLPHNLDEIVNGRHSSASSASRSSISGANMSKKGSVGELGGVDNQVEQPTVRSADNIGLICCALFVHEDCRKRDGAADPLSAAEDALFCTLCNAEVRKFSKHCRSCDKCVDGFDHHCRWLNNCVGQKNYITFISLMAVSLVWLVVEAGVGIAVLVRCFVNKKGMEAEIIDRLGNGFSRAPFATVVAICTAVSMLACIPLGELFFFHMILIKKGITTYEYVVAMRATSEAPAGASVDEELPNIMYSPSGSATTGLSGGSSLGLQYKGAWCTPPRVFVDYQDEVVPHLEPGMVPSTVDPDAAGASERGPKAPKRAIRLSAWKLAKLDSNEAMKAAAKARASSSVLRPLDNRRFPDTELSSSGNVSVRSSVSTDTGVNKEIKNDLRLSPIRNSLAPSQASRDDYETGTQSVSSFSSPSHVHETVTLSPLPHGNGLGRFSAASSLPSLVPERPYASKGSYPIVTDSRSHTSGFDDKVAQRGNTTDPLLLSAPTTSLLRDVRKTSVVWDQEAGRYVSVPVSASETRPPRSSVQIGLPNINAETSNNARKPIAPLQATSSSNTKAPLQQAEKLMYTGESIFFGGPLVNVPSRDSLRNERVSTSRESQDRMAMNLSRESRFKRDSASNQLPVFVPGGYEQSRPSGSRLR >KGN53621 pep chromosome:ASM407v2:4:5976031:5977509:-1 gene:Csa_4G091880 transcript:KGN53621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLGVSNDEEEAQLFAMQLASASVLPMVLKTAIELDLLEIIGRGGEGALLSPSQIASQLSGLKNPEAHVMLDRMLRLLASYSILTCSLDPLPDGSVQRLYGLAPVSKFLIKNHDGVSIAPLCLMNQDKVLMESWYHLKDAVLEGGIPFNRAYGMSAFEYHGTDPRFNKVFNKGMSDHSTITMKKILETYKGFEGLNSVVDVGGGTGAVLNMIVSKYPSIRGINFDLPHVIQDAPPYPGVEHVGGDMFVSVPKGDAIFMKWICHDWSDHHCLKFLKNCYDALPEHGKVIVAECILPLAPDASLATKGVIHIDLIMLAHNPGGKERTEKEFQALSKAAGFDGFKVHCCAFNTYVMEFLKTP >KGN55244 pep chromosome:ASM407v2:4:21456331:21458211:-1 gene:Csa_4G641760 transcript:KGN55244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQQTSFFFSQDHHFHPISIPLLAIFLTSLFLISKFRRTQHTKLLPPSPPSLPVIGHLHLLKEPFHQMLQDFSKKYGPVFSLTLGFRPLVVVSSPSAVQECFTKNDIVFASRPRMLSGKILNYDYTAVGATAYGQHWRIMRRIATTELLSTKRLNDLSKIREEEVKLWVKRLYGSVTRAEVNKGGRVGLRSKLTELSFNIALMLMAGRRYFGDEMEAGESEEAKELKETMKQVPLLSGASYPADFLSVLKLIDFQGFRKRLAKVRVDGDAFAQSLVDERRKLKGSWCERKTMIDSMLCLQESDPEYYKDDIIKGQFLTLLAAGTDTTASTLEWAMSLLLNHPTVLEKAWTEINQVVGDQRLIREADTNKLHYLQAIINETYRLFPALPILVPRESSEDCTIGGFDIPKGTMLVVNAWAVHRDPNVWEDPNCFKPERFKQGETVEVNKLLPFGMGRRACPGAGLAHRVVSLALATLIQCFEWGKLDNQKDVDLCPGIGLTMPKAIPLEAMCKPRASVTHLLSQL >KGN55389 pep chromosome:ASM407v2:4:22281534:22285703:1 gene:Csa_4G649590 transcript:KGN55389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVSKKGTQTSEVKLNIGSKVTALNPNAAEFIPFALRASPVGSSSAPDLTERFSSGTLGKAVLDRTESSVSNNSDDEARQFWRHQLPDDITPDFKSMGEDENLSLGNISLAGLSLHDDSEASLFAATTGNDYLLNDQQDSNLNHFNGSQFAEKFRFSTASYGEDPSSASLFQISNKPWQKPVLNSNVLVGNERQLPYHGNSGRGLAMDILSEQTTIDESDTLNPVEFLALQFPGFAAESLAEVYFANGGDLNLTVEMLTQLELQVDGGISQNLNSKTMSAPNLSAMEFPALAVSGGQNGHPKFDGADLQQSTNPYLSSDKDGMLFFKSSTGPSRGATDFASTVRKLASQDSGMWKYNGNGSADDSIGSSRSSHMLTSKYTAGGHGKDTYSERLLHRGSARAAPVWLETGEAVASMYSEQREDARDHARLRNAYFEQARQAYLIGNKALAKELSVKGQLHNMHMKAAHGRAQESIYRQRNHLGGDNQGNGRGHERMIDLHGLHVSEAIHVLKHELSVLRSTARASGQRLQVYICVGTGHHTRGSRTPARLPVAVQRYLIEEEGLDFSEPQPGLLRVVIY >KGN53569 pep chromosome:ASM407v2:4:5558867:5561511:-1 gene:Csa_4G082460 transcript:KGN53569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGYRADDDYDYLFKVVLIGDSGVGKSNLLSRFTKNEFNLESKSTIGVEFATRTLNVDGKVIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRHATFENVDRWLKELKNHTDSNIVVMLVGNKSDLRHLVAVPTEDGKSYAERESLYFIETSALEAVNVEKAFAEVLTQIYHIVSKKAVEGGENGSASAVPAQGEKINIKDESGLNRIKCCSS >KGN53708 pep chromosome:ASM407v2:4:6928654:6935431:-1 gene:Csa_4G107495 transcript:KGN53708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTVKQNLWWAFGYNIVGIPVAAGVLLPITGTILTPSIAGALMGLSSVGVMANSLLLRIRFSQNRKKSLEDQQPKEK >KGN55149 pep chromosome:ASM407v2:4:20891185:20891876:-1 gene:Csa_4G638380 transcript:KGN55149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIWKILRRSGDASSNDKVLSVPIEDKLEQNQFKVVDDDDDINLSKAPIVLSEEQNISNGHNELTPERIVDFVVLSDKALGPSVLNTGENGVADHILATPEISEIEISTPPPSSNEMISEYTTHSRKKWMSDENSPKAPKGFRKLLFFGPDDCLELFVEIELFSKPCWMNCLNCLIILQIIRE >KGN52983 pep chromosome:ASM407v2:4:1441526:1447108:1 gene:Csa_4G009350 transcript:KGN52983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEFTPNPNLHFLPSVKLFISLLLINSVFTFHSALSEIFFEERFEDGWKSRWVLSDWKRSEGKAGTFKHTGGKWAGDPDDKGIQTYNDAKHFAISAKIPEFTNENRTLVVQYSIKFEQEIECGGGYLKLHSGYVNQKKYGGDTPYSMMFGPDLCGTQTKKLHAILSYQGQNYPIKKDLQCETDKLTHFYTFILRPDASYSILVDNRERDSGSMYSDWDILPPRKIKDVKAKKPADWDDREYIEDPNDAKPEGYDSIPSEIPDPKAKEPDTWDEDEDGIWKAPKVPNPAYKGPWKRKKIKNPNYKGKWKATWIDNPEFEDDPNLYVLKPIKYVGIEVWQVKAGSVFDNILICDDPQYAKEVVDDTFARIKEAEKEAFEEAEKERKAREEKEAQRAREEGEKRRRERDYRHRDRRRHYRRDRHDIDDYYHDEL >KGN54099 pep chromosome:ASM407v2:4:11002196:11003555:-1 gene:Csa_4G285750 transcript:KGN54099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAVASFFFLALLFRSSFAQLSETYYDQTCPRLPNIVRASVKKAIQSDIRAGAKLIRLHFHDCFVNGCDGSVLLEDAPGIVSELNSPGNQGIQGLEIVDAIKTDVEKECPGIVSCADILAQASKDSVDVQGGPSWRVLYGRRDSRIANKTGADSGLASPFETLDELKAKFAAVGLDSTDLVALSGAHTFGRSRCVFFSHRFANFNGTGSPDPSLDSNYRQFLEGVCSAGANTRANFDPVTPDVFDKNYYTNLQVGKGLLQSDQELFSTPGADTIAIVNSFAAREGTFFKEFRKSMINMGNIKPLTGKRGEIRRNCRRVNSNSGLFGEEEEEEGHDVM >KGN53127 pep chromosome:ASM407v2:4:2315826:2317646:1 gene:Csa_4G017150 transcript:KGN53127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSESNSGDHHILMLPFMAHGHLIPFLELANLIHRRSSVFTITIANTPSNIKYLRSAASSEAKIHFAELHFNSIDHGLPPNTENTENLPLDQIPALFHSSTALQHPVRQLISDIVQKDGKPPVCIISDVFFGWSVAIARSFNIPIFNFTTCGAYGSLAYISLWLNLPHQSTTADEFSIPGFPERCRFQRSQLHRFLRAAKATDSWCTYFQPQLSYALNSDGWLCNTVEEVESFGLGLLRDYIKIPVWAIGPLLPQSSGRGWVKENDSGVDLENCMDWLNSHQRNSVLYISFGSQNTISETQMMELAHGLEESGKAFIWVVRPPLGHDIKAEFKAHQWLPEQFEERMKETNRGILIRNWAPQLEILSHESVGAFLSHCGWNSTVESLSQGVPMITWPMAAEQAYNSKMLMEELGFAVELTIGKESEIKRGKVKEVIEMVMEENGKGEEMRKKAGIAKEKMMNAMKDNEQKGLSLRSLEEFLEIIESKKEKTNGRVTVN >KGN54285 pep chromosome:ASM407v2:4:12151988:12152818:-1 gene:Csa_4G296870 transcript:KGN54285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPILQKNTTTKFHFTHPNHPLIHLSNDQDYFCDGCKTFGSDSRYRCHCCDFDIHELCANCPAKLSSLSFHHHLLALDFQIRTLVDRCCDICHDPVHGLVYRCNDCDFNAHPLCTQLPQELRHVIHDNHPLNLQKLRFGCCVVCRKDCSSLWVYGCKVCGLYIHLDCLSEPNESLFPLSSETTSRGIPFAPPPPSPLPLIPYGFTVAYTVYPSYRLGYHHGYLYNNNYVNKHDEECFYGYAVPQALAPARERKLRKSIFSLVSSGGTGIVSSFIFG >KGN55322 pep chromosome:ASM407v2:4:21883863:21886783:1 gene:Csa_4G645960 transcript:KGN55322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVSRASTRIPHLLAARGALSLHTTAPALSSSSTASPTPYARPLPPSASSPTGLPKAAEYVISKVDDLMNWARRGSIWPMTFGLACCAVEMMHTGAARYDLDRFGVIFRPSPRQSDCMIVAGTLTNKMAPALRKVYDQMPEPRWVISMGSCANGGGYYHYSYSVVRGCDRIVPVDIYVPGCPPTAEALLYGILQLQKKINRRKDFLQWWTK >KGN52730 pep chromosome:ASM407v2:4:7205:11038:1 gene:Csa_4G000020 transcript:KGN52730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKKSPSRSSRSKGIKVKHILQIVLLVGVCFWLIYQVKRSHDKKKEFDQKDNEITIKTQEEGGLLKLGRKDLRPEVEEVHPGEKQEEDEEEETVGEEEDPKHEGEEGHEEQKNDAVDEEDEGGKHEEETDDDGRGGGDEDMDENDQEKADDESNHEVESADDERLREDSDEDAGGNEERDKEDPRENENSSDEQENDSGDQGTHEAREENYKGDDASSAVAHDDHSTTSETEEGNLENSNENSELSSKEQNSESSDIEELKRIHNSSQLMEEVDKMPNNETSSNENFSDVKLNENTSLPTDGSVQNSTLATQPEDQPESSNTTSSATTETNKVENVDSSQQNGTLIGLNQAQNETIDNLTAQNGTVDNFTAQNETSLQSLILSNLNDKDLENNSTSQSQPNSISKDENSNGVEGETLNITSKADHTVSDDKTNEPEKDDKTTELEKDLPTVITQENIKATQNHKSEDNGESNSDSSDSTNQIEDPVQDDPIGSDDSHVTERVDLDTLPDIRTEVDNIEETAAE >KGN53529 pep chromosome:ASM407v2:4:5218145:5219738:-1 gene:Csa_4G064120 transcript:KGN53529 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase MADQVKLLDFWPSMFGMRVRVALALKCVPYEYVDEDLTNKSPLLLQMNPIHKKIPVLIHNGKPICESSIIVQYIDEFWKDKAPLFPTHPYDRAQARFWVDFIDKKLFDCGRKIWASKGEEQEEGKTEVIGILKQLEEVIGEKAYFGGECLGFVDIVLIGYYTWFYAYETIGNFSIESECPKIMSWAKRCLQNESVSESLPDPKKVCDFVLQMKKKYGL >KGN52895 pep chromosome:ASM407v2:4:875868:877469:1 gene:Csa_4G005530 transcript:KGN52895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTCSFLFCFFFTFGAVFLNAVDATWTYGVNYGRIADNLPPPESVVTLLKAAKIKNIRIYDADHGVLNAFKGSGIEIVVGLGNEFLKDISVGEDRAMNWIKENVQPFLPDTHIRGIAVGNEILGGADVELWEVLLPAVKNVYSALYRLQLTSVVQVSSPHSEAVFANSFPPSSCIFREDIVPFMKPLLQFFSFIGSPFFINAYPFLAYKNDPEHIDINYALFKKNKGIFDAKTNLHYDNMFEAQVDAAYAALEKVGYPKMPVIVSETGWASHGDENEAGATMKNARTYNRNLRKKLMKKKGTPFRPKMVVRAYIFALFNENSKPGPTSERNFGLFKPDGSISYDIGFTGLKPSAAGPSSLLSFKKLGFGGWFGCSYSMVLTASVAILMLILSS >KGN53079 pep chromosome:ASM407v2:4:2016295:2016753:-1 gene:Csa_4G015690 transcript:KGN53079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMMKFLIVFVVLIAFASHLCEGFDLERKDFESEKSLMQLYKRWSSHHRISRNAHEMHKRFKIFQDNAKRVFKVNHMGKSLKLRLNQFADLSDDEFSMMYGSNITHYNNLHAKAGGRVGGFMYERAMNIPFSIDWREKGAVNAIKNQGLCGN >KGN53489 pep chromosome:ASM407v2:4:4882886:4886283:-1 gene:Csa_4G056770 transcript:KGN53489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDVVAEMQDRGGIVSLPKKDILFEGDVDFEPHTGIEFESHEAAYTFYQEYAKSMGFTTSIKNSRRSKKSKEFIDAKFACSRYGVTPESESGNSRRPSVKKTDCKASMHVKRRPDGRWIIHEFIKDHNHELLPALAYHFRIHRNVKLAEKNNIDILHAVSERTRRMYVEMSKQCGGYRNFSFPQIDTTYQFDKGRYLALDEGDAQMLLEYFKRVQKENPYFFYAIDLNEEQRLRNLFWVDAKSRNDYVSFSDVVSFDISYIKTNDKLPFAPFIGANHHAQSMVLGCALAADWTKPTFAWLLKTWLRAMGGKAPKVIITDQDKALKLAIEEVFPNTRHCFALWHILEKIPETLAHVIKRHENFLAKFNKCIFKSWSDEQFDMRWWKMVTRFELQDDEWIQSLYGDRKKWVPTYMEDIFLAGMSTTQRSDSMNAFFDKYIHKKITLKEFLRQYGIILQNRYEEEVIADFDTLHKQPALKSPSPWEKQMSTLYTHTIFKKFQVEVLGVVGCRMRKEIEDGTITTFRVQDCEKDEHFLVRWHKLNSEVSCFCRLFEYKGFLCRHALIVLQMLDFRSIPSQYILKRWTKDAKSRQPVTEETEFRQNRVQRYNDLCKKAIELSEEGSHSEECYNIAIRTLVEALKNCVNINNSKSAPADSCVHAHGLREEEENQGSITAKANKKKSTNRKRKVQTETDMILVEAQDNLQPMDSLTSDSMNLTGYYGTQQNVQGLVQLNLMEPPHDASYYVSQQSIQGLGQLNTIAANHDGFFGVQHNSIHTLVDYRPTTSYSYSLQEEQHLRSAQLHGSTSRHT >KGN53928 pep chromosome:ASM407v2:4:9386270:9387075:-1 gene:Csa_4G189510 transcript:KGN53928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAKANVASFFDASVLSDMIFEMEFCSQWFMDNVQTEIRRHIPKEKKKESRMNADYYDRAEEVEKKIKEEMTEVYVSVVPPDYAITSDLRFNRVWLFVDSSGKVIQTPSIG >KGN55280 pep chromosome:ASM407v2:4:21627180:21627994:1 gene:Csa_4G643100 transcript:KGN55280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDWSASHYCSGSESGWTMYLDQSYTSDHCFNGGSGRGENYKAKAAKAAKARKEEEDEEEDLSMVSDASSGPPHYIEDNEELFYNNGYSSHVISASELERKKSKKGKQNGRNQQHSYLDDTASSPVYGYTKANKIKAATNNKALEESQVDFSQGFSATHFKRKSAFRKHLGFYRSEKSAPEE >KGN53347 pep chromosome:ASM407v2:4:3880787:3885457:-1 gene:Csa_4G049060 transcript:KGN53347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKSARWRNEKNRIKAEFKLQFCATQVSEFGGDSLMISVIPGDVGKSTVKLEKATVRGGKCRWENPAYVTVKFDVDQKTGKFTEKIYHFRVSTGLIKAGLVGEVSIDFAKYAEATKPFSASLPLQNSNSAVLHIWIQRIQEHADQRTLALICSVWRTFSEMWMNTRGGLSDEAERNGEINGEHRTSSGSDITLSSYESSSGLDSPIENGIRNNTHQQPNGYLSPLNHSPVSHKSPARDENLTFPWKWSIQSDHVATPDDSRVNGIVLGRSKKEADIEIEELKTELSVSTRRADMLDMELQTLRKQIVKENKRSQDLMGEISIFKAERDEWRAECEKLKGFQKHVDDAKVKNKLQFDGGDLRALLEEMRQELNYEKDLNANLRLQLQKTQESNTELILAVQDLEEMLEQKNCEISDLYTEESKKAEEMKITCSKCQIEEDEELKALENLVNDQKNDRKAYVLEQKVMELYNEIELHMRDKDELAMQMEQLALDYEILKQGNHDLSRKLEQSQLREQLKIQHESSSAATINELEKKIQGLENELKQQSTEYSNTLATIRELQSHARSLEEELEKREQDFEADLEAMTLSKVEQEQRAIRAEEALRKMRLRNAHTAEKLQEEFGRLSKQMTSTFEANENVALKALAEASELRSQRSHLEEALQKANEELRSVRENYEEKLQELSHQIKSYSSQIAQMISELETKSKQLEHQKKNEDMKFESSSQEIQMLKSEIDHLIGENGNLKEQAGQVEIMRVELDQMKTLVIETEKLIQTRNTERNELESTVVLAKKESNILLDELEKLRNAKDEKETLVGLLQSELQNLKVECNDLKHSLTEDEMEKEKLRKQVLQLKGELKEACNNYEKKLKHNNGRGATPGGNKTAPKQKLNPVSNGSAEVANLREKIKILERQIKLNESALETSESSCLQKEEEFCNRIIELEKRLEDLNHSETCQKVTNDRNDTTSHGGISEETRKTADNLSNKLSVNSNKNSFETAPKLPAVDDRDGNLAKLLTELSTLKEKNQSMESELKDMQERYSEISLKFAEVEGERQQLVMTVRNLKNAKRN >KGN54422 pep chromosome:ASM407v2:4:13125926:13126111:1 gene:Csa_4G314490 transcript:KGN54422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGEMGNHDRREKEAAAVVVTAVVVQLGVWKEEMKMGIGESTVEIIESGQPD >KGN54160 pep chromosome:ASM407v2:4:11301123:11302288:-1 gene:Csa_4G290760 transcript:KGN54160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEPISFAMKICQFLKMWVVLVVLLAFLLCNCDSLKECTNTPTQLGSHTFRYELLSSGNVTWKKELFSHYHLTPTDDFAWSNLLPRKMLKEENEYNWEMMYRQMKNKDGLRIPGGMLKEISLHDVRLDPNSLHGTAQTTNLKYLLMLDVDRLLWSFRKTAGLPTPGEPYVGWEKSDCELRGHFVG >KGN53222 pep chromosome:ASM407v2:4:2931434:2938162:-1 gene:Csa_4G028460 transcript:KGN53222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILTKMAGGGMIGQLLRRKLKTQSTSFGALAFLGDGVPEHLSFATAASCYEADHGLQCPSYIWSRNGNLSSHVHASLTSNFLLQSTYSLSRTCNVYKRGTGLDVCELKMGRGFHSQSFQKHWFSPLSTRYIGRYSSLHNIQRSYSTAAIERKSKNMLFYLVALVLTMVGCSYAAVPLYRRFCQATGYGGTVQRRESVEEKIARHAKDGTVTTRDIVVQFNADVADGMPWKFIPTQREVRVKPGESALAFYTAENRSSTPITGVSTYNVTPMKAAIYFNKIQCFCFEEQRLLPGEQIDMPVFFYIDPEFETDPKMDGINNLILSYTFFKVSEE >KGN53383 pep chromosome:ASM407v2:4:4161432:4161626:1 gene:Csa_4G050860 transcript:KGN53383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHVVREVKSRNIAYSKGVKGHSVVHPPPPLGSLKQTLCSKEPKWPAPGPTLILPLVTPPNFSF >KGN53137 pep chromosome:ASM407v2:4:2372540:2373151:1 gene:Csa_4G022240 transcript:KGN53137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIIWKLFLIITLILASNYVHARRSPSFYIHRMEYAELNVDTIAQPPNTQNDEDGMKNNTETNNTTTAAPPPAKHEDGVWDGNF >KGN53900 pep chromosome:ASM407v2:4:9110379:9110816:-1 gene:Csa_4G187300 transcript:KGN53900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWVNWRLLWGGGFTLILLVSFHFLAGQTAVEKQQKFGPFSFSSAAPAAIGPFVPMISNTPKLLALEEQYSDLLPFEVGDMHALMFVQLR >KGN55517 pep chromosome:ASM407v2:4:23082901:23084959:-1 gene:Csa_4G664250 transcript:KGN55517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEVQNRSQDSEIESEEEDGDEETQQEQQNPQCQDQELCLLQFLDSTDDYLNLLDALSATLRQGWFELASARHSMGTSRISTALLDMKNHSAATSLRVDERDDGSVGMQPFINLRKWTSSEGGDSLGEEKYNDKLQRESGSPQLRQRNVSDLSDNLGKSSLKKEDALIVDDQVQKERSRTLSTFGTLVSPKLRLAQLSFENALELIVEIANKRIAMLSSFDEVKKGSEDTSSFKQVKEELEDTKV >KGN54875 pep chromosome:ASM407v2:4:18674932:18677915:1 gene:Csa_4G571220 transcript:KGN54875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDPCSNSLASVLKFNSHFSPSQPSNSILHLNNMSHNCFSYPYPTPTPPPPSSPPLREALPLLGLMSPPEQRQEQEEEDDEDGGDRRGSNYEEEESVTVALHIGLPSPSAAEIASLMCGSSTEINHVGDGDHSNNSNNSIQDHDLGQSTKTLIKGQYWIPTPSQILIGPTQFSCPVCFKTFNRYNNMQMHMWGHGSQYRKGPESLRGTQPTGMLRLPCYCCAPGCRNNIDHPRAKPLKDFRTLQTHYKRKHGLKPFMCRKCGKAFAVRGDWRTHEKNCGKLWYCICGSDFKHKRSLKDHVKAFGNDHAAYGGGGIHGFGDEEDEPASEVEQDNDE >KGN53990 pep chromosome:ASM407v2:4:10160545:10160911:1 gene:Csa_4G243140 transcript:KGN53990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKCRSHGTFPLFGLQSSHLNICYYHQDLHRRPLRPGSRPRFCSDRRALLLIGAWLLPRRPGIGRALQRHPFSGLVDSAGELLHTP >KGN54363 pep chromosome:ASM407v2:4:12670491:12670898:-1 gene:Csa_4G308530 transcript:KGN54363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINPTLAFNNSRENNKTHNFILTKQNSDSSWQGRSGDLEKLESMRVDRDREEWLGRRSEEDVKRAPRREAVGRQGRNGRCGLGYRRRDGALAFVGATKRKRRKEATKGGS >KGN52799 pep chromosome:ASM407v2:4:352272:354918:-1 gene:Csa_4G001660 transcript:KGN52799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKLDDEVEILKQVFGDSSEDEDFVDETVTNDSSYELGHIHKWEQVKQIKGLWLCRFFLSPQQQSSLLSAIRNEGWFMEASQNQAMRFGNLPTWAVELSDSVYEAVLSSNHMTDTLIVDRYNGDKIDCPLPSDILWREPLFDQMIANVYQPGEGICAHVDLMRFEDGIAIVSLESPCIMHFTQVDKTSCDPSIKGEVNLSTSKVPVYLNPGSLVILWGEARYHWKHEINRKPGFQIWEGQELAQGRRTSITLRKLCHVE >KGN52931 pep chromosome:ASM407v2:4:1096027:1101128:-1 gene:Csa_4G006380 transcript:KGN52931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLARLAANRLAGIRQSVRQTSRCFSTAFNYHVDSPDNNPDLTWEFSETNKKKIAEILSHYPSNYKQSAVIPLLDLAQQQHGGWLPVSAMNAVAKVVEVAPIRVYEVATFYSMFNRAKVGKYHLLVCGTTPCMIRGSREIEDALLKHLGVKRNEVTKDGLFSVGEMECMGCCVNAPMITVADYSNGSEGYTYNYYEDVTPKRVVEIVEMLRRGEKPPHGTQNPGRIRCGPEGGNTTLVSDPKPPPCRDLDAC >KGN54018 pep chromosome:ASM407v2:4:10549369:10560469:1 gene:Csa_4G268020 transcript:KGN54018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLAPPTSLYPYSSHSHPLPKSHLSSFTQRSHPNFFSLCFPFHLSFSTSFSTLHSLKYSAFKTDTGLGDSHDADQPHSLKFAPGASAHKTRLFTVGDKVITTRPNFHFSYHISGICQFPFHASSIVPHIKDSMPRFCCQASLRASTSFSENRVAEERSSISISSIEMIPKVDKSGKFCSPRAARELALSIVYAACLEGSDPVRLFEKRLNARRESGYEFDKTSLMEYNHMSFGGPPVTVETIEEADELLRKDERDSTIEAEILAAPPKIVYSKLILRFTRKLLVAVGDGWDSRALKIEKVIPPTWKNKPAGRILELCILHLAMSEITVIGTRHQIVINEAVDLAKRFCDGAAPRIINGCLRTFVKDIKEIDSMPAREK >KGN53694 pep chromosome:ASM407v2:4:6815159:6823646:1 gene:Csa_4G106870 transcript:KGN53694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVMFSSSSSSLWFIFWLGFVSLLSANPEGDALNALKSNLQDPNGVLQSWDPTLVNPCTWFHVTCDSENSVTRVDLGNANLSGTLVPQLGDLHNLQYLELYSNNINGEIPMEIGFLTNLVSLDLYLNNLTGHIPSTLGGLQKLRFLRLNNNSLSGTIPMSLTNVKSLQVLDLSYNKLTGDIPVNGSFSLFTPISFVHNDLNESTVRTPPPPLPSSPSPISGNSATGAIAGGVAAAAALLFAAPAVAVALWRRKKPQDHFFDVPAEEDPEVHLGQLKRFSLRELQVATDHFSNKHILGRGGFGKVYKGRLADGSLVAVKRLKEERSQGGELQFQTEVEMISMAVHRNLLRLRGFCMTPTERLLVYPFMVNGSVASCLRERPDAQPPLNWLNRKRIALGAARGLAYLHDHCDPKIIHRDVKAANILLDEEYEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGVMLLELITGQRAFDLARLANDDDVMLLDWVKGLLKDKRLETLVDPDLAGKYPDDEVEQLIQVALLCTQGTPTERPKMSEVVRMLEGDGLAERWEEWQKEERFHQDLSRNPHPSTTWILDSTAEIPPDELSGPR >KGN53666 pep chromosome:ASM407v2:4:6433298:6434130:-1 gene:Csa_4G098710 transcript:KGN53666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMGFQFVMFLALFATSCMAQAPGAAPAQPPSTPAVPPPSTPPPAASPPPATPPPATPPPATPPPATPPPASTPPSSPPSQPPASPPTSPPPSSPSSPPTAPPKEGPISPPTSSPPSPPPEGNVPTNSPGPSPPPPPPEGNGAASVSRGMMVGGAVAGAFLAMVFA >KGN55305 pep chromosome:ASM407v2:4:21780525:21780938:-1 gene:Csa_4G645310 transcript:KGN55305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIVFDNQGIYNNNNNNNQSPFLPELSPALNSPPPPPYASYQPLQFPSNSFSHTAGVIDDLRRTDSVLRFGMEGASSQPVTSYHVGELEKVVYSNTPSFDDGLQFSCDNNGLNLMNDLDWGEMSSLISAPLYPSMII >KGN54230 pep chromosome:ASM407v2:4:11762741:11764848:1 gene:Csa_4G294380 transcript:KGN54230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANAASGMAVNDECKLKFLELKSKRTHRFIVFKIEEKLKQVVVEKLGGPSQSYEDFAASLPANECRYAVYDFDFVTEENCQKSRIFFIAWSPDDSKVRSKMIYASSKDRFKRELDGIQVELQATDPTEMGLDVIRSRAN >KGN54030 pep chromosome:ASM407v2:4:10639971:10650006:-1 gene:Csa_4G269130 transcript:KGN54030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERHPDKASPLLFAVNQQRFELFSVDPSTTLLQFLRQHTSFKSVKLSCGEGGCGACVVLLSKYDPVLDKVEEFTVSSCLTLLCSIQGCSVTTSEGIGNSRDGFHPIHQRFAGFHASQCGFCTPGMCVSLFSALVNAEKTNRPDPLSGFSKLTVSEAEKAISGNLCRCTGYRSIADACKSFATDVDVEDLGLNSFWPKGCGKEVKSSKVPLYSQNNSLLSFPEFLKKDLRPISFMDSKGRTWLSPVNIKEVSRLLECNETINTSKTKFVVGNTEVGYYKESEQVERYINLRHIPELSVIRIDSTGIEFGATVTITKAIEALKNNNHEPSSIGEMVFHKIAVHMEKIASGFVRNTASIGGNLMMSQRKQFPSDIATIFLAAGSMVNILRGSNEEVIMLDEFLKRPPLGPNCVLLSVKIPNLDSLRDIYPRDTTVLFDTFRASPRPLGNAMPYLNAAFLAAISPCKNSNGIVVNSCHLAFGAYGAKRAIRARKVENFLAGKNIDYNVIYEAVSLIRSTIVPEKGTSFPAYRTSLAVGFLFEFLSSLVDGSAAIKSDSLNGCMNTSSALPYNKFSSNHATFDYNKTKALLSSGKQTIELSSEYHPVGDTIIKSGAAIQASGEAIFVDDIPSPTNCLHGAFIYSRRPLAWVKGLNLSHEPQPEGVIAVISTKDIPVGGHNVGTRTIFGDELLFADKLTECVGQPIAFVVANTQKHADMAADLAVVDYDTDNLEAPILSVENALERSSFFEVPSFLCPEQIGDLSKGMAEADQHIKAAQIKLGSQYYFYMETHTALAIPDEDNCMVVYSSSQWPANAHSVIAKCLGVPENNIRVITRRVGGGFGGKATKSMVVATACALAAHKLRRPVRIYLNRKTDMIMAGGRHPMKVNYNVGFKSNGKITALELDILVDAGMSCDISPAMPHNIVNTLRKYNWGALSFDIKVCKTNHTSKSSMRAPGEVQGSFIAEAVIEHVASTLCKDVDTIRKVNMHTFDSLKIFFKDAGEPQEYTLPSIWDRLATSSNLKQRTEMVDKFNSDNRWKKRGLSRIPVTHEVILRPTPGKVSILTDASVVVEVGGIELGQGLWTKVRQMAAYALSSIECDGTSDLLEKVRVVQADTINLIQGGCTAGSTTSESSCEAVRLCCNILVERLTSLKKRLEEKMGSVKWVELICQANLQAVNLSVNSMFIPDFVAMRYLNYGAAVSEVEVDLLTGETTILRADIIYDCGQSLNPAVDLGQVEGAFVQGIGFFMSEEYLTDPDGLVINDSTWTYKIPTIDTIPKQFNVEILNSGNHKKRVLSSKASGEPPLVLAASVHCATRAAIKEARKQLCTWRHQLEFDYSLLLEVPATMPVVKESCGLDCVESYLTWIKESRSNRI >KGN55300 pep chromosome:ASM407v2:4:21750995:21754523:-1 gene:Csa_4G645260 transcript:KGN55300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMLVSADRKYRRSPKKLLLPEKYDHQEPVEAGLDGASFSGAVFNLSSTIVGAGIMALPAVVKQLGLIPGLILIMLGSTLTELSIDFILKFSRASKSVTYAGAVGESFGNAGRTLLQVCIVVNNLGMLVVYMIIIGDVLSGTSANNIHHKGVMEEWFGQHWWTSRLSLMLLTTLFIFAPLISFKRVDSLRYTSALSVALAIVFVAITAGVAIAKLMDGSIVMPRLMPKVVDQASFWQLFTTVPVLVTAYICHHNVHPIENELKDPTQMKSIVRTSLTICSTVYIATSFFGFLLFGDQTLDDVLANFDGDLGLPFSSLLDDVVRVSYGIHLMLVFPIVFFSLRLNVDGLLFPYAIPITFDNRRFFLITIALMSFIFIGANFVPSIWDAFQLTGATAAISVGFIFPAALILRDTCGIASKKDRLIAWIMFLLAVFSSIVAISCDIYSSYVMEKHT >KGN55508 pep chromosome:ASM407v2:4:23035184:23039369:-1 gene:Csa_4G663670 transcript:KGN55508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVSKSTMKKKKKKGRPSLLDLQKRFLKQQKLQEEQHQEPSDAFDFSSNPRNPPSCRNLNVHSGTEQVPITAAADDDDERIEKKHKPLLGLTSRQNCPTLSGYSLRKLASYGEESETALKRRRTGAAQFGSSQVREDKALKATDPAHGSQVESGPTTTLPDKKLLIFILDRLQKKDTHGVFSEPVDPNDLPDYHVIIQNPMDFGTVRTKLDEGAYANLEQFEEDIFLICSNAMKYNASDTVFFRQARSIQELAKKDFENLRRESSDESEPEQKVVRRGRPPGKSLKKSLGIGNAIDSNGAEFCSGATLASGCDDSYNVNGYNLRRARSTFRPLPADPLARTSTAQAQHGETLASWLPEWKIEFPASVLKGVLKSGKNDNMAVNENRRDTYNRSTSCGNWPSVFGDLDGDLKQLITVGLHAEHGYARSLALFAADLGPAVWNIALKKIKGISRELGRVLIQEIEMLQQHHLLPLDGGSSDMKTVAESTANIGVSNNFLKLGEDADNEIDRVRNAESKTVLLDRSRGLIGSTTCIPNEQNILVPSNIHPTNGNLFPHFSQEMKMVRLDSILGGTSCSEYSTCPAPLNNASFQVPSSSDNTDLLNQTGMPKLAEEDASQSHAPWHSPARACFQDTVDAQQDKRSEKAHWQELSTRPVLDSIAFDPDLNFGLGLSAAPNSNLQILSQIQPDLVLQL >KGN55513 pep chromosome:ASM407v2:4:23057918:23065617:-1 gene:Csa_4G663720 transcript:KGN55513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNNPTRKVLCNTAKMVYAKGGRKPKSKPNRTYRLKKNVYRIGGVQVEFPFRPYGSQLEFMDRVISTLDRAQREGHCHALLESPTGTGKSLSLLCSSLAWQKNFKIKNQDANFCHTRPAPEAATNPLGFGGGFIPEVQPSNTESSLPGPNNKIRKKKTAPTIYYSSRTHSQISQVIREYRKTAYRVPMAVLASRKHLCTNPRVRGKDNLDEKCKLLLKDQDAGCSEFKNGNKVKCHPTLQKGGRHEVHDIQDLVKTGEAVKGCSYYAARSMADDAQLVFCPYSYIINPVIRRAIGVDVKDAIVIFDEAHNIEDIARHGGSVDIEEDTLNKLQMELEQLCFINYLVYQPLYEMTQRLVNQKSWIDRRKTTLQEREFQHYVTCWTGNHAQRELQEANITQQCFPILLECATKATKAASYTVSDDAHLSGLSVLTLEGLFSSLTYFFSRNGCHMSDYQLALQRYTKRDPGNHFCLNPAVVFRDIGDLSLSVILTSGTLSPLNSFSSELGVRFGTSLEAPHVIDVESQVWPAIISIGPGNYPLNASYKTADGYAFQDALGKSLEEIFFIAPGGCLVFFPSYKLMEKLRNRWSETGQWSRLNARKSLFVEPCGGAQEDFDSILKGYYHTIRLGDNFAVGKKVKPDESYVFGCENPKGAALLAVFRGKVSEGIDFSDDNARVVAHCHNMNELALNGIQVALKKKFNDKYKMSKNLLSGNEWYCQQAFRALNQAAGRCIRHKFDYGAIVLLDERFQEERNRTYISKWLRKSIKQFDNFEQSMEELKSFFSHIKERISNKTESELPKSVNEEHITSTCPSSCRRTKIEEFDKFNYRGQKGHQDVKNCTVDISQEEFVTDPKTKNHECNSVDRSSAISGNLTSPKDTSDEILLTEEDKKLHLSCALCRNPLGRPENHLNITCSFTVSSKTHLISIYKERFKAQTANSSASIQLIITDILFINQRLLVSDNCIGVQIMATNASNIPLLYKVMFFVGCLEIQDLSSKTSAPRPQLQAATGKA >KGN54486 pep chromosome:ASM407v2:4:13899622:13902495:-1 gene:Csa_4G337920 transcript:KGN54486 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase MAFSPFIRRAISSKLFSVHKSYLLRSPSTFHHAPTRINHSPSSSYSSLPSYMRAAVFSEPNKPLTIQEFHMPRPKAGEVLIKIKACGVCHSDLHVMKGEIPFPSPCVVGHEITGEVVEHGPLTDRKTIERLPIGAHVVGAFIMPCGNCSYCSKGHDDLCEDFFAFNRAKGTLYDGETRLFLHKDGKPIYMYSMGGLAEYCVTPAHGVSILPKSLPYTESAILGCAVFTAYGAMAHAAEVRPGDSVAIIGIGGVGSREEIHVRPAIIHTQAIPFLPQGVEGVRFCYGCGDHWCYGCGDQRGGL >KGN52822 pep chromosome:ASM407v2:4:484259:485700:-1 gene:Csa_4G001890 transcript:KGN52822 gene_biotype:protein_coding transcript_biotype:protein_coding description:Epoxide hydrolase 2 MEKIQHSTIPTNGINIHLASIGSGPALLFLHGFPEIWYTWRHQLLFFASRGFRAIAPDLRGYGDSDVPPSPSSYTAHHIVGDLIGLLDHLEIDQVFLVGHDLGATIAWYFSIFRPDRVKALVNLSVYHTPRIPEMPPLAIIRLFLGDDFYICKFQEPGVAEADLGSVDTATMMKKFLTLRDPSAPIAPNGFSTLLATPETLPSWLTEDDVDYYASKFAKTGFTGGLNYYRALDLTWELTGPWTGAKIKVPTKFIVGDQDLVYHFPGAKEYIHGDSFKEDVPHLEEVVVIEGAAHFINQEKADEINSLIYDFITKF >KGN53381 pep chromosome:ASM407v2:4:4144650:4152100:-1 gene:Csa_4G050840 transcript:KGN53381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWRELGKKGMHAGLRRTFTCSRRHIVRPNFLTTDEVVIKLIPASSRFIPDVPCGSAYHGSDFYVASTIEAPRRCFHSSAELLAGRGHDKEFGLKTQKKEKFVRKDGRNQPPVEAPYVPPKPKHSIGSVSDKTIEIFDGMTIVELAKRSGESISRLQDILTNVGEKINSEFDPLSIDVAELVAMEVGVNIKRLHSSEGSEILPRPAVVTVMGHVDHGKTSLLDALRQTSVAAREAGGITQHLGAFVVEMASGASITFLDTPGHAAFSAMRARGAAVTDIVVLVVAADDGVMPQTLEAMAHAKAANVPIVLAINKCDKPAADPERVKLQLASEGLLLEEMGGDVQVVYVSALKKTGLDSLEEALLLQAEMMDLKARIDGPAQAYVVEARLDKGRGPLATTIVKAGTLGSGQFVVVGCEWGRIRAIRDMVGKLADRAGPAMPVEIEGLRGLPMAGDDIIVVESEERARMLSAGRKRRFEKDRLKKLSEGKTETEEQSEEVVQRVELPIIVKADVQGTVQAVTDALKTLNSPQVFVNVVHVGVGPVSQSDVDLAQACKAYIVGFNVKNPPSSISQSATQAGTKIIIHRVIYHLLEDVGNLIVDKAPGTSETRVAGEGEVLNIFELKGRSKSKGPDIRIAGCRVTDGCFSRSSTMRLLRSGEVLFEGSCASLKREKQDVDAVKKGNECGLVIQNWDDFQVGDVVQCLEQVIRKPKFISSESGAVRIEC >KGN52940 pep chromosome:ASM407v2:4:1142609:1144989:-1 gene:Csa_4G006470 transcript:KGN52940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTSSPRPDRTKIANLWRFTRFIDYRCFAPPTLDFDPDSDALSRRPYRLLPRQDHIDLFVLKLDGSVFGIRVSRNSTVADLKRAIEKVFDSPGGSEHYKITWSLIWGHFCLCYEGEKLIDDKTCIKGYGIKDGDQLQFIRHMSINCLSMKKDRKNQTVPCKAMLFLSPESKAVEENQADGQDDFKDYQVHRDDSNREEVASVARAGFQLANLFKGRVLYSRIWGFCKSASEGRNRASSAFRIA >KGN53630 pep chromosome:ASM407v2:4:6053286:6068680:1 gene:Csa_4G092450 transcript:KGN53630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRHLINLKFTLQAVDTVVAGILHDIVDDTCQKLHSIEEEFGDEVAKLVAGVSRLSYINQLLRRHRRVNLNPGSLGHEEANKLRVMLLGMVDDPRVVLIKLADRLHNMRTIYALPLPKAQAVAQETLVIWCSLASRLGLWALKAELEDLCFAVLQPQMFLKLRSELASMWMPSSRAGSSRKISARADFPSLDSSSSTCCHNMPITVTDEATNMKELLEAVVPFDILADRRKRTSYLNNLQKSIDACIQPKVMQEARNALAALVVCEEALEQELIISVSYVPGMEVTLSSRLKSLYSIYSKMKRKDVSINKVYDTRALRVVVGDKNGTLHGPAVQCCYSLLHTVHKLWAPIDGEFDDYIVNPKPSGYQSLHTAVLGPDNSPLEVQIRTQRMHEYAEHGLAAHWLYKENGNKTPSLSSKDDSERDVSRYFSDTEFQNSIEDDSHKYGFLKAGHPVLRVEGSHLLAAVIIRVDEDGRELLVAVSFGLAASEAVADRSSSFQIKRWEAYARLYKKVSEEWWCEPGHGDWCTCLEKYTLCRDGMYHKQDQFGRLLPTFIQVIDFTEQEEFEYWAIMSAISEGKQIETASSRTSSNSVASISTDASINTKVRFLRTMLQWEEQLLCEAGNFRQAKQGGEYYVCRSSITLEEVVIVCWPLGEIMRLRTGSTAADAARRVGSEGRLVLINGLPVLPNTELKDGDVVEVRV >KGN55261 pep chromosome:ASM407v2:4:21516408:21522283:1 gene:Csa_4G642420 transcript:KGN55261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAVSTTINSKTAPQKGPPPHLHPTRHNSNRLPLFPSESDNAIHPRKPKSREVTSRFMPPSNSSSSPLLTKRSSSPSLSRTSSLAATPTQAASSLNKRSTSVDRRRVGTPRPYSLDFRTGFDNGGLGEMPASQKLLLTSTRSLSVSFQGESFSLQVSKAKPAPSPGARKGTPERRKSTTPARGGGVADKAENSKLIVDQHRWPARLRQENLMTRSLDCEDMAERRRVSGGSVNVIRQLQDSKAQGRASFDGVLSSDSVTAGMEKADELVVDANSENLSDHSNVLSSDSDSVSSGSNCGTQDYSPNEGQGQRGPRGIVVPARFWQETNNRLRRQPENGSPLSKNVGARSLAPSKLTVTKKFAMDSPTSTPREIANSRGQLSPIRGSLRPMSPSRLLASSTGPRLRNSVGSTPLNSLNSIPLSMTSFVADARRGKIAENRIVDAHSLRLLHNRLLQWRFANARADAAQSGLSLNAERSLYNAWLSTSKLRESVRTKRSELQLLKQKLTLTTILSWQMLHLEEWDELDQDFSNSLSGVTEALRASTLRLPVVGSAKADVQGIKDAISSAVDVLQTMASSICFLLSKVGKVNSLVSELANVSAKECALLERVKCLLSAIAVLQVKECSLRTQILQRRYVPST >KGN53857 pep chromosome:ASM407v2:4:8733998:8734602:1 gene:Csa_4G168990 transcript:KGN53857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCPCVLDCSDETSDLVTEVQCTLYTTLSEFDGNVEDENGLESLIEVQFEALQKAMKVSHKAAEARLRVSKKLLTLFRAGKLGQFILDDVPITKVS >KGN55214 pep chromosome:ASM407v2:4:21310144:21311877:-1 gene:Csa_4G641000 transcript:KGN55214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAKPKHPPLRQISNCFIKPKHLPPETKQLPYYLGPSELVFLSIHYIYKGLLFHKPPDHGVHFMVPLLHRLKNSLSTALFHFYPLSGRLATATNNGVYVDCVNSPGAKFIHAALDITVSDILSPLHVPSSLIQSLFDLNKIVNYDGHTLPLLSIQVTELLDGVFIACSFNHSLGDGTSFWNFFNMWSEIFQAQGDGDGDGDGDGDGDGDGDGDGDGDGDGDGDGDGDGDGDGDGDGDGDGDGDGDGDGDGDGDGVISISRPPILERWFPDGYGPTINLPPFVQLEQFEAPELRRRFFHFSAELIANLKAKANAECKTKEISSFQSLSALVWKAITRARCIKQDQSTRCHMAVNYRGRLKPALPENYLGNMITSVKVDAEAGELVETGLGWGAWKLHEAVTNITNEMIRESLEKWIQCPFTLRWSSVSNPCIVLITSSSRFNMYGTKFGMGKAMGVLSGYGNTIDGKVVAYPGYEGGGSVDLEICLQPQTMANLESDLEFMNAISSPPHFPS >KGN53521 pep chromosome:ASM407v2:4:5144931:5147607:1 gene:Csa_4G064040 transcript:KGN53521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCFPFLFSKRVKGPTRSSVDEEDFSSMQNGKLKDGKLAAIKVLSAESRQGLREFLTEINVISKIEHENLVQLYGCCVDDNHRILVYNYLENNSLAQTLLGRGYSSIQFNWRTRSKICIGIARGLAFLHEDVQPHIIHRDIKASNILLDHDLSPKISDFGLAKLIPASMTHVSTRVAGTIGYLAPEYAIRGQVTRKSDIYSFGVLLVEIVSGRWNTNTQLPIGEQYLLERTWNLYEQGELVLLVDTSLNGDFDAEMACKYLKIGLLCTQDSPKLRPSMSTVVKMLTGEMSVEDRKISKPGLISDFMDLKVRNPEENKGNREGVSSDSLSSGSNILGNSTMSSEASTQTC >KGN54244 pep chromosome:ASM407v2:4:11854810:11858480:1 gene:Csa_4G295480 transcript:KGN54244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQGLSCGERLENGLFRAVQNGDLEFVRTMVEADPSVLEQTTPRSRMSALHIAAAYGQIEILSLLLDRSVNPDVLNRNKQTPLMLATMNGKISCVQRLIEAGSNVLMFDSLNRRTCLHYAAYYGHSDCLEAIISAAHSASVAGTWGFIRYVNIRDGGGATPLHIAARRKQPQCIQILLANGALVCALTCAYGYPGSSPLHLAARSGSLECVRELLAWGAERLQVDSAGRIPYTVAMKRKNRACAALLNPSAAEPLVWPSKLKFINELNQEAKALLERALVEANMEREKAILKESSYASPSPLQSDAELDDAESEGCDLELCCICFEQACTLEVHPCGHQMCAHCTLALCCYKKPNSSTNCPTTPLCPFCRSSITQLLVAKIKVTDNVESEINSSKLRRSRKSNFSEGSSSFKSLSALGSFSKIGGHSTGKFSVECDEEVDKSF >KGN54991 pep chromosome:ASM407v2:4:19812992:19813689:1 gene:Csa_4G619050 transcript:KGN54991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKRYKLTWKLNKRFSNNNHQKWKNSVSFNRKKDEDDSYEVTLDDDFLTALEYGMPPASGLGIGIDRLVMLLTNSASIRDVIDT >KGN55024 pep chromosome:ASM407v2:4:20003206:20005027:-1 gene:Csa_4G622830 transcript:KGN55024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLHGLPPLKRLRILQEQEQKQNRQKEDQSLDSCSLPAKKRKESRDSSLLLIPDASPYCLPTKKRVWALHPDFAPESLDLNVEYKPHPLEEESISKSELEGEKKEEEDKEKEVSDVEMEIQEIETVQDEEEDDGILCAICQSTDGDPSDPIVFCDGCDLMVHASCYGNPLVKSIPEGDWFCSQCLASSSSKTEKKVTETPFSCCLCPLKGGAMKPTNDGQWAHIVCGLYVPEVFFEDPEGREGIDCSMILKRRWKTKCYICKTSSGCSIDCSEPKCSLAFHVTCGLKEDLCIEYQEGRRSGAIVAGFCRNHTDLWKKQQLTGKFKIVARDEGKL >KGN54928 pep chromosome:ASM407v2:4:19313492:19320165:1 gene:Csa_4G607050 transcript:KGN54928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPSRGRRSPPSGSASSSRSRSFSGSDSRSSSRSRSRSASVSRSRSRSRSISSSSSLSRSGSSRSRSPPPQRKSPTETARRSRASPPPAKRSSPPPRKPSPVRESLVLHIDALSRNVHEGHLREIFSNFGEVVNVELAMDRTVNLPKGYGYVEFKTRSDAEKAQVYMDGAQIDGNVIRARFTLPQRQKMPTPPRTVATVPKRDGPKPENVGPDAEKDDMKRQREPSPRRKPPSPRRRSPVARRGGSPRRQPDSPRRRPDSPPRRRIESPFRRDSPPPRRRPASPMRGGRSPSPLARRHRSPPRPSPRRIRGSPVRRRSPPPPRRRTPPRRARSPPRRSPVVRRRSRSPIRRPARSRSRSFSPRRGRPGAAGRRGRSSSYSASPSPRKVPRRVSRSPRRPLRGRSSSRSSSSSSPPRKP >KGN53363 pep chromosome:ASM407v2:4:4002899:4004978:-1 gene:Csa_4G050180 transcript:KGN53363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESFSLLKYWRGGGGTGATAAADFAFNSNSSTSATATVVTAVPRKEVDTDEEENDSDDGPFFDLEFAVPDEDEGRKQGRNCIDGSEEEEEEEEEEEEEENDDDDEEDEDGDGDEREFNFTLSSGSSNEHSDANLTFSPSDDLFFKGKLVPVEASSNGGSEPNVRPQLRVTLLKSAAKFRVFMSGLKKSKSNVEKKTETDGSVEETAKSETKEETTENPLVINENQPEKDQNQAKNKFFAVKFKVEEVPIMSLFTRDNSSRGSGVTTNNKPQKQTSDESTPEENRFSKDVLMQKYLKMVKPLYIRVSRRYGEKFKLSGQLILGAAGAKSSAPPSIAAPPTSPSAEKSLVETEAVQVKPPTTTTNNSKGRNMPAKLRVVCKHLGKSRSASSAVAAAPPGVAPSRRDDSLLQQQDGIQSAILHCKRSFNASRGSESSLLSRSVSDTLREKPSDLTEKAIG >KGN55543 pep chromosome:ASM407v2:4:23163886:23166540:1 gene:Csa_4G664500 transcript:KGN55543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMKGKVCVTGAGGFIASWVVKLLLSRDYIVHGTVREPSDAKYAHLTKFDKANENLQLFKADLLDYQSLRTAIAGCDGVFHVACPVPSTTSSNPETEVIGPAVKGTHNVLEACVEAKIKRVVVVSSVAAVFSNPSWPRSRVMDESCWSDTEHCRASKDWYFLAKTKAESEALEFGRRCGLDVVTICPTLVIGPVLQPTVNASTLVLLRILKEGSESMENRQRMLVDVRDVAEALILLYEKREAEGRYICTAHSIETRELVDALKSKYPDYSYPKKLSEWKAEPISLSSEKLQRLGWKYRPLEETLADAVQSFKDAGMLE >KGN53683 pep chromosome:ASM407v2:4:6663174:6665177:-1 gene:Csa_4G102320 transcript:KGN53683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLKWGFPSLTHIHLTRTPMATKCEGLGLGRLFSHPNSATFPSFLPKEVSKINDPLARTMARKIERLPMTLFETCIMSSCVKPAKRSEKNPVVLLHCFDSSCLEWSYAFPLLEEAGLETWAVDVLGWGFSDLDSLPPCNVETKRSHLYQFWKTYIKRPIVLVGPSLGAAIAVDFAVNHPEAVSVKLSI >KGN54338 pep chromosome:ASM407v2:4:12450548:12453602:1 gene:Csa_4G304840 transcript:KGN54338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLYIRRESSKLWKRVCAEITTEIILLAENWKYLLGGLVCQYIHGLAARGVHYIHRPGPVLQDVGFYLLPELGPDKAYLSETLFSFIFLSFFLWTFHPFILKTKKIYTVLLWCRVLAFLVASQILRILTFYSTQLPGPNYHCREGSKLATLPPPKSILEVFLIFPRGVLYGCGDLIFSSHMIFTLVFVRSYQIYGTQRFIKQLGWLLAIVQSFLIVASRKHYTVDVVVAWYTVNLVVFFVDKKLPELPDRTNVVVSTLLPLSTKDRDTKPKDENHKLINGNSGDPADRRLRTQVNGKILEEGNAVHINGSINGAT >KGN55364 pep chromosome:ASM407v2:4:22110300:22111520:-1 gene:Csa_4G646380 transcript:KGN55364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEEYSALPEPLSDFYDILCIGLDWNDDEAPHISHLQPLSSYPEGGEESNGEEEREEQQIQMEKQSHPPPEVGGSRRVPQTPLARQRQRAGRMTVNDLKEYLHLPISEAAKKMNLCLTVVKKICRRSGLRRWPYRKVKSYQRKMGALGTRLRSRDAGTRARAEAEMERLRQELAQFCAGIVPD >KGN53927 pep chromosome:ASM407v2:4:9380090:9382262:-1 gene:Csa_4G189010 transcript:KGN53927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESITIHSSSTIIPNLPTPNLTLSLSEADQFRAWAYSTTVYVYKFLDAAVVVDTLKSSLSEILVPYYPFAGRLRLIVGSRFELHCCAAGALFIEASYGGTLDDCSDFTHADGLRKLTPKVDYNSPIEDVPLFVVQVTRFSCGGLVIGLNVSHTLVDGVSAIMFINSWASIARGEKTAKSILQPSHDRNVLQAQKPFSPPRFFHYEYHVLPFVIGCSDYKEQVEKLRMRANSSNPTNQNIEKSVDVNEVLLQPSPYSRYESITGHIWVCASKARNINNDKSNLSTMVQIVVNVRQRLRKPIPKNFCGNAALISVTLQSNFGELMSQPLSYSAKKIREASWKMTDEYVTSAIDFLTTHEDICWTRTSSSSSSSIVQVRNTYLGNPNLSVVSWLSMPIYDADFGWGHPDYVGPLMLDCDGETFIMPGPNNDGSIIVAIQLHMKHMEDFKKFFYEDL >KGN53391 pep chromosome:ASM407v2:4:4216614:4219186:1 gene:Csa_4G051430 transcript:KGN53391 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor MADSTTLQPPVTGAPAGPTKKPRNSRKALKDKNSSPEVPQSQSMVPKVTAPSEGEILSQNQTSAKKPKSKAAAKKQPANQSFDKDLQEMQDMLQQLKLDKEKTEELLKEKDEMLKQKDEELKTRDKEQEKLQIELKKLQKLKEFKPTMNFPMIQIFKDKEQDKKEKKKCAEKKRPAPPYILWCKDQWNEIKKENPEADFKETSNILGAKWKTISAEEKKPYEEKYQAEKETYLRITSKEKRESEAMKLLEEEQKQKTAMELLEQYLQFKEEAEKENKKKKKEKDPLKPKQPMSAFFLFSNERRASLVAENKNVVELAKIAGEEWKNMTEEQKGPYEEMAKKNKEKYMQEMEIYEQKKEEEAAILKKEEEEQMKVQKHEALLLLKKKEKTETIIKKSKEERQKKKKEGKNPVDPNKPKKPASSYILFSKEARKSVMEEKPGVSNSTVNALISVKWKELSEEERKIWNDKAAEAMEGYKKEVEEYNKSVAEMKGDDDDQEKS >KGN54853 pep chromosome:ASM407v2:4:18252750:18258612:1 gene:Csa_4G551650 transcript:KGN54853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVAGWQTVALFGLLSWIALASYINITHKLRSSLQPWVTQHVVTGAPLILRIQKYQNSFFDALFSGLSCIVSVPFYTAFLPLLFWSGHGKLARQMTLLMAFCDYLGNSIKDVISAPRPSCPPVRRITATKDEEENAMEYGLPSSHTLNTVCLSGYLLYYILSYTENIHASYAFAGFALVCLLVGLIGLGRIYLGMHSPIDIICGFVFGLMILLFWSNVHEYVDSFITTGQNVIYFWGALSILLLFAYPTPEFPTPSFEFHTAFDGVAFGIVAGVQQTYHQFHHEAVARIFTPQLPLFTFLGRMLVGLPTILMVKFCSKALAKWILPIVSNTLGMSIRSTSYIPMLNSSSTGKVDGCKQRGPLHKLFFFSSQDSFDIDTGIRFVQYAGLAWSVVDLVPSLFAYLNL >KGN53227 pep chromosome:ASM407v2:4:3022566:3026473:1 gene:Csa_4G029990 transcript:KGN53227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATSHSLFSFTNSQSLNKPTSLLPPTSSKSLFRSPSLSFRSNSLPAIRCSLNNADDPIEKKFASFPTVMDINEIRKILPHRFPILLVDRVIEYNPGVSVVAIKNVTINESFFPGHFPERPIMPGVLMVEAMAQTGGIVMLQPDVGGSRENFFFVGIDKVRFRKPVIAGDTLVMRMTLVKLQKKFGIAKMEGKAYVGGDVVCEGEFLMAMGKEE >KGN53076 pep chromosome:ASM407v2:4:2009549:2009764:-1 gene:Csa_4G015170 transcript:KGN53076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLREDSFCGYRIDHTVVVVGYGSDEEGDYWIIRNQYGTQWGMNGYMKMQRGTRNPQGVCGMAMQPSFPVKY >KGN53965 pep chromosome:ASM407v2:4:9714383:9718154:-1 gene:Csa_4G196810 transcript:KGN53965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAYALLNDSTPRGVNGGFDDSPLTSASTNSNGSDELNHQQIVQVPQPRLPVGKMVRKRIASEMEIEGLDSGGGGGGGGSGGTTAVHPRFCRRTLASDRPFGENKTNVNYCSSSNPSHGGNHSTVVHNLTALTSVVIEGSNLSNPPSGSDATVSSTTSNNNLLDSTLPVLRPQPHHHHLQNPAVCGFSGLPLFPPESNHHHNKLNTRNNPFPLPNPSQVLLHNPPTTATTSIIAAASSPMDDSSATAWIDGIIKDLIHSSTAISIPQLIQNVREIIYPCNPNLANLLEFRLRTLTDPSVPNFATEDHRVRKSPLPLPAPVAGLGLQQRQFNQEQHEQEHDCSGLKLNLDSTSLHNLSNFPSQPPFHEPYLQWGATPPPVPTPSAAAAGEDALQRLPGHHQLNLSSVTPSSLVSLNHVPSKPQSEQQNSCTKAAAAAQPAPAPPSTSNNPSATALLIREIKEEMRQQKRDEEGLHLLTLLLQCAEAVSADNLEEANKMLLEISELSTPFGTSAQRVAAYFSEAMSARLVSSCLGIYAALPPSLVPHTHSQKIASAFQIFNGISPFVKFSHFTANQAIQEAFEREERVHIIDLDIMQGLQWPGLFHILASRPGGPPYVRLTGLGTSQEVLEATGKRLTEFAEKLGLPFDFFPVADKIGNLDLERLNVSKREAVAVHWMQHSLYEVTGSDSNTLWLLQRLAPKVVTVVEQDLSHTGSFLGRFVEAIHYYSALFDSLGVSYGEESEERHLVEQQLLSREIRNVLAVGGPSRSGEVKFQNWREKLQQSGFKGISLAGNAATQATLLLGMFPSDGYTLVEDNGTLKLGWKDLCLLTASAWKPPFHHHAAAAAAAVTNNHIPRY >KGN54144 pep chromosome:ASM407v2:4:11230649:11233131:-1 gene:Csa_4G289620 transcript:KGN54144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSQQKPTWKVKRNLYTNCYLSINAIHLHKSLTFLQLMAFKITPSYFLFPPFLHSLLLLLLVLPTCSFSQLFKNVTLGSSLTATQLNDHHNYWVSQSGDFAFGFLPLGSQGFLLAIWFYKIDEKTVVWSANRDKLVSKGSTVQFTSAGQLVLNDPGGNQIWTATASSSGNTNRSVSYAAMLDSGNFVLAATDSEILWQRFDVPTDTILPSQTLNMGGALVARYSETNCKSGRFQLLMQTDGDLVLFPHPLEKTNISYWASNTTRSGFQLVFSLAGSIYVIAKNNTILTTVVPNTLSPQNYYLRAILEHDAVFRLYVYPKVTSNSTMPKAWTQVSDPVNICIMVSNGTGSGVCGFNSYCKVAQRCDKSFIETDDFEFVAMENTNWPHGDYANFNPVSEDWCKNECLNDCFCALATFRNESKEQNNNHCISSSRKLYFPKLSLNFILFLLTLFICYHFRKRKSDVVEKDPSILGVLNIRIFSYEELNNATGGFIQHLGRGSFATVYKGIIDSDNNNNLVAIKKFDNVVPDGEQEFKAEVIAIARTNHKNLVRLLGFCNEGEHRMMVYEFMPNGSLADFLFGTSKPNWHSRIRIILETARGLCYLHEGCSTQTIHCDIKPQNILLDESFSARIADLGLAKLLKKDGARTTPMTMTMTNGESKGYVAPEWFRGLPITVKVDVYSFGVVLLETICCRRSLEEKEENEKQKVLRDWGYECLKEMKVEMLVEKDEEAKMELKKVKKFVMIAIWCIQEEPSLRPSMKKVLQMMEGGIEVSFPPHPSSFISSIS >KGN53887 pep chromosome:ASM407v2:4:9001038:9009953:-1 gene:Csa_4G181200 transcript:KGN53887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFNLKQRIHPNFIAKDPQPQRDTKRLLETRPRIRSITDRYNKMKEEQNQLMNSVSELQFWKREAAALKQQLHYLQECHRQLMGEELSGLSVKDLQNLESQLEMSLKGVRVKKEKTLSDEITELKQKGNHMHQENVELYKRLDMTRKENAELQMKAYGPMEIDKTSSSSQQFTITNRYSMPALQLRQPQPQNNETPGIKLGLQLQ >KGN54824 pep chromosome:ASM407v2:4:17837957:17838292:1 gene:Csa_4G508520 transcript:KGN54824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIEEIHHRLKPIIDINDPHVQIIGKLAVEDHDSHNPGEKLKFVRVVNGLKSDKYIGPGFTEVILYHLVLEAKTNEEINWTYATKLEKVYSGCLIRHVFLSFEPVLPYNKP >KGN54347 pep chromosome:ASM407v2:4:12512722:12517498:-1 gene:Csa_4G307890 transcript:KGN54347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEPLISENRSELGDGGRDQLDHYQYLRTTGSANPIDSFAGTQVSVEEIRSAPNVSHYYPPSLHGALLSSPEPDPRDQGLFYQGGYGGEFARTGNSLGRQILDEVEIRELLIDHVGHRCCWGSRPARRWKISAVEDCNVYVGTLDTFIEERDVIKETEPYRGGTIDGKNNGPELGIWELDLKSEFPLLFVPSHESRKRIPHSETVEKCSVCAGRGDLVCPTCNANQEPGFYVENHMVQCPSCYGRGLIAHRDGSDTICAKCDGKGKLPCATCGSRGLIKCETCQHSGSLLTRSVAVVRWRTLSTRKVSAMSGAASVPDEVFHRAKGVQLCNTQAYQCTPAFFADSFFLNKFSSEVIVDRAPVSPTARVICERHIISVVPVTRVTMAERGRFFSFYIVGLSKEVYLKDYYPSRFCWGLCPCLEWLKV >KGN55530 pep chromosome:ASM407v2:4:23122643:23123080:1 gene:Csa_4G664375 transcript:KGN55530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAITLDDKLHNISGPFDTKCLPFFAAFPIPYATSHCIAVSLCFSLSHAFLFPPPLTFNPPTCKLVTLNLLSSSYLLVFSSISTSVAWIRPTTGPPTDSYLLNGSLSCTTTPTVTPSSSFLLPYPLKFNFFIQSSNGIAVSNGWN >KGN54350 pep chromosome:ASM407v2:4:12539418:12540532:1 gene:Csa_4G307920 transcript:KGN54350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLIFDYVKGDMIQYEFVYIQTINGFQCDFHSSSNLNYVAFFFDFPSQSFFHYASFSISDFPPFFFSLTSFFIPAVAGSYFRHGFILDCNCYLRIETPGVWDFALFQACAENWAVGEVQDFPCV >KGN54878 pep chromosome:ASM407v2:4:18726660:18735464:1 gene:Csa_4G571740 transcript:KGN54878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALLPTLDFKLPLFSPSSTDLPTPISSSIRFCRLLNSSHRGRRKWKVLAAASESESESAQQSFIGSFGKCVMGFAATAAALSSVCCGSPALAESLTVAFPVSRAPEVNTVQRTLIEAWGLIRETFVDPTFNHQDWDLKLQQTMVEMFPLKSGDAAYRKVSAMLSTLGDPFTRIISPKEYQSFRIGNDGNLQGVGLFINVEPLTGHLIVLSIIDGSPAARAGIHEGDELVEINGERLDGVDSETVAQKLRGRVGTIVTVKVHDVRDVSNSSIREVKIPREYIKLSPVSSAIIPHRTQDGQLSKTGYVKLLAFSQTAASDMESTIHEMESQGVQSYILDLRNNPGGLVKAGLEVAQIWLDGDETLVNTIDRDGNMSPINMIDGHAITHDPLVVLVNEGSASASEILAGALHDNGRATLVGHKTFGKGKIQSVTELHDGSALFITVAKYLSPARHEIDQVGIVPDIQCTADALNSPKEILGKNKSSASPLEADSCIMIAEHELDIQQSKGTAS >KGN55012 pep chromosome:ASM407v2:4:19941601:19942850:-1 gene:Csa_4G622220 transcript:KGN55012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLQFLVKWLFFVLRLTVNGFVEEEGLRENCWASDGNYYAKVLDMMKMRMKDFPIQLAARPQLQLSLDNILYGCSSISNFYVCCAR >KGN53018 pep chromosome:ASM407v2:4:1687718:1688143:1 gene:Csa_4G011650 transcript:KGN53018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAQQLNSKYSIHEQNQSLHKYRHNEYSSLHRNLKRRNTPQRNLKTNRMLLESKSREIVAGLLKRNWCPSEEKGKSIVDSLRAKKMVFFKGALMGDYAWTV >KGN54500 pep chromosome:ASM407v2:4:14094390:14102122:1 gene:Csa_4G340510 transcript:KGN54500 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerol-3-phosphate dehydrogenase MSPSHTNGSTHNCNGLEDKLDELRRLIGKSDGDPLRIVGVGAGAWGSVFAALLQDSYGQFREKVQIRIWRRAGRVVDKVTAEHLFEVINSREDVLRRLIRRCAYLKYVEARLGDRVLYADEILKDGFCLNMIDTPLCPLKVVTNLQEAVWDADIVVNGLPSTETREIFEEISKYWKERITVPIIISLAKGIEAALQPVPHIITPTLMINRATGVPIENILYLGGPNIASEIYNKEYANARICGAEKWRKPLANFLRQPQFIVWDNSDLVTHEVMGGLKNVYAIGAGMVAALTNESATSKSVYFAHCTSEMIFITYLLAEEPEKLAGPLLADTYVTLLKGRNAWYGQMLAKGALNLDMGDSISGKGMIQGVSAVSAFYEILSQSSLNVLHPEDNKPVAPVQLCPILKTLYKILIKRDGTPQAILEALRDETLNDPRDRIELAQSHAFYRPSLLGQP >KGN53675 pep chromosome:ASM407v2:4:6564684:6571704:-1 gene:Csa_4G099770 transcript:KGN53675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSQIYFTLTAFFLLVIIISPTQAGSQPEDGAAADRIWVLPGQPKVSFEQFSGYVTVNREAGRALFYWLTEASIQPLSKPLVIWLNGGPGCSSIAYGASEEIGPFRINKMASGLVPNKFSWNSLANLLFLETPAGVGFSYTNRSLDLLDTGDRRTAKDSLEFLVRWLDRFPWYKTRDIFITGESYAGHYVPQLAREILAYNAKSSHPIHLKGIMVGNAVTDNYYDNLGTVTYWWSHAMISDKTYHELINICDFSRQKESNECESLYTYAMDKEFGNIDQYNIYAPPCNNSDGSLATRQSTMRLPHLTRAFRQMAGYDPCTEKYAEIYYNRPDVQKALHANTTKIPYRWTACSELLNRNWNDTDVSILPIYRELISGGMRVWVFSGDVDSVVPVTATRYSISQLKLSTKVPWYPWYVKNQVGGWTEVYEGLTFATVRGAGHEVPLFKPRAALQLFKSFLKGEPLPKS >KGN55574 pep chromosome:ASM407v2:4:23390904:23400615:-1 gene:Csa_4G674750 transcript:KGN55574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFYTVKWQWLLILHIVPLCMIMSRSSGITSDGEALLSFRASILDSDGVLLQWKPEEPHPCKWKGITCDPKTKRVIYLSLPYHKLSGSLSPELGKLDHLKILALHDNNFYGTIPSELGNCSQLQGMFLQGNYFSGSIPNELGNLWALKNLDISSNSLGGNIPISLGKLSNLVSLNVSANFLVGTIPNVGMLLNFSESSFLGNRGLCGKQINVMCKDDKKEPETNESPFSVQNQIGKKKYSGRLLISASATVGALLLVALMCFWGCFLYKKFGKNDSKGLVLNGCGGARASGVMFHGDLPYMSKDIIKKFETLNEEHIIGCGGFGTVYKLAMDDGNVFALKRIIKLNEGFDRFFERELEILGSIKHRFLVNLRGYCNSPTSKLLIYDFLPGGSLDEALHGLRTEGSEQLDWDARLNIIMGAAKGLAYLHHDCSPRIIHRDIKSSNILLDANLEARVSDFGLAKLLEDEESHITTIVAGTFGYLAPEYMQSGRATEKTDVYSFGVLVLEVLSGKRPTDASFIEKGLNIVGWLNFLVTENRQREIVDLQCEGMQAESLDALLSVAIRCVSSSPEERPTMHRVVQILESEIMTPCPSDFYDTD >KGN54171 pep chromosome:ASM407v2:4:11381779:11385586:1 gene:Csa_4G290860 transcript:KGN54171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRIELSKMSWNSNIEVHCMNNGYPYSTASFMEYFEGLTYDHVNFIFSGASHSQETVCPSTNSSYKFGHSDLWSASYFDAQSFEVQDHESTIDEHRRPLSTVQNEQSSGNSVWEENANPNMSGNSMECPRRHSNYHEYQTIWQEIVDPDNMTYEELVDLGETVGTQSRGLTQELIALLPVSRYRWGFFSRKKSRNERCVICQMEYKRGDKIITLPCKHKYHTSCGTKWLSIKKACPICYTEVFGDTSKR >KGN54890 pep chromosome:ASM407v2:4:18844300:18844640:1 gene:Csa_4G572350 transcript:KGN54890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNYDVESSSCSSGNGFRDKNRALWYGILGVEFHGGSNKLFRTNDICSFGHRTSTSIPLPLLLDRSRTDDTESY >KGN54989 pep chromosome:ASM407v2:4:19801682:19803694:-1 gene:Csa_4G618540 transcript:KGN54989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFELIFIPAPGIGHLASTVEMANVLVTRDHRLSVTLLAMKLPYDVKVAECIESLSTSFAGKNIQFNVLPEPPLPEESKKDFIVLVESYKPYVREVVSNLTASAATSIDSPRLVGLVIDMFCTTMIDVGNEFGVPCYVFYTCSASFLAFSLYLQELYEENGSNEVVEQLLNSDNVELTLPNFVNPIPSKLIPTLFSNKDKAVWFHNHIKRFRLEIKGILINTFEEMESHVAKSYSQVLPPLYFVGPVLHLKNAGVAGSSEAQNNADIIMKWLDDQPPSSVVLVCFGTMVSFDEAQVAEIANALEESGVRFIWSLRQPPPKGKFEAPKNYNDIRNFLPEGFLDRTMSIGRVIGWTSQVEILAHPAIGGFISHCGWNSVLESVWHGVLIATWPMHAEQQFNAFEMVVELGLAVEVTLDYRITFGEDKPRLVSAEEIKSGIKKLMGEESNEQPLLNVMRVASWMVLVCDVCLRLRAKLMAVVSSLAIRMLQWGEWSGITTLDVVTRKRERKMEKGRSLNIAEARGD >KGN53544 pep chromosome:ASM407v2:4:5375816:5376158:1 gene:Csa_4G075740 transcript:KGN53544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPHSTRVVIIDTKYVQTDAKSFKTVVQKLTGKDSVVTVAEETRRQTGSARNSSLLRDSSFKEFQRVLREMPRIDELYSD >KGN54576 pep chromosome:ASM407v2:4:14860678:14865360:-1 gene:Csa_4G365550 transcript:KGN54576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSTAAKIGRPSSSPLSLLLKPQTSRRILTPSASFSSSLPSRKLILYSKPGCCLCDGLKEKLHAAFLLSGPDSLHDVHLQVRDITTNPEWERAYQYEIPVLAKVRSDGSEEVLPRLSPRLGVEFVQKKLAAALQ >KGN54693 pep chromosome:ASM407v2:4:16047980:16049100:-1 gene:Csa_4G427780 transcript:KGN54693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLKGSISVKVVAVKQFIKEHATIKHMKEWFAENNYLQDIDQRKNIEFLNDKSKLLALLAQATTDTNFQRLLSTECIIYRHQINIFFHIGARV >KGN53777 pep chromosome:ASM407v2:4:7686615:7693724:-1 gene:Csa_4G126470 transcript:KGN53777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKEVFHLLFAAHDTRLEVDIENGDAKNIAILRIQLPLRFVSPNRLPIPSSQFFQGECKFIRIMAESVGSRNMDKKLIQIDISSDTVCPWCFVGKKNLDKAISASQDQYDFELNWHPFQLNPTAPKEGVVKTEYYRSKFGIQSEQMEARMAEVFRGLGLDYDTSGLTGNTLESHKLIYLAGQQGLGKQHDLVEELCLGYFTQGKYIGDRDFLLECARKAGVEGAAEFLETADNGVKEVKEELEKYSGKISGVPFYVINGKHKLSGAQPPEVFLRAFQVAGK >KGN53784 pep chromosome:ASM407v2:4:7801601:7802286:-1 gene:Csa_4G129010 transcript:KGN53784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLAELGVLLDAEKKVISKQQASVEPQPKAMAAFQTWTRAANEKCMLTPYFPPSKPLKFIIAHCIFGTSNIIKLLLVLL >KGN54136 pep chromosome:ASM407v2:4:11178840:11183277:1 gene:Csa_4G288070 transcript:KGN54136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKSLGCGSSTQVASATLCHLWRSSSHSGALFQPYPNDINLKKQLMRGKKSKNNGGLRLVSGGGIIKAALAISAEPTTTVITKVIVKKITGVTSSSNLTNGSQPPQKLLQLGFASTLLDPRTGSEKPPITVQAKLISENEVEEIYEASLEISSNFGEIGAVIVENHNEKEMYIKEVNLNGLASGPLTVSCKSWVQPQTLVPTQKRVFFTNKVMLNPFLDHHPHSEQRATGSIYVPRDEAFSDIKSKEFTADKFSAFLRTFIPRLQVHFDPNIGFPNFKAIDALFDVDGFNLSLPDSTSFKDLLPWIFKTIYEIEEFKFRFQPPVPMDGDKFFWLRDEEFARQTLAGPNPCSIQLVKEWPLSSQLDPKVYGPPEAAFNTEMIDQEIGSMTVYERIS >KGN54440 pep chromosome:ASM407v2:4:13326877:13329969:1 gene:Csa_4G331090 transcript:KGN54440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKGAILMERYELGRLLGQGTFAKVYYARDIKSGLSVAIKIIDKEKILKVGMIDQIKREISVMRLIKHPNVVELYEVMASKTKIYFVMEYVKGGELFNKVSKGKLKESVARKYFWQLISAVDYCHSRGVCHRDLKPENLLLDEHGNLKVSDFGLSALAESKRQDGLLHTTCGTPAYVAPEVINRRGYDGCKADIWSCGVILYVLLAGYLPFHDPNLMEMYKKIGKGEFKFPNWFAPEVRKLLSKILDPNPNTRISIAKIMGSSWLRRELNVKPQVNTAEEVNEGDPLDLDAVFVSTAEDRNSVKDQKPEMEKPLNLNAFDIISLSSGFDLSGLFEEADLKKEVRFTSNKPASVIISKLQDIAKCLKLKIKKKDRGLLKIEGCKEGRKGAVCIDAEIFEVTPSFHIVEMKKSNGDTLEYRKIMKDNIRPALKDVVWTWQGEHEQQRRQLLPAEQQQQGHEAQQQSSSDSL >KGN55475 pep chromosome:ASM407v2:4:22842677:22844745:-1 gene:Csa_4G652870 transcript:KGN55475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEPPTETRRSPPQPLIEEPQPKKLKMSSTTTTSDDEDVNSNSAAPKKQRYKRRKVAIFFAYCGVGYQGMQKNPGAKTIEADLEEALYLAGAVPEQDRGLSKRYDWARSARTDKGVSAVGQVVSGRFYIDPPGFVDRLNSNLSPQIRIFGYKRVTASFNAKKFCDRRRYVYLIPVFALDPNCHRDRESVKASLGSDNELVKCLECSERGRKVEGLIGKRNFEVKTAITESDISSNTVNAIEVSDVQANGVLEDSATTSDSNKQTSVLVENTATELNVGSEGTAKSESSEMSGLGSDSEIVVSKESVNGKEKSSGSFKYGEEEKARFNRILKHYVGTHNFHNFTTRTKAEDPAARRFIVSFDASAIVVVEGIEFVKCEVVGQSFMLHQIRKMMGLAVAIMRNCAPESLIEKALQKDVNINVPTAPEVGLYLDECLFTSYNQKWKDTHEEVSMKAYEEAAEDFKMKQIYSHIASTEHKDGAVALWLHSLNHRNYPDLRVIDEVAKIAKNASLDMETQAET >KGN55548 pep chromosome:ASM407v2:4:23185244:23188682:-1 gene:Csa_4G664550 transcript:KGN55548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDARIQELDPETEFLCSKRKTQNDWELFKENVRPLKRGRNISILNNALKSHTDNHIKKSLLENRRKLIEAIDDYKGDDPLQPWLECIKWVQEAYPAGGDFSGLVLIYEQCVRTFWHSDRYKEDLRYLKVWLEYAENCFDAEVIFNFLHANAIGTTHSAYYISYALHLESKAKTKAANDIFNLGISRNAQPMDKLKDVYRMFLTRSMQRPKVAEESMEKSLPVRSFGTVLSNPEHRPHTMGNFQPTRKNMKAERPYLAPLDVYDDSKVVSTSHLELDASKTHLNSWTILGSRRERNKENNALPSKWTSHKIPQRIGSRVGGASTSASLEVFVDEECEEPAHNLELNEGGKSSTTHLRQVDGRNYKRETDLLRQNPLRNFPSNSFR >KGN52927 pep chromosome:ASM407v2:4:1083353:1088533:1 gene:Csa_4G006340 transcript:KGN52927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSISLDISSTFFTIPSTRSNRISSRFPSAFLPSRPFTFTLTSFQSSPLPALQSKRTSPRFSVFASTATPTSESDESEVLTKIPPDNRIPATIITGFLGSGKTTLLNHILTADHGKRIAVIENEFGEVDIDGSLVAAKTTGAEDIVMLNNGCLCCTVRGDLVRMISELVNKKKGKFDHIVIETTGLANPSPIIQTFYAEDSVFNDVKLDGVVTLVDAKHATFHLDEVKPEGVVNEAVEQIAYADRIIVNKTDLVGEPQIADLVQRIKKINRMAELKRTKYGKVDLDYVLGIGGFDLERIDSSVDTESREDHAHSHHEDHKHSHDHDHDHHHHDHDHEHDHKHDHHSHDHTHDPGVSSVSIVCEGILDLEKANMWLGTLLLDHSDDIYRMKGLLSVQGMDERFVFQGVHDIFQGSPDRLWGPDEPRINKIVFIGKNLDGEELEKGFKACLLG >KGN53783 pep chromosome:ASM407v2:4:7787006:7789033:-1 gene:Csa_4G128010 transcript:KGN53783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESASSATSMLKDELDIVIPTIRNLDFLEMWRPFFQPYHLIIVQDGDPSKTIKVPEGFDYELYNRNDINRILGPRANCISFKDSACRCFGYMVSKKKYIFTIDDDCFVASDPSGKPINALGQHIKNLLCPSTPFFFNTLYDPYRDGADFVRGYPFSLREGVPTAVSHGLWLNIPDYDAPTQLVKPLERNTRFVDAVLTIPKGTLFPMCGMNLAFDRDLIGAAMYFGLMGDGQPIGRYDDMWAGWCIKVICDHLGLGVKTGLPYIYHSKASNPFVNLRKEYKGIFWQEDIIPFFQQVVLPKDCTSVQKCYIELAKQVKDKLSKVDPYFDKLADAMVTWIEAWDDLNPAGAPAKLPNGKA >KGN55006 pep chromosome:ASM407v2:4:19900774:19907985:-1 gene:Csa_4G621170 transcript:KGN55006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSIMIHVGFVLFLLWLLSAFNCCHVAAYFISLIYLYLVHERFFLKLRRKLQFEERKQANQRRVLTDSETVRWLNHAVEKIWPICMEQIASQKILLPIIPWFLEKYKPWTAKKAVVQHLYLGRNPPMFTEMRVLRQPSEDDHLVLELGMNFLTADDMSAILGVKLRKRLGFGMWAKLHLTGMHVEGKVLVGVKFLRDWPYLGRIRLCFAEPPYFQMTVKPIFTHGLDVTELPGIAGWLDKLLSIAFEQTLVEPNMLVVDMEKFISPQPENWFSVNVKEPVAYVIVEVVEGADMKPSDLNGLADPYVKGQLGPYRFRTKIQRKTLCPQWREEFKIPIVTWESENVLAIEVRDKDTFVDDVLGNCSVCIADLRDGRRHDIWLPLENIRTGRLHLGITVFEDRKKVEEYPCQAETLNVDENEVSPKSETKNDKDSILPVSMEPEKGIDNFEPIDVKGQKETGIWVHRPGSEASKTWEPRKGPSRRLDTKIRREPNESVSSGEIKSCTNDSSSTDESLEEKHRKISVRRGLRKLSSVFHRSPRDEERSGSLVEPAKSPQYTNVRAANAERGIKVILVDNISSTADKVSKEGKSSNDGSDSESPGKGGNVKGMAKSIFRQAEKSARSIRHAFSRKGSRRFQIDSLGMNERDAAVESESSDDEPDTPTVCNPTTIVGIPVITETKAPAPHSSTLNENVLPAGSSDNVKENGQSAADRSTDAVRMAKIEDDEDDNK >KGN54476 pep chromosome:ASM407v2:4:13745255:13748997:1 gene:Csa_4G337330 transcript:KGN54476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIDLQRILEEFPQSNVHVIMNNNQRVDEHSPIQLPTCAFCQRVLVPDSDAPSEPEPIDICGDCKFLLLEDIETPVRDSYHRMTPRGRRAMRSSSESPENLFSQEFTHMINMVRQRQSSISSHGDRYADGSNAMDFMQHSSSHTTPNQSRRWRQVYSDSENDGLDSFDSMFEESESNFSFGPYRHSYGDSDVVSNRTYGGESDVSLDDGHSFLDTDVFILPGEGSDTDTDIDPMRAGVGHWDSSDEEDDHGEFTEAETEQEVGSSEARPQLQNFHVSSASRRGNSSNWNEQLSSPEYEGSTPGHIRRNRRFYSITNYAQSELLSYVGDSGDYLDRQGFEELLEQIAETTTSSRRGAPPAAVSFVKNLPRLVISKEHLKHDSISCAICKDFLHLGVEVNQLPCLHLYHPSCILPWLSARNSCPLCRYELPTDDRDYEEVKQSSINAAAVHGLQPRVVGLENPSGLNGVGANPEFETSQEEDQRNIDSAVISSNNSGRGARRRWLFVAAPIVGLVGIALMLWFGNPLCDQRVSGGQLTDGRQLDAHSAESSNQRENRSRRWWQIV >KGN54412 pep chromosome:ASM407v2:4:13062964:13063679:1 gene:Csa_4G314400 transcript:KGN54412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEFGRCFPDDEVLIFSRKALCRRRIFSLSIIYTRKASLQRTPMSICAPFGGV >KGN54703 pep chromosome:ASM407v2:4:16133474:16137127:1 gene:Csa_4G430840 transcript:KGN54703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENNGIKAEFRKPSSETAGRKYRRRSSVSGSSSDESPKRDRSSSPKLLRDDASKHSERKPRRKEDERDLNKDSRNHHSRSSDSYRYSDRKSSRSLHGYSRHDDYVRHDKYADEERDYERLSSRSNRESKGSAHYDHTRRESEHSRSREYFRDVEKGSRDKYDASGHRSRDGDSLSERHGSGSRRHASFEEMEKHRNARDRDGQDEKRDNIKHSGDYKNERVLSHDDGRGNRYDSLLGRDESKHRTKDINKNDRKDLDDEKSSKEERKHDARETHWDKVQGKESKGKYDGKGVFVDENQGLPAKKPKLFSSGKEVNHEEDADENQSSTSKKEQDGKMSLGQGQSGDSDFAADFSAAKVAAMKAAELVNKNLVGGGYMTTDQKKKLLWGSKKSTAVEESAHQWDTALFNDRERQEKFNKLMGVKGEVKMESRPTNQDGSELLRAEKQKELQMDLEKQYTAGLRRRDGRTVGLGL >KGN54614 pep chromosome:ASM407v2:4:15142626:15144051:-1 gene:Csa_4G378010 transcript:KGN54614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAMDFYNEGSQTDHFGGELMEAIVPFIKVASSSSSSLSTFPSSSSPTPYLSPHYSSSFNTHLNFSHSASQQSNLYPNGCSTSMNPVFSDGFSTQNLIGFEQPVTIGPHQLSSSQIPHSQPQNNLLQNQTPLAFAWGQQNHQPPSSSEQPPSFLAPKPIPMKQVGSSSKPTKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTFDTAEEAALAYDKAAFKLRGDSARLNFPNLKHQGSCVEGEFGEYRPLHSSVAAKLQAICDNLAKPQKQGNSKKPVTAAKKSKSQSCSMAEETAAVKVENSSSRAVTESDGSEASSPLSDLTFPDFTEMLWDQSQPWENSMLEKYPSEIDWASILP >KGN52888 pep chromosome:ASM407v2:4:843652:848227:1 gene:Csa_4G004970 transcript:KGN52888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHILIHGTLHVTIYEVDKLHSGGRNFLKQLVENVEEAVGFGRGITRLYATIDLEKARVGRTRRLEREHSNPKWYETFHIYCAHMASNIIFTVKDDNPIGATLIGRAYLPVREIIRGDEVDKWVPILDESKKPIRGAPKIHVKLQFFDVTKDQNWGHGIKSPKFPGVPYTYFSQRRGCKVTLYQDAHVPDNFIPKIPLAGGKFYEPHRCWEDVFDAIMNAKHLIYISGWSVYTEISLVRDKRRPKPGGDVMLGELLKKKAGEGVTVLLLIWNDTTSVPILKEEGLMATHDEDTAKFFANTDVHCVLCPRNPDDGANVIQDIAVGTMFTHHQKIVVVDSALPNGDPSKRRIVSFVGGLDLCDGRYDTPFHSLFRTLDSAHHDDFHQPNFAGTSINKGGPREPWHDIHSRLEGPVAWDVLHNFEQRWRKQGGKDLLLKLRDLDQIFITPSPVTFPDDFDSWNVQVFRSIDGGAAFGFPDKPEDAAKAGLVSGKDNTIDRSIQDAYINAIRRAKNFIYIENQYFLGSSFEWRGDDIKPEIINALHLIPKELSLKIISKIEARERFTVYIVVPMWPEGFPEGGTVQAILDWQRRTMEMMYKDIVLALRANGIEEDPRNYLTFFCVGNREVKKPGEYEPSESPEENSDYLRAQQSRRFMIYIHSKMMIVDDEYIIVGSANINQRSMDGARDSEIAMGGYQPYHLSTREPARGQIHGFRLALWYEHLGLLHQSFLFPESIECVKSVNQLAEKYWDLYSSETFDHDLPGHLLRYPIAVSADGQVTELPGFQFFPDTKARVLGNKSNYIPPILTT >KGN55202 pep chromosome:ASM407v2:4:21242730:21242930:-1 gene:Csa_4G639895 transcript:KGN55202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGSDGGVSISGRTGGGAKEEKSRENLTSLLPIWRRRGDVVFVNQIHSLRHIPSSATVNTHTLLTN >KGN53587 pep chromosome:ASM407v2:4:5673692:5676986:-1 gene:Csa_4G083610 transcript:KGN53587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFGSGTRILLLLIFSVFGGYTAAECNFFAGSWVVDESYPLYTAASCPFVEHEFSCVKNGRPDLGYTKYRWQPLHCDLSRFDGKLFLEKLRGKSILFVGDSLSRNQWQSLTCMLHSAVPDAPYTLTRVGDVSTFTFTEYEVKVMLERNVYLVDVVKEEIGRVLKLDSINEGSGKLWESIDFLIFNTWHWWNRRGPSQPWDYIQIGKEVLKDMDRMIAFEKAVRTWGRWVDLNIDTQKSKLFFQGISPSHYNGTLWGEPRAKSCAGQKEPVSGSVYPGGLPPAVEVLKGVLSKVKKPVQLLDVTELSLLRKDGHPSNYGLGGRVGLDCSHWCLAGVPDTWNLIFYNLIILPS >KGN53661 pep chromosome:ASM407v2:4:6400985:6404656:-1 gene:Csa_4G097680 transcript:KGN53661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFQGDEESSAPRVSSKSQFRFNSPLVQVFLIGLVCFCCPGMFNALSGMGGGGQMNTAVADNASTALYTTFAIFGIIGGGVYNILGPRLTLFAGCITYVLYAGSFLYYNHFKDQTFAIIAGAILGVGAGFLWAGEGAIMTSYPPPDRKGTYISIFWSIFNMGGVVGGLIPFILNYHRTTASSVNDGTYIGFMCFMSIGALISLAILPPSRVVRDDGSRCTNITYSSVSVEFVEILKLFLNWKMLLIVPAAWSSNFFYTYQFNNVNGVLFNLRTRGFNNVFYWGAQMVGSVGIGYILDFSFKSRRTRGLFGISLVALLGTGIWAGGLANQLRYSRHNVLDKLDFKDSGSDFVGPFFLYFCFGLLDAMFQSMVYWVIGALADDSETLSRYSGFYKGVQSAGAAVAWQIDTHHVSFMSQLVVNWSLTSLSYPLLGFLVFFAVKEDKKPTDETCKEANSCIS >KGN55531 pep chromosome:ASM407v2:4:23125281:23125978:1 gene:Csa_4G664380 transcript:KGN55531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREYVVGKLAETISNRKQWVEDGEPAIFVWAQKLHRYVMHIHRNRQPPPRSFFLEERAGQEGAELLETHGHNWFLYLRIMEEKAPVEDLLPLESSPYVKYKDLEEYKRKGYGVEGHLVPKPNQGGGTDAPTLSGSGLPEVQPTTIDIVTKQGLIR >KGN52891 pep chromosome:ASM407v2:4:858771:859896:1 gene:Csa_4G005000 transcript:KGN52891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTRLALRNLQQRAAFASSPVDTLFPKQTAALIRRLSDQSSEGNSKEIAVKNEENTKIAPKREGKKWVPWRKNEGEFHLGNSLMQAAEHINRVLKSLNLSRPWWVSGGRVKEQEDWYKLRVEMPGIAKDEVKVTVEGRMLNIRGEHREEEEEEEDEGFAESYGYYESTVMLPEDAVAEEIKAELKDGVLTITIPRTEKPPKDVKEITVV >KGN54072 pep chromosome:ASM407v2:4:10868402:10871099:1 gene:Csa_4G280490 transcript:KGN54072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPLKAVSLTHVRYQRGDQLGHFLAWVSLVPVFISLGGFLSHFIFRRELQGMFFALGLVISQFVNEFIKTSVQQARPETCALLEMCDSHGWPSSHSQYMFFFAIYFTLLSYKGIGLWGTESKWILNLLAWSLALLTMYSRVYLGYHTVAQVFAGATLGGLLGALWFSFVNSVLFCYFPAIEESQFGRRFYIKDTSHISNVLKFEYDNARAARQKLDCKCD >KGN54653 pep chromosome:ASM407v2:4:15664479:15665405:-1 gene:Csa_4G416980 transcript:KGN54653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIWAAIASYLPQRTDNDIKNYWNTHLKKKLKSAFEDNNNSPHTSKSSNHSPTSEFFSKGFFNNIDSSKRTFDFDSASSSSAASCFGPNRSSTASSSSSSTYYSSAENISRLLEGWMRSSPKKTDDNENILIHFHEKQNHDNGDSSMVSIQGLEPKTEEDGGDRLVLGKEIESSMVVSPENLNNDDHNVGVCWEKSSNFDVGKMMKIKKNSFEDCENDNIIKQNRSENNINNNNNNNNNNPPLSFLEKWLLDDTVVQVEEMMSLSPMF >KGN55411 pep chromosome:ASM407v2:4:22448418:22453140:1 gene:Csa_4G651780 transcript:KGN55411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIDHHLDQHHQAADGLMNLFTKANLDLSVVQYRLEKEFQQIYPESANPMKLVSRIKKIQDDISTLKEQCREVLIAKQDVIDQARTVLLGNRNMLQKMQASMGIPFVSDLDDPAFSEFKQITEEWTTQVQSKFSGEDGQEDADCNDVNKLLFSAVVQA >KGN55479 pep chromosome:ASM407v2:4:22877555:22884074:-1 gene:Csa_4G653400 transcript:KGN55479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANEQDEVQANPEATALALTSVTQEAISPGDKLQIPSYATKDLLSIGVCIPCIIRLSGIERHSYSFSLSAPILGSDNEAIDDANDRNTCCLCLGILQFSYIDDKGDFIKCKGVDDLAVSISEMVKKEGHQIDSFSLEVSVPSIILENEKRVWLYLKRKYGSEQWFQGKPPPICLSAKDALKICITKPLETLLDCKSSSSGLRIRLTYSHPKASNSNGNSIKRNQDGFKMRKIVGSDEPSDISNCNSVADDCINPSQEVVFPQETIKEPCHLALTCYRTSIYIVGRYIKYSRNVSQTRWVIGEERMGEASVEEILGNNILPFCRGDSYKFHAAGREDLDVRMLGSGRPFLVEIQNARLLPSEMIINEIQSKINSSENKLIGVRNLKVVGSEGWALVQEGEAEKQKQYAALVWTSRPLEDADLLSISSLKDLKILQRTPIRVLHRRSPLEREKIIHWMKIEKVAESSQYYLLHLCTQAGTYIKEFVHGDLGRTHPSIGSILSCRAEILQLDVTDIKMDCHLGE >KGN54972 pep chromosome:ASM407v2:4:19669174:19679176:1 gene:Csa_4G617390 transcript:KGN54972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASILMKFGLLLFVFSLIFYPSDSAVSSIDLGSESIKVAVVNLKPGQSPISIAINEMSKRKSPALVSFQSGTRLIGEEAAGLVARYPNKVFSQIRDIIGKPYKYTKRLTDSLYLPFDIVEDSRGAAGFKTDDNVTVFSVEELLAMLLAYASNLAEFHSKVQVKDTVISVPPFFGQAERRAVLQAAQLAGINVLSLINEHSGAALQYGIDKNFSNESKHVIFYDMGSSNTYAALVYFSSYNAKEYGKTVSVNQFQVKDVRWDPELGGQNMELRLVEYFADEFNKQVGDGVDVRNYPKAMAKLKKQVKRTKEILSANTAAPISVESLYDDRDFRSTITREKFEELCGDLWEKSLLPVKELLKHSGLKMADIYAVELIGGATRVPKLQAKLQEFLGRKELDKHLDSDEAIVLGAALHAANLSDGIKLNRKLGMVDGSPYGFVIELDGPDLLKDESSRQVLVPRMKKLPSKMYRSVVHNKDFEVSLAYENDLLPPGVDVPTFAQYAVSGLTDTSEKYSTRNLSSPIKATLHFSLSRSGILYFDRADAVIEISEWVDVPKKNVSVENSTIASSNATVEDSGNTSEGKNDTSIPENGGADDTSNPSTEEQGAPEPATEKKLKKRTFRIPLKIIEKTVGPGVPLSKEYFAEAKSKLEALDKKDAERRRTAELKNNLEGYIYATKEKFETSNELEQVCTSKEREAFNEKLDEVQDWLYMDGEDASATEFQERLDMLKAIGDPIFFRLKELTARPQAVETVRKYLLDLQTIIQNWETKKPWVPKERIQEVKSESDKFKIWLNEKEAEQKKNSASSPPVFTSEDVYSKAFNIQEKVTSIDKIPKPKPKIEKPVNESASSKEDEKSSDSTTDKSSTKGDESVKDSESPASESAESESESQPELNEHDEL >KGN55151 pep chromosome:ASM407v2:4:20901684:20907430:-1 gene:Csa_4G638400 transcript:KGN55151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIIKTLQFLLILFLSSQSFAQNEEDDEGIKRSDFPNHFFFGTSTSSYQIEGGYVEDGRGTSNWDVFSHIPGNIKNSDTGDVADDHYHRFMEDIEMMSSMGMNAYRFSISWTRILPKGRFGKVNRRGIVFYNKIIDNLLLKGIEPFVTIHHHDLPDELDKRYGSWMSSHMQEDFVYFAKICFKEFGDRVKHWITINEPNLVTLMGYIKGVYPPAHCSPPFGNCSVGNSDIEPLIVMHNMLLAHAKAVFIYRTQFQKKQGGSIGLVAYCHMYEPLTNNEFDLQAVDRALIFSFAWVYDPIVYGDYPKEMREVFGSQLPSFSNTEKNIIKGSLDYICVNHYTTLYAKDCLHSPCSNGGDRPIKGFLDTMGYRNSVSIGDPTGMDRFFVVPRGLEKTINYINQRYPNKPIFVTENGYSTPPSDGNKVEDIINDTKRVNFHRNYLASLVRAMRNGADVRGYFVWSLMDNLEWIHGFNTRFGLVYVDFQTLERRPKLSAHWFASLLGGNLQHSSSILNKNTFDHLMYD >KGN54886 pep chromosome:ASM407v2:4:18796304:18805373:1 gene:Csa_4G572310 transcript:KGN54886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSSGTTLMDLITADPSTTSAGSTSTAASSVQSSMISSSSNSSSSVLPSALGKPAGEKRSKRAALMQIQNDTISAAKAALNPVRTNIMPQRQSKKKPVSYSQLARSIHELAATSDQKSSQKQLVHHVFPKLAVYNSVDPSLAPSLLMLNQQCEDRSVLRYVYYYLARILSDNGAQGVSTGGGIPTPNWDALADIDAVGGVTRADVVPRIVNQLVKEASNPDVEFHARRLQALKALTYAPSSSSEILSQLYEIVFSILDKVADAPQKRKKGVLGTKGGDKEEILFVWLVDSLEEVLQTPKSDKFFRETFCNGGFSWIQETTNKRGSFLEISKVLKSGKKGNIVVPAGIDLKGWEQFRRLLLDFLNAEYEPRAPHSEKKIIPKPPFGTRSKNHSQPRHPLRSSIHGKFPTRIHDEIDPTIDVSISSPGSLISEDKFAPLEVEDVQHISDFSSDVHRHFHEVSTTERVREEGLQLIALEKNIVLPLPNEETTEIESVTELEPAVFIQNPFPLSKEVVAALLE >KGN54149 pep chromosome:ASM407v2:4:11250186:11250505:-1 gene:Csa_4G290160 transcript:KGN54149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METENEDEMILSDWVYDCMNERKMETLMREDEEGRSDMKRVERFVKIGIWCIQEEPSLRPSMKKVVQMLEGAVDVSTPPDPSSFISAIKE >KGN54399 pep chromosome:ASM407v2:4:12990513:12990959:1 gene:Csa_4G312810 transcript:KGN54399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDFATNSNIEELASFADDLVAILKDPTDVRNLNQCLEHFKTLQSSCDDDFTSVQSSLEDYEKKIKACREKTEEAKANTVADDEMEILEKELEEEIGKGHLLMEEIR >KGN54701 pep chromosome:ASM407v2:4:16121196:16127309:1 gene:Csa_4G430830 transcript:KGN54701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGICQAKVIANPKAKCEDNTDSQTKNPTSNLPFFTPSPLSSVFKNSPSVGAKRGSRSRSRPFPPPSPAKHIKELLARRHGSGKPNQVPIPENEEGEREGEVVDVNREFGYSKQFVGNYEIGEEVGRGHFGFTCKAKAKKGSLKGRNVAVKIIPKSKMTTTIAVEDVKREVKILQALNGHKNLVQFHGAFEDDTNVYIIMELCEGGELLDRMLLRGGIYSEEGAKMVLVQVLSAVAFCHLQGIVHRDLKPENFLFTTKEEDSTLKVIDFGLSDYVNPDEKLNDIVGSAYYVAPEVLQRSYGSEADMWSIGVIAYILLCGSRPFWDRTESGIFRTVLREDPSFDDEPWPSLSSDAKDFVQRLLHKDQHKRLTAAQALCHPWLTNHPDVKIPLDLIVYKLVRAYIYSSSLRKLALNALAKTLSFVQLAYLREQFDLLGPNKNGFISMQNFKMALMKASTDAMNDSGVIEYVNMVSSSKYKRLDFEEFCAAAISVHQLKDIESWEQHARYAYDLFEEYGNRTIMIEELASELGLAPSVPLQVVLQEWIRPSDGKLSFMGFIKLLNGAPPSTNKKT >KGN54687 pep chromosome:ASM407v2:4:15975155:15975367:-1 gene:Csa_4G426750 transcript:KGN54687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLGDELKRLRRFNKELFKGRSEKATKLYVSDKWRKGSMAESLGVKLGFLYLGPMVLSLWSMESNVLDLG >KGN53532 pep chromosome:ASM407v2:4:5240326:5241372:-1 gene:Csa_4G064640 transcript:KGN53532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEVKLLDFWGSMFGMRIRIALAEKGVSYEYVEQDLRNKSHLLLQMNPIHKKVPVFIHNGKPICESYIILQYIDEVWKDKAPNLLPLHPYDRAQAKFWVDFIDKKLYDGAKKIWAGKGEEREKGKKEVIEILKQLEQVLGDKTYFGGESLGFVDIGLIGYYTWFYTYETIGNFSIESECPKIMCWVKRCMQNESVAKSLPEPKNVYEFVVQWKKSFGLD >KGN54620 pep chromosome:ASM407v2:4:15271172:15274431:-1 gene:Csa_4G380280 transcript:KGN54620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLVLVSIYITKNLSSISTSTPHFLLLHFLLFNLTLQEKKQIEVRIMEKTADLSRCGFMRGVFQRRCKWLKKSSVHSLPTNTTENLNLKQPKFDNPTTQYRISEAPTARNSAEQDQKNFKKLTLSDQPRPSISQQKIQSRRPSDAARSSTSSSNGSALSRPMNEPKPHIRISLSNGVVLGNLKQIGSGNLSANNSPRLIKEMNSSPKLGGSRNSTGSNNMGNIIRRNSSEFRQIRDRLEPDVLKSMGNEAYKKGNYEEALTFYDRAIDLDSENAVYYSNKAAALIALDRLMEGIEECTKALKFQPSYQRAHHRLATTYLRIGEPEKALDHMEKSGPYSDINDINKARILRNCLNKCNEARKLQEWEILLKETQYAISSVSNSAYKLYAFQAEALLKLHRHQEAYCIYQKGRTLRTNSLIKSFSLSDSALLLSIEAQVYMTIGRFEEAVAAAEQSTQLDPTNKEGIRVAKWAKLVSSARLSGNLLFKESKFSEACIAYSEGLENDPYNSILLCNRAACRSKLGQYEKAVEDCTAALHAQPSYSKARLRRADCNAKMERWEASIQDYEVLIRETPGNEEVGRALFEAQIQLRKQHGEDVKDLKFGSNLVSISSYEHFRHLVTSPGMSVVLFFNKGNKKQGIEVFEQVYKRFPSVNFLKVEIEDHPYLAKLENVSSIPSFKIYRNGTIVKEIPASKPHSLESLVKLYSS >KGN55085 pep chromosome:ASM407v2:4:20430639:20432861:1 gene:Csa_4G628330 transcript:KGN55085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEFSNQRPAKSAKPGIRTGGDGGGSEIVEVQGGRVVRSIGRKDRHSKVCTAKGPRDRRVRLSAHTAIEFYDVQDRLGYDRPSKAVDWLIKKAKPAIDKLRELPGWNPNVLDMSTQKLTKQAEKNSENKIPVSIHPSEESATRISNRRANFMVGDGGISKCTMQNLQNISTEDNHNSDNSNFLPPSFDSDSIVDTFKSFLPVTTAAAAETPSSIFEFDTFPPDLLSRTSSRTQDLRLSLQSLQGPTSKLESEQTQQNDHLYFSGTTPLGCFDGWSEQQPPPTMEISRFQRILQWSTVSADHSGGGDGKAGGVDGEFLYNSQPTSSIFPPPSPPLPILQPLFGENQLVSQRGPLQSSYTPSIRAWIDPSIAFMDNQQQLSPPSIYQSSFSGLGFATGGFSKFLIPTRIAGEEEHDGISEKPSSASSNSRH >KGN53899 pep chromosome:ASM407v2:4:9098972:9104661:1 gene:Csa_4G187290 transcript:KGN53899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIDGDFEDTSRRVQVRFITKLIAPFKVPANAIAIPSNLTRLGLSTVVNNLLSAGNPEWEEEPFDFLIDGELVRMSLEKFLLAKGISAEKTLEIEYFKAVAPRREEEPSVHDDWVSAVDGSCPGFILTGCYDGFGRVWESAGLCTHILEGHSDAISSVCIVNPGEATNVTLATASKDRTIRLWKYSKDDRVKNPTKLRPFKILKGHRLSVQSVASQKSGHLICSGSWDHTIKLWRTDEHQSEGDVSIKKRKKNTQAEESQLEEDSVATLDGHSQSVSSVAWPKHEEIYSVSWDHSVRRWDVETGNVLFNHVCGKALNCLDIGGEGSSLIAAGGSDPTIRIWDPRKPGTSSPIFQFSSHTSWVSDCKWHDKSWFHLLSASYDGKVMLWDLRTAWPLAVIDSHNDKVLSADWWKDDSIVSGGADSKLRISSGIYVL >KGN53787 pep chromosome:ASM407v2:4:7821446:7823456:1 gene:Csa_4G129040 transcript:KGN53787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVFISAVFLFVFFSLGAAYDPLDPNGNITIKWDIMSWTPDGYVAVVTLNNFQMYRHIMSPGWTMGWTWAKKEVIWSMVGSQTTEQGDCSKFKGNIPHSCKKNPTVVDLMPGVPYNQQFTNCCKGGVVAAWGQDPQAAVSAFQLSIGQAGTSNKTVKLPKNFTLLGPGPGYTCGPAKVVPSTTFLTPDRRRKTQALMTWNVTCTYSQFLARKNPNCCVSFSSFYNDTITSCPSCACGCQNKSNCVQNDSKILKLVGINTPRKDNAPLLHCTHHMCPVRVHWHVKQNYKEYWRVKIAITNFNYRMNYSLWSLVVQHPNLNNVTQVFSFDYKPLVPYESINDTGMFYGMKFYNDLLMEAGPFGNVQSEVLLRKDQNTFTFKQGWAFPRKVYFNGDECMLPPPDTYPFLPNAAQQRPVGLSSSITLLLLLMLLAMC >KGN54574 pep chromosome:ASM407v2:4:14826406:14835918:-1 gene:Csa_4G365040 transcript:KGN54574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTPPLQSVNATEVGATETAKRELRTVPRKLVQSTLLPHKPQDQEENGVDREEVNNCREEEELCGSQGKKKRKSKGKTTPQSRSSKKAKEKRAVNLTPKKILNFEETTPTIPDLRLEAKMTREENSRMFAGRQMHPFFSSLKAGKKGQEATQSAERGYTVEKKETGTDCNPIHVFEETGDDELSLDWKNWTFTDRNIIHNVHTLQKTCSSVCESSIKSLSLDDLPIVLAPSDSANGAIEEPVDHNSIKQECLKELTSSVYSVDVDQETMLHHLLSSSKMDDNLNKTRGISDFEARPIPEHQSRFLQDRMQSYYLRCQSHSKNCLWTYKYQPRTAMEVCGNLESVKFLSEWLHLWYERNSQKKKDFAGGKKFQKQDNNGYCSQSDSDYESPDEEDGLKNVLLVTGSSGSGKSAAVHACAEEHGFRVFEFSASVIRSGAVLKQMIGEALQSHQLKWSVKKSQGPRNNFIEKCSSLQESTAATCLASEVTELIPLSDDDSKDYLKGVGEFEYMASESLNNQVEAKPLILLEDVDIIFLEDRGFISAIQEIAETGKGPIILTSNNSDPVLPVNLDRLQISFIRPSSTELLGHLYKICASEGVSIQPCLLERIIHCCHRDIRKTIMHLQFWSQGTRFRDKVQKKYGSLLFDIDAGHQILPVIMPWSFPSQLSELVDKVITKTLIEMETICLMETDGGEFNEVEMQNGLNYQNYEASCLLEAKKAAMLSRNGSIEDHNEFVVDFDTAHECSDISGAPIPLPRKKHRRRLDMVVSSDSEDIPINKECSLVSNTDDGLLSSHHQISPNYPSPLNGLLYHMSDNPVEDYYPSLETAGVHVNEMSMSAATSYVPESIFVPETEIHDMELFPKMVSHGDAGASPEISMDELFDNVLAVEANGFSSPSHTVQETTDVLEDSCNVFNLSCPEGKGFSCNGHMENNVRGYPVMDECSRIDFNKSKFVEKPELEVSGDSVQELWKQLRFGRLDLLGDHVTPEKKETIQIIDLVHRMSHLISDSDLLSSCQPQDILETPTFEFEESDSFSWGGEQLQMASTIAQHGFSLIANDIATTGSHVGCDSSVDIVSEMLASTTNTAALGKLLRHSSTTKILKPSLPGYCHMLDRDMKSHLFDVIQKVAPNRLYLSLKGVQFFEYLSSLRCISRSETLRISRGPDKTKRRRGRVARHYLSTGSHLFSPEDITLLGQSNLPYKDIQGC >KGN54189 pep chromosome:ASM407v2:4:11515783:11515965:1 gene:Csa_4G292990 transcript:KGN54189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKTTEPTRSKATKGRLPPKRGSIKLKIISKLYAVVIGFASKLAGRQNKKSVDQATTEEP >KGN54601 pep chromosome:ASM407v2:4:15069091:15069422:-1 gene:Csa_4G377150 transcript:KGN54601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPLCQSNTTPRSFGEVDEQQVDEGEKQGSSSCVEVFEAAIDELHSPALSDEDTCIEGFEGERGKVVNLLKNQAVKVVLSRVMH >KGN53619 pep chromosome:ASM407v2:4:5942597:5942797:-1 gene:Csa_4G090870 transcript:KGN53619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFFFWLKILTEAGGVVTDAAGNALDFSKGRYLDLYKGIIVTNQRLMPSLLKAVPEALQQTSSSTL >KGN53245 pep chromosome:ASM407v2:4:3184293:3194705:-1 gene:Csa_4G038630 transcript:KGN53245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHSAYDSFELLKDNPSKIESIESYGSKLFIGCSDGSLRIYSPNSSASDRSSASDFHSRSTELQQEQYVLEKNVSGFSRRSLVSMEVIDSRELLLTLSESIAFHKLPNLETLAVITKAKGANAYSWDDRRGFLCFARQKRVCIFRHDGGRGFVEVKEFGVPDTVKSMSWCGENICLGIKREYVILNATSGALTDVFPSGRLAPPLVVSLPSGELLLGKDNIGVFVDQNGKLLQEGRICWSEAPSVVVIQNPYAVALLPRYVEIRSLRSPYALIQTIVLRNGRHLIDSKHALVVGLDNSAYGLFPVPLGAQIVQLTASGNFEEALALCKLLPPEDSSLRSAKESSIHIRYAHYLFDNGSYEEAMEHFLASQVDITYVLPIYPSIVLPKTTLVTETEKLVDLDDPHLSRASSGFSDDMESPLHQLESDENTSLESKKMNHNTLMALIKFLQKKRHNIIEKATAEGTEEVVLDAVGDRFKKSYKGRGNIPISSGAREMAAILDTALLQALLFTGQSFAALELLKGLNYCDVKICEEILQKNKHYSALLELYRCNSMHREALKLLHQLVEESKVNESQTELQKFKPEMIIDYLKPLCGTDPMLVLEFSMTVLESCPTQTIDLFLSGNIPADLVNSYLKQHAPNLQATYLELMLAMNESSISGNLQNEMLQIYLSEVLEWYADLNAQNKWDEKIYSSTRKKLLSALESISGYQPEVLLKRLPSDIHVPELALSYCDRVYESLANQQPTKSSGNIYLTLLQIYLNPRRTTKNFEKRITNLTSPQNMGTPKLGLGPSFKVKGGRAAKKIAAIEGAEDMKVSLSNTDSSRSDGDTDEPGEEGSSSIMLDEALNLLSQRWDRINGAQALKLLPKETKLQNLLQFIGPLLRKSSEAYRNSSVIKSLRQSENLQVRDELYSQRKPAIKITSDSMCSLCKKKIGTSVFAVYPNGKTLVHFVCFRDSQNMKAVSKDSPIRRRT >KGN54954 pep chromosome:ASM407v2:4:19530223:19531025:-1 gene:Csa_4G615740 transcript:KGN54954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPLLPSPFVFVSLLFLLSWLTHFAKVFEAAIDELHMSFGVLRRLSRRNFDTQMGYLTTWVSIALTNEDICVKGFEGGREKIVNLLRNGMVKVGYIMSNALALVNKLVVSIFEIGRRFGISLEFDVEIGYYNDDVLDKIGFFFVAYGD >KGN53650 pep chromosome:ASM407v2:4:6288940:6291296:1 gene:Csa_4G095600 transcript:KGN53650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINLSIASLPSTLPIPSKFLNSTSKSSFNALQIRFPFSFRLPPKTATTGRGRNSSVVMMAKREDELKDIRSKTTEEINEEVIDLKGELLMLRLQKSARNEFKSSEFRRMRKRISRMLTVRREREIEEGVGKRLSRKLDRKWKKSIVVRPPPSLKKLREEEAAAEEAEKSA >KGN54473 pep chromosome:ASM407v2:4:13714996:13715381:1 gene:Csa_4G337300 transcript:KGN54473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVFKKTSRGIVKSTKRIKTPVSRRKRHTDSFVRNCNQNPKQEEKTNYRRRFEEEEESKRKRKLHHRSFSFLLSSKKKQSNRSKMEDREEEDIRRGNNGGVKTANGGGRRA >KGN53248 pep chromosome:ASM407v2:4:3202447:3205418:1 gene:Csa_4G038660 transcript:KGN53248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLGLINANPVVHAKKERIARSEDFHGDDAVDPLEIYDFVRDIRDPEHPYSLEQLSVLSEESITVDEKLGRILITFTPTIQHCSMATVIGLCLRVKLKHFFPPHYKVDIKVSPGSHANEDSVNKQLNDKERVAAAMENPNLRQLVDECLYSSEL >KGN54502 pep chromosome:ASM407v2:4:14106308:14109955:1 gene:Csa_4G340530 transcript:KGN54502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGELPLNAIPLESRPGILLVGSSNVGKRSLLSRLLTVDFEDALNSSSQVSVHGWTINTQYYTADVSVSVAHLHEDFSIEALPMFNQLAALVMVFDMNDLSSLVTLQDWATRVDLQNFDVLLCIGNKVDLVPGHPVHMEYRKLLQKQRLKDSSIDYSDTAEYGISETEGSSLLGDEDSSWETRRSCLEWCIERNIEFLEACASNADFDQCLSIDGDIQGVQRLYGALSAHMWPGMTLKSGDKITKPSLPKEELSEEESDFEIDYEVLSAGSAEPWDDTDYQGCSLNGEGLSMDAGAHRKDDDIAEQNRACQNISKQTKGEENPVAMDGELDQVTNPCEGKHLDLEDLERLMSEIGNMRDSLRLMPDFQRREMAAKLATKMAAMFAGSSDDDDEDETR >KGN54546 pep chromosome:ASM407v2:4:14604936:14611405:-1 gene:Csa_4G361800 transcript:KGN54546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSPSFPSGSRGKWRKKKRDSQIGRRNNYSNTFNNGTNRHDDDDEDEDLVAAENDEMERDNNDDSEDPQIGLHPTPNSTIQEPELLSDDKFRVSEFPQVVKRAVTRPHSSVLAVVAMERTNQYGESKGVPGNSLILENVSYGQLQALSAMPADSPALLDQERVEAGNAAYVITPPPIMEGRGVVKRFGSRVHVVPMHSDWFSPATVHRLERQVVPHFFSGKLPDRTPEKYMEIRNFVVAKYMENPEKRVTVSDCQGLVDGVSNEDLTRIVRFLDHWGIINYCAPTPSCEPWNSNSYLREDMNGEIHVPSAALKPIDSLVKFDKPKCRLKAADVYSALPCRDDIDGLCDLDNRIRERLAENHCSSCSRSVPIAYYQSQKEVDVLLCSDCFHEGKYVAGHSSVDFLRVDMAKDYGELDSENWTDQETLLLLEAIELYNENWNEITEHVGSKSKAQCIIHFLRLSVEDGLLENVDVPGVSLSSSASHGGDSEKSRSNMNGNIAGSSSQDNKEMHDRLPFANSGNPVMALVAFLASAIGPRVAASCAHASLAALSEDSVASSGSIFHMEGSVNANRMNVDAKQAREGSSYGELPNSTDRKDENKAETEATLLSSERVKVAAKAGLAAAATKAKLFADHEEREIQRLSANIINHQLKRLELKLKQFAEVETFLMKECEQVERTRQRFVAERARMLGVQFGPAGVTPPASLPGVIPSMVVNNSNTNSRPNMISPPASQPSVSGYSNNQQPLHPHMSYMPRQPMFGLGQRLPLSAIQQQQQQQQLPSTTSSNAMFNGPSNAQPSLSHPMMRPVTGSSSGLG >KGN54067 pep chromosome:ASM407v2:4:10849086:10849427:1 gene:Csa_4G280440 transcript:KGN54067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPVSNSILVMPQWNFDLEGKHKMGKSIWELGIEDLVKTGLKLHEAVEFNRILQNVLSTAQRSKPTEVWRELMARRALKPTHPYELHRLLYYSVYANWNISSNGPPPYWFPSM >KGN54700 pep chromosome:ASM407v2:4:16096524:16099079:1 gene:Csa_4G430820 transcript:KGN54700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISVSDLYHVLTAVVPLYVAMILAYGSVKWWKIFSPDQCSGINRFVALFAVPLLSFHFISSNNPFSMNLRFIAADTLQKLIVLVALAVWSHLSSKGSLEWSITLFSLSTLPNTLVMGIPLLKGMYGDSTGTLMVQIVVLQCIIWYTLMLFLFEYRGARLLIAEQFPDTAGEIVSFRVDSDILSLDGKEPLQTEAEIGEDGKLRVVVRKSTSSRSEVFSRRSHGGGGGVSLTPRPSNLTNAEIYSLQSSRNPTPRGSSFNHSDFFLGNASPRHSNFGNFGNFDEENGGMKGRGISGNVNGIFPSANGYPAPASGGLFSPVTGPAATKKRNGGDGGKDLHMFVWSSSASPVSEGGINVFRSGDYDAPGAGGMNPKDFNEYGGDEFSFGNKSAVNGGGHDGGPVLSKLGSSSTAELHPKHGDDTAESKPTSMPPASVMTRLILIMVWRKLIRNPNTYSSLIGLAWSLISFRWNIAMPAIVARSIAILSDAGLGMAMFSLGLFMALQPKIIACGNTIASFAMAVRFITGPAVMAAASIAVGLRGVLLHIAIVQAALPQGIVPFVFAKEYNVHPDILSTGVIFGMLIALPITLVYYILLGL >KGN53603 pep chromosome:ASM407v2:4:5791790:5802264:1 gene:Csa_4G089250 transcript:KGN53603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECITKAAKKMEPEQMADRSMVEAEYGSNIPTSRKRKADTTADCNNDGRRATLMKRIKLSLTRPSFVLGLAPKMVRAENRITLRNVLHKLMRQQNWVEASGVLSMLLQGTLRDNSPIRNRLKYSASMELLKRIEGDRMRPDRIRHIYDIWMKKNGPLKHWPIEDRFMVQLEYILFCLEEGKTEDAHQETLRSFPVRVP >KGN53139 pep chromosome:ASM407v2:4:2382766:2383854:1 gene:Csa_4G022260 transcript:KGN53139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKISLIWQLVVVLMAILSCSVAARHRVSTPQTAYKDAVGIKSIKKVFKPVPKKDKPRGGNY >KGN53903 pep chromosome:ASM407v2:4:9141481:9154842:-1 gene:Csa_4G187820 transcript:KGN53903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVRSQSSGDSDVGYGRRLSGVFESLVRRKQVDSENVTRENHHQLAKKLSAIDLVAIGVGATIGAGVYILVGTVAREHAGPSLAISFLIAGVAAALSAFCYAELACRCPSAGSAYHYTYICVGEGVAWLVGWALILEWTIGGSTVARGITPNLALFLGGQDKLPAFLARITIPVLDIVVDPCAAILIFIVTALLCVGIKKSSLAQTIVTTINVCALLFISIVGGYLGFRDGWVGYELPNGYFPFGVNGMFAGSAVVFFSYIGFDSITSTAEEMKNPQRDLPLGIGLTMLICSILYMLVSTVIVGLVPYYALDPDTPISSAFSTYGVQWAMYVITVGAVTALFASLLGSILPQPRILMAMARDGLLPSIFADINKHTQVPVKGTIITGLFAAALAFFMDVSQLAGMVSVGTLLAFTTVAISVLILRYVPPHESPLPSSLQEAINSTLSQLDGESQKTDSNVLGDSSGFHETNIQDSNDEGNGMLSYPLIERQVSREEKRRKTAAWAIALVCLGILIVTFTASAKYLPSIPRFISCGVGGVLLLGSLIVLASLEQDDARHSFGHRGGFACPFVPFLPVACILINSYLLIDLGLATWIRVSVWFAIGALVYMFYGRTHSSLVNAVYVRTNYVDEIYRSSDHVA >KGN54550 pep chromosome:ASM407v2:4:14632115:14641338:1 gene:Csa_4G361830 transcript:KGN54550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSPATEKIESLSPSTSSPRENNGAAIMDDPETTMATVAQLIEQLHASMSSSQEKELITARLLGIAKTQKDARTLIGSHSQAMPLFINVLRTGSAVAKVNVARTLSVLCKDDELRLKVLLGGCIPPLLSLLKSESIEASKAAAEAIYEVSSSGLLNDRVGMKIFVTEGVIPTLWNQLNPNNRQDKVVEGFVTGSLRNLCGDKDGYWKATLEAGGVDIIVDLLSSDSATVQSNAASLLARLMLAFSDSIAKVIESGAVKALLGLVSKKNDISVRASAADALEALSSKSTGAKKAIVDEEGIPVLIRAVVAPSKECMQGKHGQSLQEHATRALANLCGGMSALILYLGELSQSPRHYAPVADIVGALAYTLMVFEKSIDEDPFNATKIEDILVTLLKPHDNKLVQERVLEAMASLYGNVYFSECLNHAEAKKVLIGLVTTAATDVQEYLIPSLTSLCCNGVGIWEAIGKREGVQLLISLLGLSSEQHQEYAVQLLEILTDQVDDSKWAITAAGGIPPLVQLLETGSHKAREDAAHILWNLCCHSEDIRACVESAGAIPAFLWLLKSGGSRGQEASAMALSKLVQTADSATINQLLAMLLGDSPKEKANIIQVLGHVLTMASYEDFVHRDSAANKGLRTLVQVLNSSNEETQAHAASVLADLFSSRPDISDSLATDEIVHPCMKLLASNTQVATQSARALAALSRPSKTKAMNKMRHIAEGDVKPLIKLAKTSSVDAAETAVAALANLLSDSQIAAEALAEDVVSALTRVLGEGTPVGKKSAAQALHQLLNHFQPGEVFASEAQCRFIVLALVDSLRSMDLDGNNVVDALEVISLLFITKVGASLTYAPWSALAEDPSSLEPLVYCLAEGPSPLQDRVIEILSRLCGDQPVVLGDLLVARSKSLDSLASKIIKSSNPEVKSGGAALLICAMKEHKQQSVGALDSFGCLKLLIHALVGLIKQNSTYSSPDIEVRTHRGFIKRSTFLDGDRFDASDSATVMGGTIALWLLSIIASFNVENKVAVLQAGGLEALSDKLVSYTTNSQAELEDVDGIWISALLLAILFQDASVASSPATMSIIPSLAFLARSEEVNDKFFAAQAIASLVCNGSKGVNLAIANSGAIVGLITLIGFLESDMPNLVSLADEFSLTQKPDQVVLEHLFEIEEIRIGSTARKTIPLLVDLLRPLPDRPGAPPVAVKLLTRIADGNDANKLMMAEAGAVDALTKYLSLSPQDSTEAIISDLLRILFSNPDLIRYEASASSLNQLIAVLRLGSRSARFSAARALFELFDCEYIRDSELAKQAFYPLVDMLNATSESEQGAALSALIRLTSGYSSKTDLLNDVEGTPLDSLCKILITSSSLELKTNAAELCFVLFGNIKVRTNPIVSECIQPLIFLMQSDSSAAVESGVCALERLLDDEQQVELTLPYDIVNLLVSLVSGTNYRLIEASICSLIKLGKDRTQLKMDMVKVGVIDNCLELLPDAPSSLCSSVAELFRILTNSNAIARSSDAAKIVEPLFLVLLRPDFNLWGQHSALQALVNILEKPQSLLTLNLTPSQVIEPLISFLESPSRAVQQLGTELLSHLLAQEHFQQDITTKNAVVPLVQLAGIGILNLQQTAIRALEKISTSWPKSVADAGGIFELSKVIIQEDPQPPHTLWESAAMILSNVLRFNAKYYFKVPVVVLVKMLHSTVESTITVALSALVNHEGNDTSSAEQMAEAGAIDALVDLLRSHQCEEASGRLLETLFNNVRVREMKVSKYAIAPLSQYLLDPQTRSQPGKLLATLALGDLSQHAGHARASDSVSACRALISLLEDEATEEMKMVAICALQNFVMHSRTNRRAVAEAGGILVVQELLLSPSPEISGQAALLIKFLFSNHTLQEYVSNELIRSLTAALERELWSTATINEEVLRTLNVIFTNFPKLHVSEAATLSIPHLIGALKSGNEAAQETVLDTLCLLKHSWSSMPIDIAKSQAMIAAEAIPILQMLMKTCPPSFHDRADSLLHCLPGCLTVIIKRGNNLKQTMGSTNAFCRLSIGNGPPRQTKVVSHSTSPEWKEGFTWAFDVPPKGQKLHIICKSKSTFGKSTLGRVTIQIDKVVTEGLYSGLFSLNHDGDKDGSSRTLEIEIIWSNRISDEEL >KGN54522 pep chromosome:ASM407v2:4:14398848:14399999:-1 gene:Csa_4G354130 transcript:KGN54522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLFIKQAKNYAEGRPSYPTKLFEYIASTTPAHDLVWDVGTGTGQAAQSLAAHYTTVIATDTSPKQLEFATKLPNVHYHHTPSTMSIEEVEKMVAPPGSVDLVTVAQALHWFNLPSFYQNVRWVLKKPHGVIAAWCYSLPEVNNGIDTVLRRIYKTDFGPYWEAPRRLVDEEYKTIEFPFEAVDGEEPITEFAAEKEMEFEEYLIYLRSWSSYQTAKDLGIELLSNEVVEELESAWNEDGVKGKKVAKFPIHLKIGRVGNNN >KGN52867 pep chromosome:ASM407v2:4:741819:745448:1 gene:Csa_4G004780 transcript:KGN52867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYSHSNISSGSRSSARRSLEFGRTHVVRPKGKHQATIVWLHGLGDNGSSSSQLLETLPLPNIKWICPTAPTRPVSLLGGFPCTAWFDVGEFSEEGPDDWEGLDASAAHIVNLLSAEPSDVKVGIGGFSMGAAMALYSATCCALGKYGNGVPYPIFLRAVVGLSGWLPGSRSLRNKFEASHEATRRAASIPILQFHGTADEVVALKYGEKSAQTLTSAGFRTLVFKSQEGMGHYTIPKEMNEVCSWLNSRLGLEGYR >KGN53724 pep chromosome:ASM407v2:4:7153614:7158249:1 gene:Csa_4G111590 transcript:KGN53724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTAFSFQSTYLISHFQRSKELQSFGATNNHIKPYTATSLHVSDLASNSKATKLFFGSLQSRSSASFKHTILNAASLTNSTFPIDEDEFDLDRPTHGFASIADAVEDIRNGKMVLVVDDEDRENEGDLIMAAQAATPEAMAFIVKHCTGIVCVAMKGEDLERLQIPLMVNEKENEEKLCTAFTITVDAREGTTTGVSASDRAKTILGLASRDSKPSDFKRPGHIFPLRYREGGVLKRAGHTEASVDLAVLAGLDPVGVLCEVVDDDGSMARLPSLRQFAARENLKIISIADLIRYRRKRDRLIESSGVGGTRMPTKWGPFQAYCYRSVLDGIEHIAMVKGEMGEGENILVRVHSECFTGDILGSSRCDCGDQLGLAMQLIEAAGRGVLVYLRGHEGRGIGLAHKLQAYNLQDAGRDTVEANQDLGLPVDSREYGIGAQILRDLGVRTMKLMTNNPAKFIGLKGYGLEVSGRVPLITPVTKDNKRYLETKRSKMGHVYNFDLGVRFRNLIDDHKKSNENVEPSNIVT >KGN53774 pep chromosome:ASM407v2:4:7663940:7664396:-1 gene:Csa_4G126440 transcript:KGN53774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTIFNHFMVGVQLGLPPFVTESECHVSSNIVLQETCAVNVWLEFLLLVLFCLVLMLYVRLAALHFGLYHYEV >KGN53749 pep chromosome:ASM407v2:4:7409988:7412267:-1 gene:Csa_4G119780 transcript:KGN53749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAVRGSRGASNFSGFNPRNFFSYRIFISALFSLLFIATLSVIFTTNPSTPHHDSALPTTGNAYVRRTFLTLNSDPLKTRLDLIYKQASDHITLVNAYAAYARKLKLEMSKQLKMFDDLAQNFSDIQMKPNYHETLFESTGPLDEDVLRQFEKEVKDRVKTARMMIVDSKENYDNQLKIQKLKDTIFAVNELLVKAKKNGAFASSIAARSIPKSLHCLSMRLVEEKISHPEKYTDDEPKAELEDPSLYHYAIFSDNIIAVSVVVRSVVKNAEEPWKHVFHIVTDRMNLAAMKVWFKMRPVERGAHIEIKAVRDFTFLNSSYVPLLRQQELANSQKPSSENTVKFKNPKDTSLLSHLRFYLPEMFPKLQKIIFLEDDVVVQKDLTGLWKIDLDGRVNGAVETCFGSFHRFAHYLNFSNPLIKEKFNAKACAWSYGINIFDLDAWRSEKCTEEYNYWQNLNEDASLWSGGTLPPGLITFYSKTKSLDRSWHVLGLGYNPSISMDAISNAAVIHYNGNMKPWLDIAMNQYKGFWTKYVDSDMEFVQVCNFGL >KGN53415 pep chromosome:ASM407v2:4:4406302:4406837:1 gene:Csa_4G052650 transcript:KGN53415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQLACYQITMYVVELQSFTCPLFLKLDLYAISICRSINFIDEIDALATSQTRTMQLTVGPGRIGIELGHQGQWRRATTDQMGVAITSHLLRRYVSVKVE >KGN53056 pep chromosome:ASM407v2:4:1911823:1912099:1 gene:Csa_4G012520 transcript:KGN53056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIGTKQDGCTAAEEVNSASLLLYQSSTLRTKLMPMAMALHHLFLSHGSNYKLGDGNGGAVL >KGN53090 pep chromosome:ASM407v2:4:2072608:2075295:-1 gene:Csa_4G015800 transcript:KGN53090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQDSLPKWTSKPCVMGIDEAGRGPVLGPMVYGCLYCASSYLKQLSSLNFADSKTLKEEKREELFEDLKANESIGWAVDVIDPRELSSKMLNKVKINLNEISHDSAMGLVTKVLSMGVLLTEVYVDTVGDPEKYRIKLSEKFPNIKFVVAKKADSLYPVVSGASIVAKVTRDRALREWALEETAENMHRNFGSGYPGDPTTKCWLEDHKHSVFGFPTLVRFSWGTCTSYFKDVVEVSWESDKVDEDGATGGSGKKQLRLSNVGITTSKRKSEEIESSGKGRCKFFQARKLEQLSRF >KGN54724 pep chromosome:ASM407v2:4:16412370:16413672:-1 gene:Csa_4G434480 transcript:KGN54724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAVSSPLFSPHQWQFEDPISLHHQHNSLFSPFEPSDHSFYLQFPPPPLDPSHGEHDHYPSSAAPSPEGVSNVSKMAKKLSHNASERDRRKKINSLYSSLRALLPSSDQMKKLSNPATISRILSYIPELQQQVEEQMRKKEELMAAMVGQEVKNDEEKKMKSAVSSSSSIISASRLSRHEMAIQISTDINGGQRNYLSEILICLEEEGLLLLNASSFESFDGKIFHNLHLQILFHCN >KGN55336 pep chromosome:ASM407v2:4:21950171:21953257:-1 gene:Csa_4G646100 transcript:KGN55336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNLATAFEKERISAFRFDFAGNGESEGSFQYGNYRRETGDLRSVVQYFHGKEHTVIAIIGHSKGGNVVLLYASKYRDIHTVVNISGRFNLDRGIEGRLGRDFLQRIKQKGYIDVKNRRGKFEYRVTEESLMDRLTTEVRAGCLTIQGDCRVLTVHGSMDRIVPAVDAFEFSKSIANHELCIMEGADHDYTSHQDELGLVVVNFVKTNLHLHKDKSKLQQCRKLRGSRSRL >KGN52873 pep chromosome:ASM407v2:4:780542:782404:1 gene:Csa_4G004840 transcript:KGN52873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPGDWNCRSCQHLNFQRRDMCQRCGEPKSGGGIGRGGSGFGYGGSDVRPGDWYCSVGNCGAHNFASRSSCFKCGAFKDDMSAFDFDIPRRSPRGISPFAFPSPARTAASAWKSGDWICARSGCNEHNFASRMECFRCSAPRDSY >KGN54667 pep chromosome:ASM407v2:4:15762997:15763728:1 gene:Csa_4G419590 transcript:KGN54667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYQISRYSTTAVEVDIDVPKWTKIPKHPSPIELIGLLFTLRRRCNRFQVLENGERQLVEEQEISKVPLCDHQFPVEIFNGDSSSLFQYLCCSFENYESKIDAEVVASVLIEQWIEIRNQVNSRSRDKVFSMTVNLTITDVVLQYVVGEDGSTFGGEDHRVVPACDKALKKMLKRLEMREEDERVCVICLDEVGKKKNGRIGLEMPCLHVFHGKCIENWFKNSHCCPICRFEMPISSDLDEDS >KGN54987 pep chromosome:ASM407v2:4:19796415:19798047:-1 gene:Csa_4G618520 transcript:KGN54987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKFELVFIPGPGIGHLASTVELANVLVSRDDRLSVTVLAIKLPNDIKTTTERIQSLSASFEGKSIRFIVLPELPFPNQSSEPPPLMLQAFLESHKPHVREIVTNLIHDSNRLVGFVIDMFCTSMINVANEFKVPCYLFYTSNAGFLDFSFHLQELYNQNNSTAEQLQNSNVELALPSFINPIPNKAIPPFLFDKDMAAWFHDNTKRFRSEVKGILINTFVEMEPQIVKWMSNGSSKIPKVYTVGPILQLKSIGVTQSNNALNGADILKWLDDQPPASVVFLCFGSKGSFDEDQVLEIARALERSEVRFLWSLRQPPPKGKFEEPSNYANINDVLPEGFLNRTADIGRVIGWAPQIEILSHPATGGFISHCGWNSTLESVWHGVPMATWPLYAEQQFNAFEMVVELGLAVELTLDYVKDFHIGRSRIVSAEEIESGIRKLMGDSGNEIRKKIKVKGEESRKSMMEGGSSFNSLRHFIDDALTNLQEGNY >KGN54425 pep chromosome:ASM407v2:4:13139115:13142335:-1 gene:Csa_4G314520 transcript:KGN54425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENEPLLSPPPSSPLPLSSSLLRCLYIGHFLARWGARMWEFSVGLYMISVWPNSLLFAAIYGVVESASTAFFGPIVGDLVDKLAYVKVLKIWLATQNLSYIVAGVTVVALLFYSDLKSSYFTGFILLVILTNIAGAVGALSSLAGTILVEREWVVVISERHPPEVLTNINSTMRRIDLVCKLLSPVISGFIISFISLKASAMTLAVWNIISVWLEYWLFTSVYDGIPALEESSQRRVSRLALRDVGESSSVSQQIERLIPNDVDARSAERSWKVKMFNWFSKVPFVTAWKVYLEQDTVLPGVALALLFFTVLSFGTLMTATLEWEGIPAYIIGIARGVSATIGIAATLVYPIVQSRILTLRTGLWSIWSQWTCLLVCVVSIWIQNSLLSAYMLMMGVAVSRLGLWMFDLAVIQQMQDQVPESDRCVVGGAQNALQSTMDLMGYVMGVIISNPQDFWKLILISFTAVTLAALLYTIHLYRIRKHLFHMEKLASCCSRWPLSLAINSSLY >KGN52901 pep chromosome:ASM407v2:4:912296:912879:1 gene:Csa_4G006080 transcript:KGN52901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNSVCHIWGKQLWKTNDLSTNNWLVGLLGFGEGWHNNHHAFEYSARHGLEWWEIDFGWYVIMFLQAIGVATHVKVPLQHHKKKLAMDETKFI >KGN55036 pep chromosome:ASM407v2:4:20115161:20116648:-1 gene:Csa_4G624420 transcript:KGN55036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISALQHPHLVKLFGCCIEGDQLLLIYEYLENNSLARALFGPEEYQLKLDWPTRQKICVGIAKGLAYLHDESRLKIVHRDIKATNVLLDKKLNPKISDFGLARLDDEGNTHISTRVAGTYNTSFGTKDDCLYLLDYANLLKVRGDLLDLVDSRLGSDFNKTEAMTMINIALQCTDISAADRPSMSTVVGILEGKIAVEELASDPNVSKQDVNAMWCQIYRQKGKTMSETQSMLTCESESETQSMLMDGPWTDSSIADSDSHPHHG >KGN53506 pep chromosome:ASM407v2:4:5007594:5011767:1 gene:Csa_4G062910 transcript:KGN53506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKKHRNGEISEFDRELSAGTAGGRAVGDVILGIDGGTTSTTCVCLPFLHPHSLHLPDSLPLLARVEAGCSNHNSVGETAARETLEQVMAEALSKSGLDLSAVRAICLSISGVNHPTDQQRILNWFRDKFPSHVKLYVRNDAAAALASGTMGKLSGCVLIAGTGSIAYGFTDDGREARAAGAGPILGDWGSGYGISAQALTAIIRAHDGRGPQTKLTNSILQTLGLSSADELIGWTYADQSWARIAALVPAVVACAEAGDEVANNILQDSVKELALSVTAVVQRLGLCGSDGKGSFPLVMVGGVLEGNKGWGIAQEVINCISKDYPGVVPIWPKVEPAIGAALLAWNFLKDCEQE >KGN55026 pep chromosome:ASM407v2:4:20024743:20028407:-1 gene:Csa_4G622850 transcript:KGN55026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRNRGPPIPLNGVPHGGLPPVREPPFARGLGPLPHPVLLEEIRESQYGMHPVSLPPHPAIIEERLAAQHQDIQGLLLDNQRLAATHVALKQELEAAQHELQRMAHVADSLHAERDIQMRELYEKSVRLEVDMRGVETMRAELLQVHSDVKELTAARQELNGQVQAMTQDLTRITADLQQVPALRGEIETVKQELHRARVAIEYEKKGYAENYEHGQVMEKKLVSMARELEKLRAEVANAEKRAHASAAVGGNAAAGYGANYGNADAGYGGNPYSTNYGLNSVQSGTEGYPPYGPGSVPWGAYDIQRAQGHR >KGN53140 pep chromosome:ASM407v2:4:2390651:2391052:-1 gene:Csa_4G022270 transcript:KGN53140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSQQETEQDIVEEVDLHRDVYALHYATAYCDPKIIKEVLNLGLADLNLRGQTVLHVAARCKDPKIIVALLDKGASALEPTADGQAAVTICRRLTRPRDYNETTQKEQESMH >KGN54178 pep chromosome:ASM407v2:4:11446208:11449777:1 gene:Csa_4G291900 transcript:KGN54178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSIMSEEDDPYPSWDKTKTPIRIFFKNARHVFKLDELGREIAQIALPAALALAADPVASLVDTAFIGQIGSVELAAVGVAIALFNQVSRIAIFPLVSVTTSFVAEEDTIGSVSIEAEDNNDMESGFFTNDEKSSMIPQNGKGEDAHHSRKPLEKKFENSKVENGRRYIPSASSALVIGGVLGLIQAIFLISGARPLLNFMGVKSDSLMMTPAQQYLTLRSLGAPAVLLSLAIQGVFRGFKDTKTPLYATVAGDATNIILDPIFIFVFRLGVSGAAIAHVISQYLIALILFWRLMGQVDLLPPSIKHLQFSRFLKNGFLLLMRVIAFTVCNGLQAILATAFAQNDHDKATAAASRVLQLGLFLGLMLAVFLGVGMTFGARLFTSDVDVLRLIGIGIPFVAATQPINALAFVFDGINFGASDFAYSACSMVLVAIISIFCLFILSSTQGFIGIWVALTIYMSLRTLAGFGRIGTGTGPWYFLRI >KGN54960 pep chromosome:ASM407v2:4:19572759:19578080:1 gene:Csa_4G616790 transcript:KGN54960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSAILLRRSSALAASGGRINSTLFAPIANFLSSPVVVNGGVVPAADFQHFSTIGVTEKSLGFGFQSKGFHAASGPLNFKASLVSKAEFAVEDYDCASSSKSGDEGLEIGKLGIAPEIVSALARKGITKLFPIQRAVLEPAMQGRDMIGRARTGTGKTLAFGIPILDKLIQFNAKNGRGRNPLALVLAPTRELARQVEKEFQEAAPSLDTICVYGGAPISQQMRQLDYGVDIAVGTPGRLIDLLNRGSLNLSEVQFVVLDEADQMLQVGFQEDVEKILERLPQKRQSMMFSATMPSWILKLSRNYLNNPLTIDLVGDSDQKLADGISLFSVVSETYGKASIIGPLITEHGKGGKCIIFTQTKRDADRLAYAMGRNLRCEALHGDISQSQRERTLSGFRDGRFNVLVATDVAARGLDIPNVDLVIHFELPNNTEIFVHRSGRTGRAGKKGSVILIYSQDQTRAIRMIEREVGCRFNELPRITVEAGAHVDMFSGGSFGGGFGDRQMNNSGRFGNRGGSFGRSGGYESSSFGRSIGRNSGPSSGSFGSFGSRNSNSSGGFGRPDFGQSAGRFGDSGSSNRSGGFGNFGSSGSGRSGGFGDFGSSGSGRSGGFGDFGSSNRSGGFGGFGDNLDAKQGTGRRKF >KGN55091 pep chromosome:ASM407v2:4:20477687:20480858:1 gene:Csa_4G628880 transcript:KGN55091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFALVVYGVYETEGNTKSTMDDSRNGKIGVIVDKSSRIGKEEILAMQMAVEDFNSFRNQRVSLVIRDYKSDPNLAALAANDLLNMQRVQVLIGPQTWEATSIVAEVGNEKQIPVLALANDIPKWANKRFKFLVEASPSQLNQMRAIAGIVSSWDWHLVNVIYEDKDFSTTGIFPHLVHALRDVGAEVNEFVGLSQFDSDLFCRELERLRRGSSRIFVVHMSFKLALRLFEIANEMGMMGKDYVWIATDSFTNLAYSFNASSNSLLQGVVGVKSFFPENNPQFHEFYHRFSRRFRLEHSDEDNHEPGIFAIQAYDAARTAAMAMSKLQEKGNHLMEKIELTDFQGLGGKIQFRDRQLASSDTFQIINVMGRSYRELGFWSDKLGFSRELRENSSSSSSMKDLVEVLWPGGSSVTPRGWVVPTDATPLRIGVPTSSMFKQYVHVEGDPTGNNLSFNGLAIDLFKATLDNLNFPLPYQFFRFDGPYDDLVEQIYLKNFDAAVGDIAILSRRYKHAEFTHPYSEAGLVMVVPTTKDTSNRALMFTKPFTATMWFAIAVINVYNGFVVWFIERNRYPGHEGSMFNQAGTMLCSSFTTLFSLHGNMLHSNFSRMTMVVWLFMALVITQIYTANLTSMLTIQKLEPTVTDIETLQRANALVGFGRGSFVKRYLEEVLHFRSENIRNYSSPNDYAEALRNKEIAAAFLEVPFVKIFLAEFCREFMVSGPTYKVGGFGFAFPRGSPMLTDINEALLKVSETGKFRDLEDSMIANEKCEDEDPKGEKSSLSPSSFFILFVLSGGVSTIALTLYIFNAHDFNFQQNTIWRLMIAIMRQWGNQRRRFSRRVSDESQMPVSNDTNLQIQVQ >KGN53775 pep chromosome:ASM407v2:4:7664586:7674283:-1 gene:Csa_4G126450 transcript:KGN53775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEAYDRQCDEAEKIFEEYHKRLRFYVNQAREAQRSSVDSSGEVINNFSANIEREAVYSTVKGSKSADDVILIETTRERNIRKACESLASLMIEKIRSSFPAYEGSGIHFNSQLEASKLGIDFDGEIPNEVRTVIVNCLKHPPQLLQAITSYTLRLKTLVSREVDKFDVRADAETLRYKYENNRVTDVSSSDANSPLHYELYGNGKIGVDVPSKGTQNQLLERQKAHVQQFLATEDALNKSAEARDMCQKLLNRLHGSSDVISSQSLGVGGTSQNVGGLRQFELEVWAKERELAGLRASLNTLMSEIQRLNKLCAERKEAEDSLRKKWKKIEEFDARRSELEIIYTALLKANTDAAIFWNQQPLAAREYASSTIIPACVVVSDISNSAKELIDNEVSAFYRSPDNTIFMLPSTPQALLESMGVNVTLGPDAVAAVEKNAAILTAKAGARDPSAIPSICRVSAALQYPTGLEGSDASLASVLESLEFCLKLRGSEASVLEELAKAINLVHIRQDLVESGHALLKHAHRAQTDYERTTKYCLNLAMEQEKCVTEKWLPELRAAVSSAQKNLEDCKYVRGLLDEWWEQPASTVVDWVTVDGQNVAAWHNHVKQLLAFYDKELL >KGN54109 pep chromosome:ASM407v2:4:11053664:11055983:-1 gene:Csa_4G286340 transcript:KGN54109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDQNSMINQAQMISMNHPQMANQPPHVINQQQVINQPQFLNQSQVMSHSQIISPNLLPQQSQAMLQSGRIISQTQPPMMNGNYKVWGHPQAPLDPNKKYRNFPKPNYGNMKQSGSGRGNWKGKGVSDKRINNRRSVKPLPGSLSAQNNGGGYQPPSLYELQSQNRNKARKFYSKKKFNNRFAPYAPRNTSSFIIRAKKSGGIASLVSPCPVTPAVLPSPMFSPSREALGDMAKEEWGVDGYGSMKGLIRLRGSEHKAEVQVEEEEEGSGGSSDSDVEEHLEVERRLDHDLSRFEMIYQNYGVEYNNCLENTVDDQDSHIAQLEEENLTLKERLFIMETEVVELRRKLQLLEGGNAAIDDVNEEVVENVSENESDGGLEMEYVSEIRQNQDIDVNSKEEDEEVLEIEGKS >KGN53859 pep chromosome:ASM407v2:4:8764352:8764675:1 gene:Csa_4G171490 transcript:KGN53859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDGVSNNNNNRNEEKKLGSWPPRTAENEIRMRKSTPAPFLMKTYRMVEDPATDDVISWNSDGTAFVVWQTAEFAKDVLPKLFKHSNFSSFVRQLNTYVRFSYLPIS >KGN55463 pep chromosome:ASM407v2:4:22787493:22795041:1 gene:Csa_4G652750 transcript:KGN55463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKTSEREKQDEAKKSFLNHLLGSTFQVHSPVSASPISWRELQQEQTPSVSIEDCLKLLKGEKDEQRLAGLFLVTKICKVDDLASLSRVYNAVGSKFLDRLLRTGMGKGTVSGPGEDNRDAYLQLSVRILAAFCHVPEIAASEEMISKTPLILETLSNQSGFSVLEECLEFLYLVSTASDAGVTTLYESGSLKIIASWMSTMPDGSQLMKISIQLVQLIISKISLDTIIINALPDLSDIVVAIARQFGLAHDAMKFEALRLLSAILSSNLTPLYDTLRQVPSNVWAKHMRDGVSAILHNRTAPAEKLQALILAESMVSIVGEGWLIGEIELPDVQDAIPSDRCLILVLEQSRVEIAVMLNELAYMKYEASKNSSLKEDIILKQRNVATAFSLVEKIIKLISNVEEDQGDLISENTLMKVIRGLNETTGVVLEYLQDAKEHGQRKGDDILASVRVIGSFLAQTPDAWAERVTELLDYMLSVEGEDEQSPFNSVCFLLPMLCQITMNVEGCKTLVSSRGLAAVVKCLVNLIDQHGGWIDNDGSIFLACDTILNVLLKKELGGLLCGESSFIHLLEAIANSTEDSRELSVIMMGGSICALIFDFTSENALLSNPNFADKSLDKLCRLFSRIFILSQQKSMNDDEMAQMDLLEIITAGYSRWGDRFPRVQKAISRLR >KGN55014 pep chromosome:ASM407v2:4:19953707:19954129:1 gene:Csa_4G622240 transcript:KGN55014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYVVDTISNRIVMIISFVLLLVLLILGWKIVDWIWFRPKKLEKLLRRQGFTGNSYRILHGDLKESAAMRKEAMSKPMNFSNHIAPRVIPSVYHTIQIYGKHFIPNISFSSFSSSSNKI >KGN54139 pep chromosome:ASM407v2:4:11201046:11201739:1 gene:Csa_4G288100 transcript:KGN54139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSINRPISIKVVVTIKQCINDLFPHFGFKPRLDHITNWWPSQALGLEFISNEMDPTTGLEKGTTKAYAERVKRKDNEVIVYETKVVIPADFGAIGGVLVENEHNKEMFLMDIVIHGIPTQYHLHFPCNSWIQSKDRRIFFTTKVI >KGN55320 pep chromosome:ASM407v2:4:21876439:21879333:-1 gene:Csa_4G645930 transcript:KGN55320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAIEVERGGSGYSLKSSRLNNEDILFCIDVNPESSVEIKTTGSNGRPITRMDSIKQAILLFVHAKLSMNPDHRFAFTTIAKSAIWLKKEFSSDIASAEAAVRGLGATSPCNHADLTSLFRLAAHESRKSTAQNRILRLILIYCRSSTQPQHQWPANQKLFTFDVIYLHEKPGPDNCPQEVYDALVDALDHVSQYEGYIFESGQGVARVLYRCMCLLLSHPQQRISLDDLDIPKPLTKKLPPADTAPPNEVVPVTSQ >KGN55199 pep chromosome:ASM407v2:4:21215451:21218662:-1 gene:Csa_4G639870 transcript:KGN55199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLIENEFSKNYVILKPENANLLDLFLFLLPFGLRKKKFIDCPYGKEDYFTNFTDRWLIVVSMLLQFILSLIATPLAKLDAFLLKLFNFISFNGGFLGILSKILRGKGFVKVNEDSAEYTSVVGFADWRRDLDSSIKPEQSFRYYSALTAMATKISYESKPFVQSVVNDRWKMKLLGYFNFWNDFQGRTTTQAFMFKNTAIDPNVTIVAFRGTSPLDAYDWQVDFDFSWYEIEDVGLIHSGFMKALGLQKATGWPKELPKTQTHEFAYYTLRKQLRDIAKANEKARFIFTGHSLGGALATLFVTVLCLHDESTILEKLDSVYTYGQPRVGDQQFAKFMLNCIQKYGFKYHRYVYSYDLVPRVPSDAVLFKYKHFGRCVYFNSLYKGRIVKEQPNKNYFSLLWVIPKYLSAWWELIRSFIIPFVKGFDYYESLLMKGARLVGLVIPGLTAHFPLNYVNVTRLGKLNVPDEVEDPILRYEIEDDY >KGN53561 pep chromosome:ASM407v2:4:5511340:5512864:-1 gene:Csa_4G082380 transcript:KGN53561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPPLLTPLLLSFFFFFSLSFAIVPSNETFKFVNEGDFGDFAVEYDGTYRPLSISNSPFQLMFYNTTPNAYTLALRMAILRSESAKRWVWEANRGRPVRENATLSLGSDGNLVLAEADGTVVWQTNTANKGVVKLDLLPNGNMVLLDSNGKFVWQSFDSPTDTLLVGQSLRIGGVTKLVSRASEKLNVNGPYSFVMERNAMSLYYKSPNSPKPMRYFAGSSNWFTIQKGSLARVTLRAEVDPDQGFATELTLNYEVAGTENGGPILSRPKYNSTLTFLRLGIDGNLRLFTYNDKVDWSPSEITFTLFDREFNTGNTESECQWPERCGQFGLCEENQCVACPTEKGLLGWSKTCMAKKVSSCDPKSFHYYKVEGVDHFLTKYNKGEGLRQKDCEKKCNLDCKCLGYFYQTKGSLCWVANELKTLIKVDNSTHLGFIKTPNK >KGN52878 pep chromosome:ASM407v2:4:809428:811525:-1 gene:Csa_4G004890 transcript:KGN52878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLRPIRRFCHAATVIPSTDTTAAVLNHVQNSKSLEEPALVKLKAERDPEKLFHLFKANAHNRLVIENRFAFEDTVSRLAGARRFDYIEHLLEHQKTLPQGRREGFIIRIIMLYGKAEMIKHALDTFYDMHLYGCLRTVKSFNAVLKVLMKSRDLGALEAFLSEAPEKFDIELDIISVNILVKAFCDFGFLNRAYLLMLEMEKLGIKPDVVTYTTLISASYKDRQSEISNGLWNLMVLRGCLPNLTTFNVRIQHLIERRRAWDANKLMKMMRNIGIVPDEVTYNLVIKGFCQAGLLDMAKRVYSALHGSGYKPNVKIYQTMIHYLCKSEDFNLAHTICKDAMNKNWFPNIDTIHSLLKGLNKMGEAGKAQLILALARKRVPPFSKNQLEALNTTLANS >KGN53362 pep chromosome:ASM407v2:4:3990245:3990466:-1 gene:Csa_4G050175 transcript:KGN53362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLNPYKAFSAPERVRSSFARCLLKSLAANAESIPFCSAPTPPFEFTVEDDAGNAFDTWLRLHENFLNSVFNS >KGN53386 pep chromosome:ASM407v2:4:4182483:4183900:-1 gene:Csa_4G051380 transcript:KGN53386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSRSSFGLLAATALIFAIFLPVAHPHSLAPAPAPTSDGTSIDQGIAYVLMMVALALTYLIHNADL >KGN53335 pep chromosome:ASM407v2:4:3803963:3805175:1 gene:Csa_4G047960 transcript:KGN53335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSYEKPAYSSIDDNEKHREFDRDIREMVSTLTSRLGLLRKGGSAQSHELVDDQGSSIITLAGTNTGATMRAELNGKTTKLDQDTGVDENESLTSYINSNFQAINNSIMFGGSYTGNDPGVHMEYTSDLHEEGHKAEKGGAKGKKVGKDNAESGGSWFSKE >KGN54894 pep chromosome:ASM407v2:4:18903527:18903823:1 gene:Csa_4G575360 transcript:KGN54894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQGVRWTVDEASGGFTNRDDRDGMKGLSNDALALARRTTACTAAGLRRTEKRKMVAEHFDFDRDSTDDGGRLLKTSRCGVTGRNGRMGEWRQTMTG >KGN54057 pep chromosome:ASM407v2:4:10770014:10770361:1 gene:Csa_4G279855 transcript:KGN54057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSRSQFRKIVPTSPSPIQYPPMTNTRTEAILPNPLFHHHHHHSRPTPYLPPPRFSLRSPVVFPSYSTLSCRVLLELYTPSISEDSSYCMESNNILPRTPLLFHRQPPRTGAFL >KGN54394 pep chromosome:ASM407v2:4:12938095:12939476:1 gene:Csa_4G312270 transcript:KGN54394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEKAAELLNGAVKPVLVGGKKLRVAKAQAAFMELANTCDYAVAVTPSAKGMFPENHPHFIGTYWGVISTAFCSETVEIADGSIFAGAIFDELETVGFSLSYKKNKAIIVETDHVVFPNGLRFGPILMKDFLWALTKRVKPNSTSYENYRRIYIPESSPATSEPGEGLRVNVLFKHIQKMLTDDMAVISEAGDSWFHGQKLKLPQSCGYEMQMVYASIGWSLGATLGYAQASSSNGRVVLCIGDGSFQMAPQDLSTMLRLGQRNIIFLINNGGYTIEVEIHDGPYNIIKNWDYCALVDAMHNHNGNCWTRKVQTEEQLVKAIEIATRDHNDCLCFIEAIVHRDDTSKELLEFGNKLAEMNSRPPKSL >KGN55518 pep chromosome:ASM407v2:4:23085130:23088881:1 gene:Csa_4G664260 transcript:KGN55518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIKFNAWVLLLLLIYSAVVDCIDDKCAACNAVAEEIEHGLSNEKPRNHLDMRHRLDSKGQRKGKVIDYRVSELRVVELLDGLCEKMQDYTIEKTGSAGQQWIKVDNWDNLTNKQEARAYSKDISTYCGRLLEETEDDLAELIKKGSVSPGDVSKVLCHDLSKHCSKASVQLNDDDDDESDGEL >KGN54776 pep chromosome:ASM407v2:4:17124233:17124700:-1 gene:Csa_4G492210 transcript:KGN54776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTITSTAWHNSSAVAISILRRVSLLHFQSFTVSSSPSFAPHFPPSTPRTFAVSMAKPSGSGVVRFKVSPSTACVIQKGDITKWFIDGSSDAIVRNSIFLLYSD >KGN54918 pep chromosome:ASM407v2:4:19203330:19207195:1 gene:Csa_4G598000 transcript:KGN54918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKFFVGGNWKCNGTNEEVKKIVSTLNEAEIPSEDAVEVVVSPPFVFLSLVKSLLRSDIQVAAQNCWVRKGGAFTGEVSAEMLVNLGIPWVILGHSERRLILKESNEFVGDKVAYALSQGIKVIACVGETLEQRESGSTIEVVAAQTKAIAEKISNWDNVVLAYEPVWAIGTGKVATPAQAQEVHAELRKWFQNNVSAEVAGSVRIIYGGSVNGANCKELAAQPDLDGFLVGGASLKAEFIDIIKSATVKKSS >KGN53804 pep chromosome:ASM407v2:4:7943037:7943367:1 gene:Csa_4G131180 transcript:KGN53804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVATVNQLSSTVSTMNRLLPIIVPDLVTPISPLDVPNSPLGNLATCPLASKMQH >KGN54935 pep chromosome:ASM407v2:4:19373993:19378367:-1 gene:Csa_4G608110 transcript:KGN54935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEVVKPEEEVVLGEEVGNARLITFNRPRQLNVISSKVVSLLAGYLEKWEKDDEAKLIIFKGAGRAFSAGGDLRMFYEGRNKKDSCLEVVYRMYWLCYHVHTYKKIHVALVHGIAMGGGASFMVPMKFSVVTEKTVFATPEASIGFHTDCGFSYMFSRLPGYLGEFLALTGSRLNGKELVAVGFATHFVPSEKLDELETRLVSLNSHDENSVRSAIEDFSLEVQLDEDSVLKRKSVIDKCFSKETVEEIITSFEAEASVEGNGWIVPVLKGLKRSSPTGLKITLKSIRKGRLLTLQECLKKEFRLTMNILRTLISGDVYEGIRALTIDKDNAPKWDPPSLEKVNDDRLNEVFQPYGEDLELQVPIDDEQRWSGKYEDSIYGTMKTE >KGN53098 pep chromosome:ASM407v2:4:2118195:2124364:-1 gene:Csa_4G016370 transcript:KGN53098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTTATTLCLFLPYPFTSRRHNLHFNRRFSSPDSRPLFTPVSCFKPRRRTRRKNSLTKLRTTTHHPFDSSPSSSDSNLQTVIELDQVAAEASSLFYSVYYTSRSHLRQFLSSGLDAFDDLRTLIAFDDQNRTLTVSCRRSTVEFVGQLVLLSFVVVFVVKFLVGIVSRLGNKFSSGYTAPVMRRDRSLGGREVVVGTRRSVVARNKGMGKKNNLLGLLDSPVLADTMALNDVSSEISKNGVWGGERLPKWWPPAVPRRNATANRQEYQIEANRLVRALVDNRMSGRDFMEDDIVQLREICRISGVKVSFNTENMRDSFYRASVDFVLNIYSRTPIYPHLIFINGEDGPNFIAGLAEDIGIENVRAARIVSAAVAARMRSYFLQAWALVMQDRHSEANAELLKICHIIQIFPPEKSSPEMEMLTLGLKKVLKVEQRESLMNMFIGICGKDSHSTAAEALGLVLPTNMGN >KGN54172 pep chromosome:ASM407v2:4:11389676:11392482:1 gene:Csa_4G291360 transcript:KGN54172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTMKPLRHWPQLLSAVAMCLITASVVAAQFNDALLPIKKPELGFDLPKHQHHSKFPPKYHHRHTPPPPVKYHHHKPPPPKYKHHKSPPSYVYKSPPPPSPYIYKSPPPPPYVYKSPPPPSPYIYKSPPPPPYVYKSPPPPSPYIYKSPPPPPYVYNSPPPPSPSPPPPYVYKSPPPPPYVYKSPPHVYSSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVSSSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYIYKSPPPPSPSPPPPYVSSSPPPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPPYVYKSPPPPSPSPPPPYVYSSPPPPSPSPPPPYVYKTPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVSSSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYSSPPPPSPSPPPPYVYSSPPPPSPSPPPPYVYSSPPPPSPSPPPPYVYKSPPPPPYVYKSPPPPSPSPPPPYIYKSPPPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYIYKSPPPPSPSPPPPYIYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYFYKSPPPPLKSPPPTYYYKSPPPPYKRNPY >KGN54686 pep chromosome:ASM407v2:4:15936598:15942855:-1 gene:Csa_4G425750 transcript:KGN54686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKISPEFEETMHMEGILPVSVDVSFASNQFPKYKLGPDNQILEEPQDDDQGPSLKEVIEQETAQLSDQHKRLSVRDLACKFDKNLSSAAKLSDEAKLREVPSLEGHVLLKKLRDALEYLKGRLAGRNKEDVEKAISMVEALAVKLTQKEGELIQEKFEVKKLATFLKQASEDAKRLVNQEKSFACAEIESARAVVQRIGEALEEQEKNSDDSNKQDVDGLVEEVQEARRIKQLHQPSKVMGMEHELRALRATIREKSIISAKLQRELTMCKRAAENKSCFYVLDGSETLGSFLRIKCASDVAPPLSKCSIQWYRMSSDCSWKQVITGATKSIYALEPLDVGRTLQVEVVSNGQKVNLMTTGPIESAAGLGTHVEMLLRKSNSEFNVTISQMNGQDYASNSVHVFHVGKMRMKLSRGWITKARENYSSSMQLCGVRDDRSTATKALFWQGRKGLSFMLMFESERDRNAAIMLARKYANDCNVMLAGPDDQA >KGN53736 pep chromosome:ASM407v2:4:7291426:7292780:-1 gene:Csa_4G113680 transcript:KGN53736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLTQILEAERDSQGSFQILPFHYVEISKLLFDHARDDVPDMYLVRSLIEDIRDVRFHKVETSLESIDTRTSAVKIKDLSAMEVNIVRPFVVRALQAIYKHGNPELVPDQDRMTNMQTQGQDHGQRRRPLRRR >KGN53083 pep chromosome:ASM407v2:4:2030080:2030600:-1 gene:Csa_4G015730 transcript:KGN53083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYLNNPSKVTRNEEKIEIPRHKTTGRRFYQHFPSHNRRTLPKRNENKIKKETKLDNIPFRKIGHSKARPDNELDPKTKQRRGERKGKERKGTLEQKVHDGETGEGILKLCGRGGRRLMGKKIAGEKKGKGERE >KGN54529 pep chromosome:ASM407v2:4:14482940:14488224:-1 gene:Csa_4G358670 transcript:KGN54529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVPKLVESKLLFPPHRRMSETYHTLVRILSPFCENADVSLATEFDPQQLRAAYCSNMRQATVEPSCQEVIKDSVRVETGDLPIEKASVVEDKSAQDSKVMDFIKDMPIVERRDNVSDQRRTLEARSEDNHCVYEENQTNEAEAEHIVEGNMMLVDDNSCSNVRTSIQTNGGNDQISPENLQEEIVEHQQVMEEEDAVSIVPKPSGTLLSLDGHMIQEASKQEEENQENILSIVDKDMQKLSESHPKELKSESIVCKPDLEREKSYISSIVEVMDVDDKEQCSENDFGTNPSSMDKEIPRGIYEQCKNSEDKAITVDIVTSKVEHTMLPEAIEMDKYMTENGDIEEGEICGETNEISEDPAVLTEKVFGIQISKETMNRSKLPLIQANGEANKPVSFFFDKAVYASHENREEFKSKQDKSSMDACKYKSIVSENRVTSTNEDESDFILEERGYTGKDSGIKKDVDSFTARFSNQVLCSQIPEEYATGRKLTVSVEKETGAGNKKKRGSLSEGKKERKKIQKRKKRAEKNRKAGVKRLKLHPVTVPKPVVYCRHYVKGRCQEGDKCKFSHDTTPLTKSTPCSYFARHSCMKGGDCPFDHQLSKYSCTNFVSNGSCPRGDTCMFSHKILPQESTSTPNLKTESKPPGITDSLDTQKQSNMSGRLKQILVNVPNSTGTSCNRTPLGGALLKKMTGPPPNGIKFLSFGKSSDISSQVKNDNVECGNVLAQSGVCSVEKSNESLGKIQLNTAPKGINFLSFGKTLLHSNRENLATPSSDNGDSISSGNLIQDVSKTGHDSNEPLKKVQMTTPKQTNFLSPIGNYASNEMVSSSSNLKAGTDLFVQNKGNVWDKHEGLSAVLLRPPGTPISSGQFSDILASSISKGTPKSAQKALLSTLAFAAKHDSFMNKGKSSAFPSLGNGGSKDSGNENICGSLKNDQAKASKLIDILYSIGSKSNVI >KGN53122 pep chromosome:ASM407v2:4:2269310:2281361:-1 gene:Csa_4G017100 transcript:KGN53122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDPDEVFRDDDDDPDNPFFQEDKKEETHFQVALSCISQSLKTQIINRSYDEVAICFFNTREKKNLQDLNGVFVLNVPEREDLDRPTARLLKTIDGIEEVFMKEIGSQYGIVSGSRENALYNALWAAQALLRKGSAKTLDKRILLFTNEDDPFGSIKGATKFDLIRTTLQRAKDAQDLGISIELFPLSCPNEQFNLSLFYADLVGLEGGDLVQYLPSAGDRFFMFQYEGPTERSFICADTGALFLEPSKRFQLYKNQVIKFSGEELSEIKRVSAGHLQLLGFKPLSCLKDYHNLRPSTFLYPSDEGMSGSTCIFIALHRSMVKLNRFAVAFFGSPSRPQLVALVAQDEIITAAGQVEPPGMNMLYLPYADDIRHVEELHPNPDIAPRATDDQVKKAAALMKRIDLKDFSVCQFANPALQRHYAVLQALALEEDEMPEVNDETVPDEEGMARPGVVKTLEEFKLSVYGENYEEEEAGKGKVSEVSKKRKAISETASQKCKEYDWADLADNGKLKELSVVELKYYLTAHDLPVSGKKEALISRILSHMGK >KGN55154 pep chromosome:ASM407v2:4:20922160:20924869:1 gene:Csa_4G638430 transcript:KGN55154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRVTVSFSGYVAQNLASSAGIRVGNCRAVHECWIRSRLFGSNQKPEFDPSGSVRNYHSAVLPSNSRCWVKNSASALGTIAGEIVDESCRNPIVLGLISLMKSAVGTSVSSPMAMGVFGVSSFEASSIIPFLQGSKTVTGNESVSGSTGDEIESYGVFDCVMDEGMSQPPDPSKLEKSSWISRFLNNCSEDAKAIATALTVSVLFRSSLAEPRSIPSSSMYPTLDVGDRILAEKVSYFFRRPSVSDIVIFKAPPILQKIGYKSNDVFIKRIVAKAGDCVEVRDGKLLVNGVAQNEKFILEPLSYNMDPVLVPEGYVFVLGDNRNNSFDSHNWGPLPVENIVGRSVFRYWPPSKVSDKDQNAEKEVVVS >KGN54028 pep chromosome:ASM407v2:4:10622055:10630658:1 gene:Csa_4G269110 transcript:KGN54028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTICSFTLPFSKLPLPFSSTKLTTGTTPSSLTSTHPFSLSLRSPAFSPIKPNATVSSSQFGDQGLQISSDSICSQLPLRSCIWKWRGYSIRYQCSGDDGPALILIHGFGANSDHWRKNIPVLAQSHRVYAIDLIGYGYSDKPNPDLVGEGFYTFETWASQLNDFCVDVVQDNAFFICNSIGGVVGLQAAIMKPQICKGIVLLNISLRMLHIKKQPWYGKPFIRSFQNLLRNTALGKYFFRAVATPESVKNILCQCYHDTSQVTDELVQIILNPGLQPGAADIFLEFICYSGGPLPEELLPRVKCPVLIAWGDKDPWEPIELGRNYASFDSVEEFVVLPNVGHCPQDEAPHLVNPLVESFVSGHATRSAHT >KGN55192 pep chromosome:ASM407v2:4:21163056:21165354:-1 gene:Csa_4G639800 transcript:KGN55192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVFLSILIFFLTLLWYISLKRDKKAAIRDGTKLPPGSLGWPYIGETLQLYSQHPDFFFAAKQKRYGEIFKTHILGCPCVMLASPEAARFVLVTQAHLFKPTYPKSKELLIGPSALFFHQGDYHCRLRKLVQSSLSLDSIRTLVPDIDSVAASALDSWATSGVINTFHEMKKISFEVGILTIFGHLEAAYKDDLKKNYSILEAGYNSFPTNIPGTPYKKALSARKRLNKILGDIIHERGERRLREKDLLGSLLNSIDDECEVKISDSQIADNIIGALFAAQDTTASVMTWIVKYLHDRPKLRQSIKAEQEEILKLNCENNQGLNWVQTRNMPITYKMVLESLRMASIISFTFREAVADVEYKGYLIPKGWKVMPLFRNIHHSPEYFPDPHNFDPSRFEVAPKPNTFMPFGSGVHACPGNELAKLEILIMIHHLVTKFRWELVGSQNRVQHSPFPVPFQGLPARFWKIAH >KGN53911 pep chromosome:ASM407v2:4:9234478:9237710:-1 gene:Csa_4G188390 transcript:KGN53911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSAVDTEAPDLLCHFDNVQGLVDAFTSVRWKRHQDAVIELSEHGIVLIVEESGCLQAKVYLQRELFIRYEYSAQARPRFGVSLGIFVDSLNTFSVPGRLSTIELKYPGPDMQLLLKTVDSLDACIYAEIRTRIPDTISWDYNFEPAGSSPLSFTVKSAALKEAIDDLEWPGSSVLIALQPSPPSVTFKAEGHGDLQVDFMYYANTDLLISFHCDQQVSYRYKYKFLRATTSNIPSSVIRENRGSKLTIGRGGLLKVQHLVSVGKPSTSHTHIDSAGYQQPSRIAFIEFFVKPEEDSPND >KGN53238 pep chromosome:ASM407v2:4:3129492:3134032:1 gene:Csa_4G036580 transcript:KGN53238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSSTKFYNPGRMGLYEPIHHIGMWGETFRANANLDPPSSFIIEADPKLENQSDDASLGSLGDPHVYDQDDTKRIDKIQRRLAQNREAARKSRLRKKAYIKQLETSRIKLIQLEQELEKARQQGLLAGSRFDNNQLGLSGTTNSGIFAFESEYEQWVEEQNRQICDLRTAVHADITDIELRILVENAMRHYFKFFRMKAKAAKADVSYIMSGMWKTSAERLFLWIGGFRPSELLKVLIPQLETLTEQQISETGSLRKSCLQAEDALRQGMEKLQQNLFESVVAGQLGEGSYPLQMTAAMERLEALVSFVNQADHLRQETLQQMYKILTTRQSAQGLLTLGEFFQRLRALSSLWGNRPCEPA >KGN55143 pep chromosome:ASM407v2:4:20853136:20854901:1 gene:Csa_4G638320 transcript:KGN55143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAIFHQTFAHPPEELKSPASFSGSKAPKLPQETLNDFISRHPQNTFSINFGQAAVLAYVSPQSFSLVHQRLFCGFDDIYCLFLGSLNNLCALNKQYGLSKGSNEAMFLIEAYRTLRDRGPYPADQVLKELDGSFAFVVYDSRAGAVFAALGADGGVKLYWGIAADGSVVISDDVDVIKEGCAKSYAPFPTGCMFHSEGGLMSFEHPMNKMKAMPRIDSEGAMCGANFKVDVYTRVNSIPRRGSEANWAEWDTN >KGN52903 pep chromosome:ASM407v2:4:916453:917185:1 gene:Csa_4G006100 transcript:KGN52903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNLVYFRKYIFVLTYLTLLYLLMQCVRIVMLYHVIFMVNSVCHKWGKKQWSTTDLSRNNWWVSLLSFGEGWHNNHHAFEYSAKYGLEWWQLDFGWYVIMFLKAIGVATDVKLPTQCHKQRMKL >KGN54374 pep chromosome:ASM407v2:4:12717613:12717927:1 gene:Csa_4G308635 transcript:KGN54374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEGSVIKLRLSCVAREPTEQEKVAHERESVTKIEEENPLGGERPTSRAFIKSLTKRRRDLYMAKDVDQFGKEKSRRRRRSRGRRRKGIVGMEREEGKFVENK >KGN54137 pep chromosome:ASM407v2:4:11190142:11193283:1 gene:Csa_4G288080 transcript:KGN54137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKSQGLGSSIGVAPVSLHHTRTSLARRGIDGSALVPYQNNNDLQKKLRGIKIKNNNDNGGLRSLSGSGIIKAALATATETTTSVITTVTVKKITGEITSSTIVKENQLAAPPKFLQLGFASILTDPSTGAEKPPIMVQANLIHESVVEEIYEAKLEVPSNFGEIGAVIVGNYNQNEMYIKEVDLSGLTSGSLTIPCNSWVQPKTSYLPSQTPAGLISMRESELVNLRGNGTGERQSYDRIYDYDVYNDLGDPDKNEDLKRPVLGGSTHPYPRRCRTGRPPCQTDPQSEQKATGSIYVPRDEAFSDIKRAQFNASTLLNVLKTIIPNLQVHFDRNAGFPHFESIDALFDVDGFNLPPLESTTSFKDLLPWIFKLIYETGEFLLRFQIPEPMDRNKFFWLSDEEFARQTLAGLNPYSIQLVTEWPLTSQLNPDVYGPPESAFKTLNIDRQIGSMTVQEVCFSSSMYINS >KGN55438 pep chromosome:ASM407v2:4:22609201:22617204:1 gene:Csa_4G652030 transcript:KGN55438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEELIANFVSAYLKKKGFKETEQAFQEELRQNKTNSSSPSSFIDVDVAKHLLSFSEAENIPAKYLEGYSKLRSWAYNSLDLYKHELLRVLYPVFIHCFMDLVAKGHIQEARTFFNRFREDHEMMHLRDIQKLEGVLSPSHLEEMEFAHSLRQGKVNIKICQYSYEMLLQYLHKTQTTVILGIINERINFQVFPGQPSSISDDAELVTLTGSTQDTANQINKKEVHWGLLEDSLEERLEKAAGLLSDSEKAEGETKDGDVDENKKRTAEGGKQGGSIKKVKKDKTASATGKTLRAEANSASMAPRVKPELALPIISTEVEESILEDLRNRVQLSSVALPSVSFYTFINTHNGLNCSSISYDGALVAGGFSDSSLKVWDMAKLGQQAGNTVLQDENDMSTSDPVTGHTSGKRPYTLFQGHSGPVHSATFSPIGDFVLSSSADTTIRLWSTKLNANLVCYKGHNYPVWDVQFSPVGHYFASCSHDRTARIWSMDRIQPLRIMAGHLSDVDCVQWHANCNYIATGSSDKTVRLWDVQSGECVRIFIGHRSMILSLAMSPDGRFMASGDEDGTIMMWDLSTGRCVTPLIGHTSCVWTLAFSCEGSLLASGSADCTVKLWDVTSSTKPPRTDENKTGTPNRLRSLKTLPTKSTPVYSLRFSRRNLLFAAGALSKNASTA >KGN53164 pep chromosome:ASM407v2:4:2554710:2560927:-1 gene:Csa_4G022990 transcript:KGN53164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPESSRNYVKRDVEDGLGVKNDRAGDDEGWDGSDRRKHRSSRSRKSSNGEDADGLDNSGRKKTYGDRSDSRKRSGGSSRGDSEEDEYDSRKESRSKQTKKKQEESTLEKLSSWYQDGELDNRKDVGEKSGSRGLGKGDENEKRKMTSKFSEHETSQSRSKNKEERSHDGDSEKTLDRDSRYSEKRHSSREKGHGSSEQAKRSRRRWDEPDTVKKIEESYSEKVEARSGKTSDLKFESLREKSVPSKNEASESKGQGLDLFNDKSIKSNYREDKKLEVERGKSRVKTELQEEGSRASSVSREDKSSREKSEKYRQQKVSTSRDVANSREKAPVGDDDGRTWTRDKTARDAGNVDKSKSPERTERHQEDYIDVEYERGFNHKRKELEKDGYRDDRSKGRDDSWSDRNRDREGNVDNWKKRQHGNQDSDTKSGDYMYDHGREWDLPRHGRERIDSERPHGRSSNRKEVIRSEAVKTSSNFGILNENYDVIEIQTKPLDYGRVESGNFARRAEAGQQSEGKFASSDGDWMHQQEGRARRSDNYGPGQSDGDLKERYADEGGTAQDQNSWRDDFDFHGGKGRGQKGVNSSRVAGGQSSSSGSQQLYGNQEPGSFNRVAQQGMKGNRVGRGGRGRPSGRESQQGGIPLPMIGSPFGPLGIPPPGPMQPLTPGMSPGPGPPLSPGVFIPPFSPPVWPGARGMDMNMLAVPPGPSGPRFPPTIGTPPNAAMYFNQSGSGRGVSSGVAGPGFNTSGPVGRATQPDKNPSGWAAQKSIGPPGKAPSRGEQNDYSQNFVDTGMRPQNFIRELELTNVVEDYPKLRELIQKKDEIVANSASPPMYYKCDLRDFELSPEFFGTKFDVILIDPPWEEYVHRAPGVADHMEYWTFEEIMNLKIEAIADTPSFIFLWVGDGVGLEQGRQCLKKWGFRRCEDICWVKTNKSNATPGLRHDSHTLFQHSKEHCLMGIKGTVRRSTDGHIIHANIDTDVIIAEEPPYGSTQKPEDMYRIIEHFALGRRRLELFGEDHNIRAGWLTVGKELSSSNFLSEAYIKNFSDKDGKVWQGGGGRNPPPEASHLVMTTPEIELLRPKSPMKNQQQMQQQQSASLTAATPTNRRPTGNSPQNPTSLDVSNSNPMTHPPWGSQMEGFKGREANSIPLGDKVFDVYGFGEQPSGGEYVDFESHRQINMM >KGN55375 pep chromosome:ASM407v2:4:22162967:22164466:1 gene:Csa_4G647480 transcript:KGN55375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAVPSTTTVHIRRSNFLSPTTKHTLISFNPITSRSKPLKFIIRNSNESSAETATTETATEPEAESSLQASGESPSLISALNVERALRGIPITDVNHYGRLGLRRGCPYDQVPIAYNIKVEELKSQGLEEEELNQKLELLKESYMILSSVEERRMYDWSLARIGEPDKYSWPFEADITQIQTQLPPPKEPEDEGPTRLVGYFFLGWFVLSIVLSIALNL >KGN54240 pep chromosome:ASM407v2:4:11828883:11829215:1 gene:Csa_4G295455 transcript:KGN54240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTLHSVRSNKTFINTEWKGALPLTRMTIHITPKLHFSQCILRPLLKDPHIHILHIMLNHESIKIEIRLLKARNPIAIPDNLSFRLIIHCGLEVETEEKPSTPYGINTLH >KGN53800 pep chromosome:ASM407v2:4:7933083:7933841:-1 gene:Csa_4G131140 transcript:KGN53800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTFSIPTMAILILTLLFNPTRCNTTVNETTSEWCYGSENCLVGDESLDSEFLMETETSRMLLDFQNLQTPGTIDPNRQSVAECGRPPRYDSCTGEERQIPNPENCDVRNRANPC >KGN54004 pep chromosome:ASM407v2:4:10416421:10416862:-1 gene:Csa_4G256930 transcript:KGN54004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPSMQLLQHNQPKPLRHHHLVVPGTPLDKCKKPFVSGLSNADNLYEALFGTFFGPRHALPMGSVPSSSWLGSSAPRKLLKTIIEDLFTEFAQDLEGLSSRLH >KGN53679 pep chromosome:ASM407v2:4:6608808:6611664:1 gene:Csa_4G101790 transcript:KGN53679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGECECLVSESGGEERLVAAANYIIKALSSNIRISDDGKKVLADLCSKLSLVSTQNYETDVVEVEIEERGVGDVEEVESRFNVVQEKIMAWEADQSMIWDSTTLNEACEYLNAADEAWDLVGKLDSLCLSKDEYSYELLRKAHDVLQTAMARLEEEFRHLLAKSSLEYEPESMSFHVVEDTVEDGSTSLYRDESFESSVRSSSVGRVLENSIIDLVNPDAVIELRGIANVMFKAGYDQECIQVYNLLRRDALNECLLTLEMEKLSIEDVLKMDWVTLNSKIRKWNRAMKRFVRIYLASEKSLCDQIFGEEGLVSLSCFVESSKASMLQLLNFGEAMAIGPHTPEKLNRILEMYEVVEEHLFDIDTLYCDDIGYLVRIEYHDVLKSLGQSVRATFLEFEKAIAANTSPNPFAGGGIHHLTKYVMNYLMILTDYRDSLNLLLKDDEDVCPNSPSSSLNPTREEDREGEFSPMARHFRSVASILESNLDEKSKQYKDPALQHFFLMNNIHYMAQKVRGSELIRIFGEDWVRKHYKKFQQQATNYERASWNSILQYLREDGIQNTGSTSVSKNVLKDRLRSFNLAFEEIYKTQTAWIIHDSRLREDLRISTSLRVIHAYRAFYGRCNNHVSDKLIKYTPDDLEGYLLDLFEGSPKSLANTSRR >KGN54765 pep chromosome:ASM407v2:4:16955582:16960816:1 gene:Csa_4G457190 transcript:KGN54765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPINILSPPNFFTFFFFYQYFKKQCEVLARYFFQQLISGVNYIHSMQICHRALKLDNILLDGRKSPRLKRCDFGYSKKICHNRDLMVDNIHLDGSPTPQLKKCDFGFSKVHSKPNTNVGSATYAAPEVISHGQYDGKMADVWSCGVTLYVMLVAAYPFEDLNDNKNFQKTIKRKMSGRYKIPDHIRISRDCQHLLSCIFVRNPSKRISIKEIISHPWLLKNLQWELTEPAKAIYYKKDNNPTSSHQSVEEIMKIVREARKQFAQPSKPIMGYWTNRTSKFVLQFATTSNAFSDTN >KGN54933 pep chromosome:ASM407v2:4:19354307:19357282:-1 gene:Csa_4G608090 transcript:KGN54933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFLQKTAFTLSIPPSSILFIFLLLTFQQVSSSQQHNKNEDMIHSSKKDNSQKMSSSLLFDITLHGFLLWASMGFLMPVGILVIRMSNREQCGRKLKYYFYIHTILQIVSVLLVTAGAVMSIKKFNNAFNNNHQRIGIGLYGMIWLQGLIGIVRPKRGSKTRSVWFFIHWMLGTAVSLLGVFNVYSGLFAYHEKTSQSIRIWTIIFSVEISLISFFYLFQDKVEYIQKQGVTLGNDLVVLSPNDKQKELPTDPC >KGN53063 pep chromosome:ASM407v2:4:1969581:1969898:1 gene:Csa_4G013570 transcript:KGN53063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHNKMIFEKVSALAARKGWITSQLALAWAHNQGNDVVPIPGTTKLQNLQSNIEALSMKLTPQDMAELEAYALSDTVKGGRYDNKVLITTWEQSDTPPLSSWNLS >KGN54461 pep chromosome:ASM407v2:4:13591548:13594854:-1 gene:Csa_4G334720 transcript:KGN54461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPSDSPYSGGVFLVNIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYEASARSWTQKYAMG >KGN54488 pep chromosome:ASM407v2:4:13929048:13937606:-1 gene:Csa_4G338430 transcript:KGN54488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAACLPSSSKPRPVVTAVPTSENSSNVLSTLSSKIKDVGRAFVSVWNPFKHEPTVNYAFLALISGFIRRGLIEQTDLYRIANQIQVSEGRMGTKYVHKSAKYKTSVKDPGTPGVLEMTECKFVFRPSDPTSASKLDVEFRFIKGHKNTKEGSNKPPWLNLTKDQGGSYIFEFKNFSDLHVCRELVGSALAKLGEAAQAPSERPVAAFPHEQLSKLEMELRMRCLQEDSELQKLHKQFVIGGVLTESEFWAARKKLLEQDNSKKSKQLIGFKSSMVLDTKPMSDGRTNKVTFNLTPEIKYQA >KGN54592 pep chromosome:ASM407v2:4:14993618:14993776:1 gene:Csa_4G373120 transcript:KGN54592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGPKVSGEAVIEKLKDDGDFDKLRLKIIRKLKDNVFSFSYFISFLISSVS >KGN54912 pep chromosome:ASM407v2:4:19135777:19142767:1 gene:Csa_4G594460 transcript:KGN54912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLDSQLHISAMEGISPDQESVGSGTKRSSVSTGSKSRYRKEFLNRFSDSEIFTAKLEDWFEEISESSRTKKSVFDVPFELIDLQKFDYALEGISFQQLIRMPSPIYASASDGVEATAYLAVEDFLHASVKGLWEAFWSQDQPMPFNVGCLYRDNLKFYQAEKAIASGKIEGLSATGILLKNHRHPHGKWDQILTLAVLSSDIGNLTVDRESCPSLSILGEALFYAIRLLLSRSLSKSNYSPSLSSVFVLLVDSRYGGVVKVEGDLSRLEFDLNNVYECAAKWIKGYATISVSPIDRIWNELGNANWGDIGALQMLFATFHCIVQFAGVPRHSIEDLAADHSSRLQTRRVERQLGDVRVNGNGLFRFQQQSVSPEIVEVQEDSIKFKSEEIVKLEVGSVLWLEDSNRQKGYQINELLTTGELQFYIASPVEEPGKSLFLYVGSRISQLEAWEDMNLWYQVQRQTRVLTVMKQKGLSSKYLPQLSASGRIIHPGQCRRPSSGGNCDHPWCGTPILVTSPVGETIADMVNGGRFNSEEALKCCHDCLSALSAAASAGIRHGDIRPENVVCVRSGVRRPYFVLIGWGHAILEDRDRPALNLHFSSTFALQEGKLCSASDAESLVYMLYYATGGAFPDLDSVEGALLWRETSWSRRLIQQKLGDMSTVLKAFADYVDSLCGTPYPMDYNIWLRRLKRNIHDEDPGKEIDTSG >KGN54517 pep chromosome:ASM407v2:4:14322511:14334734:1 gene:Csa_4G351100 transcript:KGN54517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGTVRRIPRQDIQLVRSLIERCLQLDMSRKEVVETLLNQEKIDPGFTEHVWQKLEEENREFFNAYYLRLMVKSQIIEFNRLLEQQARMMHQIHPCAVTALSSSNGSQVQPIHQSCYAPKHTGPTLKQDDIDHPVGVSIGNAYSNGTQPVHSTLHTAVDMSSHTRNDAAPQSSNVGLFQGMNGGMIKVETGYSNSSHYMFGTEGNVLDARQSIGNASVASFASVDSNTPSFNESLLDPDPSSFGFINQITRNFSLSDLTADFSQGSDMLESYGRCPFLPTEADNILDTCENGDRLGNEFSVSVDPCLKPELRRFQTQLAVQQRCTLCNAFIQTFGSWKLLIDELFKRLYMIKGTWHCRAEEAFRSAPNLLTVCASFTDIGG >KGN53440 pep chromosome:ASM407v2:4:4600255:4602445:1 gene:Csa_4G055350 transcript:KGN53440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATPHQHQWSEEETREFIRIRADLEKDLAAVSIGEAPAAKKKTLWEMASVRMREKGFWRTADQCKCKWKNLLSRYKGKETSHKEYGWQCPFFEEIHAVFTERGKAMHRLLLEPEACSISTKKRGRERSLEEHSDLKELNEDENEEEVTFTQSNSQKRKAARKLPAKSLGATDSKSSSSSTSNEIQEMLKGFFQWQQRMEMEWREIVERHYNNRRMFEQEWRESMEKLERERLMAEQAWREREEQRKERQDIRAEGMNALLTTLLNKLNHENNL >KGN52863 pep chromosome:ASM407v2:4:715923:721496:-1 gene:Csa_4G003760 transcript:KGN52863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVPAASFTAGILEQVSFRFPKQLILLWFVITVTNADVANVLPTPFFAPATRDIPLPAKLPLHQHHHRKYMSPQSAPEAGLAPSSPPYFGNLITSGHPPTSSNFSKPLMKSGSAPPDDRLENIAPIQSTAGAIPSGLAQPPLSPIAADCCKPDMVLKRGSGDDCHCVYPIKIDLLLLNISQNPNWKLFLEELASELGLRVSQIELINFYVLSLSRLNISMDVTPHTGISFSAADASAINSSLTMHKVRLDPTLVGDYSLLNITWFKPPPPSQAPIASASPVAAPAYHFPASTSPNSPSKGHHSNLTLLLGIGAGFLFIAILFVLIICLCTSHCGKTEAPPLVTEKPRVEDKVPVAGSFPHPSSMRFLTYEELKEATNNFEAASILGEGGFGRVFKGVLSDGTAVAIKRLTSGGQQGDKEFLVEVEMLSRLHHRNLVKLVGYYSNRDSSQNLLCYELVANGSLEAWLHGPLGVNCPLDWDTRMKIALDAARGLAYLHEDSQPCVIHRDFKASNILLENNFHAKVADFGLAKQAPEGRANYLSTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSQPSGQENLVTWARPILRDKDRLEELADPQLGGKYPKEDFVRVCTIAAACVAPEAGQRPTMGEVVQSLKMVQRVTEYQDSIVPSSNNRTNLRQSSTTFESDGSSSMFSSGPYSGLSAFDNDNVSRTAIFSEDLHEGR >KGN53213 pep chromosome:ASM407v2:4:2874978:2875331:1 gene:Csa_4G026895 transcript:KGN53213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLNFLISSFDVMFLRSASLSESIKRRFSCCALYLSSSALLIQSTKLVSLPLHANRDSSASNLSLSTVLLYKSASFLASCNRVLFFSSMVFNVVLKFSVSSFLCFNSSSLVLYFPLK >KGN53324 pep chromosome:ASM407v2:4:3717588:3723025:-1 gene:Csa_4G047360 transcript:KGN53324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLLTTARLWLVMMALAFSSPAFISAHVTPRTVLHRLSSTSSFLNRTELWFNQTLDHFSPYNHDKFQQRYYEFLDYFRIPDGPIFLKICGEGPCNGISNDYLGVLAKKFGAAIVSLEHRYYGKSSPFKSLTTNNLRYLSSKQALFDLAVFRQYYQDSLNLKLNKKGENPWFFFGVSYPGALSAWFRLKFPHLTCGSLASSAVVLAVYNFTEFDQQIGESAGPECKAVLQETNRLIEQRFETNKKEVKALFGAGELEIDGDFFYLLADAAVIAFQYGNPDTLCSPLVQAKNAGNDLVDAYAKYVKDYYIGSFGSSVQTYNQKYLKNTTPGEDSADRLWWFQVCTEVAYFQVAPANDSMRSSKVDAKYHLDLCKNVFGEGVYPDVDTTNIYYGGTGIAGSKIVFTNGSQDPWRHASKQISSPEMPSFLMTCHNCGHGTDLRGCPQSHLNIEGNAQNCSSPDAVHKVRQQLVEKMDLWLSECQSTTGRNYI >KGN55257 pep chromosome:ASM407v2:4:21503912:21505115:1 gene:Csa_4G642380 transcript:KGN55257 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S29 MGHSNVWNSHPKNYGPGSRTCRVCGNPHGLIRKYGLMCCRQCFRSNAKEIGFIKYR >KGN53530 pep chromosome:ASM407v2:4:5235633:5236247:1 gene:Csa_4G064620 transcript:KGN53530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLLTQLGKYTSSSRMSSGFEAGHCADYPGSSQFVSPRLNSSSSQSSLKDGSRVPVTEMSLHHSAASSAISSHVNKVPIPSKGPRAGAPFAGDDVIDDLFPDSLLQSHGLLLSFDLATQLVQLLFEESRSLSVSIQDLQGVISSLTSRHVAVDSVFHVLHSLIVDSQKRPSDSSKHGS >KGN54052 pep chromosome:ASM407v2:4:10748480:10750340:1 gene:Csa_4G279810 transcript:KGN54052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMDAHQASTPTTTTILMFPWLGYGHLSPYLELSKALSTRKNFLIYFCSTPVNLDSIKPKLIPSPSIQFVELHLPSSPDFPPHLHTTNALPPHLTPALHQAFAAAAPLFETILKTLSPHLLIYDCFQSWAPRLASSLNIPAINFSTSGTSMISYGFHSIHHPSSKFPFSDFVLHNPWRSKYNSTPSEHARSVREAFFECLNTSRDVILINSFKEVEGEYMDYLSLLLKKKVIPVGPLVYEPNEKDEEDEDYSRIKNWLDKKEALSTVLVSLGSESYASEEEKEEIVQGLVESEANFIWVERINKKGDEEQQIKRRELLEKSGERAMVVKGWAPQGKILKHGSIGGFVSHCGWNSVLESIVSGVPIIGVPVFGDQPFNAGVVEFAGIGVEAKRDPDGKIQRKEVAKLIKEVVIEKRREELRMKVREMSEIVKRRGDVLIEEMLAQISHFSNIS >KGN54990 pep chromosome:ASM407v2:4:19805863:19806153:-1 gene:Csa_4G618550 transcript:KGN54990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKFELVFIPMPGSGHIISMVEMANILLARDHRLAVTMIAIKLYPWISKLMNISNHFLHSLVIPATETIHPYTIYCSSCITCYTKQWEPFLPGNSS >KGN55027 pep chromosome:ASM407v2:4:20030920:20032473:1 gene:Csa_4G622860 transcript:KGN55027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALWNLEDKWKLSTQQAFVLLTCTVAAVIGLCAAAWAKKRKGEKKAHPRRTDQRWWKWPATESRRKGSGGGETPVRLLGREEEGEELGSRNSTAAVWQRPILMGEKCEMLKYSGLILYDERGRLLQEQIAAMENGYKEGEVIKVKTRLKDLL >KGN52935 pep chromosome:ASM407v2:4:1117468:1119945:-1 gene:Csa_4G006420 transcript:KGN52935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQNGETDNETHQSGVTTSMELEPYLPLTPMDSSSKRRRKKSFVWDYFTVQTAEDGCIKAYCNQCNKAFAYINGSKLAGTSHLKRHIALGICPVSRERNQSNGTHTTFAKRNTANPPRKRYRATPTFASFTFDQNRCNHDIAIMIIMHEYPLYMVEQPGFIDFVKNLQPQFNMMSYTTVQEECTSIYLREKESLLNFVCGIPSRISLSIDVWTSSDTTCYVFLKGHFIDNNWNSHCRILNVIRIPSLKDDALILAVVTCLSNWQLSGRVYALTVDQSFLSDTIAMNFRNFLVANDPNFLNGQLLVGNCLAQVLCQLAQNALSLTSEVVWKIRESVKYVKTSSVREEKFLELKNQLKVPSNKELSIDNQTKWDTTFHMLVAACELKEVFSCLDASDPDYDLNMSVDDWKVAETLCTYLKYFFSVANILTSPCYPTANVFFLEVSKIQTELTNASMSQDPLVRDLTKPLKDKFDKYWNECCLVLALAVVMDPRFKLKLVEFIFSKIFVQNAEEWIRIVDYGLHDLFLQFTMETLTLSETFGEDGNIGISITELQDEEHQGEIFLTTGDGLSDFDVYISEISEGQQTKSELDRYLEEELSPKSQEFDVLSWWRLNKYRYPTLAKMACDILSMPVSTVTRDSVFDTEIRRMDSYRRSLPSATLEALICTRDWLQYGSLQSSSSTETSDTTVKSEF >KGN53539 pep chromosome:ASM407v2:4:5347658:5349639:1 gene:Csa_4G075200 transcript:KGN53539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVILSKQSIQQLSSNSFMRNSMASAASSSPAFLSEVPLIDLSSPDAKQLIVKACEELGFFKVVNHGVPMEFISTLESESTNFFSLPLSEKQKAAPPSPFGYGNKQIGRNGDVGWVEYILLNTHLESNSDGFLSIFGQDPQKLRSAVNNYISAVRNMACEILELMAEGLKIQQRNVFSKLVMDEESDSVFRVNHYPPCPQIQALKGMNMIGFGEHTDPQIISVLRSNNTSGLQISLADGNWISVPPDQNSFFINVGDSLQVMTNGRFKSVKHRVLTNSLKSRISMIYFGGPPLSEKIAPLPSLMKGEERSLYKEFTWFEYKRSAYNSRLADNRLVHFERIAAS >KGN54966 pep chromosome:ASM407v2:4:19635069:19639509:1 gene:Csa_4G617340 transcript:KGN54966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQIKYFLLQIFVVLAISFLVSAQDQSGFISIDCGISGNSSYKDSVTNIKYISDVNFTETGISKSISSDFNTTTLPQQFWYVRSFPEGERNCYTIKLAQGKGFKYLIRASFMYGSYDGQGTAPVFDLYMGVNKWGTVVMGNESNIIIKEVVHVLPTSSICICLVNTGFGSPFISALELRLLKNASYVTDFDLLALHRRLDVGSTINRTVRYNDDISDRIWVPYNFLNYKIMNTSSTVDSGGSNSYNLPGIVMSTAITTYNASDPLEFHWVPEDPSARYHIFLHFADLEKLQANQLREFNIYQNGNYFHGPFSPDYLQSTTLSSTSPMSGENIAFSLLKTNASNLPPILNALEIYLVLDTLQSRTDEQDITALMNIKSFYGVRKNWQGDPCQPKSFLWDGLICSYDDQIPNRITTLNLSSSGLVGEITTYVSELTTLQYLDLSNNNLSGPVPDSLSKLQSLKVLDLRDNPLLGSIPSELVERSKNGSLSIRVGAGGNTDLCASSSCPKKKKSYVIMIVAIVSSFLVLLAATSVLIILWRKRARKQPVIRLGTLEEKKQQLSYSEIRRITNNFERQIGEGGFAKVFLGNLDDSQVAVKVLKSSVQGYKEFEAEVKLLLRIHHRNLTSLVGYCCQKTNLVLIYEYINNGNLKEHLSGSKGSVLSWEERMQVAVNSAQGWMQF >KGN55478 pep chromosome:ASM407v2:4:22871359:22876960:1 gene:Csa_4G652900 transcript:KGN55478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSFRFAFPYIIPLSFSPLFPPRLPPSSLSSLSSPFPFLRSSSSFFNHSPRRMGSLSALIDPFLYPTARRDDSVVDDYHGCQIVDPYRWLEDPDADEVKEFVEKQVKLTESVLQKCDTREKLRAKITEQFDHPRYDPPFKRGNKYFYFHNTGLQAQNILYVQDSLDGEPEVLLDPNALSEDGTVSLSNLSVSKDAKYLAYGLSSSGSDWVKIKVMRIDDKKAEPDTLSWVKFSSISWTVDGKGFFYSRYPAPKEVGTLDAGTETNANLYHELYYHFLGTDQSDDVLCWRDQDHPKYLFSASVTDDGKYVLMPIEEGCDPVNKFYYCNISALPNGLEGFKGKNDLLPFTKLIDDFDAQYYAIANDDTLFTFITNKNAPKYKLVRVDLNDPTVWTELLPESDKDVLESACAVNGDQMIVSYLSDVKYVLQIRDLKSGSLLHQLPIDIGTVNGISARREDSLIFIGFTSFLTPGIIYQCNLESGTPDLKIFRETVVPGFERSAFNVDQVFVRSKDGTNIPMFVVARKNIVFDGSHPCLLYGYGGFNISLTPSFSVSRTVLMRHLGAVFCVANIRGGGEYGEEWHKAGSLAKKQNCFDDFISSAEYLISAGYTQPSKLCIEGGSNGGLLVGACINQRPDLFGCALAHVGVMDMLRFHKFTIGHAWTSDYGCSDNEEEFKWLIKYSPLHNVKRPWEQHPDRLLQYPSTMLLTADHDDRVVPLHSLKLLATMQYVLCTSLEKSPQTNPIIGRIECKAGHGAGRPTQKMIDEASDRYAFMAMMLAATWID >KGN53908 pep chromosome:ASM407v2:4:9199669:9202497:1 gene:Csa_4G187870 transcript:KGN53908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENMQYAEELVREFLVFRGFTSALQSYESELSTDIGKGFQVDRILDLIFSVYIPNALLHHLTIHYFQLCQSWRFPSFVIIWYMPYNQEGQIKFWSFLKYMAMIYCRGLKIGLRDF >KGN53998 pep chromosome:ASM407v2:4:10289103:10298824:1 gene:Csa_4G252910 transcript:KGN53998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQLKTIGRELAMGSQGGFGQSKEFLDLIKSIGEARSKAEEERIIIHEMETLKRRLTDPDIPKRKMKEYIIRLVYVEMLGHDASFGYIHAVKMTHDDNLLLKRTGYLAVTLFLNEDHDLIILIVNTIQKDLKSDNYLIVCAALNAVCRLINEETIPAVLPQVVELLGHSKEAVRKKAIMALHRFHQKSPSSISHLLSNFRKRLCDNDPGVMGATLCPLFDLITSDVNSFKDLVVSFVSILKQVAERRLPKSYDYHQMPAPFIQIKLLKILALLGAGDKQASEHMYTVVGDIFKKCDPLSNIGNAVLYQSICCVSSIYPNPKLLEAAADVISRFLKSDSHNLKYMGIDALGRLIKLSPDIAEQHQLAVIDCMEDPDDTLKRKTFELLYTMTKSTNVEVIVDRMIEYMISITDHHYKTYIASRCVKLAEEFAPNNHWFIQTINKVFEHAGDLVNIKVAHDLMRLIAEGFREDGDTVDSELRSSAVESYLRIIGNPKLPSAFLQVICWVLGEYGTADGKYSAPYIAGKLCDVAEAYSNDESVKAYAVTALMKVYAFEKMSGRTVDILPELTSLIEELSASHSTDLQQRAYELQATIGLDAQAVGNIMPADASCEDIEIDKDLSFLNSYVQQSLENGAQPYIPESQRNRMDDISAIKSLDQRETVSHSLRFEAYELPKPPVPTSIPPIAPAISAELVPVPEPYHPRETQQSTSEPSVSDDGASQVKLRLDGVQKKWGRPTYSSSPGSSVSTSTPTQKAVNGVSQVDNTSTVSSKPTSYTSRTPEPEISLEKQKLAASLFGGSSKPEKRAPSAAHKTSKTPHGANKVHAAKTTVAPAEVPPPDLLDLGEPTITSSAPSIDPFMQLEGLLDESQVSLTENSKAVGPNKEPDFMDLFYGTTLSGQGSNFVDLLSSNKDDLDFTSDTSKVAAKTSQGETIVSNLVQFSKGPNVKASLEKDAVVRQMGVNPTSQNPNLFKDLLG >KGN54871 pep chromosome:ASM407v2:4:18601741:18618594:1 gene:Csa_4G569700 transcript:KGN54871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAEPSNAILVRFLSMINEHLVKATDVVLKRILSYVKGQKEIDECFYTKQDNQDEDISLSVPQSLFERLCPLLVIRMLPFEVFNDLSMSVMYGQLPNRAIMHDMDMVDHKCVAALLLNRAFSKHEFDDVRKLAAELCGRIHPQVLYPIVNSVLEDSAISHNIPRIKACLFSTCTSLVVRGEQNFSHFDMFEIVKTLEVILLWPSQSGDEVSKSKHGCIDCIALMICTELQAPNSWSASKFEKIDIDEKGHASLKGSILDYVIGRLINGTKEQGAAYDLDNNDNPSNNSTPLSLRLCMANVLTSACQKLSDSGKKQFAWKVLPRLISFVEVTSTWVDIRAPCIGIIFSAVYHLKSAVLPYSNDIFRVSLNALKNGQEQERIAGAKLMVCLMSSEDPILECISGGLLEARDVLSSVSSSDPSIEVQQICQKMLHCLISSS >KGN55123 pep chromosome:ASM407v2:4:20730318:20734988:-1 gene:Csa_4G637140 transcript:KGN55123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTSYFTTPTKTKTPSEKSSRKLQNSTRMDASESRSRFEAYNRLQAAAVAFGEKLPIPEIVALGGQSDGKSSLLEALLGFRFNVREVEMGTRRPLILQMVHDPTALDPRCRFQEEDSEEYGNPVVLASAIADIIKSRTEALLKKTKSAVSSKPIVMRAEYAHCPNLTIIDTPGFVLKARKGEPENTPDEILSMVKSLASPPHRILLFLQQSSVEWCSSLWLDSIREIDPAFRRTIVVVSKFDNRLKEFGDRWEVDKYLSASGYLGDNTHPFFVALPKDRNTVSNDEFRRQISQVDSDVLRHLRDGVKGGFDEEKYRSYIGFGCLREYLESELQKRYKEAAPATLALLEQRCVEVSSELCRMDSKIQATSDIAHLRRSAMLYTASISNHVSALIEGAADPAPEQWGKTTLEEQLGSGIGSWPGVITEVKPANSSLRLYGGAAFERVMFEFRCAAYSIECPSVSREKVANILLAHAGKRGGRGLTEAAAEIARAAARSWLAPLLDTACDRLAFVLENLFDLALERNRAYESQNGKKHCNMDGYVGFHAALRHAYNRFLKDLAKQCKQLLRHHLDSVTSPYSLVCYENDFEGGSLSNASSFQKINRISLFSFELSDCGGVSRDETMKDQENIPPEKNIQQITPGKGTESRDALRECQITVPETPSPEQPNDAGYGVKKELGNGMDIGVRKRVSRIKNSSRIGGQDGCGTLFGNGDGSSRCSSAYTEICSSAAQHFARIREVLIERSVMSTLNSGFLTPCRERLVVALSLELFAVNDEKFTDMFVAPGAIEVLQNERQSLQKRQKTLQTCLNEFKTVAQSLC >KGN53185 pep chromosome:ASM407v2:4:2728884:2730642:-1 gene:Csa_4G025150 transcript:KGN53185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMAIFASSSSSCYTSKAFLLSQRSFFFSTISSKPSSSFFFKPTSRFFSSSSPTSLHYSPTPSPPTNVIQILEQRGLLDSITSDNLRSASLSPLKVYCGFDPTAQSLHLGNLLGLIVLSWFRRCGHSTVALIGGATARVGDPSGKSLERPELDLQTLEANTLGITNIITKILGNSGSDSDFCPNFVILNNYDWWKEFRFLDFLKDVGRFARVGSMIAKESVRRRLESEQGMSYTEFTYQLLQGYDFLHLYQNEGVSVQIGGSDQWGNITAGTELIRKRLPQADGVYGLTFPLLLKSDGTKFGKSEDGAIWLSPSMLSPYKFYQYFFSVPDADVVRFLRILTFLDIEEIQDLESQMMKPGYVPNTAQRRLAEEVTRFVHGEDGLREALKATEALKPGAETKLDWKTIEGIAEDVPCCSLEYDQVLNLSVVDLSVTSGLLESKSAARRLLKQGGLYLNNGRVDSESKRVEAVDIVDGKVLLLSAGKKNKVVVRIS >KGN53729 pep chromosome:ASM407v2:4:7206205:7210853:1 gene:Csa_4G112630 transcript:KGN53729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKALQQSKSQSRTTKTTNNLVSPKLFLYLLSISALLFILFHIHSLHHHVPPPPSSIVAAKLRRSVTFLPLKDLRYSNKALVGHTWFMSSLYDIQEEGEVQYQQFPSPVVDGDERMLCLKGRDTHDGSWNYYGLAWPEGLPENARVKKGVSFVSYNHYDYQNIWHGLSALMPFVAWHQIQGKCEVPERWILYHWGELRLRMGKWVSTLMEATFGAPLQFEAFEDISEGQPVCFEKAVVMRHNEGGMSRQRRMETYDFMRCKARLFCNLTSPEPLSAAVGMTMLMRTGPRSFRNETTVVEIFGKECAKVAGCRLTVAYSNNLTFCEQVSLMGKTDILISPHGAQLTNMILMNRNSSVMEFFPKGWLELAGIGQYVYHWLASWSGMRHQGAWRDPNSTLPCPYSPGDRRCMSIYKAGTIGYNRTHFSEWAKSVLNEVKMRKMEEATKVTTNQIHECSCI >KGN55229 pep chromosome:ASM407v2:4:21391635:21391979:-1 gene:Csa_4G641620 transcript:KGN55229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIEFIAALPYWVASLKVIKMKISYSLVLISAIFVCTGQDRVSAKRWRGHTVKVHGAPFPS >KGN54633 pep chromosome:ASM407v2:4:15434151:15434423:-1 gene:Csa_4G410860 transcript:KGN54633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHPPISSLFFHGFPNFLIPSFLCLFPSLRLWNFLRFIQLLQQPLQLRRYHQLAIVEWAILLTTELVGIFRPVELRHLLRVCSPNSETLT >KGN53971 pep chromosome:ASM407v2:4:9837477:9840911:1 gene:Csa_4G209330 transcript:KGN53971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLEVKADGTVSTRKVNRRQLLKSSGLRPRDVRSVDPSLFLTNSMPTLLVREHAILLNLGSLRAIAMQDCVLIFDHNRPGGQAFIESLLPRLNPKNMNGVPAMPFELEKNVHPFLKNAAVKQSSR >KGN53871 pep chromosome:ASM407v2:4:8907703:8909004:-1 gene:Csa_4G179070 transcript:KGN53871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGHTFCTSEVQTTIPLLREVYDDTMTKVLYVGPDTCSMISKLLIVDEDDYEAWGVEPYDFDSSYFHCWDLIHKGIIRVADVKFDLPYEKNSFSHVIISDTLEYFSSRYLNSTIFELMRVSREGVIIFAGKPLILVSFYRYPKHLEVIRIIQFQNLPDTNLTMRPNSEVLRGGKGI >KGN52946 pep chromosome:ASM407v2:4:1181070:1183613:1 gene:Csa_4G007020 transcript:KGN52946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDDDPWLAPDKFYHFLLCFSLTILFASFALHTRYPFIRRHSILIGSVLSLFAGAAKEVADELGFFKSAGASTRDAVADFIGVLIASFLLHALRFSIRSGGGGGGKEACPNRDILMV >KGN55334 pep chromosome:ASM407v2:4:21943257:21944264:1 gene:Csa_4G646080 transcript:KGN55334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDAAPKPSSVNGAATTNGTTIPPSSSKPNFRQHPYRPPPYRNHRNHHRSRRNLCCCFCFWTIIIVLGLILLAAIAGAALYVLYRPHRPQFTISSLRISKLNLTTSSDSSASHLSSLFNLTLSSFNPNSHITFSYDPFLLSTFSNSVLLANGSIPAFTSGTKNQTVFRALMSGAEDLDADSVTSLRSDLKKRGGTPLTIEMDTKVKVKIGRVNSKKVGIRVSCEGMKGIPPRGKTPSVASVSDADCKVDLRIKIWIFTL >KGN53883 pep chromosome:ASM407v2:4:8971424:8974694:-1 gene:Csa_4G179670 transcript:KGN53883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFDRAVKNKYMELAGAEPVANGELDELAREPSARLLLREAGLSRTRFPGMVRKRAYIFDGNGDYYNKEWDLTEGRGKEFCWYHVELPKGNQKLAQSAQYLIDVLCPPLKLQDILSLVSNGPFCGHVDGALVFRVNSPGPASSDFTFRIAARITENSVITVSLGRVPRLGFSPVGQSLLSEVPSVETPSNFRGEQRETGGIVIREHVLEFLLTMNHSEEADNPVPSSLSNLVVHIIDTHMDHLQDVVTKLEIELDSVELEMDKGGFALKKQMLDDRRFPKMNLNLQRLLQVIAHGEQVFPRVREKCSSKQWFASEDISALEELIGRLRRLKENVGFIANRVTAIQAGLDSWQAEQINRKLYYLSFLSIIFLPLSVITGVFGMNVGGVPWTEQRNPELKEGFRNVMLLCVVMLLLVLLCFSFPALYTYLATWNRRRKMRKSWSLNRKSFLKRTVRNGVEDRGGGYLRI >KGN53001 pep chromosome:ASM407v2:4:1588427:1596675:1 gene:Csa_4G011000 transcript:KGN53001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLRSLWKAYVNTTKKALAWNVEEWIPPTEKYIFNFNSKQEVKKWHLYSDSEYGGLSSASLEISETGNELRGVFSGNLSLDVSGNSKLNITRSGFCGMRSKKFDGFVDLDLYDSIAMKVRGDGRCYISTIYTENWVNSPGQEEDNSWQAFFLAPKGDWYITKIPFDRYLPTWRGHVIDSELEMNPSRIVGMSLSINAAGGIPGARSGPGDFQVEIDWIKALRTQ >KGN54816 pep chromosome:ASM407v2:4:17750251:17758553:1 gene:Csa_4G507950 transcript:KGN54816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNMVSQLPCDGDGICMLCHSKPSDLETITCKTCVTPWHVPCLSNPPETLESTLQWDCPDCSLPSQDALLPPPSNSPLPIHPSNDLIAAIRAIEADSSLADSDKAKKRQQLLTGNSLSHEINDVNTKQGDDVLDLFDERLNCSFCIQLPDRPVTTPCGHNFCLKCFQKWIGLGKKTCAKCRCVIPTKMASQPRINSTLVVAIRMAKLSKSSVSGGPQKAYHFVHNQNRPDKAYTTDRAQKKGKANAASGKIFVTIPSDHFGPIPAENDPERNQGVLVGECWEDRLECRQWGAHFPHIAGIAGQSNNGAQSVVLSGGYQDDEDHGEWFLYTGSGGRDLSGNKRTSKDQSFDQKFEKYNKALQVSCLKGYPVRVVRSHKEKRSSYAPEKGLRYDGIYRIEKCWRKVGIQGFKVCRYLFVRCDNDPAPWTSDDHGDRPRPLPSIPELKKATNITERKEGPSWDFDEKDSQWKWSKPPPMSTRPVETEDPATGKRSRRKIRQSHNMNVRERLLKEFSCLICREVMSMPITTPCAHNFCKSCLEGAFAGKTFLRERSSGGRSLRSRKNVMACPCCPTDISDFLQNLQVNRELLDVIESLKGKLEEEGDASEKLCEEEMEEEEEEEEEEKEEEKEEEEEEGKGEVESRKQAKVEVNGGEENPII >KGN53757 pep chromosome:ASM407v2:4:7496025:7496510:-1 gene:Csa_4G122810 transcript:KGN53757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPGEIASLHYLLSSNPSLYTSQFIMSHDSTNMMHLNQFSNPLSKFKYPSQDMNPPSLSSNSTSDEAEDQQLSLINERKQRRMISNRESARRSRMRKQKHLDELWSQVLWLRNENHQLIDRLNQVSECHDRALQENAQLKEEASELRQMLTDFQLHNPYLP >KGN53793 pep chromosome:ASM407v2:4:7876771:7877061:-1 gene:Csa_4G129590 transcript:KGN53793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFKCEEAVSRAFLLIIHTVDHLFVDALKPNLRTRLLGYRLFQSSHVPDIKHNVRPFREARIFDSENVNISSEGICIPSGVALRIINTLTAEFNAL >KGN53766 pep chromosome:ASM407v2:4:7590122:7591558:1 gene:Csa_4G124880 transcript:KGN53766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKMFKIPFLSLTIWLLFLISPLSHSLSHALQDYTDEPFLPIGAELSESSLDEEKNLVGFDAIGLRKMGSGRKRVEVKRIVKKEITTTNDGRQEDEENSRISGLYQKSSNKAHQTSQVNHDQETIDAARSKNSQHVYKVKSTNLKRVILARDDETQRLLKAAREIANLMHKDYKEWAHRKPPINNREPLH >KGN54232 pep chromosome:ASM407v2:4:11769363:11769986:1 gene:Csa_4G294400 transcript:KGN54232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRFLYRRLRSRWPLLVFSASWTLLLTLTVAAASFAPELAFASAISPSSSFAAECKSDGLVRVPMDIPGDVLCVPDRLFRKSGIDLIVPPIFAAVVVAGSACFVRALGLWADDDDDAL >KGN54328 pep chromosome:ASM407v2:4:12396411:12397495:-1 gene:Csa_4G304250 transcript:KGN54328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQVQVLGFWGSPFSRRVELALKLKGVEYQYFEEDLFHNKSDLLLKYNPIHKKVPVLLHHGRPIAESLVILEYIDDIWKENYPILPQHPHQRALARFWAKFIDDKVVGAVVKAAGSRGEDREKAIEEAREALEALEKEIEKNNELFGGNDIGFVDIVGTVIAGWIPAFEECFGFQLLTADNFPNLIKWSDQLVNHSIFNQILPPKYEIVACMKANWKI >KGN54849 pep chromosome:ASM407v2:4:18195535:18196410:1 gene:Csa_4G541630 transcript:KGN54849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGDGLNTGASTHFKEEVSLDGTRGSASRGGVDQISCTHVTQRKIDYRLSFSSRQTEERRLEHFRLAKERSGCAACDGNDFDLQCMVETCDREEDATKTKLQNRSTFDAKWTTSFERGLSSCSRRW >KGN53866 pep chromosome:ASM407v2:4:8854624:8858188:1 gene:Csa_4G172540 transcript:KGN53866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCCVAPPRNPEDQNKGKRKKKPNPFSVDYGVNHFAGGNGGSHKLTVLTNPTGCEIGLQYELGRELGRGEFGITHLCTDKVTGEKFACKSISKKKLRTAIDIEDVRREVQIMRHLPKHQNIVSLKDTFEDDNAVHLVMELCEGGELFDRIVARGHYTERAAAVVTKTIVEVVQMCHKQGVMHRDLKPENFLFGNKKENAPLKAIDFGLSVFFKPGERFNEIVGSPYYMAPEVLKRNYGPEVDVWSAGVILYILLCGVPPFWAETEQGVAQAIIRSVIDFKRDPWPKVSDNAKDLVRKMLDPDPKRRLTAQGVLDHPWLQNVKKAPNVSLGETVRARLKQFSVMNKLKKRALRVIAEHLSVEEVAGIKEGFEKMDTGNKGKINIDELRVGLHKLGHQIADADLQILMEAGDADNDGYLDCREFVAISVHLRRMGDDEEHLRKAFDFFDQNLSGYIEIEELRSTLADEIDENSEEVINAIINDVDTDKDGRISYDEFAAMMKAGTDWRKASRQYSRERFNSLSLNLMRDGSLQLKQ >KGN55545 pep chromosome:ASM407v2:4:23171686:23177014:-1 gene:Csa_4G664520 transcript:KGN55545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDYGIPRQLSDLQKIRSLYQPDLPPCLQGTAVRVEFGDGTTAVDPADAPTVSRAFPHTYGQRLAHFLRATAKVPDAQIITEHPAIRVGVVFCGRQSPGGHNVIWGLHDALKVHNPNSILFGFLGGSEGLFSQKTLEITDDILTTYKNQGGYDLLGRTKDQIRTKEQVNAALKTCLDLKLDGLVIIGGVTSNTDAAQLAETFAEAKCPTKVVGVPVTLNGDLKNQFVETNVGFDTICKVNSQLISNVCTDALSAEKYYYFIRLMGRKASHVALECTLQSHPNLVILGEEVAASKLTLFDLTTQICDAVQARAQQDKYHGVILLPEGLIESIPEIYALLKEIHSLLRQGVLVDKISSQLSPWASALFEFLPPFIRKQLLLHPESDDSAQLSQIETEKLLAHLVEAEISKRLKEGTYKGKKFNAICHFFGYQARGSLPSKFDCDYAFVLGHVCYHILAAGLNGYMATLTNLKNPVNKWRCGAAPIAAMMTVKRWAQNPGSSSIGKPAIHPATVDLKGKAYELLRQNANQFLMDDLYRNPGPLQFDGPGADAKPVSLCVEDQDYMGRIKKLQEYLDKVRTIVKPGCSQEVLKAALSVMASVTDVLSVMSSSSFSGKASLEG >KGN54802 pep chromosome:ASM407v2:4:17585750:17603256:1 gene:Csa_4G506830 transcript:KGN54802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMREEEIEKLRGVVRDCLSKHLYSSAIFFADKVTAFTEDPADIYMQAQALFLGRHFRRAFHLLNASKIVLRDPRFRYLAAKCLEELKEWDQCLAMLGDANVDEHGNVLDNKDHSGMYLDKDCEDREINIVAATCFLRGKAYEALENRTQARLWYKAAIKADPLCYEALECLIESHMLTCDEESSLLSSLQFGPEDGWLPSFYACLIKKYDKENIIEARFKELERETFNSKSSDPSFMRTLKTNTDLLACKAEYYHQCGEYQKCFELTSVLLEKDPFHLKSTLVHLAAAMELGHSNELYLMACNLVKDYPQKALSWFAVGCYYYCIKKYDQSRRYFSKATTLDGTFAPAWIGYGNAYAAQEEGDQAMSAYRTGARLFPGCHLPTLYIGMEYMRTHSFKLFFVQAKTICPSDPLVYNELGVVAYDMKEYNKAAWWFEKTLACIPSPLSEMWEPTVVNLAHSYRKLKMYREAIKYYEKALALSTRSLSTYAGLAYTCHLQDHFTAAITYYHKALWLKPDDQFCTEMLSLALMDECQNGMDPKVEVC >KGN52827 pep chromosome:ASM407v2:4:504615:508053:1 gene:Csa_4G001940 transcript:KGN52827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSPLSFKLITLISFLLLSLSKASLATTLGHHRRHPRYTPHNYRDALAKSILFFQGQRSGKLPPNQKMAWRKDSGLSDGSSMNVDLVGGYYDAGDNVKFGFPMAFTTTMLSWSVVEFGGVMKNELNNAKQAIRWATDYLLKATALPDTIFVQVGDANKDHACWERPEDMDTPRTVLKIDKNNPGSEVAAETAAALASASLVFKKSDPTYSKLLIKTAIRVFEFGDKYRGSYSNGLNNFVCPFYCSFSGYQNLGGVEFDNTFGWDNKHVGARILLSKAFLIQNVKSLYEYKDHADNFICSLIPDAPSSSSVHYTPGGLLFKMGDSNMQYVTSTTFLLLTYAKYLTSAHTTANCNGRSITPNILRTIAKKQIDYLLGENPLKMSYMVGYGSHYPQRIHHRASSLPSIAEHPAKIDCSSGFFVMHSNSPNPNVLIGAVVGGPDQNDEFPDERSDFEQSEPSTYINAPLVGSLAYFAHSFGQL >KGN55120 pep chromosome:ASM407v2:4:20690419:20691409:1 gene:Csa_4G632110 transcript:KGN55120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSKTLSLSLYIFFPCFLSLSQAYTFYVGGKDGWVLNPSESYDNWANRNRFRVNDVLVFNYARGSDSVAVVGKEDYDKCDLNNPIVKLEDGNSKFKFDRSGAFYFASGKQGMCENGQKLAVVVISQHSFSLSSKLASTPPEISPTSPLSLSETLGSPMPSSEMLGSPMPSSEMLGSFVITIIRDTQFVITIIRDTQFVITIIRDTQFSIAIVRDARSGPDPIRCHGTGDESYPVRSDRRNDGFDPVRSNGGVDGSDPLRSDWGADDVSIVRGVRFRVADV >KGN53877 pep chromosome:ASM407v2:4:8934163:8948413:-1 gene:Csa_4G179120 transcript:KGN53877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETDTEGLPTTDTTPWFTPKRLLAVFCVINLLNYLDRGAIASNGVNGSQGSCTASGTCTSGTGIQGEFSLTNFEDGVLSSSFMVGLLVACPIFATLAERVNPFRLIGVGLSVWTFAVIGCAASFNFWSITICRMLVGVGEASFVSLAAPFIDDNAPASQKTAWLGIFYMCIPSGYAVGYIFGGLVGGNYSWRIAFIVEAVLMLPFVVLGFVIKPLQLKGFSSSGSYGKLRSVDLIASEVQDTEASYANGGAFSTAEDSLGKSSSLATNARNQLSKFVKEIKELLVNKVYVINVLGYITYTFVLGAYSYWGPKAGYSIYHMDNADLIFGGITVISGILGSLGGGYILDFMSNTISNGFKLLSATTFIGAILCFSAFCFKSVYVYLALFAIGELFVFAIQGPVNYINLEAVKPSLRPLSMAMSTVAIHIFGDVPSSPLVGVLQDYLNDWRVSSLILTAILFPTALIWFVGVYLPIVGSSDENNHLEDSATNQLSTTPLLEGRLVKIAESSSEP >KGN55371 pep chromosome:ASM407v2:4:22146323:22149734:1 gene:Csa_4G647440 transcript:KGN55371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVSGNKGIRRGSSQKESGNGGEVVVQLSGVENECSVPKQNRADSQTKEPTGSSVGYDNASPLAPTPNKPPKIPISNGTLTPRRSLRRSTLSKPKSRFGEQSCFIDSDMLEEENHVSLREQIGATSSRSSNLNTPKAQPEGEDDGNMGSNAKHKKVKVKTVIKWIGVFCIISCLVASLTVNPLKNRFLWGLKVWKWCLLATVILCGLIFTRWVMNVVVFLIERNFLLKKKVLYFVHGLKKSVQVTLWLSLVLATWGSLFDRRNHMISSSRITAKILDAVTWTLASFLIGAFLWLIKTLLLKILASKFHMNRFFDRIQESLFLHHVLQTLLRPPLVEDESTAKFRCCRFCFESKKPDRKKVIDMGKIHELKREKVSAWTMKVLVDAVTSSEMSVSQILDDESYRDVADGDITNEMKVAKEAAKEIFKNVALPGNKFIEERDLLEFMIPEEVNLVWPHFEVDKTRKIDMKALTNWVVKVYQGRKTLAHALKDTKTAVKQLNNLITALIIVVTAVIWLLLMEIATTKVLVFLLTQLAVAAFMFGNTCKTAFEALIFVFVMHPFDVGDRCVVDGVQLLVEEMNILTTVFLKLNNEKVYYPNSVLATKPITNYYRSPDMGDTVEFSIGFETPVERIGAMKEQIKRYLEENPQHWYPNHNVVVKEIENVNKIKIALYTNHTMNFQDWAEKNRRRTKLVMELKRIFEELKINYNLLPQTVHLFPVEGH >KGN55324 pep chromosome:ASM407v2:4:21893397:21894602:-1 gene:Csa_4G645980 transcript:KGN55324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKKTSPLPGLEADEYQELQPLIQTYHKFEPSPNTTTSLITQRIDAPLDAVWPFVRSFDNPQKYKHFIKSCKMSAGDGGVGSIREVTVVSGLPASTSTERLEILDDEKHILSFRVVGGEHRLNNYRSVTSVNEFNKDSKVYTIVLESYIVDIPEGNTGEDTKMFVDTVIKLNLQKLAGVATASQHEHEHEHE >KGN54120 pep chromosome:ASM407v2:4:11094227:11101380:-1 gene:Csa_4G286930 transcript:KGN54120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRRANQKHPGSPKKASSKSPAEFFAENKNIAGFDNPGKCLYTTVRELVENSLDSAESISELPVVEITIEEILKTKFNSMIGLVDRERVDEELYDDYETAKAREKRLAKEARAQEIQAKNAALGKKGREPAASKGMKGRGEASFYRVTCKDNGKGMPHDDIPNMFGRVLSGTKYGLKQTRGKFGLGAKMALIWSKMSTGLPIEISSSMKGQNYTSFCRLDIDIHRNIPHIHIQEKRDNKDLWHGAEIQVVIEGNWTTYRSKILHYMRQMAVITPYAQFLFRFVSDTPDKNITIRFSRRTDVMPPVPLETKHHPSAVDLLLIKRLIAETAKQNLLQFLQHEFVNIGKPFAERLIGEMGPEFSPKMSVKSLTSQQIVRIHQLFRQAKFDDPSGDCLSPAGEYNLRLGIIKELHPDMVATYSGSAQVFEGHPFIVEAGVSIGGKDVKQGLNIFRFANRIPLLFEQGADVVTRTALKRINWNSYKINQAQDKIGVFVSIVSTKIPFKGTGKEYIGDDISEIASAVKSAIQQCCIQLKSKIVKKIQAREQQERKRNLSRYIPDATNAVYNVLKEMAQVHASKKKRHDCEDAEILSKVSARLITNETLRDKLAQHVEQVDHEMAMEYATQSGASAEAKEEMYIQSLDATHSFTDFQSPLFVFRLIC >KGN53099 pep chromosome:ASM407v2:4:2125105:2125676:1 gene:Csa_4G016380 transcript:KGN53099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVSVLFFNVYKRVPFRILAVARACIPLLHITRTAAHQPVTCNPNAAPSLHANHAAHLFPQSASSEGREVN >KGN55471 pep chromosome:ASM407v2:4:22826126:22827202:-1 gene:Csa_4G652830 transcript:KGN55471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDPDPDRALLFLILQFLDHQNLSETARSLECETGLFFNMTYFEELLNCCAYNEAESYLCGFTDIHDNIYSTKIYFGIRKLKFLEALTDGEREVAREVVEKDIEIFDQYNPGSHMLLSSYRNMKEARKVVMENIKKCIEANPLLEGKLSFPPLSTTLQAFYTEAMASRSRPPATCRRDFKD >KGN54426 pep chromosome:ASM407v2:4:13144602:13153595:-1 gene:Csa_4G315020 transcript:KGN54426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLTRYQIRNEYALADPDLYKAADKDDPEALLEGVAMAGLVGVLRQLGDLAEFAAEVFHDLHEEVISTSARGHSLMIRVQQLEAEVPSIEKAFLSQTNHTSFFTSTGLDWHPNLQSEQSHVARGDLPRFVMDSYEECRGPPRLFLLDKFDVAGAGACLKRYTDPSVFKVERSNIEPQREKKTRKVKKKGPRWRNGGTPEIGPASHTKLHQLFMEERIESCFNDPSRLVKLKKRQFNGCIDSKNGKSYMEKFLETPSPEHKMVYEASVAAPTLHSMSDNTNDLGLRILDITTVSPASKSPGRGSTCSSCLAHEEELKRPINGDVSGDEIFKMPESTADDEIETTSNLQMVVVENHLEYGEGKAGSSIDGYRSDEVISEVDNYVDALATMESEIETDNEPRSKTINLGRHRGESDANAECLETQAQLSDSQSFVNSSGSDNGISSFKRERSSFSCSDTLSSLVDNIQFDTEETAKVLPSISKACMVDIENMPCNTDYTSLSHENHADENGVLDDTSVDEERKSKSEVSEDSRFLDSISPQPRSDPESCSSPSLLVKPKLYKTSSTDLVNSLQTSSTEIDLDCDEDVHLDVPSKAVSSANHTIPSEGIKDRKGVDVDATSENSLHFPNVLWQAVEIQAVEKVEDTMRQKEYQDDRTIDKQEIESSPSSLLPSETSCVSTNDSSDNKYDAIALKGDDNVVIAEAKCENSSLAVDLLQTQDLKDDNIVAGTKYEDLPLAADFSKTQDLKDQVKNAADDVLLFEGDTTETDVTYSVRDPNVVDITRADDDGKVTIFTHTDDTSEEKQLCYPNDTVREHLNSRDLVETVNHEGVTLSSTSVSSHDEITSPGDLDHEASVNYSNFATGKVQANEVVDSGNYSDIVTEKVQADKVVDSVACSDIGTEKVRSEKVVDFVNYSDIMADKVRADETVVQGAEVIPKNLSQSGDEENISIDKLPTGAHEAHGFAFNADRTTSNDMNGIVGTSLNDILSTSDNIRSDLSENHLALENSSDLLENHLGLENSYPNQNGFKDASDYSGDKVNHREVASAPLESKDGSISDHQDSVKDVLSFGPKYLELRNLESNPRSYHQGDLKEGIELISPPPLCVSSAIETSSRPSPDLQAKHKEMELMQADIDVSTSALIGQRSTSQLDEEKVEVAQSSDPFQQDQSFKGKADGVTIEAGHSLSELYKQHPIGEHNVTGRTTNTLQPVLPSNYMLLPEVPQVSLNEMPPLPPLPPMQWRLGKIQQAFPAPPRSDDPLQSILPSSITPPLQPENPYTFFQDNNLMNISGNMVHNTMQPPPFSLQSSVISNENFQYSSAIMEKQYNNPFLNLPPMARETPKHDSLKSDEQELHSDPKLPSLEPTNDDANCNNDNESSYLQSFQPFSYSASEVVLKPQDFEGGQRTSHAMMVPPSLMKNEQSRDDLPNTEEEVASSSNTAPMPSTYGVDMPNGNLPISSKLLRPRSPLIDAVAAHDKSKLRKVSDRILPEMGPKVDERDSLLAQIRTKSFSLKPAAVTRPSIQGPKTNLRVAAILEKANAIRQAFAGSDDEDDNSDSWSDSE >KGN54640 pep chromosome:ASM407v2:4:15507524:15513695:-1 gene:Csa_4G414400 transcript:KGN54640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKNDGEEGGELAQPHARHIKKRALRNKALSVSFNEKDLRDYVTGFHKRKKKRRKEAEKQKEEAMRRMRIEARKKRKLEKDLVLHGGVLPADRAVDDQNDDQGNEEEEPLAPLSEATTYDNGNVKVTVTTSEVSREDEIDPIDKPQTATVTAQLLGKDKKHNLPITKKKLFKKVAKQRGRPKLRSKRDKKKGRKKK >KGN53910 pep chromosome:ASM407v2:4:9227791:9230700:1 gene:Csa_4G188380 transcript:KGN53910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFGGLRRFCRINMRNFSNLQSVNVCIFNSSFVSDIGKCVQIVQGSENYSTLARAEIDKGMERMKLAINLASLVEESLDVDLRRSKTQMELKRSLEIRIKERVKAQYLNGKFLDLMGNVIACPNTLQNVYDCIRINSNVDIKSNDRLISFESMAEELSNGNFDVNTNTFSILSSRKEVLILPKIKLKVLQEAIRIVLECVFRPHFSKISHGCRSGRGHSTALKYIKKEIKDPDWWFTVDLSKKMDELVMAKLITVMEDKIEDPKLFAVIRSIYLAGALNLEFGGFPKGHGLPQEGVLSPILTNIYLNLFDQEFFRLSMKYEAINEYGNTGQDGSQSRLRSWFRRQLKGNNSDYSGEEKDKIRVYCCRYMDEIFLAVSGSKDVAHSFRSEIFYFVQKTLHLDVNREEEMVSCETHGIRFLGCLVRRSVQESPAVKSIHKLKEKVELFGLQKQETWNAWTVWLGKKWLAHGLKKVKESEIKHLAKNSSLNKISSFRKPGMETDHWYKVLLKIWMQDLNARAAESEEKILSKHAVELSLPFELRDSFYEFQRHVKEYISSETASTLALLPNYDPSAKPTFITEIIAPVNSIRKRLLRYRLVTNKGHPCSSPFLILQDNTQIIDWFVGVSRRLFRWYNNSSNFSELFLIFDQVRKSCIRTLAAKHRIHESEIEKKFDSELSKIYSSSEIDQEKEKSTDTHVLDHDEALKYGISYSGLCLLSFARMVSQSRPCNCFVIGCLAPAPSVYTLHVMERQKFPGWKTGFSSSIHPSLNKRRFGLCKQHLADLYLGRISLQSVDFGAWK >KGN52752 pep chromosome:ASM407v2:4:152736:153036:1 gene:Csa_4G000730 transcript:KGN52752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCHNWSNGGEPLTFLSAIIINDRTFHLDSSSSLKFMYRRRRMRSRSRSLRNSEPSGEEEEEVKNDSV >KGN54141 pep chromosome:ASM407v2:4:11214744:11220095:1 gene:Csa_4G288610 transcript:KGN54141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKSHHVSSHSSLEAAAFVNPKPFLLSNAKTTLLPIRWDGGRQNAKSHRLRLAGRPSTVIKASTVASSTEKAVAVKVFVTVKRVLGTGLYLERGLDDLADLFGKSLLLELISAEVDPVTGLEKGTIKKYAHKEDTERDEIIYEADFEIPPDFGPIGAIFVENEHHKEIFLKDVVIEGLPSGPLNFVCSSWINEKDYDDSRRIFFTTKSYLPSNTPEGLKRLREEELKVLQGDGVGKRENHERIYDYDVYNDLGDPDRDLALKRPVLGGKQFPYPRRCRTGRPRSKRDALSESRSSDNYVPRDEAFSPVKQATFSVRTLTSVLKGLIPALESVSTDTDIRFPHFPAIDDLYDNGVPLPAAKDGLRQLATVLPRLIDTVADRAEDILRFVPPETFYKDKFFWFRDEEFARQTLAGLNPYSIRLVTEWPLKSKLDPAVYGDPTSKITTEIVEQQIKGFMTLDEGAFEKFRGKLKELEGIIDERNANKNLKNRHGAGVAPYRLLKSESEPGVTGQGVPYSISI >KGN52786 pep chromosome:ASM407v2:4:297063:297245:1 gene:Csa_4G001535 transcript:KGN52786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVQHEKSSDSSSRTVGEKLAQAYRDVKVALTKNQGVGTRRNQREDPIRTIMFLGSWSHT >KGN54885 pep chromosome:ASM407v2:4:18795150:18795551:-1 gene:Csa_4G572300 transcript:KGN54885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILTRESSDDEHFREEFSRTDVVTTHFVDAHLRKSENHSTHWKKPADNVTGYGGFPVSGEARRENDMEEGKNDSDTDGNTTEVR >KGN53000 pep chromosome:ASM407v2:4:1585302:1587116:1 gene:Csa_4G010990 transcript:KGN53000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTSEDPKPTESHPSPAPPPSTEDESKKWGTHVMGPPAAPTEHPDNQKAAFWNAASQQQIHHHPYVQYSPVDHRPSSNPLEPVVHAFNSWSNKAETFARNIWHNLRTGQSMSEAAWGKVNLTAKAITEGGFESLFKQTFATEVNEKLMKSFACYLSTATGPVAGTLYLSTVRVAFCSDRPLYFTAPSGQPSWSYYKVMIPLSHIAIVNPVTMPGNPSARYLQVTTVDGHEFWFMGFVNFEKATHNLLKAVSAHNSPPSAV >KGN54554 pep chromosome:ASM407v2:4:14663158:14668914:-1 gene:Csa_4G361880 transcript:KGN54554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQTKLFDAAAATDLVNELRAIFNSGNTRSYEWRVSQLESLLKLCVDHEEDICDALRSDLSKPALESIIHEIGMVKGSCKLAIKEIGNWMKPEKVQTTMTSFPSSAAIVSEPLGVVLIISPWNYPFFLSLDPVIGAIAAGNTVVLKPSEISPATSSLIAKLFEKYLDTSAVKVVEGAIPETNALLEQKWDKIFYTGNGRVGRIVMAAAAKHLTPVILELGGKTPVVVDSKINLQVACRRIISGKWGGNNGQACVAPDYIITTKEFAPKLVESLKQELERFYGTNPLESKDISRIVNANHFNRVSKLLDDDEVSSKIVHGGEKDKSKLQIAPTILLDVPRDSLIMTEEIFGPLLPIITVDKLEDSFEIVNSGTKPLAAYLFSNNKKLKEQFVACISAGGVVINDTTIHLAVSTLPFGGVGESGMGAYHGKFSFDAFSHKKAVLYRSFVGDVPMRYPPYTDGKLRFLKALLGGGILELIRAILGWS >KGN54866 pep chromosome:ASM407v2:4:18491446:18497117:1 gene:Csa_4G563190 transcript:KGN54866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMNTLAATSNQLPLTVGLPSKISLNFDSKLSRLSSTSRALVGGNSRVLAIKCSAASLVDSPEAFIEASKKGNLIPLHRCIFSDHLSPVLAYRCLVKEDDREAPSFLFESVEPGLQVSNVGRYSVVGAQPSIEILAKENVVTILNHEEGKRTEEIVEDPMTVPRRIMEKWKPQMIDELPDAFCGGWVGYFSYDTVRYVEKKKLPFSSAPTDDRNLPDVHLGLYDDVIVFDHVNKQAYVIHWVHLDQYSSVQEAYEDGTARLEKLLSRVHDIVPPKLPAGSIRLLTHLFGPKLKMSSMTSLEYKKAVLQAKEHILAGDIFQIVLSQRFERRTFADPFEVYRALRIVNPSPYMTYLQARGCILVASSPEILTRVKKGKITNRPLAGTIRRGKTEKEDKVLEKELLNDAKQCAEHIMLVDLGRNDVGKVSKFGSVKVEKLMNIERYSHVMHISSTVTGELVDDLNSWDVLRAALPVGTVSGAPKVKAMELIDQLEVTRRGPYSGGFGGISFSGDMDIALALRTIVFPTNTRYDTIYSYKDANRRMEWVAHLQAGAGIVADSDPGDEQRECENKAAALARAIDLAESSFIGK >KGN54184 pep chromosome:ASM407v2:4:11482044:11483605:1 gene:Csa_4G292450 transcript:KGN54184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPLITGIAVAAAAYAGRYGIQAWQAFKMRPPTARLRKFYEGGFQPTMTRREAALILGVRESTPTDKVKEAHRKVMVANHPDAGGSHYLASKINEAKDILLGKTRGSNSAF >KGN54177 pep chromosome:ASM407v2:4:11436368:11439894:1 gene:Csa_4G291890 transcript:KGN54177 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate/malate translocator MASLALTSLYLPSLRSRLPNSESNARIRCSSLRSSIPKSAIFGSGVRTSFHIPSSLSNEAKFVKPGLNFGSSGRNLGGFTVRASSSSSSAAAAVPAPAPQPWQGAAIKPLIASIATGIILWFVPVPSGVSRNAWQLLAIFLATIVGIITQPLPLGAVALLGLGASVLTKTLTFSAAFSAFGDPIPWLIALAFFFARGFIKTGLGNRIAYQFVSLFGSSSLGLGYSLVFSEALLAPAIPSVSARAGGIFLPLVKSLCVACGSNVGDGTENRLGAWLMLTCFQTSVISSSMFLTAMAANPLSATLTYNTIKQTIGWTDWAKAAIVPGLISLIVVPLLLYVVYPPTVKSSPDAPKLAREKLEKMGPMTKNEIIMAGTLLLTVGLWVFGGVLNVDAVTAAILGLSVLLVTGVVTWKECLGEAVAWDTLTWFAALIAMAGYLNKYGLISWFSQTVVKFVGGLGLSWQLSFGILVLLYFYSHYFFASGAAHIGAMFTAFLSVASALGTPPYFGAIVLSFLSNLMGGLTHYGIGSAPVFYGANYVPLAQWWGYGFLVSVVNIIIWLGIGGIWWKAIGLW >KGN55380 pep chromosome:ASM407v2:4:22199771:22208845:1 gene:Csa_4G648020 transcript:KGN55380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADLARFSLWSHQRPFFHSASKSNASLFDSRPGFLPIRHRHQTQLRKQCLHRFGRCLGHRFVVSNSLGAEPLAQNTLFQQERRDELSVLLDVSGMMCGACVSRVKSILSSDDRVDSVVVNMLTETAAIRLRSGEVVAEADSAVNVAESLARRLTDCGFPTSLRNSELGVAENVRKWKDMVEKKRELLIKSRNRVAIAWTLVALCCGSHASHILHPLGIHIHNGPLMEILHNSYVKGCFALVALLGPGRDLLFDGLRAFRKGSPNMNSLVGFGAVAAFIISAVSLLNPALDWDASFFDEPVMLLAFVLLGRTLEERARVKASSDMNELLSLISSHSRLVITPSEGNSSTTDVLCSDAMCIKVSTDDIRVGDSVLVFPGETVPVDGKVLAGRSVVDESMLTGESLPVFKEAGLMVSAGTVNWDGPLRIEASSTGLNSTISKIVRMVEDAQGHEAPIQRLADSIAGPFVYTVLTLSVATFTFWYCFGTRIFPDVLINDIAGPDGDPLLLSLKLSVDVLVVSCPCALGLATPTAILVGTSLGARRGLLIRGGDVLERLANIDCVALDKTGTLTEGKPTVSSVVSFVYGEEDILQVAAAVEKTASHPIAKAIIDKAESLNLTIPVTRGQLVEPGFGSFANVNGRLVAVGSLEWVNDRFEKKASTFDLKNLEHSVYRSLKGISSSNNSKTVVYVGSEGEGIIGAIVISDQLRYDAESTVNRLQKKGIRTVLLSGDREEAVASVAKTVGIEEEFVHSSLTPQGKSDLISTLKSAGHRVAMVGDGINDAPSLASSDVGIALQLESHENAASNAASILLLGNRISQLVDAMELAQATMSKVYQNLSWAIAYNAVAIPIAAGVLLPGFDFAMTPSLSGGLMALSSIFVVTNSLLLQIHAPKEAKRST >KGN53607 pep chromosome:ASM407v2:4:5838690:5840652:-1 gene:Csa_4G089280 transcript:KGN53607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSSWRRSLGNVRSFIGNSMGGLRGGANLASWVVAGTLAYYLWVKPSQDLKREQQERAALAAVDPHRYIEKRKPIPDPQETGLIYGNKNTPRKPEE >KGN54806 pep chromosome:ASM407v2:4:17636216:17642938:1 gene:Csa_4G506870 transcript:KGN54806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGKSPSVVNRGFLLQRIKSCRHKCPTVDDIVDHLQSTYRDYRTLKKSPFTSIVQQTLDSHLNKTPKSIPSSSTPTKIKRRLQDSKTEDADCSTIGKKRPKRVDVGEQRLQNMENMHLRRIQHNNQDDSSSSLSSSSSTGSGNSGDGAVSTSEDAIYGEKVEPEFDLMKLMLRTSYAESKKLKNEHLEKSMELEVAIDDKVAEKINVGNEGNANKEISRKEKQSSLNREEIEGPWFKDLGGMKSVLDELKMEVIVPLYHPQVPLWLGVRPMAGILLHGPPGCGKTKLAHAIANETGVPFYKISATEIMSGVSGASEENIRELFSKAYRTAPSIVFIDEIDAIASKRENLQREMEKRIVTQLMTCMDGFHKLVDSKDASSKDDNSNVRPGYVLVIGATNRPDAVDPALRRPGRFDREIVLGVPDENARAEILTVLTSNLRLEGSFDLLKIARATPGFVGADLTALANKAGNLAMKRIIDQRKCELSTDCAANEHIEDWWRQPWLPEEMEKLAITMIDFEEAIQMVQPSLRREGFSAIPSVKWEDVGGLEQLRAEFDRYVVRRVKYPEDYEGFGVDLATGFLLYGPPGCGKTLIAKAVANEAGANFIHIKGPELLNKYVGESELAVRTLFSRARTCSPCILFFDEVDALTTKRGKEGGWVVERLLNQLLIELDGAEQRRGVFVIGATNRPEVIDPAILRPGRFGKLLYVPLPGPTERGLVLKALGRKKPIDVSVDLLAIGQMEACENFSGADLAALMNEAAMAALEEKLTLDNSNIESASCTIKMVHFERGLTKISPSVSEKQKHFYEILSKSLKAA >KGN53606 pep chromosome:ASM407v2:4:5837094:5837414:-1 gene:Csa_4G089275 transcript:KGN53606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQRLWSQNFIEGSSICSQKMEYNDQQNDPSFEVQKISSLHPSMSESNCILIHRAYFFAIRELFRSFQIVEHPVTIKFKDSKGRESFKALQQSEATIEITFCQMEV >KGN55331 pep chromosome:ASM407v2:4:21923687:21924149:-1 gene:Csa_4G646050 transcript:KGN55331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGAGGIHHCLLIVLESDSVPSRVYRLLSRRLYPLPLKNLTNVDASRPSIKDNPSRLLVALNSTDGFLSCFVNADFSGRPALRLAAIKEGATH >KGN53490 pep chromosome:ASM407v2:4:4888663:4893732:-1 gene:Csa_4G056780 transcript:KGN53490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIDLRLPSGEHDKEEEPNGINNMLDVEEKLHNGVIESGDMVDATNGMHVEDGGNLNSPMLDMVMFKEDTNLEPLPGMEFESHSEAYSFYQEYARSMGFNTAIQNSRRSKTSREFIDAKFACSRYGMKREYDKSFNRPRVRQTKQESENSTGRRACAKTDCKASMHVKRRADGKWVIHSFVKEHNHELLPAQAVSEQTRKMYAAMARQFAEYKNVVGLKNDPKNPFDKSVSGLYTHAVFKKFQVEVLGAVACFPRKVKEDEKNITYKVQDLEKDLEFVVVWNGLKSEVSCLCRLYEYKGYLCRHAMVVLQKCELSTIPAQYILKRWTKDAKSRQLMGEELEPVQSRVQRYNDLCQRALRLIEEGSMSQESYSIAVHALEETLGNCISVNNSNRTFLEAGTSAAHGLLCIEEDSHIRSIGKTNKKKNPTKKRKVNCEPDVMTVGAQDSLQQMDKLSSRAVTLDGYFGAQPSVQGMVQLNLMAPTRDNYYGNQQAIQGLGQLNSIAPSHDGYYAAQQSIHGLGQMDFFRTAAGFTYGIRDDPNVRTTQLHDDASRHA >KGN52805 pep chromosome:ASM407v2:4:397727:402504:-1 gene:Csa_4G001720 transcript:KGN52805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILSSFHSALVLYTLSLVTTIFPSLYLVGSSSNNNNKRIVSPSLSQKAERGGGERMSNLGVTIGLLLLLTLLFNSSLKVVAVIDDYTRSDFPPTFVFGSASTAYQVEGAAFEDGRTASIWDTFAHSVDGPGGNGDVACDQYHKYKEDVKLMVDVGLDAYRFSISWSRLIPSGRGPINPKGLEYYNNLINELINHGIQPHVTLHNFDLPQALEDKYGGWVSPKIIEDFKAYAEVCFREFGDRVLHWTTVNEANVFTLGGYDMGFVPPNRCSSPFGTRNCYKGNSSTEPYLVMHHCLLAHASAAALYNTNYKHKQHGFVGISVYLFRFVPLTDSKEDAKAVERAYEFLLNWMLHPLVYGEYPKLMIESVGSKLPIFTKAESSLVKGSADFIGIIHYQNWRVKDDPQSLMMQIRDLGADMGAKVMMLKETVTAPESLQIMIEYLKEVYGNPPTYVYENGLPMKRSSMLEDVPRVEYMHSYIGAVLDALRNGSNIKGYFTWSFLDLFELLDGYYSSYGLFYVDLDDPDLKRYPKLSAQWYSNFLKRKTVF >KGN54904 pep chromosome:ASM407v2:4:19027570:19030703:-1 gene:Csa_4G593900 transcript:KGN54904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSCGRKLFVEVCNAKNLMPKDGQGTASAYAIVDFEGQRRRTKTKFRDLNPQWDEKHEFLVHDMEAMASEILEVNLYNDKKTGKRSTFLGKVKVAGTSFSKSGSESLIYYPLEKRSVFSQIKGELGLKVYYVDEDPPAGGAVAESEQKPETTPVAEEKPPENQEGKESKVKEEEKKEEEKPKEEPKAEEKSNENPPENPKPEESPAVEPEKPVEVENPPIAHTEKPKQMRKAKSETEKLADLSVNDLELRSDRSRRAYDLVDRMPFLYVRVVKAKRESSDGGSSSMYAKLVIGTHSIKTKSQSEKDWDQVFAFDKEGLNSTSLEVSVWAEEKKENEDQKAENCLGTVSFDLQEVPKRVPPDSPLAPQWYSLESDKSPGNDVMLAVWLGTQADEAFQEAWQSDSGGMIPETRAKVYLSPKLWYLRLTVIQTQDLQFDSASEPKSRNLELYVKGQLGPQVFKTGRTAVGSANPTWNEDLVFVAAEPFEPFLVVTVEDVTNGKSVGQAKIHMASIEKRTDDRTDTKSRWFNLVGDETRPYTGRIHLRICLEGGYHVLDEAAHVTSDVRAAAKQLAKPPIGLLEVGIRSASNLLPVKTKDGTRGTIDAYVVAKYGPKWVRTRTILDRFNPRWNEQYTWDVYDPCTVLTIGVFDNGRYTRQENDGVLKQPGKDLRVGKVRIRLSSLDINQVYSTAYSLTVLLPTGAKKMGDLEIAVRFSTFSWLSLIQSYSTPILPRMHYIRPLGPTQQDILRHTAMRIVTTRLARSEPAMGHEVVQYMLDSDTHVWSMRRSKANWFRVIGCLSRAVAIARWFDEIRTWVHPPTTVLMHILLIAVVLCPNLILPTLFMYAFLILTFRFRYRHRTSHNMDPRLSYVDFVSTDELDEEFDGFPSARSADQIRVRYDRLRALGGRAQVLLGDVAAQGERLEALFNWRDPRATGIFVVICLGASLLFYAVPFKAFLFGFGFYYFRHPRFRGDMPSVPANFFRRLPSLSDQMI >KGN53812 pep chromosome:ASM407v2:4:8093986:8094225:1 gene:Csa_4G135210 transcript:KGN53812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLSPAVLVGWFAIVPHLPRSSKFTIIWVLSELELHCKRVAQFSIQLTRKMGLEVLRQVVDVKNWKLNAKCLSCIAQS >KGN53116 pep chromosome:ASM407v2:4:2205976:2214592:-1 gene:Csa_4G017040 transcript:KGN53116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKAFDQTVRDLKREVNKTVLKIPKVEQKVLDATSNEPWGPHGSLLADIAQATRNYHEYQMIMGILWKRINDTGKNWRHVYKGLTVLEYLVGHGSERVIDDIREHAYQISTLSDFQYIDSNGRDQGNNVRKKSQNLVALVNDKERIIEVRQKAAANRDKFRSASSMGSMYRPGSGGYDDRYEGRYGGRDGDRNVDSYGRERDYGFRDDRSGRNEDSYGRDYEERYNRDGYKDDDYRGRSRSIDDYQYGSRSRSSDRDGERAYDDDGQVSSRNSGARPDEPSQVGRQLERKFSEQNIAPPSYEEAVNESGSTVPSQREVEAPATTAPRAFPPPVPSTPSQQTTHGTTASPLPQGFDGSDEFDPRGSVPVAPNASSNLEANLFDSLALVPVGPVTSSADSESHVQTSSAVGSFTQNQTFEDPFGDSPFKAISSSGVQDQTYFQRGESFSAATYSTPNVPVQPQPNLHHPREETLQHQNIGVLADLLPPETLPAAVSQPTFTSNQPVQPNSHAASGLPAQPNSNLGNYQQDGNIAPVNFQNQTEPGREFGNGMFVAPGGIPAHGSYMAPPNAGPNAQPNNFGTYHNGSAVPASSHLTLQTTRPPAHLPSGNNFNPPQGSVASQVSYQTSNFPVVKSEVMGSFNSQAGNYTSMASQQNPPAGSLSTASQASNNKFETKSTVWSDTLSRGLVNLNISGPKANPMADIGVDFEALNRKEKRMEKPSTAPVVSTINMGKAMGSGSGIGRVGASALRPPPNAMSGSGSGMGMGMGMGMGMNPNPGMGMGMGMRGYGGMNQPMGGMGMNMGMGQQGFQMQQPRANMPGVYNPMMGGGGYAPQQPPYGSYR >KGN53782 pep chromosome:ASM407v2:4:7777876:7779543:-1 gene:Csa_4G127010 transcript:KGN53782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESITGSELAGFAVGALLVCATISAPRIDAFISSSQRRSLGMCKRCGDLRMIACSKCKGVGSTKSGGLFGINILADFYEALGKDESNVPSIPCTRCNAKGRFRCPDCCSQLTQT >KGN52989 pep chromosome:ASM407v2:4:1487964:1490038:-1 gene:Csa_4G009900 transcript:KGN52989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKIPDHQVAGHQASSGIMGPLVDDSGLFFKPLQKDERGSKEVAFYKSFSSNTKVPDKIRSFFPAFHGTRDIPASDGSGLHPHLVLEDLISNYENPTIVDIKIGSRTWYPQASEDYIQRCFKKDRETSSLALGFRISGLQIHVSQKAGYWKPERKFLQNSSAEKVKIILKKFVSSNASADSDVDDLDCVFAPSVYGGTNGILAQLLELKTWFENQKFYHFYSSSVLMVYDKESALETKSNPAIKLVDFAHVVDSSGVIDHNFLGGLCSLIQLISEVLTVCPDCLDKTCLPCTDNGLNCNDDGSDR >KGN54801 pep chromosome:ASM407v2:4:17529016:17529464:-1 gene:Csa_4G502330 transcript:KGN54801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGYSTHYLAFPRASTITWGDDTRYWSWATVDFCSRQPNVWLKIPIGKFILRGSLTSGTIRFGLYNHEGNWKRGLNIRALAIQA >KGN55039 pep chromosome:ASM407v2:4:20125011:20125572:-1 gene:Csa_4G624450 transcript:KGN55039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMINIGLQCTNVVSADRPAMSSVVSMLEGKIAVKEVVSDPSISKQDVNAMWSQIYRQKGQTTSESQTQSLTMDGPWTGSSTTASDLYPINMDSKYLENRN >KGN53439 pep chromosome:ASM407v2:4:4596702:4597083:1 gene:Csa_4G055340 transcript:KGN53439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHAVGCKGIQSRTKNTKIVNDYDECHKGLKDYKWLKGKIGGGGYRNPDLNRTELKGNMTEKSSSRPDCSNPRRKEEKPEMKIMCKGRLMRGKE >KGN53487 pep chromosome:ASM407v2:4:4871620:4874158:1 gene:Csa_4G056750 transcript:KGN53487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIAMERTGQWIFSQDIPTDVVVAVGEAHFPLHKFMLVAKSNYIRKLIMESTEADLTRIDLTDIPGGAEIFEKAAKFCYGVNFEITVHNVAALRCAAEYLQMTDKYCDNNLIGRTEDFLSQVALSSLSGAIVVLKSSHLLLPMAEDLYIVHRCVDVISSKACNEANFPSRSPPNWWTEELTIIDIEFFAKIITAMKSRGAKASTLSAALITYAERSLRDLVRDHSGTTLRSSTTPNYSVDNDSDTRIKQRHLLESIVSLLPSDKAAFPIHFLCCLLRSAIYLKTSTGCKNELEKRISMVLEHLSVDDLLMVSFTYDGERLVELESIRRIIMGFVEKEKSVAVFNAGDLKEICSVPMQRVAKTVDAYLGEIATYGELSISKFNGIANIIPKFARKVDDDLYRAIDIYLKAHPNLDEIEREKVCSVMDPLKLSYEARVHASQNKRLPVQIVLHALYYDQLKLRSGTPDDRKSTQEDAATMARTQAQADVSLVKENEALRSELMKMKLYISDMQKSSQGTSSIKVPTSRSKGTFFSSVSKTLGKLNPFRHGSKDTSNIDDGIDITKPRRRRFSIS >KGN54605 pep chromosome:ASM407v2:4:15089488:15090302:-1 gene:Csa_4G377190 transcript:KGN54605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHVCRRVPFFACYKISSINLLFFIFQFPLRSRRLSHGGPIITNSIPILYQTIIQSPFSPKLLPTPDFSFFSYSQPYSYCILLTRIAFITHPDSFSLPFLPFSIATLKGSSH >KGN52788 pep chromosome:ASM407v2:4:301055:303859:-1 gene:Csa_4G001550 transcript:KGN52788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIHLLYFYYNHNISSGCSRQLLKDSLSKALTFYYPFAGHLRDDGNSINCNDVGAIVSEAKLRCPMSEFMNNFNLEREEILKLTLSDDINGKEKRLNPLFCVQLTQFECGGEVISREGNNPPTLICPPQFNGASFFPPKLEGGGELNSRTERQYENGVSSKRFVFKGSKIASLKGMVCEKVENPTRVQILTAFIYKAAVSAKESVTGNVAATILLQIINLRNRVDPPLPATLSGNVISWFIGLSRMPEKKEMELWNLVGETKRNFEEFCKTFPTNYRAEEWGLLHKLHAKESMEDRMINQEDHNLYTCSSWCKFPIYEADFGRGKPVWITVPEFPWKNMILLMDSKDGEGIEAIVSLDKKEMEVFLQNQQLLSFCELITSTSNAFLDYREIKSNQIIHHDY >KGN52922 pep chromosome:ASM407v2:4:1022149:1022718:1 gene:Csa_4G006290 transcript:KGN52922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSGAESRQSATSLRSRCYITSHSAFASSSTAFTSRPSSTKPVTMSRKSSLRFQTDQRSASPSRRSVSVQKNRDSNANAVPSNKKKVMCMCSPTKHPGSFRCSLHKNSTHSIDISNGSQFRNVSLNIRRSAMTNSLVRIECVEGADLVKRALSALIRPSSHQQRRQSAFQRRPSHLSVMSKAEEEED >KGN55211 pep chromosome:ASM407v2:4:21294728:21296207:-1 gene:Csa_4G640970 transcript:KGN55211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSHHPSIRRISECFVKPKFLPQQSNQPYHLIPGDLAMLSAHYIQKGLLFPKPSHLINQSPHPFMLHLLHRLQNSLSIALFHFYPLSGRLSTVSNDQQSSVSIYVDCLNSPGAKFIHAALDITISDVLSPVDVPLLVQSFFDHHKAVNYDGHTMPLLSVQVTELLDGVFIACSFNHAIGDGTSYWNFFNMWSEIFQATSSDGDEIISISQPPILKRWFPDGIGPIINLPFTHPDQFISRFEAPELRERIFHFSAESIAKLKAKANSECKAKEISSFQSLSALVWRSITRARRVPENQISSCSMAANNRGRLDPKLSENYFGNVVTSVKAEAKAGELVERGVGWAAWKLHEAVVQNTNEKLRKALDKWMESPFTFQLGRFFDQYSVLMGSSPRFNKYGNEFGMGKAVALRSGYANKFDGKVSAYPGYEGGGSIDLEICLLPQNMANLESDLEFINSISSPPHLSFNHDL >KGN55148 pep chromosome:ASM407v2:4:20887355:20889455:-1 gene:Csa_4G638370 transcript:KGN55148 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fiber protein Fb34 MASKLILIIVFVLDIIAFGLAVAAEQRRSTANVVQDKEKEYNYCVYDSDISTGYGVGAFLLLMASQTLIMAASRCFCCGKSLSPGGSRAWAVILFIICWVFFFIAEVCLFAGSVRNAYHTKYRTMFGDNPPSCQTLRKGVFGAGAAFIFLNAIVSELYYVFYSKARESFLPYGGETGVGMGTYK >KGN53078 pep chromosome:ASM407v2:4:2013863:2014189:-1 gene:Csa_4G015190 transcript:KGN53078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSYCTLCSLGGFRLFVFLAIFMDFDDTLPISERYNSASAGRWSQLPTNLSSWVTSELSIKQMSVLLVPPHR >KGN52945 pep chromosome:ASM407v2:4:1177094:1180455:1 gene:Csa_4G007010 transcript:KGN52945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISMEIPSSWDALRKQARKLEAQLDEQMNSFRKLVSTKGSTNVETADSDIESGIERLLKQLQQVNSQMQAWVSSGGSEMVSHTLTRHQEILQDLTQEFYRLRSSLRAKQEHASLLDDFREFDRSRLELEDGLGTAEQTLLKEHATIGRSTGQMDNVISQAQATLGALVFQRSTFGGINSKLSNVSSRLPSVNHILAAIKRKKSMDTIILSLVASICTFLIFIYWLTK >KGN53281 pep chromosome:ASM407v2:4:3472790:3483786:-1 gene:Csa_4G044460 transcript:KGN53281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRKTGSTPPDVVVLTSSDDEETAANTMSNKKTKSVTRLQEQRGSSTPIVSSSDKKTIDCRSFWKAGNLDFGLTGNPLPDDGDLEHARIHPKFLHSNATSHKWAFGAIAELLDNAVDEIHNGATFVKVDKVDIMKDNSPALLFHDDGGGMDPAGIRKCMSLGYSSKKSNTTIGQYGNGFKTSTMRLGADAIVFTRAVRGGTATQSVGLLSYTFLRMTNQDDVIVPMIDFDISGHWAEPIVNGSQDDWSSNLKTILEWSPFSSKEDLLIQFGDIGRHGTKVIIFNLWLNDEGIYELNFDDEDEDIRLRDEANQGGLRKLRKSVAELQSHISYRIRYSLRAYISTLYLKRFTNFNIILRGKPVEQHSIADDLKYSKVVKYKPHLHVVQASVETTIGFIKEAPAVGVCGFNVYHKNRLILPFWKVTGDGSFKGHGVVGVLEANFLEPVHDKQGFERSSAFIRLETKLKQMVMEYWKSCCHLMGYKPPGLYYLEKTKQASIGPAANIQNKLAKEQYDGPSEGSNNELNSTQDFDVSGKSYVDRICEENIELFRRCEDHATKEIKLQELVNSLEKKLKETKKKHAQLLLLVEAKRKLQNAK >KGN54815 pep chromosome:ASM407v2:4:17729143:17731948:-1 gene:Csa_4G507450 transcript:KGN54815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQWPKAVEYFEKRKYEDHRVVCYNTNVTHEGSLWRAENPVASTLPVFALQLCLIIFFSRVLIFVFKPLRQPPIVAEILAGVLMGPSLLGLTDTFAKYIFAWKSLLALETVANLSLVYYIFLVGLELDMAPIVRAGGKSISIALLGILLPIPVGIGLHHLINSGRNKAKMPQATVHGPLFWGISLATTNFPDLSRILSDVKLLHSEIGRTALSAAVITDLCSWVLLVITMSISNVGKYYAVTSTFIFVCMCLFLFRPALKWLVRVSSKDGNYNEFHICFVMTGVVACGLITDACGTHSIVGAFMWGVIMPKGELKDMIMGKVEDLVKSILMPTFFVVTGLRVNCNIISKESDWVLVLLIIFLATSAKIVSTFLVAIFCNMPPREGLTLGSLMNTKGLLALIIISAGRDMQALGLLTFTVMIMSFWVMTALIGPTLAFTYKSIKTSRKTRYRTIQSIKPEAEFRVVACVHSTRNVYGIIHLLGASNPTKQSPLLVFAIHLVELTGRATAMMIVHGQCKASSAKAKVQTDHIINAFDKFENQNNSVTVHSLTAVSPYATMHDDICGIAAEKRVHLIIVPFHKQPTLDGGLEDGNPSLGLVNNSVMTNAPCSVAVLVDRGLSATNLTDSNRSNRTQQRFALFFIGGPDDREALAYAKRMSEHPGILITVVRFIPGEEVKEMSIMDFPGEENVEILTALAREKKEKVIDNDYIDDFRLQILSNQSIGYAEVVVNNGDETLKAISTLENEFSLYIVGRGRGMVSPLVSGLSEWSDSPELGVLGDALVTSSFATNVSLLVVQQGDVEDEKGERFNDGGFIGEQFGEHEGWQSPMKKNVDGDFDLFVNQKENNQEGEEEDEEKGKEGHYQPNGTKVYHTKPSRL >KGN55147 pep chromosome:ASM407v2:4:20864115:20874013:-1 gene:Csa_4G638360 transcript:KGN55147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNKSVDEDEEEECFYESLDRIASSGSCSTSNSDDDRDSIVNSPNYDSEHPFPIPKFPMAVSNYDIWISEPASVLERRSRLLREMGLSGDPSLSRANTALELDHKEKGVGDFGRSVSSDYLTSQQQQPPAIIRSKSDGSADCNRNMSSSQASGSDTNNQCNYSSSISSPSILSFHSVNETTTSFANNRNRVVVKSRSCKSDGAPSVSFAASQHKPPSGKNCRWADESRSDSLVVNANSDPDPSLMSQNGVNRREVSGDSVCSTSGKVNEEACTIKDLDNGKEFVVNEITEDGMWNKLKEVGTGRQLTMEEFEMCVGHSPIVQELMRRQNVEDGCNDNNDLNANGDTGSSSKLKKKGGWFKSIKSVASTVKGQKERRSSDERDTSSEKGGRRSSSATDDSQDVSFHGPERVRVRQYGKSSKELSALYKSQEIQAHSGSIWTIKFSLDGKYLASAGEDRIIHVWQVVESEKKGDLLMEKPEDGNLSFLFAANESPEPTSLSPNVDSHHEKKRRGRSSISRKSVSLEHVIVPDTVFGLSEKPICSFQGHLDVVLDLSWSKSQHLLSSSMDKTVRLWHLSNNSCLKIFSHSDYVTCIQFNPIDDRYFISGSLDAKVRIWSIPDHQVVDWSDLHEMVTAACYTPDGKGALVGSYKGSCRLYSTSENKMQQKSEINLQNKKKKSSHKKITGFQFAPGSSSEVLITSADSRIRVVDGVDLVQRFKGFRNTNSQISACLSSNGRYVISASEDSHVYVWKHEADSRPSRSKGVTVVRSYEHFHCQDVSVAIPWPGMGDTWGLNDDYCGDDNVIENHIDEVSSANHPPSPVEAENGSEDSVLASGCTNSPLHGTLSSATNSYFFDRISATWPEEKLILNTRNNRSPHSSMDIASGMFQGSSAWGMVIVTAGLRGEIRTFQNFGFPVKI >KGN52861 pep chromosome:ASM407v2:4:712130:712408:-1 gene:Csa_4G003740 transcript:KGN52861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVINGPIGSIQNEEARPNTFTWVAALDLSGSGLVKAKWPSEKFLKKRYSRRSLPTNYLLDPSSSLLHHLRRRWLLPADKASPTFLPTHASS >KGN53559 pep chromosome:ASM407v2:4:5494901:5500464:-1 gene:Csa_4G082360 transcript:KGN53559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISHLVPHLPLLRFPLTATKLTPQLHSYRHRFFSIRASMASPPARKVLVPVANGTEPLEAVITIDVLRRAGADVTVASVENHIRVDAAHQIKIVADSLISDCADTVFDLIALPGGMPGATSLRDCAVLENIVKKQAADGRLYAAICASPAVVLGSWGLLKGLKATCYPSFMEQLESTATAVESRVQIDGQVVTSRGPGTTLEFAVALVDQLYGKEKADEVSGPLLLRSNHGHEYTIAEQNQVKWTFDDGPRILVPIASGTEEMEAVMIIDILRRAKGKVVVASVEDTLEILASRKVKLEADLLLDEAAEQSYDLIVLPGGLGGAEAFAKSEKLVNLLKKQRESNKPYGAICASPALVLEPHGLLKDKKATAFPALCDKLSDKSEIDNRVMVDGNLITSRGPGTTMEFSLAIVEKLFGRDTAVQLGKTMVFIQD >KGN53968 pep chromosome:ASM407v2:4:9802590:9807081:1 gene:Csa_4G197830 transcript:KGN53968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSGFSWKLPDHPKLPKDKTIGLIVLDGWGEANPDRYNCIHVADTPTMDSLKKGAPEHWRLLKAHGKAVGLPTEDDMGNSEVGHNALGAGRIYAQGAKLVDLALESGKIYDGEGFKYIKESFETGTLHLIGLLSDGGVHSRLDQVQLLLKGASERGAKRIRVHILTDGRDVLDGSSVGFVETLENDLAKLREKGIDAQIASGGGRMYVTMDRYENDWEVVKRGWDAQVLGEAPHKFKSALEAVKTLRAEPKANDQYLPPFVIVDDSGKSVGPIVDGDAVVTFNFRADRMVMIAKALENENFDKFDRVRFPKIRYAGMLQYDGELKLPSHYLVSPPEIERTSGEYLVHNGVRTFACSETVKFGHVTFFWNGNRSGYFNPEMEEYVEIPSDSGITFNVQPKMKAIEIAEKARDAILSRKFHQVRVNLPNGDMVGHTGDIEATVVACKAADDAVKMILDAIERVGGIYVVTADHGNAEDMVKRSKSGEPLLDKNGKIQILTSHTLQPVPIAIGGPGLAPGVRFRNDVPTGGLANVAATVINLHGYEAPSDYETTLIEVVDS >KGN54755 pep chromosome:ASM407v2:4:16871260:16877829:1 gene:Csa_4G453650 transcript:KGN54755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKYEVVKDLGAGNFGVARLLRHKDTKELVAMKYIERGHKIINHRSLRHPNIIRFKEVVLTPTHLAIVMEYAAGGELFERICNAGRFSEDEARYFFQQLISGVNYCHSMQICHRDLKLENTLLDGSTAPRLKICDFGYSKSSLLHSRPKSTVGTPAYIAPEVLSRREYDGKMADVWSCGVTLYVMLVGAYPFEDQEDPKNFRKTIQRIMAVQYKIPDYVHISQDCRHLLSRIFVPNPSRRITLMEIKNHPWFLKNLPRELTESAQAAYYKRDNPSFSLQGVDEIMKIVGEARNPPPSSRTVKGFGWTTEDDEESNEDVDGEVEKEEEEDEYDKRVKEVHASGEYLVN >KGN52972 pep chromosome:ASM407v2:4:1357398:1358239:-1 gene:Csa_4G008260 transcript:KGN52972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACQNGSLESLCMKGQDPLNWGLAAESMKGSHLDEVKRMVEEYRRPLVKLGGETLTISQVAAIATRDNDVLVELAERTNQGGALQKELIRFLNSGIFGNGSESNHTLPHSATRAAMLVRINTLLQGYSGIRFEILEAITNLLNHNVTPCLPLRGTITASGDLVPLSYIAGLLTGRHNSKAIGPNGETLDAKAAFTQAGIDSGFFELQPKEGLALVNGTAVRSSSFPPNASSQLLDIKQLRMWKT >KGN53537 pep chromosome:ASM407v2:4:5289846:5291369:-1 gene:Csa_4G064690 transcript:KGN53537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHAVEFSEAGGVSYACRFTETQRLVQERAYGRPVFPKAIGELHGHSGIARLMLFYARGLFGLVDHNHGIGVANAGLVYFNGRLLAMSEDDLPYQIRVTPAGDLKTVGRFNFDGQLESTMIAHPKLDPVSGEMFALSYDVIQKPYLKYFKFSPEGEKSPDVEIPLPQPTMMHDFAITEKYVVIPDQQVVFKLPEMIRGGSPVVYDKEKTSRFGILDKNATDANAIKWIEAPDCFCFHLWNAWEEPETNEVVVIGSCMTPPDSIFNECEENLKSVLSEIRLNLSTGKSTRRPIITETEQVNLEAGMVNRNLLGRKTQFSYLALAEPWPKVSGFAKVDVLSGEVKKYLYGEQRYGGEPLFLPREGAEAEDDGHILAFVHDEKEWKSELQIVNAMTLELEATVKLPSRVPYGFHGTFISCKDLQKQIR >KGN54958 pep chromosome:ASM407v2:4:19559762:19562115:-1 gene:Csa_4G616770 transcript:KGN54958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNASTVWGGNFFTNNINIRWTYADPSWARIAALVPVVVACAEAGDEVANNILLDSVEELALSVRAVIQRLGLAGEDGQEAFPLVMVGGVLEAKRRWDIAKKVINSISKEYPGILPVWPKVEPALGAALLAWNFLSKDYQQEGI >KGN55343 pep chromosome:ASM407v2:4:21989230:21991692:1 gene:Csa_4G646170 transcript:KGN55343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNFFQSMVASVPMGVGTILFTTFCLVGGGLVYFYGPYWGVRRVPGPPAIPLVGHLPLLAKYGPDVFSVFASQYGPIFRFHMGRQPLIIIADPELCKEVGIKKFKDIPNRSVPSPISASPLHQKGLFFTRDARWSTMRNTILSVYQPSHLMRLIPTMQSIIETATQNLHSSVEEDIPFSNLSLKLTTDVIGTAAFGVNFGLSNPHATKTTNDQDSKNDEVSDFINQHIYSTTQLKMDLSGSFSIILGLLVPILQEPFRQVLKRIPFTMDWKVDRTNQKLSGRLNEIVDKRMKCNDRGSKDFLSLILRARESETVSRNVFTPDYISAVTYEHLLAGSATTAFTLSSVVYLVAGHPEVEKKLLEEIDNFGPSDQIPTANDLQQKFPYLDQVIKESMRFYTVSPLVARETSKDVEIGGYLLPKGTWVWLALGVLAKDPKNFPEPDKFKPERFDPNEEEEKRRHPYALIPFGIGPRACIGQKFALQELKLSLIHLYRKFVFRHSLSMEQPLELEYGVILNFKSGVKLRVINRK >KGN53699 pep chromosome:ASM407v2:4:6854065:6856350:1 gene:Csa_4G107410 transcript:KGN53699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFSLLFFAAILLSGSVVAQVDTIVGFNGDERDALYALKATFNDTFLNRNWTGTHCHNNQPPLWYGLQCVDGRVTAISLDSLGLVGKMNFRAFNKFTELSVLSLKNNSLSGNVFSFTSNQKMKTIDLSFNAFDGSIPVSLVSLTSLESLQLQNNRFTGSIPEFNQSSLAVFNVSNNNLNGFIPRTKVLQSFGAGSYVGNPGLCGPPSDAVCNSIIKGSKATAAPPDTNKATNDNSSSKAHVILLLILVIVLFFVANLLLLLLYFKKHRELKELIKKLGSNETKEKKNESMTDISIQNQQPAEAAAADEGGKLIFTEEGENFQLGDLLKASAEGLGKGIFGNSYKAMLEGRSPIVVKRLRDLKPLTVDEFMKQVQLIAKLRHPNLLPLVAYFYTKEEKLLLYKYAEKGNLFDRIHGRRGVGRVPFRWSSRLIVAQGVARALEFLHLNSKPNTINVPHGNLKSSNVLLGENDEVLVSDYGFASLIALPIAAQCMVSYRSPEYQQMKRVSRKSDVWSFGCLLIELLTGKISSHSAPEESHGIDLCAWVNRAVREEWTAEIFDSEIASQRSAIPGMLNLLQIAIHCSNVSPDKRPEMSEVAKEIENIKLIENGEEYSSSFDRSLTDDSMSTVGSGIPMDER >KGN54258 pep chromosome:ASM407v2:4:11980013:11981918:-1 gene:Csa_4G296120 transcript:KGN54258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLPTTNCHTSQNLNPIFFAGRCHQRPCSAAGFSIRFNCRKLRRSLILSETRATSNSSANSDQDGEVDNLGVKAALSMLKFYKREISPLLPNSCRYLPTCSEYSMQAYKKYGVAKGTILTAWRLCRCNPLGGSGFDPPRWFDEERLPEPED >KGN54581 pep chromosome:ASM407v2:4:14912409:14915624:-1 gene:Csa_4G371570 transcript:KGN54581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMHCTCSLNRFQFKPSVLFRCTNIHPKPSSIRSSILATMSTVSTESAVASDQNGSTNDAQKPLQVSNRLEKFKTTIFTRMSNLAIQYGGINLGQGFPNFDGPEFVKEAAIQAIKDGKNQYARGYGVPDLNLAISERFKKDTGLVVDPEKEITVTSGCTEAIAATMLGLINPGDEVILFAPFYDSYEATLSMAGAKIKCITLRPPDFSLPINEFRSAISKDTRAVLINTPHNPTGKMFTRDELNEIASLCIENDVLVFADEVYDKLSFEMDHISIASLPGMFERTVTMNSLGKTFSLTGWKIGWAIAPPHLTWGVRQAHAFLTFATSTPMQSAAATALRAPESYYEELKKDYLSKKAILEEGLKAVGFKVFPSSGTYFIIVDHTPFGLKNDVDFCEYLIKEVGVVAIPTSVFYLNPEDGKDLVRFTFCKDENTLRAAVERMKEKLTRKS >KGN54186 pep chromosome:ASM407v2:4:11494781:11498851:-1 gene:Csa_4G292470 transcript:KGN54186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDLNLCILPGEFTSDGTGLHPFNLSPSGVESPISSSVHNADHSNVNGDDDSSSNADCGFTFSFSILNNSNDDQRDRTAQFPVAGGFSSDVYSQRKWADSDFVRATNGIVVQKSAHPAKKGRRGPKSRSSQYRGVTYYRRTGRWESHIWDSGKQVYLGGFDTAHSAARAYDRAAIKFRGVHADINFNISDYNEEIKQMGNFSKEEFVHILRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKYVYLGLFDSEIEAARAYDKAAIKYNGREAVTNFDQSSYEMELAFESENQDMGDIDLNLGIAPPCPSEDQKDNLNGCAPSNLCNLNKSNSERSEFIMLAEKHASMAIRANPSFLSPPNFFPTYQERAMEKKMEVESLPNCCWRPISVPYGGATSVPFFSTAASSGFPSNSPAAVVPPPSPRHLLPLPPFLHHHIPPSIPATNTVTHFYCKT >KGN55303 pep chromosome:ASM407v2:4:21766118:21772333:1 gene:Csa_4G645290 transcript:KGN55303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDQCALGWILASVLGASALYLLFGKKNCGVSNERRRESLKNIATTNGECKSSNSDGDIIIVGAGVAGSALAYTLAKDGRQVHVIERDLSEPDRIVGELLQPGGYLKLTELGLEDCVDEIDAQRVYGYALFKDGKDTRLSYPLEKFHSDVSGRSFHNGRFIQRMREKAASLPNVRLEQGTVTSLLEENGTIRGVQYKNKSGQEMTAYAPLTIVCDGCFSNLRRSLCNPKVDVPSCFVGLILENCDLPHANHGHVILADPSPILFYPISSTEIRCLVDVPGQKVPSISNGEMANYLKNVVAPQIPPQLYNSFIAAIDKGNIRTMPNRSMPADPYPTPGALLMGDAFNMRHPLTGGGMTVALSDIVVLRDLLKPLRDLNDAPTLCKYLEAFYTLRKPVASTINTLAGALYKVFCASPDQARKEMRQACFDYLSLGGIFSNGPVSLLSGLNPRPLSLVLHFFAVAIYGVGRLLIPFPSPKRVWIGARLISGASAIIFPIIKAEGVRQMFFPKTVAAYYRAPPIVRER >KGN55565 pep chromosome:ASM407v2:4:23318345:23319477:1 gene:Csa_4G667180 transcript:KGN55565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVMKSKCRYNSCTFGMHATRLIFSLDVLYCFASLLCTNCRFLLGQPNFGVVEIDWGASPVRIKMEVRDTNGLTVVGVDIPLSSLRPGNNEYLSSNSTGEYQRHCLLEVHLGWIVRYRLAILFYSTLTLLLLALLGIGYVATLSCRKCVRKCKRD >KGN53482 pep chromosome:ASM407v2:4:4848203:4848649:1 gene:Csa_4G056705 transcript:KGN53482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCSTINARFLISASEALFKRQTCPLISALKPSPNKRASQASSGINSWAREANLMNCSSYSATVPSPCSKLHSSRNFSSFNQLGKYLFSNNSLNFCHVTVTPSASKSVCITIHQENAASFRRKQAKETLCSSEHRIKSKIFSKIKIQR >KGN53963 pep chromosome:ASM407v2:4:9686276:9687158:1 gene:Csa_4G194800 transcript:KGN53963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFPSIDKFPTENSFFCYNDIHGKLWQLNLLSLVKFQVPNQVFSSPFFPLNFSAYIIRGLWKLLSQQL >KGN54688 pep chromosome:ASM407v2:4:15975608:15978593:-1 gene:Csa_4G426760 transcript:KGN54688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRLLPLLNRVLIEKIVPPTKTNSGILLPEKSTKLNSGKVIAVGPGARDREGKIIPISVKEGDMVLLPEYGGNEVKLGEKQFYLFRDEDLLGTLHD >KGN52768 pep chromosome:ASM407v2:4:200973:204864:-1 gene:Csa_4G000870 transcript:KGN52768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLHILPDASRLGFRHQFSGADSTLDSAFGSLSFPSQRLAPSLKSVQLTKKVKPVMAGNIPDHVSVDISLSPRVNSVKPSKTVAISDQATALVQAGVPVIRLAAGEPDFDTPAPITEAGINAIRDGYTRYTANAGTLELRQAICHKLKDENGLSYTPDQILVSNGAKQSILQAVVAVCSPGDEVIIPAPFWVSYPEMARLADATPVILPTHIDNNFLLDPKLLESKITEKSRLLILCSPSNPTGSVYPKELLEKIAEIVAKHPRLLVLSDEIYEHIIYAPATHTSFASLPGMWERTLTVNGFSKAFAMTGWRLGYIAGPKHFVSACGKIQSQSTSGASSISQKAAVAALGMGYAGGEAVATMVKAFRERRDYLVKSFGELAGVKISEPQGAFYLFLDFSSYYGAEVEGFGVINNSESLCRYLLEKGQVALVPGDAFGDDTCIRISYAESLSVLQAAVERIKKALEAARPVVPV >KGN55425 pep chromosome:ASM407v2:4:22517948:22521565:-1 gene:Csa_4G651910 transcript:KGN55425 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endosomal P24A protein MATTRSSSIRNFFVAAILLFLIHGVSSFYLPGVAPEDFEKGDELKVKVNKLTSTKTQLPYSYYSLPFCRPEKILDSAENLGEVLRGDRIENSPYVFKMREPQMCGIVGRIKLDAKDAKEFKEKINDEYRVNMILDNLPLVFPIQRQDQESPVVYQMGYHVGLKGQYTASKDEKYFIHNHLAFTVRYHKDVQTDSARIVGFEVKPFSVKHEYEGNWNDKNTRLTTCDPHAKHTVVNSNSPQEVDDGREIVFTYDVEFQESGVKWASRWDAYLLMSDDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISKYNELETLEEAQEETGWKLVHGDVFRAPKNSDLLCVYVGTGVQFLGMVVVTMMFAILGFLSPSNRGGLMTAMLLLWVFMGLFAGFAAARLYKMFKGTEWKKVALKTAVMFPATIFAIFFVLNALIWGQKSSGAVPFGTMFALVFLWFGISVPLVFVGSYVGFKKPAIEDPVKTNKIPRQIPEQAWYMHPAFSVLIGGILPFGAVFIELFFILTSIWLNQFYYIFGFLFLVFIILLITCAEITIVLCYFQLCSEDYLWWWRSYLTSGSSALYLFLYSTFYFFTKLEITKLVSGVLYFGYMSIVSYAFFVLTGTIGFYACFWFTRLIYSSVKID >KGN53257 pep chromosome:ASM407v2:4:3286136:3287472:-1 gene:Csa_4G038740 transcript:KGN53257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEKMKIDLEEAIAKHVLRFLPAKSLIRFKSVSKNWYNWINSPFFTHQQATHFTKTSAFISQAYHRFCPFFIPLHRHSHGVPSSAFLPFLPQMSTIRTTSHGLLCCKSTFQDNTYFIANPTTERWIELPEPTLFHSSVSALSLAFTPSTYNFYSHFQLVCAVPIPSVRAVFFEIYSSRTNSWRLSDSQYFYDADHDLSFRGDGFFMDGFVYWETSNGVILAFDLTNEEYGEILLPLDLPSPHYGALMEMNGELCYVTVITKNHDDGHDNGDDDDCYYWLGVYGGGGHGMVLKKRIPLYDDNGFFFEGDVRVLSGLSEGAVMILVGSKVILYHVEERKRRFVGIVEPAEIAAIDVDDGAVRFLPYVNSLASVCPVDEMPPEDYEFDKILKKKSRNKIT >KGN53405 pep chromosome:ASM407v2:4:4326283:4329128:-1 gene:Csa_4G051570 transcript:KGN53405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSQFSVAVILLIFFNGAESQFLCKTSSCSGRLTPEVRFPFRLKNSQDSRCGYQPDFDLFCNKRNQTILSLPNAGDVKVEIVDYKFQRLWINDPDQCFPKRILMDSFSLEDSPFIYNYRLDKFTVLNCSYYVETLPFDRVRRIKCMSGANYSVINVPDRDLKAVTNLLPTPCKVITTKKLPLTGMELEEGMVVRWSEPECGNCELRGGDCGFRSNSSDEVVCFNLPKSGIPRGAKYGLIIGVGIPGLLFLIGLVFYICGKCKAFARPNRPTSNLSLSLGHEPTSTKAGLDGPTIESFPKTTLGQSRRLPKSNDTTCAICLSEYQSKETIRTIPDCGHFFHANCVDEWLKLNATCPVCRTSPDDSSATSTPSQSTSVSVSLPTSPRSLSRNDD >KGN55017 pep chromosome:ASM407v2:4:19973927:19977151:1 gene:Csa_4G622760 transcript:KGN55017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANAVNSTPLSLPLSIPTGKLNSSPTICLLTKPSIPTLSIHSTFSLSNNNFRFYSNFSLRPSSSLASVGNAGFVEEPSTNVKFPTSLTLPGCSTSLSLLGTGYREKVFAIIGVKVYAAGLYINSSVSNELNAWRGRSAAAIQEDSSLFDIIFQSRSEKSLQIVLVRDVDGKTFWDALDDAISPRIKAPTPDDESALSTFRSIFEGRSLKKGTFIFLTWLEPPKMLVSISIDGSPTGIDATIESNNVTSSLFDVFFGDSPVSPTLKASVATGLAAVLK >KGN53716 pep chromosome:ASM407v2:4:7048996:7051854:-1 gene:Csa_4G109540 transcript:KGN53716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSIKAKAMVFVVVVLLQLLTVVFGFSAEAPSSSEQREFDYFVLALQWPGSSCKNPGKCCPSNACCRGAESPTEFTIHGLWPQYNEKGWPSCCTDASFNENEINILTEDIQKYWPTYRCGTTSTCHQTKGSFWAHEYEKHGTCAAPVIVGEYDYFLTTITIFSKYNVTKVLSDAGFVASNTEKYPIEDVVAAIKNEFNNATPKISCAKKGAVKELWLCFDKSFEPRDCNLPNSCPDFIKFPTYEPQEPNKVEGAIPWIADVEEII >KGN53466 pep chromosome:ASM407v2:4:4726281:4728752:-1 gene:Csa_4G056570 transcript:KGN53466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDSCSSSQDEGNVLIRYKMSFVVDLSSKHYILQSASKKFRSFKSTLTQMYILPYKDEPSRLQYPPEKYSHIDKKQWESFVKARLSEEWEVFSSAQRERRAKCIYNHHISRKGYANLAQELELSSDPCNRATLWKEARKRKNNGCFDDATSECVKRIDELAAIRKGQDILTEALGTPEHRGRIRGVGEFVSPALHVNVARGNLKLSQQSQDEDETQQSQPENETQQSQAENETQQSQEENETRQSQSSVLRKKTKEKKVQKGKKVPKGKMVVKKPEEILEVQVLQEPENILKGIPCHLAIGSLDNVVAIGKMFESDVQCPTIHGIPLGADNIRVTVDVIMVEDVALPIPLKGEIETLNQAIGNFVALPRKLVILTQEKKAPSMAATEILVTGY >KGN55108 pep chromosome:ASM407v2:4:20576753:20579341:1 gene:Csa_4G630520 transcript:KGN55108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVFVVEREGRSYRCKYCNTQLALFDDLASRAFHCRRGKAYLFNNAINIYFGALEERMMLSGLHTVADIFCCSCGQIVGWKYEAAHEKSQKYKEGKYVLERGRIVDDIEFSTGFFIDSRSSVSDSEEN >KGN53945 pep chromosome:ASM407v2:4:9521129:9527716:-1 gene:Csa_4G192170 transcript:KGN53945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIARTGVYVDDYLEYASTLPAELQRLLNTIRELDDRSQSMIDQTRQQTKYCLGLSTQSSKKGYGNSNTDDEESAFEKLRKDIEANQDNALSLCTEKVLLARQAGDLIDSHIKRLDEDLNNFAEDLKQEGKISPDEPAILPPLPLVSKNERRRPVFITPQSKRPDYRDRDWDRERDRDFELMPPPGSHKKDFAPSLDVDQPIDPNEPTYCICHQVSFGDMIACDNENCQGGEWFHYSCVGLTPETRFKGKWYCPTCRDVSPSQ >KGN53523 pep chromosome:ASM407v2:4:5159286:5163502:1 gene:Csa_4G064060 transcript:KGN53523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSTTLSLHGAGAGTLFGAELRQLGHDYFGVFKRPRKQIFCSCWNGSPFPYRNCLWRVPSVNNFHLKSMESSPLFAVGGEAEDGFLSNMNDETDDMYDELFKKYGNVVFKSNDQKPPSAEIDDDSESLSFAVSMAKVASDVKAADIRVLFVKPLVYWTRFFIIATAFSRPQIDAIGSRIRDLAEKKYGRSPSGDVKPNSWTLLDFGDVVIHIFLPQQRAFYNLEEFYGNATPVELPFENQRPF >KGN53229 pep chromosome:ASM407v2:4:3038769:3041682:-1 gene:Csa_4G031000 transcript:KGN53229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIDSFKVESPNVTYSDHEIHSIYNYETTELLHENRNGTYQWIVKPKSVQYQFKTDIRVPKLGMMLVGWGGNNGCTLTGGIIANREGISWATKDKVQQANYFGSLTQASSIRVGSIDGEEIYAPFKSLLPMVNPDDVVFGGWDISDMNLADAMARAKVLDIDLQKQLRPYMESMVPLPGIFDPDFVAANQGSRANNVIKGTKKEQLQQIIKDIREFKEKSKVDKIVVLWTANTERYSNVIVGLNDTMENLLASVDKNESEISPSTLYAIACVLENVPFINGSPQNTFVPGLIDLAIHRNSLIGGDDFKSGQTKMKSVLVDFLVGAGIKPTSIVSYNHLGNNDGMNLSAPQTFRSKEISKSNVVDDMVSSNGILYEPGEHPDHVVVIKYVPYVADSKRAMDEYTSEIFMGGKSTIVLHNTCEDSLLAAPIILDLVLLAELSTRIQFKAEGEGKFHSFHPVATILSYLTKAPLVPPGTPVVNALSKQRAMLENIMRACVGLAPENNMILEYK >KGN54969 pep chromosome:ASM407v2:4:19658421:19658927:-1 gene:Csa_4G617360 transcript:KGN54969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPATTPNVSRGNQPKAMETNPTASVVVGDNTPATLSKKRNRSIFDVSADFFDFCKLLRSPHSYTSQPSGNIRDIPSVENTIDSEQNSRDFKESFVAHRWIYNICKAELKSLLDQGSHFKSDIH >KGN54373 pep chromosome:ASM407v2:4:12716041:12717023:-1 gene:Csa_4G308630 transcript:KGN54373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLPFGLLQAPSQSPRFFSFSKSEALGTSLRASSTASLAISTPSSPSSIPAIYCGRGDKKTERGKRFNHSYGNARPRDKTKGRGTPRVPTPPSPPRKDKFDDNEKIKIEIDESLFGS >KGN54733 pep chromosome:ASM407v2:4:16589890:16600065:-1 gene:Csa_4G437530 transcript:KGN54733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLMAATVDSSKEEEHSETDSRLGEGPCQLSSLFSNSSPLVPTAESGRNPVYSSTHLSRSSRSNLPETRTDFGKVDGKEGVDKQPESSSLSQLEHLCRTMCSRGLRKYIVSHLSDLARLHHEIPLALKWAPNPAKLVFDCIGRFYLQGSKAYTKVSPMIPARQASILILELFLISGAAETKNDKRTEIESSLKVEADLAAIAWRKRLITESGSCQASDIDARGLLLFLASFGIPTVFTNDDLRDLLRSSNSKGLSNALCHSHCLRTRIPDIIKGMTKSSKNIEAVDIIYAFGMENVFPPQEILLSFLQECDETWKKRINKVRGSTMQLRRVSEEKLASLKCVLKCLEDHKLDPVKSLPGWKIHEMIKNLEKDIVELGKRMEDNASLKRKTDEASTQKYLSQEIKRSRMSANKGGFPVMSYPVNGLLEQNATTFLEDKSCFSTSSSSMPLKLLDGGRASQLGNYQIASSLRGPGLVETTVLPADIIGSGISNAAAPFPRGMGWGRGRDSNEASIYKMGPTREFAYKDISVGQSFIQQAMPTLATTPTPPPTTVEPYSAVYGFMGHSTSNNFDLYHFADAAVFENDLPKNRSTQTGTLSRLRLPHHHHPSYFYN >KGN54102 pep chromosome:ASM407v2:4:11017243:11018798:-1 gene:Csa_4G285780 transcript:KGN54102 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase MFAQSFLSPKQRPEKTPFAVSKMGLPKMAAIVVVVALMLSPSQAQLSPFFYATTCPQLPFVVLNVVAQALQTDDRAAAKLIRLHFHDCFVNGCDGSILLVDVPGVIDSELNGPPNGGIQGMDIVDNIKAAVESACPGVVSCADILAISSQISVFLSGGPIWVVPMGRKDSRIANRTGTSNLPGPSETLVGLKGKFKDQGLDSTDLVALSGAHTFGKSRCMFFSDRLINFNGTGRPDTTLDPIYREQLRRLCTTQQTRVNFDPVTPTRFDKTYYNNLISLRGLLQSDQELFSTPRADTTAIVRTFAANERAFFKQFVKSMIKMGNLKPPPGIASEVRLDCKRVNPVRAYDVM >KGN54793 pep chromosome:ASM407v2:4:17412774:17413473:1 gene:Csa_4G499300 transcript:KGN54793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSGKKAVESMKESAANVAASAKAGMDKTKATVQEKMEKVTARDPLAKEIAEEKKEAKIHEAELNKQEARQHNAAVRQAATGAAAATHGTHPTTHSTATYSTTGAHGYTTGTQQTSALPGHGTGQPTGLVTEGVVGAHPIGTETGTGRTTTAHNPLAGGGTGYGTGTRTGGSYT >KGN55489 pep chromosome:ASM407v2:4:22934232:22934736:1 gene:Csa_4G658490 transcript:KGN55489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKQKIEMEREQQRREESKKIEQEPPYYISRMQPLTECAYGGGMYGTDDKGDQDSLQIKKVPASTTQSADGPVVAEMKLKHPPPPSSGDRDIDITGQSYFQ >KGN54061 pep chromosome:ASM407v2:4:10796526:10797426:-1 gene:Csa_4G279890 transcript:KGN54061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAYLPPTTPFSRPNIRILTSISSFNVSNTLTTRSQPRSIVKCESSASPADGQTSPPKSQKLEIGSPVIVIEAPKMIKTAASVPCLRVNSGIINPGDVGRIVSRKPKDVWAVRLKVGTYLIDGRYFRALELDQ >KGN54950 pep chromosome:ASM407v2:4:19495628:19500769:1 gene:Csa_4G614230 transcript:KGN54950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAFHYYSCCCCFFSINGGKGNNRVSPVRPRAVAPNFEEEKVIKLGGSEVKVSRLGIGAWSWGDNIYWNNNSFDWDDRKMKAAKAAFNASIDNGITFIDTAEIYGAPYTLGSINSETLLGRFIKERKRKDPGFEITIATKYAVFPWRLGRHSVVSVLKESLCRLGLESVDLYQLHWPGIWGNEEYIDGLGDAVEQGLVKGVGVSNYNEKRLRDAYEKLKKRGIPLASNQVNYSLIYRLPEENGVKAACDELGVTLIAYAPLAQGVLTGKYTPTNPPIGLRSLVYSSEFLAKVVLNWLIAQGNVVPIPGAKNEEQAIEFVGALGWRISNEEIHELRSFASKLQPLFGLPFERL >KGN53685 pep chromosome:ASM407v2:4:6665790:6667820:1 gene:Csa_4G102340 transcript:KGN53685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKPSQALSKSKSLIANVHRCDSLLCHYAATRSLNKTKILHGHTITSGLLHSPNFIHLPSHLAVSYAFCGCVPLARKLFDDLSDPSLFLWNAIIKMYVDKGFHFDALRVFDSMICSGKCWPDKYTFPLVIKACSVMSMLNVGVLIHGRALVSGFSSNMFVQNSLLAMYMNCGKVGLARQVFNVMLKRSVVSWNTMISGWFQNGRPEEALAVFNSMMDARVEPDSATIVSALPSCGHLKELELGIKVHKLVQKNHLQEKIEVRNALVDMYSRCGGMDEASLVFAETKEKDVITWTSMINGYIMNGNAKSALALCPAMQLDGVVPNAVTLASLLSACASLCCLKQGKSLHAWVMRKKLDSDVLVVTALIDMYAKCNAVSYSFQVFAKTSMKRTVPWNALLSGLIHNELAREAVGLFKSMLIEEVEANHATFNSVIPAYAILADLKQVMNLHSYLVRSGFISKIAVITGLIDMYSKCGSLDYAHKIFDEIPNKEKDIIVWSVLIAGYGMHGHGETAVLLFNQMVHSGMQPNEITFTSVLHACSHRGLVDDGLTLFKYMIENYPSSPLPNHYTCVVDLLGRAGRLDEAYDLIKSMPFQQNHSIWGALLGACLIHQNVELGEVAAERLFELEPESTGNYILLANIYAAVGRWKDAENVRHIMSKIGLRKTPAQSSVGVAVW >KGN54767 pep chromosome:ASM407v2:4:17031617:17035170:1 gene:Csa_4G470690 transcript:KGN54767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSFGIVEIILSQIPNFDQIWWLSIVAAIMSFTYSSIGLTLGIAKVAESGSFKGTLSGITVGTVTQSEKIWRSFQALGDIAFASSFAIVLIEVQDTIRSPPSETKTMKKAAGFSITLTTIFYMLCGCMGYAAFGNTAPGNLLTGFGFYNPFWLLDIANVSIVVHLVGAYQVFSQPVYAFVEKKVVQTWPDTPFFTKEYKLSLFSSRSSYNVNLFRLVWRTLFVCFTTIVAMLLPFFNDIVGFIGALQFWPMTVYFPVQMYVVQKKVPKWSVKWICVQTMSMGCLLISLAAAVGSISGIMLDLNVYKPFKTMY >KGN54683 pep chromosome:ASM407v2:4:15921109:15921558:-1 gene:Csa_4G425720 transcript:KGN54683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPDICLVGLAREIGSLSLVCQVSPHVLFFIGCCLSYPTTSSSTSGSNIIMPLDFLLAVQMSRARGTEDDDAGT >KGN55249 pep chromosome:ASM407v2:4:21477261:21479078:1 gene:Csa_4G642300 transcript:KGN55249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLESIFVYIPLFFVLYTLIEYLIHKIRNLPPTPFPLLPVIGHLHLLKNPIYRTLAEISNHYGPVVYFRFGQRRVLVVSSPFAAEECLTKNDIVFANRPRLIISKWFGYNNTNLVWSSYGDHWRNLRRISTIEILSTHRIQMLSMFRLEEVKSLIRRLANNENQIHNMKNEFFDLTYNVMLRMLVGKRFYGEDVDDVDEAKIFRQLQIDLGQLGGKSILQDFIPFVSWMGFGSTIENKIIECHVKRDTFMQNLIDQHKKRIVDQNKSNNISQDGRRKTMIEVLLELQQYNPDQYTDETIRALMLVLLAAGTETSVAAMEWALSLMLNHPKFLKKLQNEIDNQVGHDRLIDESDMANLPSLRGIINETLRMYPPAPLVVPHESSKDCTIGGYHIPRGTILFVNLWAIHNDPKIWDNPRKFNPNRFESLENEKFGFNLIPFGSGRRGCPGEGLALRVIGLVLGALVQCFEWERPGEELVDMTEGVALTMPKAHCLQAKCTPRPIVHRLHLSHKESNP >KGN55555 pep chromosome:ASM407v2:4:23223016:23224177:-1 gene:Csa_4G665110 transcript:KGN55555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMSSKGNYFRKSKTFMVQKNQSRSMGVESGGGEKAEGRVELLPVSPQLMYGEEMVHFSHPRHRLSRMCLPDLFTCSGCKEYGAGNRFSCQQCDFQLHDFCAFSPPALKAHPFHSYHQLLFYSKPVKGGIMQSKCEICAKPIKGFSFRCGVCSFQMHPCCAMLSWEMKMPSMHPHPLKMVGATTTSSSSSSSSSTVQLVDHHQVSCGECNKRRSGRVYRCTVCEYQVHAVCAKSVKNGLRDNGHKGAEKPSVLGTAARLASQVVVEFLGGIIEGLGEGVGEAFVQNINGKAAPPPLHHR >KGN54624 pep chromosome:ASM407v2:4:15310352:15311706:-1 gene:Csa_4G385800 transcript:KGN54624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSGLLKIAVIVIIVGLTLAVLAAIFIILNLRNQPAALQLGKENAGMINMEDQDQNKYVNAKQVTAGVGDGYRSIESSSSSVAQATRRGGADHGKLLFVRDDRERFDLQDLLRASAEILGSGSFGSSYKATILSNAVVVKRYKHMNNVGREEFHEHMRRLGRLTHPNLLPLVAYYYRKEEKLLISDFVDNGSLASHLHGNHNLEEAGLDWATRLKIIRGIARGLSYLYTSLPNVLAAHGHLKSSNVLLDESMEPLLTDYGLSPVANLEQGQSLMMAYKSPEYAQMGRITKKTDVWSFGIVILEMLTGRFPENYLTRNHDPKADLAAWVNNMIKEKKTPLVFDPELGRARESSKGELLKMLKIALSCCEEDVDRRLDLNQVAAEIEDLNDEDLSDDDDDDDDFNFSPTSRHIHIAV >KGN54312 pep chromosome:ASM407v2:4:12317998:12320504:1 gene:Csa_4G303110 transcript:KGN54312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKKHVGLGSSSSSLTTDLFGSNETSYSSTTGIFGSIFAPSSKVLGRESLLSHTKERERNSVNEPWNPNAGAQDDNANHTQKESQETKNKDMSSIYQDQRAQPCHLSSSIYYGGQDVYTHPQNSYNSGANSAYKKEGGEDDSGSASRGNWWQGSLYY >KGN54873 pep chromosome:ASM407v2:4:18633998:18634622:1 gene:Csa_4G569720 transcript:KGN54873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQLFFAVAFSAVPLTLYVPPIRSLSLFVETLEDLLHHTAIHTIRAYPRFRLAFSRLFASVFRVSYSRNLGSWILDILSSLLYMEVIFLFGIISDDGFSFRFVSFFFDSVSDLLKALDCLDSLFLFFIFLIYETDSILFKSISLTLDFQLLPNAEEART >KGN52870 pep chromosome:ASM407v2:4:760712:765955:1 gene:Csa_4G004810 transcript:KGN52870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYRFQNLLGAPYRGGNVLISEDTLLISPVGNRISVTDLVKSQTTTLPLQSSSNICRIALSPDGVFLFTVDEKNRCLFINLRRRVVLHRISFKKPVSVVKFSPDGANIAVGTGKLVQIWRAPGFRKEFFPFELVRTFADCHDKVTALDWSPDGNYLLAGSKDLTARLLFVKKLSGVKYKPQLFLGHRDSIVGSYFGTNKKTNKVEKVYTITRDCYIFSWGIIQNNFDEMEVDNSEPASPGTPRRDSEENVESGGVVSVKKRKNIGDGNVDSEDGYLLREKWQLVRKDNFSQAPAKVTACDYHRYLDMVVVGFSNGVFGLYQMPDFVCLHMLSISREKITTAIFNQHGNWLSFGCAKLGQLLVWEWRSESYILKQQGHYFDVNCLAYSPDSQLLATGADDNKVKVWTVQSGFCFVTFSEHANAVTALQFLANNHCLLSASLDGTVRAWDLFRYRNFRTFTSPTSRQFVSLAVDQSGEVVCAGTLDSFEIFVWSMKTGRLLDILSGHEGPVHGLMFSPTNAVLASSSWDKTVRLWDVFEGKGAVETFNHMHDVLTVVYRPDGRQLASCTLDGQIHFWDPIDGILMYTIEGRRDIAGGRLMTDRRSAATSSSGKCFTTLCYSADGSYILAGGSSKYICMYDIADQVLLRRFQITNNLSLDGVLDVLNSKNMTDAGPLDLIDDDDSDIEEGVDQQTREKLGHDLPGSLLNRGRPVVRTKCLRIAPTGRNFAASTTEGVLIYSIDESFIFDPTDLDIDVTPEAINAALDEDQSSRALILSLRLNEDALIKKCIFSVNPVDIAKLIQSIPHRYLQRLVEALAELLESCPHLEFVLRWCQELCKVHGTYIQQNSRNLLPALKSLQMAITRTHQDVADMCSSNEYLLRYLCSTSAKKETS >KGN53182 pep chromosome:ASM407v2:4:2707011:2707840:-1 gene:Csa_4G025120 transcript:KGN53182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEPSKFHLSSLFFLLFVLTSSSTVSCGATPRKLLNFPDMSWGSPSGGGGGGNGNPTGAYGSGHGPNWDYNWGWGSSPGSGWGFGSGSGRSPTGFGKGYGYGFGSGSGSGSGYGYGSGSGGAHGGGYGSGSGYGNSGGGGSGGGYGGPSGDEYRSPMTTRDKNRQG >KGN54423 pep chromosome:ASM407v2:4:13131206:13131617:-1 gene:Csa_4G314500 transcript:KGN54423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAQGAQPKESFTATTYESVSGGENRTRTDIRSREDAGMIQIDKIQDKVEDAAGKGGPVFGAGKDDKKQDLGVTGTG >KGN53713 pep chromosome:ASM407v2:4:7003868:7008881:1 gene:Csa_4G109020 transcript:KGN53713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNLCCFNSVYSQFVGGRSSCSSGKGRGNICPARYGFSLVKGKANHPMEDYHVAQFITVHGRELGLFAIYDGHLGDSVPAYLQKHLFPNILKDEEFWSNPRSSIFKAYEKTDQAILSHSPDLGRGGSTAVTAILINGQKLWVANVGDSRAVLSRKGQELQMSVDHEPNTERESIEDRGGFVSNMPGDVARVNGQLAVSRAFGDKNLKTHLRSDPDIRNANVDSDTELLILASDGLWKVMTNQEAVDIARKTKDPHRAAKQLAAEALKRESKDDISIIVVRFKG >KGN54945 pep chromosome:ASM407v2:4:19459376:19462589:-1 gene:Csa_4G614190 transcript:KGN54945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISNHREKRRHYCFGPNYLTLILPSLFLPFLTEISFPLSIAFFSSSPFSLSFPFSAFLSTSLSSSFNPSPLFLLLPDSSPPRLHVFRRFSGVNRQRRS >KGN55563 pep chromosome:ASM407v2:4:23294426:23297491:1 gene:Csa_4G665670 transcript:KGN55563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNEARWSWWRVFIVAGVAVTGFLFSSSSASQPEQLMETQPVVSRIAFGSCANQDAPQPIWNSIINFDPHVFIWLGDNIYGDIRRPFKLFGRERTVGPWKNVPRFIPSSKQEMMLKYNKGKTIPGYSRLRQRTKVIGVWDDHDYGLNDAGKEFTEKVTNQKLLLDFLDEPLDSPRWEFVFYFYLLFV >KGN53165 pep chromosome:ASM407v2:4:2567096:2572643:1 gene:Csa_4G023000 transcript:KGN53165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGVSDNGVCSSESVNGGQDVWSSKESDSLSADHFVVMVNGIMGSSTDWRYAAEQFVKRLPDKVFVHCSERNVSKLTLDGVDVMGDRLAEEILEVIQRKPNLRKISFVAHSVGGLVARYAIGKLYRPPETEQLEAPSTNGQPQESFGTIGGLEPVNFVTVATPHLGSRGNKQVPFLFGLTAIEKIASLIIHWILRRTGEHLFMTDDDGGKPPLVQRMIEDQGEYYFMSALKSFKRRVVYSNVDYDHFVGWRTSSIRRINELPKWEDAVNEKYPHIVYEERCKGTDSENIEPTSAEVSYPDRLEEELVTGLSRLSWEKVDVSFHKSRQRLAAHSIIQVKDHTAHIEGADVIDHIIDHFQT >KGN55099 pep chromosome:ASM407v2:4:20518754:20520885:-1 gene:Csa_4G629450 transcript:KGN55099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGKSPTPASQELQQTNAAPRPGLRKPVFVKIEQLKPGTNGHTLIVKVVSSNTVLQKGRSVSQHLRQTRIAECLVGDETGTILFTARNDQVDQVKPGTTIILRNAKIDMFKGSMRLAVDKWGRIELADPEDFVVKEDNNLSLVEYELVNVAEE >KGN54221 pep chromosome:ASM407v2:4:11696333:11699669:1 gene:Csa_4G293310 transcript:KGN54221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVATIISLPLTSPSSRSLASIPSLRLSFTSSLQLDSLPKYSARGRSPRLSVSATSAGLEVTEKAPSFSFLDRRESGLLHFVKYHGLGNDFILVDNRDSSEPRITPEQAAKLCDRNFGIGADGVIFAMPGVNGTDYTMRIFNSDGSEPEMCGNGVRCFARFIAELENLQGRHSFTVHTGAGLIIPELQDDGQVKVDMGEPILKATDVPTRLTPTKDQSVVKAAIEVDGVAWSVTCVSMGNPHCVTFSNKIEQNLQVDGINLAAIGPKFEHHEMFPARTNTEFVQVLSNSHLKMRVWERGAGATLACGTGACAVVVAAVLEGRAGRNCTVDLPGGPLQIEWSEEDNHVYMTGPAEVVFYGSVPLQ >KGN53330 pep chromosome:ASM407v2:4:3764118:3768086:1 gene:Csa_4G047910 transcript:KGN53330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEPEFCASNIQSPFREESGDEELSVLPRHTKVIVTGNNRTKSVLLGLQGVVKKAVGLGGWHWLVLKNGVEVKLQRNALSVLEHPTGNENDEHDLDNSSCSSDIGEKDNDFSSSVVFHKLSKPKVRQIKPWAPSSSAKSTSRGSYGEIQSIHMPKSGTRVRLGKLGTESLWRYIKHFNLVNSISNTSRDQILQVVQRHFALQTSLNEASVMTEFIRAVKKRRE >KGN54855 pep chromosome:ASM407v2:4:18274722:18285600:1 gene:Csa_4G552160 transcript:KGN54855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVCRALSFTLGPPLPLTSGVCATQTEYSQTSSSSLPLRTKCVSLSAADGFEWNPTQYFAKGSNLKRRSGVYGGREDGEEGEAERERDVRCEVEVVSWRERRIRADVFVHSGIESVWNVLTDYERLADFIPNLVSSGRIPCPHPGRIWLEQRGLQRALYWHIEARVVLDLQELLNSDGSRELLFSMVDGDFKKFEGKWSINAGTRSSPTMLSYEVNVIPRFNFPAILLEKIIRSDLPVNLRALAFRAEEKSEGGQRVGNIKDSKDVVLSNTLNGATCVKDEIVQENSRGGNSNSNLGSVPPLSNELNTNWGVFGKVCRLDKRCMVDEVHLRRFDGLLENGGVHRCVVASITVKAPVREVWNVLTAYESLPEVVPNLAISKILSRESNKVRILQEGCKGLLYMVLHARVVLDLCEQLEQEISFEQVEGDFDSLSGKWHFEQLGSHHTLLKYSVESRMHKDTFLSEALMEEVVYEDLPSNLCAIRDSIEKRVLKNSFEALDQGDSEEKSVSRRNNQSNGYTTTAEGVSDINGRASFRPRPKVPGLQRDIEVLKAEVLKFISEHGQEGFMPMRKQLRMHGRVDIEKAITRMGGFRRIASLMNLSLAYKHRKPKGYWDKFDNLQEEINRFQKSWGMDPSYMPSRKSFERAGRYDIARALEKWGGLHEVSRLLSLKVRHPNRQPSFAKDRKSDYVVVNDFDGESKAPSKPYISQDTEKWLTGLKYLDINWVE >KGN54318 pep chromosome:ASM407v2:4:12341144:12345559:1 gene:Csa_4G303170 transcript:KGN54318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEDQEVQVFGFRSGPYSLRVELALKLKGVVYEHIDEDLVNKKSDLLVKYNPIYKKVPVLVHHGKPISESLVILEYIDETWTENYAILPQDPYQRALARFWAKYIDDKVVPAAQKVARSQEDEREKSIEDAQATLEPLEKELENKSFFGGDKIGFVDIVGLVLARWVPATEEAVGFELLSAHKFPNLTKWSQNFVNHSVAKEVLPKKDVLVAFLKNVVFSTKN >KGN55038 pep chromosome:ASM407v2:4:20123858:20124226:-1 gene:Csa_4G624440 transcript:KGN55038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFLAVFLFFSLAYAAARLPADEVEALRVIGRTLGKANWNFTSDPCGGVNGDWVTTSMELGFTNNLTCNCNFQNGKVCHVTKMYVHSFFLN >KGN55205 pep chromosome:ASM407v2:4:21247342:21250977:-1 gene:Csa_4G639920 transcript:KGN55205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLVASSSIDSGIGCWDLHTGSEQLRYKSCASPPHGLVCVGRKFLACSQLRDPAATAGSVLYWSWSKPQVEVKSFPAEPIMALASNHEGTYIVGGGFSGDIYLWEVLSGRLLKKWHAHYRAVTCLVFSEDDSLLVSGSEDGCIRVWSLITVFDDGWQREAKHLYEHSFTGHNLPVTDIVVGYGGFNAIIISSSVDRTCKVWSLSKGKLLRNIIFPSIIDAIALDPGEHVFYGGGRDGKIYTAALNAKCPSSSDYGLHILGSISNQSKSVTSLAYCSSGNLLISGSEDGAIRVWDTRTNNVIRVFRHSKGPVNNILLVQQQPLPKSQSTSQGSLRKHRPLLPPALAKFENSKDEDEYTGVIIDPSGPPKESTGFSFLSSHVMDDQITELQQQGSAATGMELERLKHDYGKSKQMLQHWRKMYDNLHQFCVNELLDGNQTKNIEGNST >KGN52834 pep chromosome:ASM407v2:4:577199:580492:1 gene:Csa_4G002510 transcript:KGN52834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKDQAVEDNAYMEKLQISNAIFTGGFNTVTRMHLIKLDTNNGGGLCTGCELSYTHVSDDEAENQDLSLLSMADDFETKDTAEFGSEVKKTRRPLAWKLSVSPTILISYRLLTIIRLLLLGFYLTWTLTHPNHESMWLWRISITCELWFAFSWLLEQLPRLYFVNRGTDVSALKDRFESPNLQNPKGQFDLPGIEVFVTTADPQKEPLLVTANTILSILAVDYPVEKLACYLSDDAGSLLTFESLVDTVKFARIWVPFCRKHGIEPRSPEAYFKQKHDFLKNKVRLDFAGDRRRVKREYDEFKVRINSLPETIKRRSDAYNAKEELKAKMNPSEMGENSLNEIKISKATWMSDGSYWPGTWEVPGEDDHSRGDHVGIIHVMLASSDAKPVYGSNKNGKNLIDTTNVDIRLPMLVYMSREKRPGYCHNKKAGAVNSLLRTSAIMSNGPFILTLDCDHYIYNSLALREGMCFMLDKGGDRVCYVQFPQRYDGIDPDDLYANHNTLFLDVNLRALDGLQGPCYIGTCCIFRRIALYGFSPARVTEHHGLFGTKKTKLLRRKLTVSKKEDDEMGTQINGYTLDCDDADDADTGSLPLPKRFGNSTSLAASITTMEFQGTLLQELESKGNQGRPTDSLTMPQEPLDVATVAKAISVISCVYEDNTEWGKRVGWIYDYLTEDVVTGYKMHDRGWRSVYCITKHDAFRGTAPINLTDRLHQVLQWATGSIELFFSRNNSVFATGRMKFLQKVGYFNIAVYPFTSFFILVYCFLPAISLFSRQFVVQSFVTLLTFNLVDSIILYLLAILETKWSSMTITNRWREKQAFVIWATSSYLAAVLQGLLKFIAGVNISYRLTPKLATAKDGDDEFAELYVVKWTFLMILPITIMVVNTIAIAVGIARALYSPHPEWSKLVWGMFYSFWVLCHFHPFAKGLIGRRSQTLNLFHVWSGLVSIIVLFLGIYMASPSGAQNHMKFQFP >KGN53215 pep chromosome:ASM407v2:4:2882661:2888007:-1 gene:Csa_4G026910 transcript:KGN53215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDHDCEAKTFRAMVENANRKFARVQDVPAYGRVDNHHYFHKVFKAYMRLWKYQQEFRAKLVESGLNRWEIGEIASRIGQLYFGHYMRTSEARFLIEAYVFYEAILNRSYFEGSKNSRKDLGARFKELRFYARFLLVSLLLNRTDTVQVLAERLKALVDDSKATFRATDFKEWRLVVQEIFCFMNIATASTNVRPLRYSTAFDSHPPSLPFVGRFHAKRVLKFRDAVLTSYHRNEVKFAEITLDTYRMLQCLEWEPGFFYQKHPVEPNENGAGIDHSGASGIIDINLATDVTDPSLPPNPKKAILHRPSVTHLIAVMATVCEELLPDSIMLIYLSAAGKCCQNSVNQMASVGESRKSLKNKVTAQNSRENCNALAESCKSEKPGSSDLYDEYLWFGHRGSGGPNVLYPGDIIPFTRRPVFLIVDSNNSHAFKVLHGAERGETAAILLSPLRPAFKNPLNVDTIQSGSQFTFFLTAPLPAFCEMVGLSSANLDIDVYNDADTILSSAFSDWEIILCTSTSLNIVWAQVLSDHFLRRLILRFIFCRSVLSFFNTKEDDDLPVCLPCLPDSVSSNSGVVSSAIRRLAKHLNVADLFNFHEV >KGN54138 pep chromosome:ASM407v2:4:11193968:11194815:1 gene:Csa_4G288090 transcript:KGN54138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFGASFERFSAKLKELELIIDTRNVDPILKNRTGAGVTPYELLKPFSGPGVTGKGVPYSISI >KGN53794 pep chromosome:ASM407v2:4:7878167:7881129:1 gene:Csa_4G129600 transcript:KGN53794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANHRSTTTTFLFAFFHLYFLSLSVSGDSPASYKPIDDILLNCGTSETLSASGDNRPWTGDVQSKFFPSDFHQNRASVTSQADTQSSSPNVVPYSTARLSHSNFTYSFPVSPGPKFIRLYFYSAFYLNFDRYKAVFSVKTTSMHTLLSNFNASVNADASDLNSPTITREFCVYTDENDQMLNITFSPTNQDSYAFINGIEIVSMPLDLYYTPRLKLVDQNNQFIQVGNNTSLEMVYRMNIGGNTISSGEDTGMFRTWAEESNYMNDYVADARPANLSIQLNYIERQPYTAPENVYRTARTMGPNSTLNKNYNLTWEYPVDPGFYYMIRLHFCEFQAEITAAGDRVFLIYIKDATAEESFDVFQKARGKYNPIYEDYGVFVTKSNQKKVNLSVKLRPNPNDDLTRFSNVILNGVEIFKLNDTNGNLGGQNPDPPPTQSLPPSTPQMNNDSSNTKIVAIVIPVVIGVVVAILALGLLFFRRRRTLTDQASSDGTSWWAPFSTSTNKTSKTRNSNLPSDLCRYFSLGEIKAATKNFDDVFIIGVGGFGNVYKGYIDDGATQVAIKRLKPGSKQGAHEFKTEIEMLSQLRHLHLVSLIGYCNDGNEMILVYEYMSHGTLRSHLYGNDEQPLTWNQRLQICVGAAKGLHYLHTGANHTIIHRDVKTTNILLDEKWIAKVSDFGLSKVGPANMSNNTHISTVVKGSFGYLDPEYYRRQQLTEKSDVYSFGVVLCEVLCARPPLVRSAEKKEVYLAEWVRQCHRKNTVAQTIDKNVKNEISPECLRKFIEIAVSCVEDDGVKRPPMKDVVWGLEFALQLQEASKKKVDEDEVGSGKRDSSEERWCLDETLFSSTGDRRRDSELGVSSYVTTSNSDDSSYTHNKGMSGTVFSEIKDAAGR >KGN54635 pep chromosome:ASM407v2:4:15452694:15456191:-1 gene:Csa_4G410880 transcript:KGN54635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHTLSRYRGQGMELDFPKETLDHPAQLPPSDSDAKSSEEEIGKLKLAYTQMRGQELDSLSFIDLQNLENQLREGIISIKDKKETLLLEQLQRCRSQGEVVISENETLRKQLEEFQHRNNITLQESSPLQRSYFSDSKTASTNETEVKTEVEENDRSEISLHLGLSLDGQRKRKRSVEGASTDTTCSQVELEGDALLANDELSRHFGM >KGN55070 pep chromosome:ASM407v2:4:20306798:20311858:-1 gene:Csa_4G627200 transcript:KGN55070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAMRQRRPFASDSLPSTTASSSSYSKSDKPGRSATEGEDKGLGWFFPLFALGLLRYMSATSNIIHDCDEVFNYWEPLHFLLYKSGFQTWEYSSQFALRSYLYIVLHELVGRPAAWLFSEDKVRVFFAVRLFLGFLSVVTETVLVVALSRKFGKRLATYTLAMLCLTSGCFFASTSFLPSSFSMYAVSLSSGLFLLEKPAPAVAVAASGVILGWPFSVLVFLPVTLYSLRRKFKEAFLAGALASIALLAFSLLVDYYYYKRWTSSVLNLLIYNVLGGGESHLYGTEGPLFYLRNGFNNFNVCFVLALLFVGILPISRKKYVPDLLVVISPIYIWLAFMSLQPHKEERFLYPVYPLICVAASAVIECFPDFFRDRYNPYDNSVLVMIAKVLRPLVLGLILCASHARTFSLINGYAAPLEVYKVLAHHEDIVTDSTICVGSEWHRFPSSFFVPDYIKEVRWIDDGFRGLLPFPFNSTLGGTAAAPPYFNDKNKASDEQYLRDLDACTYLVELQLQRPYASRGSDTSKWEAVAAWPYLDREISPPFYRSFFIPYFWQNKNVFGTYKLLTRITK >KGN55338 pep chromosome:ASM407v2:4:21958049:21958294:-1 gene:Csa_4G646120 transcript:KGN55338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGKEKKVGEEKWRRGNILEGLGQDRASCPVDPNNERDSKKDGVKREGHNRHLQILILLCNGQEALRQLQNPSDWSRFSP >KGN55511 pep chromosome:ASM407v2:4:23044894:23046632:1 gene:Csa_4G663700 transcript:KGN55511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWQNQQQQNQTEQLQMQVEQLSNADGVGGLYVKVMTDEQMELLRQQISVYASICEQLVEMHKAITAQQDLAGMRLGNLYCDPIMASAAGHKITARQRWTPTPVQLQILEQIFDEGNGTPSKQKIKDITLQLTQHGQISEANVYNWFQNRRARSKRKQANSLPNNADSEPETEVDSPKEKKTKPEAFQTYEHLVPKSGNMYSQRTDLSTEILSFDAQSNKGEPMFQSFGSGHTSQMTPIQNHRNNMSNDKMNVPDYSPYTPCEGYHLVE >KGN53364 pep chromosome:ASM407v2:4:4012946:4018709:-1 gene:Csa_4G050190 transcript:KGN53364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKGLLLSALSVGVGVGVGLGLATGQSVKQWSTSHSSNLITADKLEQEMLKHIVDGRESKVTFDDFPYYLSEQTRVLLTSAAYVHLKHAEVSKFTRNLSPASRAILLSGPAELYQQMLAKALAHYFEAKLLLLDITDFSLKIQSKYGTSVKESCFKRSTSESTLERLSGLFGSFSMLPSREDQKIGSLRRQRSGVELASWGNEGSSVLPKLRRNASAAANINNLASQCNVDKSASLKHMSSWAFEEKLLVQSLYKVLLYVSKANPIVLYLRDVDRFLSKSNRVYNLFHKMLQKLSGSILILGSRTIDSSNDYMEVDERLSALFPYNIEIRPPEDESHHVSWKSQLEEDMKSIKVQDNRNHIMEVLSDNDLDCDDLDSICVGDTLALSNYIEEIVVSAISYHLMNSKDAEYRNGKLIISSKSLSHGLGIFQAGKSTSKNSVQLEAQTGASKDSGAVKSEAKADTAAAEIRSETAPVAAAKIDGETAAPAVKAPEVPPDNEFEKRIRPEVIPANEIGVTFSDIGAMEEIKDSLQELVMLPLRRPDLFLGGLLKPCRGILLFGPPGTGKTMLAKAIAKEAGASFINVSMSTITSKWFGEDEKNVRALFTLAAKVSPTIIFVDEVDSMLGQRTRVGEHEAMRKIKNEFMAHWDGLLTKPGERVLVLAATNRPFDLDEAIIRRFERRIMVGLPSAENREMILTTLLGKEKVEEGLDKKELATMTEGYSGSDLKNFCMTAAYRPVRELIQQERLKDVEKKRRAAEGQNKTGDGAGESKEERVITLRALNMEDFKLAKNQVAASFAAEGAMMSELRQWNELYGEGGSRKKQQLTYFL >KGN55321 pep chromosome:ASM407v2:4:21879798:21880750:1 gene:Csa_4G645940 transcript:KGN55321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKNHESLLEMFGDISLRTLSTFRASRSLAPINVTSCSAFSDAYTPPATAAATGSYQSPPFRRRPTSLRTVASPLPSKCFARQSRIGRFVEVKKLILEWISGKFSSHHGRNENVKVVWVSKSLTINGIMLVRLDADFRKLKAN >KGN54895 pep chromosome:ASM407v2:4:18916525:18917105:1 gene:Csa_4G575860 transcript:KGN54895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCIIRLQCLRFLKREHLIDVTEVFRALKREKYFRLAKLIFYLLLFLVVIFRIIVVAGMKSVFDSAPEDLDIRSSLVMLVWEPIHHISLYCLGAKYVVTVMHYSRILHFVLFAIRASL >KGN52970 pep chromosome:ASM407v2:4:1350488:1352447:1 gene:Csa_4G008240 transcript:KGN52970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKRYTVKFMIHLLLVFSFLHLSDAKCQERTSNHFASSGPPLPTTSSTPTSSPQFKNGVRRIIFSVLLGVVTGLMGSFLFACLIRSFVRYLNKTPILKGPVIFSPIIDPKTLHLALRNENQLLGSNPHGKYYRTILDNGLTIAVKRLEGSENTARKSEKRQTQLQLERLARLRHRNLMSLRAYVGEGEGVWLVYDYVGTGSLEDVMKRVRENEVRLGWEIRLGIAVGVIKGLQYLHFECDPQILHYNLKPSNVMLDGEYEPRLADCGLPKLFSNLNMASTSAYTSPECLQDCRYSDKSDIFSFGMILAVLLTGKDPTDPFFGEAASGGSLGQWLRHLQVAGEAREALDKSIIGEEGEEDEMLMAVRIAVVCLSEQAIDRPSSDELNLKRRKGVLL >KGN54104 pep chromosome:ASM407v2:4:11027297:11028706:-1 gene:Csa_4G285800 transcript:KGN54104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSNSPLFFPLFCLLGFLVGHSLAQLNPSFYAKTCPNLPNIVNAVVAKALQTDARAGAKLIRLHFHDCFVDGCDASVLLENAPGIDSELDAPGNQGIQGLNIVDDIKSAVEKACPRTVSCADILAIASKESVVLAGGPSWVVPLGRRDSRTANKEGATNNLASPFEDLNALKAKFGAFGLNSTDLVALSGAHTFGRSRCAFFSQRFDTPDPTLDPAYREQLKRICSSGSETRANFDPTTPDTFDKNYYTNLQGLRGLLESDQVLFSTSGADTVGIVNRFAKKQGEFFKSFGQSMIKMGNITPLTGNKGEIRLNCRRVNPRRPRSTDEGRDFM >KGN54446 pep chromosome:ASM407v2:4:13485675:13490223:1 gene:Csa_4G332620 transcript:KGN54446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESGTALHTASNAVQALGRGFDVNFDTRLLYCKGVAGSTIVEVDDEHTRDICLYDDVVLHNVPWDIKNFQEPIGHRSSGICSFDEMADYFNQKDGLSEGYPSGSFNSVFGFTGLKQTDASATKSLSTDGFYIPLAKFQFTKTPLELKENVKRAVPTTWDPPSLASFIENFGTHVITSITIGGKDVIYVKQHQSSPLSTMEVKRYVQDIANQRFSDTQGQTGSGSIKLKEKGLEGGLFNNQGVYPQPTSAPYLTGKEDVTVIFRRRGGDDLEQNHVRWARTVRSSPDVIEMTFNPITALLDGVAGKEHLTRAILLYLEYKPPLEELRYFLEFQIPRVWAPIHDKIPGRQRKEPVCPSLQFSIMGQKLYVSIEQISIGRKPVTGMRLSLEGSKQNRLSIHLQHLASLPKIMVPYWDAHIAIGAPKWQGPEEQDSRWFEPVKWKNFSHVSTAPIENPETFMGELSGVYVVTGAQLGVWDFGSRNVLYMKLLYSRLPGCTIRRTLWDHLPPIEKSKKLVSSSDNTNNADNSSSDTKNTQGNNKLAKLVDTTEMSKGPQDSPGHWLVTGAKLGVEKGKIVLRVKYSLLNY >KGN54754 pep chromosome:ASM407v2:4:16859123:16863125:1 gene:Csa_4G453640 transcript:KGN54754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEEDKLNDEANSLIAEVPIIPGFKKRKRLSLSRLKEVKASLHAKQGQSTCVSNSSRSCKLKNESTINRWTPERYRLAELSMLEVMKAEGATFANPVPRPVLRMAARKHIGDTGLLDHLLKHIDGKVAPGGAERFRRWFNANGIMEYWLENADLVNIRQEAGVQDPYWVPQSRPLHARANFQDSQSSEEMRLLRAEMTKMKRDMQELASKFRDQERLNSMEMIHEELIKREAVAEKHRNEITGCLKGLQGILSGELMTWKTKVELQLMEITSSLGCIQPSKQLLTSPASKKWEDWLERTNLDNFQDDEIASWFEGDDTFSVQAQQDVIFQNSYRPSASFELYGNNLVQDIGREGEQEHLNKWSKTKRDDMEKQEDYGANITPDSSATGNSTSEFNTSVHMFQEMFQELFSWKAKMERQVLELWNSVRELQASSSSSSSHFKESDIGSTFKG >KGN54889 pep chromosome:ASM407v2:4:18840433:18843996:1 gene:Csa_4G572340 transcript:KGN54889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAESPNVDLPTTDKEIIPEKIEDEEIKEPFIHCELCDAEIVHKLAQVLLPGLSTACVDNTSGDIFRTPGSVAADIRKEMVDYLTMRSETCVAESVILDNPSEAEVSDHPYDIISDFVDDFSATKRNLFSRVSGWILSEKREDKIDDFVQEMDVNGFWPLDRREAIAQTLLKNVDFKSEFHCDKKFHSVEELAGHVENCGFRSLTCTNEGCTARFCASHAEQHDSICPFKIILCEQKCSAFIMRREMDRHCITVCPMKLVNCPFHNLGCQSPVPYCLIAQHCSESFDSHLLHILHSVHKEANEETLIHRQQQLEEASSLDHLRGLQNLRLLTSKIKEMDSQLGPLVVICRVEDTEEAKDDSDKSDEEKEASKVTENTKDAASNVTQETKEEMPNGSEETKDGSIANEEERKDASPTVIEEREAIMSSVIEETKDAFKTTEETKDASGKKEASDASSSESENEETKEASDKKEASNASSEKEETKDASDEKEASDASSEKEETKDASDKKEEAHDASSEKEETNDASNEKETKDLSNSTEETNDGSNAKGKMKDDSDSEEEMKNNDSDSEEEMKNDSDVKEEEMKNASDVKEEEVKNDSDSEEENNYDLAKVEEVKKESEESKEEEKKDASNVVKDDEDEAER >KGN52894 pep chromosome:ASM407v2:4:872037:875113:1 gene:Csa_4G005520 transcript:KGN52894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKEGVMMVEIEEAQADIGAVMDEVISVELPAPPTWKKLFIPRRSGTPRKTEIVFIAPTGEEIGNRKLLEQYLKSHPGGPAISEFDWSTGETPRRSARISEKVKATPPKEEPPKKRSRKSSVSKRESKEEANASVTGPEEVEMKDAEANENNIPVVEDKDGSDNPKGEPKEIEKVGADPDISGKDKTGNDAGESKNGHTESLEATENIPQVKEGEKQDHEGGSDVVVNSEFVSMGETNKHGSETNGQDAIQEKTEGLEEAEKQENEIAETVTPSEHITAIASEVNQSEKEMVQTETKQENDSQSNKENKNDHDNVGIEQSNGVVEGEKQNDQNEATGVSDNEVKGNQDISTNAAKCNVQDEDRSIEHDSLVKENGKL >KGN53019 pep chromosome:ASM407v2:4:1692724:1695948:1 gene:Csa_4G011660 transcript:KGN53019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQRHQRDGGESSVFYELSSLVMNILRSPPPSIPFPDHSPVVSTASSSRRTIPAQITPAGFASLLLGISLALMLCGSVTFFIGFMMMPWVIGLIMFFYVAAVVSCLSMIGRSIICYATAPPRKDIPAWKLM >KGN53315 pep chromosome:ASM407v2:4:3670553:3672804:-1 gene:Csa_4G046780 transcript:KGN53315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKIGLWREMIAGIRILAREQRRGISIIHFGRRCISSRAVMSFGDGSHGAIGLPTSLTGIGGSAYEPTTVPGLPSHVTTVAAGHYHSLALDSQGCLWAWGRDHEAQLGRGFASPRDSWNVPKRVIGLDQVNICSAFASGVVSAALGDDGSLWIWGKSKRGQLGLGEDVTEAVIPSRVQALEGEKIVKVSFGWGHALAFSEDGKLFGWGYYADGRLGNVGRTMKMSPLDSSSYRSTTGQEQPNIELAERLVLESMEEEKNMPIIWEPHLVEDETAVEVVDIACGLDHSLVLCRDGTLLSCGSNIYGQLGRVTQDLGLLPVDSSFHVKSIAAGLGHSLALCQDTSNKDIRDATSVISWGWNQTSQLGRVGPNNIPLAIEGLAGETPISLSGGRAHSIALGSKGEVWVWGCGRDGRLGLGSSSDESEPVFLDSLENCNVVQAVSGFDHNLVLVVD >KGN53867 pep chromosome:ASM407v2:4:8862879:8863256:1 gene:Csa_4G172550 transcript:KGN53867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNEVFSHGAVEIASLDETNVFKIYRQRLKAYYDDENHIKVFVDLKVAYLVPTKEKPREAMCKV >KGN53912 pep chromosome:ASM407v2:4:9246584:9252574:-1 gene:Csa_4G188400 transcript:KGN53912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQIFRNSLCLAILILFVRVNFSEQLQLSQIRTLLRIQQLLNFPAVLSNWNYSTDFCNLEPDSYVTVVCYEGNLTQLHIIGKKGALLLPHNFSMKSLVNTLAKLPDLKVLTLVSLGLWGSIPGKIAHLSSLEILNMSSNFLYGAIPQEISLLSGLRTLILDDNMLAGQLPDWFHVLPLLTVLSLKHNNLNGSLPNSLNELENLRVLSLSHNHFYGELPDLSTLTNLQVLELEDNGFGPQFPQLGNKLVAVKLSKNKLRSSIPPEVSSFYQLQYFDVSLNSLVGPLPSAFFSLPSLSYLNISGNKLTGMLMDNISCNDELKVVDLSSNLLTGSLPQCLLADTRDRVVLYLRNCFVTGEQQQHPVSYCQNEALAVGIVPEEKKKDQSRKAVLALSIVGGVVGVIILIGIIYIVVRRRNEKNTVKKPPTNLIVENPSAGYTSKLLSDARYISQTMQFAPLGLSTYRLLSYEEIEDATKNFDSSAFMGEGSQGQMYRGQLKDGSLVAIRCLKMKKRYSTQNFTHHIDLISKLRHRHLVSALGHCFELYLEDSSVSRIFLVFEYVPNGTLRSWISGRHSRRSLTWTQRIAAAVGIAKGIQFLHMVAGVYSNNIKITDVLLDQNLAAKISSYNLPLMAESMAKVGRGVSSGGSKDPGCHERINQEAQADIYDFGVILLEIIRGRALKSKNEINVLREKLQEAISSDSIARRSIVDPSIQNECLDQSLKTMMEVCVRCLLKDPVTRPSLEDVLWNLQFAAQVQDAWCGEYRSSDGSPISPSQPKLSIC >KGN55485 pep chromosome:ASM407v2:4:22912186:22913319:1 gene:Csa_4G653460 transcript:KGN55485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGHGAGTTFKGVTIHPPKRWHTVTGKGLCAVMWFWVLYRAKQDGPVVLGWRHPWEGHGHGDHGNEH >KGN54718 pep chromosome:ASM407v2:4:16346315:16346608:-1 gene:Csa_4G432470 transcript:KGN54718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPPLSSSLPPTTVSFPPISSIIAPTPKDDNEVLHNSVPVPHNSENQISTNVYPLDTSSNAILQEYDDPPSTMGIYETSSSMAPSLVDALHAIMLIL >KGN54995 pep chromosome:ASM407v2:4:19826445:19836497:-1 gene:Csa_4G620580 transcript:KGN54995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSPTPGGRTSRDTSIVVLTLESGEVYVVASLSSRNDTQLIYIDPTTGALRYHGNPGFDLFKSESQAIDSITNGSRWLCKSSVQARAILGYVALGGTGLLFVATKLSASVPNFPGGGCIFTVLESQCIKISLQNPQVQGKGELKNVQELVELDIDGKHYFCESRDITRPFPSRMPSDKPDEEFVWNSWFSMAFKNIGLPHHCVTLLQGFAECRSFGSSGQMEGIVALIARRSRLHPGTRYLARGLNSCFSTGNEVECEQLVWIPKKPGQSTPFNTYIWRRGTIPIWWGAELKITAAEAEIYVSDCDPYKGSAQYYQRLNKRYDARNINVVGGGNQNKQALVPIVCINLLRYGEGKSESILVQHFEESVNFVKSSGQLPSTRIHLINYDWHASTRLKGEQQTIEGLWKLLKGPTISIGVSEGDYLPSRLQTKDYRGEIIHNDDFEGDFCIRSHQSGVIRFNCADSLDRTNAASYFGALQVFMEQCRRLGISLDNDWAMGYRTMDTASGYTAPLPPGWEKRSDAVTGKTYYIDHNTRTTTWTHPCPDKPWKRFDMTFEEFKRSTILFPVSQLADLFLLAGDIHATLYTGSKAMHSQILNIFNEEAGKFKQFSAAQNMKITLQRRYKNAVVDSSRQKQLEMFLGMRLFKHLPSIPIQPLNVLSRASSFLLKPVTNMLPSSNGGTGLLSFKKKGEIWVFPQGADVVELFIYLTEPCHVCQLLLTVAHGADDSTYPATVDVRTGRNLDGLKLILEGASIPQCENGTNLLITLPGPVSPEDMAITGAGARLHSQDASTLPLLYDFEEPEGELDFLTRVVAVTFYPADSGRSSMTLGEIEILGVSLPWRGVFYDEGPGARLSHLTEKNHKEINHFSSGSGTNPFLVPSINEDLSKSVKTSASADQLVDLLTGEVTFSDTISQPVSGPVVHQRDDLLGFLDQHVGSNVAEANHKVSSAEDPKVTDSCSQLYINCLVSLAGPRMEKKLSFQEAMQLEIERLRLNLSAAERDRALLSTGTDPATINPNLLLDEIYVGRLCRLANNLALVAHTYLEDKITAAIGLDKVDDLVDFWNITKIGETCFGGTCEVRAEIKTPVQVPSKASSVAASQPVLVCSQCRRKVCKVCCAGRGAQLLTSSSSREVPNSGYSSQGGSGHGCRIDVSNGSDGILCKKCCPNVLLDALILDYVRVLISERRSSRADDAAYEALNQIIGSSVGDWVSGKNLHYPGQRVHKVLRKLLNGEESVAEFPFASILHSVETAADSAPVLSLLAPLDSGSYSSYWKAPPNATSAEFVIVLDSISDVSGVILLVSPCGYSAGDTPIVQIWGSNFIHKEERSYVGKWDVQSLIPSSFDFSEPEKKYSEDTVPRHVRFTFKNPVRCRIIWMTLRLQRPGSSSVNYERDFNLLSLDENPFAPVNPQVNRRASFGGSSEAIPCLHAKRIIIVGIPVRKETGLESSSGSDQMSNRTWLERAPQVRRFKVPIEAERVMDNDLVLEQYLSPASPMIAGFRLEAFGAIKPRVTHSPSSDAQIWDASVTFLEDRHIYPAVLYLQVSIVQESNSIVTVAEYRLPEAKAGVGFYFDLPRLVQTRRVIFKLLGDVAAFSDDPAEQDDSGFRAFAAGLSLSNRVKLYYYADPYELGKWASLSAV >KGN53830 pep chromosome:ASM407v2:4:8308586:8312895:1 gene:Csa_4G153810 transcript:KGN53830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPAAGDKEADYKLKDTKPNLGERWPHGGIRGGGGWITSERATSTYDLVEQMFYLYVRVVKAKDLPPDPVTGSCDPYVEVKLGNYKGRTQHFEKKTNPEWNNQVFAFSKDKIQSTVLEVFVRDKEMVPRDQYVGKVVFDLNEVPTRVPPDSPLAPQWYKLEDRKGDTKVKGEIMLAVWMGTQADEAFPDAWHSDAASVHGEGIYNIRSKVYVSPKLWYLRVNVIEAQDVEPQDKSQPPQAFAKIQVGKQILKTKLCSTKTTNPVWNEDLIFVVAEPFEEQLVLTVENKVSSAKDEVVGRLITQLNGFERRLDHRVVHSRWFNLEKFGFGTLEGDKRHELKFSSRVHLRVCLEGAYHVMDESTMYISDVRPTARQLWKQPIGIFEVGILSAQGLQPMKKNDGKGSTDAYCVAKYGQKWVRTRTVTDSFNPKWNEQYTWEVYDPCTVITIGVFDNCHLGGNDKNDSRIGKVRIRLSTLEMDRIYTHSYPLLVLQPSGLKKMGELQLAVRFTCLSLAHIIYLYGHPLLPKMHYLHPFTVNQLDSLRFQAMSIVATRLARAEPSLRKEVVEYMLDVDSHMWSMRRSKANFFRIVALFSGIISMNRWLGEVCQWKNPITSVLVHILYFILICFPELILPTTFLYMFLIGIWNFRFRPRHPPHMDIKLSWAEAVHADELDEEFDTFPTSKTQDVARMRYDRLRSVAGRIQTVVGDIATQGERFKALLSWRDPRATSLYVVFCLLVAIALYITPFKIVALVAGVYWLRHPKFRSKMPSVPSNFFRRLPSRADSLL >KGN53672 pep chromosome:ASM407v2:4:6530844:6540338:-1 gene:Csa_4G099750 transcript:KGN53672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSESNVPLLELKPQIIEEDDANGSSLSTRIWVESKQLWHIVGPAIFSRVASYSMLVITQAFAGHLGDLELAAMSIANNVIVGFDFGLLLGMASALETLCGQAYGAKKFHMLGIYMQRSWIVLFICSILILPIYLFSTPVLKLLGQPSDLAEMAGKVAMVFLPLHFSFAIQFPLQRFLQSQLKTAVIAYLSLVALVVHILVSWLFVYGLKLGLVGTAITTNISWWVLVFGLLFYTICGGCPGTWCGFSFEAFSGLWEFVKLSTASGVMICLENWYYRILIVMTGNLANAKLAVDALSVCMTINGWEMMIPLAFFVGSGVRVANELGAGNGKGAKFATMVAVGTSIIIGIFFWIIIITFDSQIALIFTSSEVVLKEVKTLTILLAFTILLNSVQPVLSGVAVGSGWQSYVAYVNLGCYYLIGLPLGFLMGWGFNLGVKGIWAGMIFGGTAIQTLILCIMTIRCDWNKEAERASVQIKKWEEETPNH >KGN54358 pep chromosome:ASM407v2:4:12611641:12613427:-1 gene:Csa_4G308490 transcript:KGN54358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSTTAILSNSTVFPDSKSTIKKLKLSVSDLPMLSCHYIQKGVLLPSPPSSFPDLILSLKRSLSAALSHFPALAGRLTTDPDGAIFLLCNDAGVDFIEAKAKHLSLHSLLSPLDIPVCFRAFFAFDRTLSYLGHNKPLAAVQVTELADGLFIGCTVNHAVADGTSFWHFFNTFAEINFGKGKISNTPDFTRDTAFNSPAVLKFPPGGPTETFAGNTRLRERIFHFSREAILRLKYRANGVDVQRKRMSNGNCEAVEYFGKQLNDSWKTVNGKRNGDVSDGKLEISSFQSLCAQLWRSVTRARKLNATKTTTFRMAVNCRHRLQPPMPSLYFGNAIQSIPNVALVGELLSKDLGWCGTLIHRNVAAHNDATVRGGIGDWEKAPRLFPLGNADGASVTMGSSPRFPMYNNDFGWGRPVAVRSGSANKFDGKMSAFPGKEGNGSVDLEVVLSPETMAALESDLEFMQYVSIRV >KGN54627 pep chromosome:ASM407v2:4:15395680:15396122:-1 gene:Csa_4G410800 transcript:KGN54627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDNRKQRSLPDYNGRGWHESRKLVMEFGGQKKSYMDPQASIGVLAICIISIS >KGN53240 pep chromosome:ASM407v2:4:3143385:3144977:-1 gene:Csa_4G036600 transcript:KGN53240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAMYPSFSVCVPSPLNPPFFLRLIHPFLFSFFSSSSSSSSSSSSSSSSSSSSSSSSHSQPPSHSYGIVLQYLIDTFQLSPARAVSIMATRRGIQSTEKPRSVYKYLSDLGLSDTQIKSAVRITPQIAFSSIEKTLKPKIEFLQNLGFVGSDLSKFISRQSKFFSSSLEKTLMPNVEILKNVLPKGTCNDDLPKVLRRCSDVLTRSPYKVLSVNINYLRSCGIVDYQLSTLLKRQPALFIMHESRLKDFVSMAVKAGFSPNGTMFIHGLHSISSISNATYKKKVKLICSFGITEKECMRMFNSAPVLMRTSVGKLEVGLEFFMNEAKVSKSDIVRNPFCLMHAMHGRVLPRYRVLEVLKSKRLTKKLPKLIDSLWMPDEDFLDKFVRRFPDNMNDLFEAFRGNSRR >KGN54549 pep chromosome:ASM407v2:4:14632350:14632782:-1 gene:Csa_4G361840 transcript:KGN54549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTGLKIKLLLFCRSKIQKMLKDFLRSISLMQKKQNPKNFHLPPQVGGRFFRLRSMKTPTTPLPNSIPSPKTPKKLFPPKSKKKQPQLSVIFHRQTNIKTNPLQNSNQKKQIKVESVKDL >KGN53504 pep chromosome:ASM407v2:4:4986428:4991013:-1 gene:Csa_4G062400 transcript:KGN53504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGREFSLELGLWPSSSASESTFQFSAIPMMTLPFTLSHHQQQQQIMVFYNGALSICDFTELQARAIIWVGSQERSGNVRCRNPEWLNMQMLKSGNGNGNAGFSIKKSLQKFLQRRKMRRIRTMSPYHKL >KGN53082 pep chromosome:ASM407v2:4:2026417:2029377:1 gene:Csa_4G015720 transcript:KGN53082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVASATPPFSPPFLSSPRHRHRRPDAISFRPFSISTPNCLQQLPLSLNSFHIFRRPRLVAEASIGDRESGGSTSVSDDEGFVGEDAAAFDLSEQKLTSWVYFTVILGVVLFVLNVVWIDNSAGGVGKAFLDAVSGISDSHEVVMLLLIFIFAIVHSGLASLRDQGEKLVGERAFRVLFAGVSLPLAVSTVVYFINHRYDGVQLWQLQSVPLLHQLVWLSSFVSFIFLYPSTFNLLEVAAVDKPKMHLWETGIIRITRHPQMVGQVIWCLAHTIWIGNSVAVAASIGLIGHHLFGVWNGDRRLAKRYGADFEAVKSRTSIIPFAAIVDGRQKLPDDYYKEFLRLPYLSITALTIGAYLAHPLMQAASFRLHW >KGN54999 pep chromosome:ASM407v2:4:19851694:19851978:-1 gene:Csa_4G620620 transcript:KGN54999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMIRLIFRSLALMVVHKQTAASKSTSPSRTGQQVCFVRSPIPIWINPPNKSTHAPNFSVSMGHVSRFGGGGGQGVDGDGTTGAGFNGGLGTGT >KGN53515 pep chromosome:ASM407v2:4:5092953:5096598:-1 gene:Csa_4G063980 transcript:KGN53515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVNANSLLIPKPRIRISSSPFGSKRLSFFSDGVLASSAAVANPSRSSEERVYEVVLKQAALVREPKRDVQRALDWEKTIQNEGITDGNLLSEAYARCGEVCAEYAKTFYLGTQLMTPERRRAVWAIYVWCRRTDELVDGPNASHITPKALERWEKRLTDLFEGRPYDMYDAALSDTVSKYPVDIQPFKDMIEGMRLDLRKSRYENFDELYLYCYYVAGTVGLMSVPVMGLAPESKASVESVYNAALALGLANQLTNILRDVGEDARRGRVYLPQDELAQAGLCDDDIFRGKVTDKWRFFMKGQIKRARRFFDEAEKGVAELSAASRWPVWASLMLYKQILDSIEANDYDNFTKRAYVGKAKKLLSLPIAFGRAMVGPSNLKDLVTR >KGN54344 pep chromosome:ASM407v2:4:12491957:12494925:1 gene:Csa_4G307370 transcript:KGN54344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACGLLVWSSVSLCSTSFPALSFSLSSSRRTQLSVSASVETPAAAADDAQQLSVRERRKLRNERREIKTTTNWREEVEERLCRKPKKEFANWTEKLNLDYLAKLGPQWWVMRVARVRSQEIVERLARCLARNYPDLDFKIYYPSVKEKRKLKNGTYTVTPKAVFPGSVFIRCVMNKEIHDFIRECDGVGGFVGAKVGNTKRQINKPKPVSEADMEAIFKEAKDEQERHDQAFLEKEQEEAPNTSALKTDLDTNGTTATKHKGRPKKAVNTLSPGSTVRVASGTFAEFEGSLKKLNRKSGKVTVGFTLFGKETLVDLDIGDIIVETK >KGN53886 pep chromosome:ASM407v2:4:8978588:8986078:1 gene:Csa_4G179700 transcript:KGN53886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHQPPPPLSGSDPAADDDDISPIEQVRLTVTNSDDPTLPVWTFRMWTLGLLSCALLSFLNQFFYYRTEPLIITQITVQVATLPIGQFMAAVLPASRFRLPGFGSRRFSLNPGPFNMKEHVLISIFANAGTAFGGGSAYAVGIVNIIKAFYGRNISFVAAWLLIVTTQVLGYGWAGLLRKYVVEPAHMWWPSTLVQIYIYMALHEKEDCRMSRGKFFLIALICSFSWYVIPGYLFPTLTSISWVCWAFPNSVTAQQLGSGMKGLGLGAFTLDWSAVSSFLFSPLISPFFSIVNIFVGYTLIIYIAVPIAYWGFNLYNASTFPIFSSKLFTAQGQLYNITAIVNDKFELDLAKYEEHGQIHLSMFFALTYGFGFATVAATLTHVGFFYGREIYERYRASYKGKEDIHTKLMKRYEDIPSWWFYLLLVLTVLVSLILCIFLKHQVQLPWWGLIFAAVMAFLFTLPISIITATTNQTPGLNIITEYVMGLIYPGRPIANVCFKTYGYMSMAQAVSFLSDFKLGHYMKIPPKSMFLVQFIGTILAGTINLCVAWWLLTSISNICQVELLPPNSPWTCPSDRVFFDASVIWGLIGPKRIFGSHGFYGTMNWFFLGGAIGPILVWLLHRAFPKQSWIPLINLPVLLGATAMMPPATPVNYNAWILIGTIFNFVIFRYRKQWWQRYNYILSAALDGGLAFMAVLLYFSVGMEERSVEWWGTAGEHCDLAACPTAKGVVVDSCPVR >KGN55209 pep chromosome:ASM407v2:4:21287745:21289399:-1 gene:Csa_4G639960 transcript:KGN55209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMNNPTPHHNLHFISQCFIKPHTIPEESKQPYYLSPWDLLMLSVQYIQKGLIYSKPPAALNDDGQFIEDLLRKLKHSLSIALVHFYPLAGRFATISYVDEGSCLVYVDCNNSPGAKFIHARLDMTISDILSPTDVPVIVQRLFDHDRATNHDGHSTSLLSIQITELLDGVFIGCSINHSLVDGSSYWNFFNMWSEIFQAEDDNFSISRPPVLQRWFPDAHGPILKLPFTNLDQFITKFEAPQLRERMFHFSPASLAVLKARANTEYKTNKISSFQSLSALVWRSITRARGLSPDQTTGCRLAINNRTRLNPPLPENYFGNSIQAIRTAATVKELLENNLGWAAWKLHEAVVNHDNEKVRDHVNKWVESPFVYRMEKLFDPLSVMMGSSPRFNKYGNVFGMGKALALRSGYAHKFDGKVSCYPGSEGGGSIVLELCLRPEFMSVLEADEEFMNATTPRDPLHYY >KGN54482 pep chromosome:ASM407v2:4:13857895:13870315:-1 gene:Csa_4G337880 transcript:KGN54482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRNRQSKDLLYSNLFNLEPLLNFQLPQPEDDFDYYANSSQDESRGSPGRTIAKHGNGTMTKRELSLARKRRQSLNSEEEDDSVDDYYGTHVTEERYRQMLGEHIKKYKRRSKDSSSPMPTHMGNLAPKGNSSTRARRSGSEQHTGFLEGQTANDWISDYNTRRPGSHHEADFALMRTPDRVIYEPAYLDIGDGITFKIPPTYDKLAASLNLPSFSDIQVEEVYLEGTLDLGSIASMIAQDKKFRFRSQAGMGDPQPQYESLQARLDALAFSNSSQKFSLKVSDLGLNSSIPEGAAGSIKRAILSEGGVLQIYYVKVLEKGDTYEIIERSLPKKQKIKKDPSVIEREEMEKIGKIWVNIVRRDLPKHHRNFTAFHRKQLIDAKRFSETCQREVKMKVSRSLKMMRGAAIRTRKLARDMLLFWKRIDKEMAEVRKREEREAAEALRREQELREAKRQQQRLNFLIQQTELYSHFMQNKSNLHSSEALPLGDEKPDYQEGTWDSDSAPAEEEDPEEAELKKEALRVAQDAVSKQKRLTSAFDDECSRLRQASEPDQNEVAGANNIDLLHPSTMPVTSTVQTPELFKGSLKEYQLKGLQWLVNCYEQGLNGILADEMGLGKTIQAMAFLAHLAEDKNIWGPFLVVAPASVLNNWVDEINRFCPDLKALPYWGGLSERTVLRKKINPKNLYRRDAGFHILITSYQLLVSDEKYFRRVKWQYMVLDEAQAIKSSTSIRWKTLLSFNCRNRLLLTGTPVQNNMAELWALLHFIMPTLFDSHEQFNEWFSKGIENHAEHGGTLNEHQLNRLHSILKPFMLRRVKKDVISELTRKTEITVHCKLSSRQQAFYQAIKNKISLAELFDSNRHLNEKKILNLMNIVIQLRKVCNHPELFERNEGSTYLYFADVPNPLLPPPFGELEDVHYSGGHNLIEFKLPKLVHREVLRCSKSFAVAHGGGGCLSRHFNIFSSENVFRSIFMQGGKLRHSYCQSGTFGFTHLMDLSPAEVTFLANGSCLEQLLFSIMRWDRQFLDGIVDFIMESIDDPENGPHELGKVRAVTRMLLMPSISQTDLLRRRLATGPGDAPFEALVIPQQERLQSNVGLLHSVYTFIPRTRAPPIGTHCSDRNFTYQMVEQLHDPWVKRLFIGFARTSDFNGPRKPKGPHPLIQEIDSELPVFQPALQLTYSIFGSCPPMQSFDPAKLLTDSGKLQTLDILLKRLRAENHRVLLFAQMTKMLNILEDYMNYRKYRYLRLDGSSTIMDRRDMVRDFQLRNDIFVFLLSTRAGGLGINLTAADTVIFYESDWNPTLDLQAMDRAHRLGQTKDVTVYRLICKETVEEKILQRASQKNTVQQLVMTGGHVQGDILAPEDVVSLLLDDAQLEQKLREIPIVAKDRQKKKQAKGIRVDAEGDASLEDLTNPESRVTEYDPSPDPEKTKANSKKRKGGPEKQNSSKARSLQRINEMSPVVDFDLDESRQNLEPQTQKPKRPKRPTKSVNENLVPTTTSTNMGILE >KGN53455 pep chromosome:ASM407v2:4:4663036:4663471:-1 gene:Csa_4G055980 transcript:KGN53455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLTLHSYMVGIHLQSLAKTDRISAEVLCYLTTRSVNIVSLCIEAILLLLFQTSNERAKKEESSLVSGDQGSRSA >KGN53738 pep chromosome:ASM407v2:4:7298947:7302739:-1 gene:Csa_4G114190 transcript:KGN53738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVARWWRRLEERKRERRIANEGVVADVLGLLKSHLVPHNEIVDACELPSPSTSQLPLPLTPTTSVEAFVEMAGQSDPHLNLFSAEEVEFVAEDEMVEIIPNMRMDSLHLICGDYGPFYPQIATEVPLWLAIALKKRGKCAIRTPEWMSVGKFCLLNRLKLLFCVS >KGN53742 pep chromosome:ASM407v2:4:7319901:7322415:-1 gene:Csa_4G114230 transcript:KGN53742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELNGKSMVSEPANVIYLSTILGRDGQVLAHKCDWKCENEHVCGNMYRCKFTGMTHICDKNCDQRILYDNHSSLCRASGQIFPLSLTEEHAIRGIRRKLDADNSSVDDFAFKRRRDAQFHPSPFERSFSAAVPICSQVGDGMDMS >KGN53751 pep chromosome:ASM407v2:4:7440048:7441016:1 gene:Csa_4G120790 transcript:KGN53751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILFSTPPISIVFFFSLLIFVFAVRSEDISSAIRLPSEATNNHGDIDLCSVSAPSYCPVKCFRTDPVCGVDGVTYWCGCADALCSGVKVAKMGFCEVGNGGSAPIPAQALLLVHILPIILGVSVLFGLF >KGN54913 pep chromosome:ASM407v2:4:19143499:19152190:-1 gene:Csa_4G594470 transcript:KGN54913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIGTGYDLSVTTFSPDGRVFQIEYAAKAVDNSGTVIGIKCKDGVVMGVEKLITSKMMLPGSNRRIHAIHRHSGMAVAGLAADGRQIVARAKSEASNYESVYGEPIPVKELADRVASYVHLCTLYWWLRPFGCGVILGGYDRDGPQLYMVEPSGVSYRYYGAAIGKGRQAAKTEIEKLKLSELTCRQGVIEVAKIIYGVHDEAKDKDFELEMSWICDESNHQHQKVPEDLLEEAKAAAKAALEEMDAD >KGN54980 pep chromosome:ASM407v2:4:19741128:19744369:1 gene:Csa_4G618450 transcript:KGN54980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIDLELPSSDHERLDVIQSRNDGVNVFQANGQGKYANSFARAEHHEEMSIPNAKKSSGEDRMDIINFETDFRIGPFEPKNGLEFESKEEAYSFYREYARSVGFGITIKASRRSKKSGKFIDIKIACSRFGSKRESTTTVNPRPCMKTGCNASMHIKKREDGKWFVHGFTREHNHEICPDDFHHAMKGRNKKPDIAISEKKGLQLALDEGDVLLMLEHFMHMQETNPNFFYAIHFNQEKQLRTVLWVDAKARHDYQNFSDVIFFDTYYLTNGYKVPFVPIVGVNHHFQYILFGGALIGDMATSSFIWLMKTWLKAVGGRAPRVVLTDQELSLKESVSDVFPNTLHLFSLWHILRRVPEKLGRTINQNGGFIETLNKCIYRSWTDKEFEKRWWEMIDKFQIRDDEWLQLLFDDRKKWVPTYVKNYFLAGMSTLERSGSVISFFDKYICKETSFKEFIKHSEIFFKDMLELEANADFETRHQKPILKSLFTFEKQMATMYTTTMFKKFQLQILGAASCQVHKQTENGATVTYHIHDLEEHQDFLVAWNKTEMDICCLCRSFEYQGILCRHAILVFQILGLTSIPHKYILKRWTRSAKVRISESSNRLHYRVQRFNNLCKQAIKLGELGSLSQETYDIASEAFDEVLKQCAFAKNSTKSFVETNTLGSVGFVDDEENQGEYMAKSSGKRQMSKKGKVIKQARYKSSEVEVDSGAAALHCFHGSLPGSGQSNTNSPFCDGPEDYYSHQAMHNLDYSPSVVAHVGPCSDGQTMQSQGQLH >KGN54294 pep chromosome:ASM407v2:4:12189295:12193408:1 gene:Csa_4G297440 transcript:KGN54294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCPINLPHRCSPGTPPLGPTSSLLNPNSLLKLLPLSPSSLLYSNKLSSSRIRHRSLVAMNNAHMATEAKPVSQDRMLVYVPPHPLIKHWVSVLRNEQTPCPIFKNAMAELGRLLIYEASRDWLPTVTGEIQSPMGVASVEFVDPREPVAIIPILRAGLALAEYASSVLPATKLYHLGISRDEETLQPTVYLNKLPENFPEGCRIFVVDPMLATGGTIVAALDLLKERGIGNKQIKVISAVAAPPALQKLSEKFPGLHIYTGIIDPTVNEKGFIIPGLGDAGDRSFGT >KGN55414 pep chromosome:ASM407v2:4:22467065:22469981:1 gene:Csa_4G651810 transcript:KGN55414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIYLPVAVLKDLVCSLLNPHSLLDNNYDSIISTSIGIDGPLRFNEIHYNLDESMGHCLIINDKDLSTTEREEGQPLIPNFESSHHKVSTWEIIKCSLYLTPLWFTTEYFSNSALANTSVATATILNSTSGLFALLFGALVGQESITISKVVAVFISMAGVIMTTLGKTWATNEFLIISESRGLSITGDIFGLLSAAIYGLFTVLLKKSAGSEGDKIDVQKFFGYVGLFTVLGLWWLVWPLTAMGIEPPLKFPPSTSLTEIVLLNGFVGSVLSDYFWALSVIWTSPLVATLGMSLTIPLAMLADVILHGRRYSALYILGCIQVFAGFLIVNFSDKVST >KGN54831 pep chromosome:ASM407v2:4:17979404:17985486:-1 gene:Csa_4G515540 transcript:KGN54831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTNHLPFQFYVSSTKPFIFPSFSTTLNPLPSIYSASPFKPSPKISKSDNRTSVTITAPLQIFNASARVNDVATSEKEEQVEMEVAKGYSLSQFCDKIIDIFLNEKPKTKEWRKFLVFREEWKKYRESFYSHCQRRADWEDDPIMKEKLISLRRKVKKIDDEMEIHSELLKELQDSPTDINAIVAKRHKEFTDEFFKFLTLISETHDSLEDRDAVARLAARCLAAVSAYNRTLENVETLDSAQVKFDNILNSPSLDVACEKIASLAKAKELDSSLILLINSAWASAKESTTMKNEVKEIMYHLYKATKSSLRSMAPKEIKLLKHLLNIVDPEERFSALATTFSPGDGSEQKDPNALYTTPKELHKWIKIMLDSYHLNQEDTDIREARNMTQPIVIQRLFILKDTIETEYLEQNQFQNPQSRPSHNHGSEDAISI >KGN53072 pep chromosome:ASM407v2:4:1991115:1994270:1 gene:Csa_4G015130 transcript:KGN53072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAPSLLSQCLPGLLPQDKGSHSSPSISERDVHLPSPAVEILPSKTAHPYKYAGENVDLQGLNVFKGRVSVADIIAFNGSESTSSKPEGHLKSWDSSIDLVNVLKHEIRDGQLSFRGKRVLELGCSYGLPGVFACLKGASIVHFQDLSAETVRCTTIPNVLANLEQARDRQSRQPESPLTPSRHTLAPSVHFYAGDWEELPTVLSVVRGDGFEAPTGMSLSFSEEDFMDGCSSQDGSIIGHESSSRRSRKLSGSRAWERASEADQGEGGYDVILMAEIPFSLNSLKKLYALIKKCVRPPYGVLYLATKKNYVGFNSGARHLRNLVDEEGVFGAHLVKEMTDRDVWKFFLK >KGN53538 pep chromosome:ASM407v2:4:5320524:5323016:1 gene:Csa_4G075190 transcript:KGN53538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVPTTDPPHGTLPSVQRSYWRRWSKQDIFPEESFRDCSSYKYALSQTCSRLKDRLLDRSSDDNELIELPKASGIGMKKCLTWWDLIWLAFGSVVGSGIFTITGLEARDDAGPSIVISYVVSGLSALLSVFCYSEFAIEVPVAGGSFSFLRIELGDFIAFIAAGNIFLEAIVGAAGLGRSWSSYFASMINSDNPDFLRFKVSFLSEGFNLLDPIAVIVLLVANGIAVSGTRRTSFLTWITSVISTLLIIFVIVIGFVKGNSANLVPFFPFGARGVFRAAAVVYWSYTGFDMVATMAEETKKPSRDIPVGLIGSMSVISVIYCLMALSLTMLQKYTEIDRNAAFSVAFDKIGMTWAKYLVSIVAIKGMTTSLLVGSMGQARYTTQIARAHLIPPLFALVHPKTGTPVYATLLTTITSAIVALFSSLDVLSSVFSFSTLAIFMLMAVALLVRRYYNKDTTPSSDYIKFLICLFSILGSCLALTTVWNLDRQGWIEYVVPASFWFLSTLAMSFLPKYRSPKVWGVPLVPWLPSLSIGMNLILIGSLGTEAFLRFFICSAVMLLYYLFIGLHATYDVAHQDGLGSKNEEIKDDDSRVV >KGN53052 pep chromosome:ASM407v2:4:1878415:1892214:1 gene:Csa_4G012480 transcript:KGN53052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIRFPHCFRTSNRFPLPFSLNCHGRHQLQCRKNPFRLTVYSQSGFLSSFCNHNRRIVCGVSSASQETIREDEEQKMTGKLGSGGKVLLKLRLAHQVEFGESVVILGSSEELGSWKNYTLLNWSKDGWVCDLEHRGDERVEFKFVILGKDGSVSWESGDNRVLQLPKVGKFSLAYQWNKTGEVVEMLPLKLEEINGDGTLPLDAKAIEERNETLPLDAEGVDKGVGALLFDVNEINEGDEKDKDVEDGNGSLVDEASPFVGQWKGKEISFMRSNEHHSRESERVWNTSDLKGLALQLVEGDKNARNWRRKLDVVRELLVENVHAENCLESLIYSAIYLKWINTGQIPCFEDGGHHRPNRHAEISRIIFRELERLSSKKDISPQVALIVRKIHPCLPSFKSEFTASVPLTRIRDIAHRNDIPHDLKQEIKHTIQNKLHRNAGPEDLIATEAMLTRITKNPGEYSEAFVEQFKIFYQELKDFFNAGSLAEQLESIKESVDGHGLSALAHFLECKKNLDAADELGSSFQNQGTDLVFKTIQSLNALREILVRGLESGLRNDASDTAIAMRQKWRLCEIGLEDYLFVLLSRFLNVLEATSGADWLAENVKSKNVSSWNDPLDALISGTHQLGLSGWKPEECVAIVNEIGAWKEKGLAEREGNEDGQKIWGLRLKATLDRTRRLTEEYSEALLQIFPEKVQMLGKAFGIPENNVRTYAEAEIRASVIFQVSKLCTILLKAVRSSLGSQGWDVLVPGSVEGTFVQVERIVPGSLPTSIEGPVILMVNKADGDEEITAAGSNITGVVLLQELPHLSHLGVRARQEKVVFVTCEDEERISVQQKLLGKFVRMEASATGVHICPPSDSSTNNFPIGTDKFPARTAPDEYVFTFGKSSMEDPSLPPSGAPYSKQEISSGVVPLADAGAQIAGAKAAACGRLASLAAISEKSFTNLKIPAAFRVPAGAVIPFGSMESALTQSNSMKTFKSILEQIETAKVGVELDELCKQLQELVSSLQLSQDMIDSVGRIFPEDARLIVRSSANVEDLAGMSAAGLYDSIPNVSLRNKTVFSNAVSKVWASLYTRRAVLSRRAAGVPQKDALMAVLVQEMLSPDLSFVLHTYSPTDQNDKSVEAEIACGLGETLASGTRGTPWRLSSGKFDGQVQTLAFANFSEELRVLSTGPADGEMARFTVDYSKKPLSIEPKFREQLGQRLCAVGYFLECKFGCPQDVEGCTVGDDIYIVQARPQPL >KGN54819 pep chromosome:ASM407v2:4:17798576:17802950:-1 gene:Csa_4G507980 transcript:KGN54819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYMVRWSIVVPLFLCFLAVPPVTSLPPPPTVCIIGSGIGASSVSHFLRQYAPSYNVSTDFNIRMFERHNIVGGRMATVNIAGDTFEAGASILHPKNLHALGFTELLNLTVKKPSSSGSFSLGIWDGHKFVFRTLTSGLTSTVPFVDKIVDLWNQLVMFLRYGFSLLRMEEFVEGAVEKFSKYYEGFESRPVFETVNEMLNWSGLYKLTTRTLYEELIDARLSHLLIQELVTVITRINYGQSVSISGLAGAVSLAGSGGGLWSVEGGNWQMAARLINHSDSTLHLEEEIKSISYRGEYYEVMSNKGNNYSCEVTVVATPLDEVNIQFTPPIIIPKRELQHTHTTFVRGLLNPVYFGLKASSKLPKLVGTTETENVQFSSISVLKQHDENDMTYKIFSRKPMEDTLLDKIFSIRKETIRIDWAAYPHFKAPEVFAPFILDGQHLYYVNAFENGASTIETSAIAAENIARLVLSRFLAKVGHDSLHFKLDSGLDASPLHIDL >KGN53447 pep chromosome:ASM407v2:4:4629977:4630998:-1 gene:Csa_4G055420 transcript:KGN53447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADFSFLSDTDDSAVEDLLSQTQDLCLLEQISAINCSSFTHSDLPSDLESRFRKLKSFPAAKSNTRSGFDSRNSRSVHSADESLGDDFAVFSPSKQSNKKEVGFSPKSQSQHLPDNSSKIGNSTSPMDNQDRNNGSSRSKSKCRYVSSPSNSSFSSGEIDEISVPKRDGKVRSKSKSESGYSASPPQSPPRKTGCFWCSPKKTSEKKNSGNKILENGLGWGKNNEFLADLNIFSAKEQEKILKKAMKEEEKINREAEKIVKWAKQASARMNISDIEDELSDDEEIKEKSMKF >KGN54042 pep chromosome:ASM407v2:4:10696387:10697198:1 gene:Csa_4G269730 transcript:KGN54042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRVHPTLNPDSASNNPLKSPPSATYVIQIPKDQVYRIPPPENAARFNLYTRHHHRPSPCRRFLCFILLLLLLSAITSALVFLILQPDLPRFSILAVSISRIKPNTTSFSPQFNVTIRAENHNKNIGIYYEKNSTVSMNLSDVMLCEGALPLLYQPPRNVTVMRVKVKGSGIRLSSSTGKAFEDWEKEGKTLRMKVDVRGPMKMKLYWMEMRWRIRAKVTCKILVKKEMGKTKVMEEKCDHSMKLW >KGN54415 pep chromosome:ASM407v2:4:13078002:13078803:-1 gene:Csa_4G314420 transcript:KGN54415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSSASPVILPISNPQSQPQIPLSSFSSHLLLSLRHAFSRRRPWPELLDRSAFSKPESLSEATLRIRKNYSYFRVNYFTIIALILAISLFSNPSSLFLLIALLCSWIFLYLFRPSDQPLVLFGRHFRDSEILIGLVVFTACVVFLTSVGSVLVSAFTAGFAVVCAHGALRSPDDLFLDEQEVNTTGFLGIFAGAPSSSSSSSSSSGAAPSGR >KGN54976 pep chromosome:ASM407v2:4:19716770:19717947:1 gene:Csa_4G618410 transcript:KGN54976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAQPAGVNYPPPSSTVPTSYSAPPPAGYPTREGGDFSTPVPAETKSRGDGFWKGCCAALCCCCVLDACF >KGN55506 pep chromosome:ASM407v2:4:23033366:23033995:1 gene:Csa_4G663650 transcript:KGN55506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQTYAHSQLSGPHLTALSSILSSYSTSEIRLPLTSTTSRRRHLRLVCSSSDANSNKKGVPNSNYVVPLNKSFSPANSSCITRPLAEILRDLNKRIPDNIAQKAPHSDTNDRSPATFIPWFHANRMLSFYAPGWCGEIRDVIFSDNGSVTVVYRVTVRGSDGEAHRESTGTVSATDSNIEDPVAAAEEIAFCKACARFGLGLYLYHEE >KGN53036 pep chromosome:ASM407v2:4:1808260:1811410:-1 gene:Csa_4G011830 transcript:KGN53036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVARLKLLSLPSSLPSLHFPSLAFPSSAAIFASQSINTNPNFKLRMASSSSSYGKVIDSHLHVWASPEEAAAKYPYFPGQEPTLTGHVDFLLQSMEEAGVDGALIVQPINHKFDHSYVTSVLNKYPNKFVGCCLANPANDGSGIQQLEHLVTKDGYSAVRFNPYLWPSGQKMTNEVGKALFSTAGKLGIPVGFMCMKGLSLHVEEIKELCTEFPSTTVLLDHLGFCKPPENEEDSLALAHLLELASFPQIYVKFSALFRVSRRPFPYLDLSRLLSQIVSSFGANRIMWGSDFPFVVLECGYKGAIDAVTLIANEISLSSGELEWIKGKTVAHLFQSRWISS >KGN55402 pep chromosome:ASM407v2:4:22375580:22380967:1 gene:Csa_4G650210 transcript:KGN55402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCEMENGVIEDMDIEAVPSMWPEDVGPDVRKQFNVERPGADQDMLEEVNIIEEPTIVDFKRLIELTNYTEKGSSQLQYLAKQWEYKQANAVRLLKEELDILSKQRKEVELKKLKILEEHLFEEERYAGDKRPISILEESCDIWQDIPRRKTDFVFQSKRVEIDAEYDTVTYWKQRAMDLEKLLEASLQREQMLAEKLKENIKNIEKQSSPVEELSQILKRADNFLHFVLQNAPVVFGHQDKELRYRFIYNHFPSLQEEDIIGRTDVEIFSGAGVKESQDFKKEVLEKGLPAKREIQFDTELFGLKTFLIYVEPVFSKAGETIGINYMGMDITDQVKKREKMAKLREEIAVQKAKESELNKTIHITEETMRAKQMLATMSHEIRSPLSGVVSMAEILSTTKLDREQRHLLDVMLSSGDLVLQLINDILDLSKVESGVMKLEATKFRPREVVKHVLQTAAASLRKFLVLEGRIADDVPIEVIGDVLRIRQILTNLISNAIKFTHQGKVGINLYVLPYPPIGTGEECHKKSTADNSTVSINSLQDGTCLLPSQNGLCGQKHSDINHLNCDGPGTPSKSETTMNGNRENKSCSPETTVWIRCDVYDTGIGIPENALPTLFKRYMQASADHARKYGGTGLGLAICKQLVELMGGHLTVSSRENHGSTFTFVLPYKVSSSCSPSDDSDEISDDESTNDEMTEGFFQFQPHSFSTLSSSNGSTRIPNSLLHTTGYPTSTKLNGLTEGVPYPIPLNNIANADVVTSSKSQCSSSHVNPNLDNQNQPDTNARLQNVGGVNSLPKLETTKLEDRLEKQSNKLQKTDQGKERSEASNHCRNSSSGPEVTLALEPRILLVEDNKTNIMVTQSMMKKFGQKIDVVTNGIEAVRAVQRRSYDLILMVCSFYKSRIPHVFNSKNSFQCSYG >KGN53628 pep chromosome:ASM407v2:4:6020986:6024378:-1 gene:Csa_4G091940 transcript:KGN53628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRDKKMKGRISMIGSYAIASSIKDHHHQRPSITCTTFNILAPIYNRLNQQDPSCRESDYRTYWLARNQRILDWLLYEKSSIICLQEFWVGNQELVNIYENRLGNAGYISFKLARTNNRGDGLLTAVHKDYFRVVNYRELLFNDCGDRVAQLLHVELAVPFSHCRNNDIRQEILIVNTHLLFPHDSSLCLVRLNQVYKILQYVESYQKENKLNPMPIILCGDWNGSKRGHVYKFLRSQGFVSSYDTAHQYTDADSRKWVSHRNHRGNICGVDFIWLLNPNGYRRLLKASWSEAIFGMFKYLLRRASLTADDAFAFLKADNDGDYITYSGFCEGLRQLNLTGHLHGLSVEEINDLWVQADSDGNGILDHNEFQRIWNSTGTEKRDEKSNEIESKENQEQTIGFSVENAVLFPAEVEKGRWPEDYSLSDHARLTVVFAPIRMPCSQLIS >KGN54940 pep chromosome:ASM407v2:4:19411289:19413820:-1 gene:Csa_4G608160 transcript:KGN54940 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-hydroxyphenylpyruvate dioxygenase MGKEADFPRAPSEATDGGATASQSEFELQGFDNFIRTNPKSDRFKVKRFHHIEFWCTDATNVARRFSWGLGMQIVAKSDLSTGNMTHASYLLRSGHLCFLFTAPYSPSIAAAQNLTPASTASIPSFDHSVCRSFSGTHGFGARAIALEVEDAEIAFRTSVAHGAKPSCPPIVLENRAVLSEVHLYGDVVLRYISYKNPVSGDNGENKPDEWFLPKFEAMEETASFPLDFGIRRLDHAVGNVPELGPAVSYLKGFTGFHEFAEFTAEDVGTSESGLNSMVLANNEEMVLLPINEPVFGTKRKSQIQTYLEHNEGAGVQHLALMSEDIFRTLREMRKRSSVGGFEFMPSPPPTYYKNLKSRAGDVLTDEQIKECEELGILVDKDDQGTLLQIFTKPVGDRPTIFIEIIQRLGCMMKDEEGKAFQKGGCGGFGKGNFSELFKSIEEYEKTLEAKRVSE >KGN55156 pep chromosome:ASM407v2:4:20936206:20936582:1 gene:Csa_4G638460 transcript:KGN55156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFTSKLLGNTQNRCGFSLLVFLPDHDGLPSLIQRACSELEFIDLHIPPRWVDVNDFRFSKFKFSGTIVVSKMLHKAVVIMDEGVDGDEA >KGN53868 pep chromosome:ASM407v2:4:8869398:8869658:1 gene:Csa_4G172560 transcript:KGN53868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASWHDLLSQIPARCWLLCSNYAKELILLCWRDIPLSLGASHFLQRTLQVSLGVLKISCPISFASKPKNSLMAFCPKSTFCPLTMA >KGN54649 pep chromosome:ASM407v2:4:15615552:15627982:1 gene:Csa_4G416450 transcript:KGN54649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLNLTVQSLRGRTGIMVRQMSSMANGTAKEKVDCLVIGAGLVGIAVARELSLRGRDVLVLDSAPTFGTGTSSRNSEVIHAGIYYPRDSLKAILCVRGRDLLYRYCSEYQIPHKQIGKLIVATRTSELPKLNELLIRGVQNGVEGLRMVDGNEAMRMEPELQCVKALLSPLSGIVDSHSLMLSLVGEAENHGAKFSYNSAVIGGHVQENQIHLHISDSRNLENLNGVHLPVPELTLVPKLVVNSTGLSAVPLARRFNGLHGGVIPPSYYARGCYFTLSNVGVPPFQRLIYPLPEDGGIGVHVTLDLDGQVKFGPDVEWIGEVDQISSFLNKFDYSVRGSRAERFYEEIRKYYPSLKNGSLQSGYAGIRPKLSGPRQTPADFVIQGEEIHKVSGLINLFGIESPGLTSSLAIAEHIAARYM >KGN54016 pep chromosome:ASM407v2:4:10534723:10538254:-1 gene:Csa_4G268000 transcript:KGN54016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGLVIEKKPKTKIVCTLGPASRSVPMIEKLLKAGMNVARFNFSHGSHAYHQETLDNLRAGMENTGILCAVMLDTKGPEIRTGFLKDGKPIQLKQGQEITISTDYSLKGDENMICMSYKKLAEDVKPGSVILCSDGTISFSVLSCDKKLGLVQCRCENSAVLGERKNVNLPGVIVDLPTLTEKDKEDILEWGVPNKIDMIALSFVRKGSDLVEVRKLLGKHAKSILLMSKVENQEGVANFDDILANSDAFMVARGDLGMEIPIEKIFLAQKVMIYKCNIQGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGETAAGAYPELAVRTMAKICVEAESTLDYGDVFKRIMEHSPVPMSPLESLASSAVRTANSAKAALILVLTRGGSTAKLVAKYRPGTPILSVVVPEIKTDSFDWSCSDEAPARHSLIFRGLVPVLSTASARSSHAETTEEAIEFAIQHAKSKGLCKNGDSVVALHRVGTASVIKILTVK >KGN55316 pep chromosome:ASM407v2:4:21864673:21868853:-1 gene:Csa_4G645900 transcript:KGN55316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERDTIRTNNNNNSNGTANNKVDTSGRSGVDVLRSNSDATRQTTTPSSDFVLQWGNRKRLRCMKVQGRDKTDPATPAHRTTTARVDRRVVRTDKDSPNRTHGPPANGYLNLRQRPSSPQLPPPHHRILRNSETAGAMRGHGNGGVRGIASPDRVAHDRRGGSNNHHHHSNNNNNSHHHHHNENNNKSGTTSETAHDSKKGGSSSGGSGEAALPQVWPPKFAIALTNKEKEEDFLAIKGSKLPQRPKKRAKIIQRTVNLVSPGAWLSDLTLERYEVREKKISKKRPRGLKAMGNMESDSE >KGN54859 pep chromosome:ASM407v2:4:18378527:18382661:-1 gene:Csa_4G554180 transcript:KGN54859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNIYFQTSNFFTKCNFHFKHPLFIRCIHGIAHYSSNLDSNQLLSELSKNGRVDEARKLFDQMPYRDKYTWNIMISAYANLGNLVEARKLFNETPIKNSITWSSLVSGYCKNGCEVEGLRQFSQMWSDGQKPSQYTLGSVLRACSTLSLLHTGKMIHCYAIKIQLEANIFVATGLVDMYSKCKCLLEAEYLFFSLPDRKNYVQWTAMLTGYAQNGESLKAIQCFKEMRNQGMESNHFTFPSILTACTSISAYAFGRQVHGCIIWSGFGPNVYVQSALVDMYAKCGDLASARMILDTMEIDDVVCWNSMIVGCVTHGYMEEALVLFHKMHNRDIRIDDFTYPSVLKSLASCKNLKIGESVHSLTIKTGFDACKTVSNALVDMYAKQGNLSCALDVFNKILDKDVISWTSLVTGYVHNGFHEKALQLFCDMRTARVDLDQFVVACVFSACAELTVIEFGRQVHANFIKSSAGSLLSAENSLITMYAKCGCLEDAIRVFDSMETRNVISWTAIIVGYAQNGRGKDSLHFYEQMIIDGIKPDGVTFIGLLFACSHAGLVETGQSYFESMEKVYGIKPASDHYACMIDLLGRAGKINEAEHLLNRMDVEPDATIWKSLLSACRVHGNLELGERAGKNLIKLEPSNSLPYVLLSNMFSVAGRWEDAAHIRRAMKTMGINKEPGYSWIEMKSQVHTFISEDRSHPLAAEIYSKIDEMMILIKEAGHVPDMNFALRDMDEEAKERSLAYHSEKLAVAFGLLTVAKGAPIRIFKNLRRGNVLVETSGRLLKARENFAIINKLLLYRENRVENFVGVVGV >KGN55110 pep chromosome:ASM407v2:4:20587083:20592887:-1 gene:Csa_4G630540 transcript:KGN55110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANAGKYLKTFSYCFVSGNRIPKFSLSPLLTSPFSSTIDKRRQLLISPSLIAHFSSSSSSSPTHYTSAKYLSVRIRCGKDIVDSLSEALLSFGASSTSVDEDCACGSSQEIYVDTIFPDGQDVSKCISYAADSVGLKESPFYEVTIDDQYDWLKKSQESFKPVKVMEGLWIVPEWTTPSDVHATNIILHPGLAFGMGEHPTTKLCLQLLHSLVKGGEYFLDYGTGTGVLAIASLKFGAAFSVGIDVDPQAIESGQKNAALNNIEPEKLQLHLVPSDLAEYKNCEAYISGKENFDIVIANILQNPLLDLADYVVSYAKPEAVVALSGILSEQVPAIIERYSQFLEGISVSTMDDWACVSGKKKRLLSGS >KGN54410 pep chromosome:ASM407v2:4:13055623:13056588:1 gene:Csa_4G313890 transcript:KGN54410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGLSVYAPSFNTHTNLSFKCQMLRRNANSGLRLPYFPKPSFHRNLTTSSLKSPLEESKSTPSSDQVPPNRPDNNSSKSFLSASVNRFRDAAFKFDELALDILAIALPAALALAADPIASLIDTAFVGHIGSTELAAIGVSASVFNLVSKLFNVPLLNITTSFVAEEQALINADEKNIVQTDIGNYTFGFSEFF >KGN53984 pep chromosome:ASM407v2:4:10080624:10094987:1 gene:Csa_4G236360 transcript:KGN53984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVTPAKGTIEISKDKDPELFLLARCGLGGLGVVAEVTLQCVERQELVEHTYISNMKDIKKNHKKLLADNKHVKYLYIPYTDAIVVVTCNPISKWRGPPKFKPKYTSEEAIQHVRDLYVESLKKYSASEERDMNEFSFTELRDKLLALDPLNKEHVIKVNQAEAEFWRKSEGYRVGWSDEILGFDCGGQQWVSETCFPAGTLAKPNMKDIEYIEELKQLIEKKNIPAPAPLEQRWTARSKSPMSPASSTAEDDIFSWVGIIMYLPTSDARQRKEITEEFFHYRHLTQTLLWDQYSAFEHWAKIEVPKDKDELAALQARLRKRFPVDEYNKARRALDPNKILSNNKLEKLFSSTDTV >KGN53590 pep chromosome:ASM407v2:4:5702837:5704621:1 gene:Csa_4G083640 transcript:KGN53590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQLFSLFSRSFLTPPESPISVSSHSSSSLLRRLPPLAAILTADFPSLCCTEQLPKVRWVLPDSYLDVKNKDYGGGPFINGQAAPYDSKYHEEWIRNNAKANSRRDVNGRRNFDRSRNFEKRENMQNGEFPNNVSASQPTGPKLSPPAPSSTPLPTTLVLACLLTTMLEYPKATIREDIRTILTDHCLRHHHTTSTAHHHLLTTLTGHHRKTTLTDHHHHQTLGEHRQSNYWGAPQPNFSRPPAQNNCGGMQPNAGGWSNNVHNNQQEWSPTGQGSLKLT >KGN53484 pep chromosome:ASM407v2:4:4857793:4858149:-1 gene:Csa_4G056720 transcript:KGN53484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFETKFKIQVVMMLLQMIQKFHITPMRQIKYKDQTDACYRSDEGSMDFYTLLRDKGGGRRGTLENTRERRLKSWVDLNHDDD >KGN54516 pep chromosome:ASM407v2:4:14317222:14318133:-1 gene:Csa_4G350600 transcript:KGN54516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATASQFSCLSAINRGFRLQHRRPFLASRASLSFPKFSIVMSVEGSGLNAADSQTKTTLSNATDLSESQAATNSYPGIEKLSDVGNLENEKLEEPEDVGAVPKRTAKIHDFCFGIPFGEFCILSLLIGSSAASCS >KGN55446 pep chromosome:ASM407v2:4:22684027:22688972:-1 gene:Csa_4G652100 transcript:KGN55446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVESRLDDPVDQFPTGMRVLAVDDDPTCLLILETLLRRCQYHVTTTNQAVMALKLLRENKNKFDVVISDVHMPDMDGFKLLELVGLEMDLPVIMLSANGDPKLVMKGITHGACDYLLKPVRIEELKNIWQHVIRRKKFDPKDRMNSGNQDRPDSENGEESADPNGKFNKKRKDQNYNEDDDQDYGQDNDDSSTQKKPRVVWSVELHRKFVNAVNQLGIDKAVPKKILDLMNVEKLTRENVASHLQKYRLYLKRISCVANQQANMVTALSSADPSYLRIGSLGGIGNFHSLTGPPQFPNTSYRSIPTTGMFGRLNSPACMGMHGLSSPGTVQLGNLSNSSNDQSTFQPIVLSGNRSGNIFRGMPEPSEIDQLQHVKHGTHVDNLSSAFDERTVFPSIGLPNGNMSSSTLSNPLLGLTNDPSIPEAQHHEVNGGRKFGTQSSISVVSSNSDSPRPSLDNVRCTGDNWSNAVHSSRVHLNTIPSANLSNVATSYHGQLRDNTTSALHIGNCLSDISSITSLSTQSHESRIDSQRQAATTSNRFDQLISKVPVQDWNDHKEDAYYHTTMACSSSNSLIPVNGAAETLSKRLENPNAIFSGSKDFNATGQANVLDHAMVEHDQFKKSNMETPLMLKQGYLYDHQQKMQSRFSSSNCGSLEDIACAMMKQEQDDGKLLEGEFGCDNYPIGTCM >KGN54277 pep chromosome:ASM407v2:4:12099029:12101138:1 gene:Csa_4G296300 transcript:KGN54277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METHLPSLFFLLIFLSFLLHCPAKRCPDCGRTPVPYPLSTRPDCGDPLYRVRCAAAELWFESANGSSYLITSVNSVARKLIIRPPGLAKNTCVSSDFRTDGFWLDTRLPFTISDRNTVLLYNCSIEVLENNWNCSHNSVCHDFIKQNPVAMAACKTAPTCCWYTSGGSLTSYRIRVRKERCSAYECFVNLGGSAPAKKWPEPGVEIQWAPPREPPCRVAMDCRNWENSACLPDHTNPKQKRCLCKVPSKWDPVNGLCNGNNLKIQNKTIHKKKHKKVPVILGGVMAGVFLMVIGGSIIFVISKRREQLPKRNELSSKQVREVILTANSSGKSARMFTTKEIAKATNNFSKENLLGSGGYGEVFKGNLEDGTLVAVKRAKLGSMKGIDQILNEVRILCQVNHRYLVRLLGCCLELEQPLLIYEYISNGNLFDHLHGNTSSSKWPPLTLSHRLYIARQTADGLAYLHTSAMPRIYHRDIKSSNILLDEKLNAKVADFGLSRLAITESSHITTGAQGTLGYLDPEYYLNFQLTDKSDVYSFGVVMLELLTSEKAIDFNREEEDVNLVVYIKKIIQEDRLMEVVDPVIKHRASRVEVEIIKALGSLAAACLDEKRQNRPTMKEVADELANIISILNNEVRNIE >KGN53404 pep chromosome:ASM407v2:4:4317948:4325215:-1 gene:Csa_4G051560 transcript:KGN53404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYRRSLCTRANLIARQYHPSIGVFGQTDDRKKQHLDEDSISHDRINSFLQRRSFGTSFNKSYRSNFFDLGRKYPNTFVSPSAGSFFCRYMSSTIGEGSENIEFMSNVAEVLTDTTVQSAASQAAAANEVVLAAADSFLPVKGVQYFIDAIHSYTGLNWWACIVLTTLLIRGATFPLLINQLKSTAKLTLLRPHLEEVKKEMQEKGMDPGAVAEGQRKMKNLFNEFGVSPFTPLKGLFIQGPVFISFFLAVSNMAEKMPSFKNGGAYWFVDLTTPDTMYIFPVLTAVTFWVTVEYNMQEGMEGNPIAGTMKNVMRGLAIATVPLTMHFPKAIFCYWVTSNLFSLAYGAALKVPGVKKALGVPEIPEANRNNPTPPPAFSFFNAMKQATTASNEATTTNTLTAQPSQPSQPSQSQDRKNSSSSLISQRLRILEKEVKGRKKMKNKKK >KGN53934 pep chromosome:ASM407v2:4:9440314:9440670:1 gene:Csa_4G192060 transcript:KGN53934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFISWNVRETKLSVIDTYLVKSILRPSNISRTSLDSFGTSGAFLFYGVSLTLSKGTYQISIHAFISGGFYFWLTTVNGPYKVIFVMISSESSEWLV >KGN53861 pep chromosome:ASM407v2:4:8767462:8778924:-1 gene:Csa_4G171510 transcript:KGN53861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDTGRRSQEISPGFCFADGLASLALLRQGMKDKTSDGVYDWNVTGASICYLGLQFLCYFLLTLGLELLPLHKLTAITVKEWWMKCWRNNLTNLKSETSSPSLEPFLAPSSEHVIPDFDLDVDVAAERNRVLSGSIDNAIIYLSNLRKVYPGENYLHKKIAVDSLTFSVQEGECFGFLGTNGAGKTTTLSMLTGEESPSEGTAFIFGKDIRTDPKAARCDIGYCPQFDALLEFLTAKEHLELYARIKGVPELKIDDVVMEKLVDFDLLKHANKPSYSLSGGNKRKLSVAIAMIGEPPVVILDEPSTGMDPIAKRVMWDVISRISTRRGKTAVILTTHSMNEAQALCTRIGIMVGGRLRCIGSPQHLKTRFGNHLELEVKPHEIHSEDLYNFCQHIREGFFDFPSHSRSLLNDIEVCIGAIEPITPENQSVSEITLSRQMLTIIGRWLGNEERIKTLVSSSTAPGVFGEQLTEQLFRDGSIPLPIFSEWWLATEKFSEINSFILSSFSGATFHGFNGLSMKYQLPYGEDGLSLADAFGHIERNRKQLGIAEYSLSQSTLETIFNHFASNS >KGN54520 pep chromosome:ASM407v2:4:14375630:14378533:1 gene:Csa_4G353620 transcript:KGN54520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKIGKLFESVCSFFGGGDQIPWCDRDVITGCEREVAEADESASEERKNESIMRLSWALVHSRQSEDINRGIAMLEASLTISRTPLQQREKLYLLAVGYYRSGEYARSRQLVEQCLEIAPDWRQALTLKKTVEDQIAKDGVIGIGITATAVGLIAAGIAAAASRRN >KGN53680 pep chromosome:ASM407v2:4:6617179:6629991:-1 gene:Csa_4G102290 transcript:KGN53680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEDSGVRVSSEEPIAVPYIDSSSQTKQRKKRKWDQPAESFLSTATAVPGVLPSYNQTLLGGVAVTTVAALAQVSPINCATSTQSKIQDELIAREISINDAEPSVRYKLTKRQTQEEIQRHTGAVVITRGKYHPPNTPSDGNKPLYLHISAGAHLKDMAERILAVDRAAAMVEEMLRQGQNLATLSFSPLNNEFKVNQPLSMSVFLGFDTDPSMNIAARIRGPNDQYINHIMAETGVTVSLRGLGAGNTEGACEEQRLHLFLTSNNSKNLEDAKKLAEDLMDTISKEFGVSRVSSCKVYSAVPPPQQVYGAVPPPPQVYGAVPPPLQVYGAVPPLPKVYSAVPPQLLCSTPQFARVESLGNEPTTSSASSLISSASPTIVSPVSSVIPGVAPVISQGSILQSGLPQSQSTAISYIKPLISGGTNYNGYSGIYPQATPLQQVALALKQVSSTTTPVAVPNRPALSVSNMNVNSDAEKEKRPNQRRKFQELPICVQGSSISNQDSELSNNPSNKPTVKSVSNMPAPRKLVQRSSNGMGPPQPRSMPPPPTPKKSTSAVKVIVQDKELSLDTIKHDVVSDTLVKLMEYGEDDDSEEGVESLNSDNTTGGIANRKPFWAV >KGN52757 pep chromosome:ASM407v2:4:167014:167267:-1 gene:Csa_4G000780 transcript:KGN52757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKAVLVVLAMVFLLATRSQFCQSHRPSPLMRKVNSDEIVLLGAIANLIHY >KGN54098 pep chromosome:ASM407v2:4:10994271:10996540:-1 gene:Csa_4G285740 transcript:KGN54098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLFRVAFFLFLGLMVRASQAQLCPTFYDESCPDVSNIVRRVVQQALVSDERAGARLIRLHFHDCFVNGCDGSVLLEDQPGVVSELAAPGNANITGFNIVNNIKAAVEKACPGVVSCADILAIASVESVNLAGGPCWEVQLGRRDSRRANLQGAIDGLPSPFENVTQLKRKFDRVDLDSTDLVALSGAHTFGKSRCQFFDRRLNVSNPDSTLNPRYAQQLRQACSSGRDTFVNLDPTTPNKFDKNYYTNLQSNTGLLTSDQVLHSTPGEDTVKIVNLFAASQNQFFESFGQSMINMGNIQPLTGNQGEIRSNCRRLN >KGN54822 pep chromosome:ASM407v2:4:17823654:17825999:-1 gene:Csa_4G508500 transcript:KGN54822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYSNRIHLIAYREHQRATKSVKDDNSRLTLGLTLKILRRELDDEAAQLDFTNQDMMERRGSFGSRLPLSNGGGFDDGSCGRTEHCEEGATTGYLKEKEMKINNGNIFN >KGN55223 pep chromosome:ASM407v2:4:21354257:21354316:1 gene:Csa_4G641565 transcript:KGN55223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLWVLLTNPMELMIDRRR >KGN54406 pep chromosome:ASM407v2:4:13017566:13018424:1 gene:Csa_4G312880 transcript:KGN54406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPNPPKSSAASHRITHSSTVPEFFKRQIQTRATAVPDAVARYHNHAVSMNQCCSAVVQEIDAPVSTVWSVVRRFDNPQAYKHFVKSCDVIVGDGNVGSLREVRVISGLPAANSTERLEILDDERHIISFSVVGGEHRLANYRSVTTLHPTGDGTIVVESYVVDIPPGNTEEDTCVFVDTIVRCNLQSLTQIAENLNRRSRAAPP >KGN53474 pep chromosome:ASM407v2:4:4825391:4825702:1 gene:Csa_4G056645 transcript:KGN53474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRHIRRPPLPLSRRNFPFPSARKTVPRHSNQVRKFYKRRCSLDVLPEISRASPLPKSWASDLQHRRKRTCASSRRTRDVSLQSLGFWSTMASALLFLFSGRF >KGN53690 pep chromosome:ASM407v2:4:6738154:6738345:1 gene:Csa_4G105850 transcript:KGN53690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSEGETELHSSDVEVENVMKKKGEGMAGRGHLFICPKSLIKFVGNLLSCDLEQESIVTCKF >KGN53267 pep chromosome:ASM407v2:4:3341559:3343321:1 gene:Csa_4G043830 transcript:KGN53267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVPVPNHQSSSLPSSTPKLHSEPTSVLDLRRTPSPVAPDNPLSVAHDRHNNHPLDWDEQALHNLDWDSIMGDLGLHDDSNSSLQNNTNHDRVPNFPEFLHSQSLDQTSHLLPPDFFLSEPFSNHSPTILQSFNSNNPSLDFFEDLVAAADCFDSNDFQLAHVILERLNQRLQSTSSAKPLHRAAFFIKEALQSLLSPSTNPYNRLSSWSDIVHTIKAYKAFSSISPILMFSHFTTNQALLEALNASSFIHIVDFDIGFGGQYASFMKEIAEKAESKNIAPPVLRITAVVPEEFAIESRLIRENLFQFAQDLKIRFHIDLVPLRTFQTLSFKSVKFMEGEKTAILLTPSIFRRLGSVNVVASFLADIQQVSPCVVVFVDGEGWSDSGGTSFKRNLVKNLEFYATMLESLDAAGVSGEWVRRIETFILRPKIFAMIEGGGGMAATQWREVFEGAGMRPVALSQFADFQAECLLGKVQVRGFQVGRRHAELVLCWHERPLVATSAWRFKC >KGN54858 pep chromosome:ASM407v2:4:18353547:18361733:-1 gene:Csa_4G554170 transcript:KGN54858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTRRPLTKSIIGFNSETWKRKITRWFASDSGKRFGAVWGNGDFGRLGLGNLDSQWSPVPCSSFDREMLKGIACGGAHTLFLTESGRVYATGLNNFGQLGISDEKRFSTEPVEVRIPKEAMHISAGYNHSCVVTADGELYMWGVNSNGQLGLGKKSAKAVHLPTKVDSLDGIVIKRAALGSDHSIAVADGGEVFSWGDGRSGRLGHGHESTFLGFLKSTSEHTPRLIKELEGIKVKHVAAGMLHSACVDENGAVYIFGERATNRVSFGEANKATTPSLISTLLDCEEVACGGYHTCVLTKGGDLYSWGSNENGCLGNGSTSVSHLPERVEGPFSKSPVSKVSCGWKHTAAISGKNSQMKLEI >KGN53856 pep chromosome:ASM407v2:4:8726349:8729341:1 gene:Csa_4G168980 transcript:KGN53856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKTPNLRRRRREQEMRGITGVVKKALGDMEFTAGGGAINWFPGHMAAATRAIRHRLKLADMVIEVRDSRIPLSSANQDLQPHLASKRRVIALNKKDLANPNIMNKWVNFFDSCNQDCVPINAHSKSSVRKLLELVEFKLKEAISREPTLLVMVVGVPNVGKSALINSIHQIASERFPVQEKRKRATVGPLPGVTQDIAGYKIAHQPSIYVLDTPGVLVPSIQDIETGLKLALAGSVKDAVVGEERIAQYLLAVLNSRRTPFHWRRHLNNRRVEGIRYEPEERHKFNLNDLQPKRRAPPNKSDVVYVEVRKEFLIRLINS >KGN54014 pep chromosome:ASM407v2:4:10525617:10527446:1 gene:Csa_4G267980 transcript:KGN54014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLMFTALLCLSAAAMTVTVRGEDPYFFFTWNVTYGTISPLGVPQQGILINGQFPGPNINSTSNNNLVINVFNNLDEPFLLHCAARPNPQGSYHYGSINITRTIKLVNSVSKVDGKLRYAINGVSHVDPETPLKLAEYFEIADKVFKYDTISDEGLAEGVTTVTVAPNVVNTTFRNFIEIIFENHEKSLQSWHLDGYSFFAVA >KGN53331 pep chromosome:ASM407v2:4:3770368:3775455:1 gene:Csa_4G047920 transcript:KGN53331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRWPISSNDSILDCNKDVDPNPSYGYCIAPDCLVEGSCANVDHDDCKATIRCYFEKVLWVFLKETCRRGFIRPVPALLGEGESLDLFELFMVVRDKGGYQVVSEKELWSSVVVELGLDLGLSASVKLIYFKYLSDLEKWLMVRRGGTKLENGNSDCYYYRKNFPCLAELEAKIKDILYGVLRQKSIYDERSGFKSNKPNGNVNVAETAAEKEIKSPKIEKKEHDLHEDVTPIQQNCTETPRDNGKTNQIHVIGDCRSSDAVNVETETDSHGSSRESLFRMLKWVRKTAKHPANPSNGTVPGSSKWKAYASEDALWLQVIKAKDALLNRKDVDKTAEKRLLIQKKVRMHPCIYEDNIDDNHHLSTERICCSRRSNALSKSESVACNNSCPPVQSNQIGSLTTEIGKGLKNQALLNGDLASEMEDNQANEDSVEKPVPVGASFQAVLPEWTGNISDSDSKWLGTRSWPSQHENNKSVSDRNPISRGRLDPCSCQFPGSVECYRFHIAEARMRLKLELGLTFYDWRFHQMGEEISLQWTAEEENRFKELAISSFNNQNQCFWNHSLKWFPMKSRKNLISYYFNVFLLRQRSYQNRVTPNDIDSDGEDVEFGCISGDFGAKAMEVLGSKFVECSENKQFIGI >KGN55450 pep chromosome:ASM407v2:4:22711967:22713579:-1 gene:Csa_4G652140 transcript:KGN55450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPGFSSCFRPSSARLRRRRSSLSISGCPNLTTCIYHTNFALFSLSWSQSLLSHSLLLHFLQNPHPFDSPQNPSSSSFSSSSSSISFRLLIRPLIPWKKHGSEKLSDSIHVFWDLRRARFSSSSPEPCSGFFIAVVVDGEMTLLVGDMVKEASKKIRAAKPPQVLQTLILKREHVTAHKVYTTKAKFAGQIREIQIDCGFSNYNDDDLGLSFSVDGKRVLEIKRLKWKFRGNERIEVAGVPMDVYWDVYNWVFELEKESRGNAVFMFRFEEENEEQSSNQQQNWNLGLNELEWRRMRNSLSSSSISFSTSTSSVGSSAGASSSVMEWANSEDSDQIGAPLGFSLLIYAWRR >KGN53803 pep chromosome:ASM407v2:4:7942344:7942902:-1 gene:Csa_4G131170 transcript:KGN53803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFALCYCISTALLCYGICASIVICHYALRRWCYDGKKEGRIQLLGHLRMLAQLNCLGYFHASAGEEDVVRLKVGEGWGNWIWALMVNSSRGEAWACILHHPHGPPKWILMSCLNR >KGN54316 pep chromosome:ASM407v2:4:12336152:12336501:1 gene:Csa_4G303150 transcript:KGN54316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAVLRVARSQEDEREKGIEEAEETLEPLEKELNIVGLILAGWIPATEEAIGFELLSAHKFPNLTKWSQHFVNHSVAKEVLPEKNFLVNFLKNVTFRPKNN >KGN53768 pep chromosome:ASM407v2:4:7609431:7611318:-1 gene:Csa_4G124900 transcript:KGN53768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTILSSESMDIPDGVKIKVHAKIIEVEGPRGKLVRNFKHLNLDFQLITDDATGKKKLRIEAWFGSRKTSAAIRTALSHVENLITGVTKGYRYKMRFVYAHFPINASITNGSKAIEIRNFLGEKKVRKVDMLDGVSITRSEKVKDELVLDGNDIELVSRSCALINQKCHVKNKDIRKFLDGIYVSEKGTIIGEDE >KGN53869 pep chromosome:ASM407v2:4:8886791:8887597:-1 gene:Csa_4G173560 transcript:KGN53869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRHRLLSSLRTRGGAAAGRSRWTSPGHEERPKGYLFNRTPLPPGQSRKWEDWELPCYVTSFLTIVILGVGLNAKPDLTIETWAHQKALERLEMEKLGISGSGSSESD >KGN52974 pep chromosome:ASM407v2:4:1364697:1366556:1 gene:Csa_4G008770 transcript:KGN52974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQKVNANQNGSMESLCSSHQDPLNWGLAADSMKGSHLDEVKRMVEEYRRPLVKLGGQTLTISQVAAIATRDNDVLVELAESARAGVKASSDWVMESMGKGTDSYGVTTGFGATSHRRTNQGGALQKELIRFLNSGIFGNGSESNHTLPHSATRAAMLVRINTLLQGYSGIRFEILEAITNLLNHNVTPCLPLRGTITASGDLVPLSYIAGLLTGRHNSKAIGPNGEILDAKVAFEQAGIDSGFFELQPKEGLALVNGTAVGSGLASIVLFEANILAVLSEILSAIFAEVMQGKPEFTDHLTHKLKHHPGQIEAAAIMEHILDGSSYMKTAKKLHEMDPLQKPKQDRYALRTSPQWLGPLIEVIRFSTKSIEREINSVNDNPLIDVSRNKALHGGNFQGTPIGVSMDNTRLAVASIGKLMFAQFSELVNDFYNNGLPSNLSASRNPSLDYGFKGAEIAMASYCSELQYLANPVTSHVQSAEQHNQDVNSLGLISSRKTAEAIDILKLMSSTFLVALCQAIDLRHLEENLKSVVKSTVSQVAKKVLTTSSNGALHPSRFCEKDLLKVVDREYTFAYIDDPSLHGYSLNSTIDC >KGN53779 pep chromosome:ASM407v2:4:7733828:7734420:-1 gene:Csa_4G126490 transcript:KGN53779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVNANIHDSLVKEEAAEELWNLSVGEEYKGVIVEACGVTALVDFIFKWSSSEDGVVEGVIIGGVHVLVMLARTTSNLKEQGQVATLFQ >KGN55101 pep chromosome:ASM407v2:4:20524558:20528088:-1 gene:Csa_4G629470 transcript:KGN55101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIEAVNSKVDTASTITIKGILSLLVQNADENNGRRLISLGMGDPSAYSCFHTTRIAQDAVVDSLESEKFNGYAPTAGLPQTRRAIAEYLSRDLPYKLTSDDVFITSGCTQAIDVALAMLARPGANILLPRPGFPIYELCSAFRNLEVRHFNLLPQQGWEVDLDAIETLADKNTVALVIINPGNPCGNVYSYQHLKKIAETAEKLGILVIADEVYGHLAFGSRPFVPMGVFGSTVPVLTLGSLSKRWIVPGWRLGWFVTSDPSGTFRKPKVIERIKKYFDILGGPATFIQAAVPHILESTDEVFFKKTINILKQTSEICCRKIKEIPCITCTHRPEGSMAMMVRLNIDLLEDISDDIDFCFKLAKEESLVILPGTAVGLKNWLRITFAVDPSFLEEALGRLKSFCQRHTLIL >KGN54326 pep chromosome:ASM407v2:4:12378047:12380189:-1 gene:Csa_4G304230 transcript:KGN54326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESLDDGEFWLPPKFLNDDDLFIEEKCGGNDLKSGRNGVGLYPFGSFGFTSDLGSPVESLVGSSETESDEEEYIAGLTHRMTRSTLEDGFGLDNSHVWGSSGSPQSTLCAMGSGCGCKQSSSRGSPNGHYQASHPQLTLDLLYAAAGEVSKMRMNEEAYGFINSCGPLAPPRKPSPVSVPLKNREPDVEVYQQLQASQFLHLRRQQLIEQMNSAARVGQTKGTVRQPQPQMPQNRGRNNEFFNGRNCRSATTGLPSQPTWGAPPRKQHTVNPPTNGSGMRAVFLGAPGGKRECAGTGVFLPRQAGAAISETRKKPACSTVLVPARVMQALNLNLDDMYVQRVHPPQLQSRSPPVFNAGKNDVSVRNRSESLQQKGNLRAAVPAVNHEIGLPQEWTY >KGN55041 pep chromosome:ASM407v2:4:20146740:20155989:1 gene:Csa_4G624960 transcript:KGN55041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGTWDMDFSIWNFLRNVRVLVLGVLVLNCFFRFGSDAQPLPEQEVRALQAISTQLRNLNWNVNQNSCIGDGFFNRAFLGTDIIREVNCTCTTTVCRVTSIRLKGLNLTGTLPAAFANLTQLQKIDLTRNLISGSIPKEFAQIPLVDLSMLGNRLSGPIPQEIGDIATLEHLVLEDNLLTGNLPESLGRLSRLQRLLLSVNNFNGTIPRSYGNLKNLTDFRIDGNDVSGRLPEFIGNWTKLERLDLQGTSMETPIPRGISDLKNLTELRITDLKGLPTSFPNLTQLTSLKELVLRNCLIRDRIPEYIGLFSGLKTLDLSFNELSGPIPDTFQNLERVTQFLFLTNNSLSGQVPSWILNSERSIDLSYNNFTGSPVSSCQQSDVNLVSSYSTTMNETVSWCLRKDLPCARENRFHSLFINCGGQRMEVDGNDYEEDVTPGGKSNFLSFSDRWAYSSTGVFLGDENANYRATSTNSSIPNIYQTARLAPLSLKYYGLCLRRGSYNGKLVQKDFNIMEKAGGVGKTFILEESNILVNGSTLEIHLYWAGKGTTAIPDRGVYGPLISGITVTPNFDVEPGTLSAGAIAGIVVGSFVFVVLVLAVLRWKGYLGGKETEDSELKALDLQTGYFSLRQIKTGVLSDGTSIAVKQLSAKSRQGNREFVTEIGMISALQHPNLVKLYGCCIEGNQLLLVYEYLENNSLARALFGAKEHQLHLDWVIRMKICLGIAKGLAYLHEESVLKIVHRDIKATNVLLDKNLNAKISDFGLARLDEEENTHISTRIAGTMLFCTILLIFCECLTILFCSTWLKAYVLQEQGNLLELVDPNLDSNYPKEEVMRMINIALLCTNPSPTLRPSMSSVVSMLEGKIAVQAPIIKRDTVDQEARFKAFERLSHDSITSISTSSQGIPMQKSMLLDGPWADSTTSSTQNKDETERYSSTRNLL >KGN54964 pep chromosome:ASM407v2:4:19617501:19622418:1 gene:Csa_4G617320 transcript:KGN54964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIPAKTTPNYNPVRDMGPRALAISLPFSCALGLLSSMTASTMASRAYIWAHACFQFAIIILFAHVYYAILNVNAVLSVFLSALTGLGLVISINSLLMEYLKWRRRRQLRPANQQTGTRSWPQLQQQLYDSNYHQQHEQRLEQERHQPHSQQQAIENQNMESLESADQEGTTILRQQLTEVPKPQLNL >KGN52814 pep chromosome:ASM407v2:4:451384:458121:-1 gene:Csa_4G001810 transcript:KGN52814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVQYEEEYIRNSRGVQIFTCRWLPTHSSPKALVFLCHGYGMECSGFMRGCGHRLATSGYAVFGMDYEGHGRSSGARCYIKKFENIVADCYAFFTSISVEEEYRDKCRFLYGESMGGAVALLLHKKNPRFWNGAVLVAPMCKISEKVKPHPVVVNLLTRVEEIIPKWKIVPTKDVINSAFKDPIKREEIRNNKLIYQDKPRLKTALEMLRASMNLEDTLHEVTLPFFVLHGEADIVTDPEVSRALYEKASSKDKTIKLYPGMWHGLTSGEPDENIEIVFSDIIDWLDKHAGGNTAKFQLQSTTCSNGIGVDHRLNNGQTTLSSGKESRRSQNHRGSYLCGLKGRLQSAM >KGN53374 pep chromosome:ASM407v2:4:4108092:4112001:-1 gene:Csa_4G050780 transcript:KGN53374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVVNTTMVGSGCPAEMKATSNGVFQGDNPLDFALPLAIFQICLVVLLTRLLGFLLRPLREPRVIAEIVGGILLGPSAVGRSQGFLRRVFPEKSLTVLDTLANMGLLFFLFLVGLELDPKSLRRTGKGAMGIAMAGITLPLLLGIGTSYVLRSTISKGVNGPPFLIFIAVALSITAFPVLARILAELKLLTTNLGRIAMSAAAVNDVAAWILLALAIALSGTPRSPLVSLWVFLCSSVFVLFCFFTLPPAFRWISHRSSKGEPVSELYICATLSTVLAAGFITDLIGIHALFGAFVVGVLVPKEGPLAGALVEKVEDLVSSLFLPLYFVSSGLKTNITTIQGIQSWGLLILVIFTACFGKIIGTILMALFCKMPIQESIALGFLMNTKGLVELIVLNIGNDRKVLNDQTFAILVLMAVITTFFTTPIVMAVYKPAKRKSKSEYINRTIEREEPNSELRILACFHSVNNIPSILNLIEVSRGMEGKEGCGSELCVYAMHLMELTERSSAIVMVHRARKNGRPFWNKGGKSSCDEIGVAFKAFEQLSRVSIRPMTAISRLSDMHEDVCNRAERKRAAIIILPFHKHQRFDGHLEATRGDFQSVNQKVLQQSPCSVGILVDRGFGGGSHISSTNISSTITIFFFGGCDDREALAFGRRMSQHSKTTLNIVHFIFTSNVNNAESTMVEMNKDDTKSSAVIDERVLMEFNGKKTNEMSIRYEERVVSSFSNVIEVIREFSRCNLILVGQKPEGEVVKNLVEYFKINVECPELGPVGNLLISKELSISASILVLQQFRENVFT >KGN54619 pep chromosome:ASM407v2:4:15248404:15252592:-1 gene:Csa_4G379280 transcript:KGN54619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDERENSVDEKLETKEMDNRSLIPDDQAQDERYIHKVGVPPKQKLYKEIKSAVKETFFPDDPLRSFKDQTKKRKFILGIQAVFPILDWGRNYNLTKFRGDVISGLTIASLCIPQDIGYAKLANLSPEYGLYSSFVPPLIYAIMGSSRDIAIGPVAVVSLLLGTLLQEEIDSATNPKDYLRLAFTATFFAGITQATLGILRLGFLIDFLSHAAIVGFMGGAAITIALQQLKGFLGIKKFTKKTDIISVMQSVFGSMRHGWNWQTIVIATTFLGFLLFAKYMGKKNKRLFWVPAIAPLISVVLSTFLVFITHADKEGVAIVKHIEKGINPPSVKDLFLSGQYLLKGFKIGVVAGMVALTEAVAIGRTFASMKDYQIDGNKEMVAMGVMNVVGSMSSCYVATGSFSRSAVNYMAGCQTAVSNIVMSIVVLLTLAFLTPLFKYTPNAILSAIIISAVINLIDLYAVKLIWKIDKFDFVACMGAFFGVIFFSVEIGLLVAVCISFAKILLQVTRPRTAILGKIPRTTVYRNILQYPEATKVPGLLIVRVDSAIYFSNSNYIKERILRWLVDEEEQTKKLYQNKIQFLIVEMSPVTDIDTSGIHALEELNGSLKKREIQLILANPGPVVMDKLHASEFVDLIGQDNIFLTVADAVSSCNPKIMNDV >KGN54669 pep chromosome:ASM407v2:4:15770759:15773329:-1 gene:Csa_4G419610 transcript:KGN54669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAGRNTHWCYRCRRSVRLRGRDAVCPNCNGGFIQELDDMVPGNPFDFFGLDHDEDRDHGLGAFSAFMRQRLAERNDMRGRSESLFEHSPGFGPLLIFGGQIPLRNSRLEALFNGAPGIGITRGDSGDYFIGPGLEELFEQLSENGHRGPPPASRSSIDAMPTVKITQRHLRSDSHCPVCKEKFELGSEARQMACNHMYHSDCIVPWLIQHNSCPVCRQELPPQGIGGGGGGHSTNDQNRSNSYNNGSGSRVNPGRRNPFSYLWPFRSSSSNSNHGGAGSSEPSNHQMGYSGYRYTSSP >KGN55317 pep chromosome:ASM407v2:4:21867451:21867789:1 gene:Csa_4G645905 transcript:KGN55317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLLQLFPSSDKLIIARACEGFNYARSRSEKTFHYKKENEEVFSFRFDIRSKPRNPMIGNAFTKSKKIRASGKIRLLIANLNNKSNSHLSIIERNPIERKILSVNIFGDGNF >KGN53852 pep chromosome:ASM407v2:4:8648701:8650622:-1 gene:Csa_4G166960 transcript:KGN53852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERGGDRGGFGRGFGGRGRGGDRGRGRRRAGRREEEEKWVPVTKLGRLVKEGKIQSLEQIYLHSLPIKEHQIVDTLIGPSLKDEVMKIMPVQKQTRAGQRTRFKAFVVVGDGNGHVGLGVKCSKEVATAIRGSIILAKLSVIPVRRGYWGNKIGKPHTVPCKVTGKCGSVTVRMVPAPRGAGIVAARVPKKVLQFAGIEDVFTSSRGSTKTLGNFVKATFDCLLKTYGFLTPEFWRETRFTKSPFQEHTDLLAKPTVKALLLEDAERGAA >KGN53323 pep chromosome:ASM407v2:4:3712635:3716405:1 gene:Csa_4G047350 transcript:KGN53323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILSGLSGKPSKCCLLRPSARIPRELVSSFSNGNFRENIDLQYLKRSCWTGPALRCKTLQIRHTTKCAFDASPEDFANESTAVFPRINVRDPYKRLGISKEASEDEIQAARNFLIHRYAGHKESVDAIESAHDKIIMQKFYDRRNPKIDIKKKVREVNQSRVVQAIRSRFQTPSTKFIIKSSIAFLVLGVLTILFPTEEGPTLQVAISLIATFYFIHDRLKSKLRAFLYGAGAFIFSWLVGTFLMVSVIPPVIKGLRGFEVTTSLITYILLWVSSTYLK >KGN54200 pep chromosome:ASM407v2:4:11588212:11589960:1 gene:Csa_4G293100 transcript:KGN54200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDCLLHMLFPISQSSAPYRHGFNVLPSIRFFSNFKSNTHPTTNLPKPPRLLDLISPKGDVSYESRQTHLRLIQDFLQTDSGQCRSQTLPVGCDSRSIGLSKDSSFVLDQECESGHWDVQSFAGRFKFNANDISSVLSLCNSQRNLRGGIQYHSVAIRTGFIANVYVGSSLVSLYGKCGELSNAYRVFDEMPVRNVVSWTAIIAGFAVEWQVNMCLELFQEMKRMALQPNEFTFVTILTACTGSGALGVGRSLHCQTVKMGFHSYLHVANALISMYCKCGALNFALYIFEAMEVKDTVSWNSMIAGYAQHGLSLRAIDLFKAMRKQKQVEADAITFLGVLSSCRHAGFVEEGRHYFNLMVELGLKPELDHYSCVIDLLGRAGLLKEAQNFIEKMPITPNSIVWGSLLSACRLHGNVWIGLKAAESRLLLQPDCASTHLQLTNLYAKAGYLDDAARLRKIMKDKGLKTAPGYSWIEIQNKVYRFKAEDKSNPLMVEIFGLIDGMVNHMRFVGCAHELEDKVNEFC >KGN54843 pep chromosome:ASM407v2:4:18159895:18160071:-1 gene:Csa_4G539590 transcript:KGN54843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSNEEGRKKEENGVCEIDDWKSGKKKGKVSIVLGGADLKRESPLVMRSEREGVEYEP >KGN55175 pep chromosome:ASM407v2:4:21076118:21079399:1 gene:Csa_4G639140 transcript:KGN55175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLQRMFAGAGGSLGHPPPDSPTLDSSEQVYISSLALLKMLKHGRAGVPMEVMGLMLGEFVDEYTVRVVDVFAMPQSGTGVSVEAVDHVFQTNMLDMLKQTGRPEMVVGWYHSHPGFGCWLSGVDINTQQSFEALNQRAVAVVVDPIQSVKGKVVIDAFRLINPQTMMLGQEPRQTTSNLGHLNKPSIQALIHGLNRHYYSIAINYRKNELEEKMLLNLHKKKWTDGLTLRRFDTHSKTNEQTVQEMLNLAIKYNKAVQEEDELPPEKLAIANVGRQDAKKHLEEHVSNLMSSNIIQTLGTMLDTVVF >KGN55417 pep chromosome:ASM407v2:4:22477240:22485362:1 gene:Csa_4G651830 transcript:KGN55417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCGFTSTTYDPCVDISLDLDTQDFSSRNIAGKLTKPADFSSKSTLSGCLDLFTRPEKLGSDQKLFCQNCQEKRNSVKQMSIRRLPLVLCLHIKRFEHSFIRRTSRKIDRYLQFPFSLDMIPYLSSSIIRNRFGNRIFASEGDELDASSKFEIFAVVSHSGTLESGHYVTYLRLRNRWYKCDDAWITEVDEEMVRNSQCYMMFYLQKTASHKSNEDLSCLPISPLSPKVPTLCITHPSTIKDPDGRSNDRWCGTSWTDFVQDQKFATLIVSSVVPLLEFQNFIAKYTWEINGTNVTLSESLSFGIFCSYPGLGLTLPTNKFCKAPTENSPQFLTSHYTFNNNKQVKSNSPFFFSLIEISPSKSSIALLLSSSLPSDNAAVALKRRMGSQTIPSHERSRTYWTPVMERYFIDLMLEQLHRGNRSGHTFNKQAWTDMLTMFNTKFGSQYDKDVLKSRYTNLWKQFNDVKNLLGHSGFSWDEGRQMVIADDYVWDIYIKAHPDARSYKTKAVLNFSDLCLIYGYTNADGRYSRSSHDIDFDDEVQAVNAGDTMGCLAPPDRPRTDWTLEMDRYFIELMLGQIGRGNKTSNTFNKHAWTDMLALFNAKFGPQHGKRVLRHRYKKLWKYYSDIMNLLQQNGFCWDEVQQMVVAADDIWDAYVKTHPFARSYRMKPLPNYYDLVLIYGNVIDNENQNHLQLDKNIQDHISEVKGGESNENQMATVSDRTRTYWTPPMDRYLIDLLLEQVHRGNKIGQTFVSHAWIDMVTAFNAQFRAHHDKDVLKNRYKHLRRLYNEIKILLEQRGFSWDENREIVTADDHVWDAYTKDHPDARSYRVKTVPSYHKLCFIFGEESSDRRYSRLAHDTHPSNGAPVLMTDEKKNNEVSAGPLPMIDWTPQMDRSFIDLMLEQLQEGNTFGQAFSEQAWTHMIVSFNERFKLQCDRYVLEDRYFWWMKQYSDIYNLLDHNGFVWNESQQLITAEDNLWEAYAKEHPDTLLYKDKFLGYYTDLCKIFGNILDRGVNGQCTGETNNGNLEIKVDGNEHLLLKSRETQISQQRKRPADIISLDRELSKKVDRTENDVQKAISEMAGVVSKLVNMKQQHNYKAVEGAIDALQAIPDIDDELMLDACDLLEDERKAKTFVALDATLRKKWLLRKLRYQATSLQ >KGN53254 pep chromosome:ASM407v2:4:3259364:3264215:1 gene:Csa_4G038710 transcript:KGN53254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHTELLLCFLPSILALFLFLILLKRKRNAVHLDLPPGSMGWPFLGETIGYLRPYSATTIGAFMENHIRRYGKVYKSNLFGEPTVVSADAGLNRYILQNEGRLFECSYPRSIGGILGKWSMLVTVGDMHRDMRMISLNFLSQSRLKNNLLKEVENQTLLVLRSWKDSSTFLAQDEAKKFTFNLMAKHIMSLDPWKLETEMLKKEYVTFMKGVISPPLNLPGTPYRRALKSRSTILKFIERKMEERKLKIEADGGEFEDDDLLGWALKHSNLSTEQILDLILSLLFAGHETSSVAIALAIFFLSGSPAAVQQLTEEHLEITRGKKRLGKTELDWEDYKKMEFTQSVINETLRLGNVVRFLHRKALKDVRYRGYFIPRGWKVLPVISSAHLDPLVFDHPHHFNPWRWQQMNGSSLGIPSTTITNNFMPFGGGPRLCTGSELAKLEMAIFIHHLVLNYQWELVGSDQAFAYPFVDFPKGLPIRVRHRTLM >KGN54997 pep chromosome:ASM407v2:4:19838128:19840793:-1 gene:Csa_4G620590 transcript:KGN54997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLQSWEDDEEERLIKVVEYLEPLMSKELLCKFPDNSAFDFDYSQSTIWSPLVPRPYNSMDLDVITPIKLPSDFENAPVTAMAGKNSFKKATSNLRKKFLYNGFSVCFGISKSYRKMKNKKLVSDFSPTPIQGGACYPNNKKGWSKLLRAATKHFKKKKKKDPTSQMKLYF >KGN54331 pep chromosome:ASM407v2:4:12406341:12409940:-1 gene:Csa_4G304770 transcript:KGN54331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKKLCESFSKSLIDDVQKWGCMKQTGVSLRYMMEFGSKPTAKNLLISAQFLYKELPIRIARRAIELENLPYGLSVKPAVLKVRDWYLDSFRDLRSFPEIKNSDDEKEFTQMIKAIKVRHNNVVPTMALGVQQLKKGLRLNNVGYQNLHEIHQFLDRFYMSRIGIRMLIGQHVELHNPNPPPDCVGYIHTKMSPVKVAESASEDARAICLREYGSAPNIKIYGDPSFTFPYVPTHLHLMVFELVKNSLRAVQERFMDSDKVAPPVRIIVADGIEDVTIKVSDEGGGIPRSGLPKIFTYLYTTAKNPLDEHPDLGTADLVTMAGYGYGLPISRLYARYFGGDLQVISMEGYGTDAYLHLSRLGDSQEPLP >KGN55051 pep chromosome:ASM407v2:4:20207200:20208392:1 gene:Csa_4G625060 transcript:KGN55051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYPKTLIGFGLLLALLFISSQVSARLLEEDKVKSDGLDYPGGRYGGGGHYGGGHYGGGGHYGGGHYGGGGHYGGGHYGGGHYGGGGHYGGGHYGGGHGLDANTKESNDQGLDKHGGGHGGHHGGGHGGHHGGGHHGLDADVKNLDSHGGGGVLRPPPRPRPPPLPPPPLPREC >KGN53131 pep chromosome:ASM407v2:4:2340806:2346462:-1 gene:Csa_4G017190 transcript:KGN53131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYQSTSSSSSSQKSMSSAHGGAPASAGGLTRYGSAPGSFLTTAVDSVIGTRQPDSAATLRAPPSFGAHYFSSADSSVVESSRKVVQSSSTSNDLKSSSATAAALNRSYGFNDLALGDFSTGRNFNSNGGQSSSSSPLVRQRSSPAGFLGHLSVAEPNGGFSLTMGGGGGGNGGGRLKSQMSFNGQDNSLSQISEISESFVEAANSCSNGLQSNTNSTHSFAPSSAFAMDSSWDTSSNSIVFAAPHAKRSKHHSDADFFTGLESQFSLPQTTLEMAAVERLLQIPEDSVPCKIRAKRGCATHPRSIAERERRTRISGKLKKLQELVPNMDKQTSYSDMLDLAVQHIKGLQNQIQKLNKEVENCTCGSKECL >KGN54496 pep chromosome:ASM407v2:4:14048100:14060784:1 gene:Csa_4G338990 transcript:KGN54496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFFLSHSLFRFCRLKHFEPSRFSSLSHSLLQGRRDVISYVGIDACHFSSLVDVWEYECDMLNDDTKTTEKDTALHSAISQVAADFGKDSKLFLQRFLSSRFAPVISTGSLKLDIALGIGGLPKGRIIEIYGQEASGKTTLALHIIKEAQKLGGYCAYFDAENAMDMSFAESMGVNVDNLLISPPASAENLLCAVNTLVRSGSVDVVVVDTVAALVPQCELDAPIGSSERDSRPRVMNQALRKIHYSLKLSQTLVVFINQVRSAGYQNGFEQKDEVTCGGNALQFYAAVRLRLLRKGLLKSGDKVTGVAVGVQVVKNKLASPMKMVELGIHFGRGFCCESEVLELGCEHGVILKDGSNFHIEGRICSSKHEAEQYLIENEDVLNKVVEILRNQLFVQESSP >KGN54739 pep chromosome:ASM407v2:4:16671191:16674995:-1 gene:Csa_4G439060 transcript:KGN54739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFGGIPKKLQHAMNSLKTIAESASQDLLEYNRLLAELKRSSRYIDSLQLFTQIHSSHCFNIKPDHYNLSTTLAVCANFRDIAFGSQLHGYAIRSGLKFYPHVANTVLSLYAKIEDFVSLKRGFQEIEKPDVYSWTTLLSACTKMGHIEYASEMFDIMPKGNVACWNAMITGSAESGLDWVAMNTFYEMHKMGVKPDNYSFACILSLCTKEIEDLGRQVHSSVIKAGYLRKTSVVNALITMYFSIENLEDAYEVFEGTESEVRDQITYNVMIDGLVCVRRNEEALIMFKDMKRACLSPTELTFVSIMSSCSIIQVAQQVHPQAIKLGFESFTLVGNSTITMYTSCGEFQAANAVFQMLIEKDLISWNAIISSYVQGNFGKSAVLAFLQMQRTGIGPDEFTFGSLLGVSEFIEIVEMVHAYVYKNGLILIIEILNALVSAYAKCRKVKQSLQVFSEINSKNIISWNTVIYGFLLNGLPLQALEHFSKLIMSKLKPSTFTLSIVLSICANISTLDIGKQIHGYILRSGNSSETSLCNGLITMYSKCGLLGWSLRTFNVMIERDIVSWNSIISAYAQHGQGKEAVDCFKAMQDMPSIMPDQATFTTILSACSHAGLVEEACQILDIMLIDYRAVPSVDQLSCIVDLIGRSGYIDQAESVIESAQYGEHTHVWWALFSACAAHENLRLGRIVARILLEKERDNPSVYVVLSNIYASAGCWEEAANVRELIKKTGSMKQPGCSWIR >KGN55034 pep chromosome:ASM407v2:4:20100855:20107728:-1 gene:Csa_4G624400 transcript:KGN55034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTAATKEMKRKLEEIGYGQKFKSDPNKSEIFKINAGVYTTNSLAAASTTNSPTNCSRVSDDSDRPAFAPRLTAELACDVNTQFEKIVHHAFDGWVSGNSVFDRGYTFGRYPHFVWLQISLSITFSVQIYKFGRFHAPTRKVGILFGMVRFSKFDHISDWFGLDLSLSSVFDSRKEVDFQIENGRVFEFIPCTEFGLFMEMSNDSSVKKPKRLTSVVWNHFERVRKADICYAVCVHCNKKLSGSSNSGTTHLRNHLMRCLKRSNYDVSQLLAAKRLKKDSSSGLANIGFDEGQRKDEFKSAIVKYDPNQRRDEVVTIASSKFDQERSQNDLARMIILHGYPLSIVEHVGFKVFVKNVQPLFEVLPNSTVEISCMEIYQKEKLKIFEIINRLPGRINVSVEMWSSSENIDYLCLTAHYIDEDWKLQKKLLNFITLDSSHTEDMLSDVIIKCLVDWGIDCKLFALTFDDFSTNYEIIRRIEEHISKQRSLLSNVRLFDVCSAAHTINAIAKDVIEAMREVNQKIRGSFKYIRSSQVTQARFNEMAHQVGITSQKILVPDNPGQWNLTYFMLETAVEYKGAFSLLQKHDSHYASALTALEWEWTTSVADYLKLFVEIVNIFSSNKSPTANIYFPEMCDVHIQLIEWCKSSDSFLNSLASKIKAKYDKYWSKCSLALAVAAVLDPRFKMKLVEYYYSQIYGSMALDRIKEVSDGIKELYDQYSICFSMVVQGSTLHGSGLPGTGNESRDRLKGFDKFLHETSQSQSVMSDLDKYLEEPVFPRNCDFNILNWWNVHTPRYPILSMMARDVIGTPMSSIVPEFAFCTTGRVLDRYRSSLSPDTRQALICAQDWLCIELEDPRPLSTASYIPLLLETN >KGN55052 pep chromosome:ASM407v2:4:20211758:20215572:-1 gene:Csa_4G625560 transcript:KGN55052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSVKSSALTPSKSKLARTITKVLHIRALTGIAPVHGTQKVKPQDKISDDCTASKSTGSQSESFDSVEEEFQNRVQLQALLSKLFASISSVKAAYAQLQFAQSPYDAEGIQDADHYVISELKVLSELKQCYLKKQFDPSPETTMLLAEIQEQKSLVGTYDMMGKRLESQARLKGSEITFLREKIEEIKKQNRLLEKRLDQSGPIPVTGDLHLSEVNASHFIKVLGHTIKSVRSFVRMMVNEMKSAGWNVDAAATEIEPDTCYWHNDHRCFAFETFVFREMFDSFHQPNFSLPNESLPEKRKQKQFFFTRFMELKPRKTKDFLLQNPRSTFAKFCRVKYLRLIHPKMESSIFGNLDQRSLISSGQFPDTTFFSTFAEMARWVWLLHSLAYSIEPEASIFQVRKGSRFSEVYMESVIDEMYLSPNSDPVVAFTVIPGFMIGKTAIQCRVYLSQ >KGN52836 pep chromosome:ASM407v2:4:590457:594529:-1 gene:Csa_4G002530 transcript:KGN52836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIWLMKLSGLFSAAMVMIVLAPALQSFPPAEAIRSSHLDFYLRLPVDTASSRSLNRFSFRKASTFRNAGQCGGGDSRFSGKFGVCDPNLVHVAITLDVEYLRGSVAAVNSILRNSLCPESVFFHFLVSDTSLEDFVRSTFPQMNFKVYYFDPEIVRNLISTSVRQALEQPLNYARNYLAGLLESCVKKVIYLDSDLIVVDDIRKLWTTNLGEWTIGAPEYCHANFSKYFTTRFWSDERFFGTFAGRKPCYFNTGVMVIDLVKWRNGGYTEKIEWWMKLQKSNRIYELGSLPPFLLVFAGNVATIEHRWNQHGLGGDNVRGSCRDLHPGPTSLLHWSGSGKPWSRLDSKEPCPLDALWSPYDLYGYSG >KGN53599 pep chromosome:ASM407v2:4:5770292:5773170:1 gene:Csa_4G088720 transcript:KGN53599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESHRQTSTSSRLGMRTGGGGGEIVEVQGGHIVRSTGRKDRHSKVCTAKGPRDRRVRLSAHTAIQFYDVQDRLGYDRPSKAVDWLIKKAKAAIDELAELPAWHPSTGNASTQGEEQQSLNDENENLLSVQRDVFNSTGNRRATVLGSDSRVSEFPLQNLQHTQMGEGPNSSTSSFLPPSLDSDSIADTIKSFFPIGTSAAAAETTSSSIQFQNYPQDLLSRTSSQNQDLRLSLQSFQDPIAIHRHHHAQHQSQGHQNEHVLFSGTAPLSGFDVTTAGWSEHNSLNPAEISRFPRITSWNASGAETGSGGGGGIRSAGYVFNSPHLPTALPPSQLMQPLFGENQFFSQRGPLQSSNTPSIRAWIDPSLTHTDHQQHQIPPSIHQSSYAGLGFASGGFSGFHIPTRIQGEEEHDGISDKPSSASSDSRH >KGN54451 pep chromosome:ASM407v2:4:13526587:13529244:1 gene:Csa_4G334130 transcript:KGN54451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLLVLNSTPCAGDASPLNLHKPAAAAVPLRSRPSSSLRLDPLMGFGACKVSFVANTFQRNGFLSPYRKCTLSRRVLAFAASHEESHSETQGKNEGKDLEFDAEKAQELWKNALDSFKEQAVKMKAISKDAYDEYSEKALVALNETSKLLKIQADKAKDDLALIVQEFSEESKEYIATATERYPEEIKEIVETFTSPTDDLSDISKVHEFYYGIPYGLVLSVGGFVSFMLTGSLAAIRFGVILGGGLLVLSILSLQSYKRGQSLPLALKGQAVIASVLFLRELRLLFQRPSFFSLLTTLISATMVTLYFYRIALNARIKKGKDFRTE >KGN54668 pep chromosome:ASM407v2:4:15764344:15767640:-1 gene:Csa_4G419600 transcript:KGN54668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGDLRFEISQNAYIKLVLHALKHRTTAVNGVLLGRISGPNDVIEISDSVPLFHSSIGLLPQLEISLLLIEEYYAAKGLNIVGYFHANERFDDYEVGGVAKNIGDHISRFFPHAALLLLDHRKLEALPKRKDRSPVMELYTKETSKNWKLAGSDGSSQLMMKEPSANVVLLDFISSEKWQDVVDFDDHLDDISKDWLNPDLFK >KGN54315 pep chromosome:ASM407v2:4:12334127:12335182:1 gene:Csa_4G303140 transcript:KGN54315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVEKSPPRRHIDLRKIPPGGIRTKELELRMRAGKGERRAVAETCEALKTLKEELKVKRFFGGETLGFVDIVTNFIAYWIPAIEESLGLDGLLSTEMERLPNQRRWCNEFEEHAIVKVIPPPKQDLLAFFISQFGTNVASK >KGN53380 pep chromosome:ASM407v2:4:4143108:4143830:1 gene:Csa_4G050835 transcript:KGN53380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQNVVGLRNELHITIFNTIMHHLNEISGTPFTYVSNTRTIINLSSYLLENILNIRISLKRSSRHQRGTMTSTIFTTRNPHPKIKNPSFRSLRNSTLSILIPLITTINDCITRLQVVRQGSNGLIDGQTSLNEDDNRSRTLNRENKITRIVLTKKREITFVMGAIYSLINLGSGAIVNGNGEAFLSDVEGEVLAHDGQAGKAYARKSCRSFHGRLEEKEKQRGRKRRRSRGQKWRLLFRR >KGN53430 pep chromosome:ASM407v2:4:4515851:4520199:1 gene:Csa_4G053780 transcript:KGN53430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDMRARAAVKGLLLNSQHISRATTFNRFFAAIYGAGLLALFYYHITSLLNSTSSGSFFLSVSLFISDAVLAFMWVNSQALRMYLLRRREYPANLKELLKKDSDFPALDVFICTADPYKEPPMNAVNTALSVMAYDYPTSKVSVYVSDDGGSAMTLFAFMVAAKFAAKWLPFCRENGIVDRNPNAFFRSKSNHDWNSETEEIKIMYEKMKIEVENICEKGMDELLNVKEECMAFNPWRTKSFTPKHHPPVIQVLLESSKNKDISGEALPNLIYVSRQKSLTSHHHFKAGALNTLLRVSTTMTNAPIILTLDCDVYSNDPQTPARALCYFLDPKLGNNLGYVQFPQRFHGVSKNDIYCVELLHVYIINEFGMDGLLGPTYVGTGCFFDRRGFFGGPSSLEFPELSKLSPNHVVERHIKSQEVLDLAHLVASCDYENNTEWGCKLGIKYGSLVEDFVTGYCLQSEGWRSVFCNPKRVAFYGDVPINLLDALNQIKRWSIGFLEVDFSKYNPITYGVRSMGLLMGLCYAHSAFWPAWCIPVTVYAFLPQLALINGIQIFPQVWDAWFVLYIFLFLGAYGQDLVEIIHAGGTFKKWWNDQRMWLIRAVSSFLFGCIEFTLKSLGINPNFGCNLTSKAMNEEQRKRYKQELFGLFSPMFVPITTAAIVNVASFVCGLIRIWKSGGAWEHLFAQMLVAGFGVVNCWPIYEAMALRNDEGKLPPKLTFFSISLALLLSYFVALFH >KGN54155 pep chromosome:ASM407v2:4:11271901:11272644:1 gene:Csa_4G290220 transcript:KGN54155 gene_biotype:protein_coding transcript_biotype:protein_coding description:histone H3 MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >KGN53408 pep chromosome:ASM407v2:4:4357064:4357828:-1 gene:Csa_4G052580 transcript:KGN53408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMASSISLPSPQTPLLKSSFLPQNRRRLLLHRPFPLPGRHASSTQHAFRPSAAFDLAQLLGGRGLCNGEQGLKEELKRNVDDNPVLADGSEIPGTSDKLPVDSVPEDGFEKELMGLTGGFPGGEKGLKKFISENPPPRKPSASKSGEIGSIINTQKPKPPDLPLLLPGMIAIVKNPNNPYYMYCGIVQRITDGKAGVLFEGGNWDRLITFRLEELERREKGPPMKNPKSAGGGPLLR >KGN54547 pep chromosome:ASM407v2:4:14613362:14615724:1 gene:Csa_4G361810 transcript:KGN54547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNVASSLASDVFSAIGKIFGSPLDFLSGRSCSSVCGSTWDFICYIENFCVANLLKMGMVFILSYFVLLLLYLLHKIGIFRCIGRGLCRMIWTCLASYFYAWEYCCGFMCIKLASVKRTRRRHVRRRDMEEEFEIEEGKCRHESTSDSTNVLEHVESKSSRRVSQRWRRNHRDSQRRKSLRPKGHGVRVRSGRVLVYGKHRRKSVEVGNHLNEIDSFGMYGSSKYVHKERKYRRGRPR >KGN55507 pep chromosome:ASM407v2:4:23034257:23034562:1 gene:Csa_4G663660 transcript:KGN55507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYSQLLLLFEAIEIWLQISLFLPRKGISTSFSSGRGKKRSFVEFELLGGGMVGHCFFAFMLVGNPNTRLCISAFTLPAFKSFGRPFSFRHCPLSSPFLRN >KGN54134 pep chromosome:ASM407v2:4:11168761:11170092:1 gene:Csa_4G287560 transcript:KGN54134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGHLEQWKEVFTPGTNSTDVWLWRLAKAHVLSHDSCIHQLVIHWYVCLYIYIHTYMHACKYIFLIKYREWRKEKEIQKSISKAFEKFKANLTDLEKKIDELNENKDLKNRYGAGIIPYEVMKPRSKPGVTGIGVPYSVSI >KGN53046 pep chromosome:ASM407v2:4:1862852:1863282:-1 gene:Csa_4G012420 transcript:KGN53046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRKPHNRKKRKEKRRESKEENNGGLGPSFRGCGSLPPSIPRPSLPNPRPQQLRSVRQLPNQRRLHFHPFPHLLCPNFPLHLRHSTSHLHLIIPKSNSDSSSISNFQVHTLCFFCFRLLCLYNLSGWIFVFYEFVGRVVE >KGN55068 pep chromosome:ASM407v2:4:20298060:20302479:1 gene:Csa_4G627180 transcript:KGN55068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRTFTTELGCIACEDLADFGAGKEGWLVDSPNLLCALDSHSLALANRSIILVLGWSGSDGYPLKIRPSDLSPIEAEYISALEWLVLDEIKVILVGTSCGYFLIYSLRGDLILKQMIHPGRILKIRVHGSKRDLSHGSSLEEVSIAMPGVIARIEGSDIQNTLQKWFQESSSQFWDPKSHQRDMNDSENSVEKLAYQVWNVSKYGACADAAITGVMPPPLMELQSSERYFCAVTVGEDAVISAFRLSEDKSRSLVGAILSKVVPATFSTIASFSKMIWRSEPKTSKKPDAKGQAFARASPLTCLKDHPRKGEKLTLSPSGTLAAITDSLGRILLLDTQALVVVRLWKGYRDANCLFMEMLVNRDTASSSSNSMDYEPAKNDYCLCLAIHAPRKGIVEIWQMRTGRRLRTIRCTKGSKLLQPSFRLGSSMDSPYVPLEVFLLNGDSGQICVINRTL >KGN53108 pep chromosome:ASM407v2:4:2158685:2160007:1 gene:Csa_4G016470 transcript:KGN53108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVTFLSRDTIKPSSPTPLTFESFHLLCIEETSPLVYTPLFLFYPRISNDDDSGEYNPEIDTLKNSLSESINRFLFLEGEIVGESIRCNYEEIIFVKAKVTGKISEIFVGSNNDTSLMKLVMYSTFNTDPDDKYALIGVQANIVECGGVVISLCLLHEVIDPTTLSHFLRSWFDINTSSFNCLPSMACSDFGPLFPLFDPQQKQVLLSSNNNSQQKASFQSLVFKDDEIPILKDRAKSSDVQNPTCVEVLLGSLWKCILEVVLLQSTTNSDRPSILTHAIINLNTKMLSSNSLPRSFSVGNFWWIEVADHYVENEKTQMELSNLVRFLRESFQEMNGDSYSWLKSLVGNQGDRVISKLLSAEIIPKLYICTNWENIDQLNEFGWGNTIWIESDTTSKNIGILLRTIRDNEIEIWMVLDEEEIELLVQNEEFCGFVNLTFP >KGN53556 pep chromosome:ASM407v2:4:5479937:5482901:-1 gene:Csa_4G082330 transcript:KGN53556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTISRSPLPENFLALPIKSTKIPSPRIQFTSPQNPFNSRPPVPRFSIDSSKSPTPVIPVEPPPLVVVGSANADIYVEIDRLPEEGETISAKSGQTLAGGKGANQACCGGKLEYPTYFVGQVGKDAHGKLITAALEDGGVRLDHLATVAAAPTGHAVVMLQSGGQNSIIIVGGANMNCWPDALSDSDLEVIRNAGIVLLQREIPDSVNIQVAKAARSAGVPVILDAGGVDAPIPQELLDHVDIFSPNESELSRLTGCPTESFEQIGQAVEKCYKMGVKQVLVKLGDKGSAFFTQGEKPIRQSIISAAEVLDTTGAGDTFTASFAVALVEGKSKEECLKFAAAAASLCVQVKGAIPSMPDRESVRNLLQSV >KGN54611 pep chromosome:ASM407v2:4:15127070:15130275:1 gene:Csa_4G377740 transcript:KGN54611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFRHAPSDLSFRLSSHTTSSISRSSTGDNSSYLCSTGSNSSYPSDFPQHKDEKFDLVKGVADDDSDDEKFSLLGQPLRVKRQRDAHSFLNQDSAKRAAVTDESSLDMRRVLVKAWGNQSLRIADPEIHNIMVKEKERQYKGIELVASENFVCRAVMEALGSHLTNKYSEGMPGARYYTGNQYIDEIELLCCSRALAAFDLDSEKWGVNVQPYSCTSANFAVYTGLLSPKDRIMGLDSASGGHLSHGYYSPVGKKISATSIFFESLPYRVNPLTGYVDYDKLEEKALDYRPKILICGGSSYPREWDYARCRQIADKCGAVLMCDMAHISGLVAAKECASPFEYCDIVTSTTHKSLRGPRGGIIFFRRGLKSRKQGVLLTRGDDTGTATYDFEDRINFSVFPSLQGGPHNNHIAALAIALKQVASPEYRVYIRQVKKNAQALASALLRRSCRLVTNGTDNHLVLWDLTPLGLTAKNYEMICEACHITVNKSAIYGDNGAISPRGVRIGTPAMTTRGCLEADFETIAEILLKAAHITKIVVRRGKLGKLHKGIMKNLQNNKDIVELRNQVEAFAASFAMPGFDI >KGN54008 pep chromosome:ASM407v2:4:10490220:10498751:1 gene:Csa_4G266940 transcript:KGN54008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCNSLRDRLRPWLRDYDRLQSFAVILIYIQIGCALIGSLGALYNGVLLINLAIALFALVAIESSSQSLGRTYAVLLFSAIFLDVFWFILFAYDTWNISSKQYGPLFTFSVKLTLAMQIIGFSVRLSSSLLWIQIYRLGISYMETSVPREADYDLRNSFLSPATPVVVRQPSGSDDMIGGSIYDPTYYSSLFEDGQDSKCLSGISHFGNGDNGSTSGPDVSRSKLSRHFQVADDEYADGHQQTV >KGN54021 pep chromosome:ASM407v2:4:10573144:10584587:-1 gene:Csa_4G268050 transcript:KGN54021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASNPYATICAQPFFKHLSRLASSTSTITALVPKSSRSTRFLRRCSSAATSTAGDGKLKLSGRTRRSSSSTTSPPSSTSDREAVRAIRLKKVEELRSKGFEPYAYTWDRTHTANQLQELYKHLGNGEESNADTDCVSVAGRIVARRAFGKLAFLTLRDDSGTIQLYCEKERLVNDQFDQLKNLVDIGDILGVRGSIKRTEKGELSVCVNSFEILTKSLLPLPDKYHGLTDVDKRYRQRYIDMIANPEVADTFRKRAKIISEVRKTVESLGFVEVETPVLQGAAGGAEARPFVTYHNSLGRDLYLRIATELHLKRMLVGGFEKVYEIGRIFRNEGISTRHNPEFTSIEIYEAYSDYQSMMNMAEKIVTRCALAIHGKLSVDYQGTDICFERPWRRETMHNLVKETTGIDINEFGNDLKVAKEVTLRTIGDALDGKDTTSIEASQSIGHLLSEIFELVVEPKLIQPTFVLDYPIEISPLAKPHRRHAGFTERFELFICGRELANAFSELTDPIDQRGRFEEQMRQHNEKHGSAVSGTDSVSFNRKKDEDDSYEVTLDDDFLTALEYGMPPASGLGIGIDRLVMLLTNSASIRDVIAFPVLKSQQ >KGN54011 pep chromosome:ASM407v2:4:10509505:10513891:1 gene:Csa_4G267460 transcript:KGN54011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEKVNSFSPTGVLEDYFRSSGPESTSSELAKPAGSFWRGIFKILGSKSRKPVSKLHPQSVLKLSKRLSNSMRETLHLHFGFDSDVCNFNSPWKNFTLRELEAATNYFSPENVIGKGGYAEVYRGCLKSGQVVAIKRLTRGSFDENVGDFLLELGIMAHLNHPNTAKLIGYGIQGGMHLVLEFFPHGSLASTLHGLKEKLEWSIRYKIAIGIAEGLRYLHEGCQRRIIHRDIKAANILLTQDFEPQICDFGLAKWLPKQWTHHIVSKFEGTFGYLPPEYLSHGIVDEKTDVFAFGVLLLELVTGRRALDYSQQSLVLWAKPLLKKNNVRELVDPFTINYNSRQMNLVLLAASLCIQQSSIRRPCMSQVVQILSGDLSCMRGTRKMQIPFLRRAFREELFRAEQPRLRDVLMEHP >KGN52856 pep chromosome:ASM407v2:4:687399:694892:-1 gene:Csa_4G003700 transcript:KGN52856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTNPIHSADKLTVALGDQSTVIVSLTNRVNTLKRQIQAERFVLVKEKLENNAQKLASNVAQAMSTTSRNALSVVEENRNGKMLLSRMEFPLCKLSGIAYGAGDKDYINNQEVVYSISIKLPYIEKLPPYTTWIFLDRNQRMAEDQSVVGRRRIYYDQHGSEALICSDSEEELAEPEDEKHEFSEGEDRVLWIIIQEHGVGENVLQLLSHSIGCTTSEIQERCNVLKERNYRADLSSKVLEESVFKKGISLYKSLSSTLDSFDNLFCRRCMVFDCRLHGCSQSLIYPVSKPNNEKQLYWPEHEEERKPCSNQCILEQTKNKNPEQRNKRPRSSKPEESSVHLESDILEDEKSLTGKLSSSTSKGISVSEVTAGMDSDISMGTATNPGSGAKQKAVEHQIKDSVSNDPELISNKFQDCKKQKMLPAMDVANASIDSSPELSKITSIKSSQEDIHRLQKNEFQKDAITLGEANEQTKEKTSPSNIASCNNFPDTARSDTVEATALSTSKLSTETVSEPVEGTRGNSEWKLMEKELYMKGIEIFGRNSCLISRNLLSGLKTCMEVFNYMHNGGASTSHRSSSMPSSNADDIGGADIDYTVLEQDMRIRSRLLRKRGKARKLKYSWKSAGHPSFWKRIADGKNQSCKQYTPCGCLSSCGKQCPCLHNGTCCEKYCGCSKSCKNRFRGCHCAKSQCRSRQCPCFAAGRECDPDVCRNCWVSCGDGSMGEPPRQGDGQCGNMRLLLRQQQRILLGKSDVAGWGAFLKNSVNKNDYLGEYTGELISHREADKRGKIYDRANSSFLFDLNDQYVLDAYRKGDKLKFANHSSNPNCYAKVMLVAGDHRVGIFAKEHIEATEELFYDYRYGPDQAPAWARRPEGSKRDDTSISQGRAKKHQSH >KGN53136 pep chromosome:ASM407v2:4:2370127:2370684:1 gene:Csa_4G022230 transcript:KGN53136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIKVLHILVALLLIALILASNVSCSRKLLDVAQAPSYGPAMLIQPADAPFDGNYSYGPTMKPAAAAAS >KGN52763 pep chromosome:ASM407v2:4:188226:188688:1 gene:Csa_4G000830 transcript:KGN52763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKKKSIHTVATVVVVMVAFMIATLADSATIATENVAAGVLVDGGSHAFPRKNVVKNGENGVCLVKGKACKSHKDCPSDCACYTAGHCGDWVL >KGN54837 pep chromosome:ASM407v2:4:18053403:18053841:-1 gene:Csa_4G526570 transcript:KGN54837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLKPLRSVLRTRCHKTGILLGAKNIATLNTSLFPAINLAIRASCFKGLRREYQVDRQGFARPVREEGWRDTSRPFFHIPLLTPQLLPQCTAMQSGGSKKISA >KGN55219 pep chromosome:ASM407v2:4:21332865:21335076:1 gene:Csa_4G641530 transcript:KGN55219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNIPSRVLLFLFFNLFCSLVIHAIASGTNSKTQDFKVGSTRSSGKGCDHSWSHCKQPITPQPSSLLPVEAEVLTFEDLRLSVVYPVIQKFKSIITSDPLGITKTWVGSDICNYKGFYCDNPPDNKSATAVASIDFNGFQLSAPSLDGFLDQLPDIAVFHANSNNFSGTISTNIAKLPYLYELDVSNNRLSGPFPTAVIGMNSLTFLDLRFNFFTGSVPPQVFVQDLDFLLINNNNFMQSLPDSLSITHILYLTLANNRFSGPIPGGIVKALTSLTEVLLLNNSLTGCLPYELGSLDEAIVFDAGHNQLTGPLPLSLGCLKSVEQLNFAGNLLYGMVPEMVCALRHLVNLTLSDNYFTVVGPLCRILIGRGVLNIRNNCIPDLPFQRPIIECAKFLAFPRICPRMWSYTLMPCMLPPFNPPISSIPKYWPHFP >KGN55227 pep chromosome:ASM407v2:4:21381683:21385864:1 gene:Csa_4G641600 transcript:KGN55227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTFQSSPIMALLFFLFIALSAASPSSIIPQRTDDEVMALYDQWRAKHGKLHNNLGAEPENRFHIFKDNLKFIDEINAQNLPYRLGLNVFADLTNEEYRSRYLGGKFASGSRRNRTSNRYLPRLGDDLPDSIDWRAKGAVAPVKDQGSCGSCWAFSTVASVEAINQIVTGDLIALSEQELVDCDRSYNEGCNGGLMDYAFEFIIENGGLDTEEDYPYYGFDSSCIQYKKNAKVVAIDGYEDVPVNNEKALQKAVSKQVVSVAIEGGGRSFQLYQSGIFTGRCGTDLDHGVNVVGYGSEGGVDYWIVRNSWGGSWGESGYVKMQRNIASPTGLCGIAMEPSYPTKTGPNPPNPGPTPPSPVKPPSVCDEYYTCPAAETCCCIFQFSNLCLEWGCCPLESATCCDDHYSCCPHDYPVCNVRAGTCSKSKNDIFGVKAMRRTAAAARPSWARRDVTVGKSSA >KGN52760 pep chromosome:ASM407v2:4:174553:174792:1 gene:Csa_4G000805 transcript:KGN52760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWKKIGMLAVVGMLVMAALVEDCHAAIESESVKGLNKNELPRKMMNEETRMCPRILEECTTDDDCMNDCICLSNGFCG >KGN52881 pep chromosome:ASM407v2:4:816979:819060:-1 gene:Csa_4G004910 transcript:KGN52881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRACSSKFRISISLFSSLLRTNRFAHQRSFTSFATEARAGSDPTLPFLVSEISRILSDRRNPHHDLEVGLSSFSSNVSTDLVEQVLKRCKNIAFSAHRFFLWAKRIPGFEPSDDSYHIVVDILGSSGQFAILWDFLIEIRETRSSVITHELFWHVFTAYSRADLPQDAIRAFNRMGEFGIRAGVDDLDKLLYTLCKRKHVAHAQQFFDKVKSVFNPSVKTYSILTRGWGVVGDSNNAQKVFDEMRERGCLIDVLAYNSLLEALCKGGKRDEAYKMFLEMDSNGVDPDADTYSIFIRSSCQENDLHTVYRVLERTKRKNLLPNVFTYNCVIKKLCKDQNVEEAYQILDEMIERGVTPDTWSYNAIQAYHCDHSEVNSALNLVKRMDRDKCVPDKHTYNMVLKLLVRVGRFDRANEVWESMGKRGFYPSVSTYAVMIHGFCKKKWKLEEACKYFEMMIDEGIPPYIATVELLRDRLLGIGFKDQVAILGDKMKRSTSCSIQELANLMSGGKRREAQSMLKNEETEHESD >KGN53252 pep chromosome:ASM407v2:4:3225455:3227827:-1 gene:Csa_4G038690 transcript:KGN53252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYMCADSGNLMAIAQQLINQKQQQDQQQHHLSPLSPFSILPWTNNINPSSTMASSSSSPNFSFGISSSSFSDPFHVAAPPDSSDPSFHFPNLDHPSAGFRFFPNFSGAGGEFESDEWMDSLVGGGDSTDSSTLPSGCEGYGEFGLYGADPFNGSPPSAVVLESSYKINSVPPPWPSCPPLVKEERVTNPPAESPLKNDVVEGSSSALEVESSSPVLKVLLDCARLCDSEPNRAAKTLNRISKSLREDGDPIERVGFYFGDALRKRLSSTPMKNCLDSTESDANSEDFLLSYKALNDACPYSKFAHLTANQAILEVTERASKIHIVDFGIVQGVQWAALLQALATRATGKPVRVRISGIPAPSLGDSPAASLYATGNRLSEFAKLLELNFEFQPILTPIENLKESSFSVQSDEVLAVNFMLQLYNLLDENPTGVHNALRLAKSLSPHIVTLGEYEASLNRNGFYNRFKNALKFYSAIFESLEPNLPRNSPERLQLERLLLGRRIAGVVGTVEDSRRERRVRMEDKEQWKNLMENTGFEPVALSHYAISQAKILLWNYNYSSLYTLIESAPEFLSLAWNDVPLLTVSSWR >KGN54590 pep chromosome:ASM407v2:4:14972215:14972487:-1 gene:Csa_4G372610 transcript:KGN54590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEGATRSINVSGGVTQARWSPSGHHVAAHATCKSGSMLAEAGELVALVEGLPFIELVGISKM >KGN53657 pep chromosome:ASM407v2:4:6363979:6366499:1 gene:Csa_4G097640 transcript:KGN53657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIDLSASPPLFSTLLTGNPYLRPRPALPLRIAISSAFTTSLHSRHRHFAVSLPRAAASDESNGSSSFFTEQRDSVTVLEDSPSASSLTLEDSPPEEIPSDVLAATEVPKQEPVEDVPVITLDDSSSAGKVEMVTSEEPKEQPLEGAQEQAFEFLNDLKLESVDTYSLALYGAGAFFGIWLVSAIVGAVDSIPLVPKLLEVVGLGYSVWFTARYLLFKESRDELAARIDELKEQVLGSD >KGN54975 pep chromosome:ASM407v2:4:19706553:19707458:1 gene:Csa_4G617910 transcript:KGN54975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNECAIEFVVPSFKNPIPRKVISTMFYDKKTNEWTIIITRKFREVSGFLVNTFSEIESSAINWLANQNLPPLEKNPQIERNEILKGLDEQPPSSGIFNKSQSNEIANALERNRVRFIWSIRQVPLDSVLPKGFVYRTSGMGKVMGWVVQMEILEHPATGGFVSHCGWNSVLESLWNGVAVATWPMYAEQQLNTFQMAVELGVGVEVSLDYSMVGSAEEELRAEKIDAGIRKLMEGSEEMKKGVMVKSEESKKATMEDGSSFNDLNRFIDHVFHKINTC >KGN54220 pep chromosome:ASM407v2:4:11693877:11695689:-1 gene:Csa_4G293300 transcript:KGN54220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPITIWRKPLTSSCTQLTKLKPDGNAAVGTPPLPFQATSFSGGQLDARQLYDKMPHLNVVSATTAIGRCARQHQHEEALSLFSAMLVLNLRPNEFTFGTVIQSPKALGDIHIGKQLHVCAIKTGLHSNVFVGSALLDLYVKVGVIEEAQRAFEDIKMPNVVSYTSLISGYLKIERIRDALRVFDEMPERNVVSWNSMIGGFSQKGHNEDAVHLFIDMLREGILPTQSTFPCAICAAANIASIGIGRSFHACAVKFFGKLDVFVSNSLISFYAKCGSMEDSLLVFNKLLDERNVVSWNAVLSGFAQNGRGKEAIDFYQRMILAGCKPNAVTFLSLLWACNHAGLVDEGYSYFNQARLDNPNLLKAEHYACMVDLLSRSGQFKRAEEFIHDLPFDPGIGFWKALLGGCQIHSNVELGELAAQRILALDPGDVSSYVMMSNAHSAAGKWHSVSILRREMKEKGLKRIPGCSWIEIRSKVHVFVTGDKNHHQKDEIYSALKFFVEHLKEREDFNFLSDS >KGN53356 pep chromosome:ASM407v2:4:3957026:3958790:1 gene:Csa_4G050130 transcript:KGN53356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDDNINQMGSTSSSSMMNNCEIIDWDGLFSSSCLSNNLEMVEKGICGSDQDDNNYYPMGDNNNNVVIGGVKEGDIIIGGGHNNNNNNNNCKYKGKMVMGKRSTIASPRIAFQTKSVEDVLDDGYRWRKYGQKAVKHSNHPRSYYRCTHHTCNVKKQIQRHSKDPTIVVTTYEGIHNHPSEKLMETLTPLLKQLQFLSGI >KGN54896 pep chromosome:ASM407v2:4:18917191:18917492:-1 gene:Csa_4G575870 transcript:KGN54896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDRTRNTNFGYQNGNQNKCRTSSSSDKELNADKDEMKPRYIMDEQYMCIRVHMYRDVEKHGSPP >KGN53028 pep chromosome:ASM407v2:4:1767622:1770862:1 gene:Csa_4G011750 transcript:KGN53028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCSKSTTGETVPCDFCNDQVAILYCRADSAKLCLFCDKHVHSANLLSRKHVRSQICDNCRSEPVSIRCSTDNLVLCQECDWDAHGSCSVSAAHDRTPIEGFTGCPSALELVSLWGFDLGDKKLEESEMLVQNWVCSQDLVMPIDSWASRASATAFNDLIVPNDNPFLFANLNCTDAASMFKKQSPSCGKHKQVIYKQLVELLKRDFEGGDDVEGDDTRDGDAGGEDVGLQSMVPETTNGDCYWQGDLEGRQISKEDDGVFVGAAPPPLLQQQASFTSLLTMPSHVGLKDNERSVDETGVWDSSPNRQSTQIWDFHLGRLRGHKDSNTFDDAYGTGDMGFTIKNFGEFLKETSPTSAKLLGETYQINCSSVHDDIPSFNNNVNNTTLSQGAVTCESINMPNDKLKGGSKSFQAIKQPIIIKGDSILSTSTTKADLELLAQNRGNAMQRYKEKRKTRRYDKYIRYESRKARADTRKRVKGRFVKANEAPVFG >KGN53093 pep chromosome:ASM407v2:4:2099173:2102395:-1 gene:Csa_4G015830 transcript:KGN53093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALCSHFLTPTDWHVTSLSSNLLSGSPLWLHSKVDFKCQRKENFRTHYTLTPCSSIKIVRSRSLDRHAVKHNKTRFVQKLIILLLSKPKHYIPLHILSKCRGYLSLPRPRSLLSMIHRYPSIFELFSIPYPPTPLNATKLYPQLCVRLTPAAASIAKQDSDLKMVISNKLAEKLQKLLMLSSHHRILLSKLVHLAPDLSLPPNFRSRLCNDYPEKFRTVDTSYGRALELVSWDPELAKPLPCIQVPSRELIVDRPLKFNLLRLRKGLNLKRTHQEFLIKFRDLPDVCPYKNPASELAKESLESEKRACAVVREVLGMMVEKRTLIDHLTHFRKDFGLPNKLRGMIVRHPELFYVSLKGQRDSVFLVEGFDDKGVLLEKDETLAIKNQWMTLLKEAKRLRREKKKAQIYDSKYGNDHENNNHDHEMENDYDDDYDDGFESLFQYEDLDFEDENSGVPSIWSNGDFWTTNNVDISNDADGGHIEPW >KGN54807 pep chromosome:ASM407v2:4:17660654:17663301:1 gene:Csa_4G507370 transcript:KGN54807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYLQNLRALSMLSSSIIADSKFNFVRVLYWRFGFPSVASNPRFYGNKKAPQTEEHKNSGGMLNMRSRNGRRISRATIKEAQAAMLEYLHSTRGIQFFDADIMSKNSPIFLKKLLGRVEHEGDIGRSIIRFLRYHPINEFEPFFESVGLQPAEYNAFLPRNLMFLSDDDLLLENFHVLFNYGVERNKTGKIYKEVTQIFRYEYGVLLSKLKAYEKLGLSQAKVANIVVCNPYLLIGGVNDRFVKVLEKLENIGFELSWVEEQLTDGNSYNWKQILGLLFWFEQMGCGKEKLADLISQRPDLLLEDSGSKSLTLIGLLLKMGCSMVQICSVFLQFPQIRVGEFVSNMRQCFLVFNEINMDVQEIGYLFRSRPLLLGLYTLKRAKSLLGSLNVGKQRLCQFLLENPEELKNLRIGKRVLRLPDSGEVMRSKQQKTQFLLKLGLEENSTEMKEALKVFRGKVAILQERFDCIVEAGIDKKDVYKMIKVCPRIINLRKDTIEEKIDFLVNNLEYPVSSLISFPKYLAFSTKLVALRFSMYNWLKEQGTADPMLALKTIVSCSEYEFLRHHVNRHPRGMEVWENLKREIYSDSMVSPAH >KGN53577 pep chromosome:ASM407v2:4:5624358:5624597:1 gene:Csa_4G083520 transcript:KGN53577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDTAIEKIGILKTPPVKPVELSLCSSALLEEIRRAKMKVDGKDIENPLSKGGVCPTVPSTKVTSMHALSQDFRATSMK >KGN54771 pep chromosome:ASM407v2:4:17079765:17084562:1 gene:Csa_4G486190 transcript:KGN54771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRICDLYGGGDIMDQPPTTPSSLRHLSLSLRHSPPLTVSLSPPADAITCRSPFAPLDSSDDNHLVALRHPLPPLASPNLKVEVVSASSVCFISLKMLPLSLLKTAQGHPMLVELKNGETYNGHLVNCDTWMNIHLREVICTSKDGDRFWRMPECYIRGNTIKYLRVPDEVIDKVQEETKSRADRKPLGVGRGRGRGREDGPGVRPAKGMGRGFDDGAKAASGGRGKGGPGGKPGANRVGGRGRG >KGN54015 pep chromosome:ASM407v2:4:10532306:10533853:1 gene:Csa_4G267990 transcript:KGN54015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDELIATRYWCHMCSQMVNPIVDVEIKCPFCRSGFVEEIGNGISSDNNDNNNNNNNNNELESDFGSERALSLWAPILLGMMGNPRGRRRFRHLEFDDDDDDNEHEDGEGNRVSSETTELDSVIRRRRSSATILQLLQGIRAGIATAESENSYEGERSRERERVILINPFNQTIVVQGGENQNQNQNSIGSLGDYFVGPGLDLLLQHIAENDPNRYGTPPAQKEAVDALPTVRVELEEDSCLQCSVCLDEFEVDEEAKEMPCKHKFHTGCILPWLELHSSCPVCRHQLPGDESKRDVDGGSAARFMSDLNNGNGNGSGEIEGRNSSESGRRFSFPWPFNGLFTSQGNSSAGSASDSQRDHTSI >KGN52898 pep chromosome:ASM407v2:4:900644:902513:-1 gene:Csa_4G006050 transcript:KGN52898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRRREVFWGRKWNSLDIGTAGVVVATHLLTLFAPFQFNWAAFWVAISLYIITGLFGITLSFHRNLSHRSFKLPKWLEYLFAYCGVQALQGNPIDWVSTHRYHHQFCDSERDPHSPIEGFWFSHMSWLFDTNSVVERCGEPNNVGDLEKQPFYKFIQTTYLLHPIALGALLYSMGGFPFIVWGMGVRIVWVYHITWLVNSACHVWGSQAWKTGDLSRNNWWVALLAFGEGWHNNHHAFEFSARHGLEWWQLDMTWYVVRLLQAIGLATDVKIPTQLQKEKLAIINTT >KGN53400 pep chromosome:ASM407v2:4:4278814:4279091:-1 gene:Csa_4G051520 transcript:KGN53400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFKTGAEVEPYDRAPSAFFIASFRSIGDLIEPSHGIIWRLQLVTNYSRSSMAFDFTSLSFSSKQSSMFLQRH >KGN53636 pep chromosome:ASM407v2:4:6131081:6136513:-1 gene:Csa_4G093000 transcript:KGN53636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRHLLTLARTSHRRSPALFSQAVRSASTSPAVASSSPPPSPPPPNAMIYDRLAEAVKSKLKQLENPDPRFLKYGSPHPTITDHTRILSAPETRVTTLSNGLRVATESNLTARTATVGVWIDAGSRFETEETNGTAHFLEHMIFKGTEKRSARQLEEEIENMGGHLNAYTSREQTTYYAKVLDKDVPKALDILADILQNSKFDEHRISRERDVILREMEEVEGQTEEVIFDHLHATAFQYTPLGRTILGPAQNIRTITKDHLQSYIQTHYTAPRMVIAASGAVKHEDFVEQVKKLFTQLSAEPTTATQLVAKEPAIFTGSEVRIVDDDIPLAQFAIAFNGASWTDPDSIALMVMQAMLGSWNKSAGGGKHMGSELAQRVAINEVAESMMAFNTNYKDTGLFGVYAVAKPDCLDDLAYAIMYETTKLAYRVSEADVTRARNQLKSSLLLHIDGTSPVAEDIGRQLLTYGRRIPFAELFARIDAVDASTIKRVANRFIYDRDIAIAALGPIQGLPDYNWFRRRTYWNRY >KGN53505 pep chromosome:ASM407v2:4:4999899:5003229:1 gene:Csa_4G062410 transcript:KGN53505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSSLQWRTAAEVVSLVKKVRNVPNPTIDLPKNAVAEVSHKVPLNADFLGTYIAPYKGSRALSQISGSEASSDKNVSIYENTTAQSEGDGSCFKCGKLGHWARDCDAPGGGGSFSSSGNDMSVPDKACPCGSGICSVLTANTERNRGRKFYKCPVRQENGGCGFFEWCDSASVANVVSYGSQNPLSSSFSDLQCPCGAGSCKILTAKTGNNVGKQFYCCPSSQASCRFFRWCEEPSMETKNQESTPKVYGNTNDTSKSATLNVKTSSSSSSYKYGSEGHWARDWSQSPSSSTSPAEYGRSQSSSAGSCFKCGMPGHWARDCSNFEK >KGN54489 pep chromosome:ASM407v2:4:13952384:13961162:-1 gene:Csa_4G338930 transcript:KGN54489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISKGVASTLIFFYSLSSFCRLSFLSFSVFFFSLHFFLFFSLIFSFSFLFSSFLLSFLFLYLSISLYGKFLKEKRETGGDVIVRRRGDDQQVIKIYVFATSSFALWPSSLRTLAPHSCQFGTYSNTNQQVDLRRFDREKFLYFGFLISIVVTFIALLGVSVNKQQGKGYNNLTRMSNMRCQHCAGPLSKDMETSAWTIPPLIRDSFSMISSAVGGIASAFYGFNNVMPVVQRSVKGPMWLHFLIGAPPVIIFSSACAGMTGGAVPALAQLVSSSYHSLTSSSEDDKAQDSRSSSSL >KGN55258 pep chromosome:ASM407v2:4:21506152:21508382:1 gene:Csa_4G642390 transcript:KGN55258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSPFLLHISLLLLFFFSINNASSTSEMAPNHLHVESNEYLFATPSNRKMKLQDREQSNPTRKNVRVGDVNLYDYHPIDPVPSSKRSIKHGPIEHGSPLIPHMPSPSPPDQPQPGGFV >KGN55274 pep chromosome:ASM407v2:4:21604206:21604882:-1 gene:Csa_4G642550 transcript:KGN55274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALHESVSPNSTPKKSRNGHKTHNKDKKNPYSDRGLDKFSALLTDLDEKRKKIYSQTDPEEIVMVRFAYKNSDECVPVVVKQKDKKEEKNTSDDSETVAIKKPKQSKESTANKNNNNLVRARNLRRLNKPSYYIAAVVILILFLLSIFGRSVTILCTCIAWYLVPVLKQSLSKSRRKGKVRISIPNKMEKQPEASSVLSSSSSPIQAHRKTG >KGN54643 pep chromosome:ASM407v2:4:15532911:15539290:1 gene:Csa_4G415910 transcript:KGN54643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDAFCSDCKRQTEVVFDHSAGDTVCSECGLVLESHSIDETSEWRTFANESGDNDPVRVGGPTNPLLADGGLSTVIAKPNGTTGEFLSSSLGRWQNRGSNPDRGLILAFKTIATMSDRLGLVATIKDRANEIYKRVEDQKSSRGRNQDALLAACLYIACRQEDKPRTVKEICSVANGATKKEIGRAKEYIVKQLGLETGQSVEMGTIHAGDFMRRFCSNLGMNNQAVKAAQEAVQKSEEFDIRRSPISIAAAVIYIITQLSDDKKPLKDISVATGVAEGTIRNSYKDLYPHVSKILPGWYAKEEDLKNLCSP >KGN55044 pep chromosome:ASM407v2:4:20166573:20167642:1 gene:Csa_4G624990 transcript:KGN55044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLALRFREVRLWMTLLCKYLCGFSIVKGVLSDGTSIAVKQLSSKSRQGNREFITEVGMISGLQHPNLVKLYGCCIEGKQLLLIYEYLLNNNLARALFSPEKHSLNLDWPIRMKICVGIAKGLAYLHEESRLKIVHRDIKATNVLLDENLNAKISDFGLAKLHEEENTHISTRIAGTVLVFYKRKGTYLNLLIQILALITQKRRS >KGN55394 pep chromosome:ASM407v2:4:22314900:22319133:1 gene:Csa_4G649640 transcript:KGN55394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANEQHLLSTEIVNRGIESSGPNAGSLTFSVRVRRRLPDFLNSVNLKYVKLGYHYLINHAIYLATVPVLVLVFSAEVGSLSREELWRKLWEDARYDLATVLSFFALFVFTLSVYFMSRPRSIYLIDFSCFRPSDEFKVSKEEFIELARKSGKFDEGSLEFQKRILQSAGIGDETYIPKSVIASADNCATMKEGRAEASAVMFGALDELFEKTRIRPKDVGVLVVNCSIFNPTPSLSAMIINHYKMRGNILSYNLGGMGCSAGIIAIDLARDMLQSNPNNYAVVVSTEVVGYNWYQGRDRSMLIPNCFFRMGCSAVILSNRRRDYHRAKYRLEHVVRTHKGADDRSFRCVYQEEDEQGFKGLKVSKDLMEIGGEALKTNITTLGPLVLPFSEQLLFFATLVWRQFFSSGSGVMNPKKPYIPDYKQAFEHFCVHAASKGVLNELQRNLELSESNMEASRMTLHRFGNTSSSSIWYELAYLETKDRVKSGDRIWQLAFGSGFKCNSLVWRSMRRNRKPARSPWLDCIDRYPVQF >KGN52800 pep chromosome:ASM407v2:4:355868:362100:-1 gene:Csa_4G001670 transcript:KGN52800 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplast protein import component Toc159 MDSKDLAQQPSQQNSVFSGSSSTSSSSFTSSTVDSHVDTPSLDEPEMGVAKIKTSVVADEGGSDGDGAGSETEGFLSGEEEFESALDRPIVGYAEEESLGKSAQGDDTGTSFVGYSQLSAPVSGRPIAKVSVDSDVEEEDEEEDDLQVDENLRGKEEIEDKVGGEDVVESKKGREVEVPVEKEEIVASGGSGNSGDVVNEGNDDDDASQVQERTIELSGNSKEGNVPESLVTEDVRSVPEESVDGGKQVAEGDELNDVTVKQPQNEASDGEKEAELDKETLAGGKQAGKGIDLSEKVVAEDVEQLKEQETPGSSSDDKADLGDQASSKLVELADEKQEETLVAEKQVDVEVKLNDTVAAADDGEQLKNLETDSPVDNKIVLADDENSGVLEPADGGQEAEMAKGSPVAEMQADGEIRLKGKVDAEDGELLTKLEPVSFADNKTDEFTASALDDKTLHESSQVSATDVLGNPEEIKDLENKETANLAHGATKLDNGFDSVGHEVNQPVDSDSVVLNSEVDNSMPGANIAVGTEETEPHGNRAIAASDIAKSENLAVTDVEDQQLDGVGASTVNEERETVNLADSPSKAGNEKDSKDDSKIREDVPGDVESEPSQEDRGLIKESIPDNASVKDSGISDAPKLLEPVLSEVDGEKHPLDEEGDIEGSGTDGETEAEIFGSSEAAREFLQELERASGAGSHSGAESSIDHSQRIDGQIVTDSDEADTEDEGDGKELFDSAALAALLKAARDAGSDGGPITVTTQDGSRLFSIERPAGLGSSLISGKNASRPSRPLTFASSNPRVGDDAENKLSEEEKTKLQKLQKIRVNFLRLVQRLGVSPDDSLVAQVLYRFGLVAGRSTGQLFSFDNAKNTAIQLEAEGKEDLDFSLNILVLGKSGVGKSATINSIFGENKTPINAFGPGTTTVKEIIGTVEGVKIRVFDSPGLRSSSSERRINNRILSSIKNVMKKFPPDIVLYVDRLDNQTRDLNDLLLLRSVSSSLGSSIWKNAIITLTHAASAPPDGPSGSPLGYEVFVAQRSHVLQQTVAQAVGDLRILNPTLMNPVSLVENHPSCRKNRDGQKVLPNGQTWRPQLLLLCFSIKILAEVGNLSKAPETFDHRKIFGLRGRSPPLPYLLSGLLQSRTHPKLASDQSGDNGDSDIDLADMSDSDQEEEEDEYDQLPPFKPLRKSQISKLSKEQRKAYFEEYDYRVKLLQKKQWKEELKRMRDIKKKGQPTVNDYGYMGEDDQENSSPAAVQVPLPDMALPPSFDGDNPAYRFRFLEPTSQFLARPVLDTHGWDHDCGYDGVNLEHSMAIVNRFPAAVAVQITKDKKEFNIHLDSSVSAKHGENGSTMAGFDIQNIGRQLAYILRGETKFKNFRKNKTAAGVSVTFLGENVCPGLKLEDQITLGKRVVLVGSTGTVRSQNDSAFGANLEIRLREADFPIGQDQSSLGLSLVKWRGDTALGANFQSHFSVGRSYKMALPGLFTTSYVLELLKIIQPIRNGRTIGGFSKRSYSFIQLMSFYVFYNEASYSE >KGN54578 pep chromosome:ASM407v2:4:14883896:14888005:1 gene:Csa_4G370560 transcript:KGN54578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKSNGPQREAQISDAVMNFYQSGGPSPPGNRFSWISIGVPSSSKPSSYSVLSSLRRASLLSIPSLCFSMAKEVYTREHLLSLLQDLQVDFSRYEHPAVLTVEAQAKYVGNLGGGLSKNLFLKDKKNRYYIVSALADTKVDLKVLSVRLGLGKGGLRMAPEEALGEKLKVSLGCVTPFALINKTARDVALLLDQGFKGQEFCFFHPLSNETSISINTSNLDKFLQSIGRDPSYVDLEANPSVGKDQPPDLAGLVPSVSSLVPDTPEKVSSHPDSNENHGVTDKKSKAVAANTVKPSTTVKVGKDKPTPKVQPTYANVEKFVEEILDKTSAIVLSQLTEETVNKHGEHLGAAVSNSIKRHLSSELNNLSMIFKNTAYTEGFHAGSSHKVKHPC >KGN52777 pep chromosome:ASM407v2:4:247797:250274:1 gene:Csa_4G000960 transcript:KGN52777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGIRAGKGSSVDVIRCFQKQRAFTQSQEHPVGRSLFLLLVSNLRITQKFQSKPIKQFTQNHLNFTILHSQDGAISFQFFFYLFCWWRIPEGFVSNSAIAGIAALKMLPRKDTSRIDTSELKAMIYRKLGHQRSDKYFDQLKKLLSLKTNKREFDKFCIQIIGREIIPLHNRLIRAILQNACVAKTPPVLSSTRKVGGNLSVKVVNGYQRSCLQSLHGDAFLSSPRKGRSPVSRDRKIRDRPSPLGPCGKPQNMALEEFASKAQEQQSATELHSLGSRPPVEMASVEDGEEVEQVAGSPGVQSRSPVTAPLGISMNFIGSGKTLSNVPVGSNYHVTTCQDVGELPDTRLLRTHLRKKLETEQIDISVDGVNLLNNALDVYLKRLIEPCLNFSRSRCERLKFTGNQPITGSRITFQEQHRHRAQQLNNGSLLDFRVAMQLNPQVLGREWTMQLEKISLRASEE >KGN55547 pep chromosome:ASM407v2:4:23183341:23184982:-1 gene:Csa_4G664540 transcript:KGN55547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHNRPTISPTLLLSNMYQRPDMITPGVDAQGQPIDPRKIQEHFEDFYEDIYEELGKFGEIESLNVCDNLADHMIGNVYVQFREEDQAAAALQALQGRFYSGRPIIADFSPVTDFREATCRQYEENNCNRGGYCNFMHVKMIGKDLRRKLFGRYRGYRASRSRSRSLSPRNRKEHDRRERDYRDRDYRGNGRSKERHDRDGGRRRQGSPRRSRSRSPVIVREGSEERRARIEQWNREREEKQ >KGN53875 pep chromosome:ASM407v2:4:8924945:8931772:-1 gene:Csa_4G179110 transcript:KGN53875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLGLCPNNSSSPLFSFFSNSHLRLPVPRLSNRCCLSGAKGSVFTAQLGYKSDSQSEFQPKDLMAGEKEISGIYRNPYPPIEPYSTGFLKVSDLHTIYWEQSGNPTGHPVVFLHGGPGGGTAPGNRRFFDPDFYRIILFDQRGAGKSTPHACLEDNTTWNLIDDIEKLREHLEIPEWQVFGGSWGSTLALAYSQSHPEKVTGLVLRGIFLLRKKEIDWFYEGGAAAIYPDAWESFRDLIPESERGCFVDAYSKRLNSKDMETQYAAARAWTKWEMMTAHLLPNEENIKRGEDDNFSLAFARIENHYFVNKGFFPSDSFLLDNIDKIRHINAVIVQGRYDVCCPMMSAWDLHKVWPEAELKIISDAGHSANEPGIAAELVAANEKLKNILQKNGP >KGN53340 pep chromosome:ASM407v2:4:3845502:3848063:-1 gene:Csa_4G048010 transcript:KGN53340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKKSNTNRGSSNPGFGPQNSITLRQEATGKIKPKVSNNAKVYLNHLENLATWASGQPSLPSLAAFFGQRLAAAAESLAVSPDPSLFLCARCETILQPGSNCNIRIEKNTAKKRRRHKKGSNLTQNVVAYYCHYCSCRNIKRGTPKGHMKVLYGTECVSKVKSVVVKDGKECENKIFTMDTPKIPPLTTVDCLTIDTPAIPSLSTTRDDLTIDTSAISPTGDISVVDGPAISSPRTTPAISSTLSVTSISRSQVRDIPTLDAPATPLTLTGMTLLDSKRRKRKKPSSKNQTEPESCSGPTSHGETSEGTSKRKRNRKSWTSLKEIAQREEERGKQNVAGLAIPFSLLET >KGN54192 pep chromosome:ASM407v2:4:11536844:11541004:-1 gene:Csa_4G293020 transcript:KGN54192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTLSASLTSNSALPQRKSSQSSRVFYIPRCRNLLFNYSFSHCSNIVRLSLRRTGVVVSAISGSPGIGSYVESGNTADLLESVKVFDLNGNGIPISDLWKDRKAVVAFARHFGCVFCRKRADYLASKKDLLDASGVALVLIGPGSIDQAKAFSEQTKFQGEVYADPAHSSYEALNFVSGFTTTFTPKAGLKIIELYMEGYRQDWNLSFQKDTVTRGGWQQGGIIVAGPGKNNISYIHKDKEAGDDPDIQEILKACCS >KGN54024 pep chromosome:ASM407v2:4:10595463:10599325:-1 gene:Csa_4G268080 transcript:KGN54024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDKKPSVSPVWPTVKPFVNGGASGMLATCVIQPIDMVKVRIQLGQGSAGHVTRTMLKEEGFGAFYKGLSAGLLRQATYTTARLGSFKILTNKAIEANEGKPLPLYQKALCGLTAGAIGASVGSPADLALIRMQADATLPAAQRRNYKNAFHALYRILADEGVLALWKGAGPTIVRAMGLNMGMLASYDQSVEFFKDNLGFGEAATVLGASMVSGFFASACSLPFDYVKTQIQKMQPDAEGKFPHSGSLDCAMKTLKAGGPLKFYTGFPVYCVRIAPHVMMTWIFLNQIQKVEKSYGL >KGN55410 pep chromosome:ASM407v2:4:22444935:22447400:1 gene:Csa_4G651770 transcript:KGN55410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLRPFLSLSPVSPAPNPTRPTNFHLLHSPLFSSSFKLVATKTRFAVRTAAVAGAQIADPVDDNQVVLLGPTTSEKPDDEATAEYNWTEEWYPLYLTKDVPEDAPLGLTVFDKQLVLYRDGAGELHCHEDRCPHRLAKLSEGQLIDGRLECLYHGWQFEGEGKCVKIPQLPSDAKIPRSACLRTYEVRDSQGVVWVWMSLEKPSTLKSIPWFENFDRPGFRDFSSIHELPYDHSILLENLMDPAHIPISHDRTSYPAKREDAQPLDFEVTERTNRGFAGRWGNAKDQPLSNFLRFEAPCVLQNNRETPNKKGGIDYFTGLFLCRPTGQGKSMVIVRFGSTASTVRLNLFPAWFLHQNACRVFEQDMGFLSSQNEVLMKEKVPTKELYLNLRSSDTWVLEYRRWMDKVGHGMPYYFGHSTISLPKLPAVIEHAPAGIVAGTAASPPAKGGIGTMHAPNLSNRYFRHIIHCRSCSNVIKSFQTWNKALSAIAITLIALAILVNGRQWKALSLLSAALFFGGAYACSAALALNTDNFIRTHRRL >KGN53135 pep chromosome:ASM407v2:4:2365445:2366142:1 gene:Csa_4G022220 transcript:KGN53135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNRVSMILYVLFFIIMLSISSNNNYNASARKFLDVNFPLLDDAFDLQMGASSLFYKLEGELQKRFEDLLKTTTDNDIPRGGNY >KGN54542 pep chromosome:ASM407v2:4:14553641:14555602:-1 gene:Csa_4G361270 transcript:KGN54542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPVIDFSKLNGQERAKTLAQIANGCEEWGFFQLVNHGIPEELLERVKKVSSECYKVEREESFKSSKPVKLLNDLLENKSGEKLENLDWEDVFLLHDDNEWPSNLPGFKETMREYRSELRKLAEKVMAVMDENLGLPEGYIKAAFNGGEGLEKAFFGTKISHYPPCPHPELVNGLRAHTDAGGVILLFQDDQVGGLQILKDGQWIDVQPFPNSIVINTGDQIEVLSNGRYKSVWHRVLASPNGNRRSIASFYNPSMEATIAPAAQLVDKANQEVEEGYPKFVFGDYMSVYAEQKFLPKEPRFQAVRAM >KGN53459 pep chromosome:ASM407v2:4:4687559:4691107:1 gene:Csa_4G056500 transcript:KGN53459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEKFGLWLFFVASVQFFLKAQCINVGITYVQDAVIKGAVCLDGSPPAYHFSDGFGSGINNWLIQLEGGGWCNNVTTCLQRRDTRLGSSKKMVTQLAFSGILSNKPQFNPDFYNWNRIKVRYCDGSSFTGDVEAVDSATNLHFRGARVFRAIIDNLLAKGMKNAKNAILSGCSAGGLSSILHCDNFKSLLPPTTKVKCLSDAGYFINAKDVSGAQHIEGFYSDVVTTHGSAKNLPISCTSKMKPEMCFFPQNVVQLIQTPIFLVNAAYDSWQIKNILAPGVADPHGTWHECKLDIKNCSPIQLRTMQDFRVQFLSALNGVGSSLSRGLFIDSCYAHCQTEMQETWLRTDSPVLSKTTIAKAVGDWFFDRAPFQKIDCPYPCNPTCHNRIFEAKDHLE >KGN54296 pep chromosome:ASM407v2:4:12197802:12198029:-1 gene:Csa_4G297460 transcript:KGN54296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFPTPLIIVIVVSVSIFIVSTVMTCLSSKSTGGAEGPRPDIEANYGGGGAGPGDGGGCGGDGGGGGGGGCGGGD >KGN55276 pep chromosome:ASM407v2:4:21607189:21611866:-1 gene:Csa_4G642570 transcript:KGN55276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFGQVVIGPPGSGKTTYCNGMSQFLQLIGRKVAVINLDPANDSLPYECAVNIEDLIKLSDVMMEHSLGPNGGLVYCMDYLENNIDWLQARLAPLLKDHYLLFDFPGQVELFSLHSNAKNVIMKLIKNLNLRLTAVHLVDAHLCSDPGKYVSALLLSLSTMLHLELPHVNVLSKIDLIENYGRLAFNLDFYTDVEDLSYLQHHLDQDPRSAKYRKLTKELCGVIEDFGLVNFTTLDIQDKESVGNLVKLLDKTNGYIFAGMEASAVEFSKIAVGATDWDYYRVAAVQEKYMDDETLNFND >KGN52862 pep chromosome:ASM407v2:4:713464:714664:-1 gene:Csa_4G003750 transcript:KGN52862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELINNSIHNVFLLLAFSSVFSFAVAVCVPRNTSDIGSTAIPPLFEQTVSPQVAPQVAPQSPPAVTVSEEPEAASPETSPSQPSSASESEEAESEEAASETEEDDLGLLGGGLDQLHSADLISSVTSSVTTSLVDICDVTSNPQLCKTSISSHIEGTKVDPASALKTEIDESIKEVAKAIATLNSLRKDSAASETEIACYDTCLENFEMAIDDLKAGVESINARDAGRMESVLTAVMTDLTTCDDTFAEMGVDSPLDSLSTKMSKYASNCLAISKLLL >KGN53676 pep chromosome:ASM407v2:4:6595043:6596350:1 gene:Csa_4G101270 transcript:KGN53676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLTQPHLQLSDSEDAQTTAILIPELDKELKISNSESKTTTPHEKTEEKQRIFVSEKVSKVPKSPRELIVQCETPTPNEKTEEKERILVPKNGSMDRSKVPKSPAKVNLECKTPIQRVKMGGIELPKNGTPNRLKLPVAFKYPERYKSPTDMMISPISKGLLARTRKGAVPSKMHELRNSEMSLLSQS >KGN52837 pep chromosome:ASM407v2:4:594421:595080:1 gene:Csa_4G002540 transcript:KGN52837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESIFVLPRRAYESEKVCRGNKASRERIKRQKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKISL >KGN54115 pep chromosome:ASM407v2:4:11073969:11074271:1 gene:Csa_4G286395 transcript:KGN54115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFNFSDVLTWKLSFIPRFGAVKCDVLFSFILWNWTSLNLKNVTNTFRRVHTIKEIFSQKQQWAFVIISSWNCTCLWRENSNRLNLTRILIDCFIVRPQF >KGN54833 pep chromosome:ASM407v2:4:18021898:18022113:-1 gene:Csa_4G525550 transcript:KGN54833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLNRDWQVTSFFLKIREVSPYIDDTSIHEYYPDKFQQTWICGEISGRLRGYIADITWIFHGYSATFPTF >KGN54154 pep chromosome:ASM407v2:4:11263373:11265972:-1 gene:Csa_4G290210 transcript:KGN54154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATSATKMFHNAGDVSRQEIQAAIAKAVELRALHAALMQGSSPSNLRFPSPSPVSQFSAQDYPVFTPSYEDEPTNGYHQVPMRIGTFSESWDEYGLGGGGGGGNDDETVLSDYKKEISKGLVSDFTNLEPHICPAEDHKPLTGFSFQTSPANDYFKSSRRNSLGDSKSVSSCNRCRPAIITKETDNTAKNSRLSNTIVPLTDSHLSTQSQPKSRGVISRLFPRLKKKNKYENSPNRTESEDVSQIFKDLGIVSIETLKKELINANETRDAALMEVSEMKTSLGELRQKLEGLENYCEELKRALKQATNARDLQTATNLHKRITSTSGMNEENRMPVSEEVMVEGFLQIVSEARLSVKQFCKTLVSQIEETDNTLMENLNLILQPYKLSLNSKYSRAVLYHLEAIINQALYQDFENCVFQKNGSPKLLDPHQDRQAQFSSFVALRNLSWNEVLRKGTKYYSEEFSKFCDQKMSCIITTLNWTRPWPEQLLQAFFVAGKCIWLLHLLAFSFDPPLKILRVEENRSFDSSYMDDVFAERQKNGPSRVKIMVMPGFYVQDKILRCKVVCRYKSLA >KGN54218 pep chromosome:ASM407v2:4:11684602:11685972:-1 gene:Csa_4G293280 transcript:KGN54218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLPTLHHRSYPSHQLLSFTHRFPSLSKPISSLSFRSLPPSRLRSSVRSLRVKASSSSSTSDDTSPLNVGLLSGFLKTACISAAVAAFFFLRFDHRRAVAVAVQVAPETTESTDESTEYEENESVVEEKRADDSNDVEALRSLVEENVKSGKLPEAIEALNRLIELEPNDPELPLLKANFYSYMGELELAKNEFEDILARDPFVVEAYHGLATVAEQLNDNSLKDVAKRIEEAMKKCKNQKDKSDIRDFKLLVAQIKVMEGSYHEALKAYKELVREEPRDFRPYLCQGIIYTLLSKSEEAEKYFEKFRRLVPKNHPYKEYLDENMFATKLIAQKLEKERAGSKR >KGN54058 pep chromosome:ASM407v2:4:10772136:10776891:-1 gene:Csa_4G279860 transcript:KGN54058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDPELMRLAQEQMSRMSPADFAKIQQQMMANPELMKMASESMKNMRPDDFKYAAEQLKHTRPEDMAKIGEKMANASPEEIATMRTRVDAQANYELNAAEMLKAQGNKLHSQGKFNDASEKYLLAKNNLKGISSSKGRTLLLACSLNLMSCYLKTKQYHDCIREGSEVLAYDSRNAKALYRRGQAYKELCQFQDAVSDLSKAHEVSPDDETIADVLSDAKKKLNEQGGGNVPKGVVIEEIVEEDNPISTNSSVSKPPEVIDNSKIADAYKKSINSESLQGLRDDPEAIRSFQRFVSNADPDTLAAMNFGKSGGISPDMVATASNMISKMSPSELQDMLKLASSFQEANPLKGDSLGPNLDSANMTPEMLSSASRIMSSMPPEDLQRMFETASSLKRNESASGNGNVPPDSDTGSKSFESQQSSISGSRTTRNTSSSDALSNLRSSSSNSTIPTSSTDMQEQMRSQMKNPAMQQMFTSMIKNMSPEMMANMSEQFGLKLSPEDAAKAQEAISSFSPEDLDKMMRWADKIQRGVEGGKKAKNWLLGRPGMILAICMLILAVILHWFGIIGG >KGN54897 pep chromosome:ASM407v2:4:18936668:18937047:-1 gene:Csa_4G576870 transcript:KGN54897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSRIICFALIFAVALLLASQDVSAAQRCQDVLYNTGCNLNDCGKKCWEKHHTVSHQCIPTNPSQTIYACYCFFDCGGQKN >KGN55420 pep chromosome:ASM407v2:4:22500347:22507543:1 gene:Csa_4G651860 transcript:KGN55420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIYLSNPKTEKSSEDGENRRLRYGLSSMQGWRATMEDAHAAVPDLDASTSFFGVYDGHGGKVVAKFCAKYLHQQVKKNETCAAGDIGASVQRAFFRMDEMMRGQRGWRELAVLGDRLNKFTGMIEGFIWSPKSSDANDIADDWAFEEGPHSDFSGPTSGCTACVAIIRNSKLVVANAGDSRCVLSRKGQAHSLSRDHKPDLEAEKDRILKAGGFIHAGRVNGSLNLARAIGDMEFKQNKYLTAEKQIITANPDVTTVELCDDDEFIVIACDGIWDCMSNQQLVDFIHEQLKSESKLSVVVERVLDTCLAPSTAIGEGCDNMTMILVQFKKDIQSNSSLGDGTSPVAARAGPDSTESVQKDEFS >KGN54435 pep chromosome:ASM407v2:4:13288808:13293518:1 gene:Csa_4G329570 transcript:KGN54435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRSLVPTRRCISTSTSKPLILGWFDHVKPAPKDPIIGVTEAFLADPSPNKINLGVGAYRDDEGKPVVLQCVRDAESKITGSEFLESISAAVSSRFVEESVELIYGKNSDVMKERRFAGLQALSGTGACRLFAEFQRHFHHDVPIFLPDPTWSNHHNIWRDAQVPVRTYRYYHHDSKGLNFGAFMDDIKNAPEGSFFLLHPCAHNPTGIDPTDEQWREISNQLMVKHHFPFFDMAYQGFASGDLEKDAKAIRIFLEDGHIVGCAQSFAKNMGLYGHRVGCLSVLAKDQKQAMIVRSQLQRLARAMYSSPPIHGVLLVSTILSDPLLKAEWIEELKVMVDRIRSMRASLFDHLEKLSSPLNWDHIVKQVGMFCYSGLNCEQIDQLARDFHIYMTKNGRMSMAGVTTGNVSYLAAAMHQVSCH >KGN53917 pep chromosome:ASM407v2:4:9314088:9316506:-1 gene:Csa_4G188795 transcript:KGN53917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAILKRYFGFSALRPYQKEVIQSILRGKDCLVVTGTGSGKSLCYQVPPLVVGKTGIVVSPLVSLMQDQVMALKQRGIKSEYLGSTQADSTVQANAESGQYSILFMTPEKACSLPMSFWSKLKKAGICLFAVDEAHCISDWGHNFRAEYELLDNFRDILPGLPFVALTATASEK >KGN54556 pep chromosome:ASM407v2:4:14695149:14701052:1 gene:Csa_4G361900 transcript:KGN54556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGGSKVDELQLVTLCRERKELIKAASRHRYALAAAHVTYFQSLKDIGEAIRKFVDEEIVISGAESSSSHGSPVLTLPSDEGKGKRKKPKSGEKHINSSSSSSVLHSVSISHEHSPHEDEIDGSHLHLSSGSESEYEHNSSGHIHIEDSPVHDEGYSHPPYAYPPKDIPAQDEGYSHLPYAYPPRDVPIQDEGYSHPPYAYPPRDIPVRDEGYSYPPFAFPPRDIPLQAEGYSHPPHAYPPRDWSSTNTYAYFMQKSTTPATTVMYNGPETHTASDGQWPGPSYSYPPYAQYGNGGFYGFSMGSPPDYNMHNQQPKRPATPPPPPSPPKVSAWDFMNVFDGYDNGYQEYNSANRYGYGSIQSSPDSNEVREREGIPQLEDETEPEALKEIKERKKLKVEGINKNLNSGEGTSKFVPPESGEDISKSVPLPNSVTSTVLKEKGINNSPDTIVSKKSEHEEPMEKKEVSFEIEETSTLDIESSKKSNLATFAAFGTRDLQEVVSEIKNEFEAASSCGKEVAMLLEVGRLPYRSKITVLKVILSRIQYLVAPSSVSSQPPLIRLDPKTVKMAKAYAGSSSPGNDFDMKSGSLSSTLEKLYVWEKKLYKEVKDEERLRVIYEKLCKKLKRLDEHGADSTKIDATHASIRKLSTKIDVCIKAADAISSRIHKLRDEELQPQLTDLIHGWIKMWKSILKCHQKQFQAVMESKIRSLKARTGSRRDESLKATVDLEMELVNWCSRFNNWIRTQKAYVESLNGWLLRCLNNEPEETADGVAPFSPGRMGAPPIFIICNDWHQAMVEISEDKVVGAIHGFALNLHELWERQDEEQRQRIKANFLYKDFEEHLRTLKMEKAMIKPDQDEASVRTTLSKVPSENRVSPPDDLKANLDSLRKKLYDERAKHKDAIKLVHNAASNSIQAGLVPIFEALEKFSSEVMKAHEQVRLKNSEDANT >KGN53159 pep chromosome:ASM407v2:4:2510977:2514021:1 gene:Csa_4G022950 transcript:KGN53159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERFGLDSKDRLRASALLLILLFLVTERVAGESSLYPLVVSTWPFLEAVERAWSAANNGNSAVDSVVEGCSACEELRCDGTVGPGGSPDENGETTIDAMVMDGVTMEVGAVAAMRYIKDGIKAARLVMRHTEHTLLVGEKASAFSISMGLPGPTDLSSPESIEKWNKWKENNCQPNFRKNVVPVNSCGPYHSNGLLLVAETTCLGDGPRRAVELRSNHFGRHSHDTISMAVIDKFGHIAVGTSTNGATFKIPGRVGDGPIAGSSAYADSDIGACGATGDGDIMMRFLPCYQVVESMRLGMTPKDAAKDAIARIARKFPDFVGAIFAVDKNGTHAGACHGWKFQYSVRSPEMHSAEVFTVLP >KGN53602 pep chromosome:ASM407v2:4:5786859:5790720:-1 gene:Csa_4G088750 transcript:KGN53602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQSVGETDGTDFPSDLPYLSGFNNHFSSEAIPGALPQSQNSPLICPFGLYAEQISGTSFTSPRKANLCSWLYRIKPSVTHEPFRQRLPKNEKLISEFNASNCSSTPTQLRWKPADFPDSPVDFVDGLYTVCGAGSSFLRHGFAIHMYTANKSMENCAFCNADGDFLIVPQSGKLWIITECGRLEVSPGEVVVLPQGFRFVVYLPDGPSRGYVAEIFGSHFQLPDLGPIGANGLAAPRDFLAPVAWFENSPRPGYTIIQKFGGELFTAIQDFSPFNVVAWHGNYVPYKYDLCKFCPYNTVLFDHSDPSINTVLTAPTDKPGVALLDFVIFPPRWLVAEHTFRPPYYHRNCMSEFMGLIYGGYEAKADGFVPGGASLHSCMTPHGPDTKTYEATIARGNDAGPHKISGTMAFMFESSLIPRVCSWALESPFIDHDYYQCWIGLKSHFKNEAIGDTDPQKVRIESENGRQIG >KGN53740 pep chromosome:ASM407v2:4:7313098:7313543:-1 gene:Csa_4G114210 transcript:KGN53740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSPGSGAVDYAVGSIVWVRRRNGSWWPGKILGSDDLSSSHLTSPRSGTPVKLLGREDASV >KGN53424 pep chromosome:ASM407v2:4:4474711:4478842:1 gene:Csa_4G052740 transcript:KGN53424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRGGGSLLPSSSTSIQGWCGDELYTELWKVSAGPLVEIPRINEKVLYFPQGHMEQLEASTNQELNQKLPLFNLPPKILCQVVDTRLLAEQDSDEVYAQITLMPEANQALPSTFEPPLIECRKTKVHSFCKVLTASDTSTHGGFSVLRKHATECLPPLDMTQQTPTQELVAKDLHGYEWRFKHIFRGQPRRHLLTTGWSTFVTSKRLVAGDSFVFLRGENGELRVGVRRLARQQSSMPSSVISSHSMHLGVLATASHAVSTQTRFVVYYKPRASQFIVSLSKYMEAMNNKFMVGMRFKMRFEGEESPERRFSGTIVGVDDMSPHWPNSEWRSLRVQWDELASIQRPDRVSPWEIEPFVAPTPSIPHSISVKNKRPRPPLEIPDSDNSTVTTLRHPGSTSHDDRTQLSVSAAELKRFENHATWHYKQTDAGGNGNSVSRTAMEGSWLASSSGCVSQHRLQDLIDDRKSGSVWSTVFPGQLAAHSTCPTPRSSNTKSDQVQDLGEKGKKAEASPSCRLFGIELINHSKSPVLTERAADQPNSTPNEITEAEQNSNLPKISKERKLGLLQVPPKEIQHKQNSSTSSRSRTKVQMQGMAVGRAVDLTTLEGYGQLIDELEKMFDIKGELRPRNKWEIVFTDDEGDMMLMGDYPWQEFCNMVRRIYIWSSQDVKMGSVSKLAMSALECEGTVITSESADS >KGN54413 pep chromosome:ASM407v2:4:13073038:13076211:-1 gene:Csa_4G314410 transcript:KGN54413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDSLQKVAISGPILASLIQRFSSSSGAVDGLLFGHVSDVTPLSLSDDSSSSTDTQPSLRVATVTGFLCSGSTNSFYDSLGRVDSQSLNRLLDRHQSDGQAQAQPHDSLLGWFSGRRRTQLRPSMREYLVSSSLSSMKQLSFPVKDAVNPTNLIPSVFLLLTSPLSDQIIHTHEYRAFQFRSSNEFFEPKSIDIVNIGPAFRGHYGSFIPNSPFPHLLCEMRASPMNEDKNDDNLNMMKQNSKYQKQMNVCAEGFDVGNLTRLLGSEAANYTAGLEDLYEKMLAKTESLARLVEESSAQVLEQENHNRKLRYKVARYPGFE >KGN54439 pep chromosome:ASM407v2:4:13316427:13321107:1 gene:Csa_4G331080 transcript:KGN54439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLRFAIVFLLVLGVACGCEARNLASFDSELSYLEQEKDVEALSEASSNSKICTLCESLISQAVEYFADNQTQSEIIGLLRQTCGVAGVFKEECISLVDSYVPLFFSKISSIEPSSICQSAHICEQVTIISSLFQDHNCEFCHQTISKILDKLKDPDTQIEILQTLLNMCDSINYRVKECKKLVFEYGPLILANSEKILEQTDIGKAIHACPAKPLGDNAVSSVGTVPSLADA >KGN54948 pep chromosome:ASM407v2:4:19472689:19477443:1 gene:Csa_4G614210 transcript:KGN54948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLEDEEPASKRMKVSSEKLKGLSNGLSVVEPLGGSSRDLMARPLQSEGDSGDVIGSKGVIKRVEFVRIIANALYSLGYKKTGAYLEEESGIPLHSPAVNVLMQQIHDGKWDESVETLHTIGLSDENIVKAASVLVLEQKFFELVERDKVTEALRTLRTEIAPHQINEKRIRELSSWLVSPSMRAQANSSCQRSAKAKSRTQLLEELQKLLPASLMIPEKRLEHLVERALLLQRDACTFHNSLNKEMSLYTDHDCGKNQIPSRTLQVLQDHSDEVWFLQFSHNGKYLASSSSDRSAIIWEVDLDGRISLKHRLLGHEQPVSLVSWSSDDSQLLTCGVEEAVRRWDVTSGECLHVYEKAGLGLVSCGWFPDGKHILAGVNDKSICMWELDGNELEGWKGQRTLKISDLEITNDGKKIISICRDTAILLLDRELNVDKLIEEDQIITSFQLSKDNKFLLVNLLNQEIHLWNLEGEAEIVTTYTGHKRTRFVIRSCFGGLDQAFIASGSEDSLVYIWHRGTGEVIEALAGHSGSVNCVSWNPTNPHMLASASDDHTIRIWGLRELDDVVKFKNTEQHSSSNGNGVHYTNGGTS >KGN55095 pep chromosome:ASM407v2:4:20499598:20503122:-1 gene:Csa_4G628920 transcript:KGN55095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSQLKKNPKPKLLNPNWALLQQKLNPHGSNHSNTVLKSEASSKTLLGKRKERSDVESNHSQKNILIPVNDDFSLTDEVAMDCEMVGVGQGNKSALGRVTLVNKWGNVIYDEFVRPIERVVDFRTQISGIRPCDLKKAKDFPTVQKRVAELIKGKLLVGHALRNDLKALLLSHPKNDVRDTSEYQFFQKEGCKRALRHLAAEFLSVEIQNGEHCPVEDARSAMLLYQKKRKEWEKSVKAEQKLKLKQKKRKPRKKSKDGGGFE >KGN54332 pep chromosome:ASM407v2:4:12409651:12409871:1 gene:Csa_4G304780 transcript:KGN54332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKTGMQGKKKTKLKGVFQAKDCVVVFARIARIAFCRGIKEATAVMEQVER >KGN53163 pep chromosome:ASM407v2:4:2526297:2553372:-1 gene:Csa_4G022980 transcript:KGN53163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSIASQLQAIKSFVQADSESLKRPFTRPSILFDPKEAADIDVDTIFSIASQGLEVLISLDERFGNYKNNLFGYKSREQDRELMNEEENKRINATISSYLRLISGHLQQPSSLKTLEYLIRRYKIHVHNVEDLVLCALPFHDTHAFVRIVQLLVLGNSKWKFLEGVKSSGAPPPRKVIVQQCVRDLGVLEVICNYAIPSKNIPVSRPVVNFCTAVVIEVLGTLTSVEPNVLNIVLLFVKTGLQPDAKGISDQKAGALMIVGLLANKVILIPKLVKSLIRSVSEIAKEDAGKSNDMQSVRLSLMALITLVQFQSVDIFPRKVLDILMEIRDLAGILLELSKEFNIDKFLAIFLDSLVEYSFSSELYQHGLISLIETVPIRHLMHNMVTKVLANCSKCSEKSDNPSSFNPGTWAKKLLIVVNKVYPSELRCAVQKFFEDTKVQRKIGGSLYEIVCNTLDGGCDMPLPISDSKLLFALHHPKAEVRRAALSSLSKAGNLKAKTDHLESLVTVQDAILKLLRDDDLTVVQKAISLDGISDILSSSDLLKALKDVLFRCIDILKSGSPAISKLAADIAFVCLKSMKEYFYDHDDYLQMLFSLTFPLLLVMPKTQRLNLKALELAKEIKWPFYQNLAGVNTDVDLQRGNISSINMELVNNLAKSFLLHPEKYAPWLIESCKAYDSSRVLFLLIVLQSTIIRKDSSSQFIGFFEVLYPVLKIEWDVYESTYGASIDKFKTEMLGWDCKRFLDQLVKEDHNELNAGALICIFWRLLEAYTFSVNADMMMDKKEKWISMFSDLFVFFANSRFKHVFKEHLHYLVRSFKISPVHILSKFFTDEGVPASVQVGSLHCLSYLCSQSEEGLHVQLVAEFPSILVPLASDDKDTRIAAMNCVEGMFSLLDHANLSCKKNGNNAVWNHFLDKLLGLMIEQKRLILSDRNFLPSLLATLLGSSSQSFLVPQSIEQRFDKATKEMILAFILGYALQLSDYGKLRILSLFKSMGNAILHVKEVEALLSLLLERRNRYHLALDRSIHSLSSIEVSILCLLLECCATPSSFDWHICEDYLLKALQLNGSSPSEDAITRPSLAILQKLNDQIYGMMENEMQEFLFSKLVLLFRDADSNVQTATREALMRISITSSTVSRMLGYMLKSEGFVGSVVDRKKKKKSIEYHTSSSPYDMICKKENSFSSLSSVLDILLLKKDIANRQSLIGQLFMLLGKVFSEDWVNATLALEDQSDHAVPGVSQGIPNVIGYIRQTILIILEDICSTVVTTTPQKVEWTKEIDIKLLVDCTHLSKDGVTRNHVYSLISSVAKFIPEKLVEHMLDILTLIGESAVRQVDIHSERVLEDLIAAVVPCWLSKTENMDKLLETFISILPEIAEDRMLKIFQHLLRIVGEWDGLAEVLLRLFQSLVSKLPSFENLHGLDGFMSVVHREREYGFALHICEKYSCTTWLHALAAMFKLMGHDNLCVESLKKLLLATKFCLDKLQGPEFAFRLASHENSDDIQSILGDLLEEVVLLVQLVDTRSQEIGIPVAIRKQIKEHMNAILRNITRVMNPSAFFRSTINLLGHNNRNVGKKALSLLCETVKELGRVKSKKVAKKEKVSESPWLHMDDDFLKLFDSISLRIIHLIDDSTYASDTSLKVAAVSAIEILANAFSSYHSVINVWLAPISKYITSNNLPLSSSCLRTCSTLVNVLGPRSLSELPNIMGKVINVSRSCVVESTRCSSEMSVQSSDLKESVMLSVAVTLEAVVEKLGGFLNPYLGDILDLLVLHPNLVWGSDSKLKLKADSIRKLLTEKISVRLVLPPLMKFFTRAVESGDSSVIITFDLLANIVGKMDRPSVAAYHIQIFDLCLQALDLRRQHPVSVTNVDAAENSVISALSLLTLKLTESMFKPLFIRSVEWADSDLEDGASAGSTSIDRAISFYGLVNKLAEKHRSLFVPYFKYLVDGCVRHLTNSGDAKYTGSIQKRKKAKVHVSSDSKEETGVVSLQSWHLRALVLSSLHKCFLHDTGSLKFLDSANFQVLLKPIVAQLASEPPEMLDENTNVPSVNEVDDVLVICVGQMAVAAGSDTLWKHLNHEVLMQTRSDKVRTRILGLRIVKFLLENLKEEYLVLLPETIPFLGELLEDVEPSVKSLAQDIVKEMESMSGESLRQYL >KGN54055 pep chromosome:ASM407v2:4:10767384:10768270:1 gene:Csa_4G279840 transcript:KGN54055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLKEILTRRPVAATIRLTVPAGGARPAPPVGPALGQYRLNLMAFCKDFNARTQKYKPETPMAVTITAFKDNTFEFTVKSPSVTWYLKKAAGIESGSSRPGHVVASTLSVKHIYEIAKVKQSDPYCQYMPLESICKSIIGTANSMGIKVLNELE >KGN54343 pep chromosome:ASM407v2:4:12489134:12491313:1 gene:Csa_4G307360 transcript:KGN54343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRTISSSPQQTLFSTSLFSSSGGFPSSNFSIRRPYSFSGTSAAKFPIRAVSLGTTEPEIRSKNPIRSGFDVENLTTWLLKQEQSGQIDAELTIVLSSISLACKQIASLLQRSSIINLTGAHGTMNVQGEDQKKLDVISNELFCSCLRSSGRTGIIASEEEDVPVAVEETYSGNYVVVFDPIDGSANIDTALTTGSIFGIYAPDKQCLFDIDDDSVLNQEEQKCIMNVCQPGNNLLAAGYCLYSSSVVFTISIGKGVFAFTLDPTYGEFVLTHPNIKIPTLGKIYSFNEGNYDLWDDKLQKYIDHLRQPTSSGKSYSGRYIGCLVGEIHRMLLVGGIYGNPANVNNKNGNLRLLYECAPMSYLVEQAGGKAIDGQRRILDIEPTKIHQRSPIFIGSSEEVEKLQKFLA >KGN52835 pep chromosome:ASM407v2:4:585139:588366:1 gene:Csa_4G002520 transcript:KGN52835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKDQAVEDSANMGKLQISNAIFTGGFNTVTRMHLIKLDTNNGGGLCSDCEQSYTHVSDDEAEDQALSLLSMADDFETKDSNGFGSEVKNNDVKHQPNFGEKTRRSLTSKLPVSPTILIPYRLLTIVRTLLLGFYLTWIVTHPNDESMWLWRIFNTCELWLALSWLLEQLPRLCLINRSTDVSALKDRFESPNLQNPKGRSDLPGIDVFVTTADPEKEPLLVTANTILSILAVDYPVEKLACYLSDDAGSLLTFEALSDTANFARIWVPFCRKHEIEPRSPEAYFKQKHDFLKNKVRLDFAGDRSRVKREYDEWKVRINSLPETIKRRSGAYNSTKELQTKMNPSEMGEVSLNEIKIPKATWMSDGSYWPGTWEDPGENDHSRGDHVGIIQVILASSDAKPVYGSNKNGKNLIDTTNVDIRLPMLVYMSREKRPGYCHNKKAGAMNALLRTSAIMSNGLFILNLDCDHYIYNSLALREGMCFMLDKGGDRVCYVQFPQRYDGIDPDDLYANHNTLFLNVNMRALDGIQGPYYIGTCCIFRRIALYGFSPARVTEHHGLFGTKKTKLLRRKLTVSKKEDDEMGTQINGYTLDCDDADDADTGSLPLPKRFGNSTSLASSITVVEFQGTLLQEFDSKDNRGRMTNSLTAPQEQPLDVATIAKAISAISCVYEDNTEWGKRVGWIYGSLTEDVVTGYKMHNRGWRSVYCITKHDAFRGTAPINLTDRLHQVLQWATGSIELFFSRNNSLFATRRMKFLQKLNYFNILLYPFASFFILVYCFLPAISLFSRQFVVQSFVTLLTFNLVDSITLYLLVIIEIKWSGMTIANWWREKQVCVIWATSSFPVAVLQGLVKFITGVDISHTLTPKLATLKDGDDEFADLYVVKWSFMMIPPITIMLVNTIAIAVGIARALYSPHPEWSKLVGGVSYSFWVLCHFHPFAKGLMGRRSRALNLFYVWSGLVSIIVLLMGIYITSDSRAQNHMKFQFP >KGN53034 pep chromosome:ASM407v2:4:1794966:1804675:1 gene:Csa_4G011800 transcript:KGN53034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEACRQQCEEAMESKINPNFNSLTLLHNALIITMDSHSRVFRNGGIVISGDKIKAIGHSSEILHQFSASAHHIIDLHSQILLPGFINTHVHTSQQLARSIADDVDLMTWLHHRIWPYESNMTEEDSYISTLLCGIELIHSGVTCFAEAGGQHVSGMAKAVELLGLRACLTQSIMDCGEGLPAPWAAVTTDDCIQSQKELYKKHHNTADGRIRIWFGIRQIMNATDRLLIETRDNALELETGIHMHVAEIAYENQKVINERKVDHGTVTYLEKIQFLGNNLLSAHTVWVNDNEISFLSRNGVKVSHCPASAMRMLGFAPIREMLDAGICVSIGTDGAPSNNRMSIVDEMYLASLINKGREVYANGTTNPSVLPAEVVLQMVTINGAKSVLWDNEIGSLEVGKKADMVVINPSSWSMVPSHDSISCIVYSMRTENVISVMCNGQWIMKDKKIINVNEEDVIVMAKQASRELLERAGIRIPNRMNFI >KGN53390 pep chromosome:ASM407v2:4:4211058:4215565:1 gene:Csa_4G051420 transcript:KGN53390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPTVNERVMRSRRTVFSNSPIRTSDREDIDDYERKIGKETKGVWPMQRLGDKEIGSVEETHSQFSEQRIENWVRRYNIEQDMNIYDSDSPSKAPYRNPTGAARTRATFEHHRVERDAFAGYSGNSMAGAHGKGAPNFRYPGDRPSSSNLNVYYGHPEPNRNYEGPIEGFDPNRAELLRRLDELKAEIIKSCDVGDRPRVVADRAPVDPYYSRAGYNVPMRSSTKNPQHNHDPQYFGRGSGTFPATGHHQRNGEDFLHPPRHVVKDMPLYEDEFQEQMIRKTNHQPAHQYPPRQHYPESVMDFKQDPLSPLHDEDVFFHHPACSCSQCGKRNRQGPPNSPASNVSNPKEPIKSSTYHNETPVSVGLMASNLPCAGRFPSQDTLPHSRQPSELDSEIDGFGLVQPRTAAVFQRNGKSRDAIAGGAPFIVCSSCLELLKLPRKLYRLEVDWQKLQCGACSVVIIVKVENRKLVISVPAETKPTEVSPNDSSPKSVVNATSSIESSDNSSLKVIDTDHNKPSDDQDSNCAKPQEEVTSSPISSKEKESPTINCDPKNLSDSDDLPLKDTPSVISSVENSDNPSHDKPSEHREGTEDKQKVMVDDVTEPSELDVSFDDYANIHVSHDSVEINKEEEEEEGEEGEEGEEGEEGEEDQSKIKSNQESETFFVGLSRNNLRDFSRSSEITDNGRPTVSVNGQPLPAHIVKKAEKHAGPILPGDYWYDYQAGFWGVMGHPCLGIIPPFIDEFTYPMSRNCAAGNTGIFVNGRELHKRDLELLSSRGLPTTTNKLYRIDISGRVIDEDSGKVLYNLGKLAPTIEKVKHGFGMKVPRTLK >KGN55072 pep chromosome:ASM407v2:4:20327697:20329305:1 gene:Csa_4G627220 transcript:KGN55072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENHLLPLPHLCFFLSTILFAAIATKAQVPANETFHFINQGEFGDRIIEYDASYRVIRNNVYTFYTFPFRLCFYNTTPDSFIFAIRAGIPRDESLMRWVWDANRNDPVRENATLTFGTDGNFVLADVDGRIVWQTNTKNKGVTGIKMLPNGNLVLHDKNGKFIWQSFDYPTDTLLVGQSLRIGGRNKLISRKSEIDGSDGPYSLILSRTGLTMFLTYSGQRLTYGGWGDTDLNSVTFTVEPENENATAYELLLSLNRDTQRRRLLQVRPIRSGGALNLNKLNYNATYSFLRLGADGNLRAFTYYDGTSYLKWEESFAFFSSYFIRECGLPSKCGAYGYCSRGMCVGCPSPKGLLGWSERCAPPKTPACGGKEKFGYYKIVGVEHFLNPYKNDGEGPMKVGDCRAKCDRDCKCLGFIYKEYSSKCLRVPLLGTLIKDINSSSVGYIKYSL >KGN53097 pep chromosome:ASM407v2:4:2113346:2116883:1 gene:Csa_4G016360 transcript:KGN53097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEFLWTFAVEETLKRTVNVAAQKISLVWGLEDELSNLSKWLLDAGALLRDIDREILRKESVKRWADGLEDIVSEAEDLLDELAYEDLRRKVETSSRVCNNFKFSSVLNPLVRHDMACKMKKITKMLKQHYRNSAPLGLVGKESMEKEDGGNNLRQIRETTSILNFDVVGRETEVLDILRLVIDSSSNEYELPLLIVPILWEELEDCLKEIVGKFGITIIVTTRLDEVANIMGTVSGYRLEKLPEDHCWSLFKRSANANGVKMTPKLEAIRIKLLQKIDGIPLVAKVLGGAVEFEGDLDRWETTLESIVREIPMKQKSYVLSILQLSVDRLPFVEKQCFAYCSIFPKDCEVVKENLIRMWIAQGFIQPTEGENTMEDLGEGHFNFLLSRSLFQDVVKDKYGRITHFKMHDLIHDVALAILSTRQKSVLDPTHWNGKTSRKLRTLLYNNQEIHHKVADCVFLRVLEVNSLHMMNNLPDFIAKLKHLRYLDISSCSMWVMPHSVTTLFNLQTLKLGSIENLPMNLRNLVRLRHLEFHVYYNTRKMPSHMGELIHLQILSWFVAGFEEGCKIEELGNLKNLKGQLQLSNLEQVRSKEEALAAKLVNKKNLRELTFEWSIDILRECSSYNDFEVLEGLQPPKNLSSLKITNFGGKFLPAATFVENLVFLCLYGCTKCERLPMLGQLANLQELSICFMDSVRSIGSEFYGIDSNRRGYFPKLKKFDFCWMCNLEQWELEVANHESNHFGSLQTLKLDRCGKLTKLPNGLECCKSVHEVIISNCPNLTLNVEEMHNLSVLLIDGLKFLPKGLALHPNLKTIMIKGCIEDYDYSPFLNLPSLTKLYLNDGLGNATQLPKQLQHLTALKILAIENFYGIEVLPEWLRKLTCLETLDLVRCKNLKRLPSRGAMRCLTKLKDFKVIACPLLLLGGQADQEGAKYLHIPAYLCHVYQSRGSPLSKTSSI >KGN53514 pep chromosome:ASM407v2:4:5085060:5087956:1 gene:Csa_4G063480 transcript:KGN53514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRNSFSSGMEMATQISATDMHVMLDYLENRVAFARLFFPAEAKVAMEIADAGSTIADIGPVPAKGSSGNLLKVDLNETPSVGTKRLQSRMQALMKTVETGRRYFPHCSEVLDNFLADDMPDLLFLETGTPEEQRKKKARFMELKDDVQKAFCKDLASSLSSSSSSSSPKVGINHKARRK >KGN54998 pep chromosome:ASM407v2:4:19841423:19844800:1 gene:Csa_4G620610 transcript:KGN54998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERFCVLLLYFSFAFSPTVLAIPSDDGFYSRKFKFEIHGHGLSRTSTYESSLKKLKLQIQKRFISKPQLKFLVDQPNAVTVNSPFSLPPFDSLGPTPLPINSPPFCEDSPPQTPRSSSSPSPPPPPPGMIYSHTPPPPLSGMIYSHAPPPPPPGFQPSVPSRSQSPPPGSPSIFPITPIGPLAPNPPANISSPTPPIGLPSPPHHYGPPSPPKHGYGPPSPPVFLPPMVYPPPAGPPPAGGHGGKPGSSGAAWCVAKPTVPDPIIQVAMDYACGSGADCKSIQPNGHCYEPNTVLAHASYAFNSYWQNNKVSGGTCDFGGTAMIVTVDPSFEGCKFVLG >KGN55053 pep chromosome:ASM407v2:4:20219772:20223228:-1 gene:Csa_4G625570 transcript:KGN55053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGFRVLHLARPFLSFLPEVQNADRKVPFREKVIYTVISLFVFLVCSQLPLYGIHSTTGADPFYWMRVILASNRGTVMELGITPIVTSGMVMQLLVGSKIIEIDNNVREDRALLNGAQKLLGILIAIGEAVAYVLSGMYGSVGQLGAGNAILIIIQLCFAGIIVICLDELLQKGYGLGSGISLFIATNICENIIWKAFSPTTINSGRGIEFEGAVIALFHLLIIRSDKIQALREAFYRQNLPNVTNLLATVFIFLIVIYFQGFRVVLPVRSKNARGQQGSYPIKLFYTSNMPIILQSALVSNVYFISQLLYRKFSGNFIVNLLGIWKESEYSAGQSVPVGGLAYYITAPSSFADMAANPFHAMFYLVFMLSACALFSKTWIEVSGSSARDVAKQLKEQQMVMPGHRESNLQKELNRYIPTAAAFGGICIGALTVLADFMGAIGSGTGILLAVTIIYQYFETFEKEKSNELGFFGF >KGN53394 pep chromosome:ASM407v2:4:4232806:4237455:1 gene:Csa_4G051460 transcript:KGN53394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKAMATFHKGTLCSAWNYSSTRLATASSDGTLVIFDSPHPSSSSTSFTPTSNFKAHEVAITKIVWVPPEYGDSVACICSDGTSSLWEEVVEDAQPLQWKLCKLFKSNSSQILDLQFGNSSSGLKMIAAFSDGYIKVYELMDPLNLKNWQLQAEFQNVIDSISTVRKSSCSSASISWNPHRGEQQSSFVIAFSSNTPQLNSAKVWEFDQAHHRWLPVAELALTAEKGDEVYAVAWAQNIGRPYEVIAVATQRGIAIWHLGLSPDMDGRLSRERVALLSGHNGEVWEMEWDMGGMTLASTGKDGMVRLWQSNLNGVWHQHAAFEPTS >KGN54130 pep chromosome:ASM407v2:4:11152787:11153550:1 gene:Csa_4G287030 transcript:KGN54130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETKKDAECHEPCISKAFERFKAKLTDLEKRINELNENKDLKNRCGAGIIPYEAMKPRSKPGITGSGVPYSVSI >KGN54122 pep chromosome:ASM407v2:4:11112548:11112736:1 gene:Csa_4G286950 transcript:KGN54122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAKAFDKFKMKLDELEKTIDQRNENNELKNRRGAGLVPYEVLKPTSGFGVTGKGVPYSVST >KGN54062 pep chromosome:ASM407v2:4:10800568:10815181:1 gene:Csa_4G279900 transcript:KGN54062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVALANRVVGRCKMHIKQVIIEGFKSYREQVATEPFSPKINCVVGANGSGKTNFFHAIRFVLSDLFQNLRSEDRHALLHEGAGHQVLTAFVEIVFDNTDNRIPVDKEEVRLRRTIGLKKDEYFLDGKHITKTEVMNLLESAGFSRSNPYYVVQQGKIASLTLMKDSERLDLLKEIGGTRVYEERRRESLKIMHETSNKRKQIIQVVQYLDERLRELDEEKEELRKYQQLDKQRKALEFTIYDKEVHDTRQKLLEVDEARAKVSETSTKMYNSVLDAHERSKDFDKKLKELTKEIQGLVKEKEAVEKRRTEVIKRRTELELDVKDLEEKISGNTRAKEDAGRQLQMLQKEIQDSSVELDKISPIYDNQIVEEKEISKGIMEREKQLSILYQKQGRATQFASKAARDRWLQKEIDEYERVLSSNIGQEQKLQDEIGKLDAELVERDAFIERRKMDITTLQSHITESSHGFNAFRAQRDKLQDERKSLWSKENELVAEIDRLKAEVEKAEKSLDHATPGDVRRGLNSVRRICKEYRISGVHGPIIELLDCDDKFFTAVEVTAGNSLFHVVVENDEISTQIIRHLNSSKGGRVTFIPLNRVKAPQISYPQSSDVIPLLKKLKFSPNFSPAFSQVFARTVICRDLDVATRVARTDGLDCITLEGDQVSKKGGMTGGFYDHRRSKLKFMNMIMQNTKAINIKEDDLAKVRSALQDILFVVSSNMTPIDRKITELVSEQQKLDAKLGHDKSELEQLKQDIANAQKQKQSISKARLNKEKSLADVRNQIDQLRGNMAMKQAEMGTDLIDHLTPEEKHLLSRLNPEISELKEKLIACKTERIETETRKAELETNLTTNLKRRKQELEAIISSAEADSLLGEAELKRQELKDAKLLVEEATQQLKRVSETMDDKSKEIKKIKDEKNKLKTLEDNYERTLQDEAKELEQLLSKRSVLLAKEEEHTKKITDLGLLPSDAFETYKRRNIKELYKMLHRCNEQLQQFSHVNKKALDQYVNFTEQREELQKRQAELDAGDEKIQELIGVLDQRKDESIERTFKGVAKHFREVFSELVQGGHGYLVMMKKKDGDQHDDDPDEAGPPEADTGGRVEKYIGVKVKVSFTGQGETQSMKQLSGGQKTVVALTLIFAIQRCDPAPFYLFDEIDAALDPQYRTAVGNMIRRLADMANTQFITTTFRPELVKVADKIYGVTHKNRVSRVNVVTKEDALDFIEHDQSHNN >KGN55434 pep chromosome:ASM407v2:4:22583239:22586396:-1 gene:Csa_4G652000 transcript:KGN55434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDIFLDLNVDPNSSYANSTMDEVAHHSSQKRDQFDGEIYGDKEKLALSLSNKGSESSPTLEQELDRKIQENGKLSQMLRIMYEKYINLQKQVMYLLSNQKQSTEMEGVCSRKRKAEGEQEDYENLEGICSTRDEDFNRWLKRPRLNGNSKVSKVFVQKDASDPSLVVKDGYQWRKYGQKVTRDNPSPRAYFKCSSAPNCPVKKKVQRSLEDPTILVATYEGEHSHASHFQTELSLRSINGGKGSAVPVLATIKPSCATVTLDLIHEDGLFKSPKDYASSESAEAAVWQEFLVQQMASSLKKDPEFAGIVAGAISGKVLGNQTNRE >KGN53567 pep chromosome:ASM407v2:4:5550887:5552164:1 gene:Csa_4G082440 transcript:KGN53567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKSINKNECEISKSNIGDRFRKSLHLEHPSVEWRYGKPPTYASANQLFEQGRTKEWPEGSLEETVQNAVKSWQMEINNKARLQDFNTINPHKFKLFVNGREGLAGEEVLRIGSFNAMLKSSLPKEFQFYKAEEETHESAHNDFKTCFPRGFAWEVIEVYSPPPLIAFKFRHWGFFEGPYKSYSPTGELVQFYGMATLKVDSSMKVEEVHIFYDPTELFGGILKGKKSIMDSELSKISDSSSASACPLFNTKK >KGN53678 pep chromosome:ASM407v2:4:6607727:6607951:1 gene:Csa_4G101780 transcript:KGN53678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENMNTEKMRNANAEKMRNVNDRGDEMRNVNGIEDGESRVVEDEEVGGRRGSLPNGLSMLFNAHLGYHADNPNL >KGN53983 pep chromosome:ASM407v2:4:10068623:10068949:1 gene:Csa_4G235860 transcript:KGN53983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELESFFLFKVRAIQNHEAEGAKIYGPLRGLLYAFFFPKNRRPPIQSNRFHSPPDLRGTVFHSLSIKCGCELLLICGSNSLKWGFCEWRIRSVLKRVLSISKSPRCQA >KGN54239 pep chromosome:ASM407v2:4:11827650:11830288:-1 gene:Csa_4G295450 transcript:KGN54239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSRLTALTMESHHPSTLLSMDSSASSHDELDLEMNRQVVLLRPPDINLPLSAERSPPQQPWSSDPCDILDVGLGSQVYETESFLTAPKVGRKLVKRVDSIWGAWFFFSFYFKPAMNDKSKAKIIRDSNGVSGFEKSDLNLDTFMVQHDMENMYMWVFKERPENALGKMQLRSYMNGHSRQGERPFPFSVDKGFVRSHRMQRKHYRGLSNPQCVHGVEVVPSPSLMSLDEEDRKRWAELTGRDLNFTIPPEASDFSSWRNLPNTDFELERPPTLKSASNSHSKKLLNGSVLNLSTQPSNHSNCDGMDLSPVSSKRKKDFFSNGNDDDCYLAVNNHTSDRISDMEVHPTEPHWLNDFSGVMKNAYGPVTAAKSIYEDEEGYLIIISLPFVDLPSVKVSWRNTLTHGIIKVSCVSTSGLPFIKRHDRTFKLTDPFPEHCPPGEFVREIPLATRIPEDANIEAYYDGPGSVLEIMVPRLRVGPEEHEVRVCRRPHLGGNDLMLT >KGN54663 pep chromosome:ASM407v2:4:15723262:15725133:-1 gene:Csa_4G418560 transcript:KGN54663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLRLFDGSDSDNDNSKIEINQDYAKRFEHNQKRQDLQRLEELKKKGLIEDSESESSESESSSSEEEDSQNFRKDLKFFNALIKVKKKDPTLKQKEAKLFDSDDDSHAKESDDVKGSLTEKKKSSMYLKDVVAKHLIEEGPEFNDENTKNVKIYDTEQEEIRKAFLEAAELQDENDEEELLKVKERGTVEEEKNEEFERKLDEYFGDGDDQLDENSKFLKQYFKNKLWIGEDAKVEEEELNMLSEDEEEIEKQEEYEYRFQESAEDTIWGHSRTIEGSVRKKKNSRKEQRKNKEERMEIARLEREEELKHLKNLKKEEVKQKLRKIRETAGLGEDENCLLDIKDLDDDFDPEEYDRMMKVAFSEEYYEKEDIDAGFGSDMDDEGNGEHEKPDFDKEDELLGLPKGWTSSESGDGFFAARQRSLSHKIDNDMSNEDNEEDEEKGNEDEKGSRKRKRKLSLYQKAKEAMMEEYYKLDYEDTVGDLKTRFKYAKIQPNRYGLSTDEILAMDDKELNQFVSMKKLAPYKEEWKMPNSTRQRLKMRSRELLRGKQSSEQKDIGKRRAKNCSNVHILDDNENDKVNNADKGNISRKARRKQRQAELKLSHFRNLAYGRTSLKSKKKSKH >KGN52767 pep chromosome:ASM407v2:4:198835:199156:1 gene:Csa_4G000860 transcript:KGN52767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMKNKAALVAMVVFMVVMAQSVTTITADETIELSGGENTARVCPATLLPCETDSECSPCICNVKSGACV >KGN55355 pep chromosome:ASM407v2:4:22065029:22067909:1 gene:Csa_4G646290 transcript:KGN55355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDENLAEPMDIDDNRSSWKSPSEQLHHWQMNRRKLAFSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDDPITTCRKIVHWKNHLKFPEDAKLTIEAKDLICRLLCDVEHRLGTGGAYQIKAHPWFKDVVWDRLYEMEAAFKPEVNGELDTQNFMKFDELDPPIPGKRGSGPSRKMLLTPKDLSFVGYTYKNFDAVKGGLHDAQRLSMKQPPIDVLNGTGGDYTTELIGNDAEMQMVAVSGDPMLP >KGN53250 pep chromosome:ASM407v2:4:3214154:3215334:-1 gene:Csa_4G038680 transcript:KGN53250 gene_biotype:protein_coding transcript_biotype:protein_coding description:USG protein MTGALAWQFVYLQPTAINCFSDFSDFAPFKPRCLLLLDWGNFNTACSLAKINRGFYKLLGVGEWLLLVGEWKIKSGTSITRLCRVFSLMEGLVLFLFFIRF >KGN53081 pep chromosome:ASM407v2:4:2025307:2025851:1 gene:Csa_4G015710 transcript:KGN53081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVEDLQHLVYDDLLDELVYEDLRRKVGTEKIKKKSSPLGLIAMVREECIETHGNVDIIKQIRETTSNLNFDEVMIGREVEVSNIVKLVIEFSKEHQISIIPIVGMRGLGNTTLAFNHEPVKGHFDETIWLCVWLNMKTPRNLVT >KGN53473 pep chromosome:ASM407v2:4:4825005:4827125:-1 gene:Csa_4G056640 transcript:KGN53473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSISSPFLSGRNLILSPPISSSLPPISTPIYSVLTEQNVKKNTPPPDADSPSPPLPRPSPPSPPMPRVSSTRRVQPSLPARFFNAFDDLINNFINPPVSPSVDPRYILADNFAPVDELPPTECEVIYGSLPSSLNGAYIRNGPNPQYLPRGPYHLFDGDGMLHSLRISDGRAVLCSRYVKTYKYTLERDAGHPVFPNVFSGFNGLTASAARGAVAVGRILTGQYNPANGIGLANTSLAFFGDRLYALGESDLPYPIRLTPNGDIETLARHDFDGKLTLSMTAHPKVDSDTGEAFAFRYGPLPPFLTYFRFDKNGAKHSDVPILSMNRPSFLHDFAITKKYAVFTDIQIGINPTQMIIEGGSPVGSDPSKISRVGLIPRYANDESKMKWFDVPGLNLIHAINAWDEDDAVVIVAPNILSVEHALERMDLVHALVEKIRIDLKTGIVTRTPLSTRNLDFGVIHPSYVGKKHRFVYAGVGDPMPKISGVVKLEISQEERRDCIVACRIFGPGCYGGEPFFVPRERESSDETEAEEDDGYVVSYVHDENSGESRFIVMDAKSPELEIIAAVKLPRRVPYGFHGLFVKESDLNKL >KGN53002 pep chromosome:ASM407v2:4:1598966:1606145:1 gene:Csa_4G011010 transcript:KGN53002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGARATDSARVMKFTKLLSGTTIISDKLRELAWSGIPPYMRPNIWRLLLGYAPPNSDRKEGVLRRKRLEYLDSVAQFYDIPDTERSDDEINMLRQIAVDCPRTVPDVAFFQQAQVQKSLERILYTWAIRHPASGYVQGINDLATPFLVVFLSEYLEGGVEKWSISDLSPDNITNIEADCYWCLSKLLDGMQDHYTFAQPGIQRLVFKLKELVRRIDEPVSRHMEEQGLEFLQFAFRWFNCLLIREIPFHLVTRLWDTYLAEGDSLPDFLVYIFASFLLTWSEQLQKLDFQELVMFLQHVPTQNWTHQELEMVLSRAYMWHSMFNNSPRHLVS >KGN55330 pep chromosome:ASM407v2:4:21921650:21922800:-1 gene:Csa_4G646040 transcript:KGN55330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLDRHLNTWISYEGQRHYLYQTDPLKSFSSYGEKREFQTCHCGSGKLVLIREDINCSNSERRSWLIHVYILKAK >KGN54690 pep chromosome:ASM407v2:4:16025657:16026382:-1 gene:Csa_4G427265 transcript:KGN54690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMDPNFDQSLLPFGSVANTGVGFIKSCGSIPQDSFLTFTVLNNCPYTVWAAANPGGGRRLDTNHTWLLKLPSRTTGRIWGRNNCKFDNSGHGICETGDCGGKLECQTYGSPPNTLAEFSLNQINNLDLFDISLVDGFNIAMEFKPMSKGCSKVVGCTADINGQCPQALKAAGGCNNPCQVFKTDKYCCFADRDNCGPTDYSKFFKDRCPHAYSYPTDDATSTYTCPSTAATGYQVLFCPT >KGN54860 pep chromosome:ASM407v2:4:18406584:18408757:1 gene:Csa_4G554680 transcript:KGN54860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVSLRSFGYLHRLLLIILITTLFINTLAFSSSLSRRALQKPNKLPSHGFRVRLKHVDHVKNLTRFERLRRGVARGKNRLHRLNAMVLAAANATVGDQVKAPVVAGNGEFLMKLAIGSPPRSFSAIMDTGSDLIWTQCKPCQQCFDQSTPIFDPKQSSSFYKISCSSELCGALPTSTCSSDGCEYLYTYGDSSSTQGVLAFETFTFGDSTEDQISIPGLGFGCGNDNNGDGFSQGAGLVGLGRGPLSLVSQLKEQKFAYCLTAIDDSKPSSLLLGSLANITPKTSKDEMKTTPLIKNPSQPSFYYLSLQGISVGGTQLSIPKSTFELHDDGSGGVIIDSGTTITYVENSAFTSLKNEFIAQMNLPVDDSGTGGLDLCFNLPAGTNQVEVPKLTFHFKGADLELPGENYMIGDSKAGLLCLAIGSSRGMSIFGNLQQQNFMVVHDLQEETLSFLPTQCDSI >KGN54523 pep chromosome:ASM407v2:4:14408083:14417272:1 gene:Csa_4G354630 transcript:KGN54523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLPISIDAYRLGFIGAGKMAESIAKGIVQSGLLPPSRISTAVHSNPSRRIAFESFGVRVLPKNDNVVEESDVVILSVKPQVVKNVVLKLRPLLSGKKLLVSVAAGVKLKDLQDWAGHNRFIRVMPNTPAAVGEAASVMSLGEGAIKEDGQLVAKLFGSVGKIWEAEEKYFDAVTGLSGSGPAYIFLAIEALADGGVAAGLPRELAMGLASQTVLGAASMVTKTGKHPGQLKDDVTSPGGTTIAGIHELEKGGLRGMFMNAVVAAAKRGQELSPK >KGN53119 pep chromosome:ASM407v2:4:2253381:2254055:1 gene:Csa_4G017070 transcript:KGN53119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGDDANRRRHDRDFWHSRQAFLKSYNLSNGYRRDDGLKGKMKRTMKELNRSTSRMVGGICEDMAKRKFGIRVYRLTISLPSMVFMTVRCFLPSFRNKENTLQTYSY >KGN55440 pep chromosome:ASM407v2:4:22635771:22636082:1 gene:Csa_4G652045 transcript:KGN55440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRTILIYHTLAELCNVEIIHKRHSVLLEPHHSNIRPNHEIRTLTLICPPKCHVVCHRYCRLQPDVNQLILGIQCPSNPVVIGQNFAILKRGGLNISRFHLFP >KGN54101 pep chromosome:ASM407v2:4:11013548:11014709:-1 gene:Csa_4G285770 transcript:KGN54101 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase MGSFSFFLSFLCVFFVTSYAQLTENFYDQTCPRLPNIVRREVKRAIETDIRAGAKLIRFHFHDCFVQGCDGSVLLEDPPGFETELNGLGNLGIQGIEIIDAIKAAVEIECPGVVSCADILAQASKDSVDVQGGPSWRVLYGRRDSRTANKTGADNLPSPFENLDPLVKKFADVGLNETDLVALSGAHTFGRSRCVFFSGRLSNFSGSGQPDPTLDPTYRQELLSACTSQDTRVNFDPTTPDKFDKNYFTNLRANKGLLQSDQVLHSTQGAKTVEIVRLMALKQETFFRQFRLSMIKMGNIKPLTGSQGEIRRNCRRVNDLGSETGHDVM >KGN54892 pep chromosome:ASM407v2:4:18864123:18867460:1 gene:Csa_4G572860 transcript:KGN54892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEMDKRKTPVKNQSKRTPRAERKERRPQQENNNKTMEAKESIPKGSHMKPNSLVSESSTNMKSSNVHQNLITDHVADANKFELEKHQDSEANVIAERGNENVVDNKCTALEKDVSHRKEEISDSETMIDSISSKSDSLTTKEEKVERASNFPENILEDSSSDCSLQNSSEQVDNEVNKSPSEELSCTPKKTTNSDRDPPRVKNKKSSKSNSRSAKIVPKPSSESSEGTDYQIVDEVKDIEVLDEALNGVLSIRNGPDTNGVHDNQAVSEQKIEEMENRIDKLEEELRVVAALEMSLYSVVPEHGSSAHKVHTPARRLSRIYIYACKHWSQDKRATVAKNIVSGLVLIAKSCGSDVPRLTFWLSNTIVMREITSQTFSSLHSSNPLKNFVDSNNSSQKNGWKPTAVQWRNSYGSKQVNSYMQSVEDWQETGTFMAALEKVEFWIFSRIVESVWWQSLTPNMQHRDPSKNKIRERLMGPPLGDQQQGNYSVNLWRSTFQDAFQRLCPVRASGHECGCLPVLARMVMEQCVSRLDVAMFNAILRESAHEIPTDPVSDPIVDAKVLPIPAGDLSFGSGAQLKNSVGNWSRWLTDMVGIDADDSSVDQHGSDYDIKSDKDGRPQSFPLLNSLSDLLMLPKDMLTDRSIRKEVCPLISLPLITRILCNFTPDEFCPDPVPGTVLESLNAESIGEQRVSGYSGRNFPYTAAPVFYISPSTSDVAEKVAEAGGKSHLERNISTIQRKGYTSDEELEELNSPLLSIVDKSTLSLTYNALGNGEHEDGTTFNMRYKLLREAWSV >KGN54174 pep chromosome:ASM407v2:4:11404887:11412365:-1 gene:Csa_4G291380 transcript:KGN54174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYYDKLSDKDKPFSFAINHQKFFFPTSSSRRQSGAPAMAALKLWYTSTLFSFTPSKSLSRPSSYASTPSISSFFPLPSSSLSSSNLFGCYKSSSLSFRTLCECTAVTGDAGFPENYVDAEGEDPGEFDDEFDDEDYTIDVEAFEEEAKDVLREYSSSLSRELIIDDELSDQSETGRKKKKRKTTPRNVIPDHLLPRVAIVGRPNVGKSAMFNRLVGGNRAIVVDEPGVTRDRLYGRSFWGDNEFMVVDTGGVLSVSKTQNDVIEELAISTTIGMDGIPLASREAAVARMPSMIERQATAAVEEASVVIFLVDGQAGLTAADEEIADWLRRNYSDKFTILAVNKCESPRKGMMQASEFWSLGFTPLPVSALSGTGTGELLDLLCSRLQKVESSEDLHEEEDYIPAVAIVGRPNVGKSSILNALVGEDRTIVSPISGTTRDAIDTEFTGQDGQKFRLIDTAGIRRRAAVASSGSMTESLSVNRAFRAIRRSDVVALVIEALACITEQDCKIAERIEKEGKGCLIVVNKWDTIPNKNQQTAMYYEQDVREKLRCLDWAPIVYSTAIAGHSVDKIITAASAVEKERSRRLTTSILNQVVQEALAFKAPPRTRGGKRGRVYYCTQAAIRPPTFIFFVNDAKLFPETYRRYMEKQLRANAGFPGTPIRLLWRSRRKMEKGEAKGPTKTQVKLTQQDREVSLAV >KGN55541 pep chromosome:ASM407v2:4:23154684:23156878:1 gene:Csa_4G664480 transcript:KGN55541 gene_biotype:protein_coding transcript_biotype:protein_coding description:CDK MEKGPTTVSAMEAFEKLEKVGEGTYGKVYRAREKATGKIVALKKTRLHEDEEGVPPTTLREVSILRMLSRDPHIVRLMDVKQGQNKEGKTVLYLVFEYMDTDLKKFIKSFRHTGENIPVNTVKSLMYQLCKGVAFCHGHGILHRDLKPHNLLMDRKTMMLKIADLGLARAFTVPIKKYTHEILTLWYRAPEVLLGATHYSTAVDMWSVACIFAELATKQPLFPGDSELQQLLHIFRLLGTPNEKVWPGVSKLMNWHEYPQWNPQSLSTAVPNLDDKGLDLLSKMLKYEPSMRISAKKAMEHPYFDDLNKEYL >KGN54507 pep chromosome:ASM407v2:4:14163978:14166015:-1 gene:Csa_4G342560 transcript:KGN54507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDYINEDICTYSIVTNFGRYDLEMHLVHTSDHKETAVVAILYKFGRPDRFLSKVRTVSREQVRALKTAIHDGLKTNARPTQALDGRRIQFYTPHK >KGN55100 pep chromosome:ASM407v2:4:20521518:20524154:-1 gene:Csa_4G629460 transcript:KGN55100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALNSSSSEIQAIGNGSETDLKVPVFSSSLELLEKLHEKWSLVNKKPYPAMYSSVFGGIITDPAMMVIPIDDHMVHRGHGVFDTAIILNGYLYELDAHIDRFLRSASKAKISPPFPRSILRSILIQLTAVSQLKKGTLRYWLSAGPGDFLLTPAVNANSAFYAVAIDDDFSQCKEGVKAITSTIPMKTPQFATMKNVNYLPNVLAKLEAEEKGAFASIWVDEEGYIAEGPNVNVAFITNEKELILPSFDKILSGCTALRLLKLAPKLVKEGKLKSVGTANLTVKEAKDAAEMMFVGSTLPLLPIISWDEEPIGDGRVGELTMALSDLLWDDMVSGPETERIPVSYTE >KGN55239 pep chromosome:ASM407v2:4:21438358:21439461:-1 gene:Csa_4G641710 transcript:KGN55239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRVVPDGVGDRKMGKKPKAETQDFTRGGGGEDCSDKTGFMNLDDNLLFEVFKHVDARTLAMAACVSKQWHKTAEDERLWELICTRHWANTGCGNQQLRSVVLALGGFRRLHSLFIWPLTKPQSSSSSSSSSSSSSSSSASSSSSASWSPFPAMIGSKPPARWGKDEVHLSLSLLSIRYYEKMNFSNRGR >KGN55538 pep chromosome:ASM407v2:4:23145435:23145876:1 gene:Csa_4G664450 transcript:KGN55538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGIHEKRLRKCLSKLKGVEKVEVDANSQKVAVSSYIHRNKILKAIRRSGLKADFWSAQNELLNAYATTYGAFRFSPYNSFF >KGN53040 pep chromosome:ASM407v2:4:1827565:1828440:1 gene:Csa_4G012360 transcript:KGN53040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIKHKAKIHPSPPPSSSSSVFKLLPAAILALASLLSLDDREVLAYMIARSIQSSAFTSATRVSRKKSTKKPPINTGNSNVVTTTTTTTNTTYHKTPLFSCDCFYCYTAYWCRWDSSPNRELIHQAIEAFEDHLTNGEKPKKNTGRGKRRDRIGRQGSTDNKTLPVVHCPTSVNDECVDVPLSPVVEDEGSVVKEVEESGPVVEDVGGGEHQKGLATKVLPDVLGFFNSRLWSLWSPNL >KGN54391 pep chromosome:ASM407v2:4:12916921:12920564:1 gene:Csa_4G312240 transcript:KGN54391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKLENGFHTETNPKVTTTMEASHSPTVNPLANGIAAADKLRSQSRSSHNNGAITPSTVIIPPPTTTPSTLGHYLARRLVQIGISDIFSVPGDSNLVLFDYFVAEKGLNLVGCCSELNAGYAADGYARRRGVGACAVTFTVGSLSLINAIAGAYSEDLPVICIVGGPNSNDYGSKKILHHTIGLPDFGQELRCFQNVTCYQVATKSKYFLKYWNMIFRVTQPTTQRQDIGALYYDGSHSLSSLSPDPARFKHPSVIVWVKKQKQKAIIDNLEDAQWQIDTAISKCLEESKPIYISICCNLVAIPHPSFSAQQLIPLSLSSKESNQMALEAAVEKAAQLLNTAIKPVMIGGRKLRLTNSQEPFIELANACGYPVAVMPSAKGMFPENHPHFIGTYWGTISSTYCGETVEIADASIFVGAILDELDTVAFSLTYKKNKGIMVEADRVVFPNGPSLGPILMKDFLRALGKRLKPNSTAYENYRRIYIAESGPLESGPGEALRVNIVFKHIQKMLSSNMAVISEAGDSWFHSQRLKLPKSCGYEVQLLYASIGWSLGATLGYAQAAPEKRVVLFIGDGSFQMTPQDVSTMITLKQNNIIFLINNGGYTIEVEIHDGPYNVIKNWDYTAIVNAMHNHDGNCWTTKVHTEEELVNAIEIVLKERKDSLCFIEVIVHRDDTSKELLEFGSKFANVSSRPPRVLL >KGN53638 pep chromosome:ASM407v2:4:6177809:6178876:-1 gene:Csa_4G094010 transcript:KGN53638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNHCKKLKRMTTISIDPRLKLQIPKLCIQNEATTEEIEGVIRVYADGRVERPPIIPNLPLNETSPYLFISMAMASVLALPHGAVTMNSSSTLLPKQNGIILIEPFFGGESRTKSEKLTAQPSFGSALTLNVVDTYWRLSLPKGANRDHKWSNPLVNGVAKLRETKVPLLMVCISELDILVDRNLEFCAAMAATKKKMERVILKGVGHSFQILKKEQISNVRRQEMMGCIQAFIQIQQ >KGN55241 pep chromosome:ASM407v2:4:21449995:21450991:-1 gene:Csa_4G641730 transcript:KGN55241 gene_biotype:protein_coding transcript_biotype:protein_coding description:MFP1 attachment factor 1 MSDPQIAAGTPTEHDSPTPPTDSQVGDQAKESTKSPKSFNTSFSIWPPSQRTRDAVIKRLIETLSNPSVLSKRYGTVPQEEAAEAARLIEEEAYSFAAGKASADDDGIEILQLYSREISKRTLETVKARAASDSTAENGSSPPVVSTTTNEETPSVEES >KGN55483 pep chromosome:ASM407v2:4:22897328:22906679:-1 gene:Csa_4G653440 transcript:KGN55483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADHSHEGSSTSSNSGEPGTSIIELNIKTLDSHIYSFHVNKDMPVQLFKEKIANEIGIPVNQQRLIFRGKVLKDECSLSEYYLENGHTLHLVERQPTQQHAPSESSSGDRPGNVPSSTGNEAGVGAPRNRVGQIAHSVVLGTFNVGDQGEGIVPDLSRVIGAVLNSIGLSGQNTNIPTGMQSSGPNNRGTANQGNETFRANNGVGGQATSQAQTGQAFPGQPSQSFPHMIQIPLASAAVSVPSIHSPIPDSITTLSEFMNRMELAISQNGGDLTRVELPTNPQGLPTTESLSIVLRHAQRLLSDYAISSLSRIAERLEQNSSSTDPTVRGQIQEESVQVGLRMQQFGSLLLELGRTILTLRMGQSPVESVINAGPAVYISPMGPNPLMVQPFPLQTNSLLGGAVLPSNPVSVGAVGIGTAPRHINIHIHAVGTRSNNGEGAPAERQNVVSGPTDSSVAQAPPAVNIQHPLGVSISAAVQPGEGVSFSQPFPDSVSLSSIIADVNSRIRDLVGNVGGGSPTESGQVQTAVQNSSSGSGQGSEQHSDTKRDVGGESSESLHAQNPENGINKIVNPDNICRDTGGVNPPDLPTCSGDGGSEFVGRNEENFQSQASCEKSTGTGPSQAVPLGLGLGGLERPRRGRQQISQAKGGSSGTSPSQGSTGQQFLQSLASSASMNRSNAREPSGLHSTVNPTVAGRALHGSGSDGQIDLGSSMSQVLQSPALNGLLTGLSEQAGVGSPDVLRNMLQQLTQSPQMRNTVNQIAQQVDPQDIEHMFAGSGRGQGGGVGGIDLSRMFQQMMPIVSQVLGGGPMQPSSSSMNREPRQPLSSNLEREPTPHVHSERSGCGLETSNDPNFQIDSQDLARRITSTNSPRDVFRAVVESSARLSGSSSEDIANELCSDERLAKEYVEMLSSDVNRRLQGNSDQEK >KGN53516 pep chromosome:ASM407v2:4:5104842:5108970:1 gene:Csa_4G063990 transcript:KGN53516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSHLRRELGFFCWSFVGFLDRSVSRAMGCFFDCFKVRDDRHRPRSHLLSDPPCSKYGEPVVARNQLSSLFLSEENEDSPKKDSGSKSFGSPRYTKELMDEAKFLKACGAIVETPAEIRKTSRKLSNASLESGSAERSPSSCITGEQNTERVSAQHIEGSEDGSEAALNSPDNVMTTCRSKFVRFECDINESPSRSSSGSGREKVQGFALSGNRSVSKSSPYPTPLQLSDEMQTPGTVFPANLDHGKARIRSQYVYSVMNPVESAAQLKALKEEDSNLEGVSKEMGESVEELEMMTPMPERNVRTNTREKDLMVEASLSAWLKPVSTHDDDGKKFGAADRPIRVGKSAGDRPIIGMVAAHWNEDEPIQISPKEWDGNGIPNSTNKYKEDQKVSWHATPFEERLEKALSEESIVTRKNFQGKLVAFDEEDDTAVSRLQSSIQRSSVVSY >KGN54524 pep chromosome:ASM407v2:4:14429341:14430168:-1 gene:Csa_4G355130 transcript:KGN54524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKIPKRFSPKRLFRSKKHHSADVSRSDPPSFGSGTSSSSSSSENFFKANTVNTGYGTPTSVLDSDGRGNEGVVSRKELEAILSRIASEEEVAMMLREVDSGGDGFIRLEELMARVGSGEAAGESEMRETFEFFDADQDGRITAEELHGVFRSIGDERCTLEDCGRMIRDVDENGDGFVCFAEFVRMMELQR >KGN55121 pep chromosome:ASM407v2:4:20705767:20713243:1 gene:Csa_4G637120 transcript:KGN55121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATASDVGVNGDKRAKISPDFLESKGVHETKTLLAELCRHFYGLGWVSGTGGSITIKVHDDAIPKQNQLVVMSPSGVQKERMVPEDMYVLSPDGSVISSPTVKPYPHKPPKCSDCGPLFMKAYQMRDAGAVIHSHGIESCLATMINPSAKEFRITHMEMIKGIKGHGYYDELVIPIIENTAYEYELTESLAKAIEAYPKTTAVLVRNHGIYVWGDSWIHAKTQAECYHYLFDAAIKLYQLGLDWSTPNHGPIQKFKEVAVGGCNDEASLKRCIVLDIEGTTTPISFVTDVLFPYARDNVHKHLSLTFDTAETKEDIKLLISQVQDDLEKGVAGAVPIPPDDSAKEEVIAAIVANVEAMIKADRKIPALKQLQGHIWLTGFENNELKGEVFEDVPRALERWHASGIKVYIYSSGSRLAQRLLFGNTKYGDLRKYLSGYFDTAVGNKRETRSYVEIRESVGVDKPSEILFVTDVYQEAVAAKAAGLDVNISIRPGNAPLPDNHGFKIIQSFSEI >KGN55466 pep chromosome:ASM407v2:4:22803015:22803382:1 gene:Csa_4G652780 transcript:KGN55466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEQKKRKSKKHVCIHVSGFLLTAPDLKIQILKTKIGREINRIEWNGMEMKSLRREFQEPKKEMRKPDGNGEEKGA >KGN54214 pep chromosome:ASM407v2:4:11657227:11660598:1 gene:Csa_4G293240 transcript:KGN54214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNAHGHRKHFNHGVNTSPTTNAPSPSNKYSSSEPTSRNPSSSTNMQSLPYPHVDSSLRALAAQAEGFGRSAIGGLHGSVYCVTNLADDGPGSLRFGCRMKEPLWIIFEVSGTIDLSSYLSVSSYKTVDGRGQRIKLTGKGLRLKECEHVIICNLEFEGGRGHDVDGIQIKPNSKHIWIDRCSLRDYDDGLIDITRASTDITISRCYFSHHDKTMLIGADPSHIGDRCIRVTIHHCFFDGTRQRHPRVRYAQVHLYNNYTRNWGIYAVCASVESKIYSQCNIYEAGEKKVAFKYLTEKATDKEKPSSGSIWSDGDLFVKDTQSGLLAPTAEHDLFHPSHHYSSWTVQPATDALKHILQHCTGCQNIPRPADQPLVP >KGN54187 pep chromosome:ASM407v2:4:11507037:11509213:-1 gene:Csa_4G292970 transcript:KGN54187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAARPLVSVQSLEGDMATDAAPTVPLPDVMKASIRPDIVSFVHSNISKNSRQPYAVSKRAGHQTSAESWGTGRAVSRIPRVPGGGTHRAGQGAFGNMCRGGRMFAPTKIWRRWHRKINVNQKRYAVVSAIAASAVPSLVLARGHRIETVPELPLVVSDSAEGVEKTSAAIKVLKQVGAFPDAEKAKDSHAIRPGKGKMRNRRYISRKGPLIVYGTEGAKLVKAFRNIPGVEIANVERLNLLKLAPGGHLGRFVIWTKSAFEKLDSIYGSFEKSSEKKKGYVLPRSKMVNADLSRIINSDEVQSVVKPIKKNVKRPQLKKNPLKNLNAMLKLNPYAKTARRMALLAEEQRVKAKKEKLDKKRNLTTKEDATAIRAAGKAWYQTMISDSDYTEFENFSKWLGVSQ >KGN54353 pep chromosome:ASM407v2:4:12560983:12571470:1 gene:Csa_4G307950 transcript:KGN54353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPNRKLKKTDSSSKLVLEEIIGTTTKNNNGLASNVNSAICVYLAGCVVVVHNVDSGTQSHLVVPHRSCKPLSCVAMSLDGRFVAAGESGPQPAVFVWELSGMVFVSELKGHLYGVACLAFSPDGKYLVSVGGYIYIWDWRTTALLTKLKASSSCTAISSVSFSSDSKSLLTAGKKHLKFWTLTSPKTHFNLGTSSLSLHGKPVNLGPHQGSSFVSIASGFWEDGSSSEVFPMYALTETGELCLVNSGFSVTKSVNLKVDKSFAVSASSKLIACACSNGIVQLFDAENLQYGGSLVYSRSKQFDGAGNIVYPMKDDGNVLKNLPALPDAIACYFSTSEKLVVIYGDHFLNIWDIHDSKQATRTCVLVSHSACIWDIKVLCCENMHDPSLACVARGCSGGVSFATCSADGTIRLWDLALESDSEDAMDTQVRRASTTRFESAGIFERETVEAGCSTQSFRSLAASSDGKYLAAGDCDGNIHIFNLLTSDYTCLQGAHDAEVLSLSFSLVSRNDAISKEVVQGHYYLASASRDRIIHLYNVERNFDLTDSIVDHSAAVTSVKISCNGHKIISCSADRSLIFRDFTTTDSGHMISRSHHQMASQGTVYDMAIDPKRDVVVTVGQDKKINTFDVASGKLIRSFRLEKDFGEPIKVAVDPSCSYLVCSYSNKSICMHDFMTGEMVVQAMGHGEVITGVIFTPDCKRIISIGGDGCIFVWRLPAFLSSSMHQKMNEGSGPLSPGIMTKPIPFGRIMIYEEDGNEAKQHATYSGDDSMQNGFQVHHQGEAAPEATFRFSISRLPRWAQYKVTNSDSAGINHESTPLQKSPCSLVVDDEGNASPPPEFQICCESSDHILRSVDSCTSSLSGNSSDYGDTNGSNVPQETFSGHPGMENRWLSIYNVCLDLPSSPEMQKFMDRKSVSSTNGLHDAAKLPASNGCSSGQASNHIDIGGELTSSKIAIFHKPVPSKHESHTRVNEVHSGEMGELHSSNVESEKQAIGDSSPCRSKAEDSDLFKLHFGSLSMSHKRKKSSARGRYSSKYVLQDCLGSTKRLRMLPYDCGSKTLNYVDEATNHSPSEILPSQVLAEQPLCITRMTDTLLRSKSSHFTQNESYPGEKESIRAKLTKEGNNDSFPVPSEMQEKRTSCREVLLGLDAAAENAVQFFSRLVTPACHEDSLPLQESGLEFYDEAAMLLPAILEKINAVAKLVQCKNKDKCESTKNVIITESKPLLETFAKNHSEKVEI >KGN54459 pep chromosome:ASM407v2:4:13577542:13581688:-1 gene:Csa_4G334700 transcript:KGN54459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLCFSKAETKDDDSDHHVEFAAGKVHLITTKEAWDQKLEEANTSGKIVIANFSATWCGPCKVVAPFYSELSEKYESLMFLVVDVDELTDFSTSWDIKATPTFFFLKEGQQIDKLVGANKIELEKKITAVADSLPPNRI >KGN54569 pep chromosome:ASM407v2:4:14798452:14798743:-1 gene:Csa_4G364000 transcript:KGN54569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKFGSWYSKGRRPDENMKIEKAIAISLLLRQHKRYLRAPSMLALPPVDDANDSLSPSSWDSSCEATLVTP >KGN53151 pep chromosome:ASM407v2:4:2469590:2474456:-1 gene:Csa_4G022870 transcript:KGN53151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNSDPSPPRRKVKFAPKSSQRKRPPPPPVQKTEDEDGEGYVAQTRYLLRRANENLGKRANKVEKKSSVQVAFGPGAESTSSSIRTYGVPKVENGSRKNDIEPEVDEDEEFVLPVARDVNEDGKYFDKKTKDGITESSSSAMETKTKRDYKEPWDYQNSYYPTTLPLRMPYSGDPERLDEAEFGQDVMNREYDENSVIPALDLGLLDENTESTKYFFQLPARLPLPKQSSTATGKEKVGNSRSSNSTSSSDLDDLKKLSAGCMGKLLIYKSGAIKLRLGDILYDVSSGSNCSFLQHVVAINTEEGQCCDLGDIGNRVVVTPDISSLLNSVTNLR >KGN53070 pep chromosome:ASM407v2:4:1985452:1986282:-1 gene:Csa_4G015110 transcript:KGN53070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISSLTADQIHAYNSSDEQVAEIRLFLDSNNRWNFLNSSPAFLLNLEREDSKLIPDGEFDFDWFQSNFPSPSFRSGFNFEVKLAAIEDADGEIRDGPLFWPLEHEIKWKSMEDWNWFAISPRKEELKSSTVPLNSNNGMRFQGRKITLNQVPKRRFVFNSRSAASEMMELKERRDSKACVPRIGAVPSRFNRPGNRNSVGKGWEEKLMAVDRDFEEKDRAGREEIPMETLLGLREFDGREGLGSELDEVVLSLDEDEACNQRSSLVLYNGLSLQL >KGN55398 pep chromosome:ASM407v2:4:22332468:22333447:-1 gene:Csa_4G650170 transcript:KGN55398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQNEIHPSNDNPCKFVEKFLTCTNKYGILVMYHKLHSLTSPFFRTTFPGFQPLQCAHVMAMAVQKLFPDAKVTIGPWIENGFCYDFDIEPLGDKDLMKIKKEMERIISTNLPLVREEVSRDKA >KGN55340 pep chromosome:ASM407v2:4:21972114:21975200:1 gene:Csa_4G646140 transcript:KGN55340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKPFNWMVLLCFLLAYNGVDAVSNQPYRTAFHFQPPKNWMNDPNGPMYYKGVYHLFYQYNPYSAIWGNITWAHSISYDLVDWVHLEHALSPTEPYETNGCWSGSATILLDEQPAILYTGANSENQQFQNLALPKNRSDPLLKDWIKSPHNPLIAPVDDIDPSNFRDPTTAWLGQDRLWRVIIGGEIGGSGMAILYRSEDFVNWTRSKSPLHLSNETGMWECPDFYPVSINGSNGLDTSVQDGLIKHVLKASFKEADHYVLGSYIPGTDTYSVENNFLSNGSDMRYDYGKFYASKSFYDSGKKRRILWGWIIESDSEADDTTKGWSGLQSIPRTILLGESGRQLVQWPIKELEKLRTKQVSFDDVDLKSGSLFEVPGITAAQADIEISFRLSGLKEAEEMNASWNDPQFLCKENNKALGKGAIGPFGLLVLASKDLTEQTAVYFRVFRNQYDKYVVLMCSDQSRSSQREGLEKATYGAFVDMDPLRETISLRSLIDHSIIESFGGNGKACITARVYPKIAINQEAHLYAFNNGSMDVRITRLSGWSMKRAQVVPIQKRRKSPID >KGN53194 pep chromosome:ASM407v2:4:2774366:2775651:1 gene:Csa_4G025730 transcript:KGN53194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFININLFFALQKMPSKFIRMFGKELSSSVVLIVPNGGVWEVGLEKFNGQIWFNHSWNKFIDYYSIDYGFLLIFKYEGNSSFHVLIFDTTTFEIQYPHHDGMKLENAVEKSDYAISISSSHDCSDQFIDDNDDDNECRYELHTTKRSKIKLESCDHEFMSKRFKVEDCIAVEDIDVVKNHRRRKLASKTRSSRGQEMAICEAKKKMMKTNNPSFMLIIEERNIKKNYAYIPSSFGKKYLSREDEIIEIQGRSSEQGRWKIWCKGVSAKRMGVGWGVFRKESNLRVGDVVVFELVKMNKNRVMKFTVFSSGSI >KGN52951 pep chromosome:ASM407v2:4:1213206:1213889:-1 gene:Csa_4G007070 transcript:KGN52951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFKTSKTNSPSSSSSKPRKSKQQDGQQPLQQEPTRFLGVRRRPWGRYAAEIRDPSTKERHWLGTFDTAEDAALAYDRAARSMRGSKARTNFVYSDMPHGSSVTSIISPDESQLYPPTPTQPNHLCFDPFTTSSFPGNDWLPDSDSYQPVTAFMDNGITNDDAELPPLPPDASSSYNDCAAMVDWSTASSSSTSSFMGFNSNAVFPSFPDTSTSDGFGFGSSSTYFY >KGN54548 pep chromosome:ASM407v2:4:14624274:14625865:1 gene:Csa_4G361820 transcript:KGN54548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDLQLPPGFRFHPTDDELVTHYLCRKCASQPISVPIIAEIDLYKYNPWDLPERALYGEKEWYFFSPRDRKYPNGSRPNRSAGSGYWKATGADKPIGRPKAVGIKKALVFYSGKAPKGEKTNWIMHEYRLADVDRSARKKNSLRLDDWVLCRIYNKKGAIEKQNPPEMNTIGFFENEEQEEKPEILNDRAISGRIPPASPLQGPPSSGVVNDYVYFDPSDSIPRLHADSSCSEHVVSSEFTSEVQSEPRLKEEYCGLGFQYNYTDSSLESAFCAQFPSLHQMSPLQDMFMYKPF >KGN53947 pep chromosome:ASM407v2:4:9529660:9530484:1 gene:Csa_4G192190 transcript:KGN53947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRPSTSTADPPKEQETTLSTNEEISDQNPSDQDDHLPLIKRKRTRMKQLARRHKLFREKRLKRQLIHPVDDPIPKSKEEPRGKDFVFDSETESESDTDPNQETLIFRVLAEMVESEKDSLEIIPDEYKNLMIFMGGSWNFCPSLVMEKRLKKGDVEERRNRLLIPQRKTRTNFLDVEEEEQLNRDVWWMVEIIEPDCTVSLITLSKWETWKGVAYVLITEWNGLVERNDLKEGDLMQLWSFRAGGDRGRLCFMLLEVEEIREDGGEIAAAES >KGN54681 pep chromosome:ASM407v2:4:15900572:15900913:1 gene:Csa_4G420710 transcript:KGN54681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKGRTMLKGRKCPIVQLLKANRKKCSRFATWMWIFLSNFYFLLFANLFYCHTQVIVTEVLDGDKFYVQTIPDQTATSLQQQLETLNLQEVPLICGFSPKKGDIVLAQFSSDN >KGN53799 pep chromosome:ASM407v2:4:7927074:7928839:1 gene:Csa_4G131130 transcript:KGN53799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKFLDDSQNPDSKPNEKDVLSCAQDLSRLSFPKRFDQISGEVVTDSVQQLSFGPVHEEVNGLSTFQPPLSRPPNCLMRKKLLVLDINGVLVDIVSPPPKERKADISIARHAVFRRPFYLDFMKFCFERFEIGIWSSRNRKNVSRMVDYLLGDMKHKLLFCWDLSHCAASKFKTLENKHKRVVFKQLRRLWEKQDPNLPWKEGEYNESNTLLLDDSPYKSLLNPAHSAVFPYSYTFLDEAKDTSLGTSGDLRIYLEGLAEAENVQKYVGQNPFGQSPISEGSASWDFYHMVLDNYHSFPSTI >KGN54673 pep chromosome:ASM407v2:4:15802334:15807238:1 gene:Csa_4G420140 transcript:KGN54673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKNDGEQPLPSAIDSRPSGLVADGRCCCGCVSIRRLIGFRCIFILLLSVALFVSAVFWLPPFLHYADQKDLDLNPSYRGHDIVATFNVERSVSLLEDNFDQLRTDIFEEFPIPSIKVNILSLEPLSGSNRTKVVFSLDPDTDDSEISSTYLSLIRSIITSLVTNQFLSITKSTFGEAYSFEVLKFPGGITIIPPQSAFLLQKVQILFNFTLNFSIHQIQVHFSELTSQLEAGLRLAPYEILYIKLWNAEGSTVTDPTIVQTSVLLEVGNTPSMRRLKQLAQTISGSNSSNLGLNNTEFGKVKQVRLSSILKHSLNGSDGNGPVRSPSPAPTPQPHNQHHPPTHHHHHHHTPLTPAISPAPATEKGAPEYGSPAPERNAASPKRSYTAKPPGCQYRYKRKSGRKEGKQSHLTPLASPNISPDHSAASPSPQHQINPPAAPVSPAPALTPLPNVIYAHVQPPSKSDSNHPANPSIAPSPSGADRCHMITQWGFTLFLILACHM >KGN55422 pep chromosome:ASM407v2:4:22510465:22511924:-1 gene:Csa_4G651880 transcript:KGN55422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVIVTSLSMFGTCCNGAFSECQVYVSSCNRSSRGLIWESLGDFQTATLSMVNWKKHRKKRKDFCRLALQNPEQVMVVKGKTEIRVSEDEVLGVLKSMTDPIRALSYFYSISEFPTVLHTTETCNFMLEFLRVHDKVEDMAAVFEFMQKKIIRRDLDTYLTIFKALSIRGGLRQMTTVLNKMRKAGFVLNAYSYNGLIHLLIQSGFCGEALEVYRRMVSEGLKPSLKTYSALMVALGKKRDSEMVMVLLKEMEDLGLRPNVYTFTICIRVLGRAGKIDEAYEIFRRMDDEGCGPDLVTYTVLIDALCNAGQLENAKELFVKMKANGHKPDQVIYITLLDKFNDFGDLDTFKEFWSQMEADGYMPDVVTFTILVDVLCKARDFDEAFATFDVMRKQGILPNLHTYNTLICGLLRAGRIEDALKLLDTMESVGVQPTAYTYITFIDYF >KGN53293 pep chromosome:ASM407v2:4:3565221:3568917:-1 gene:Csa_4G046070 transcript:KGN53293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSTKEMPSAQSLFSAYASMAGSIMLFRSMANDLIPAPVRSYVAAGVRRLFNSKSSMFTLVIEETTGISPNQIFDAAEVYLSAKITSDTGRLRISKTPKDKNPTLRLEKGEELTDCFDGIPLLWSINSHDQDKNPNITNNGHALYPPKTERRFFELKFNKIHRQKILNSYIPFLLDHAVAMKDQERTLKLYTMNSAGCYSGKWDSVNLEHPATFETVAMEAAGKKAVMEDLDRFLKRKEFYKRVGRAWKRGYLLYGPPGTGKSSLVAAMANYLKFDIYDLQLGNVMQDSDLRMLLLTTGNRSILVIEDIDCTIELPDRQQGDWRSNNTREIQLTLSGLLNFIDGLWSSCGDERIIIFTTNNKDRLDPALLRPGRMDMHIHMSYCTFHGFKLLAANYLQIGHTQHCLFPEIKTLLDATEVTPAQIAEELMKSEDPDVSLQGLVKLLKRKKLEQEEEEDNGNTNGIINGISNGKSNDNSENSEEEGKLREAKRLKIEAGKKVGTKVTRRKFVRGRKF >KGN53236 pep chromosome:ASM407v2:4:3101734:3105335:-1 gene:Csa_4G031070 transcript:KGN53236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKICFFFLQLTLIIATIFASKPTYDFSSTIFDTGGLSRAAFPEGFVFGTATSAYQVEGMADKDGRGQSIWDPFVKLPGKIVDNATGDVAVDQYHRYKEDIDNMKKLNFDAYRFSISWPRIFPNGTGEVNWKGVAYYNRLIDYMIQQGITPYANLYHYDLPLALQERYRGLLDKQIVIDFTNYAEFCFEEFGDRVKNWMTFNEPRVIADVGFNSGIMPPSRCSKEYGNCTDGNSGTEPYIVAHNIILSHANVVDTYRKKFQEKQGGRVGILLDFTYYEPLTNEKEDRDAAQRARDFHIGWFLHPFTYGEYPRRMQEIVKERLPKFSEEEVKKVKGSVDFVGINQYTTFYMFNPTWPKPTTPGYQSDWHVGYAYEKNGKPIGQRAHTGWLYEVPWGMYKALMYVKQHYRNPNVIVSENGMDTSDIPLPEGLNDRERINYYKTYLQNMKKAIDDGANVSGYFAWSLLDNFEWLSGYTSRFGIIYVDYKHNLNRIPKMSAYWFKQMISKN >KGN52755 pep chromosome:ASM407v2:4:163800:164069:-1 gene:Csa_4G000760 transcript:KGN52755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMMRKKLIVLAMAVCVLFMATSIFIREVMASVRTRATELIQTCHAGNDADCPPVCRRNQMCGIL >KGN53361 pep chromosome:ASM407v2:4:3989866:3998717:1 gene:Csa_4G050170 transcript:KGN53361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTPEQECRWGSDDITQLDTMIFGSQFSNLTEEFVEVTLDVQENNTVVLRSVEPATIINIDDGVSGGSATPALTSPSTSRSTSVKRSSSHRFRQFSHELKVEARAKAKHFSHELKTELRKFSWSRSHVSKAFPASSSTVNSNGGVGAEQNGMDSALAARDLRRQRAKLDRTRSGAEKALYGLRFISKKNKTTGVDSYSELESNFNKLAKDGFLSRADFAQCIGMKDSKEFALELFDALSRRRRLNNSDQISRDDLFEFWVDKNEDGHVTEEEVKEIIMLSASANKLSRLKEQAEEYAALIMEELDPERLGYIELWQLETLLLQKDTYMNYSRALSFTSQALSQNLQGIRQRSSIKRLGTKVLYFLRENWKRIWVLTLWIMVMVGLFIWKFFQYRNKNAFKIMGYCLTTAKGAAETLKFNMALILLPVCRNTITWLRKTKIGYYVPFDDNINFHKIIAVAIIVGIILHAGNHLACDFPRLLKSSDADYAYVMDDFGKNKPSYMDLVKGCEGVTGILMVIFMAIAFILATRWFRRNLIKLPHPFDRLTGYNAFWYSHHLFILVYILLIIHGLFLYLVHRWYLMTTWMYIAVPVLLYAGERILRFFRSGSYSVQIQKVAIYPGNVLKLQMFKPPQFHYKSGQYMFVQCPAVSPFEWHPFSITSAPGDDYLSIHVRQLGDWTQELKRVFSEVCEPSVPGKSGLLRADETTTKSLPKLSIDGPYGAPAQDYHKYDVLLLVGLGIGATPFISILKDLLNNIVKVEEQLDSPTDISSSGDINYLDKDCHAPKRKTNLKTTNAYFYWVTREQGSFDWFKGVMNEISELDQRGLIEMHNYLTSVYEEGDARSTLITMVQALNHAKNGVDIVSGTRVRTHFARPNWKKVLSKITSKHCGARIGVFYCGAPVLAKELGELCHEINQKGSAKLDFHKEHF >KGN53684 pep chromosome:ASM407v2:4:6665023:6665733:1 gene:Csa_4G102330 transcript:KGN53684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIESKCGWLPLGHLRENAMVAVVALIKKTEMANGSEQRHHSVLLLDKIYGDCGDFRNNLSNSNTTKAKHDCKIHTYRHNYSN >KGN54050 pep chromosome:ASM407v2:4:10741956:10744908:1 gene:Csa_4G279790 transcript:KGN54050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQLTDDQISEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAK >KGN55243 pep chromosome:ASM407v2:4:21453804:21454822:-1 gene:Csa_4G641750 transcript:KGN55243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPHALTCLSLSLLLISTLILLSKLRKLRNQRKLPPTPPSLPIIGHLHLLKQPFYRVLHDLSNKYGPILSLTIGSRPVVVVSSPTAVRECFTKNDIVFANRPRLLSGKYINYNYTAMGFAPYGQHWRNMRRIATTELLSNHRLNTYLNIRVEELKLWVKNSLYKWSSGRGDFVVVDMKYKLKELSFNTVMRMISGKRYYGVEVEDVEEALEFREIMKELLELSGATNAADFFTILRVFDIEGVKKKMMKASGRADVFLQKLIDEEREKGVSRWPEEKQKEGKTSMIRTLLSLQESQPQYYSDDIIKGHVLNLVSKSK >KGN55311 pep chromosome:ASM407v2:4:21839965:21841024:1 gene:Csa_4G645850 transcript:KGN55311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIGEQSRFNHTIQVPPWDPLDDHHMTGISSPVFISSGVDSFIDSFSSIHRYLPSAADSDELDGQSPRTPVSADSVDGSSRIAHAFDSYFSKGSFVTSPTSILSTPPVSPPSDSPPLSPNCPFNSVNGLVSCMRNLQLGKLKATPHWGRIQLGQNPLSPSSSGLYNLPSTPTTGNYELWGKNYEEEPAMERVESGRDLRAQMYAKLSKDNSVKEMDPILTPDVGWVSELLM >KGN53959 pep chromosome:ASM407v2:4:9628911:9639719:-1 gene:Csa_4G194270 transcript:KGN53959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSNIVTEAGLPTRMGQWWEGIPFFTSSIILICGTIYLVCLLVGYDSFVEVCFLPVAILSHFQVYRIFTSVLFHGSLLHVLFNMLALVPLGSELERIMGSIRMLYLTILLAISNAIIHLFIAVVMAHNPFHHYDNLMNECAIGFSGILFSLIVIETSLSGIQSRSVFGLFNVPAQWYVWILLVVFQLLMTNVSFLGHLCGIITGFAYIYGLLNFLLPGTAFYSAMESSSLLSSCVRRPKFILCTGGNPSAQLPTYSDQNTTSNSLSVPNVWRNLSSWMPRRETVTEPQQQDNRFPGRGRTLGSAQNQSAPADDSEFNLQTRLLDRSSADRQSSDGRQNDSAVVDRATPMQNQRSVASDAEIQKLVSMGFEKTQVEVALAAADGDINIAVEILMSQKD >KGN54252 pep chromosome:ASM407v2:4:11900388:11903677:1 gene:Csa_4G296050 transcript:KGN54252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPISGFRSLLWWSKWSKKDWAIAVICFAFIFFVFSFFSDSWHAEDSGINHTFDLNPANDPDLVDLTLLQNAKAKGALCLDGSLPGYHFQKGFGSGSSNWVLHIEGGGWCDTVSSCSLRKMTPLGSSDYMERRVLFSGILSSDASQNPDFYNWNKIKIRYCDGASFAGHPVGETKNGNILHFRGQLIWEALMDELLSVGLSKARQALLSGCSAGGLATLIHCDDFRELLPKDATVKCLADAGFFLDEKDVSGNHTMRSFYHHVFNLQVVLCSSFVLIYTFSDWMVQNLVIFFEKNLVDLFGVLLFYSL >KGN54286 pep chromosome:ASM407v2:4:12153371:12153827:-1 gene:Csa_4G296880 transcript:KGN54286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMQKLNNVKDSTWSLSFVEQHLSPSFEEGNSRRLDPVTEELLVWAILDLEIVDCFISVIPDCPAGDSINMLMRSSLEHVEMSMPTVFEAVVVVGLD >KGN54204 pep chromosome:ASM407v2:4:11602293:11603001:-1 gene:Csa_4G293140 transcript:KGN54204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDMIYNGSDSIGLLMLNANGYDIPEFGFMSQHQSDGDPIGDNPESEQAYHSCYGWSSNEVNPTDLFFNCEYLYGNQNGCINESTTELILYQNENQLEDYNGDSRNLYYEFSWDCLRFWFGIGEDEQGQERNGQELTPENDCRSDALALLEAILGY >KGN53781 pep chromosome:ASM407v2:4:7759140:7759395:1 gene:Csa_4G127000 transcript:KGN53781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIVHCAFPTILGSYRRRTTAVEMKALPNNLRVYSYASEDEEHFSSHDVSL >KGN55226 pep chromosome:ASM407v2:4:21372441:21372986:1 gene:Csa_4G641590 transcript:KGN55226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEVCSVNSSSPKRKQRHDQNHLQQQKPYRGIRMRKWGKWVAEIREPNKRSRIWLGSYTTPVAAARAYDTAVFYLRGPTARLNFPDLMFETDQLHDMSAASIRKRATEVGARVDAIQTSLHASNSAGTQISDKPDLNEYPNPETSDDD >KGN53332 pep chromosome:ASM407v2:4:3777742:3781472:-1 gene:Csa_4G047930 transcript:KGN53332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNPDNLLWPPATTTSAPQFRSLPKLLIFLVLFLSVTYIVYTLKLLSSDDPCPDADQPITLNTQNDLPISSSISSPIRNQTIPNFPQKPHTQTEIQDIVFGIAASANLWEKRKEYIKLWFDPRKMRAVVWMDEPVATKTEDSKLLPPVVVSGDAGRFAYRNKQGRRSAIRISRIVGETMRLGAENVRWVVMGDDDTVFVAENLVRVLRKYDHNGFYYIGSSSESHLQNIHLSYGMAYGGGGFAISYPLAKAIEKMQDGCLERYPGLYGSDDRIQACMAELGVPLTKELGFHQCDVYGNLFGLLAAHPVTPLVSLHHLDIVEPIFPDTTRLEALRRLLVPMRLDSAALMQQSICYDKARGWTISHSWGFSVQIFRGFFSPREMEMPSRTFLNWYRKADYTAYAFNTRPVTRNPCQKPFIFYFSNATLNSSTGLIVTEYLKDRSPHPFCKWKMADPALLQMVIVSKKPNPSLWDSAPRRNCCRVMEMEKEGVLSIGVGTCREGEISV >KGN54268 pep chromosome:ASM407v2:4:12030480:12033342:-1 gene:Csa_4G296220 transcript:KGN54268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVRVESSTPSLISGADPKQTTPQACTLLSVGQAFSGTQNVSNNQKDEAWRVNVRIQGLDLEHGYLCGTMEALNVPMADTPVVTFWEGEIVDGKNYNFFTGKWQAAPEEDIRHWTKFPSFAPLMNQVEVDGGKSLDLSNYPCIFMRWKEQYFVNVGTDCGLTIAGFYYVCFSCSDGSINGFYYDPNSSPFQKLELKSTNEGRSGFSFSSYELQ >KGN54468 pep chromosome:ASM407v2:4:13677627:13682890:1 gene:Csa_4G337250 transcript:KGN54468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVSFKQVLLFSLLFLLFCGGAIAEIEHENSQKIISAPDKNGIKVIDGSGTENLIGFEDTNYLLHERKGGSRVSVSTVAVFTLAMAAATGLGAVPFFFVELDPQWAGLCNGMASGVMLAASFDLIQEGQEHGAGNWVVIGILAGGIFIWLCKKFLEQYGEVSMLDIKGADATKVVLVIGIMTLHSFGEGSGVGVSFAGSKGFSQGLLVTLAIAVHNIPEGLAVSMVLASRGVSPQNALLWSVITSLPQPIVAVPSFICADAFNKFLPFCTGFAAGCMIWMVVAEVLPDAFKEASPSQVASAATLSVAFMEALSTLFQSFTHEYNSGDVSGFFVSLLFGVGPLLGGVVLVAFAHAFHLQHALLMGTASGIAFILGAWRPLQLLFSSKMDFIPLTTLLSLGAAFIHFSSSSLLKLAGQKRASVNDLTTSTNFSVSVHTLQSFLSCGAIAFHALAEGLALGVAAPKAYGFGRHIVLPVSLHGLPRGAAVASCIFGATDSWHGSLMSAALVGFVGPISAIGAILAGIDYSGLDHVMVLACGGLLPSFGSIIKRAMRLDTQKSSSGLVIGLGFAILCLMCTKLVCLHTPYCNSAPEAVR >KGN53094 pep chromosome:ASM407v2:4:2102886:2106281:1 gene:Csa_4G015840 transcript:KGN53094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEFLWTFAAQELLKKTVKLAAEQIGLAWGFNNELSNLRDSLLMVEAILRDVDRIKAEHQAVKLWVEKLEAIIFEVDVLLDELAYEDLRRKVEPQKEMMVSNFISFSKTPLVFRLKMANKIKNIAKMLERHYSAASTVGLVAILSKQTEPDFSQIQETDSFLDEYGVIGRESEVLEIVNVSVDLSYRENLSVLPIVGMGGLGKTALAKVIFNHELIKGNFDRAVWVCVSEPFLIKKILRAILETLNSHFGGLDSKEALLQELQKLLNDKKYFLVLDDVWNENPILWNELKGCLLKISQRSGNVVVVTTRSDRVAEIMETHSRYHLTKLSDDHCWSLFKKYAFGNELLRIPELDIVQKELVKRFGGIPLAVKVMGGIVKFDENHEGLQKSLENLMRLQLQDENHVVSTIKLTVDRLPLPSLKQCFAYCSNFPKDFKFRKEALIQMWIAQGFIQPSLGSDEMMEDIGEKYFNVLLSRFLFQDIVKDNRGRIIFCKMHDLIHDVACAISNSPGLKWDPSDLFDGEPWRRQACFASLELKTPDCNENPSRKLHMLTFDSHVFHNKVTNFLYLRVLITHSWFICKLPNSIAKLKHLRYLDISYSTIRELPDSAVLLYNLQTLKLSRFLNGLPKNLRKLVSLRHLEFFSDPCNPKQMPQHLGKLIQLQTLSSFVVGFDDGCKIEELRSLRNLKGKLSLLCLERVKSKKEAMAANLVEKRNISYLSFYWALRCERSEGSNYNDLNVLEGLQPHKNLQALRIQNFLGKLLPNVIFVENLVEIYLHECEMCETLPTLGQLSKLEVLELRCLYSVRSIGEEFYGNYLEKMILFPTLKAFHICEMINLENWEEIMVVSNGTIFSNLESFNIVCCPRLTSIPNLFASQHESSFPSLQHSAKLRSLKILGCESLQKQPNGLEFCSSLENMWISNCSNLNYPPSLQNMQNLTSLSITEFRKLPDGLAQVCKLKSLSVHGYLQGYDWSPLVHLGSLENLVLVDLDGSGAIQLPQQLEQLTSLRSLHISHFSGIEALPEWFGNFTCLETLKLYNCVNLKDMASKEAMSKLTRLTSLRVYGCPQLKLNIGDFERVNISLVPTIS >KGN54217 pep chromosome:ASM407v2:4:11674072:11678863:-1 gene:Csa_4G293270 transcript:KGN54217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHHSRYNLPSTHLHPTSAHRSPLPPGNRKNEKQRNLRTMGCDSGSCSSGITEDDSFTLELGWRSSKGSFGPPVKKLLADEMSKETEMKKRSPSIIAKLMGLDGMPPTRCANNRQKCPSEGSSPRCISKEKVGRRGTYLDGQVTKRSSKDQQEFKDVFEVLETSKTGQSRNPDQGAGRFEVAESEMAFIRQKFLDAKRLSTDEKTQDSREFHDALDALESNRDLLLKFLHQPGSLFARHLHDLQDVGSCSVHGCLPAIESLDNRKCDYPGFRGNSDRGTPPKKSSKSNNNHSSYSDSSFSAHSSKSFQILESKDELDHLPTRIVVLKPNIGKVQNARNIIFQAHSFEECSDLGDLKTAERTNKDFRGKKDSLDKKVVSRHSCKESREIPSGKTRQMRNEVSVSPLNSTCSNFQGYAGDESSCSLSGNESSEEPVVRNVNLKSSSNLNMGYRQSSSRHKESSISREAKKRLTARWRSSRISEDKGVVSRGSTLADMLAANAKEVTLADSYAQIAEEGFPGKFSNDVQPGKKVEPFGISSNDGWKDDRIKLTRSRSLPASSIGFGRPKTVHRSNKHLISKELKRENNKAVKINFDQKECLPWQKSTPSKITPSFKGNQISTNTYSLDHSSSKIASTEFEASCSSVNDRNPISQSVEDDGDGCTMTFHETPNDLELDSSEHISTVRNSCVDHQDNTMQEEEPSVASPVLLHKSVPALESPATSKEADQPSPVSVLEPAFGDDLSSCSECFESVSADLQGLRMQLQLLKFESEAFTEGPMVVSSDEDSTEVSSELPPDEKKGPWRTNDSWEFSYLLDILTNAGLNNNCNASAVLATLHSSDCPIDPKMFEQLEEKHSVAPSTTRSDRKLLFDQIYSGIMTISQQFMDPQPWAGRRGSKTQIARKWMMKNEELQNRICKFLHTQTVRNDIVEEESQWQDLGDEIDAIGREIERLMINEVLDEIVTM >KGN52829 pep chromosome:ASM407v2:4:519339:527854:1 gene:Csa_4G001960 transcript:KGN52829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAPSMSVSLECVNICKFSKGDGSSGRYDCSILSCAWKAPRVLTGFLASTTHSLHCSSFLYSKNGKRSRINSRFESINVRGWYSNEVSNHVHLGRLLKSGLLHDVCRRKKFCCSSFLSSDAFDEVSPEGLWEDLKPTISYLSPKELELVHNALKLAFEAHDGQKRRSGEPFIIHPVEVARILGELELDWETIAAGLLHDTVEDTDFVTFEKIEEEFGATVRHIVEGETKVSKLGKLKCKNECNSVQDVKADDLRQMFLAMTEEVRVIIVKLADRLHNMRTLSHMPPHKQSSIARETLQVFAPLAKLLGMYQIKSELENLSFMYTNPEDYSKVKRRVADLSKEHEKELIEAKKILMKRIQEDQFLDLMTMRTDVRSVCKEPYSIYKAVLKSQCSISEVNQIAQLRIIIQPKKGIDVGPLCSPQQICYHVLGLVHGIWTPIPRAMKDYIATPKPNGYQSLHTTVIPFLYESMFRLEVQIRTEEMDLIAERGIATHYCGGGLVTSPVRNSMPNSRSSRGKAVCLSDANIALRIGWLNAIREWQEEFVGNMSSREFVDTVTRDLLGSRVFVFTPRGEIKNLPKGATVIDYAYMIHTEVGNKMVAAKVNGNLVSPMHVLVNAEVVEIITYNALSGKSAYQRHKQWLQHAKTRSARHKIMKFLREQAALSAAEITADTITDFIADSEEESESEESPVVSTKKKPLWEKILDMVDISSTRKNLKDDFQTKNNKVSIPKVNGKHNHYVNVKLKAEGDILSMGNGVAPIMQPLYKEVLPGLDSWQISKVTSWHSLEGRSIQWLCVVCIDRRGIMGEVTTELAAAGITVCSCVAEMDRGRGLAVMLFHVEGDLESVVNACARVDTILGVLGWSTGCSWPNTVENENQKFLEC >KGN52911 pep chromosome:ASM407v2:4:960930:963294:-1 gene:Csa_4G006180 transcript:KGN52911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQATAKRTETQASVGEKKVKDLMGDKKRLVEVPYTASLAQTMNVLVANHVVAVPVAAPPGHWIGAGGSMIMESDKRTGVLRKHYIGMVTMLDILAHIAGDDHDGDGGRDDLIDLDRKMAVPVSNIIGHNVEGLSLWTLNPNTSILDCMEIFSKGIHRALVPVDGQVEEAVGVELVESASSYRMLTQMDVLRFLRGKVVEIEGILRQSVKEMEGMVNENVMAITDKTSVIEAIKCMKSSFLNAVPIVGSTQLGVDQQSHAQLFTGRGKKLVGTFSATDLRGCHLATLQSWLHQTALEFTDLVRKSPLLEGAGVGVRELVTCRPESSLEEVMEKVLSKHVHRIWVTDEHGLLLGLISLSDMIRVIRLSLLSKIQT >KGN53948 pep chromosome:ASM407v2:4:9535208:9536632:-1 gene:Csa_4G192200 transcript:KGN53948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDLMTKSFTSYVDLKKAAMKDLDLEAGLEKASSVTGDNGDMGLFLEEAEKVKTEMGSIREILVKLQQANEETKSAHKPETLKLLRNAINVDIVTVLKKARSIRSQLEEMDRANAAKKRLSGSKEGTAIYRTRIAVTNGLRKKLKELMMEFQSLRQRMMTEYKETVGRRYFTVTGEHPEEEVIEKIISNGGEEFLARAIEEHGRGKVAETVVEIQDRHGAAKEIEKSLLELHQVFLDMAVMVEAQGEKMDDIEHHVMNASQYVIDGTKDLKTAKDLQRNSRKCLCFGILLLLVIILVVVIPIAVSFGSS >KGN54783 pep chromosome:ASM407v2:4:17260105:17261350:1 gene:Csa_4G496240 transcript:KGN54783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVEHYLICISHKRHYKKQLQLQTVELKVAMDCDGCELKVKKALSSLRGVKSVKINRKQLKVTVVGYVEASKVLKKAKSTGKKAEIWPYLPYNLVSYPYIPPVYDKKAPPGYVRNAHLEDNNNPSFLKFDDPSNFVTMFSDDNTNAPCSIM >KGN52753 pep chromosome:ASM407v2:4:154766:155982:1 gene:Csa_4G000740 transcript:KGN52753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFTITIPRIPHKNSPIHRTLTKIHASSSSKSPNSQLPLPSKFGRQNENNIPTMAEIVAAGEAQNLSLRLQTLGPFFRITAKSLGTEREIGKAEGLVRVWLGGKILHLDSIRLNRESLGMEKSIFGLGLFIGAVAIRYGYDCGCKTAELLAINDSDLYHSKLVRFYTRIGFKSMYEVSGSKLKDIGDMLVWGGIGTRMDAPIDSLLLKWCTRFKPH >KGN54035 pep chromosome:ASM407v2:4:10659477:10660847:-1 gene:Csa_4G269180 transcript:KGN54035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARCLQGFSSLSLNPTTKHTPSSRRPPSLLITSSSKSDNAPLIGRKLRAAVIGGGPAGSSAAEALAAGGIETYLFERSPSSAKPCGGALPLCMLNEFDIPAHLIDRHVTRMKFISPSNLAVDFGKTLKAHEFIPMLRREVLDSFLRSRAQSNGANLIHGLVLNLELPTSSKEPYVVHYTAENSRKSLAVDVVIGADGANSRVAKSIDAGDYTCAIAFQERIKLPEEKMEYYENLAEVYVGNDVSPDFYAWVFPKCDHVAVGTGTVCSKQDIKRYQRGIRERAKRKISGGKVMKVEAHPIPEHPRARRVVGRVALVGDAAGYVTKCSGEGIYFAAKSGRMCGEAVVRASEGGERMIGERDLKREYLREWDAKYVGTFRFLDVLQRVFYGDNAGREALVEMCGNEYVQRMTFESYLYKKLAEGNRLEDAKLMWNTIGSLIKCGIVGRTETHKVASFL >KGN54694 pep chromosome:ASM407v2:4:16055763:16056239:1 gene:Csa_4G429280 transcript:KGN54694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRKLKKMEENRTYYKGIWEDYVWISLGNARLEGPENAMTLRNQSSIISNKSFSSLVGPVYCSFLVPILPSRIVQSVVQAEDRKMRRILEIRITLIPT >KGN54164 pep chromosome:ASM407v2:4:11316980:11317420:1 gene:Csa_4G290795 transcript:KGN54164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVVERTNDLRQIKTNDSWRENPVQLTVTQDVKIAPGTVRNSPTQKLIGFKRTNNVGKKRVRWFRERQTRKNLNLPTSSPLGVDFGSKRGFLNDLKSKGEMSRLIRNSIMDEKYSPHGSLSKYLDGSESVKIKLRWRRRWMRRIHI >KGN54862 pep chromosome:ASM407v2:4:18429636:18430131:1 gene:Csa_4G556180 transcript:KGN54862 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAUR family protein MPEKKKMKVKKGWLAVEVGLQEEDERLERFVVPISYLYHPLFKNLLDKAQEIYGYHANGPLRLPCSVDDFLQLRWQIEKESDQHIDKQNHHRHRYRHHHHHYHLPLALSFQSC >KGN55228 pep chromosome:ASM407v2:4:21388678:21391101:-1 gene:Csa_4G641610 transcript:KGN55228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPASRCSKYHDCSFPFNCEEKDEYRANRLSFSKMESGLPMLNCLLQHTLRSLCLSSDSCSSTSSKWVYAIFWRILPRNFPPPKWEFGGSALDRSKGNKRNWILVWEDGFCDFHECQRAAGGCITGRFGVDLFFKMSHEVYSYGEGLVGKVGADNSHKWVFRDNTTESDPNLISSWNSSIEPQPRAWESQFKSGIQTIAVIAVREGVVQLGSFDKVPEDLNLVINVQRKFSYLHSVPGIFAVQRPYLPTQHPYVLKPDVQMIENQSTGLKRLFSSMLDESPIKSINLGWNTPQHSLTTGSPVWPIPPLLPSTSCSLGTFKSNFPSNSTPPCEVNDRPDPVQHMSINHPTPNTKASNSEVKIETSNKLDAAQETEEKQNCLNPSLRFEDGVMIELGFRLGETTQNGQNLN >KGN53792 pep chromosome:ASM407v2:4:7872293:7875359:1 gene:Csa_4G129580 transcript:KGN53792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSTTNQVSPATTLLFFLFLFLLGVAHSSSFYEPIDNITLDCGSDANLSSFYENDRIWVGDIDSKYFPSDHQQNGASMTSKADAQSTSVTTVPYMTARLSRSQFTYSFPVTPGQKFIRLYFYSANYQQFDRSKAVFSVRAGLFTLLRDFNTSVNADASFNNEIFREFCVHVGENDPKLNLTFTPTNQDSYAFISGIEIVSMPTNLYYTPLELNDEGGRGLKQVGQNNKFFPIENYTSLEMVYRINIAGKFLSPMEDTGMFRTWYDEEVSNFLEPFTGNYDARPANSSIKLNYSSKVPAYTAPEDVYRTARTMGPNTTENKRYNLTWEFPIDPGFLYMIRLHFCEFQEEINSTEDRVFLIYIRDTMVEQSADVFRWAGGGGIPYRRDYVLLVSKNDKKKVNLSVTLQANPDDSKTRYTNVILNGIEIFKLNDSDGNLGGQNPDPLPTTQTQSLPPPKDHSKRSKMAAIIIPIVVGGVVAMILAMGLFVIRQRKTFMDQSSSDGTSWWALYSISTNKSSKSRNSNLPSDLCRYFSLAEIKAATKNFDDNFIIGVGGFGNVYKGYVDDGATQVAIKRLKPGSKQGAHEFKTEIEMLSQLRHLHLVSLIGYCNDGNEMILVYDYMSHGTLRNHLYGDDEQPLTWKQRLQICIGAAKGLHYLHTGAKHTIIHRDVKTTNILLDEKWVAKVSDFGLSKVGPTDMSKAHISTVVKGSFGYLDPEYYRRQQLTEKSDVYSFGVVLCEVLCARPPLMRLTDKKQVYLAEWVRRCNRDNTIAQIIDPNIKNEISPECLRKFIEIAVRCIQDDGINRPSMNDVVWGLEFAVQLQEASKKKEVQGDKENNGGDNYSEKREGREEEWLMEETSFSSSNDRNHGLESGMSSDMTTSNSENSSYVYNKGMSGTVFSEIKVPTGR >KGN54595 pep chromosome:ASM407v2:4:15018110:15018408:1 gene:Csa_4G374630 transcript:KGN54595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGGAGMTLSIPTPRPVVDPPKFVCKEWGKDSPQGSGSSRGNSVGEYPCGSPPSLDPWLKWTPLGLNGHL >KGN53173 pep chromosome:ASM407v2:4:2666669:2670054:-1 gene:Csa_4G025060 transcript:KGN53173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYLDSYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDVTDQESFENVKQWLNEIDRYASENVNKLLVGNKCDLPNRAVSYESAKAFADEVGIPFMETSAKDATNVEQAFMAMTADIKNRMASQPANNARPPTVQLQGQPVNQKGGCCSS >KGN55554 pep chromosome:ASM407v2:4:23221320:23222275:1 gene:Csa_4G665100 transcript:KGN55554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIATETAGALAYLHSAASVPIIHRDVKSANILLDRKCTAKVADFGASKFIPMDQSQITTLVQGTFGYLDPEYFQTSQLTEKSDVYSFGVVLVELLTGELPVSFERSETERNLSSYFVASLREKRLFRILDGRVLREGKREQVIAAAELARRCLKLKGEDRPRMREVVSELERLTMKSEGVNVSDTQPLLEVEQYSDLYPIHTSSTF >KGN53214 pep chromosome:ASM407v2:4:2880082:2881047:-1 gene:Csa_4G026900 transcript:KGN53214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFRISPAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >KGN53317 pep chromosome:ASM407v2:4:3678702:3679817:1 gene:Csa_4G046810 transcript:KGN53317 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDP-mannose 4,6-dehydratase MASIADGTRSTSDTNGKAAEPAEKRRTALITGITGQDGSYLTEFLLDKGYEVHGLIRRSSNFNTQRINHIYIDPHNANKARMKLHYADLTDASSLRRWLDIILPDEVYNLAAQSHVAVSFEIPDYTADVVATGALRLLEAVRSHIAATGRSHIRYYQAGSSEMFGSTPPPQSETTPFHPRSPYAAAKCAAHWYTVNYREAYGIFACNGILFNHESPRRGENFVTRKITRAVGRIKIGLQSKLFLGNLQASRDWGFAGDYVEAMWMMLQQDQPDDYVVATEESHTVKEFLEVAFGYVGLNWKDHVVIDKRYFRPAEVDNLKGDASKVKRVLGWKPKVGFEKLVKMMVDEDVEIAKREKVLVDAGYMDAQQQP >KGN53820 pep chromosome:ASM407v2:4:8178595:8179184:1 gene:Csa_4G151760 transcript:KGN53820 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acetate kinase MSNNSVATVVPNDREDCKQISNVEYNEYLQAMGKFAVEEHTHNMSGIERKFISVGFAVVCSVPVGGDKYHLLVKVDEFILAPSFPKYEKLYMATVLHNKVNHSWALLRFENVAPPTVYPPSIDYLDA >KGN55392 pep chromosome:ASM407v2:4:22300949:22301509:-1 gene:Csa_4G649620 transcript:KGN55392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRLNSSTTEPNDTSSAKYKGVRRRKWGKWVSEIRLPNSRDRIWLGSYDKPEKAARAFDAAQFCLRGPQAKFNFPDSPPEIDGGDRLSAQEIQAAAAKYAEEHGEEGVGNHDEGVTVEGGGVWPDNWDMTMDGGWEFGFGGNAMIYGGDISFGVQDENMEKEDFDNNGGNHFCHEPNFLWNFDNH >KGN54222 pep chromosome:ASM407v2:4:11701393:11707888:1 gene:Csa_4G293320 transcript:KGN54222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPLTPDQSKKSGVGVTPSPAPFLTPRPERRRTDSRGSDSNSNHHHQNRDKEVNVQVVLRCRPLNDDEQKSKVPQVISCNEIRREVSVLQSVANKQVDRIFSFDKVFGPKAQQRSIYEQAIAPIVNEVLEGFNCTVFAYGQTGSGKTYTMEGGMKNKNKDLPAEAGVIPRAVRQIFDTLEEQNADYSMKVTFLELYNEEITDLLAQEDQSRSADEKQKKPISLMEDGKGAVVVRGLEEEAVYSLSEIYTLLERGSARRRTADTLLNKRSSRSHSIFSITLHIKESSVGDEELIKCGKLNLVDLAGSENISRSGAREARAREAGEINKSLLTLGRVINALVEHSSHIPYRDSKLTRLLRDSLGGKTKTCVIATISPSASCLDETLSTLDYAQRAKYIKNKPEANQKISKAVLLKDLYLEIERMKEDIRAARDKNGVYIPRERYAQDEAEKKEKSERIEQLENELNLSEKQVESFRELYLVEQKMKLDMERELKDCMINLESRNKALSELQDEHGLAIAALKEKESIVSQLKTSENSLLQRAKSLRVDLQNASEDISLLFDKIDRKDRMEAENQTRVLTFGSQLDQNLKDLHKIILGSVSQHQEQLRSMEEHAHTYLASKSDATQVLETKVGKMSKTYSLGVAALRQLIKTLQQNVSSDLEQMNATVSSQAINVENFLVNAVLDAKEVVKEIQSSLSDQKQLIDLHVRRQDEGLQHSLVSAQKISNASMNIFNELHSHASKVMTLLEESQIERSNQLVNFEKTFKEQAEKEEKQALSNIAAIIANLTSKKSEMVSKASINIQEWNLQHNKILQQEMSSMQQVSNHAKKDMNEYVEKVESHFTESMISSNESKNVLESAIDKCSKGLDHSQRLWEDAQSSVIKLSKIGATEIESSVKASICKNHFAHEEFSTVSSTLDADFDAEVSGVLASVNDSLRLDHENKKELDSISTSCLDELKSTQDNHGRTISKIRDQAEQCLIKDYLVDQHSDSTPKKRAIAVPSLASIEEMRTPVHYLKEGISTENKLKWGLIEGKVQNGAASIPSRAPFTNVN >KGN53874 pep chromosome:ASM407v2:4:8920506:8923999:-1 gene:Csa_4G179100 transcript:KGN53874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDHTFVVGQEFPDVKAFRNAIKEAAIAQHFELRIIKSDLIRYFAKCAEEGCPWRIRAVKLPNSPIFTIRSLQGTHTCGQNAQNGHHQASIDWIVSFIEERLRNNINYKPKDILHDIHKQYGITIPYKQAWRAKERGLATIFGSSEEGYGLLPSYCEQIKKANPGSVAEVFTTGSDNHFQRLFVSFYASIYGFLNGCFPVIGLGGIELKSKYLGTLLSATSYDADGGMFPVAFGVVDAENEESWLWFLSELHNALKMNAWNKFHLTFLSDGQKGILDALRRKFPNSSHALCMRYLSENIGKEFKNSRLVSLVWKAAYAKTTIAFKERMSDIEEISPEAAKWIQQFPPHWALVYFEGTRYGHLSSNLEEFTKWILDARELPIIQVIERIHSKLMAEFEERRARSTSWFSFLTPSAEKRIVEAIKLASSYQVLQSDEVEFEVLSADRSYIVNIGKRCCLCRDWQLYGIPCSHAVAAIASCRKDVHAFMEKCFTVSGYREAYEKSVHPIPRKLEWKRLDDTPIDDDTQIVRPPKFRRPPGRPEKKRICVEDLNREKHTVHCSRCNQTGHYKTTCKAQLMKSIEQF >KGN55189 pep chromosome:ASM407v2:4:21149307:21154678:1 gene:Csa_4G639770 transcript:KGN55189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDVKLANHTSSSHSSLISQEDSHSLDENPNHLVNNGIVGPSQVLPNSVANGKLEGKIECSPSPIDGTVISESPHQISENSVMSAIEGGPSPRDANMRQDEGIASNNSGLSSTVPDDRLEEQNPTTLMEDPRTQSVEDMSEKRSQEQSTVHSGSANDVIMPSVISSVEVLPEKCPQEQSTVHSDSSNDVTLPSVISSVEDMPEKLPREQSPIHSEFAAINEVTPSAVSSVEDMPEKLSQEQFPVHNDSATVNDDNTPSVLSSEAVVIQNEGAVQLDRLTEGERVSCGKSESVDSPIDGKQSDINRGLIDTTAPFESVKEAVSKFGGIVDWKAHRIQTVERRKLVEQELEKLQEEIPEYRRQSETAEDEKKKVLKELDSTKRLIEELKLNLERAQTEERQARQDSELAKLRVEEMEQGIAEEASVAAKAQLEVAKARHVAAVSELQSVKEELELLCKEFASLVIDRNAAIAKAEDAVAASKEVEKAVEDLTIELMANKESLESAHASHLEAEEQRIGAAMAREQDSLNWEKELKQAEDELQSLNLKIMSAKDLKSKLDTASNLLIDLKAELAAYMESKLEEEPDNQDGNTKGEGEDPEKKTHTDIQAAVASAKQELEEVKLNIEKASSEINILKVAATSLKTELEREKSALATLKQREGMASIAVASLEAEVERTRSEIALVQMKEKEAREMMVEFPKQLQQAAQEADQAKSAAQVAQEELRKTKEEAEQAKAGASTMESRLLAAQKEIEAAKASERLALAAIKALQESESARDTNNADSPAGVTLSLEEYYELSKCAHEAEEQANVRVAAALSQIEVAKESESKSVEKLEEVTQEMATRKEALKTAMERAEKAKEGKLGVEQELRKWRAEHEQRRKAGDTSVGLMNPIASPRASFEGKNEPSNLVSVSDATVTDPSISTSPKGNMQRSFTTLDSFSEAKAPKKKKRSFFPRILMFLARKKTQSNKTSQ >KGN55576 pep chromosome:ASM407v2:4:23416528:23423823:1 gene:Csa_4G675260 transcript:KGN55576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLILVGNMQGTGTMASVASLFNLSGVGVVGSSEKPRSQFRGVCLSRRGFKGSNRWYYVSFPLCKYSATTTDFVADQGNAISVDSNSYRRSKEDDNTDFLLKPAPKPVLKAAESKPLVGLNKVTWESPKTNGDSNSNRKLLDDEEERSKMIESLGEVLEKAEKLETPKLGNRKPGRGVDTPTTSSLGSNSKPVNSMANRKYKTLKSVWRKGDTVASVQKIVAEPSKPKDEVEAKPRGTSKVEPQSRAAFQPPQPPVKPQPKLQEKPLAATPPILKKPVVLKDVGAATMTADDETNTAAKTKERKPILIDKYASKKPVVDPFISDAILAPTKPVKAPPPGKFKDDYRKRSVASGGPRRKMVGDGKDDVEIPDDVSIPSVSTARKGRKWSKASRKAARIQASKDAAPVKVEILEVEESGMLLEELAYNLAISEGEILGYLYSKGIKPDGVQTLDKDIVKMICKEYDVETIDIDPVKVEELAKKRDIFDEEDLDKLQSRPPVITIMGHVDHGKTTLLDYIRRSKVAASEAGGITQGIGAYRVLVPLDGKLQPCVFLDTPGHEAFGAMRARGARVTDIAIIVVAADDGIRPQTNEAIAHARAAGVPIVIAINKIDKDGANADRVMQELSSIGLMPEDWGGDIPMVQISALKGLNVDDLLETVMLLAELQELKANPDRSAKGTVIEAGLDKSKGPFATFIVQNGTLKRGDVVVCGEAFGKVRALFDDSGKRVDEAGPSLPVQVIGLNIVPIAGDVFEVVDSLDTAREKAELRAEALWSQRISDKAGDGKVTLSSLASAVSSGKQSGLDLHQLNIIMKVDVQGSIEAIRQALQVLPQENVSLKFLLQATGDVSSSDIDLAVASKAIVLGFNVKAPGSVKSYAENKGVEIRLYRVIYELIDDVRNAMEGLLEPVEEKVPIGSAEVRAVFSSGSGLVAGCMVVEGKLVKGCGIQVLRKGKIAYTGQLDSLRRVKEIVKEVNAGLECGVGMEDYDDWEVGDAIEAFDTVQKKRTLEEASASMATALEKAGIDL >KGN54003 pep chromosome:ASM407v2:4:10404938:10407941:1 gene:Csa_4G256430 transcript:KGN54003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFEDSLRSLSLDYLNLLINGQAFSDVTFSVEGRLVHAHRCILAARSLFFRKFFCGSSSDSNSSPGPVFDSRSPSGSNSRGSSGANSTSLPPQGVIPVNSVGYEVFLLLLQFLYSGQVSILPQKHEPRPNCGERGCWHTHCSSAVDLALETLAAARSFGVEQLALLTQKQLVSMVEKASIEDVMKVLIASRKQDMHQLWTTCSHLVAKSGLPTEVLAKHIPLDVVAKIEELRLKSSLARRSSLMPHHHHHHHHLQLSAAADLEEQKIRRMRRALDSSDVELVKLMVMGEGLNLDEALALHYAVENCTREVVKALLELGAADVNYPAGPAGKTPLHIASEMVSADMVAVLLDHHADPTIRTVDGVTPLDILRTLTSDFLFKGAVPGMTHIEPNKLRLCLELVQSAALVISREQGNNNNNNGNNNASSSNPIYPPMSEDHSSSSNGSNLNLDTRLVYLNLGANSGSAQMGADGADHRHGGNDPTMYHHSHDF >KGN54587 pep chromosome:ASM407v2:4:14956809:14962144:1 gene:Csa_4G372090 transcript:KGN54587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPKNLHYHAYDANGYQCDGSQSSGSSSPSPPHSPPRYASSKCRRKPRSKPHYYQPLRELFVGAFTFRFSFRQMVLLPLLYISGLIMCVGPFSSFVGQPPPPGSLYRSHQMYHRLQRHIQSDNSSAAIQLSSVWKYKRLKERKPCSNSTTSRLHSESNDPTTYLIVDANGGLNQQRSAICNAVAVAGLLNAILVIPRFEFHNVWKDSSKFGDIYDEDHFIAALDGHVKVVKELPEALMQRYDYNISNIPNFHVQAWSTANYYLAEVLPVLRREGVIRVSPFANRLAMNIPPEIQFLRCLANYEALRFSSPILTFAHKLVSRMIKKSSGDDGKYVSIHLRFEEDMVAFSCCVYDGGEAEKVEMDSIREKGWKQKFKLKTHLISPSLNRINGKCPLTPLEVGMMLRGMGFDNHTSIYLASGKLYQAERYLAPLQEMFPLLHTKESLATPDELAPFMEYSSRLAALDYMVSLLSEVFVTTQGGNFPHFLMGHRRFLYDGHAKTIKPDKRKLAILMDDRIKLSWKEFKEQMGVMLSESDRKGLMVPRIRRFNRKTSVYTYPLPECRCLQKSHNINSTDDNYILDQLFGSMR >KGN54854 pep chromosome:ASM407v2:4:18260668:18267842:-1 gene:Csa_4G551660 transcript:KGN54854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTDSMLSEANSSLDEQISQLMQCKPLSEQQVRALCDKAKEVLMEESNVQPVKSPVTICGDIHGQFHDLAELFQIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYPHRITILRGNHESRQITQVYGFYDECLRKYGNANVWKTFTDLFDYFPLTALVESEIFCLHGGLSPSIETLDNIRNFDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHMNNLKLIARAHQLVMDGFNWAHEQKVVTIFSAPNYCYRCGNMASILEVDDCKSHTFIQFEPAPRRGEPDVTRRTPDYFL >KGN54269 pep chromosome:ASM407v2:4:12033379:12039859:-1 gene:Csa_4G296230 transcript:KGN54269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIVFLTLILLISISPASADQRFIFNGFNFGDLFLDGVAEVTSDRLLRLTNDYDQQIGHAFYPNPISFKTPSNNNSSLSSFSASFVFAIISEYDDLGGHGIAFVVSPTRGLPGARPSENLGLFNESNNGKETNHIFAVELDTIQNLDLRDINRNHVGININGLMSEQSERAGYWVDGEFRNLTLISGERMQVWIEYDGLKKQINVTLAPIEIRDKPKIPLLSYRRDLSSVINDIMYIGFSSSTGSITTLHYVLAWSFNVNGEAQKINLSQLPKLPPRTKKKPSRSKLLTIGLPLVCVALALMTVLGLIYFIYRRRKFAEILEDWELEYGPQRFKYKDLYKATKGFREKEFLGFGGFGRVYKGVLPNSKIEVAVKRISHESRQGMKEFVAEIVSVGRLRHRNLVALLGYCRRKGELFLVYDYMRNGSLDAYLHEWPEITINWEQRFEIIKGVASGLFYLHEQCEKVVIHRDVKASNVLLDDEFNGRLGDFGLAKMYDRGADPRTTHVVGTLGYLAPEHIRTGRATTRTDVYAFGAFLLEVACGRRPIHPQEDSDDFILMDWVFSCWSNGNILRTADPKLGGNFEPSQLELVLKLGLLCSHSSPAVRPTMYQVLQYLQAEAPLPDLASLRWRLSGNGFFNLTPRDGDELDDFTGSVRQSSLLLDSIFR >KGN55043 pep chromosome:ASM407v2:4:20165290:20166442:1 gene:Csa_4G624980 transcript:KGN55043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFASSGSYYVKLHFAEILITADQTYTSLGRRLFDISIQGKLIKKDFNIMEEAGGAGKEFTLEVPDVMVNSTLEIHLYWAGKGTIYIPYSGVHGPLISAITVTPNFHVKTNVKTKRLTAGAIAGIVVGVFIFVFLVLVLRWKGYLGGKDTEDDDIISNLILSHFENFET >KGN52975 pep chromosome:ASM407v2:4:1369534:1371474:1 gene:Csa_4G008780 transcript:KGN52975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQKVNANQNGSMESFCSSHQDPLNWGLAADSMKGSHLDEVKRMVEEYRRPLVKLGGQTLTISQVAAIATRDNDVLVELAESARAGVKASSDWVMESMGKGTDSYGVTTGFGATSHRRTNQGGALQKELIRFLNSGIFGNGSESNHTLPHSATRAAMLVRINTLLQGYSGIRFEILEAITNLLNHNVTPCLPLRGTITASGDLVPLSYIAGLLTGRHNSKAIGPNGEILDAKVAFEQAGIDSGFFELQPKEGLALVNGTAVGSGLASIVLFEANILAVLSEILSAIFAEVMQGKPEFTDHLTHKLKHHPGQIEAAAIMEHILDGSSYMKTAKKLHEMDPLQKPKQDRYALRTSPQWLGPLIEVIRFSTKSIEREINSVNDNPLIDVSRNKALHGGNFQGTPIGVSMDNTRLAVASIGKLMFAQFSELVNDFYNNGLPSNLSASRNPSLDYGFKGAEIAMASYCSELQYLANPVTSHVQSAEQHNQDVNSLGLISSRKTAEAIDILKLMSSTFLVALCQAIDLRHLEENLKSVVKSTVSQVAKKVLTTSSNGALHPSRFCEKDLLKVVDREYTFAYIDDPCSATYPLMQKLRQILVEHALKNGDSETNENTSIFQKIGAFEEELKAILPKEVENVRLAYENGNSKIG >KGN53962 pep chromosome:ASM407v2:4:9678003:9686189:1 gene:Csa_4G194790 transcript:KGN53962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGGGGGGSGASAYGFPAKRRWRGLVIGVLGLVILSMLVPLVFLLGLYNGFHTAGYASDPQNSKPGFQPSHVDDVIRKLGPTLPKDVFQKYAIEPKKETVDFIHESQEPKGLPPPKVDALPKHTHENSTKVGGRVQPTDRMTAVDESGKPCEWKFGSYCIWRQEHREVIKDSMVKKLKDQLFVARAYYPTIAKLPTQSQLTQEMKQNIQELERVLSESTTDLDLPLQIEKKSLKMEATIAKAKSFPVDCNNVDKKLRQIFDMTEDEANFHMKQSAFLFQLAVQTMPKSMHCLSMQLTVEYFRIYSTKLELSQAEKYSDPTLNHYIIFSNNILASSVVINSTVSNSKESRNQVFHVLTDGQNYFAMNLWFLRNSYEEAAVEVINVEQLKLDDHENVTFVLPQEFRISFRTLTHSRTEYISMFSHLHYLLPEIFKNLDKVVVLEDDVIVQRDLSALWSLDMDGKVNGAAQCCHVRLGELKSILGENGYVQNDCTWMSGLNVIDLAKWRELDLSQTFRSLVREGGSTDAVALRASLLTFQSLIYALDDSWSLYGLGHDYKLNVQDVENAATLHYNGYLKPWLELGIPKYKAYWKKFLDREDPFLSKCNINP >KGN53798 pep chromosome:ASM407v2:4:7913300:7913683:1 gene:Csa_4G130630 transcript:KGN53798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLPSNSMAATLTMPSMAFLITILLLNPIISNAGMNDTESKWCDSTGLENCLVGDLNSHSEFLMLTESSGMLVDQFLTFQTPKANDANKESVPDCSRPPRYDSCLGSKRSIPNPEPCSTLNRVNPC >KGN54747 pep chromosome:ASM407v2:4:16765431:16767752:1 gene:Csa_4G443110 transcript:KGN54747 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase 47 MIPTMSKWMSLVSIMLLVGVSLRFRSVNGLNMGYYLMSCPFVDPIVKNTVNRALQNDPTLAASLIRMHFHDCFVEGCDGSILIDSTRDNTAEKDSPANLSLRGYEVIDEIKEQLERECPGVVSCADVIAMAARDAVFWAGGPFYEIPKGRKDGSRSRIEDTVNLPSPFLNASQLINTFAQRGFTPQQMVALSGAHTLGVARCISFKGRLDGNDPLLSPNFGRALSRTCSNGDNALQTFDATPDSFDNVYYNAVSRGAGVLFSDQTLFASPRTRGIVTAYAMNQALFFLDFQQAIIKMGLLDVKEGYRGQVRRNCRRVN >KGN53145 pep chromosome:ASM407v2:4:2410127:2413232:-1 gene:Csa_4G022320 transcript:KGN53145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLHSLSSDSPISSPDSKPKSPVSNLIDFTLLLSDELLLRVFSKLPDSQRNSNFLVCKRWLYLQGRLVRSLRVTDFEFLLSGRLVLRFPNLNQVDLVSGCWVSSRNSSILLSHGVLSMHIDPWFLLCSNVGENVVLESVLIDRGLKVLATGCPNLRKLGLVGGSELGLLNVAKECELLQELELHKCSDCILQGIAAFENLQILKLVGSVDGFFNSLVTDIGLTIVARGCRRLVKLELSGCEGSFGGIIVIGQCCQMLEELTLRDHRMDDGWLAGLPYCENLKTLNIMSCQRIDANPGPDEYLSSCPALERLHLQNSQLRDKVSVRALFMTCGAAREILIRDCWGLDDDMFSFANNCWRVKLLLLEGCSLLTTEGLESVVLQWKELQSLEVVSCKNIKDSCISPALSEVFSVLKNLKWRPDTKSLLSSSLIGTCMGKKGGRFF >KGN54445 pep chromosome:ASM407v2:4:13445267:13455005:-1 gene:Csa_4G332120 transcript:KGN54445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLRSWSLEQNGAVAEDKPSSSSFSSFSSLLPSNPTPIGVDYWRRAEEATQAIISQVQPTVVSERRRKAVIDYVQRLIRGRLRCEVFPFGSVPLKTYLPDGDIDLTALGGSNVEEALASDVCSVLNSEDQNGAAEFVVKDVQLIRAEVKLVKCLVQNIVVDISFNQLGGLCTLCFLEKIDRRIGKDHLFKRSIILIKAWCYYESRILGAHHGLISTYALETLVLYIFHLFHSALNGPLQVLYKFLDYFSKFDWDNYCISLNGPVRISSLPELVAETPDNGGGDLLLSTDFLQSCLETFSVPARGYEANSRAFPIKHLNIVDPLKENNNLGRSVSKGNFYRIRSAFSYGARKLGFILSHPEDNVVDEVRKFFSNTLDRHGGGQRPDVQDPAPVSGGYESCAALLVSGTETQEETNNRDSGSVCASDTIGDCSWSQEVSIHGGNANDKEFGEYDHVGGIMNESSQGRPLSVPSGVDGLANAIGISDYRLSGDANDLASLRIEGLSISHDAHKSSPSSFEEGISPLGHESLRPHHYFSRPITENGELIDENTNKCTPENSYQHLQSPTKATGSSAKGKQDENHVNNDDEVANQSETKQSSPPLHSVSLSSEDFYPSSRGYRFLTSNVGPPEAFNALSDLNGDYESHCNSLQIGRWYYEYALSAAALSPIPPPLPSQYPNKNPWDIIRRSVQVKQNAFAQINSNGLLARPAFYPMPSPILPGGATLAMEEMPKPRGTGTYFPNMNHYRDRPASARGRNQVSVRSPRNNGRSLTPLETTVAEKSGQDLYQVPTVNHGGGIGMLSSSSSPVRKAHHNGNGAMPRPDRAVEFGSFGHLPIESSVDCSGEPTPATAHFQNSSALNVSSPKMQKAKQTLITDQDRLSVHMQSYELKDEEDFPPLSN >KGN53595 pep chromosome:ASM407v2:4:5732274:5740514:1 gene:Csa_4G083690 transcript:KGN53595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAAKSKAALRASRMFNNGVRSLHTCCLRSPFAVERPLPQSISYRRTRCRRLFATFCSAAAIPNKERKSKVIVISGPTGSGKSRLAMELAKRLNGEIISADSVQVYQGLDIGSAKPSARDRKEVPHHLIDILRPSDEYSVGRFFEDARQATRCVLDNGRVPIVCGGTGLYLRWFMYGKPNVPKASPDIAAEVCSELADLRRNEDWDAAVQLVVKAGDSRAQDLAINDWYRLRRSYEIIKASGSPQSAFQVPYDTFRQDHVSGAASSFQGADHSVDPHDYSSEEIGTKELDYEFICFFLSSPRLNLYRSIDFRCEDMILGSDGILSEATWLLNSGLLPNSSSATKAIGYRQAMEYLLRCRQQGGRSSPEEFYAFLSEFQKASRNFAKRQLTWFRNERIYHWLDASKPLENALNFVYDAYHSESGNISVPESLRMKRDGSSYREASEMKAYRTRNRYFVKRQDCADVLDWIGRTQSVYQDFPVA >KGN54946 pep chromosome:ASM407v2:4:19469894:19470616:-1 gene:Csa_4G614200 transcript:KGN54946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEIEERRRMVIAMKGHPGTGKSTLAQAIASLLKFPLIDKDDVKDCAAPLAAATTASLVNDLSYDVVFRLASTQLRLGLSVVVDTPLSHRSHLCRLADVASVSGARVLIVECRPSDLTEWRRRLESRGTDDQTNWHKPSTWRDIEMLLESYGGCTEFDVGDVPRLVVDTTAPVCFDEIVSTVLDFIVSNGGSFGAPLATDR >KGN55419 pep chromosome:ASM407v2:4:22491508:22497029:1 gene:Csa_4G651850 transcript:KGN55419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQADQTVISLRPGGGGGLRGSRFVTPRFDSSSADSSSLRPHGGVASILKTGDLRFEGRERIQYTRDQLLQLREVATVPEDILKVKLEVESEIGGEDQTWNRAESNPQSQSQSRYFEPDNRDWRGRSGQVPGSTEEGSWDANKDLSGQSESRFQDSNNRTQVSGNQGVGTPALIKAEVPWSVRRGNISDKERVLKTVKGILNKLTPEKFDLLKGQLIDSGITSADILKDVISLIFEKAVFEPTFCPMYALLCSDLNEKLPSFASDVPGEKDVTFKRVLLNICQEAFEGADNLRAEIRQMTSPEQEMERRDKERMVKLRTLGNIRLIGELLKQKMVPEKIVHHIVLELLGPDPKICPAEENVEAISQFFNTIGKQLDESPKSRRVNDLYFSRLKELTANTQLAPRLRFMVRDVLDLRANSWVPRREEVKAKTITEIHTEAEKNLGLRPGSVAMMRNGRNAEALGGLSPGGFPVARPGFGGMMPGMPGGRKMPGMPGFESDNWEVPRSRSMPRGESGGHTQASARVQSSLMGKSPSINSKYLPQGSGGVITGKTSAFLQGSAAASPARPPSSMPVALSPKPVAPVAPVVSSPQKPAAPAASNVAELQKKTVSLLEEYFSVRILYEALQCVEELKSPAYHPEVVKKSLTIALEKIPPRVDPVVELLEYLLRKNVLTPKDIGTGCYLYGSQMDDIGIDLPKAPNNFGEILGKLILIGGLDFTVVKEILEKLEDDYFQKSIFDGAMKTLKSSPSGEGVLATQSDEVQACETLLA >KGN53448 pep chromosome:ASM407v2:4:4634199:4637097:1 gene:Csa_4G055430 transcript:KGN53448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKESTKTWRPFTANCCSADDQTAFGNFSRCRPNRSDFSKNIAPLPSFRRLSFSDLSRSSSMRLNEDLAASFGGDLFDFQLSELRAVTQNFSSNFLLGEGGFGRVHKGYVDENFRTGLRAQAVAVKLLDNQGLQGHREWLAEVVFLGQLRHPNLVKLIGYCCEEEERLLVYEFLPRGSLENHLFKRLSVSLPWSTRLKIAIGAAKGLDFLHGAEKPVIYRDFKTSNVLLDSDFTAKLSDFGLAKMGPEGSDTHVTTRVMGTYGYAAPEYISTGHLTTKSDVYSFGVVLLELLTGRRAMDKSRAKNDQNLVDWAKPYLSSSRRLHCIMDPRLCGQYSVKGAKGMASLALQSTSLNPKDRPRMPAIVEALENLLQFKDMAVTSGHWSASASPKHARNGVSAKAKTETGRRNIPRSLTAVSHR >KGN54839 pep chromosome:ASM407v2:4:18082563:18102092:1 gene:Csa_4G532330 transcript:KGN54839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSFPGTIFAYGVTSSGKTHTMHGDQRSPGIIPLAVKDAFSIIQETPNREFLLRVSYLEIYNEVVNDLLNPAGQNLRIREDAQGTFVEGIKEEVVLSPAHALSLIAAGEEHRHVGSTNFNLLSSRSHTIFTLTIESSSCGESGEGEAVNLSQLNLIDLAGSESSKAETTGIRRKEGSYINKSLLTLGTVRPLKH >KGN54707 pep chromosome:ASM407v2:4:16174692:16198235:-1 gene:Csa_4G430890 transcript:KGN54707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRQLLLRAPPYLPLRFMASSTSFFPSSIHLRFLSSSASFAPRFSFLQLRGARFRTMKISTSIRLVPNAEVSSKAFMSSSAATETSTRSESSKAYGSEQIQVLEGLDPVRKRPGMYIGSTGPRGLHHLVYEILDNAVDEAQAGFASQIDVVLHADNSVSVADNGRGIPTDLHPVTKKSSLETVLTVLHAGGKFGGSSSGYSVSGGLHGVGLSVVNALSESLEVSIWRDGNEFHQKYSRGKPASILTSHVPSSELKNHQGTHIRFWPDKEVFTTGIQFDYNTISGRIRELAFLNPKLTITLTKEDNDLEKNQYNEYCYAGGLVEYVAWLNSDKNPIHEVFGFRKETDGVAIDVALQWCADAYSDTILGYANSIRTIDGGTHIDGMKASLTRTLNNLGKKSKIFKDKDISLSGEHVREGLTCVVSVRVRNPEFEGQTKTRLGNPEVRKVVEQSIQEYLTEYLELHPDVLELILSKSLNALKAALAAKRARELVRQKSVLRSSSLPGKLADCSSIKPEESEIFIVEGDSAGGSAKQGRDRRFQAILPLRGKILNIERKDEAAMYKNEEINNLIRALGLGFKGEDFKKDALRYHKIIILTDADVDGAHIRTLLLTFFFRYQKALFDEGCIYVGVPPLYKVERGKQVYYCYDDKELKKVQSSFPSNASYNIQRFKGLGEMMPAQLWETTMDPERRMLKQLAVEDAAEANVVFSSLMGVRVDFRKELIQKSASTMNLEHLDI >KGN54384 pep chromosome:ASM407v2:4:12842501:12845036:1 gene:Csa_4G310210 transcript:KGN54384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDFCDLRRAVFHRIGVNAEAAGDRFPPWSVLETKELLAIRAALDKNFSEMKQNRMLWISVAEKMKAKGFNRSDEQCKCKWKNLVTRYKGCETMDPKALKHQFPFYDDLHTIFTARMQKNWWVEAENRSGGSKRKPNEDPNGDRELENEEKTKTKNGTKKRKWKRDNPEEHERNLKEILKDFVKREMEMEREWREAFRVREEERRLKEEEWRMKMEGIEREKMMMEILWRESEDKRREREEARAQKTDALISALLTNLT >KGN54982 pep chromosome:ASM407v2:4:19761581:19766105:1 gene:Csa_4G618470 transcript:KGN54982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTISSILVTLALSSKPRNRTFPNTFLLVGCCNDETTHKFKGKTVMNEAERYESLRHCKWVDEVIPDAPWVISQEFIDKHKIDFVAHDSLPYADASGAGKDVYEFVKKIGKFKETKRTEGISTSDIIMRIVKDYNQYVLRNLDRGYSRKELGVSFVKEKRLRVNMRLKKLQEKVKEHQEKVGEKIQTVAKTAGMHRNEWVENADRWVAGFLEMFEEGCHKMGTAIRDRIQERLKGAQQLKYISNGKDSDSDLDEEYYYDDDNEEYYDDDDDDFYEEFEKDEKKR >KGN52880 pep chromosome:ASM407v2:4:812646:815450:1 gene:Csa_4G004900 transcript:KGN52880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKTLLSRINPLRNCKPKSSPPFSIPFRGEIKRLVNDTIQILKSHEKWEQSLQTHFTESDIPIIDVTHFVLDRINDVELGLKFFDWASKNSLSGSLNGTSYSSLLKLLSRFRVFPEIEFTLEEMKTKETIPTREALSDVLCAYADVGLVDKALEVYHGVVKLHNSLPSTYACNSLLNLLVKHRRIETAHQLYDEMIDRDNGDDICVDNYTTSIMVKGLCLKGRIEDGIKLIESRWGKGCVPNIVFYNTLIDGYCKKGEVESAYKLFKKLKMKGFIPTLQTFGSLVNGFCKMGMFEAIDLLLLEMKDRGLSVNVQMYNNIIDARYKLGFDIKAKDTLKEMSENCCEPDLVTYNTLINHFCSRGEVEEAEKLLEQTIRRGLAPNKLTYTPLVHGYCKQGEYTKATDYLIEMSTSGLEVDMISYGALIHGLVVAGEVDTALTIRDRMMNRGILPDANIYNVLMNGLFKKGKLSMAKVMLTEMLDQNIAPDAFVYATLVDGFIRHGNLDEAKKLFQLIIEKGLDPGVVGYNVMIKGFSKSGMMDNAILCIDKMRRAHHVPDIFTFSTIIDGYVKQHNMNAVLKIFGLMVKQNCKPNVVTYTSLINGYCRKGETKMAEKLFSMMRSHGLKPSVVTYSILIGSFCKEAKLGKAVSYFELMLINKCTPNDAAFHYLVNGFTNTKATAVSREPNNLHENSRSMFEDFFSRMIGDGWTQKAAAYNCILICLCQQRMVKTALQLRNKMLAFGLCSDAVSFVALIHGICLEGNSKEWRNMISCDLNEGELQIALKYSLELDKFIPEGGISEASGILQAMIKGYVSPNQDLNNLKEPNMENGKELR >KGN52915 pep chromosome:ASM407v2:4:986882:991152:1 gene:Csa_4G006220 transcript:KGN52915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDISLQEIKNENIDLERIPVEEVFEQLKCSREGLSSEEGRQRLQLFGPNKLEEKKESKFLKFLGFMWNPLSWVMEAAAIMAIVLANGGGQPPDWQDFVGITALLIINSTISFIEENNAGNAAAALMAGLAPKTKVMFSGSTVKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTAIGNFCICSIAMGMVIEIVVMYPIQHRRYRDGINNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKSMIEVFVRDVDKDNLVLLGARASRVENQDAIDACIVGMLGDPKEAREGIKEVHFLPFNPVDKRTAITFIDNDGSWHRVSKGAPEQIIELCDLREDIKKKAHSIIDKFADRGLRSLAVCRQTVPEKTKESAGGPWQFVGLLPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSSLLGQSKDESIASLPVDELIEKADGFAGVFPEHKYEIVRRLQERKHICGMTGDGVNDAPALKKADIGIAVADATDAARGASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLIALIWKFDFSPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLREIFATGVVLGSYLAVMTVVFFWIANATDFFSDKFGVHSIRGNDGELTAAVYLQVSTVSQALIFVTRSRSWSFVERPGLLLVFAFFVAQLVATLIAVYANWGFAEMKGIGWGWAGVIWIYSVIFYIPLDVLKFATRYALSGKAWNNMIQNRTAFTSKKDYGIGEREAQWAAAQRTLHGLQPPETSELFNDKTNYRELSEIAEQAKKRAEVARLRELHTLKGHVESVVKLKGLDIETIQQHYTV >KGN52947 pep chromosome:ASM407v2:4:1182853:1185679:-1 gene:Csa_4G007030 transcript:KGN52947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTEEAPSTPVTPGTPGAPLFGRVKENQHGSENGKRSLLKSCISFNVDDNWGSEEGGLTKIVSSCSLPYSPVSLARKVGAEFIGTLILIFAGTATAIVNQKTGGTETLIGLAASTGLAVMIVILSTGHISGAHLNPAVTIAFAALKQFPWKHVPLYIGAQMVASLCSSFALKWTFDPIMGGGATIPSCGYAQAFALEFIISFNLMFVLTAVATDTRAVGELAGIAVGATVMLNVLIAGQTTGASMNPVRTLGPAIAVNNFKAIWIYLTAPILGTLCGAGIYTAVKLPDKDGDSRLPSTAASFRR >KGN54521 pep chromosome:ASM407v2:4:14388885:14392901:-1 gene:Csa_4G354120 transcript:KGN54521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRLQQIEKDESVLLRVTHANLKSFTSDVRFSLQMSVESVKEKLWRKCGTSVNSMCLELYDDSGSKISDLTDNCIPLGFYSPLDGYRLHIIDLDPSSVTSGGWLEDTSLVEKFQISEEAYDKRDDTFRKFKEKLASQNPSAFESKISDNYMEELCANIKVGDRCQVEPGEKRGVVKFVGRAESLAPGFWVGVQYDEPLGKNDGTVKGIHYFDCSPFHGAMVRPDKVKVRFSAPLICFPTLKLQSMLHEIVFFLNLV >KGN53772 pep chromosome:ASM407v2:4:7648765:7651437:1 gene:Csa_4G126420 transcript:KGN53772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKLKFHEQKLLKKVNFLEWKREGGHREAQVMHRYHITGRDDYKKYSSLCRGVQKLVTMLKKMNEKDPFRLELTEKLLEKLYNMGVIPTRQSLNLCDRLSVSSFCRRRLSTVLVRLKFAEHLREAVTYIEQGHIRVGPETVTDPAFLVTRNMEDFITWVDSSKIKRKILQYNDQLDDYDAINC >KGN54251 pep chromosome:ASM407v2:4:11895439:11899450:1 gene:Csa_4G296040 transcript:KGN54251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRIAVAAIVTLWVVPISILVNRILPDSYMDEIFHVPQAQKYCNGNFRSWDPMITTPPGLYYLSLAHVASLFPGTVFTRVAPSFSAACSIQILRSINGILAVLCSVLVYEIISHLRPNLDEKRATIYAVILALYPLHWFFTFLYYTDVASLTAVLAMYLACLKKNYWLSALFGIFAVVIRQTNVIWMLFVACSGVIDTTMTFHDDNIQMHENDVVEESSSLIAKGSLNSKANLRKRKFSRTKDVGKQVPQSTRFSSINQKLGLMDEIRAMILTMWLMKFQLLVSFFPFVVVLMAFVAFVRWNGSIVLGAKEAHTVSLHFAQIMYFGLFSALLMAPMHCNPSQVIDLFHSFWKGRPLSFFQVFIALLAGFISVQYFSIAHPYLLADNRHYPFYLWRKVVNAHWSSKYLLVPAYICSWFSIIKILGESQRKIWVVAYFLATAAVLVPAPLIEFRYFTTPFYFLMLHSQMNGRLNWSLVALLYIALNAFTMFMFLFRPFYWENETGKQRFIW >KGN55393 pep chromosome:ASM407v2:4:22307938:22310507:1 gene:Csa_4G649630 transcript:KGN55393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTAVRIANSVKVLISNEYNVNYSRLLQFFNLNELPNYLCRNRFVELWSWSQIRLMTTSKRVQDRSQFKRVQDLELATEKWKVAHKVLFLIETLKEEPEMIIPVRNLERYRRKINLPKPHRISDFIRKSPEMFELYKDQRGVLWCGLTKQADDLVEEENKIVEQHADKVAEYVTRFLMMSVNKRLPVDKIAHFRRDFGLPLDFRAKWVKNYPELFRVVKSEDECEYLELVSWNPAWAVTELEKKFMGATESTATHTPGLLSLPFPLKFPSNYKKMYRYRGKIDQFQKRSYLSPYADASGLKAGSLEFDKRAIAVMHELLSFTMEKRLVTDHLTHFRQELVMPQKLMRLLLKHVGIFYVSERGKRFSVFLTEAYEGPELIDKCPLVLWKEKVLSHVGYRAKKKKIKTFNDMSEMEEDNNLLLADDDSEQDMEDTGCSEETSLDANTVDMETRDRHFG >KGN54804 pep chromosome:ASM407v2:4:17615044:17618678:-1 gene:Csa_4G506850 transcript:KGN54804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLLAYQVVSQYYRLQGNPITLGLLASNTLIHLRPNFLHHIIPSIDEVWFNAHLIFKHKDLKRFLLSPFYHVGDPHLVYNMISLLWKGTQLETSMGSIEFASMVGALLIMSQGITLLLIKSLLIFFNYGRPYYYEYSVGFSGVLFAMKVVLGSETDSYTYVHGLRVPSSHAAWLELILIQMVSPGVSFLGHLGGILAGLLFLRLKGTNSGSDPLTLLIRSVGRILSRSYGFFCRLNPFRQRRIFGGGTVGSRPVTSIGTWRCQACTFDNSGLLSACEMCGTDRQDGVLHSNQLSSQLDLTWEEMRRRRLERFG >KGN55018 pep chromosome:ASM407v2:4:19976634:19980023:-1 gene:Csa_4G622770 transcript:KGN55018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKGQAIAFYVTISCIAFVVSKIFIALLLYKRWKRRHIIYEDGISGKSNEKVLDWSSRYKIAVGAARGISYLHHDCIPHIIHRDIKSSNILLDENMDAQVSDFGLATLMEPDKTHVSTIVAGTFGYLAPEYFDTGRATVKGDVYSFGVVLLELLTGKKPTDEAFMEEGTKLVTWVKTVVQEKREEYVLDRRLGCCPVDEVNVVFSIALKCLEPEPAQRPTMAEVVKVLEQIRSNSNVTDS >KGN54060 pep chromosome:ASM407v2:4:10779996:10794129:-1 gene:Csa_4G279880 transcript:KGN54060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVMTPAPVDQQEDEEMLVPHSDLAENNHQPMEVVPQSETGNTVENQPVEDPPSSRFTWRIDNFTRLNIKKLYSEIFIVGGYKWRILIFPKGNNVDHLSMYLDVADSASLPYGWSRYAQFSLGVINQIHNKYSVRKDTQHQFNARESDWGFTSFMPLSELYDPTRGYLVNDTLIVEAEVLVRRVVDYWTYDSKKETGFVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSASIPLALQSLFYKLQYSDSSVATKELTKSFGWDTYDSFMQHDVQELNRVLSEKLEDKMKGTVVEGTIQKLFEGHHMNYIECINVDYKSTRKESFYDLQLDVKGCRDVYASFDKYVEVERLEGDNKYHAEQYGLQDAKKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDRENGKYLSPEADKTVRNLYTLHSVLVHSGGVHGGHYYAFIRPTLSEQWYKFDDERVTKEDVKRALEEQYGGEEELPQTNPGFNNTPFKFTKYSNAYMLVYIRESDKDKVICNVDEKDIAEHLRERLKKEQEEKEHKKKEKAEAHLYTIIKVARDEDLVEQIGKDIFFDLVDHDKVRSFRIQKQMPFNLFKEEVAKEFGIPIQFQRYWLWAKRQNHTYRPNRPLTPMEEAQSVGQLREVSNKVHNAELKLLLEVEYGPDSRPIAPPDKTKDDILLFFKLYEPEKEELRYVGRLFVKGNGKPFEILTKLNEMAGYAPEEEIELYEEIKFEPNIMCEPIDKKFTFRASQLEDGDIVCFQKSPPVENTEQYRYPDVPSFLEYVHNRQVVHFRSLEKPKEDDFCLEMSKLYTYDEVVERLAQQLGVDDPSKIRLTSHNCYSQQPKPQPIKYRGVEHLSDMLVHYNQTSDILYYEVLDIPLPELQGLKTLKVAFHHATKDEVVIHTIRLPKQSTVADVINDLKTKVELSHPDAELRLLEVFYHKIYKVFPPNEKIENINDQYWTLRAEEIPEEEKNLGPNDRLIHVYHFTKDTAQNQMQIQNFGEPFFLVINEGETLADIKLRIQKKLQVPDEEFAKWKFAFLSLGRPEYLQDTDIVSNRFQRRDVYGAWEQYLGLEHTDNAPKRAYTANQNRHTFEKPVKIYN >KGN55198 pep chromosome:ASM407v2:4:21210191:21212917:-1 gene:Csa_4G639860 transcript:KGN55198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWKEHEFSKNYVILKPHNANVFDLILFILPFGFKKRKLMDCPDGKEDSYRSFADRLIIFVSLSLQILILAIAIPLANLDAFLQKLLNFISFNGTILQLFFKFIRGETLVQPKKSSPDYTSVVGFTDWRRDLDNSINPDDTFRYYSVLTVMASKLSYESLPFVQSVVNDRWKMKLLGYYNFWNDFQSRASTQAFLFQTTVSDPNTTVVAFRGTTPLDAYDWQVNLDFSWYEIHGVGRIHSGFMKALGLQKRKGWPKELTPTTTGAPQFAYYTLRQKLIDIAKTNANARFIFTGHSLGAALAVLFVAILALHDESLVLEKIKAIYSYGQPRVGDRHFAEFMVSIIKKYNFEYYRYVYFSDLVPRLPADGILFKYKHFGRCIYFDSLYRGRIVKEQPNKNYFSLLWLIPKYVNAWLELVRSFLIPFVKGYDYYESLAMKGVRFIGLLMPGLTAHIPTDYVNSTRLGKLSVPEEILEDGDDCIEPDY >KGN53284 pep chromosome:ASM407v2:4:3501710:3511488:1 gene:Csa_4G044990 transcript:KGN53284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIGFWMQILIGCGSIEGQVSFYYNLGAQKRSLRYLNIATPETCLLYPKVIINLRLMNDIVSFDKVSGILVCEAGGILENLSSFLDNQGFIMPLDLGAKGSCQIGGNVSTNAGGLRLVRYGSLHGSVLGLEVVLADGRVLDMLGTLRKDNTGYDLKHLFIGSEGTLGIITKISILTPPKLPATNVAFLGCKDYSSCQKLLVDAKRKLGEILSAFEFLDNMSMDLVLNHLEGIRNPLPPTMHNFYVLIETTGTDESSDKEKLEAFLLRSMEGGLISDGALAQDINQISSFWQIREGIPEALMKAGAVYKYDLSLPVEKMYDLVEEMRVRLGNSAKVIGYGHLGDGNLHLNISTPQYDDAVFAQIEPFVYEWTSNHRGSISAEHGLGLMKANKILYTKSPEIVQIMGSIKKLLDPRGILNPYKVLPHSLHS >KGN52745 pep chromosome:ASM407v2:4:107482:109708:-1 gene:Csa_4G000660 transcript:KGN52745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSPHHHSPASSSSSSSSHFLCSSAPDFPLSDDSPIFTLLQSQLHHMPRLDYLRRCRDHSIDLTARQDSINWILMVHSHYNFKPVTAILSVNYFDRFLSSNILPRRNGWAFQLLSVACLSLAAKMEEPEVPLLLDLQIFEPKYVFEPKTVQRMELWVMSILNWRLRAVTPFDFLHHFISDLPSSSSAADGGRGDGDDSDRLFSSSSDLILSTIRVIDFLEFPPSTIAAAAVLCAAGERLNSPAGCSHFLAANRIENVKSCQQLMEEYVIDTCTAELRKQRRIGEEEPAPPSPVGVLDAAACASCDNPGSTSHEPPSKRIRSSAPDVQHQ >KGN53904 pep chromosome:ASM407v2:4:9170921:9178869:-1 gene:Csa_4G187830 transcript:KGN53904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSPNKSPLASPTSSRSVTETINGSHNFVIKGYSLAKGIGVGKHIASENFSVGGYQWAIYFYPDGKNPEDNSAYVSVFIALASDGTDVRALFELTLVDQSGDGKHKVHSHFDRSLESGPYTLKYRGSMWGYKRFFRRTSLETSVFLKDDCLKINCTVGVVVSGIDSSRLHSIHVPESDIGAHFGTLLENEEGSDITFNVSGEKLRAHKLVLAARSPVFENDFVNMMEEDNDEILITEMEPRVFKALLHFIYRDTLPEDDEFLEASSSSVPSLPDSLPAKLLAAADKYDLPRLRLMCESVLCKDISVNSVSHILALADLYHAADLKSVCLKFTAENLVAVMQSDGFEFLKENCPLLQSELLKTVAGCEDEELSGGGKSRSVWAQFSDGGDTNDRSVRQQTWENGERSRSLWVQHSDGGDACIRSPRREG >KGN54128 pep chromosome:ASM407v2:4:11143395:11144720:1 gene:Csa_4G287010 transcript:KGN54128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNILNNGKKFSHQVLIQPICGYGGLRKPMSFLTILAFINLLFTGMYVYIYIHTLIHAREWRKEKEIQKSISKAFEKFKANLTDLEKKIDELNQNKDLKNRYGAAIIPYEAMKPRSKPGITGSGVPYSVSI >KGN53300 pep chromosome:ASM407v2:4:3606047:3608971:1 gene:Csa_4G046630 transcript:KGN53300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEEDLDLSSLKIQLSETNETWKQEMEKRQSEVGVLQAKLIEVKASIEGSEEDSRKELEVLWRRVKTTSTLLTYLKSKARMLAVPHLAHSSCGIKHLEGVGLVDKTGTPLSGWSKSIDLSPFDGTEEESLIGIGKPCGLLDEQDAVYIGQILKSVQMVSDVMEALVKRVILAESETAEEKEKVHLGREEIKKKSIQIENMSSKLEEMEQFAVGTNGILNEMRQRVEDLVEETCRQRQRAAENEQELCRVKRDFESLKSYVSSLITVRETLLSSEKQFQTIERLFERLVAKTTQLEGEKMQKEVEVQKLMEENVRLSALLDKKEAQLLAMNEQCKVMALSASHI >KGN52939 pep chromosome:ASM407v2:4:1138181:1140771:-1 gene:Csa_4G006460 transcript:KGN52939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAVVCGSKRSFFEELPPSPPIAKRLRCSTSTSPIRFAAPSHIDHLQHLFPQMDRQLLVRALEECGNDLDAAIRSLSDLCLGSAVENPVASAEPETNLDQGSIANNGEVAASENSSSSVSLDGRKWIDLFVVEMTNATTVADAKTRAARALEALENSITARASVDAAQNFHKENMQLKEQIELLVRENTILKRAVAIQHERQKEFEDKNLELQHLKQLVAQYQEQLRTLEINNYALTMHLKQAQQSSSIPGRFHPDVF >KGN53463 pep chromosome:ASM407v2:4:4716311:4717034:-1 gene:Csa_4G056540 transcript:KGN53463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSWSPCHVFSSSSILVAINTPEPAYFLLALHRVGNRFAQPTVYVSRWPVLLHLPFLFFSAFVFLLNFFAAVRCYVVGKMCGRIGSRLASTESETVVKIASVLAFLQISDLSTCTTSINLKTAQSICSSVYPNLDSCSPLSKTKEHKLDSQLSLMILCFIKPIDSH >KGN53765 pep chromosome:ASM407v2:4:7577374:7577608:1 gene:Csa_4G124870 transcript:KGN53765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGSHENVAPYPLEEYVSGDKVGILQCKHKYHVAGIQQWLRLKNWCPIFKSAAASHPFR >KGN55062 pep chromosome:ASM407v2:4:20279528:20279776:1 gene:Csa_4G627130 transcript:KGN55062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSFYIPKDNPSKPIGEEAQEFNCPYKLGKEPGLNEPMDAMWRNVTVMAGDIVVVGTDGLTDNIFAGEFGRSIGRGIQREIC >KGN55032 pep chromosome:ASM407v2:4:20081042:20089568:-1 gene:Csa_4G623400 transcript:KGN55032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKKFTIACKYVSVEALKEIGKSLGKRDWNFSADPCNGSHGWISQPNQIPNNVAGFENNLTCDCTFLNATVCHVISIVLKSQSLQGTLPPHLVRLPFLQQIDLTRNYLSGQIPPEWGSTNLVSMFDSRGDWEYHYSREFVSSDYLFVVYFLISLSGSIPQALGNLPQIQRLHLTSNNFSGELPMSLGKLTTLKEFQIGDNNFSGPIPNFIRNWTNLTKLFIQASGLSGPIPSDIGLLTKLSDLRISDLSASSPFPSLRNLKDMTILVLRSCNISGRLPNYLDRMPSLKILDLSFNSLSGRIPTRFDALKGLDNIFLTGNMLNGSVPDWMLKGNGIDLSYNNFTVSVAGESCRSQKMNLFASSSQEDYGVLSCLAGSSCSKSWYSLHINCGGKEETINGTTVFKGDRNAGSSMFFVTGTNWAISNTGTFLDDDGGSRDEYTATNSSTLSMINPELYMTARVSPLSVTYFGFCMGNGNYTVSLHFAEIMFTDDKTFSSLGRRIFDVYLQKKLVLENFNIVDAAGDVGKAVIKKFPVTVVNGTVEIRFYWAGKGTNAIPVSGVYGPLISAISVDPDFEPPFDGEETGKSGIPVGAVIGIAAAAVFVVLLAVGILWWSICLRHERTLEQGIKMEKNMKIQSQSAAETCLSLTSLAVQSYEGVLADGTTIAVKQLSSKSKQGNREFVNEIGMISALQHPHLVKLYGCCIEGNQLLLVYEYMENNSLAHALFGQEESELELDWSTRQKICVGIARGLAYLHEESRLKIVHRDIKATNILLDKDLNPKISDFGLAKLDEEGNTHISTRIAGTLH >KGN54006 pep chromosome:ASM407v2:4:10472512:10473717:-1 gene:Csa_4G260430 transcript:KGN54006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFVLYLLVVVIVEFSDCFSFNSTVSLDGTENFIKINDAIAAAPNFSTTRFYIHVKPGTYQEIIEVPYEKTCIALIGDDSSTTIIVNNRSNGTGSSTASSATLTVNGSNFMAQFLTFQNTAGPNEGQAIALLDQATHTAYYKCVFLGYQDTLYAGALPQFFKECDIYGSVDFIFGNGLVVFQDCNIYARLFDVQITVTAQSKPSLNSLSGFIFQNCKVTVSPEIASSKDKVTVFLGRPWRMYSMVVFIDSFLDNVVQPKGWLEWPGVPENLLYYAEYNNSGGGANTSQRVNWPGYHALNNAKEVATFTVEIFINGTQWLPQTGIPFRAGF >KGN55004 pep chromosome:ASM407v2:4:19880210:19900160:1 gene:Csa_4G621160 transcript:KGN55004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSPPQEKAKQPNKAPSSKSNPTPSKLHLIKQYGEIVLSDPIVEADSQHAAPSTSSVASGSRIHGVDYNPEPFESERLPVSLSSEIQRFLRVANSIEREEPRIAYLCRFHAFVIAHSLDRNSNGRGVRQLKTTLLQRLEQDEEVTIQKRKEKSDARELRRVYREFKDSIVKYGGAFDLDNSHREKLINARRTASVLYEVLKTLNSATAPQALSERDDNHLKTFYVPYNILPLDHRSVQQPIMQLPEIKAAVAAISNVRGLPSATDFQKNGPFTDLFDFLQWSFGFQRDNVANQREHLLLLLANMQARLTNKQKSGSKLGDNPMDELMRKFFKNYTHWCKFLGRKSNIRLPYVKQEGQQYKLLYIGLYLLIWGEAANLRFMPECLCYIFHHMAYELHGMLTSAVSLTTWEKVMPAYGGGAESFLENVVTPIYIVIEKETKKSKNGSASYSTWRNYDDLNEYFWSPDCFELGWPLRLDHDFFHVDDPNEKNEDDLNKKKTRFRFRNGKKAEERQTEGNEDTEPVLSTDEVCEQNLQKKGLGKSNFVEVRSFLQIFRSFKRMWSFYILSLQAMIIMAFNELDTPLQLFDAVIFEDVSSVFVTSSVLKLLQAILEITFTWKARRTMGSSQKRKYLIKLGVAAIWTIVLPVCYAYYRSKYTCYTTKKGSWVGEWCFSSYMIAVAIYLISNAVDLVLFLVPAVGKYIETSNGRMCTLLSYWTEPRLYVGRGMQESQVSMLKYTLFWVLVLLSKFSFSYYFEIKPLVDPTKRIMKIGVKKYDWHELFPKVRSNAGAIVAIWAPIVVVYFMDSQIWYSVFCTIFGGLYGILHHLGEIRTLGMLRSRFHTLPYAFNACLCPPLLSGDKKKGKGFFLKRFQASESKDNGLSKFVVVWNEIIKSFRLEDLINNRELDLMTMPVSSELFSGIVRWPVFLLANKFTTALNIAKEFIGKDANLIKKIRKDEYMNSAVKECYESLKYILEILLVGDLEKRVISALINEIEESINRSSLLEDFKMSYLPVLHDKCIELLELLIQGNESDRRRVIKVLQDIFELVTSDMMTDGSRVLDLVYASEQIEQDFIDFSRHIEPQLFESISSKESIHFPLPVDDSLKEQIKRFHLLLTVKDSAMDIPVNLEARRRISFFATSMFMNVPKAPKVSNMMSFSILTPYYTEDINFSLEELHSSHQEVSIIFYMQKMFPDEWKNFLERLGYEDMEKLKDDGKEEELRNWASFRGQTLSRTVRGMMYYREALKLQAFLDMAEDEDILEGYDTIERGNRALSAQIDALTDMKFTYVLSCQSFGAQKACGDPRAKDILDLMIRYPSLRVAYVEEKEMPDNQKVYSSKLIKAVNGYDQVVYSIKLPGQPYLGEGKPENQNHAIIFTRGEALQTVDMNQDNYLEEALKMRNLLQEFFKHKVRKPPAILGLREHIFTGSVSSLAWFMSYQETSFVTIGQRILANPLRVRFHYGHPDVFDRVFHITRGGISKASKTINLSEDVYAGFNSTLRGGYITYHEYMQIGKGRDVGLNQISKFEAKTANGNSEQTLSRDIYRLGQRFDFFRMLSCYYTTIGYYFSSLISVLGIYVFLYGQLYLVLSGLEKALLLGARLQNVRSLETALASQSFIQLGLLTGLPMVMEIGLERGFLTALQDFILMQLQLSVVFFTFSLGTKTHYFGRTILHGGAKYRPTGRKVVVFYATFTENYRLYSRSHFVKGFELLLLLVVYDLFRRSYQSSMAYLLITYSIWFMSITWLFAPFLFNPSGFSWAKIVDDWKEWNKWIKQQGGIGVQQDKSWQSWWDDGQAHLRHSGLISRLIEAFLSLRFFMYQYGLVYHLDISQHSRNFLVYVLSWAVIAAIFLLVKAVNLGKQQFSANYHFAFRLFKAFLFLGVLAVIISLSVVCQLSLKDMVICSLAFLPTGWGLILFAQTVRPKIEHTWLWDFTRVLAKSYDYGMGVVIFAPVATLAWLPNISDFQTRFLFNEAFNRHLQIQTIIAGTHKRK >KGN54744 pep chromosome:ASM407v2:4:16739696:16742082:1 gene:Csa_4G442590 transcript:KGN54744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKPVSRSDVKSKAERKFEKKVQFYERVGETITTLNAQKSITKKKNHRRRKRDLKAFDLSTLSEFLPGLEATKQKPSAAELKLNCKSRLKFILKERKQMGTVLNHPVFQADPLRAVQLHLEGTQPIEEPKKKKMNKNGSKKRKEKKSKASAKPSSMEM >KGN54571 pep chromosome:ASM407v2:4:14804757:14805181:1 gene:Csa_4G364020 transcript:KGN54571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSASKFLRSLANATNKNTVINVCLVVSFAALTARSIKQERQIEALETEKNSLLNSNKALKKTMWDWKQQLFAEASTESALVPLARIKAIYGEAPISPSGNIRHASLCSVLYVFLLSVVGKVSV >KGN53089 pep chromosome:ASM407v2:4:2066241:2071836:-1 gene:Csa_4G015790 transcript:KGN53089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWIHRFHLTFLFFQFLFLFPSTISSIRTNIGLAVNSSCRSTVQGRYLLSDDNGYVCDALSVDPLSRCCHGKGEKFPCHGCNLVLQCCNSYEYCVSCCQNPSRTKREQILKIKIAKPATAGTYASVFDFCVGRCRHNSESVVHENAYHSAFHHCFSLPSYSSGDNSTQLEFRLSGINVIIGRQGESCDSVCKSNGQSCVPNKILVLNHCDIMQKYLSCKGGCLASVGTDQPAEVAYDAPKELNPGACLYSSAQSMVSCDGSHPHTKRLCPCA >KGN54734 pep chromosome:ASM407v2:4:16605099:16612533:1 gene:Csa_4G438030 transcript:KGN54734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMTDQSLELQESGWEELRREARKIEGDLDVKLSSYAKLGTRFTQGGYVDSGSPSVGSNRSWKSMEMEIQSLLEKLLDVNDSMSRCAASATPATSINQKLARHRDILHEFTQEFKRIKGNINSMREHAELLSSVRDDINEYKSPGTMSPRVQLLRERAAIHGSIAHMDEVISQAQTTRAVLGNQRALFGDVQGKVKVLSDKFPVIRGLLGSIRRRRSRDTIILSGVIAACTLFLIIYWLSK >KGN52952 pep chromosome:ASM407v2:4:1222119:1224874:1 gene:Csa_4G007080 transcript:KGN52952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLLLCGDGEISDDLLFLLLLLQAIGCQGFTVAVKTGLTKDLGVHPIAAEEFVTMRTPTREIRRNPEEKSESEAKDAAGVTGILRKSLLGMTLLKRYVLRLFLSLKYITANVVDRNNGRVVATASTVEHSIKNSLECGRSCNAKAAAIVGEVLAMRLKVDGLEQGQGRGIHADIKKEIEKKGFKNHTKIWAIVNSLKNNGVKLILDNNVDDNAAQSNYQ >KGN54416 pep chromosome:ASM407v2:4:13080464:13083402:-1 gene:Csa_4G314430 transcript:KGN54416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCLNISTNVNLEGIDTSSVLSEASSTVAKIIGKPEAYVMIVLKGSVPMSFGGSEQPAAYGELVSIGGLNPDVNKKLSAAISAILETKLSVPKSRFFLKFYDTKGSNLGWNGSTF >KGN55403 pep chromosome:ASM407v2:4:22381475:22383607:1 gene:Csa_4G650220 transcript:KGN55403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVMDGLEATRLIRSFEETGSWEAAVNAGIFHHPTTTPSWTPSSSSSSSSRNRMPIIAMTANSMSESAEECYENGMDSFVSKPITFQKLKECLERYLPQPPL >KGN54479 pep chromosome:ASM407v2:4:13785683:13786720:-1 gene:Csa_4G337360 transcript:KGN54479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIASSSSSLIKDPKSTQSTAAAATRSRKRRNTCIGISIAILLLLIIIIIILAFTVFKAKRPITTVNSVALADLDVSLNLAGVSVDINVTLIADIAITNPNKVGFSYKNSTAFLNYRGELVGEAPIMAGKIDAGERKEMNITLTIMADRLLKTTTVFTDAVAGSMPLNTYTRISGKVKILGIFNIHVVSSTSCDFNVDISERKIGDQQCNYHTKI >KGN54246 pep chromosome:ASM407v2:4:11869397:11870263:-1 gene:Csa_4G295500 transcript:KGN54246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPGGGNGGFSARATVEDIRRRLLRPSSSLHSPPPTPFSLGKNAQDRNSSQFEANYMRLSWSREAKKGETSSKERKLEDYLDPVLLSAISSKISRLEKIPKITVKRKVRDFEWDVDELRMWTEDTAVGKEKIDAVNLGNDSDNLIENDVDGDVKFSTPFQKFEQNALASVCSFASCSHTCTASELKSPSQSSNSRSIYFTYFSVQTLETQLFSTSLKAPNTCSIMID >KGN53689 pep chromosome:ASM407v2:4:6734033:6738273:-1 gene:Csa_4G105350 transcript:KGN53689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPFPLLDAAIEISPRCPIITSRSSYGRRSSHCHLRLTAVSSTRTWKVSYIENLQSKPKTVAFSSRDNSNDHLTDLVNDADGFSTGRSEVLETGEDEILAVKKALLESQTRQEAVEKERDQLLERLARYEAKQKEYVATILHDKELAVSELEGARSLFNKKLEESVGEKFALESKLVLAKQDAIDLAVQVEKLAAIAFQQATSHILEDAQYRVSVAETSAIETSYEIEKQIRDATEGSMLSFLEQSKIAIEKALDVAEKASAHAKKAMATFTDEVYPLDEIASIQSENIKLKGVINELESHLSLARSNVNNLKLELEQARAQATASEIRAKNAEKVLVEFQELSREKINQQEGEIKLMMEKIKKDVADKKKAASKVFKAELEGIKSAIQAAKETAHSKDSAYMRRCEALQRLLRASEAGTKMWQQRADMAESFLLKERTMGKDNEDAAYIVNGGRIDLLTDDESQKWKLLSDGPRREIPQWMARRIGTIRPKFPPRKIDVTEISVSKFRSLDLPKLEEVWSIAQEKPKVGDTLIEHVIEKETIEKKRKALERALQRKTIQWQRTPDQTKLEPGTGTGHEIVFQGFNWESWRRRWYLELAAKASDLSQSGITAVWLPPPTESVAPQGYMPSDLYNLNSSYGTVEELKYCIEEFHSQDLLVCRGLKLFLCIAYLFNSSICFNYP >KGN55163 pep chromosome:ASM407v2:4:20998159:21001071:1 gene:Csa_4G638530 transcript:KGN55163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSVSSSVTNQLEKITAPYGSWKSPITADVVSGASKQIGGAAVDGSGRLIWLESRPSESGREVLVKEPEKLGGENIDVTPKEFSVRTTAQEYGGGAFMVSGDTVVFSNFEDQRLYKQSVKPHDSAPRPLTPDYGGPLVSYADGVFDLCFNRYIAVREDRRISSSSPTTTIVSIRLEGKTIEDPEVLVEGSDFYAFPRVDPKGKRIAWIQWHHPNMSWDKSELWVGYFSDNGEINKHVCVAGREPELVESPTEPKWSSEGELFFVTDRKNGFWNLYKWLEADNEVSPIYSLNAEFSRPVWVFGINSYGFLPGNEGENYILCSYRQHGRSYLGVLGDGQSSPSLLDIPFSDIDNITIGNHCFYVEGASAFHPPSIAKVTLKDKSLKVDEFAIIWSSSPDILTYKSYFSTPKLIEFATEVPGEKAYAFFYPPFSPIYHSSGDEKPPLLLESHGMYKAA >KGN53255 pep chromosome:ASM407v2:4:3270834:3278017:-1 gene:Csa_4G038720 transcript:KGN53255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEEKPRADNSAESPTSVLEDEDLCNGEKEIKLEEEIILEAKNGDSSLISKEMAEEEQKLLEARVKEEEAKRLEDSTESEKLSDNQFTKLDELLTQTQLYSEFLLEKMDDITFSEMEEDKKSVEKSSGRGSKRKAAARYNNKKAKRAVAAMLTRSKEGEQDEDVNLTGEERIEKEQSELVPLLTGGKLKSYQLKGVKWLISLWQNGLNGILADQMGLGKTIQTIGFLAHLKGKGLDGPYLVIAPLSTLSNWINEISRFVPTVNAIIYHGDKKQRDEIRRKSMPRKIGPKFPIVVTSYEIAMSDARKVLRHYNWKYLVVDEGHRLKNSKCKLLKELKYITVENKLLLTGTPLQNNLAELWSLLNFILPDVFSSSEEFESWFDLSGKSHAEEKEETQENRKAQVVAKLHGILRPFLLRRMKSDVELMLPRKKEIIMYANMTEYQKNFQEHLVNKTLENHLCEKGSGRGFKGKLNNLMVQLRKNCNHPDLLESVFDDSYAYPPVEQLVEQCGKFRLLDRLLTRLFERKHKVLIFSQWTKILDIMDYYFSEKGFEVCRIDGSVKLDERKRQIQEFNDVNSNYRIFILSTRAGGLGINLTAADTCILYDSDWNPQMDLQAMDRCHRIGQSKPVHVYRLATAQSIEGRILKRAFSKLKLEHVVIEKGQFHQERTKPTAADIVEEEDILALLREEDSAEDKMIQTEISDADLERILDRSDLIVPTGSDNEKSKVSGNLYPLKGPGWEVVIPASTGGVLSTLNS >KGN55218 pep chromosome:ASM407v2:4:21329682:21332275:1 gene:Csa_4G641520 transcript:KGN55218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLNNNMAVELLVGFGDATPSNHFTPNMEENAAVSAVQEAASAGIQSVQNFLRLMSHTTNHQHSQHDSSTSSTPNNGYQAVADSVVNKFKKVISLLDRNRTGHARFRRAPVLTTTTTTTTPPPPPPPKVKPQHQDPSSSSPISVPPVQVKKQESVSAFKVYCPTPSSVVRLPPLPHNNPHQPSHPSNTFQAQQNTSSVVLKNGSVDRKDATTTINFAASPPISAANSYISSLTGDTESLQPSLSSGFQFTHMSQVSSAGKPPLSSSSLKRKCNSMEDSAMKCGSSSGRCHCSKKSRKNRIKRVIRVPAVSSKLADIPPDDYSWRKYGQKPIKGSPHPRGYYKCSSLRGCPARKHVERALDDPTMLIVTYENDHNHGPIVNATASAPSPRRLVVGFSFFWGFGNLKGVGVGRGKREEGRGKAWDGGIWWVLSHAT >KGN54346 pep chromosome:ASM407v2:4:12507135:12509321:-1 gene:Csa_4G307390 transcript:KGN54346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREILHVQGGQCGNQIGAKFWEVVCAEHGIDTTGKYQGDSDLQLERINVYYNEASCGRYVPRAVLMDLEPGTMDSIRSGLYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMLNVQNKNSSYFVEWIPNNVKSTVCDIPPTGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATAEDEYYDEDEEEAEDNV >KGN52913 pep chromosome:ASM407v2:4:970830:974360:1 gene:Csa_4G006200 transcript:KGN52913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRPFSCCGIGLDRKERGKKQQTWRVFSLKELHSATNNFNYDNKLGEGGFGSVYWGQLWDGSQIAVKRLKVWSNKADMEFSVEVEILARVRHKNLLSLRGYCAEGQERLIVYDYMPNLSLLSHLHGHHSSECHLDWKRRMKIAIGSAEGIAYLHHQATPHIIHRDIKASNVLLDPDFQARVADFGFAKLIPDGATHVTTRVKGTLGYLAPEYAMLGKASESCDVYSFGILLLELSTGKKPLEKLSATMKRTIIDWALPIVVEKNFEELADPKLNGDYNAEELKRVILVALCCSHARPEKRPTMLEVVELLKGESKEKLAKLEGDELFKSHQVAKQTTETQAGEDSSDFISEEQDSKEKVKENSTQNPT >KGN53592 pep chromosome:ASM407v2:4:5716401:5719352:1 gene:Csa_4G083660 transcript:KGN53592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVTKKRRMDENGVDSSESSFSRITPEDARKIIDRFTPDQLIDILQDAVSRHLDVLDAVRSIADRDVSQRKLFIRGLSCDTSTEGLRSLFSSYGELEEAVVIIDKATGKSKGYGFVTFKHVDGALLALKEPSKTIDGRVTVTQLAAVGISGQNSNAADLSLRKIYVANVPMDMPADKLLAHFSLYGEIEEGPLGFDKQTGKCRGYALFVYKKPEGAQAALVDPIKTIDGRQLSCKFANDGKKGKPGGGPDGNQTQGAGQGNVHGDGMPMAPPSAMPGSGGQYGGPGGMGSYGGFSSGLQGAQPLAHHPLNSSMGPGLSSVGGQAPSSLGSSGGYGGGPYGGGYGGPGSIYGGMGSVGGGLGGSGGGLGGAGGASSLYRLPQSSVGMPSGGYPDSGHYSMSSASGHPNQHHQPAGTSPAPRVPPGGMYPNVPPYY >KGN52846 pep chromosome:ASM407v2:4:643366:646555:-1 gene:Csa_4G003600 transcript:KGN52846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSTSTLILSIFILLSAIHGRASRAPFACDPNNSVTTDYPFCRRSLVVGERVKDLIGRLTLEEKVKLLVSNAGGVPRLGIKAYQWWSEALHGVSNVGPGTRFGGEFPAATSFPQVISTAASFNASLWEAIGRVVSDEARAMYNGGVGGLTYWSPNVNIFRDPRWGRGQETPGEDPILAGTYAVNYVRGLQGTEGNRLKVAACCKHFTAYDLDNWNGVDRFHFNAQVSKQDIEDTFEVPFRMCVKGGKVSSVMCSYNQVNGVPTCADPNLLTNTLRSQWHLDGYIVSDCDSVGVFYNSQHYTSTPEEAAAMAIKAGLDLDCGSFLETHTENAVKRGLLNESHINGALSNTLSVQMRLGMFDGDLKTQPYAHLGAKHVCSDHNRQLAVDAARQGIVLLENRRGSLPLSTNRHRIVAVVGPNSNATLTMIGNYAGIACEYITPLQGISKYTRTIHQEGCRGVACRSNKFFGGAIEAARVADAVVLVMGLDQSIEAEFRDRAGLLLPGLQPDLVLKVASVAKGPVILVLMSGGPIDVSFAKDHPKISGIIWGGYPGQAGGLAIADVLFGQTNPGGKLPMTWYPQDYVSKLPMTTMSLRPGTSYPGRTYRFYKGPVVYPFGHGLSYTAFTHKILSAPTTLTVPVTGHRHPHNGSEFWGKAVRVTHAKCDRLSLVIKVAVRNIGARDGAHTLLVYSIPPMGVWVPQKQLVAFEKVHIDAQALKEVQINIHVCKLLSVVDKYGIRRVPMGEHGIDIGDNVRHIVSLQPQTLGIIKS >KGN55271 pep chromosome:ASM407v2:4:21586193:21591150:-1 gene:Csa_4G642520 transcript:KGN55271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESYLNENFGDVKPKNSSDEALQRWRRLCWLVKNRKRRFRFTANLSKRFEANAIRRSNQEKFRVAVLVSQAALQFINGLDFSPDYVVPEEVKQAGFEICADEAGSIVDGRDVKKLKIHGGAEGIATKLATSTEKGIPTTDHLMKKRRDVYGINKFTESPPRGFWLFVWEALQDTTLMILAFCAVVSLLVGIVMEGWPKGAHDGLGIVASILLVVFVTATSDYRQSLQFKDLDREKKKIAIQVTRNGLRQKISIYELLPGDLVHLAMGDQVPADGLFVSGYSLLINESSLTGESEPVNVNSQNPFLLSGTKVQDGSCKMVVTSVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGKIGLFFAVITFAVLVQGLFSRKLQEGSYFSWSGDEAREVLEFFAVAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRHLAACETMGSATTICSDKTGTLTTNHMTVVKACICSKVKEVSNSSKCSDYRTELPVSAVGILLQSIFNNTGGEIVKNKDGKNETLGTPTESALLEFGLLLGGDFQEERQKSKITRVEPFNSVKKRMGVVLELPAGGFRAHSKGASEIVLASCDKVLDSDGQAVPLNEESINFLKDTIEEFAGEALRTLCLAYLDTEGDYNPESPIPACGYTCIGIVGIKDPVRPGVKESVAICKAAGITVRMVTGDNITTAKAIARECGILTDDGIAIEGPEFREKKEEELSVIVPKLQVMARSSPMDKHTLVKHLRTTFQEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIATVAKWGRSVYINIQKFVQFQLTVNVVALLVNFSSACLTGNAPLTAVQLLWVNMIMDTLGALALATEPPTDDLMKRLPVGRKGNFISNVMWRNILGQSVYQFVIVWYLQTRGQALFHLDGPDSGLILNTLIFNAFVFCQINVFKGILKNHVFVAVLACTVLFQFIIIQFLGTFANTYPLNHQQWFVTVLFGFLGMPIAAALKMIPVGSE >KGN53541 pep chromosome:ASM407v2:4:5355498:5356744:-1 gene:Csa_4G075220 transcript:KGN53541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLSEKGRNKDPVYAEAASSDQYATEGRYVSPATIPLAGTSSSPSGGLACAIAALAEQQQIGRGPSSTCTNGDSPVFTMLPGATEFYNRMNTNVENYPPTQGSISAENYAPAQVSTVDTVPDCRMILTRNDGEWNLDHQSEEAEAGTSYPTSDLNEDNSTECALPTVNAMDGGNQATIPIIPQNFEEQMMLAMAVSLAEAREVSTGPGHSWQE >KGN55270 pep chromosome:ASM407v2:4:21581980:21583833:1 gene:Csa_4G642510 transcript:KGN55270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDVGVCLDEEIVDEHTFIDIANPSPAEEDDYVDTLLVKETSFGFRKDKSLMFGNWMKCARLDAIAWILKTRNVFGFGCQTAYLSMIYFDRFLSRRAITNEKLWAIRLLAVACLSLASKMEELKVPALSEFPVDDFNFESKVIQRMELLVLNTLEWKMGSTTPFSFIPYFISKLSIESPPSNKVSQIVELIWVMIRETSTQNHRPSVVAAATAILATMDDRLTRKALQLKMKSISQCRYLEVEEVISCYNLMQELRLEKCREEADCLKSPDLSPTQMKSMDCSENSSVTSSLASKRKRLNFSNLDEKCGVAEAKRPR >KGN53228 pep chromosome:ASM407v2:4:3032485:3033822:1 gene:Csa_4G030990 transcript:KGN53228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLLGKRPRGQMKRTASVSGITVDLSHVEGQQPSEDQNPTTGDIPKVICSTQTLDSDVMNYTLSFVSPRGRKNLSPAASFNKDSDHRSSDHFLRSCTFCRRRLSPGRDIYMYMGDTAFCSAECREQKMEQDWRKEKGTTTVHRPA >KGN55169 pep chromosome:ASM407v2:4:21030241:21033826:-1 gene:Csa_4G639080 transcript:KGN55169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRKGRTRLQSMRASANHEKGNVDMPEANFLDAAKASTSGRVSSRQRKVALQQDVDKLKKKLRHEENVGRALKRAFTRPLGALPRLPPFLPPNMLELLAEVAVLEEEVVRLEEQVVLFRQDLYQEAVNISSSKKTMELSPKNNSKQAQSKLSVQKTDNVVGKENESRMNSTSNNKGSSIKKIHTIKTPVKKPPVRNKSSEKPNSPKLNLENRTANPENAEARQLRAPDDKVSGDDSPNSISENILKCLSSILLRMSSIKNRGATESLHLFSMVTTMQTEETDLPDPYGICSEFGRRDIGPYKNVHTVEACSINTKRTTNSLFLFQRLKLLLGKLASVNLQRLTHQEKLAFWINIYNSCMINAFLEHGIPESPEMVVALMQKATINVSGHLLNAITIEHFILRLPYHSQYAFSKSAKYDEKTFRSIFGLELSEPLVTFALSCGSWSSPAVRVYTASQVENELELAKREYLEAAVGISSEKFGIPKLLDWYLLDFAKDLDSLVDWVCLQLPSELGKEAIKLMEGRRNQPLSQFVKVIPYEFSFRYLLCT >KGN54400 pep chromosome:ASM407v2:4:12991034:12992327:1 gene:Csa_4G312820 transcript:KGN54400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLVFFNTLVTNEINELECRRVSVQERKQAMKKLEQQELWAQRKLSMYASVTDIIPNMEDQSKISGHIVDRNKRVVQKFEFDPAKISSFDTCNGLWNMINSP >KGN55203 pep chromosome:ASM407v2:4:21243370:21244086:-1 gene:Csa_4G639900 transcript:KGN55203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENDDLKSEDQMEIMMMMQQMEKIPEFYNDFSPPSSDFSSTTTDHPHCHLDSSSSPPLFINNNSNNNSNNPPYNFPQQSTVPFPGTSSSRWRNSGSCETESLQKQRSVAAMREMIFRIAVMQPIHIDPEAVKPPKRRNVKISTDPQSVAARHRRERISERIRILQRLVPGGTKMDTASMLDEAIHYVKFLKTQVQSLERAAVSAGNRPITGVGAPSSVGFPLEMSTGSYIPNHHQSQP >KGN55077 pep chromosome:ASM407v2:4:20371094:20375220:-1 gene:Csa_4G627270 transcript:KGN55077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEPLKMSGSCQKIYAALQSQLGLTNQFANGFSWTLLRCIHYDQKILSTARLAMMAECNSRLVVALTIMEECFLSMVDPRTGIDMIPHLVYSWKSSFPRLDFHGFYTVILEKDDVLLCVASIRVHGSELAEMPLIATCSKYRRQGMCRRLLNAIEEMLMSFKVKKLVIAAIPSLVETWTEGFGFVTVENEEKQSLHKFNLMVFPGTVLLKKALYVSGQTTETTVGIHSGVQLDTDAKQQCDSCEPCPRMEMKCSKYQELQEPNGEKIKDDHEADPAPPIDSSTLQLVESNGLDISPGQKPVESNCCTDIVGATTETCTQEAKKLLKVEVGVERDIQLSEGKSWDEGVHGATMTRFVEPVVLT >KGN53343 pep chromosome:ASM407v2:4:3854137:3860055:-1 gene:Csa_4G048040 transcript:KGN53343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGCSKLSGCCWTLDHNKPVPDLQDVENEGSSDLDIPAFREYTIEQLRIATSGFAVENIVSEHGEKAPNVVYKGKLENQKRIAVKRFDRSAWPDARQFLEEAKNVGLLRNLRLANLLGCCCEGDERLLIAEYMPNETLAKHLFHWDSQPLKWIMRLRVALYIAQALEYCTSKGRALYHDLNAYRVVFDDDGNPRLSCFGMMKNSRDGKSYSTNLAFCPPEYLKTGRVTPESVMYSFGTLLLDLLSGKHIPPSHALDLIRDRNLQMLTDSCLEGQFSNDEGTELVRLASRCLQYEPRERPNPKSLVSSLTPLQTDTEVPSHVLLGIPRDASDLPLTPLGEACLRMDLTVIHEILEKINYKDDEGSATELSFQMWTNQMQETLSSKKNGDLAFRHKDFRAAIDSYTQFIDAGAVLSPTMFARRSLSYLACEMPQEALNDAMQAQVISPVWHIASYLQAAALFALGSNNEAQTALREGFALETKTTAK >KGN53403 pep chromosome:ASM407v2:4:4305961:4311767:-1 gene:Csa_4G051550 transcript:KGN53403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQMKYLIEVEKSKSAIDGRPSIGPVYRSIFAKDGFPLPIQGLDSCWDIFRLSVERNPGNRMLGHREIVNGKAGKYVWLTYKEVYDTVVKVGNSLRTRGFEQGVKCGIYGANCSEWIISMEACNAHGLYCVPLYDTLGAGAVEFIICHSEISVAFVEEKKLPEVLKTFPSTTKYLKTIVSFGKVTPNLREEFEKHGVEIFSWDEFLLLGENQHFDLPVKKKSDISTIMYTSGTTGDPKGVMISNKSIISLIAGVQRLLENVNEELSPKDVYLSYLPLAHIFDRVIEELFILHGASIGFWRGDVKLIIEDIGELKPSIFCAVPRVLDRIYAGLTQRLDQGGLLKRTLFNVAFSRKYGNMQKGHKHSEASPICDRFVFNKVKEGLGGNVRLILSGAAPLATHVEAFLRVVACAHVLQGYGLTETCAGTFVSLPNELSMLGTVGPPVPNVDVCLESVPEMGYDALSTTPRGEICVRGDPLFSGYYKRDDLTNEVMIDGWFHTGDIGEWQSDGSLKIIDRKKNIFKLSQGEYVAVENLENIYGLVSSIDMIWVYGNSFESFLVAVANPNQLALERWANENGIEGDFDSLCGDKRAKDYLLGELNKIAKEKKLKGFEFIKAIHLDPLPFDMERDLITPTYKKKRPQLLKYYQSVIDSMYNNAKKP >KGN53443 pep chromosome:ASM407v2:4:4616405:4616715:1 gene:Csa_4G055380 transcript:KGN53443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSKREDCESGGFVRGFWGREIYLSIYLRVRGEAEFGGLVVERESMWNIRQRGDWSESDDEEEGELWGTLQS >KGN54965 pep chromosome:ASM407v2:4:19626026:19630556:1 gene:Csa_4G617330 transcript:KGN54965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDLSQQKASEDAHAHEKSSSVKGKEMRGKIMGWVLASIVAIFALFIGFSFKSRYQISSISPSSQQKLFKVDELALYNGTDKGLPILLGILGSVFDVTKGKSHYGVGGGYNHFAGRDASRAFVSGNFAGEGLTDSLRGLSNAEIKSVVEWRSFYQKTYTLVGKLVGLYYDDQGNPTKHLKGAEAKAARGAQLLKKQKKEEDKLPSCNSRWSQGEGGEVWCDNGFPRLVQRPLEIALTGKMSKRCACFREDQLGEPGLEVYDGCDYQAKTCRV >KGN54926 pep chromosome:ASM407v2:4:19298212:19298664:1 gene:Csa_4G607030 transcript:KGN54926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKINSLLRKCKSLSRQLGRSSSYSSLRSKSTREDLWVCEKQEEDFEQQIEQKVSGSNVILYVGIVLFDHLLWMLENSDPNITFDSNLEELAELYVF >KGN53047 pep chromosome:ASM407v2:4:1865412:1865676:1 gene:Csa_4G012430 transcript:KGN53047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLILHEIDVSDVDMRMKDESEETEEGEEDEGMKKNGGGTCFERSPGDRRMNRISATTTGPQSAIDISSSSSC >KGN52941 pep chromosome:ASM407v2:4:1146854:1151212:1 gene:Csa_4G006480 transcript:KGN52941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRESQTKGPKICNSPICCDKIQSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSLLSLLISFIAIQFFKNKNKKMRRSATRKTGQPNSTSVNSSAVDLFRSASSRASSKELERIDSLFYSYANPSSGLIDPEGIENLCSDIEVDHTDVRILMLAWKMKSEKQGYFNLDEWRTGLKSLRADTVSKLKKALPDLEKEVRRPSNFVDFYSYAFRYCLTEEKQKSIDIESICELLDLVLGSQFHSQVNAFVDYLKIQTDYKVINMDQWMGFFRFCNEISYPDLINYDSELAWPLILDNFVEWLQAKQN >KGN55539 pep chromosome:ASM407v2:4:23146591:23149024:1 gene:Csa_4G664460 transcript:KGN55539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLSASEGIEGNTFTVTGGLGFAGSALCLELLRRGALQVRAFDLRPASPWSDHLKTQGVKIIQGDVTCKKDVERALRGVDCVFHLAAYGLSGKEMLQVGRIDEVNINGTCHVLDACLEFGVRRLIYMSTYNVVFGSQEIVNGNEGLPYLPIDDHVDAYGRSKSIAEQLVLKTNGRPLKNRNGKCLHTCAIRSCAIYGPGEERHFTRLVSLAKLGLLPFRVGKQSAKTDWIYVDNLVLALILASMGLLDDIPGKGKDPVAAGQPYYVSDGHPVNSFEFVKPLLNSLGYDLPNYYLPVPKALPLGKFFALLYTILYPWLDRWWLPHPLMLPAEIYKVGVSNYFSYLKAKEELGYAPIVTPKEGMAATISYWQERERKSLDGPTIYVWLFCLVGMSILFCAAFLPDVGPVPFFKAISLFFFRSIKVLRMVFLVALLLHVGEAIYAWFLARKVDPANSRGWFWQTFALGFFSLRFLLKRARN >KGN54215 pep chromosome:ASM407v2:4:11661860:11663688:-1 gene:Csa_4G293250 transcript:KGN54215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKNGKKIFGFCSKVLLPLVSPPFSNNSSSTSEVASESMIDRTLQSAAEIINKWSTQSLAYTQVSSMFHHSKQEALRFIRCVNELQKVMYLLTSQKLVFSHRLMQTAMKRLQVEFYRILSVNREPLDVESSPVRVRTAEDCDVRKVSSVAMADLRAIADCMISSGYTKECVEIYTTVRKSVVDEGMYRLGIGKFSSQIIRKMNSEAVDFRITKWLEGAITAITTIFNAERDLCDYVFVSSESVRESCFTKTCKDGAMILFAFPEVIVKNQKSQKNLFYLLDMFTVIFENWSRIESIFSFESTEVIQSQAIASLSGLSESISAVLSDYESSIQNDSSNSLSVDGGIHSLSLQSMDCLSHLAEYREILYTIFSRWPPPKKSTLPSDSNSSSLDSDDSPISSVSSYMARIIFILLCKLDSKARQCDDISLSYLFLANNVRFVIWQVQSSNLHYLLGEEWIELHKGKVKQYIDSYEQLAWGKVISTLPVNPTAALTTAEVTEVYEKFNSSFKEAYRKQRSSVIPDPKLRFEILSIAKSWLPVYREFYNTHRFPVGEEVIARLTPEDVENYLSYLFFPHVESHSLPN >KGN53426 pep chromosome:ASM407v2:4:4489716:4492759:1 gene:Csa_4G053250 transcript:KGN53426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSTTTPPPPPPPSPLHSTYSSPFITAFNRLFAAVYSAAIFALFYHHILSILRSSSISSSLISLALLLSDFILAFLWVAGQSFRMIPVRRREFPQKLKRVAEDSDFPAVDVFICTTDPEKEPPMSVVNSVLSVMAYDYPVGKISVYISDDGGSALTLFALTAAAKFAKHWLPFCNENEVVERNPEAFFASTNDEFWNFDTEKIKEMYEEMKMKVEDVVEKGEVGDEFMDGEEDRFTFSKWTKSFTPQSHPTIIKVLLESKNDRDMMGHSLPNLIYISREKSKAFHHHFKGGALNALLRVSATMTNAPIVLNLDCDMYSNDPQTLYRALCYALDPKLKSTLSYIQFPQCFKGVSKSDIYASEMNRTFKINPSGMDGLLGPDYFGTGTFFTRRAFFGGPSSLESFGPFELSPDYVVRKPIGCQQTLDLAHEVAACDYENNTKWGSKVGIRYGSLVEDFYTGYCMHCEGWRSILCNPNRAAFYGDVPISLLDALNQIKRWAVGLLEVTFSKSCPITYGMKSMGLLMGLCYAYYSFWPLWSIPILVYAFLPQSALIYGVSIFPKGDQLVFLYTFLFFGAYGQDLVELLMSGSTFRKWWNEQRMWMIRGVSCHFYGLIEFILKSLGISSYGFEVTSKVMEEERTKRYNEEKFEFGVWTPMFIPLAMAAILNFGCLVIGFMRIFKDGWNDLDKISMFGQMFIAGFVTLNCWPIYEAMVFRNDGGKMPLSITFISIVLLLGFLSIPFLISTYLPYLLLF >KGN53833 pep chromosome:ASM407v2:4:8340821:8346110:-1 gene:Csa_4G159320 transcript:KGN53833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKIDPFIFSLLYKEISIYALCLPICHLLPFSPQRKFSFIGNLEFSPIPNTHHLFSLLLTLSSLLPYNLFERLYLKIVQMMSSEIECRNHPDCDNQQITNYSTNNSQPCRLHGCVHGVNSTTAFMPKEEKRNWAWPKPLVDSQPEHKTSTKELHITLSGVGPTLPYKSRDVSTVLPFMNNEHYHASTSQSELLSLSMTANTSYAYHDPSYGGLLSPFGFQTMHNSDYSRMALPLAMAEEPVYVNAKQYHGILRRRQSRAKAEVENKISRSQRKPYLHESRHLHAMRRERGCGGRFLSKNKKAEASSLLDDDDGEGSNISLGSESMCNGSKCYQGSQLHLSAYI >KGN55075 pep chromosome:ASM407v2:4:20347813:20348069:1 gene:Csa_4G627250 transcript:KGN55075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFTPLNFLLITQNSPPRDTWQRLKKDLVAETEVAGHVLGKVACQLAHSGWRLKDESGVQLST >KGN52964 pep chromosome:ASM407v2:4:1307402:1308444:1 gene:Csa_4G007690 transcript:KGN52964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKMNGGANVGNCINLSGTSSTTTTTSSMVLPTTTTTTTTKPNGVFEKLVLPTVKVTAKPGSTPGSTMYSYTSHQPSPPSTSMANHVIGQPRPSVQPPTVNSNGTSFGNMASIISSLERGDEDKLIDLLRPERFKDPYFSSLMTYLLNEMDKYRCLESTVSISMTPNTLC >KGN53570 pep chromosome:ASM407v2:4:5567174:5567524:1 gene:Csa_4G082960 transcript:KGN53570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPISLHSSSSPSSSFLQCLTPTSPTIQIVSKSASDRLLAKFFDASKFDFDYLQSSLWSPPIQRRLFLDSPADVCSGSKVVLSKLENAKKAWRKFIICFRVSSFMDCFCFVLSCIRK >KGN53802 pep chromosome:ASM407v2:4:7940741:7942286:1 gene:Csa_4G131160 transcript:KGN53802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDREIISTLAGVDKIKIRNSDRAIDLTTEVRCPGIGQDNIRNDHHNPFAERQKGTLLRNTELLNQRRGSGKEKCQAVTLHSERNFSIREPESERRNPTSTYGTEAQNKNMSSEEVDHSRWEEQHEDASDKAKSFIPFSPPLFPEVNVIVGEKSVEEGEEFETREFPPPPLLKCKPKETLILNVEERTDLEP >KGN54176 pep chromosome:ASM407v2:4:11430916:11431567:1 gene:Csa_4G291640 transcript:KGN54176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKPEATGASGTSTTTKLRKGLWSPEEDDKLMSYMLNNGQGCWSDVARNAGLQRCGKSCRLRWINYLRPDLKRGAFSPQEEHLIIHLHSLLGNRPIETRQRV >KGN54979 pep chromosome:ASM407v2:4:19739607:19740074:1 gene:Csa_4G618440 transcript:KGN54979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGARLMLGELKARLKVGGRACGSRLGWKGVAHISSPRDTRLASGRRTGLAEGKDAAGRLGLSSARRKIARVLKLQIIDFRSNLSEERAFLSFFSFFFGGLLKWRSLADSGITRSVHLSGEKG >KGN55042 pep chromosome:ASM407v2:4:20160081:20165184:1 gene:Csa_4G624970 transcript:KGN55042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSCSFCIAAFHLLLGLWILCPSFTRTGRRLKGLNLVGVLPVEFANLTQLQELDLTYNLINGSIPKDFARIPLLKFSIIGNRLSGEIPPEIGNIASLEELILEDNQIRGTLPKTLGKLIHLRRLQVSSNNIRGLIPQSFWNLRNLSDFRVDGTNISGNIPEFIGNWTNLQTLYIQGTSMENPIPTAISHLKNLTQLVISDLKGGTVKFPNLSQLTSLQRLVLRNCLIEDRIPEYIGSFNDLRILDLSFNRLSGSIPDTFQNLFVQQETESMFLTNNSLSGQIPSWIAVISSRNIDLSYNNFNEGLSDFGCTQSNNINLISSLSAKSKSDSWCQMKDLPCSREPQRKQKIIDTHTHLLHCSLIVEEEVWSLMVVYMKGMILKVGKQVSLSHHRNGVTLQLVVHC >KGN53992 pep chromosome:ASM407v2:4:10169425:10169684:-1 gene:Csa_4G243400 transcript:KGN53992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGAWSFLVGVAICLVNYVNERELNLLTSYAEVFDVLRCSGPHARYTDVFNESIALVDWPE >KGN55082 pep chromosome:ASM407v2:4:20406125:20406439:-1 gene:Csa_4G627810 transcript:KGN55082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAIIVMIKFFFVCFITVIILLPFLSFGSAPPPPPPPSSSPPSGFMESAKDALMASLQRRGGKPFQVQRTSPGGPDQHHH >KGN55060 pep chromosome:ASM407v2:4:20264675:20267546:-1 gene:Csa_4G627110 transcript:KGN55060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNSADLSHLFNGRRRSTISLLNLLSISLLIFSFFQIGLVKPISAHNPLYNNIFLPSQLQNNERSDDNLQLRTCTKVNPSLYYRPVIGILSHPGDGASGRYSNATTASYIPASYVKFVESAGARVIPLIYNDPPEVLEEKMGLVNGVIFTGGRVRDGLYYSVAEKIFQQILSRNEAGDYVPLYGISLGFQIISAMVSQRNDIIETFNATRFPSALKFNDFANIRGTYGISPETFEQDERLSDFFQILTTSVDKNNKVYVSTANARNYPITIFQWNPEKNSYEWGISTIPHTEYAIELTHHVAHHLVSEARRSINQPPAEKVLEKLIYNYSPIYNGKAGKGYDQVYLFE >KGN55076 pep chromosome:ASM407v2:4:20365835:20369946:-1 gene:Csa_4G627260 transcript:KGN55076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSPKLFHSRHSPTSPFYSSRSSLFLLSFCLLIGISGFIFVFTSFFTPHLRNNCSNRQPRTVRVVWDRAPDSAALTTGSDAKRHKVMGFVGIQTGFSSVGRRRSLRNTWLPSDREGLQRLEESTGLAFRFIIGKTSDKAKMLELKKEVAEYDDFLLLDIEEEYSKLPYKTLAFFKAAYALYDSEFYVKADDDIYLRPDRLSLLLAKERSHSQTYIGCMKKGPVFTDSKLKWYEPLSHLLGNEYFFHAYGPIYILSADVVASLVALKNNSFRMFSNEDVTIGAWMLAMNVNHENEKALCAPDCTPTSIAVWDIPKCSGLCNPEKKLLELHNKESCTKSPTLPSDDDS >KGN55457 pep chromosome:ASM407v2:4:22747642:22752473:-1 gene:Csa_4G652700 transcript:KGN55457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKADDHHCLSKLQLTVPSDDRPSVLRLRELLAACSKSTENEDTHQSEALVSELVNYLDCISEAAETELDNGDTESDASEVLNEIYQFISSPSLDQGTIDTLSFDLPKAVSKFIRVGGCLEIVDSIIDRFVTLCSPRDMLSVLCEALDLQTTNATNCAAPFLSGLSKVIRSIQRRHFEQIKVAVPVVLNALKAVDFETSEGDDGKVQEKLQSLLGLYVLQIMALFSVSMSHEVSSCLPFISKLSSFLPFCGLSYAGLITGFDIDKISKNIIGEDEDDYTACFSYIKHGACLSVLWGFISEEVVQAADEKLNVLKDELTSKQTERWKAIGMFRHILSFPALSWKLKKHAIDFLLCINGSESFDDKESDYISYMPSLFAALQAVQIIIMYAPDATLRRNGFDLFKKLLADIPYSQRFDMFRALIVNSDSPSMVGLLLDLVKGEMHAELCQKRAAGSLQVDTKARPEPSFWTASILELVELILRPSKGGPPVLPEQSDAVLSALNLYRYVLITEATGNTNYTGVLLKSNLQKSYNEWLLPLRTLVTGIMSENKADYDQITVDIECALNPVELVLYRCIDLVEEKLR >KGN54907 pep chromosome:ASM407v2:4:19051284:19055891:1 gene:Csa_4G593920 transcript:KGN54907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEGSDSKDKTTSNKLLDGLKYNVEVAESVANEAQRLPILEATPLYEQLLTVYPTAEREMIREGSGQGLPCSRNEIC >KGN54610 pep chromosome:ASM407v2:4:15115726:15119747:-1 gene:Csa_4G377730 transcript:KGN54610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTRGTRKISIIWLPFFCFSFFFFGMLITNSRIWSASESNGQVISRRRHEQELQIVSEDSSIKIPAEKTDMMTEVYRTHEAIQSLDKKITMLNMDLVEARNSREMHSSDSHTPSIESSGKSNLPKKKMLMVIGINTAFSSRRRRDSVRETWMPRGEKLFQLEREKGIVVRFMIGHSATSNSILDRAIDSEDALHKDFLRLEHIEGYHELSAKTKSFFSTAVTKWDADFYVKIDDDVHVNLGMLATTLAHHRSKPRVYIGCMKSGPVLSSKSVKYHEPEYWKFGEEGNKYFRHATGQIYAISKDLATYVAVNQPILHKYANEDVSLGAWLIGLEVEHIDDRNMCCGTPPDCEWKAQAGNVCVASFDWSCSGICKSVERIKSVHEKCGEGNGAVWSALI >KGN55386 pep chromosome:ASM407v2:4:22257625:22262351:1 gene:Csa_4G648570 transcript:KGN55386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAVRIAGTILGRDRLLTAVIAGASSLSFVGSGFIVLCYVLFKELRKFSYKLVFYLALSDMLCSFFTMIGDPATGFYCYTQGYSTHFFCVASFLWTTTIAFTLHRTVVRHKTDVEDLEAMFHLYVWGTSLVLTVIRSIGNNHGHLGTWCWAQSSRTGKAVHFITFYMPLWGAILYNGFTYFQVIRMLNNATRMAVGISDRAYQSDARADMKAINRWGYYPLILIGSWAFGTINRIHDFIEPGHKIFWLSVLDVGMASLMGLFNSIAYGLNSSVRRAISERIDLFWPEKLRRWLPSNSRSRNQQQEGEMVPLKSLDQA >KGN53205 pep chromosome:ASM407v2:4:2837699:2837968:1 gene:Csa_4G026820 transcript:KGN53205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVSLEALAMAGIDSNEWAMDAEEWKTNTHQMENDDIIPPHLLADEDEENIAAKELCISGHRKDDGLVVFCAFRRSYAILRKMMEKRAS >KGN53790 pep chromosome:ASM407v2:4:7849613:7851571:-1 gene:Csa_4G129560 transcript:KGN53790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYRINIGGGFISPTEDTGMFRTWFNEENSFLKPSSANYDAQPANSSIHLNYSSKVPAYIAPENVYRTARTMGPNNTENKIYNLTWEYPVAPGFFYMIRLHFCEFQEEINSRGDRVFLIYIRDNRVESSADVFGWAGGKGIPYYRDCVIFLPLNDQKKVNLSVTLQANPDDSRSRFTNVILNGIEIFKLNYSNGNFGGQNPDTPPTTHTQILPPSKNNSPSRIEIIVIIVVVGGAVVTIFAVGLFVFWRRMFVFWRRIAFMDQISSHGTSLWPLYSISTNKSSKSRTSSLPSSLCRYFSLVDIKAATKNFDENFIIGIGGFGNVYKGYIDDGATQVAIKRLKPDERWVAKVSDFGLSKVWLTNMSKAHISTVVKGSFGYLDPEYCRHQQLTEKSDVYSFGVVLCEMLCARRALVSGKDEITALLAELVRQCYREKRIDEIIDSKIKDEIAPECLKRFMKLVVSCIESEGNKRPSMNDIEEGLEFVLKLQEEGRNGGDEHNGINNEEGWILRDEALSDSSSEMMTSSNQNSCFVYHQGTSGLVFSELEDLPGR >KGN53732 pep chromosome:ASM407v2:4:7252026:7255006:1 gene:Csa_4G113150 transcript:KGN53732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWESENDSIAVARHYANGVPPSAKHALKTDGFELRGNSWYVATDIPSDLLVQVEGVNFHLHKYPLLSRSGKMNRCIYESRDPDLNKVVLDDLPGGAEAFELAAKFCYGIAVDLTAANISGLRCAAEYLEMTEDLEEGNLIFKTEAFLSYVVLSSWRDSIVVLKSCEKLSPWAENLQIVRRCSESIAWKACANPKGIRWAYTGKPPKVSSPKWNDLKDSSPSRNQLVPPDWWFEDVSILRIDHFVRVITAIKVKGMRFELIGSSIMHYSSKWLPGLVTDTTNAGDEGSTSTTSNTSSGSNSWKGGLHMIVAGNKEDHSAIQAKDQRMIIESLISIIPPQKDCVSCSFLLKLLRMANMLKVAPALVTELEKRVGMQFEQATLVDLLIPSYSKSDTMYDVDLIQRLLEHFLVQEQTEISSPSRQSFSDKHMYDGSQRGTVPNAKMRVARLVDSYLTEVARDRNLSLTKFQVLAEALPESARTCDDGLYRAIDSYLKAHPTLTEHERKRLCRVMDCQKLSIDACMHAAQNERLPLRVVVQVLFSEQVKISNAIANSSLKEANDSQFQPLVTNRKTLLEATPQSFQEGWATAKKDINTLKFELESMKTKYLELQTEMESLQRQCEKVTKQKQSSAWSSGWKKLSKLTKISTLETPENEFQHPGIAEQTKKVHRRWRNSIS >KGN54956 pep chromosome:ASM407v2:4:19542960:19549534:-1 gene:Csa_4G615760 transcript:KGN54956 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-linked n-acetylglucosamine transferase, ogt MASTVDEDDREPAMESGEADDGIVVREVCANGISIKTTEVEAKLDEGNIQEAESSLREGLSLNFEEARALLGKLEYQRGNVEGALRVFDGIDLQAAIQRLQPCIADKTPPKKGRSRSESQHAVSQHAANLVLEAIYLKVKSLQKLGRVTEAADECTSVLDAVEKIFHQGIPDGQVDSRLQDTVSQAVELLPELWKQAGCFQEAISAYRRALLSQWNLNNESCARIQKGFAVFLLYSGVEAGPPSLAVQLEGSYVPKNNLEEAILLLMVLVKKCYLGKIKWDPSVMEHLIYALSLCSQTSVLAKQLEEVMPGVYHRVDRWKSLALCYCAAGQNKIALNLLRKSLHKHEQPDDVAALLLAAKICSEDPYLAAEGVGYAQRALSNCIENEEHLKGVGLRLLGLCLGKQAKMSSSDFERSRLQSEALKSLEAASGLESNNLDLMLELGVQYSEYRNLNAALQYAKKFIDETGGSVLKGWQLLALVLSAQKRFSEAEVVTDAAMDETTKWEQGPLLRLKAKLKVSQSLHMDAIETYRYLLALVQAQKKSFGPLRIVPQVEDDKVNEFEVWNGLANLYSSLSHWKDAEICLGKARELKEFSPEVLHTEGLMCEGRVKIQEALAAYINALLLEPTYVPCKVLIGALWSKAGPDALPIARSLLSDALRLDPTNRKAWFYLGVIHRDEGRMADAIDCFQAAAMLEESDPIESFSSVL >KGN54654 pep chromosome:ASM407v2:4:15670942:15673212:-1 gene:Csa_4G416990 transcript:KGN54654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSELPDELWNQILQIGVKTYALTYKDLCCVSISSPRLRRLSDHDSLWSHLLFSDYPSSSSSFPSSASSSKSLYKIRLERDRYRKKAAHTRAVLRKESQIAEHYRRVSQLEERLIEETGKWTTSFTEFSNLRTVREATVALNVWQPEVIRARQKQIVEQCSVSVDSRLRTLDMELKLCKQQIAIFQKALKDEKKRLELAKEELTSLKYHPLKDHKYMNSSNNDTHIKRRSKDAINSKVKQLRST >KGN53251 pep chromosome:ASM407v2:4:3219089:3219376:1 gene:Csa_4G038685 transcript:KGN53251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDLPCKRTCHGKGRMTKSYQNSSTSKKRINTDIFYIHNHSSTLSHAFIIMIQDDTASIENLSTDLLHRINEQVSDEIHYIYSTVPLHLQLRHHA >KGN55459 pep chromosome:ASM407v2:4:22753882:22761710:1 gene:Csa_4G652710 transcript:KGN55459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPDVSIETSSMIRVAVLPIGSVPPTLLRDYLSMLLRHQLIPLSAISSFYTEHQKSPFSHQPWDSGSLRFKFILGGDPPNPWEDFQSNRKILAVIGICHCPSSPDLDSVIDQFNASCKSYPSALVERCFAFCPDDSQLEEGCKKGGNLRLFPPADRQTQEFHLNTMMQDIAASLLMEFEKWVLQAESAGTILKTPLDSQASLSSEEVIKAKKRRLGRAQKTIGDYCLLAGSPVDANAHYSTAIDLARLTGDYFWYAGALEGSVCALLIDRMGQKDSVLEEEVRYRYSSVILHYRKSFIQDNTQRVSPLSFELEATLKLARFLCRSELAKEVAELLTNAADGAKSLIDASDRLILYVEIARLFGSLGYQRKAAFFSRQVAQLYLQQENRHAAVSALQVLALTTKAYRVQSRSSETDHSFSLNKVGLSNSDSGKMHHQSLVSLFESQWSTLQMVVLREILLSAVRAGDPLAAWSAAARLLRSYYPLITPAGQNGLASALSNSADRLPSGVRCVDPALPFIRLHSFPHHPSQLDIVKRNPDKEDWWAGSAPSGPFIYTPFSKGDASNNNKQEMVWVVGEPVQVLVELANPCGFELKVDSIYLSVHSGNFDAFPVSVNLPSNSSKVVTLSGIPTSVGPVRIPGCIVHCFGAITEHLFKDVDNLLNGVAQGLVLSDPFRSCGSMKLRNVLVPNISVISPLPLLVSHVVGGNGAIILYEGEIRDVWIHLANAGTIPVEQAHISLSGKHQDSVISIAFETLKSALPLKPGAEVIIPVTLKAWQLGVVDSDMVSGKNASASMLRHSKDGSSPTFLIHYAGPVANPGDHPNDSAIPPGRRLVIPLQICVLQGLSFVKARLLSMEIPAHVGENLPKLAEIDNNSTEQPVDTKSKIDRLVKIDPFRGSWGLRFLELELSNPTDVLFEISVSVQVENSCHGENTSGDQNVTEYSYHKTRIDRDFSARVLIPLEHFKLPVLDGSFFGKDIRTDGVANARNLSFSEKNTKAELNASIKNLTSRIKVKWQSGRNSFGELNIKDAILAALQSSMMDVLLPDPLTFGFRTVTNSLDRKESYQNLHTVSSQSSLEAHEMTPLEVIVRNNTKEMIKMSLNITCRDVAGESCVEGAKSTVLWNGVLSGITLEVPPLEETAHSFSLYFLIPGEYTLSAAAIIDDATDILRARARTSSPDEPIFCCGPPYHLCVNGTV >KGN53146 pep chromosome:ASM407v2:4:2413950:2416952:-1 gene:Csa_4G022330 transcript:KGN53146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFANHRQQSVLLEGERRRSSISSVPSPQTNPNSPVKKKRTPRWSDVWLKNTNSLENVILAMKLQSFSKDSHIPTPNSKTKPLLANFCKIDRTLLLSDELLLRILSKLPDSQRNSNFLVCKRWLNLQGRLVRSLRVMDLNFLLSGRLILRFPNLNRVDLVSGSLMSSRNSGILLSNRILSMHVDSWFLPVPGVGEENILDDMVIDKGLKTLASGCPNLRKLAFIGGSEMGLLSVAEECETLQELELHKCNDNLLRGIAACENLQILKLIGNVDGLYSSVVTDIGLTILAQGCKRLVKLELNGCEGSFDGIKAIGQCCQMLEELTICDHRMDDGWLAALSYCENLKTLRIMSCRKIDPNPGPDEYLSPCPALERLHLHNCQLRERRSAKALFVTCGAAREIFFSDCWGLVDGIFSFASHFWRVKFLSLEGCSLLTTQGLESVILQWNELQSLRVESCKNIKESSISPALSSLFSIFKDLKWRPDTKSLLSSSLTGTHMGKKGGRFFKKTWDMKVLPGVHDHTSTRQT >KGN53223 pep chromosome:ASM407v2:4:2962870:2966151:1 gene:Csa_4G028470 transcript:KGN53223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNQNVVVSDTKSGINMSITVAVSNSSIFATTAQKPPPAPAGAAGGGGYISISRKKILKDLDVNGGWIESMRASSPTHVKSLPSFSASDDHRQNSWMHLHPSALDMFDQIIEASKGKQIVMFLDYDGTLSPIVEDPDKAFMSEAMRKTVKKLATCFPTAIVSGRCRDKVYGFIRLAELYYAGSHGMDIKGPTKSSKFKKAVNQGLLFQPASEFLPMIDEVYQQLVQKMKSTPGAKVENNKFCISVHFRCVDEKQWNNLAQEVKSVLKEYPMLRLTQGRKVLEIRPTIKWDKGKALEFLLESLGYANCSDVFPIYIGDDRTDEDAFKVLRERNQGFGILVSKIPKDTHASYSLREPSEVMYFLQRLVQWKRPSLLRRRHCRV >KGN55360 pep chromosome:ASM407v2:4:22098607:22100125:-1 gene:Csa_4G646340 transcript:KGN55360 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytosolic class II low molecular weight heat shock protein MDLRIMGIDSPIFSTLQHVMDLADEADKSFSTPTRAYVRDAKAMAATPADVKEYPNSYVFVVDMPGLKVGDIQVQVEDDNVLLISGERKREEEKEEAKYVRMERRVGKLMRKFVLPENANTDAISAVCQDGVLTVTVQKLPPPQPKKPKIVEVKVN >KGN53687 pep chromosome:ASM407v2:4:6701910:6705926:1 gene:Csa_4G103350 transcript:KGN53687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDLEASKPQKRKKGWVDILVNFRWIIVIFVVLPFSFSFYFIQYLGDKRSERKSYKQRQIEHEENVQKVVERLKQRNPSKDGLVCTARKPWLAVGMRNVDYKRARHFEVDLSAFRNILDIDKERMIAKVEPHVNMGQISRATIPMNLSLAVVAELDDLTVGGLINGYGIEGSSHIYGLFSDTVVAYEIVLADGRVVRATKDNEYSDLFYAIPWSQGTLGFLVSAEIKLIHIKEYMKLTYLPVKGNLQEIGQAYMDSFTPRDLDQDNPEKVPDFVETMIYSPTEAVCMTGRYASKEEAKKKGNVINNIGWWFKPWFYQHAQKSLKNGEFVEYIPTREYYHRHTKSLYWEGKLILPFADQWWFRFFLGWMMPPKVSLLKATQGEAIRNYYHEMHIVQDMLVPLRRIGDTLEWIHREMEVYPLWLCPHRLFKPPMKTMVSPEPGFELQLKQGDTPYAQMYTDIGVYYAPGPVLRGEVYDGVQAVRNMENWLIEIHGFQPQHSVTEMSEKEFWKMFDSELYEACRKKYGAIGTFMHVYYKCKKGRKTEKEVEEAEKAQAETAYADVEQAGE >KGN54781 pep chromosome:ASM407v2:4:17219185:17231073:1 gene:Csa_4G495230 transcript:KGN54781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPIAFSLSVSLGPLNFAGASGGSGKLFPGSSGRLGTNSCRFSSSRGKGIRCAVSSSSNNNGGVAEISESELAKHFYAWPDYKKPRVCILGGGFGGLYTALRLESLFWPDNKKPQVVLVDQSEHFVFKPMLYELLSGEVDAWEIAPRFSDLLANTSIQFIQDKVKLVDPCDHYDANNPKNPSCSGTVYLESGLHIEYDWLVLALGAEAKLDLVPGAAEYALPFSTLWDAQRVDSRLKILERINFNKKSLIRVAIVGCGYSGVELAATISERLQDRGLVQAINVETMICPTAPPGNREAALKVLSSRNVELLLGYIVRSIQRVDDAETSEEANNRPSQGTQPDLATSRYRLELQPAERGSQGRILEADLVLWTVGSKSLLPTLEPSKNYRKQLPLNGRGQAETDETLRVRGHPRIFALGDSSALRDPNGRPLPATAQVAFQQADFVGWNLWAAINNRSLLPFRYQNLGEMMTLGRNDASVSPSFIEGLTLEGPIGHAARKIAYLIRLPTDEHRVKVGISWLTKSAVDSIATIQTTFTNVFTSQ >KGN54463 pep chromosome:ASM407v2:4:13610333:13613459:-1 gene:Csa_4G335230 transcript:KGN54463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRNWREPLSGSRNAPLFSHHRRGHSFTGISRDSDENLDLFSKNRRTLSVTASDDSSDASVKLGRLSVGSVKLAKSGIDDLLSSTEGGKHDYDWLLTPPGTPLFPSSSESEIQSTVAAPRSSTLVRSSSTTKASRLSVSQSESNNPSRPVRSSSVSRSSVSTPQYSSYSSNRSASSILNTSSASVSSYIRPSSPSTRSASSARPSTPSSRSTPSRSSTPSRARPSPNSPSIEKPRPLQSSRPSTPNSRPQIPANLSSPAARSNSRPSTPTRRNSAPSLSSVVGTPSSTSRVLSTNGRSSTSTSRPSSPSPRVRAAPQPIVPPDFPLDTPPNLRTTLPDRPISAGRSRPTPASSVRGSPETTSTGTVPRRAASPTITRGRITDAPGRGRLNTNGHLSDSPETRRLSSSSDLSGRRPVKASTTTAESNGFGRSISKKSLDMAIRHMDIRNGPGSVRSGSGNTLFPHSIRSATSKTQSIALSNSEAIDTDYQMSSNNNMDRGNHFHRPSATIGTEVGGGENGRFSASLNHLDIYESSRYDAILLKEDLKNTNWLHSTDDKTDLASILDNGFEALPEPFGLL >KGN55314 pep chromosome:ASM407v2:4:21854820:21857684:1 gene:Csa_4G645880 transcript:KGN55314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSKVLPLEEGKDHVPLNRTNQARPLPLRLLQLFVLFLVLCVAFSVVSLYTIRRFGVDSALMTVKSNFLPCLEEFNISLSEWIKPSVNLMHSMTDEELFWRASFSPQIKNYPFERVPKIAFMFLTKGPLPFAPLWERFLRGHHALFSIYIHSLPSFKPNFSHASVFHGRQIPSQVAEWGRMSICDAEKRLLANALLDINNEWFILLSESCIPLFNFSVIYKYLKESKYSFVGSFDDLGPYGRGRYRDAMAPEVNITEWRKGSQWFEVNRKLAISIVQDTKFYKKFEQFCRPPCYVDEHYFPTMLTIEAGDVIANRSLTWVDWSRGGPHPATFGRRDITEELLARIVNGQNCSYNNGTSSICSLFARKFAPSSLRPLLRLALDVFGY >KGN53533 pep chromosome:ASM407v2:4:5243201:5244666:-1 gene:Csa_4G064650 transcript:KGN53533 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase MADQEVKLLDFWPSMFGMRVRIALAQKGVAYEYVEEDLRNKSPLLLEMNPIHKKIPVLIHNGKPICESSIIVEYIDEFWNDKAPLLPSHPYDRAQARFWVDFIDKKLYGPTRKIWATKGEEHEAGKKEFIEILKQLEQVLGEKEYFGGESLGFIDIALIGFYSWFYTYETVGKFSIEAECPKIISWGKRCLQNESVAKSLPDSKKIYDFVVQVQKALGII >KGN52961 pep chromosome:ASM407v2:4:1292111:1295022:1 gene:Csa_4G007660 transcript:KGN52961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKLWLWVFAIFLVSRIAAVPNAVPTERISGSAGDVLEDNPVGRLKVYVYDLPSKYNKKTLQKDPRCLTHMFAAEIYMHRFLLNSPVRTLNPDEADWFYTPIYVTCDLTPNGLPLPFKSPRMMRSAIQLISSNWPYWNRTEGADHFFVVPHDFGACFHYQEEKAIDRGILPLLQRATLVQTFGQRNHVCLNEGSITIPPYCPPQKMKTHLIPSETPRSIFVYFRGLFYDVNNDPEGGYYARGARAAVWENFKNNPLFDISTDHPTTYYEDMQRAIFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWEEIGVFVDEKDVSNLDTILTSIPPDVILRKQRLLANPSMKRAMMFPQPAQSGDAFHQILNGLARKLPHDKGVYLKPGERILNWTAGPVGDLKPW >KGN53247 pep chromosome:ASM407v2:4:3198655:3200637:1 gene:Csa_4G038650 transcript:KGN53247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLENLLLEAAGRTNAAGRNRHSHPPSRRQREGSYSDAGSDSRDDDSDDDRGYASRKPSGSQVPLKKRLDPTERDDDGGSQEEGEDEDVGSEREGDSSDESDVGDDLYKDDDDRRKLAGMSELQREMILSDRASKKNDKHLYESLRAKMDKGKSAPSRKETPPLPSSRIRSSARSADRAAAKDDALNELRAKRLKQQDPEAHRKLRDASRGNANSRRFSPTKRKPFTAPSLSSSSQSESESRFQSDDEGSTGDGGMIDSDDERSIPGSDGPTFEDIKEVTIRRSKLAKWLMEPFFEELIVGCFVRVGIGRSRSGPIYRLCLVRNVDATEPDRQYKLENKITHKYLNVIWGNEASAARWQMAMVSDSAPLEDEYKQWVKEVERTGGRMLSKQDILEKKEAIQKVNNFVYSAATVKQMLQDKKSASARPLNIAAEKDRLRREMDVAVSKNDEAEVERIKTRLQQLEASRRLQMKDAKAIRLAEMNRKNRVENFKNASELRPLKDLKAGEAGYDPFSRRWTRSRNYYVSNAGEANGAAEAAGNSDNVTPALENTRTEAGGTSDAGMAATAAALEAAAGAGKLVDTNAPVDGGTESNSLHNFELPISLAMLQKFGGALGAQAGFLARKQRIEATVGRQVPENDGRRHALTLTVSDYKRRRGLL >KGN53378 pep chromosome:ASM407v2:4:4139968:4140753:1 gene:Csa_4G050820 transcript:KGN53378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAFLQETAFKTLVRRRPYTGALYRASLPANLSGMEVSVVRLRSRRLWDKGANFSHFGIPSNTLPVPHVRRLVIVYQDFGNWSSSYFKIPGFSLLTPVVGFMVFNATSNTEAKNITKLSITTLENRIEIHFPNLKLPLGKSSNTWCAEFDEDGMFHLIPMGSLEVCYSRKQGYFAVVSKLKRKKKTWYLWVIGFVLGVGVMVVAGYAAMVTIRALKTKKIQIMEKQADGDLVLQSRWVGNSKMPSAAVTRTMPVLENSSFP >KGN54029 pep chromosome:ASM407v2:4:10631119:10638214:-1 gene:Csa_4G269120 transcript:KGN54029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENHPLVFAVNQQRFELSTVDPSTTLLHFLRHHTPFKSVKLGCGEGGCGACVVLLSKYDPVLDKVQDFTISSCLTLLCSIHGCSVTTSEGIGNCKDGFHSIHQRFAGFHASQCGFCTPGMCVSLFSALVNAQNTNRPEPPPGFSKLTVSEAEKAVSGNLCRCTGYRPIADACKSFASDVDMEDLGLNSFWKKGYGKEKSSKLPVYDPNGGPCLFPKFLRNETRSVPFVDSKACSWLNPTSLKDLNKLLECDETSNNISKTKIVVGNTEVGYYKDFEHVDTYINLKHIPELSVIKMDSTGVEIGATVTISKAIEALKSSNHEPSSIGEMVFCKIAVHMEKIASEFVRNTASIGGNLMMAQRKRFPSDVSTILLAVGSMISISTGSSEEVIMLDEFLKRPPLGPKCVLLSVKIPNWDSIRDTFPNDTSVMFDTYRASPRPLGNALPYLNAAFLAAISPCKNFNGIKLNSCHLAFGAYGTKHAIRARKIEEFLAGKVIDYSVIYEAVSLVGATIIPEKNTSSPAYRTSLAVGFLFEFLSSLIDGNVAIKSDYLNGCRNASSTLPDRFISNQNLLGYNKSADLLLSGKQTMELSLEYHPVGDTIIKSGASIQASGEAIYVDDIPSPTNCLYGAFIYSTKPLAQVKGFTFPPNSQPEGVIAVISTGDIPVGGYNIGARTMFGDEFLFADKLTECAGQPLAFVVADTQKHADLAAHLTIVDYDTDNLEAPILSVEESVKRSCFFEVPSYLIPEQAGDISKGMAEADHHINAAQIRLGSQYHFYMETHCALAIPDEDNCMVVYSSNQWPSNVHSVIAKCLGVPQYNVRVITRRVGGGFGGKGTRSMVVATACALAAHKLRRPVRIYLNRKTDMIMAGGRHPMKITYNVGFKTDGKITGLQLEILIDAGMSTDVSPILPNNIVNALKKYDWGALSFDIKLCKTNHSSKGAMRAPGEAQGSFIAEAVIEHVASKLCMDVDTIRKVNLHTFVSISKFFKDPGEPEEYTLPSIWDRLATSSCLKQRVQMVDEFNSCNIWKKRGLSRIPVVQEVRSRPTPGKVSILTDGSVVVEVGGVEIGQGLWTKVRQMVAYALSSIECDGTDNLLEKVRVVQSDTIALIQGGGTFGSTTSESSCEAVRLCCNILIERLTPLKKRLQNNGSLKWDVLISQANLQSVNLSVNSLYVPDFVSKSYLNYGAAVSEVEIDLLTGETTILRSDIIYDCGQSLNPAVDLGQIEGAFVQGIGFYMSEEYLINPDGLVITDSTWTYKIPTIDTIPKQFNVEILNSGQHKKCILSSKASGEPPLLLAASVHCATRAAIKEARKQKRRWCHEDESDHALQLQVPATMAVVKELCGLDCVESYLKWINKSKSIVS >KGN55509 pep chromosome:ASM407v2:4:23040233:23042019:1 gene:Csa_4G663680 transcript:KGN55509 gene_biotype:protein_coding transcript_biotype:protein_coding description:HMG1/2-like protein MKGGKSRSESKKADTKLAVKKGAAAKKGSKKAGKDPNKPKRPASAFFVFMEEFRKKFNEENPNNKAVSAEKAPYIAKADKRKVEYEKNMKAYNKKQVYCLGKVVQSF >KGN54419 pep chromosome:ASM407v2:4:13114026:13116608:1 gene:Csa_4G314460 transcript:KGN54419 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patellin-6 MDSTTSPSPISTPKPHSKKGFVSSLMEAATLRSPSFKEDTYFISHLKSSERKALQDLKDKLSASTSTADHRPSMWGIPLLAGDDRADVILLKFLRARDFKVPDSLHMLQKCLQWRSEFGADGIVDEDLGFKELEGLVAYMQGYDREGHPVCYNAYGVFKDKEMYERIFGDDEKLKKFLKWRVQVLERGIHLLHFKPGGVNSLIQVTDLKDMPKRELRVASNQILSLFQDNYPEMVARKIFINVPWYFSMLYSMFSPFLTQRTKSKFVIAKEGNVAETLYKFIRPEDVPVQYGGLSRPSDLQNGPPKPASEFAVKGGEKVNIQIEGIEGGATISWDIVVGGWELEYSAEFVPIADGSYTIAVEKPRKISANEEAIHNSFTTREAGKMVLSVDNTASRRKKVAAYRYIVRKSTTI >KGN52812 pep chromosome:ASM407v2:4:435283:438472:1 gene:Csa_4G001790 transcript:KGN52812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIGNKKKQRFVKPIKVKKQSEVDHVTGEKIPKSFVFSRGKLPGPLKQLQMDLRKLMLPYTALNLKEKKRNNLKDYLNVAGPMGVTHFLMLSKTETAPYLRVARTPQGPTLTFKIQEYSLAVEIAQSQLRPRCPKDLFKNPPLIVLSGFGTGDQHLKLATIMFQNIFPAIDINTVKLSTCQRIVLLNYNKDTKLIDFRHYSIRLQPVGVTRRLRKFVQNHQVPDLRSLQDVSDFVTKAGYGSESEADDEAATVTLASDLGRVNQASTKSAVKLQEIGPRLTLQLIKVEEGLCSGGIIFNEYGGEKKQDNKSDKKKAQEVASSEDEDEDMNEDESEGQEVDDED >KGN52925 pep chromosome:ASM407v2:4:1069354:1076252:1 gene:Csa_4G006320 transcript:KGN52925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFFFNMKYFEDEVHNGNWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKHDRSKAVDILVKDLKVFSTFNEELFKEITQLLTLENFRENEQLSKYGDTKSARAIMLVELKKLIEANPLFRDKLQFPHLKNSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHSCGQPNGARAPSPANNPLLGSLPKPGGFPPLGAHGPFQPTAAPVPAPLAGWMSNPSAVTHPAVSGGGAIGLGAPSIPAALKHPRTPPTNPSVEYPSADSDHVSKRPKPMGMSDEVNLPVNVLPVSFTGHGHAQNFNAPDDLPKTVMRTLNQGSNPMSMDFHPIQQTLLLVGTNVGEIGLWEVGSRERLVSKNFKVWDLNACSMPLQAALVKEPDVSVNRVIWSPDGSLFGKTVWDAGNGARQYIFEGHEAPVFSVCPHYKENIQFIFSTALDGKIKAWLYDNMGSRVDYDAPGRWCTTMAYSADGTRLFSCGTSKDGDSYIVEWNESEGAVKRTYQGFRKRSLGVVQFDTTKNRFLAAGDDFSIKFWDMDNVQLLTTVDADGGLPASPRIRFNKDGTLLAVSGNENGIKILANVDGIRLLRTFENLSYDAARTSEAGTKPTINPISAAAAVAAAAAAGSAADRGASVVTMSGVAGDSRSLGDVKPRIPEDSNDKSKIWKLTEINEPSQCRSLRLPENVRVNKISRLIYTNSGSAILALASNAIHLLWKWTRSERNSTGKATANVLPQLWQPSSGILMTNDVADTSSEEAVPCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMPPPPAATFLAFHPQDNNIIAIGMDDSTIQIYNVRVDEVKSKLKGHSKRITGLAFSHLLNVLVSSGADAQLCVWSSDVWEKQKTRFLQLPSGRPPSSQSDTRVQFHQDQVHFLVVHETQIAIYETTKLECVKQWTPRESGAPISHATFSCDSQMIYASFLDATVCVFTVASLRLRCRISPSAYLPASVSNASVQPLVIAAHPQEANQFALGLSDGGVHVFEPLESEGKWGVPPPVENGSASSVPTTPSVGASGSEQAPR >KGN52802 pep chromosome:ASM407v2:4:370349:375042:-1 gene:Csa_4G001690 transcript:KGN52802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESIDMDVEEHVNADSTSNSNSFKRFGLKNSIQTNFGDDYVFHITPNVDWTSMAVSLSSNVVKLYSPVTGQYYGECIGHTGTVNQISFSVPSTPHVLHSCSSDGTIKSWDVRTFQQVSSISAGSSQEIFSFAYGGSNMSLLAAGCKSQILFWDWRNRKQVACLEDSHVEDVTQVHFVPGHQGKLASASVDGLVCIFDTNGDIDDDDHMDSVINVGTSVGKIGFYGENYRKLWCLTHIETLSLWDWTDGRNEADITDARTLASNNWLMGHVDYLVDCHYSNEGCRLWVLGGTNDGTVGYFPINLSNGKTAIESPDVVLEGGHIGVVRSVLPTTNLLGGFSQSQSVFGWTGGEDGRLCCWSSDDSYEMNRSWISSTLVIKSPGGRRKNRHHPY >KGN54267 pep chromosome:ASM407v2:4:12028300:12028799:-1 gene:Csa_4G296210 transcript:KGN54267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLCDEDWLSCSTDQTREENHRAVKHGGFGGRVTSSICLTIMMKEVDEQAVSIGMEKEMSYMPEPYYKEFLESRDLVFVRLRCIQWIIKCRSRWDFSHETVFLAANYLDRFISKNRCKVSTFLPFLVN >KGN54323 pep chromosome:ASM407v2:4:12355377:12355731:1 gene:Csa_4G303710 transcript:KGN54323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCERLWDALYVFDEMSEMSNLIETFVLVWDLKGMVEFYRGRNGLVMRSFGDVLLVSVMVTERTEHHRGASGEFYFLPFY >KGN53329 pep chromosome:ASM407v2:4:3758329:3761437:-1 gene:Csa_4G047900 transcript:KGN53329 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylcholine transfer protein MALLSILAEILGRPRIVDVFGELMILIAPLWIAVIVGVLVGWTWKPKWANLGREMMDSSVSDDSKSSSTSFSLLGSFPSFNSLNFQMPSCILSSFDGKDEKETSSMPSSSDSDSSSTELEGENLRVVNEDDLEYLCKLVEEKDGGPAWIKMMDRSTSNMSYQAWRRDPETGPPQYRSRTVYENATPEIVRDFFWDDDFRSKWDDMLISATTLAECPTTGTMVVHWVRKFPFFCSDREYIIGRRIWEAGRSYYCVTKSVPCSSVPRRNKPRRVDLYYSSWCIRAVESKKGDGQLTACEVILFHYEDMGIPWEIAKLGVRQGMWGTVKKIDPGLRAYQKERASSSDIPHCAFMAQINTKVNVDYLRSLENSSHNDSLEDQSSSKSSEKPVGKNIPKLLVVGGAIALACTIDRGLLTKAVIFGIGRQFAKIGRRL >KGN54512 pep chromosome:ASM407v2:4:14231376:14234059:-1 gene:Csa_4G343590 transcript:KGN54512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLPSGFIKQTIIFLKLYIILYISYIPDSTNRLFAASTIPPHFGSSDISTYALQTLELDGHLSFDNNHQAATDFGNRYHSLPLAVLHPKSVADISSMINHIFRMGYASDLTVAARGHGHSIQGQAQAYNGVVISMESFKGTEMKINAGELPYVDVSAGELWINILHETLKQGLAPKSWTDYLHLTVGGTLSNAGISGQAFRHGPQISNVYQLEVVTGKGEVVVCSENQNADLFHAVLGGLGQFGIITRARISLEKAPKMVKWMRVLYSDFSKFTRDQEYLISSNDRFDYIEGFVLINRTGLLNNWRSSFNPKEPLQASKFNSDGKIFFCLEIAKYFNPDEIDFMNKKVESLMSELSYIPSTLFTSEVTYLDFLDRVHVSENKLRAKGLWEIPHPWLNLLIPKSQIHDFAQEVFGNILKDTSNGPIIIYPVNKSKWNNRTSLVTPDEDIFYLVAFLSSAVPSSVGTDCLEHILEQNQRILDYCIEFLPGFKQYLPHYSNQEEWRSHFGPKWQTILQRKSIYDPLAILAPGQRIFQKGVIPFL >KGN53286 pep chromosome:ASM407v2:4:3522463:3525249:-1 gene:Csa_4G045010 transcript:KGN53286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSMSSFIVFAFLGLASVTFSHKIGGGSYGGNGGGGYYLYPQFYDHSCPRAQEIVKYVVAKAFAKEARIAASLLRLHFHDCFVKGCDGSILLDSSGTLASEKRSNPNRNSARGFEVIDEIKSALEKECPQTVSCADILAIAARDSTVITGGPSWEVPLGRRDSRGASLSGSNNDIPAPNNTFQTILTKFKRQGLDIVDLVALSGSHTIGNSRCTSFRQRLYNQSGNAQPDPSLDPSYAAELRKRCPRSGGDQNLFFLDFVSPIKFDNYYFKNLLAAKGLLNSDEVLLTKNLQSAELVKTYAENSELFFEQFAKSMVKMGNITPLTGSRGEIRKNCRKVNRK >KGN53509 pep chromosome:ASM407v2:4:5036348:5039810:-1 gene:Csa_4G063430 transcript:KGN53509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEKMTAEDFINNISEVLTEHGQKGKSVSFFGEDSKSSSVAGQINRIFGRQKPVYNLLGGGKSADVLLWRNKKISASVLTGATIIWVLFEWLNYHFLTLVCFALVLGMLAQFMWTNASGFLNRSSSNVPRLVLPKELFVNIAVTFGAEVNRALCYLQDIACEGNLKHFLVAVVSLWVGAVISSWCNFVTVLYIGFVAAHTLPILYEKYEDEVDTFAYKVFDQLCGHYQKFDSSVLSKIPRGAFKGKKYE >KGN53674 pep chromosome:ASM407v2:4:6548746:6549096:1 gene:Csa_4G099765 transcript:KGN53674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLLALKLLVARTTFSKVRETPISVKTVTSFLIDPRTLDLEFHFRVYRNRIIKAIRTETMAEAEVMDAISMELKLPPPLVWGATPDGEAAKPLGSPTEPCISDEGTAKEYIWHGRR >KGN54712 pep chromosome:ASM407v2:4:16282710:16282922:-1 gene:Csa_4G431920 transcript:KGN54712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHAARVEGWAQIWLGGVTQTGDDSVKAHVREREDGLRTGELSRDGATPTDGGWSNRDDNKSVRWRNCKE >KGN53646 pep chromosome:ASM407v2:4:6253706:6254851:1 gene:Csa_4G095560 transcript:KGN53646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRLGRRVVHFANLPIKLLMPTSFTNITEIALKTIPSASKIEIKRVLESLYGFEVDKVQTLNMEGKKKKRGGILIAKPDYKKAYVTLRNPLSISPDMYPIRIIEEDKRNMNKQSKSSIVEEGEAKKHWLHEKEPVGIKTYKGFADRGRRRSGGGAETVEPSTKFPWSSMRSGR >KGN54243 pep chromosome:ASM407v2:4:11846737:11850585:-1 gene:Csa_4G295475 transcript:KGN54243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNYPPKNLLRLHNPSPTLFPRFPLFFTGSNSRRTHACRWKQSSPLVAPLVSPFAVALPPNFRECTDSSGPSSIPTFVSLAFLGK >KGN53767 pep chromosome:ASM407v2:4:7592522:7592921:1 gene:Csa_4G124890 transcript:KGN53767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSFGRIWAIFVDYLFDAPTPDLRCLNYWAFNFPNFESVKLKLSSSDIAPILPRTHLGLEIRSFPTIFEHGGLEASVHDHQLLITNPISIFTVLPLSSFPLEFLLRFQVSSLANQNSSEVYQL >KGN53038 pep chromosome:ASM407v2:4:1820473:1823171:1 gene:Csa_4G011850 transcript:KGN53038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLLCDSTTAVAESFPTTSPAVNWRDQNSSSVIDANGALDLLDQTTATATAVVPTAWDDVLGLEDQQRRQLQRLHAKGVLWKHPEENTIDSSSSMSLRSVVFRLSHGGEVSSDGNCLFTASHKAMNMAREVDARELRRRTVRRFLDDFGSARLEEVEVINEAIRHLYSPDLKNGWGIHVVQEVKFLAKKEDRPALDGAIDELVQLGMQRETAAESIYKERCIPINDGASWAKYMSISGSLGDEFDIITLQYTEDGLLSVDENREGHAAAFGDDIAIECLATEFKREIYVVQAHGSDAMVDEENCVFFLPHRPRSEICEVPFFLFMKGTGWCGAGADHYEPLIAHCSSIVSQEKVAMVL >KGN55255 pep chromosome:ASM407v2:4:21499963:21501230:1 gene:Csa_4G642360 transcript:KGN55255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGNGQKAKMARERNLEKQKASRGSQLETNKKAMTIQCKVCMQTFICTTTEVKCREHAEARHPKSDVYACFPHLKK >KGN54335 pep chromosome:ASM407v2:4:12426770:12435878:-1 gene:Csa_4G304810 transcript:KGN54335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTKRYVPIQQLDLVRILQEAQSRWLRPAEICEILRNYKKFQLAPDPPVQPPAGSLFLFDRKALRYFRKDGHRWRKKKDGKTVKEAHEKLKAGSVDVLHCYYAHGEDNENFQRRSYWMLDGQLEHIVLVHYREVKEGCKPGMSRVSVDPGLQAEGCQGGSTPFFLQEPSFVGSVHALRPFNPSQTVPSRNAGVDSSGNHSGVSSHVHQVFKSSISPASFPAGDVSGSSDLYGQEIVIIQSATIDPITHKATDARFDSGGLVENMVNSESGLITDSKVPAVKPVSQRSVQIGKTTNDNLDLEGLGELRKLDSFGRWMDKEIGRDCNDSLMTLDSGNYWCGLDAGNDEKEGSSLSHHMQLDVNSLGPSLSQEQLFSIFDFSPDWTYSGNVTKVLIVGSFLGSNKLPVETQWGCMFGEVEVPAEVLTNNVLRCRTPPLHAPGRIPFYVTCCNRLACSEVREFEYLEKPSTLSLPNAPKCAPEDELWFQMRLIRLLNLGSEENLSNCSTNKCEKCQIIGLINSSRSDVAKWSMPEGSLKSDGMNHRDYMIQSLLEDKLCKWLACKVHDGTMGTHVLDDEGLGIIHLAAALGYARAIGLIIASGLSPNFRDSNGRTALHWASYFGREETVTTLVSLGVSPGAVDDPTSGFPRGQTAADLASSRGHKGIAGYLAEADLTAHSCTLTDGENFKDNIKENANVDEAIEPADVVPSQLAEDELLSLKGSLAAVRKSVNAAALIHAAFRARSFRHKQLMENDKGMIHEGSPDLVALGILNKAEKIHYEDYLHVAALRIQQNYRGWKGRREFLKIRNRIVKIQAHVRGYQVRKQYRKVIWSVSIVEKAILRWRRKRVGLRGFKAAGVTGEVVAPHPNMEKSDEYEFLRIGRRLKYADVEKALSRVKSMARSPEARRQYMRLVANFNKFEINDEETSGSSQEIHEEKHFPSFAA >KGN54808 pep chromosome:ASM407v2:4:17666663:17673193:1 gene:Csa_4G507380 transcript:KGN54808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMRKRGRPEAAFNSNGSFKKHKQELESLSTVGSKSKPCTKFFSTAGCPFGESCHFLHYVPGGYNVVAQMMNLAPVGAQPSRNIAAPPSVTNGGPSAPSQVKTRMCNKYNTAEGCKFGDKCNFAHGEWELGRPNPPSHDNPRAMGGGGGGGGGVHMVGRMGGRMDLPPPGPAASFGASATAKISIDASLAGAIIGKGGINSKQICRQTGAKLSIRDHESDPNLRNIELEGTFEQINEASAMVRELIITVSMAGPGKASGGMGGGPAPTGSNYKTKLCDNFAKGSCTFGERCHFAHGAAELRKSGV >KGN54957 pep chromosome:ASM407v2:4:19554029:19558739:1 gene:Csa_4G616760 transcript:KGN54957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQTLILKPVVSAPLPNWSKLQAQTHSISSNVNVRRKLVVTCMGMLTPRKFLQKRKKLEVFKDEADEAEQKNWRRLMNEIEETGSAVSVLRSERIKNEAIPKDLVLGTLVRFKQLKKWNLVSEILEWLRTQSWWNFSEMDFVMLITAYGKLGDFNRAEKVLNLMNKKGYAPNVVSHTALMEAYGRGRRYNNAEAIFRRMQSGGPEPSALTYQIMLKTFVEGSKFKEAEELFDSLLNKEKPVLKPDQKMFHMIIYMFKKAGNYEKARKVFAEMAARGVPQTTVTYNSLMSFETNYKEVSKIYDQMQRAGLQPDVVSYALLISAYGKARREEEALAVFEEMLDAGIRPTHKAYNILLDAFAISGMVEQAKIVFKSMKRDRCSPDICSYTTMLSAYVNASDMEGAENFFRRLKQDGFRPNVVTYGTLIKGYAKINNLEKMIKRYEEMKVNGIRVNQTILTTIMDAYGKNKDFGSAVIWFNEIESCGLRPDQKAKNILLSLAKTAEELDEANQLVGYSSQSSSPQRGGKFSRSIADDEEEEEDELDYADDVIPHTNQRDEKIILNGIHQQNLEQNLEGLCAKIC >KGN52857 pep chromosome:ASM407v2:4:695911:696708:-1 gene:Csa_4G003705 transcript:KGN52857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEILNTSFLFHLFAINLLGLLLPLSLLLLARLSSALYLLALLPWPPSFLLSLILYVNSPLLFLLVSFVILSTLLHSLTGKSTLPTKLPGPVSQPRLYTAWIFLCTLQVCVGVGIEGSLSSGLNDLTSTGHVEGGLWRRLLFFLGLHEAVVHWTRAVVKPVVDDTIYGEPRTEKWFETAATAVSLGGLWWWRLRDEAEVLVVVAESKWLTSAELGWADISGWCLYYITVVIGIAKIVKYCIGWFGGSFVSKTHSKTSHLVGVEDNV >KGN55005 pep chromosome:ASM407v2:4:19900874:19902109:1 gene:Csa_4G621180 transcript:KGN55005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRSSLRLSQLFLLNSIFSSSSPSIPLHLPSSSSSFSTSPKQPSVFPHKLSHRDWLSPNEVINIIQQIQHPSSVLAFLHQWSNRKDYKPNKEIYTLVVSRLAEGRLFDDIEKVMLRIKAERNFRLSDEFFYHVIKIYGNVAGRLNKAIDTLFDMPNYNCWPSVKTFNFVLNLLVSAKMFDVVHEVYMGAPKLGIEIDACCLNILVKGLCQSGNLDAALKVLDEFPQQRCRPNVRTFSTLLHGLCENGELGRALELFCKMENEGVCPDTITFNILISGLRKKKRIEEAIELLGRMKLKGCYPNAGTYQEVLYGLLDTGKFIEARDCMHRMISEGMDPSFVSYKKLLSGLCKKKLTEDVDWVLKQMVMQGFVPKVGMWKVILRCMLSGNEECIDITDEVFEKFVLLAKEDGAA >KGN53613 pep chromosome:ASM407v2:4:5903094:5906063:-1 gene:Csa_4G090320 transcript:KGN53613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKKSRLVRVRGLDGRYAFGARGEAEVVSARGRGSGGRGFISGAGETELQLQRRRILERRNHLYSQIEEVRRTRSLQRASRKRHGGSNGQHLATVAVVGYTNAGKSTLVSALSDNDLYSDSRLFATVDPRLRSVFLPSGRKVVLSDTVGFISDLPVQLVEAFHATLEEVVEADLLVV >KGN53645 pep chromosome:ASM407v2:4:6246049:6250698:1 gene:Csa_4G095550 transcript:KGN53645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSMAIIPCGSTSIAQWGIRPQCMLRPSTNKISSIQYGVTSRISNIAALNSSFFSRGSFSVLFDTRSSQTSHQRRGGLLVVRADSDYYSILGVSKNASKSEIKSAYRKLARSYHPDVNKDAGAEQKFKEISNAYEVLSDDEKRSLYDKYGEAGLKGAGMGMGDFSNPFDLFESLFEGMGGMGGMGMGGRGSRSRAVDGQDEYYNLVLDFKEAVFGVEKEIEISRLESCGTCEGSGAKPGTQPTKCSTCGGQGQVVSSARTPLGVFQQVMTCSSCGGTGEISTPCNTCSGDGRVRRTKRISLKVPAGVDAGSRLRVRNEGNAGRRGGSPGDLFVIIDVMPDPVLKRDDTNILYTCKVSYIDAILGTSIKVPTVDGMVDLKIPAGTQPNTTLVMSKKGVPLLNKRNMRGDQLVRVQVEIPKKLSSEERKLIEELSDLSKGKAVSSRR >KGN53879 pep chromosome:ASM407v2:4:8951423:8952307:-1 gene:Csa_4G179140 transcript:KGN53879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISAINLRLPIHSFRFQPSPSPTLSRNRMPRQITYCRKKLSDADLASDLATEVAKINTNLIQREEAMKKSREFLFTELCEFLGLKSEETKRKWMKMEEEAKLRLVKEFVSEWGFNFQPLSGRCVKEMVEEYVNGENLYGISSASSFISSLKKTMGL >KGN52775 pep chromosome:ASM407v2:4:242723:244526:1 gene:Csa_4G000940 transcript:KGN52775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKAGSSSSTSNPLTLIIEDEVEEELLYGAGSGWVQALTSCDHLASLSSDLAHIPPPQTPCSQCQNPKENWVCLCCKDVFCSRFVNKHMLQHYEQSTHCLALSYSDLSVWCFSCDAYLDAQVIQELRPVHEVAYILKFGEAPPFR >KGN53186 pep chromosome:ASM407v2:4:2731369:2734500:-1 gene:Csa_4G025160 transcript:KGN53186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNHGLKEEEADNPSSPTNVYKDPDDGRQRFLLELEFVQCLANPTYIHYLAQNRYLEDEAFIGYLKYLQYWQRPEYIKFIMYPHCLFFLELLQNSNFRNAMAHPGNKELAHRQQFYFWKNYRNNRLKHILPRPLPEPAALPPPVTAPPQAAVPAPTSAPNMAASPAAPALSPIQYGIPPGPGLPKNDMKGAGIDRRKRKHERSMT >KGN54792 pep chromosome:ASM407v2:4:17405144:17405476:1 gene:Csa_4G499295 transcript:KGN54792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPRCFPFLFIYLFFLFIMSSPTTLMATSRNILPLEPTTLTNDALSFVQRSSRHLATTTTPTSRRSNSLKLSKGRHEQTSTKTHSTLQSCKPKGPIRWSSPSPLRNNLKC >KGN55291 pep chromosome:ASM407v2:4:21688751:21690663:1 gene:Csa_4G644680 transcript:KGN55291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFYSPLTFQTLFLIASMTPRSPLRQLLQEQQEPFKLEEYLSERDYYSRKSLSRGSGLACSGGKLDSIMKFGKGFMEINTLLKNSCKKLVFINRKQQNKDLGKNGWIFSVGCKRVAESDNFLSPCSSRKTVHSVSGKDDEETLSSTRGRHANSSTSNTFEAPEPCNFQVVKAAAGKKLVSRSMEPRKRSLIRARSNDNRLRNGIPLQKKVPEDSILSATLWELLLYSAATEKTSGIETAELPELVASNPASQLLISKRVIHQTKQLLFNCVREVVEAQSKQGSRIGSEEAGRIICEKEAIVKEANLSNLLFSDYLSSAAEWRDFKPQKQLIGTEIGEFILKEIINEVVKELIDNL >KGN53762 pep chromosome:ASM407v2:4:7531144:7532901:-1 gene:Csa_4G123350 transcript:KGN53762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASNASTNPRAADGRTYVTAEDDPVATTIGRDSADTERTFNCRWITKLSTNTTKSCCVHAQACDKRHGDDRGPREVVKSTGQ >KGN53232 pep chromosome:ASM407v2:4:3071243:3077714:1 gene:Csa_4G031030 transcript:KGN53232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLTYSKLKIQWHDKDKPYSIALLRTLPLNTTLEKIEGISERGAATERVGDWVIEGISVYIGLGQPYTYLTDPGLEVKENPTSPNPTSLNCLRMSHNKTRRFKNHHPRRGQSTRGHKFIGEDECLPVDPANEEEPTRPNIHLAMWDFGQCDAKRCTGRKLARFGLLKEHAGENLMDGFASQVENVQNEELSKCDLRVNSGFGGIVLSPVGVNCVSKEDHSLLKQKGLAVVDCSWARLGDVPFVKLRCAAPRLLPWLVAANPVNYGRPCELSCVEALAAALIICGEGETADLLLGKFKWGHAFLSVNRELLKQYSACENSADIISVQNAWLSQQRQVPKEPHNVEGADKSSVSEHDEGSDDSEDGLPPLEKNLNHLTLQQESDEESE >KGN54250 pep chromosome:ASM407v2:4:11891134:11893561:1 gene:Csa_4G296030 transcript:KGN54250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNAPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTTAEREIVRDMKEKLAYIALDYEQELETSKTSSSVEKSYELPDGQVITIGAERFRCPEEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >KGN54675 pep chromosome:ASM407v2:4:15824131:15827828:1 gene:Csa_4G420160 transcript:KGN54675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLYTMAVVVDQRREFKAFCRSQRCRLQSYTHLDLSNCEIDQNNFVNSLREAFEVACIHRSFSTPCLSLVEEVDYKPRIKIMVGQGTRLHGVIEEAVNALASGVDPVPVSSGLGGAYILRNESGVNIAVVKPIDEEPFACNNPKGFVGRLMGQPGLKRSVRIGETGVRELAAYLLDYGGFVGVPPTALVEISNVGFHVNNSYGIPAAPRKIASLQSYVDHDCDAGELGPSSFSVAAVHRIGIFDIRLLNIDRHAGNLLVKKKQRHENCDVWGVELVPIDHGLCLPEWLDDPYFEWLHWPQASIPFSETELEYIANLDPFKDAELLRSELPAIREASIRILILCTIFLKKAAASGLCLADIGEMMTREFGSGEENLSALENLCARAMAIVPIIISGEHDSEQENSDLEMFKFESESKYDGLNKEVSDPQLRETSEILKPPKAPKLQCLDYLTESKTTPLTNIETDENIHKDDIDCNYIVSKSSNHSSLIKSKSFSVQNLQIESGAIMFGEMSRDEWELFLDSFEKILPEVLNKTRCVGSKLQRMGTSCKF >KGN55029 pep chromosome:ASM407v2:4:20040684:20049079:-1 gene:Csa_4G622880 transcript:KGN55029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGNKYNGNSNDSSDRIPGRLERLLRERKLRKSSKDSYSNEVNDYSKESELIENDHRLKEEETVGIPCKFSEASVASRTFGEGCDKQDGKPPRQRLLVVANRLPVSAVRRGEDSWSLEISAGGLVSALLGVKEFEARWIGWAGVNVPDEVGQKALTEALAEKRCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRSFQSQFAAYKKANQMFADVVNKHYEEGDVVWCHDYHLMFLPKCLKEHNRKMKVGWFLHTPFPSSEIHRTLPSRSELLRSVLAADLVGFHTYDYARHFVSACTRILGLEGTPEGVEDQGKLTRVAAFPIGIDSERFIRALKIPEVQVHIEELKERFKGRKVMLGVDRLDMIKGIPQKILAFEKFLEENPYWRDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGTLTTVPIHHLDRSLDFHALCALYAVTDVALVTSLRDGMNLVSYEFVACQDAKKGVLILSEFAGAAQSLGAGALLVNPWNITEVAKSIDRALNMEAEEREKRHRHNFLHVTTHTAQEWAETFVSELNDTVVEAELRIRQCPPPLPFDNAIKHYEQSTNRLLILGFNSTLTEPVDTPERRGDQIREMDLKLHPDLKEPLTAICNDPNTTVVILSGSDRTVLDDNFGEYDMWLAAENGMFLRFTRGDWMTTMPEHLNMEWVDSVKHVFEYFTERTPRSHYELRETSLVWNYKYADVEFGRLQARDMLQHLWTGPISNASVDVVQGSRSVEVRAVGVTKGSAIDRILGEIVHSKSMTTPIDYVLCVGHFLGKDEDVYTFFEPELPSDTIGMPRAKGTDGLKLTGERKPSIKVPLNRNGSKSPHNKGRSSSEKKTSNHNCANGRKPSPEKIQWNVLDLKAENYFSCAVGRARTNARYLLGSSDEVVAFLKELADANAFS >KGN54105 pep chromosome:ASM407v2:4:11033719:11035110:-1 gene:Csa_4G285810 transcript:KGN54105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFPKVAALAALLCMMLRGSFAQLSPTFYDQSCPNLTAVVRDTVSQALQGDVRAGAKLVRFHFHDCFVNGCDGSVLLENQDGVESELDAPGNQGIQGFDIVDSIKTAVEASCPNTVSCADILAISARESVVLTGGSGWVVQLGRRDSQNANRTGAENNLPSPFETLDQLRAKFNAAGLDSTDLVTLSGAHTFGRSRCVFFSGRLNNFNGTGSPDSTLDPTFRDALVIACPTGDGNNRIALDVATPDAFDNAYYTDLVTNRGLLQSDQELFSTEGAETIEIVNRFAGNQSDFFAQFGQSMINMGNIQPLVAPAGEIRTNCRRVNPTTTAAGTAVM >KGN53307 pep chromosome:ASM407v2:4:3642450:3643226:-1 gene:Csa_4G046700 transcript:KGN53307 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant MGRKTMTLLVAVAVVWLVLVADKCSGFEEAVQDMKDNVKQMAEDAKLDEKAEAVKSKASEVYTDAKDKASSWSNWAYDKISAGLGLSEESKEKETAPNAADKN >KGN54650 pep chromosome:ASM407v2:4:15635345:15635656:1 gene:Csa_4G416460 transcript:KGN54650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWIPRFLGVEFVDQYHVFVYVVCLECHQVSGVGNMIIDGYASLVVAIALQVPILYTPLLAEACALCEKECILLTNFYFPWSLLVWIVLFSFILFNDYPILMGK >KGN53057 pep chromosome:ASM407v2:4:1925941:1928377:1 gene:Csa_4G012530 transcript:KGN53057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDFFTESQTWVSSVNGIREDPDDETSIDGGEGIGTDSDFDESAQMGVKKRMMKKRSQVLLEGFVEDEDDLMRTKSLTDEDLDELKGCVDLGFGFSYDEIPELCNTLPALELCYSMSQKYMDDHQKSPESSPASAVPADSCSSVSSPIANWKISSPGDHPEDVKARLKFWAQAVACTVRLCN >KGN54247 pep chromosome:ASM407v2:4:11870792:11873353:1 gene:Csa_4G295510 transcript:KGN54247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLTPSFPSLFYTLKSLAAAAATTISTAVYSPSTLCSSYEGLRVFIISGLRINDSLLDGKEGRPKYPRRWVILPPFF >KGN52845 pep chromosome:ASM407v2:4:631456:634325:-1 gene:Csa_4G003100 transcript:KGN52845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSSPSLALTEKKQWWLSHKQMANRYVKDARCLIASGGENEVVSALNLLDAALVLAPRYEQALELKARSLLYLRRYKDVADMLQEYLPSMRSGGDESSGSENYSSQQFSREQAKLLVSSSSSSSSTCSISLSELQDHDSSFKCFFSVADLRRKIMAGLLCNCCDNESRWRYVVLGQASCHLGLLEDAMVLLQTGKRLATAASRRESTSRSDDGFSLNNFPILPTTTVESDTTTALLSHVKLLLRRRTAAIAALEAGLPSEAIRLFTKILDGRRPVPQTFLAQCFLHRSSAFRAAGRTADSIADCNRTLTLDPTCIQALETRAHLYESINYLADCLHDLEHLKLLYNSILRDRKLPGPVWKRHNVRYREIPGKLCALTARIQKLKQRVASGETCNVDYYGLIGLRRGCSRSELERAHLLLCLKHAPDKATGFLERCELGDDFDPDSVRDKAKMSALFLYRLLQKGYSSIMTSIMDEEAAEKQRKRTTAVIQMQQQQQSQEGGVDEMELKSEEIVGSNLNHNTSVYQGVFCRDLAVVGNLLSQVGFGRPIAVKYEALSC >KGN53982 pep chromosome:ASM407v2:4:10048074:10048324:-1 gene:Csa_4G233360 transcript:KGN53982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYYSPIENVVLLPPIVPYEEQQFEEHLFNIQTFTKESLIEPSIDKDEDKEAPPPRRKR >KGN55353 pep chromosome:ASM407v2:4:22055039:22057702:-1 gene:Csa_4G646270 transcript:KGN55353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDDAYTRYAASADRAGSVARSGLSTYSEAPPLASYPNSTSIDQWHTPPPPDYMPRDTNSLGPGAYGYTDLGGNSKYPEPVIGGVTSGGSATGYASPFADSLASQRQDIAVGSSPGVMGRADIGHERANSLNLIRTAECDPSPLRESNVLFVDGLPTDCTRREVGHLFRPFMGYKDIRVVHKEPRRTGDKAMVLCFVEFVEAKFSQAAMEALQGYKFDDKKPDSPVLKIQFAHFPFHLPSNHDDRR >KGN55546 pep chromosome:ASM407v2:4:23177734:23181558:-1 gene:Csa_4G664530 transcript:KGN55546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEDLHFSDLIGQIDCNFFDEFPEVEFPVVQDSASPDSISSWINHIENALLNDDEDNAPSLPTPSHDFCDSFLADLLVDSHEQPSVIDFDSNASDCGNDLTNSQKEDVHKVSPAAPTDDCCGSFVADVLADAHGRSSGVDAVVDVLSNASNCGDDSNNSQKEKVDAASIDESVGEDDDAVSKKRRRQLRNRDAAVRSRERKKMYVKDLEMKSKFLEGECRRLGRLLQCYCAENQALRFSLQMGGASGVSMTKQESAVLLLESLLLGSLLWLMGTVCLFTLPPLPQSTLEPVPKVRMEKEGPGSAPLNERENNNSIYSYSSLQSRRCKAARTRMKPSMLDAILGASSALISI >KGN54017 pep chromosome:ASM407v2:4:10539326:10548593:-1 gene:Csa_4G268010 transcript:KGN54017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQRGGFNSESLVTLWVFCTSLALALALALAPIVGSVSSPTHQFRGISPQDEMYYKSFDMIKCRDGSKKFSKAQLNDNFCDCPDGTDEPGTSACSNGKFYCRNAGHVPLLLFSSRVNDNICDCCDGSDEYDSKVKCPNTCWEAGKVARDKLKKRISTFEEGVKIRKLDVEHAKKAIIKDEAELLELKNEEKVLKGLVEQLKERKEQIDKVEEEERLLKEKEAKKHLERENDETRKIESTETTDVGESKTHEEDNWEKNEATKHYDKEYKQGEGNDDDKIGNWDDSASDKARMEEVDSELEAHLSNKPETEASLPKEVEEDTAVEKDPLAKSETGESAETKESSEEVLKKNDGSPELSKEELGRLVASRWTGENTEEQSRNKDSTNDSDEESHDISKEAYENDGYASETDDDNQRYDDDLEGDLEDTRDEFHDDSTSSERYYSDTEMDSTDVETQSNPSWLEKIQKTVRNVLKAVNIFQAPVNQSDAANVRKEYEESSAKLTKIQSRISSLSQKLKNDFGPEKEFYSFYDQCFEIKENKYVYKICPYKQASQVEGHSTTRLGRWDKFEDSYRVMFFSSGDKCWNGPDRSLKVKLRCGVKNGITDVDEPSRCEYVALLSTPAVCVEEKLQELKNKLDMLSKEEAEKHDEL >KGN54883 pep chromosome:ASM407v2:4:18773998:18776085:-1 gene:Csa_4G572280 transcript:KGN54883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPELLADIPYGYKSDIWSLGCCMFEISAHQPAFRAPDMASLINKINRSSISPLPIVYSSTLKQIIKSMLRKNPEHRPTAAELLRHPHMQPYVLQCRNASANILPIYPLPINSKDKTPRKSPSNKLNGGHEIRAKPSIAFNLLENIDLVGGSGDIHNSNSSTDENMSLTAISEDILETKMVDPTSFPDEISTSDAVDSGTDGLTSSLSPTLTSNGDKQNGGIAVECTEPPAIKISPENSPNYLQREPDAENFQQLREFDIKTVNLHEPKISCSKQTSNVTVTGMKPEETSERKVELLMSSVGIAEKSSSDDKCLLNSADQLNVELRSSVNQESFKEHPEAGCSSCLTSEKATTGMELVSSEKESVRTDCLPSEKGSHTDCTLSEITSNILSRKDEIHVNSDGTSCATQMITNENIHQHHRAGSDVSEISTQTASGGGDDTKSTESEYPSQRRADALESLLELCARLLKQDKLEELAGVLSPFGEEAVSSRETAIWLTKSLMSSQKSNDGSS >KGN55306 pep chromosome:ASM407v2:4:21781393:21781548:-1 gene:Csa_4G645320 transcript:KGN55306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPCCDKANVKKGPWSPEEDMKLKSYIEQHGTGGNWIALPQKIGNCYLS >KGN53535 pep chromosome:ASM407v2:4:5259801:5262070:-1 gene:Csa_4G064670 transcript:KGN53535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQMSFAVPVAVFVLGVAAGLELMSCRMLLIMSVISFGVLVASYGEINISWIGVVYQMGGVVGEALRLIFMEILVKRKGLKLNPISIMYYVSPCSALCLLIPWIFLEKPKMEARESWNFPPVILVLNSLCTFALNLSVFLVITHTSALTIRVAGVVKDWVVVLLSALLFADVKLTVINLFGYGIAIAGVVAYNNHKLKKEASRGSPNDSDQLESIPMVTSSSSNK >KGN53377 pep chromosome:ASM407v2:4:4136645:4139897:-1 gene:Csa_4G050810 transcript:KGN53377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFLFILDTISRGCFLVQGEQACSWNGTPRGDNRKLESWTKGRAVSIFLRLSLSKLPPLATTPSPPYPPEKPLCRQLVAGYSKGLMELKL >KGN53114 pep chromosome:ASM407v2:4:2188360:2195649:-1 gene:Csa_4G017020 transcript:KGN53114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAVASSLTFEGAPCSKSYAFTSSWNRSSFDVCGRNKKFGSTEFHWLSKGRDLCLSKVSVAADYPDSVPDSSSYLTNKGYHPLEDLKVCKSVRNTELTAAEVARTAVEVNSNALLLFPGTVHSEPHEQVSWDEFQYVTDDYGDLYFEIFDSVNMLEDRRAHNPVNALIGMDMQMYESRRIVGDYSDVDSGYGDVAPFDYDYIEVVEADLANIPVDWGVPDVSSMVHPVYFAKCLKKVINMEYDRNMKHPSNGVSILGCLRPAYADEESYIRRLFYFEESEGYNTEWKGLEGETSNLESKIDRSSQRSTLYRLEIMRIELFSVYGVQSEVSLQDFQDAEPDILLHSTAEILERFNEKGIKCNIALKALCKKRGLHVEDAILIGVDSLGMDVRVCVGTEVRTFRFPFKIRATSEAAAEKQIQQLLFPRSRRKKLRSHGDGLRDTVSF >KGN55277 pep chromosome:ASM407v2:4:21617236:21618792:1 gene:Csa_4G643070 transcript:KGN55277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFAGTTQKCMACDKTVYLVDKLTADNRVYHKACFRCHHCNGTLKLSNYNSFEGVLYCRPHFDQLFKRTGSLDKSFEGTPKIGKPEKPGDSEKPTATKVASMFVGTKDKCLGCNKTVYPTEKVSVNGTSYHKSCFKCCHGGCTISPSNYIAHEGRLYCKHHHTQLIKEKGNLSQLEGSH >KGN55295 pep chromosome:ASM407v2:4:21707906:21708412:-1 gene:Csa_4G644720 transcript:KGN55295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSRPIEIDFEFLPTKTNVPFSWEAKPGVPKPQSQPSPTASLFEIEATMLKLPSPPCRSESARLSGDYSGLITYSPPRCRAESARMAKDFLWLEAYSDGSASSFGFGCCKSDDSKKTDPFVEAYKKCRNSRSINGASATNGAKRPNIIRRVLFSLSRKCFASSLFLF >KGN55063 pep chromosome:ASM407v2:4:20281662:20284201:-1 gene:Csa_4G627140 transcript:KGN55063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPYSEERLTQEVLHLHALWHRGPPPRNPIPTHNHSSTPLANPIPSNKRPIGPDKPKNKNKNKNKNKNKNKNKNKNKNKNKNKNKNKNKNKNKNKNKNKNKNKNKNKNKNKNKNKKKPRPDPPQPQDSAPNWPCPQPLQNQPSTSSWWPPIQPVPTPAAQPVSSEDRQNLAALQLQDEGSDACREFFARNADSESDEEEEEEDEDDWEMMESKEYKFFLKMFVENEELRVYYEKNCESGLFCCLVCGGMGKKKSGKRFENCLGLVQHSLSISRTKKKRAHRAFGLVVSRVFGWDVDRLPTIVLKGEPLSRSLANSGDLKVQLEEIHVDNEYEVVSVNVNEDEQKLEEVKTAEDPTSNSKDWVSGENDDAWEDNDVMLQVGNADNSISGMGESDEEMDNFPVTILRACKEFNGAFFGSMNDDDASEKELMDGAEESEEFKFFLKLFTENENLRRYYENHYGDGEFTCLACQVAGRKSKCFKTCRRLLQHSTHLGKNNTQNQGQKPQKTKMLKTGMLAHRAYTLVVCKVLGCDIEMLPAVVLKGEALGCSLTKSEVSKDKSVGKMQSSIMDDNVEDDSTKVNELEGIK >KGN54396 pep chromosome:ASM407v2:4:12956828:12959941:1 gene:Csa_4G312290 transcript:KGN54396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDKNPYLNGSPTVLNEKNDETEWEMRPSGMLVQKREDDNGADVSTTGPMIAVSVTHGYGPTKYKIFLPAQSTFGDMKKHLVAITGLQLEEQRLLFRGKEKDDDEHLHTAGVKNLSKILLLENKTNKQRKAVEDVKVVEEVGSSGEVSKASAAIADVRSEVDKLADRVAALQVAVNGGTNVEDKEFVVSTELLMRQLLKLDSIDAEGEAKLQRKAEVRRIQNFVDTLDALKAKISKPISNNHNTVSVTTEWETFDSGVGSLTPPSPAPSSTRVTQDWERFD >KGN55071 pep chromosome:ASM407v2:4:20312510:20319268:-1 gene:Csa_4G627210 transcript:KGN55071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRNLEKMASIDAQLRLLVPARVSEDDKLVEYDALLLDRFLDILQDLHGEDLKETVQECYELSAEYEGKFNPKKLEELGNVLTSLDPGDSIVIAKSFSHMLNLANLAEEVQIAYRRRIKLKKGDFADENSATTESDIEETLKKLVGELKKSPQEVFDALKNQTVDLVLTAHPTQSVRRSLLQKHARIRDCLVQLYAKDITPDDKQELDEALQREIQAAFRTDEIRRTPPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGIDERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYYSQIEDLMFELSMWRCSNELRERADVLHNSSRRDAKHYIEFWKQVPASEPYRVILGDVRDKLYQTRERSRHLLANGYSDIPEDATFTNVEQFLEPLELCYRSLCACGDRAIADGTLLDFLRQVSTFGLSLVRLDIRQESDRHTDVLDAITQHLDIGSYKEWSEEQRQEWLLSELSGKRPLFGPDLPTTEEISDVLNTFHVIAELPSDNFGAYIISMATAPSDVLAVELLQRECHVSQPLRVVPLFEKLADLEAAPAALARLFSIDWYRNRINGKQEVMIGYSDSGKDAGRFSAAWQLYKAQEELIKVAKQYGVKLTMFHGRGGTVGRGGGPTHLAILSQPPETVHGSLRVTVQGEVIEQSFGEEHLCFRTLQRFTAATLEHGMHPPVSPKPEWRALMDEMAVVATEEYRSIVFKEPRFVEYFRLATPELEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAFKHIIQKNVKNLQMLQEMYNEWPFFRVTIDLVEMVFAKGDPGIAALYDKLLVSEDLWSFGERLRANYEETKSLLLKIAGHSDLLEGDPYLKQRLRLRDSYITTLNVCQAYTLKRIRDPNYHVKVRPHISKEIMEASKPADELIHLNPQSEYAPGLEDTLILTMKGIAAGMQNTG >KGN54403 pep chromosome:ASM407v2:4:13004483:13005060:1 gene:Csa_4G312850 transcript:KGN54403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRWRLWGCFKRSFLFPTKLFFLRLNSHLLHKPKGNGNALLSLYKDIESCGEYRDIQVMWDMIQSHNVHENTSRSLRR >KGN55237 pep chromosome:ASM407v2:4:21428966:21430122:1 gene:Csa_4G641690 transcript:KGN55237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATITDRIKGPWSPDEDELLRRLVHNYGPRNWSLISKSIPGRSGKSCRLRWCNQLSPEVEHRPFTSDEDDTIIQAHSRFGNKWATIARLLNGRTDNAIKNHWNSTLKRKSSSSAPEDDHPLKRSTSASAPPLYFNPSSPSGSDLSDSSLSGMSSSQVCKPFPPVPPLISHSNHPPMDTTSIDPPTSLTLSLPGSDSGDAPKHMSEANRIEKQFFSAEFLGVMQEMIRKEVQSYMSGMEQNGLCLQTEAITNAVVKRIGIRKID >KGN54710 pep chromosome:ASM407v2:4:16265957:16272367:-1 gene:Csa_4G431900 transcript:KGN54710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSNHINSTAFGGDVLDSAKLGLSSSASFKAARKKPNVAAPPISRAGSDVDDIITLLHGSDPVRVELNRLENEVRDKERELGEALAEVKSLKNSERLKEKGVEELTDELKKVDEKLKATEALLESKNLEIKKINDEKKAALAAQFAAEATLRRVHAAQKDDEMPPIEAIIAPLEAELKLARLEVAKLQDDNRALDRLTKSKEAALLEAERTVQIALAKASLVDDLQNKNQELMKQIEICQEENKILDRLHRQKVAEVEKLMQTVRELEEAVLAGGAAANAVRDYQRKVQEMSEERRTLEREVARAKVTANRVATVVANEWKDANDKVMPVKQWLEERRFFQVCHGI >KGN53368 pep chromosome:ASM407v2:4:4042493:4058347:1 gene:Csa_4G050230 transcript:KGN53368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTRAFPKPPSLLPSLQPTIGFVSPISFQTSSSLRYRLRRHRSIVNSSSVATATPVAASLKESFGTVRKTWSDLTSMNYWVVRDYYRLVDSVNDFEPQMQSLTDEQLTAKTSEFRRRLRQGETLADIQSEAFAVVREAAKRKLGMRHFDVQIIGGAVLHDGSIAEMKTGEGKTLVSTLAAYLNALPGEGVHVVTVNDYLAQRDAEWMGRVHRFLGLSVGLIQRGMTAKERRSNYRCDITYTNNSELGFDYLRDNLAGNDGQLVMRWPKPFHFAIVDEVDSVLIDEGRNPLLISGEASKDAGRYPVAAKVAELLVKGVHYNVELKDNSVELTEEGIAMAEIALETNDLWDENDPWARFVMNALKAKEFYRRDVQYIVRNGKALIINELTGRVEEKRRWSEGIHQAVEAKEGLKIQADSVIVAQITYQSLFKLYPKLSGMTGTAKTEEKEFLKMFQTPVIEVPTNLPNIRKDLPIQAFATARGKWEYARQEVEYMFRQGRPVLVGTTSVENSEYLSDLLKERKIPHNVLNARPKYAAREAETVAQAGRKHAITISTNMAGRGTDIILGGNPKMLAKEIIEDSLLSFLTKESPDYEIDGEELPRKVLSKINVGSSSLALLAKTALMAKYVCKNEGRNWTYKEAKSIILESVEMSQSMSFKELERLADEQIETYPLGPTVALAYLSVLEDCEVHCSKEGAEVKRLGGLHVIGTSLHESRRIDNQLRGRAGRQGDPGSTRFMVSLQDEMFQKFNFDTEWAVRLISRITNDEDIPIEGDAIVKQLLALQINAEKYFFGIRKSLVEFDEVLEVQRKHVYNLRQSILTGNNESCTQHIFQYMQAVVDEIVFSHVDPKKHPRSWRLGKLVQEFKTIGGKILEDLGAEITEEGLLKAIMKLHQTISTDVCNLNLPEMPKPPNAFRGIRMKNSSLERWLSICSDDLTPNGRYRMIANLLRKYLGDFLIASYLNVIQESGYDDSYVKEIERAVLVKTLDCFWRDHLINMNRLSSAVNVRSFGHRHPLEEYKIDGCRFFISVLSATRRLTVESLLRYWSSPMETQELIF >KGN53402 pep chromosome:ASM407v2:4:4298884:4303460:1 gene:Csa_4G051540 transcript:KGN53402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENRLVELCINSACRSKDSVEKWRRQKRTLERLPSHLADALLRRLHARRLLYPSLLEVFKYTIEVVDLSGENAVDSEWMAYLGSFRYLQSLNVSNCHRLSSSGVWTISGMTTLRELNVSRCLKVTDAGIRHLLSIPTLEKLCIAETGITAHGVTLLSSLKTLVFLDLGGLPVTDQALSSLQVLTKLQYLDLWGSKISNSGSDVLQMFPKLSFLNIAWTSVTKFPNLPHLECLNMSNCIIDSTLKGLGAKVPPRKLIASGATFSNETEDLGFVAMDALYYLDFSNASLHRFCFLSRMKAVEHLDLSSTTIGDSSVELIASVGENLKYLNLSCTAVSSSGIGSLAGKVSNLETLSLSHTMVDDVALSYMNMMPSLKCIDLSETDIKGYIHLSAPETVKVFSLTELQNLDCLEMLNLEHTHVDDESLRPLSRFRKLSHLMLRSPSFTDTVLSYLSILPNLKTLSIRDAVLTNQAFDTFKPVATLQKIDLRGCWLLTEDGLSVFHRRFPQIDVRHELFHFSSNPTSTDQPSTHFIPKKIQLNQTSRSTGMPSYFVDQRLKYSKEELLALQFSSLPHGSTSVPEMGRKSDT >KGN52949 pep chromosome:ASM407v2:4:1195516:1198828:-1 gene:Csa_4G007050 transcript:KGN52949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGASEAAPHPYVPTDLKLPDYVPISLPLSTILGGYLLTSLFLVLLVWTLSGRLTKKAKIDRLLMCWWIFTGLTHMVLEGYFVFSPEFYKDKTSFYLAEVWKEYSKGDSRYAARESGIVSIEGLTSVLEGPACILAAYAIASGKSYSYILQFAISLGQLYGTLLYYVTAVLDGDDFSSGPFYYYAYYVVANSFWILIPSLIGIRCWKKICIAMSIESQTKTKTL >KGN53726 pep chromosome:ASM407v2:4:7164833:7165405:1 gene:Csa_4G111610 transcript:KGN53726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTLLNLLTLAFLLISTAANSPAPSPAPTAESPTWKWTPSNEPPSSPPTAEPPMTTTSPPSPTISPPPTPPELSPVPTSYAPTSPPMANPPTLSPTAPPPAVKSPSHAPSPAKTKAPAPAPSKTSPAPAKAPKSSKAPVSSPPSPNGQMEPPTPSVAPAPSKEGNGAGANRFAIGGSIAGLMIAAFIA >KGN53773 pep chromosome:ASM407v2:4:7652326:7654013:-1 gene:Csa_4G126430 transcript:KGN53773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKGWILLLFFMFLNLTFYNGFSKPLLLHNNKLQPAVVVGTVFCDTCFQQHLSNSHHFISGARVEVECRDEKTPEASFKQQVKTNKNGKFKVVLPFSIAKHVKKIESCSVKLIKSSEPFCSVASSASSSSLQLKNSKNKNGVRIFSAGFFTFKPLQQPTLCNQKSNPQLPFPPLVPPVIQPPSFFPPNPLQPTPLVPNPFQPPAPLIPNPFQPPAPVIPNPFQPPTPVIPNPFQPAPATGLPLPPLPFITPSPPPPTLLPPSFLPPFLPPIPGIPPGPPREETSSPIKQISP >KGN54812 pep chromosome:ASM407v2:4:17691662:17692140:1 gene:Csa_4G507420 transcript:KGN54812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGLWDKAITSPLCNGSNPMDGLIKEALARLFMGRKEVAIAFVGQFLADIDYSIKSKQEATPIIFIKAMKMKTGVKLRMFELMRLSAVMEINQLAEDRSVTKTEYSSKSTG >KGN53840 pep chromosome:ASM407v2:4:8523960:8524824:-1 gene:Csa_4G165850 transcript:KGN53840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESRNNHDLAAGYSSSSTPPRRSICSNCNRPQPVCLCKFLPSPPIATKTKIIILQHPHESQHKLSTTPILTKCLLNATTIVNRRLKPGLSKLLDQSPHAVFLFPPTPHSSPPINISDLNITEDLVLIAFDGTWKHAKEMVRSSEEYLSRFARRVCLDIDESVEGGSIFDSELILRKEPHGGCVSTMEAVARCLRVMELDGAAVEEKLIGVLREMVRLQAGFLKPMNPRPKLLKSLKKQTSVTEDEN >KGN55193 pep chromosome:ASM407v2:4:21177758:21185694:-1 gene:Csa_4G639810 transcript:KGN55193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVKKKCSRDKKGVEDISVGSFVPRTPPLSLSLSSSISLPLARFHLSSLHLSLAIANLEIILLGKLTKIGSSSSPFMELLPPNLDSLNPRETRADSLVSEFVKDQSTEFSRPIESSSRGTHMTDSVPSDWTDEKHHLFLESMEASFVSQMFDSGHSVGSCPTKDNSSRTKLLSKSQSASHGHSQFGQFKVLRRGSWKNINFEPTESRSNFLNEYQALSHNPWIHHFRAARKNKNVVCKSQAIGSRGRNSLSLGAANNSGPIRASDSDLSQQYISNNKEVSDQNFVDEVIEVEKGSIDCNAKRANASETNALINDQLHLNAVFKSKTDWIRVGSDQLHDFPLSHFLFLHTKGNGKVWNRSNLPSHLCIIEHLKVFFRSDLLTAKRKFSPDCFTPKSSSQSSSVGRFDFFFLSPRTAMPPFLSNSKVLESLISRRGGIKRAIKYARCNAEPWKYRNVRHLRCIPGVETSSIHGLFDQDRKMFSENFRDISAVQSRRFLGCGDGAETGVLSKIYEERRVMGYSPEQLFDVVAAVDLYHDFVPWCQRSEVLKKYPDGSFDAELEIGFKFLVESYISHVEMNRPKSVKSTVSRSALFDHLINTWEFNPGPVPGTCNLYFLVDFKFQSPLYRQVASVFFKEVVSKLVGSFNERCRLIYGPGVPVLENSYSERA >KGN53698 pep chromosome:ASM407v2:4:6852064:6852351:1 gene:Csa_4G107400 transcript:KGN53698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFATRAIFRSSSGKAATLLIIGIRAALAGSPFCIASKQPFPQCSLRILIEMSFFVESMLPFHSVTSSTLRTSMLSVSRRSYGWLSEVSGLQTP >KGN54602 pep chromosome:ASM407v2:4:15069571:15072460:-1 gene:Csa_4G377160 transcript:KGN54602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSINNPQFSHLDSFSNLRSDSSLRCCNFPSIPTNHLLINNHDDNEDFSSDDDNDNDSDFTHLIRKGIQELEPSILDPRDFGTADCWIHRNESLVRLTGKHPFNSEPPLSRLMHHGFITPVPLHYVRNHGPVPKAKWEDWTVEVCGLVKKPTRFTMNQLVSDFSSREFPVTLVCAGNRRREHNMVKKTIGFNWGAGGTSTSVWRGVPLRDVLKRCGIFSRKKGALNVCFEGAECLPGGGGSKYGTSIRKEIAMDPARDIILAYMQNGDVLSPDHGFPVRVIIPGFIGGRMVKWVKRIIVTTQESDSYYHYRDNKVLPSHVDEELANAEAWWYKPECIITELNINSVITTPCHEEILPINSWTTQRPYTLRGYAYSGGGKKVTRVEVTLDGGESWHVCDLDCPEKATKYGKYWCWCFWSLEVEVLDLLAAKEIAVRAWDETFNTQPEKLIWNLMGMMNNCWFQVKTNMCKPHKGEIGIVFEHPTVPGNQSGGWMGKERHLEISTESNQTMKKSISSPFINTTSKTYSISDVQKHNSDQSAWIVVHGHVYDCTRFLKDHPGGMDSILINAGTDCTEEFDAIHSDKAKKMLEEYRIGELITTGYISDSSPNNSIHGDSFSSNPHLAPITETRRVALIPREKIRCKLVSKTSISHDVRRFRIGLPLDDQILGLPVGKHIFLCANIDGKLCMRAYTPTTCINQVGYFDLVVKIYFKNVNPKFPNGGLMSQHLESLPLGSELEIKGPLGHIEYTGNGNFVVDGRVKKAKKLAMLAGGTGITPIYQVAQAVLKDPEDETEMFLVYANRTENDILLKDELDEWSKRDKRFKVWYVVNESVREGWEYSVGVVRESIMREHLPEASPETMALACGPPPMIKFAVKPSLEKMNYDTTNSLLVF >KGN55266 pep chromosome:ASM407v2:4:21547715:21549349:1 gene:Csa_4G642470 transcript:KGN55266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCFGGNLSGEAGEWDLCSSILSLEDPDYMHIINPHPTTSPGLMADHAAWFCSNLDSNGVDQYPFPCIAAAAAVYDDYYGHNHMSMSIIDEDNNNLESIFSASSTEFLLQEISSTGFSEDHKFLNMDIVQTHLGDEDDDNPLFHHKRKSSIVAPDDHDHDQNQLIKPNKKIRTSNNVQIKSRKGTESSGNSKKVSSTRRRKCEEEQENGRSSCDMNSCSSDNSSEDDNNNASPKPKTRATRGSATDPQSLYARKRRERINERLRILQKLVPNGTKVDISTMLEEAVHYVKFLQLQIKLLSSDDLWMYAPIAYNGMDIGLQQKLSSIL >KGN54324 pep chromosome:ASM407v2:4:12360827:12361597:1 gene:Csa_4G303720 transcript:KGN54324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSMPNMSPPNGNTSMNNSTGDSPIMSTKMMNMQMSFYWGKDAVILFPRWPKESLGLYILAFFFIFLLAFAVEFLSHTPPNKLGKSPLASASVQAFVYAFRTGLAYLVMLAVMSFNIGIFIAAVAGHTLGFFVVKLRVLTAAKRTDSNEV >KGN54494 pep chromosome:ASM407v2:4:14017833:14018018:1 gene:Csa_4G338970 transcript:KGN54494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYIKSNTFGSFIGKAKENEQKILTKKEDEEDYLTDKGNKWKTADIPFKIVHKIEEDEATV >KGN54670 pep chromosome:ASM407v2:4:15780315:15785015:-1 gene:Csa_4G420110 transcript:KGN54670 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nascent polypeptide associated complex alpha MQIFCVCFHLVWSDASTFLSFVFVCCSCLTWLVYVVRTEFFVAGHGEASGRSKQSRSEKKSRKAMLKLGMKPIPGVSRVTVKKSKNILFVISKPDVFKSPTSDTYIVFGEAKIEDLSSQLQTQAAEQFKAPNLSNLTSKPEPSTVAQDDEVVDETGVEPKDIELVMTQAGVSRPRAVKALKAAEGDIVSAIMELTN >KGN54481 pep chromosome:ASM407v2:4:13828085:13841794:1 gene:Csa_4G337870 transcript:KGN54481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIKPTEITCSKMFGGYNRRYRHYSPTLGCSMTFYIYFPPSSLPSNKFPVLYWLSGLTCSDENFIIKAGAQRTASSEGVALIAPDTSPRGLNVEGESDSWDFGVGAGFYLNATQEKWKHWRMYDYVVKELPKLLSENFPQLDTLRASIFGHSMGGHGALTIYLKNLDKYKSVSAFSPIANPVNCPWGQKAFTNYLGSNKADWEEYDATHLISKFHDLSATILIDQGEDDEFLHGQLLPHKFEEACRAVNAPLLLRLQPGYNHSYFFIATFMEDHIRHHSQALRLP >KGN54752 pep chromosome:ASM407v2:4:16822590:16822940:-1 gene:Csa_4G444640 transcript:KGN54752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVGSDELLTGPHMADTTIEEVCILKTPAAKSVEVSLRPSALLEEIRQGKMKVGGKDIKNPPSRGGVCPIVPSTKATSMHTPLKIYEPPLGTSMKQAVEHPEPSQWVGENVVSNFF >KGN54796 pep chromosome:ASM407v2:4:17448995:17454975:1 gene:Csa_4G499330 transcript:KGN54796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKNDKMGLGRALVKQHNQMIQQSKEKGRFYKSQQKKVLESVTEVSDIDAVIQQADEAERLFSIDNPTPNFLINLDGSSSISEMTPAERREQQKIEEALHASSLRVPRRPPWNARMSAEELDDNERQSFLIWRRSLARLEENENLVLTPFEKNLDIWRQLWRVVERCDLLVMVVDARDPLFYRCPDLEAYAREVDQHKRTMLLVNKADLLSYSVRKKWAEFFSQHDILYLFWSAKAASATLDGKKLSTQWNTNEPQNGVDDPDTKIYARDELLARLQYEAEQIVERRTSSTNSTSRSDNLSQGGKMNKKSPGSVMVGFVGYPNVGKSSTINALVGQKRAGVTSTPGKTKHFQTLIISDKLTLCDCPGLVFPSFSSSRYEMIAYGVLPIDRMTEHREAIQVVANRVPRHVIEDVYKIKLPKPKPYEPQSQPPLASELLKAYCVSRGYVASSGLPDETRASRQILKDYVDGKIPHHELPPGMSNEDHIQEEDAETLKLSATHDSDSDSDDGENGPGFEQVADYLDSFDLANGLAKPNIITEKKAKASSHKHHKKPQRKKERSWRMGNDGGDGMPAVRVLQKPINSGPLKG >KGN53280 pep chromosome:ASM407v2:4:3461111:3462133:1 gene:Csa_4G043960 transcript:KGN53280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGFFPLDGGGSGGRVPNYHFPTPTTGGEIIHPTTEGLFWYKNYERLETWEGEEQQQQQQPAQEQGGEGFVYSGDESPARSSLVMVRSGRGGGGGGGPVTSCQDCGNQAKKDCVHMRCRTCCKSRGFECETHVKSTWVPASKRRDRHLRSDGLNSKRPKDTHYHPTSSGLDMGNFPTEVTTPAVFRCVRMTSLDETDDQYAYQTAVNIGGRIFKGILYDHGPEQPPPPPSSSSAALLDPTPPYPTPLSTYITAGTQFFLPPSS >KGN53695 pep chromosome:ASM407v2:4:6833887:6836965:1 gene:Csa_4G107370 transcript:KGN53695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMMKIPKRYWIVLLTFICTSVCYVERVGFSIAYTFAADAVGVDQSSKGTILSTFYYGYACSQVPGGWAAQKIGGKRVLLISFILWSLTCALVPLDPSRVMVLVIARLLVGVAQGFIFPSIHTVLAQWVPPHERSRSVSLTTSGMYLGAAAGMLILPSLVKYRGPQSVFATEALLGATWSVLWLKYASDPPRSEHPKAAAAGFGESLLPIKGNQKVKVENGGTSTRTSNIPWKKILLSLPVWAIVVNNFTFHYALYVLMNWLPTYFELGLQLSLQEMGSSKMLPYLNMFLFSNIGGIAADHLVTKRILSVTRTRKFLNTIGFAVASLALMAIPIFRTSGGAIFCSSVALGFLALGRAGFAVNHMDIAPRYAGIVMGVSNTAGTLAGIIGVDLTGKLLEAAKATNSDLSSPESWRAVFSIPGLLCILSSIVFLLFSTGERIFD >KGN53376 pep chromosome:ASM407v2:4:4128993:4136479:-1 gene:Csa_4G050800 transcript:KGN53376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKVINVSGKDRSIPRNLNVHKFVDPRATELEALQSIVLNRMSSDICDQRSKRRRTSSYLNNASRKRKNKKMKLDNTNLNLEKDDKKASRKQRRRVELKMNHGIGFSTSGDGTKRLRTHVWHAKRFTMTRLWGFHLPLGLQGRGKGSRALLKRYNDGVLIHDASYYVPIQMEGPEESLISVLRRVLVPSILSYSQDISHAIISGEIYGRAILHDVRATGTNAIAPVTYMWRPRNTVFKAIDGTNMSSTKRQLWVWLHASTASEGYDALKFACQKEMDERNTPIDCSSLEGQLAKLEVFGSNASQLLENILHPISRASKNLWQLKKHPIGGLEGNSHLKIFSNHENENYLPSHGIASVTFKDPRMLPNEKIADVQASTSMQNPADSLSTDSRDLEISRSNEILSSSLYSTISESGFLHENKELWDANSGMRAPVEDTVICAARHHMRMDRFCLDEPPAEMAKDLNSLQCSNSCPTLLLNENDESSTLIRWSIILPISWVKAFWIPFTCRGARAIGLRERHWIACEVGLPSFPWDFPDCAAYSQFMSKEATAVDNKVECSTSSCSRSLKVPIPPPWDSVQMTLCKEPDGVEKNGAFTEKNMTHADTSSIVYDANCETAVVGVHDHKFFDGIVARTSSSLFEFLSDIKLEHLPLFPQGREKKARILEFLNKSTVDQCKSSINQFCYTGKSCFLRVILRAYKKGAFEEGAVICAPKSADLSLWTSRSVDEERALQIPESAVKHYFKLKQQSPSMWELQLPEDDVAREYHRWPIGFVTTGFVHGSKKPVAEGLCEATLLARLRVQQWDGMFAKKKEQIYVLVRNLRSSAYRVALATVILEQREDDLEFM >KGN54953 pep chromosome:ASM407v2:4:19513932:19518261:1 gene:Csa_4G615240 transcript:KGN54953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYCFPDWNFEGDIPPAKKSMGPEHDDLVELLWRNGQVVLQSQKARKSSLIANEVRQFQKQNQPLYRSNVSCGNSSNLIQDDETVSWIQDPLDDSFEKEFCSNFFSELPPADPIEIVKQPIKHFQDDKQTRFGAFDTATHVTFGNFVKKNSKPPASLAEFPMNTMPPPRFQFQDSSRQNKDLGDLGKLVNFSQVPVPPLKGDLGSSNGGRESGNLIQGEGRDCSGMTVGSSHCGSNQVPNPNDLDLSRVSTSGFGNAGLSAGFSKEDNRKMVAQGERDKTETMDPTATSSSGGSGSSMDRSRTIGQSTGGNGNKRKGRDGEESECQSETAELESAEGNKTAPRSGSSRRTRAAEVHNLSERRRRERINEKMKALQELIPHCNKTDKASMLDEAIEYLKSLQLQLQVMWMGSGMAPMMFPGVQHYMSRVAMGMGMAQPSMPSIHNPMQLPRVPIVDQSVSVAPTPNQPMMCQPQMFNPMNYQNQMQNPALQEQYARLMGFHHMQPTSQPINVFRFCPSAVLQSQPAAAPGPASGPTAGGSITNDIVNGNLG >KGN53106 pep chromosome:ASM407v2:4:2151030:2152650:1 gene:Csa_4G016450 transcript:KGN53106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEIISSETIKPSSFPQSLHLKSFKLSVLDQLSPFTYTSLVFFYTLNNEELSNEDTALHLSQPLKTSLSKALSADFYLLAGTIKHNKQILANGVGALYQVARVQGAMSKVLNQPSFESLSQLLPFRSLQIMSSSTKEAIYPQVAVQLNAFNGGGVAVGVCFLHKIIDGTTLSGFLRRWAAVAGGSAAEEKGGEGVAEYTVGAEAFPGRDSLLGNSWLSKGYSPFVGEGIRIKRRRFVFEGDAILELKEELMKWKDVRNPTSVELVTAFIWKYLMIAARKRSSGSQQISSVLTHAVDLRRRMAPPLPPTSMGNILWSAVAHYDSTDDVEIELSKLVNLLWESFTEIDNKFIQEMEGEEGFQTISKWFMRMQELYSSKPYAYGFTSWRNMGLNDIDFGWGRPSWVSFAGPENSVLKNIVVLKEDNLGDGIEAWIMLDEDEMNILENDQQFLAFASYNPTIYLG >KGN53353 pep chromosome:ASM407v2:4:3925814:3928351:-1 gene:Csa_4G049610 transcript:KGN53353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLVSSNDNGNAKKVLSKLAKGNGHGEDSSYFDGWKAYDSDPFHPIINPRGVIQMGLAENQLSFEFVEKWMKNNPRASICSVEGIDEFKDIAIFQDYHGLPEFRNAVANFMGKVRGNRVKFDPDRVVMSGGATGAHETMAFCLADPGEAFLVPVPYYPGFDRDLRWRTGVEIVPVICESSNNFKLTREALETAYEEAQKSNIKIKGLLITNPSNPLGTVYDRQTLETAVSFINEKNIHLVCDEIYAATVFAEPGFISISEVIDNSDIECDRNLVHVVYSLSKDMGFPGFRVGIIYSYNDAVVACARKMSSFGLVSSQTQYLIASMLLDDVFVDNFLAGSAEKLAARHRNFTKGLAQVGIGYLKGSGGLFLWMDLRHLLKEKTLEAEMALWKVIINEVKLNVSPGSSFHCSEPGWFRVCFANMDDNTMDISITRIRNFVLQNKEVTTKVKKQKFCWRQSSLELRLSSRRLEDIMSPHSPLPQSPMLRATT >KGN53658 pep chromosome:ASM407v2:4:6379877:6381345:-1 gene:Csa_4G097650 transcript:KGN53658 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD-repeat protein MEHAASRSEFLATYESPHPLYAMAISSPHAHSLNFSSRIALGSFVEEYNNRVDIVSFDPDSISIKANPSLSFEHPYPPTKLMFNPSPLSSLLASSGDSLRLWKLGDSSIEPLSLLNNSKTSEFCAPLTSFDWNEVEPKRIGTSSIDTTCTIWDIEKSVVETQFIAHDKEVYDIAWGEARVFASVSADGSVRIFDMRDKEHSTIIYESPQPDTPLLRLAWNKQDLRYMATILMDSNKIVILDIRSPSVPVAELERHHSSVNAIAWAPRSCRHICSAGDDKQALIWELPMVAGPNGIDPMSMYSAAFEINQLQWSAAQPDWIALAFSNKMQLLKV >KGN55423 pep chromosome:ASM407v2:4:22513489:22515877:1 gene:Csa_4G651890 transcript:KGN55423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGVRDFAVVPEKVMDSVKTTLDNVEQVQTHLISFLSIAEPDVLAQMQPLQRAQSMLLLARVTTTLFALKLRCSGVHLDDHPIKSELERLSLYQDKLERFIGLSKAPLKRSTTLNYQAATRFIEHSLPDLTQEQKLSMRDISRGKGQKMKQLERNVQKKRKYQSSEKQSVQTAAKEFLEKAARELLGDCNGGLQGPLCGDALDDDALQED >KGN54971 pep chromosome:ASM407v2:4:19664478:19667536:-1 gene:Csa_4G617380 transcript:KGN54971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQILPAVSTCSWDPSQMGILIGNVEFTSRHLSALGSYTLRPKFAHKLLSQKVPTALRTLSYTSQEYGKEPVSKKQDMYRQNVDLPAILPKKKKKPYPIPIKQIKRAARADKELAQRGIEKPLEPGKNGLLVPDLIPVAHQVMDAWKILIKGLSHLLHVIPVYACRECSEVHVAHSGHHIQDCLGATSATRRSFHSWVTGSINDVLVPIESYHLYDPFGRRIKHETRFEYDRIPAVVELCIQAGVDIPEYPSRRRTKPIQMIGKKVIDRGGNMEEPKPWKSCDSYPLLDFDTQGAPQRFAPPLPEDVPRIAQETIAAYETVRYGVRMLMKKYTVKACGYCPEVHVGPWGHNAKLCGEFKHQWRDGKHGWQDATLDEVLPRNYVWHVRDPKGPPLIGTLKRFYGKAPAVVEVCIQAGATIPKKYLPMMRLDIVLPDSEEARSVA >KGN55535 pep chromosome:ASM407v2:4:23138217:23139981:1 gene:Csa_4G664420 transcript:KGN55535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSKLLPLAMCMSFFVVTSFGKDFSIVGYWPEDLTSMDRLIELFEEWISNHGKIYETIEEKWHRFEVFKDNLKHIDETNKKVTSYWLGVNEFADLTHQEFKNMYLGLKVESSRTRQSPEEFTYKDVVDLPKSVDWRKKGAVTRVKNQGSCGSCWAFSTVAAVEGINKIVGGNLTSLSEQELIDCDRPYNNGCHGGLMDYAFSFIVSSGGLHKEEDYPYLEVESTCDNKKGELEVVTISGYKDVPENNEASLIKALAHQPLSVAIEASGRDFQFYSGGVFDGPCGTQLDHGVTAVGYGSSKGVDYIIVKNSWGPKWGEKGYIRMKRNTGKPAGLCGINKMASYPTKSK >KGN53176 pep chromosome:ASM407v2:4:2683619:2685642:-1 gene:Csa_4G025085 transcript:KGN53176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRSVSWNRFSDEYYSHSAPSSKPGQSLRLSSSFDHGNNELPTNDPVSEMVKREKARVKFAETAVHVIPFVLLICAIVLWFFSNPDVEMRGATTTGIIRSLTLEGEFESTQTEALPTFDGPFSDASRLHDTIFI >KGN53957 pep chromosome:ASM407v2:4:9610082:9613001:1 gene:Csa_4G193760 transcript:KGN53957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIPLLNSSSSSSPTTSTFQALANIIVSVVGTGVLGLPFAFRIAGYAAGSFGVLLVALATYYCMLLLVKCREKLALQGRSKESQTYGDLGYICMGNKGRYLTEFLIFFAQCGGSVAYLVFIGQNLSSVFQSYGIPLSSYIFLIAAVEVVLSWIGSLAALAPFSIFADICNAIAMGIVVKEDIQKAIAGGISFNERTAITSNLRGLPFAGGMAVFCFEGFGMTLALQSSMKDKAAFPKVLGQALVGITIVYILFGFSGYMAYGDDTRDIITLNLPNTWSTKAVQVGLCVGLVFTFPIMLHPINEIVEGKLAQSNWFEKIEDNDDIFSGKRAKVATYISRTLIVLVLAILASFVPGFGVFASLVGSTICALISFVLPAIFHLMLMGSSLCLSQKVLDSSILICGLIFAAYGTYNSLVGI >KGN52982 pep chromosome:ASM407v2:4:1433250:1437296:-1 gene:Csa_4G009340 transcript:KGN52982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRSFGYRLKLPCSSFRAHYNYCATKSNLCYRFSAQAALPQQWTTSIGDPPRPEFDSYSYAALLQDCIQSGDLNLGKLIHCKIVKEGGCVDLFAFNVLLNAYVKLGLLSDARMVFDEMPERNTVSFVTLIHGYAQSNKFIEAFELFARLHGEGHELNPFVFTTVLKLLVSMEWAELGRIVHGCVLKVGYGSNTFIGTALIDAYSVSGCVSMAREVFDEISSKDMVSWTGMIASYAENDCFSEALEFFSQMRVAGFKPNNFTFAGVLKACLGLQNFDAGKTVHCSVLKTNYERDLYVGVGLLELYTRCGDNDDAWRAFGDMPKNDVIPWSFMISRFAQSGQSEKALEIFCQMRRAFVIPNQFTFSSVLQASADIESLDLSKTIHGHALKAGLSTDVFVSNALMACYAKCGCIEQSMELFEALSDRNDVSWNTIIVSYVQLGDGERALSLFSNMLRYQVQATEVTYSSILRACATLAALELGLQVHCLTAKTIYGQDVAVGNALIDMYAKCGSIKDARFMFDMLDLRDKVSWNAIICGYSMHGLGVEAIKMFNLMKETKCKPDELTFVGVLSACSNTGRLDEGKQYFTSMKQDYGIEPCMEHYTCMVWLMGRSGNLDQAVKFIEDIPFEPSVMIWRALLGACVIHNDVELGRISAQRVLELEPRDEASHVLLSNIYARARRWGNVAYVRKHMKRKGVKKEPGLSWIENQGNVHCFTVADTSHADLKLINGMLEFLNMKTRKAGYSPQLNAVLLDVEDDEKERLLWLHSERLALAFGLVRMPAGCPIRIIKNLRICVDCHSVIKLISKIVGRDIIVRDMNRFHHFENGSCSCADYW >KGN53091 pep chromosome:ASM407v2:4:2078252:2082422:-1 gene:Csa_4G015810 transcript:KGN53091 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor protein kinase MSFLCFFALSLLGSISTLLQNAIAFNELYPQLNDDILGLIVFKSDLQDPSSVLSSWSEDDDSPCSWKFIKCNPINGRVSEVSIDGLGLSGRIGRGLEKLQHLKVLSLSGNNFTGNLSPQLVLPPSLDRVNFSGNSLSGRIPVSLISMSSIRFLDFSDNLLSGPLPDEMFVNCSSLHYLSLASNMLQGPVPNTLPTRCLYLNTLNLSTNQFSGSLNFAPGIWSLARLRTLDLSKNDFSGVLPQGISAIHNLKELKLQNNQFSGPLPSDLGLCVHLATLDVSGNRLTGPLPNSMRLLTSLTFLNIGFNSFSDELPQWIGNMGRLEYMDFSSNGFTGSLPLTMGGLRSVKYMSFSNNKLTGNIPETLMECSELSVIKLEGNSLNGRVPEGLFELGLEEMDLSKNELIGSIPVGSSRLYEKLTRMDLSSNRLEGNFPAEMGLYRNLRYLNLSWNEFKAKIPPEMGLFENLNVLDIRSSDLYGSIPGELCDSGSLKILQLDGNSLVGPIPDEIGNCLSLYLLSLSHNNLSGEIPKSISKLSKLEILRLESNELSGEIPQELGILQNLLAVNISYNMLTGRLPVGGIFPSLDQSALQGNLGLCSPLLKGPCKMNVPKPLVLDPNAYPNQMGGQSSRNRPSQLSNHSSHHVFFSVSAIVAISAATLIALGVLVITLLNVSARRRSLAFVDNALESCSSSSKSGTVTAGKLILFDSNSKASLNWVSNHEALLNKASEIGGGVFGTVYKVSLGDGGDVAMKKLVKSDIIQNPEDFDREIRVLGKVKHPNLISLKGYYWTVQTQLLVMEYANNGSLQTQLHGRLPSAPPLSWDNRFKIVLGTAKGLAHLHHSFRPPIVHYNLKPTNILLDENFNPKISDYGLARLLTKLDKHVMNNRFQSALGYVAPELACQSIRVNEKCDVHGFGVMILEIVTGRRPVEYGEDNVVILTDHVRYLLERGNVLDCVDPSMTQYSEDEVVPILKLALVCTSQIPSSRPSMAEVVQILQVIKAPLPQRI >KGN53195 pep chromosome:ASM407v2:4:2776994:2779883:1 gene:Csa_4G025740 transcript:KGN53195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKSALMVKYVVAILGIVMIATGFAAEATRTKRNQVTKVAPDLCKYPRSPALGLGLTAALSLLFAQITIKASTGCVCCIRGPRPPASKWRTAVICFTISWVTYVIAFLLFLTGAALNNGRGEQRNYFRDYDCYVLKPGVFSFATIVGMASLTLGMSYFLILNSAKNDPSTVWGHPSVPPQPNIAMAQPQFPNPPPPPQRTADPVFVHEDTYMRRQFT >KGN53634 pep chromosome:ASM407v2:4:6103771:6117593:1 gene:Csa_4G092980 transcript:KGN53634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKYEKGEGNFPKSRNAQAGVEGGGDSDSDAVAAIFCALESIHASLAIMAHDQMPKLLYKEEIIERILEFSRRHIMDIMCAYDPSYRALHKVSENGAFEVIEDEEDGDYGSSTKKRRAVKTTKIRKPALNKVSNAVNTILQKMCTILGLLKDLLLIERLPDSCILQLVKTSFSTFLVDNIQLLQLKAIGLICGIFYSYTQHRNYLIDELVQMLFKLPSTKRALRAYHLPEEEQRQIQMITALLIQLVHYSANLPEALRQASDSHSIFEVSVDSSCPTKCHEAATEACCLFWTRVLQRFANVKTQDASEVKVMMENMVLDLLTTLNLPEYPASAPILEVLCVLLLQNAGLKSKDISARSMAIDFLGMIAARLKRDAVISATDKFWILQELGNKEDVADQSYPKDVCSICLDGRVEKCILVCQGCQRLFHADCMGGTGRENEIPNRGWFCQICHCRKQLQVLQSYCKSQCKNDSEKRKDWSDKGSNASWLVSNIEIVQQLLLNYLQEVGSTDDLHLFVRWFYLCLWYKDDPKSEQKFIYYLSRLKSMAIVRDGGNTSSLLTRDSVKKITLALGQNNSFSRGFDKILHMLLASLRENSPVIRAKALRAVSIIVEADPEVLGDKRVQLAVEGRFCDSAISVREAALELVGRHIASHPDLGFKYFEKISERVKDTGVSVRKRAIKIIRDMCTSNANFAEFTRACIEIISRVGDDESSIQDLVCKTFYEFWFEEPSSSQTQFFGDDSSVPLEIAKKTEQIVEILRKMPNHQLLVTIIKRNLALDFFPQSTKAVGINPVSLTSVRKRCELMCKCLLERILQVEERSNMDTEVRALPYVLVLHAFCVVDPMLCAPASNPSQFVVTLQPYLKSQVDNRVVAHLLESIIFIIDAVLPLLRKLSPNVAEDLEQDLKQMIVRHSFLTVVHACIKCLCSLSKIAGKGAWVVEYLIQMFFKRLDSQGVDNKQLVGRSLFCLGLLIRYGSPLLSNSSNKNVDITKSLSLLKMYLQTEDLVIRVRALQALGFVLIARPEFMLEEDVGKIVEESLSSGSDVRLKMQALQNMYDYLLDAEGQMGTDEAGDGAGPDTVEGGQSVPVAAGAGDTNICGGIVQLYWERILGQSLDLNGQVRQTALKIVEVVLRQGLVHPITCVPYLIALETDPYEANAKLAHHLLMNMNEKYPTFFESRLGDGLQMSFIFIQTISRGSDNANKKVQSKGSSNLKSRSDGSSLTQARLGVSRIYKLIRANRVSRNNFISSIVRKFDSPRMNDSMIPFLMYCAEILALLPFTFPDEPLYLIYAINRIIQVRGGALQEEIKALSVHLLQRNTQNVTYENGMIQLPQPGLFSDNIILSDMNGSAELDQPRPICNFMSMDLNQQIPPESAAHHELNNNSSKLEGKLHNISSMDSFSISKDDLQKIQTMSLAAIALQLLLKLKRHLKIVYSLNDARCQSFNPNEPPKPGEFLSKQNVPFDISETCTTLPTTYQEFIQSYQDFKNTLRDDAFDYSTYTANIKRKRPTVRKGRKSTMGGDDDDNDDDEDWSGGRRLSNSGRKSNYSMRGSRQR >KGN54629 pep chromosome:ASM407v2:4:15399773:15407980:-1 gene:Csa_4G410820 transcript:KGN54629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVTSSIAAKFAFFPPTPPSYTVIADESRHGRLYIPEIPRRDDVDVLRLRTRRGNDIVALHVKHPKPSGTLLYSHGNAADLGQMFELFVELSVRLRVNLMGYDYSGYGQSTGKPTEYNTYADIDAAYKCLKEKYGVNDEHLILYGQSVGSGPTLDLASRVSNLRGVVLHSPILSGLRVLYPVKRTYWFDIYKNLDKIGLVNCPVLIIHGTADEVVDWSHGKQLWELCKQKYEPLWLSGGGHCNLELYPEFIRHLKKFVQSLGKSKASTNGSEKAKVEIDNQNKPSETGPSDTFELAADLPEVSRNSLDSRLEKSKKANKPEKSRMSTDRVDRFRKRKGLVW >KGN54861 pep chromosome:ASM407v2:4:18419937:18420248:1 gene:Csa_4G555680 transcript:KGN54861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTWIAACRNTVGPAKFLIPQGTFLVGPNGSPLKILLVSSSPAPVSLTAKASLFGPTTIARKTTYAKFFQS >KGN54179 pep chromosome:ASM407v2:4:11453218:11453639:1 gene:Csa_4G291910 transcript:KGN54179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIEPDASIWRALLSSCQIKSNNKLLETIFGKLVELEPSNPGNFILLSNIYAAAGLWSEVVQIRKWLRERGLGKPPGTSWIVIGNQVHHFTATDVLHPQSERIYENLNSLTSLIRDLG >KGN54372 pep chromosome:ASM407v2:4:12714782:12715360:-1 gene:Csa_4G308620 transcript:KGN54372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVSEISEEEEDVPRFIDPEVQKERIRQILKYQKSVYSSSSSSLSSSSKSSCRGSSLLDLMKAGNTSLRRLFEMQHTSLATYFRKYSGSPMIKSIPLWGSDSDVEIFDAWASVKIGLSHDSGSHTTNCTSTGYSIDRKTGLGNSTISVSKLKLTRKKSFRKLPRFCLLWSSKRFRVRFRLRRLRILICGKQF >KGN54689 pep chromosome:ASM407v2:4:16013730:16013990:-1 gene:Csa_4G427260 transcript:KGN54689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVTDESSGGEVDDELVDSLKAIVKRKVGLALEIKVDTKVQVNMRWLKMSKVGLRKHCDEIMMVVSTRVRRRQGLLHGPRMQRGGF >KGN54743 pep chromosome:ASM407v2:4:16728557:16732842:-1 gene:Csa_4G442580 transcript:KGN54743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNLSFQSYRPTKKNILVIGPVPGQKYSEITFPILSPDPATKKDVHFLKYPIYVGGNRGRGQIYPDGSKSNNNVYNATAAGIRLLKRLGCSPSVLMASHHLHTATASASHICRNFLGFIFTSKFPHPFRFSTSSSRIHSFPSFSLLLSPKGYCSSSGSINSANTMDTVPTYHGSSASTRCQPMVQFQDSLSNPLTFKEDTGIDNPADARVMLIDGTSIIFRAYYKLLAKLHHGHLSHADGNGDWVLTIFTALSLVSNILIRFWF >KGN54691 pep chromosome:ASM407v2:4:16029825:16031789:-1 gene:Csa_4G427270 transcript:KGN54691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGERQSSPTHLEPWNSLHGKVVMVTGASSGLGREFCLDLAKAGCKVIAAARRIDRLQSLCDEINRLNLSSSSSLPTPTAIAVELDISADGKSIEKSVKNAWESFGFIDALVNNAGFRGNVKTCLELSEEEWDQVMGTNLKGSWLVSKYVCSHMRDSKRGGSVISISSISGIERGQLPGGLAYAASKAGINILTKVMALELGPYNIRANSIAPGLFKSEITEGLMQKDWLNTVAYKTSPLRTFGTSDPALTTLIRYLIDDSSRYVSGNIYIVDTGATLPGFPIFSSL >KGN52847 pep chromosome:ASM407v2:4:649238:651206:-1 gene:Csa_4G003610 transcript:KGN52847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLIDWFYGVLASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQYPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAFDKERFAESKKELDALLSDESLATVPFLILGNKIDIPYAASEDELRYHLGLTNFTTGKGKVNLTDSNVRPLEVFMCSIVRKMGYGDGFKWMSQYIK >KGN54340 pep chromosome:ASM407v2:4:12467434:12470643:-1 gene:Csa_4G305350 transcript:KGN54340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGTAAAEIAATAPEVGGVDACDAVASVADCGSGDDALPMVGEGEATGGRGGKDRVKGPWSPEEDAILSRLVSKFGARNWSLIARGIAGRSGKSCRLRWCNQLDPSVKRKPFTDEEDRIIVAAHAVHGNKWAAIARLLPGRTDNAIKNHWNSTLRRRCTELERIKLESGNVVDDASLEKTKGSSEETLSCGDVNSFKSMDGKDACSREQLDDQYEDKVPIVVEGQFTHEVNEQPTLFRPVARVSAFSVYNPLDGQGSLRPFLRPVPMQGPLIQVSKPDVEASKFLEGVYGDRSVPHQCGHGCCKSHNQGSPLESLLGPEFVDFSEPPPSFPSFELAAIATDISNLAWLKSGLENGSVRAMGDSAGRLNGSQMQMGHL >KGN55313 pep chromosome:ASM407v2:4:21848327:21850650:-1 gene:Csa_4G645870 transcript:KGN55313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRNDEVVMVLAMVGLVVGVAIVNVNATNVEMNLSLLQIENKLKLLNKPSIKTIYSEDGDIMECVDVYKQPAFDHPLLKNHTIQMKPDLSIDLKMSSTQNESFGSRLYPFQTWQKSGSCPKGTIPIRRVGREDLLRANSLHHFGKKFPYGDSKLGQEFNRSTAILITTGVNYIGASGNINVWNPKVDLPNDFTASKVWLKNGPSEKFESVEAGWMVNPKLYGDAKTRLSLYWTVDSYKTTGCFDLTCSGFVQTNPSVAIGAVIEPLSSTNGQQYTISIGIFQDPHSGNWWLKFQGNPVGYWPSTLFGYLDHSATLVEWGGEVFSSNIKIVPHTGTGMGSGDYASGLYEYASFVKEPRIVDYSLQLKYPDRVGTWADEPSCYSVDNYQQSYTTEPVFYFGGPGLSRDCH >KGN54474 pep chromosome:ASM407v2:4:13729041:13737160:1 gene:Csa_4G337310 transcript:KGN54474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSGSDPDSHRAASTSGSSRRFGMLAASNIIQAPLSALLEYSGLLRGRQSHQESEALISARLPSGFRDHLRSHIEESSGATNDGEVSIRIIGAGEPEHGRDGAGLVVGQARDVSGQNAVSLQSVSGLASVTLGSELSQGESANERGAREGIPESINAGADGDASEAAGGNSRDSSYQRYDIQQAARGIEQILPFSFLLLVVFIRQHLQGFFVTIWIAAFMYKSNDILRKQTALKGERKISVLVCISLAFTIHVIGVYWWYQNDDLLYPLIMCPPRAIPPFWHAIFIIMVNDTLVRQAVMVVKCVLLMYYKNSRGRNYRKQGQMLTLVEYLLLLYRALLPTPVWYRFFLNKEYGSLFSSLLTGLYLTFKLTSVVEKVQSFFAALKALSRKEVHYGAYATSEQVSAAGDLCAICQEKMHAPILLRCKHIFCEDCVSEWFERERTCPLCRALVKPADLRSFGDGSTSLFFQVF >KGN52852 pep chromosome:ASM407v2:4:673060:674324:1 gene:Csa_4G003660 transcript:KGN52852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIPRQTSSGSNGASPPSALPDERKRKRMQSNRESARRSRMRKQKQLEDLAGEVSRLQTANNQLVQSIGAKEQAFVQVDNMNNVLRAQAMELTDRLRSLNSVLHIVEEVSGLAMDIPEIPDPLLKPWEFSRPVLPVADAFLC >KGN53168 pep chromosome:ASM407v2:4:2605780:2608110:1 gene:Csa_4G023030 transcript:KGN53168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDNMSYDDTTKIDERQHEITIKSRRVDPPSHYAFQIDSYSVLSQIEMKKCESGDFEVDGYKWKLILYPNGNEEVEDHISLFLAVSTNDNNLPLGWELRVIFRFFIFDQIRDNYLTIQDGKMRKYSKMKSEHGFTHLISHNVFNKASSGFLVSNCCTFGVEVSILKASNKGERLTILKEPQQDTYFWTLYSFSALKQPFYISEPFNVKGRKWRMEVYPHGNSLGKTSHISLYLKLDSSETIPLGKKIYAKFILGVYNFSAKKYIDKSYEHWYKTPGHGNGFDEFLSRKEISTHSQNDAFYLKARIVAMSTVEEF >KGN54881 pep chromosome:ASM407v2:4:18756009:18759653:-1 gene:Csa_4G571770 transcript:KGN54881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFTGLPFLKESQVMFMASSFPQLLLIPRCEPSWTFHYCPFLNLNQHQDLRVRYKWAVTAISKKKVSESLVQDGFNEEEIGKKKTPRTPRRTTKSTRKKTSDDTPNLKSELVSSVNETEVEESIVNASVEDSKTTSRVSQSKAASTSTSVEDNKAEAKKRRGRKPKKKDNSMDLQFSESKVSDGENSLLIGNDVDESDGEFDFGTDEGDDVSVTYSWPPLVCCFGAAHHAFVPSGRPANRLLDYEIHDRLKDALWAPEKFVRAPGGSAGSVAIALSSLGGKVAFMGKLGDDDYGQAMLYYMNVNNVQTRSVRVDSKRTTAVSHMKIGKRGRLKMTCVKSSAEDYLSKSEINIDVLKEAKMFYFGTHSLLDPNMRSTSMKAIKIAKKLGSVIFYDLNLPLPLWHSRDETIEFIQQVWNLADIIEVTKQELEFLCGIQPSEEFDTRNNDSSKFVHYEPEVIKPLWHENLKVLFVTNGTSKIHFYTEEHDGAILGMEDAPLTPFTSDMSASGDGIVAALMRMLSVQPHLVTDKGYLERSIKYAINCGVIDQWLLGRTRGYPPNDDTEEVTTDENGIRSITEVEFRTVAAVS >KGN54107 pep chromosome:ASM407v2:4:11040880:11046017:-1 gene:Csa_4G286320 transcript:KGN54107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEDDKQDRQKMANLHLRSEMISMGHPPMANQPHVINQSQVMNQPQSQVMNQPQVINQPQFLNQSLMNHSQIMSQSQAINQANLLAQPQAMQQSQMIMNHSLPPMMSGNYKVWAHPQAPLDPNKKYRNFPKPSYGNMKQSRSGRGNWKGKGVGDKRINNRRMEKPLLGSISGPNNAAGYQPPSLHELQSQNRLRARKFYSKKKFGNRFAPYAPRNTTSFLIRAKKSGGIASLVSPSPVTPAVLPTPMFSPSREALGDMAKEEWGVDGYGSMKGLIRLRGSENKVEVQDEEEEEVGGGSSDSDVEEHLEVERRLDHDLSRFEMIYQNYGVEYNNCLENRVDDQDSHIAQLEEENLTLKERLFLMERELVDLRRKLQLHEGQNPAIDNVNEEVVENVSENESDGGLEMEYVSEIRRHQDVDVDSKEEDEEVLEIEGGEKCAGEDFKRGKVVEEKYIVNDEMVKESNEQIPEHCVTKDEEFKGELISRKVNECDNMNENLGNILHTDSGVGSVAMANDEAEQNRVVPVKIALDVKEGCEETREDSVSGN >KGN54863 pep chromosome:ASM407v2:4:18442068:18444712:1 gene:Csa_4G561180 transcript:KGN54863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSNTSKGENDCHGGGMSINAHNLIKNPPDSLRRIDQISKNGVSSQTNNSETIGNMTTNMKNNNQNNSSSNNNSNSSFMGGKGGGGNNNYRCDSMETPGMVIKPHTGGDVRWDAVNMVSKGGALNLSNFQLLKRLGYGDIGSVYLVELRGTDTFFAMKVMDKASLASRNKLLRAQTEREILGLLDHPFLPTLYSYFETDKFYCLVMEFCSGGNLHSLRQKQPNKYFTEEAARFYASEVLLALEYLHMLGIVYRDLKPENLLIRDEGHIMLSDFDLSLRCSVSPTLVKSSSVNVNTSSTNANATSNAGLSGAGILDDEFVVHGCMQPSNFLPRILPSKKNRKSKSDFGLFVGGSLPELMAEPTNVRSMSFVGTHEYLAPEIIQGEGHGSAVDWWTFGIFLYELLHGTTPFKGSGNRATLFNVVEQPLRFPEAPQVSLAARDLIRGLLIKEPQKRIAYRRGATEIKQHPFFDGVNWALVRSAMPPHVPEPVDFTQFARKRSGTGAVEDKRAVEVAAAAAAATSTVAAGENCSGSTGSDPNYVDFEYF >KGN52751 pep chromosome:ASM407v2:4:150189:152810:-1 gene:Csa_4G000720 transcript:KGN52751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSIGGISLPGSIPPLLHHQSSPTSAIGFARFPDRISFRSSVVLRDEVEKKGSRVVIANGKVDGLGKSECETDFDTALGNGRAGNSGKNHGVLSTVGNSTNIKWHECSVGKNEKQSLLKQKGCVIWFTGLSGSGKSTVACALSQSLYKMGKLAYILDGDNVRHGLNRDLGFKAEDRAENIRRVGEVAKLFADAGVICIASLISPYRRERDACRDILPNGYFIEVFMDVPLEVCEARDAKGLYKLARAGKIKGFTGIDDPYEVPFNCEIVLKHTGGSPSEMAEKVLSYLEQKGFLQA >KGN53469 pep chromosome:ASM407v2:4:4750229:4752379:-1 gene:Csa_4G056600 transcript:KGN53469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVFVSLLAFFFLLFFHYLFKFSAPSRRKLPLPPGSMGWPYLGETLQLYSQDPNVFFASKKKRYGPIFKSHILGYPCVMLSSPEAVKFVLVTKAHLFKPTFPASKERMLGKNAIFFHQGDYHAKLRRLVLRTFMPEAIRNIVPSIESIAKNTVQSWDGQLINTFQEMKMFAFEVSLLSIFGKDEALYFEDLKRCYYILENGYNSMPINLPGTLFHKAMKARKELAEILNKILSTRRETKREYDDLLGSFMGEKEGLTDKQIADNVIGLIFAARDTTASVLTWIVKYLGENPSILQAVTAEQESIVKSKENGDDNLTWADTKNMPITSRVIQETLRVASVLSFTFREAVEDVEFDGYLIPKGWKVLPLFRNIHHSPEIFPQPEKFDPSRFEVSQKPNTYMPFGNGTHSCPGNELAKLEMLVLLHHLTTKFRWNVVGEQEGIQYGPFALPLNGLPIRISLKK >KGN55013 pep chromosome:ASM407v2:4:19947233:19949196:1 gene:Csa_4G622230 transcript:KGN55013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWYAPDTIISIVLVLLLLLGWKLADWIWFRPKKLEKLLRQQGFAGNSYRILHGDLKERAAMREEAMSKPMNFSNHIAPRVIPSIYHTIQRYGKNSFMWLGPIPRVHIMDPEQLKTVFSFYNDFQKPTMNPFTKLLVQGIVNLDGEKWVKHRKIINPAFHLEKLKISTHKVKQKNERDKQRDNNFDIGYYG >KGN52943 pep chromosome:ASM407v2:4:1157858:1161811:1 gene:Csa_4G006500 transcript:KGN52943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRARASWSSFSKRLKPLETRSFCSKSHIQTNKSSNNGKINGDNKVEPDLSSYNEAYKQLDNLDLMTASKILFTQPSKKKKFGLDFHLVQLFFVCMPSLAVYLVAQYARYEMRKMEADLELKKKKEEEEKAKQIELEETEKIHEMNPELQEVKTRLDKLENTIKEIAVESRKQSGTGNITKNSEKGEDAVKTKHGVNIDSTKSMDDHLGGQKIVPAPVLPKGRVSESTTRDDSKHRNHGGGSSPDAER >KGN53115 pep chromosome:ASM407v2:4:2195819:2204267:1 gene:Csa_4G017030 transcript:KGN53115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLPVASKDGSLTLPLSHTASLRLQLPSLPPHSIPRSLSWRPSVKGNISLSNNPYHKPLSRVMAMSARINDESVVESPAKALRRILEMPGVHQGPACFDALSAKLVERAGFLYCFTSGFSISAARLALPDTGYMSYGEMLDQGQLITQSVSIPVIGDGDNGYGNAMNVKRTVKGYIRAGFAGIILEDQVSPKACGHTQGRKVVSREEAVLRIKAAVDARKESGSDIVIVARTDSRQAVSLSESLRRARAFADAGADVLFIDALASKDEMEAFCKISPKVPKMANMLEGGGKTPILNPLELEEMGFKIVAYPLSLVGVSIRAMQDALLAIKGGRLPSPGTLPTFAEMKELLGFNSYYEEERKYASAVSQPSIKVDSSITSLQRRVEDDKEKGQGSQGPAVEVITPEIYRSYDDDGSRGPFSGIWSRRLRVKITGRDGFERLDVRIPAGFLEGLTNIVPALGGVNIKELMDDAAGEVGGKQLLDFVDGMGDRIEVFLE >KGN55431 pep chromosome:ASM407v2:4:22556555:22558959:-1 gene:Csa_4G651970 transcript:KGN55431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDEEHHFESKADAGASKTYPQQAGAIRKNGYIVIKNRPCKVVEVSTSKTGKHGHAKCHFVGIDIFTAKKLEDIVPSSHNCDVPHVNRTDYQLIDISEDGFVSLLTDSGDTKDDLRLPTDDNLLKQIKEGFAEGKDLVVSVMSAMGEEQICALKDIGPK >KGN53999 pep chromosome:ASM407v2:4:10303282:10303548:-1 gene:Csa_4G252920 transcript:KGN53999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLRAYGHEWQGWLVEKGKARHLGMSRTSKEVSMQPTNSQTSWACSHDKACKESEVGGKNCQKNLLRQMVWRPIMLKALWTRGKVEYK >KGN55170 pep chromosome:ASM407v2:4:21034294:21035544:-1 gene:Csa_4G639090 transcript:KGN55170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLVNAHELFDKMQMGFGNGITAGVTVEMPLRNMLNYILRVHSQEKESGQERKDIKEMGFEREGTRCSMMTQTCCGP >KGN53858 pep chromosome:ASM407v2:4:8737026:8746313:1 gene:Csa_4G169490 transcript:KGN53858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASASSSLQIGISPSISSHHFSSPMPNALLPHRLFLLPRRSPSSPRRSTGFPPSRSPLLLRPRASSDDLPSSPGVENLVIIGSGPAGYTAAIYAARANLKPVVFEGFQAGGVPGGQLMTTTEVENFPGFPDGITGPDLMDRMRKQAERWGAELFQEDVESIDLKNRPFTVQSSERKVKCHSVIYATGATAKRLRLPREDEFWSRGISACAICDGASPLFKGQVLAVVGGGDTATEEALYLTKYARHVHLLVRKDQLRASKAMQDRVFNCPNVTLHFNTEAMDIVSNTKGQMSGILVRKADTGEESVLEAKGLFYGIGHLPNSQLLKGQVELDSSGYVLVQDGTAKTSVEGVFAAGDVQDHEWRQAITAAGSGCVAALSVERYLVSENLLIEFHQPLTEEVQKKEPTDRDVQEGFDITLTKHKGQYALRKLYHESPRLICVLYTSPTCGPCRTLKPILSKVIDEFDQNVHFVEIDIEEDQEIAEAAGIMGTPCVQFFKNKEMIRNIPGVKMKSEYREFIVSNK >KGN54356 pep chromosome:ASM407v2:4:12595490:12596760:-1 gene:Csa_4G308470 transcript:KGN54356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVFKPIQTSFTVYKNTFLYTPKLPISKNSFSCLCQSNTSDSAPSTPPPEGDPQKQEILARIAQLQTQKLRLTGFLDEKSADLTQFAEEADAEFEKIGEDALRGLDEASARIMGNIESQMQVFEESVELNRQEIEKNDDMLAKFEGQIEEERNEGLFFQNLRPRKPADKVKAKVEMEKINKLTKENAGSKTRRYIYLAFIGLLVVAIAESFLSSPDWRKVAVLGAMLIALISQFSYEQRMASEIEKTEIKEQSEEKD >KGN54362 pep chromosome:ASM407v2:4:12647738:12658565:-1 gene:Csa_4G308520 transcript:KGN54362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRDNKMASASLGNGGVGSSRSINGFKGSSSSVDWLGREMLEMRLRDKTDTDEDRDSEPDIIDGVGAEAGHVIRTTIGGRNGQSKQNISYIAEHVVGTGSFGVVFQAKCRETGEIVAIKKVLQDKRYKNRELQIMQMLDHPNIVSLKHCFFSTTDKEEVYLNLVLEFVPETVNRIARNYSRISQRMPLIYVKLYTYQICRALAYIHNCIGICHRDIKPQNLLVNPHTHQLKLCDFGSAKVLVKGEPNVSYICSRYYRAPELIFGATEYTTAIDIWSTGCVMAELLLGKPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKPHPWHKVFQKRLPPEAVDLVCRFFQYSPNLRCTALEACVHPFFDELRDPNTRLPNGRPLPPLFNFKPQELAGIPPDTVNRLIPEHARKQNLFMALHT >KGN52851 pep chromosome:ASM407v2:4:670108:670716:-1 gene:Csa_4G003650 transcript:KGN52851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFEISSPIPYLLNANGFGNGVLEVQLSYLERAISVSSFSQRILYETQPTPFNNALFYLSVHQLQHPLFHIRQFLYSLNIRRETIARQIASFVAHMCNGNTGWNSNFYVIARVDLVRVIRIEEQPRAEGWRGVAVERLSKLKSEEEKGDCSVCLDELDCEKREVIRIPCGHVYHESCIFKWLNSSNSCPLCRSSFPLHNVTN >KGN54336 pep chromosome:ASM407v2:4:12443825:12445518:-1 gene:Csa_4G304820 transcript:KGN54336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGEIPNIKRWVVLYPIYINSKKTIAEGRRIGVSKACENPTCVEIGDCCGHLKLPFAIEIDKAYPRDFMQRGRVRVLLKKEDGTLSNPAITSSKASSCLFGPFSSLGKKTSIYN >KGN54748 pep chromosome:ASM407v2:4:16775571:16777255:1 gene:Csa_4G443120 transcript:KGN54748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKETFYLSHGSPMMSIDDSIQARQFFKSWKDSFYVIKPKAILCVSAHYDTTFPTVNVVSGPNDTIYDFYGFPSSMYKLKYPAPGAPALAKSVKEALVRAGFERVEEERGRGLDHGAWVPLMFMYPEADIPVCQLSVQSHLNGTHHYNLGKALAPLKDEGVLIIGSGSATHNLRTLNHSGNSSAIAPWALEFDNWLKDALLQGRYNDVNEYEKKAPHARMAHPSPDHLFPLHVAIGAAGGNPKAKLIHHSWDLGTMSYASYQFTASSPTHQSQEL >KGN55019 pep chromosome:ASM407v2:4:19982457:19989279:-1 gene:Csa_4G622780 transcript:KGN55019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEVNESDEYSLLKNLRLEFDGDDGCFTVCFWVYLMNSTTFPVSILQQVQLDSSSMTPFLILSEWNRLKIMPLTTLHKADEGSSPGSSSSANVVPHEYLDVDFPMEKWVHIGCEVSTDFVRLHIDGKMVGEKPVSSSLSEDTFPRALGTIVLGNNGEDISLQGYIHNEKVLPSASLIRDHYAEDLPVKLFIDNSSTMEIEEGGDGIWNIVGGKPSCRRNFSLDVMLLDSSGQPVLKELEVVASLIYADSGEAVEKSGDEEAPLLASYDGVEFASSDRPSKLLHGRASFKLKISQLSSKCDNKLFRIRFCIPRVEAYPFFEALSSPIRCISRSRNTRMSTLMLKRSTFHPLDVSRSSGLDNGTSEHEHVSVEEEKPSPLLKRVKLGQDRPTPIDDPSSGQPDEECNSHSFTANGAGNGFGSRTERSKNNGSTGASPSDSGSTEARHSVLNRTRTNGNPISDVNIFKYCLGGLSERSLLLKEIATSVSQEEILEFAEHVSLYSGCLHHRHQILMSRKLIEEGTRAWNSISQNKHHVHWENVVFEIEEQFMRISGCSSRSLTQQDFELLRRISGCQEYLAQENFERMWCWLYPVAFTLSRQWINAMWSSLSPKWIEGFITKEEAELSLQSPAGLQDPGTFILRFPTSRSWPHPDAGSLVVTYVGNDYALHHRLLTLDRIFSSTEGEKNMRSLQDMLLAEPELSRLGRTIRSH >KGN53510 pep chromosome:ASM407v2:4:5048715:5051203:1 gene:Csa_4G063440 transcript:KGN53510 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PSBP description:Oxygen-evolving enhancer protein 2 MASTSCFLHHHALTAAARSSSSPRQAALPKSPQLLVCRAQKQQPAQEEEGGVVSRRLALTVLIGAAALGSKVSPADAAYGEAANVFGKPKSNTDYLPYSGDGFKLSIPSKWNPSKEREFPGQVLRYEDNFDSNSNLSVIINPTDKKSIKDFGSPEEFLSKVDYLLGKQAYFGKTASEGGFDPDAVATANILEATASNVNGKDYYFVSVLTRTADGDEGGKHQLITATVNDGKLYICKAQAGDKRWFKGARKFVEGAASSFSVA >KGN54585 pep chromosome:ASM407v2:4:14944459:14944830:1 gene:Csa_4G372075 transcript:KGN54585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHEQGKYLELADPRLEGRVTYEEVKKLVCIALCCVQEEPAIRPSMDAVVSMLEGGIPLSQPRNESLNFLRFYGRRFTEASTIEEEGYQNGSVIYSPANALPSCMSDSNYLFSYMSSQQVSGPR >KGN55181 pep chromosome:ASM407v2:4:21094368:21097775:1 gene:Csa_4G639200 transcript:KGN55181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKILVTGASGYLGGRLCRALLNRGFSVRALVRPTSDLSSLPHDPSALELVHGDITDYQSLLEACSGCHVVFHAAAMVEPWLPDPSKFISVNVRGLQNVLQAVRETKTIEKIIYTSSFFALGSTDGYVAVESQVHHEKFFCTEYEKSKATADKIALQAASEGIPIVPVYPGVIYGVGKVTAGNVVARMLIERFNGRLPGYLGQGKDKFSFSHVDDVVEGHIVAMQKGRVGERYLLTGENASFVEVFDAAAAITGTKKPIFNIPLWLIETYGWVSVFISRITGKLPLISPP >KGN54007 pep chromosome:ASM407v2:4:10478164:10479718:-1 gene:Csa_4G260440 transcript:KGN54007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVIRGLNKSTGVVLEYLQEAKEHGHRKGKDILASIPGYWEVICSIFEGEDEHMFISAQLLPAIRQLNDIPSELEKLDKLFEL >KGN52793 pep chromosome:ASM407v2:4:336001:336659:1 gene:Csa_4G001600 transcript:KGN52793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPDRISLEIKEKIGNLSFKSYRTNKKNILVIGPVPGQKYSEITFPILSPDPATKKDVHFLKYPIYVGRNRGRGQIYPDGSKSNNNVYNATAAGIVSKIIRKEKGGYEITIVDAANGRQVVDIIPPGPELLVSEGESIKLDQPLTSNPNVGGFGQGDAEIVLQDPLRVQGLLFFFASVILAQIFLVLKKKQFEKVQLSEMNF >KGN53421 pep chromosome:ASM407v2:4:4435730:4437585:1 gene:Csa_4G052710 transcript:KGN53421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQLQRRIEEAVRAILESSDMDEMTESKIRALASKDLHLDLSKSPYKSLVRTVVESFLQERSEQHPEDQPEDASAAKEKEYDDDGDLIVCWLSSKRKVTIQDFRGKTLVSIREFYRKDGKDLPTAKGISLTEEQWSVFKKNVPAIEKAIKNMQSQIM >KGN54319 pep chromosome:ASM407v2:4:12347724:12349298:1 gene:Csa_4G303180 transcript:KGN54319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSPTDHVLLFPFPAKGHIKPFFCLAHLLCNAGLRVTFLSTEHHHQKLHNLTHLAAQIPSLHFQSISDGLSLDHPRNLLDGQLFKSMPQVTKPLFRQLLLSYKDGTSPITCVITDLILRFPMDVAQELDIPVFCFSTFSARFLFLYFSIPKLLEDGQIPYPEGNSNQVLHGIPGAEGLLRCKDLPGYWSVEAVANYNPMNFVNQTIATSKSHGLILNTFDELEVPFITNLSKIYKKVYTIGPIHSLLKKSVQTQYEFWKEDHSCLAWLDSQPPRSVMFVSFGSIVKLKSSQLKEFWNGLVDSGKAFLLVLRSDALVEETGEEDEKQKELVIKEIMETKEEGRWVIVNWAPQEKVLEHKAIGGFLTHSGWNSTLESVAVGVPMVSWPQIGDQPSNATWLSKVWKIGVEMEDSYDRSTVESKVRSIMEHEDKKMENAIVELAKRVDDRVSKEGTSYQNLQRLIEDIEGFKLN >KGN54292 pep chromosome:ASM407v2:4:12180938:12181745:-1 gene:Csa_4G297435 transcript:KGN54292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPVPTHHFISHFHPDHPLTHYSDDQEYTCHICKTVGSGPRFRCQANCHVDIHLYCTDPPKELSSFLHSHRLALIHQMNHRRCNICRESINGMFYRCNHCDFDVHPLCTQFPEQLRHLIDGCHKLTFRKLSSGRCSICEEDCSSFWVYGCDVCRVNIHPKCILKPYGSPTGTRGIPYCQAPQWTTAPHPHGYGGGYFSYGGGQPNWGYPNHHVGYPHGGNNYGGQPPSRPSWVPMLGAGMFGVVQNLTAGAILEFIFGSFGA >KGN54297 pep chromosome:ASM407v2:4:12205843:12206185:-1 gene:Csa_4G297470 transcript:KGN54297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDAAFIIIPVVFLSICVVFAVLSLLCRWTGGGSSTGDGAHFGGADGPGGGDDGGEDGGGGGGYDGGGGGYDGGGGSGGGGGGGGDGGGGGGGGGGGGG >KGN52879 pep chromosome:ASM407v2:4:810014:810373:1 gene:Csa_4G004895 transcript:KGN52879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCINVWKPILVHGIFAYCVCKIKILTFAQIMNHGLINFDIRFITTPMQSRINPFGHIKQPGLTKTFYYQIVSNLIRYNPNVPHHFHQFISIPRSSPLNQMLNSHIESSKIGQTTPQNH >KGN55304 pep chromosome:ASM407v2:4:21772656:21774692:-1 gene:Csa_4G645300 transcript:KGN55304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPASISLPSLSSTSRIILFKGKTTLNSCFPLHGGRRATLTSSVKVKMARFMDSNFVPIEIENLKEKMQEVVPEPVKIFPWKEAEKIVVERLAFMGKETLKWSLLLFFVLSSCSDIVASIVRNQELLIPIGLFIGVLLTDLLKEISQEVFGNSEESSFKKQLYGFGSFFILVKLIVYGFAIQAPVFPLHVANGGLMQVLWLWRNLPRERNQPNEQSLFVGQATS >KGN54618 pep chromosome:ASM407v2:4:15226719:15227471:1 gene:Csa_4G378780 transcript:KGN54618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLGKRSRAPIRKTPSMTEITFDLGGTGSAAEQFPPSLGYPTNTQISGYQQMVSPRNFRRHSVDLQENANFLRACSLCSRPLVPGRDIYMYRGDRGFCSDECRQKQMKQDERMEKCSLATKKAAAVGVAVVSTSAGVPPQISGKGETVAAS >KGN54874 pep chromosome:ASM407v2:4:18656798:18661455:-1 gene:Csa_4G570720 transcript:KGN54874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNISSLAFEPTLFSAANLSPSSACRRPFSGRHPPLIVCSSAKTVAAVSSSVSVPESGRKDGLKRIGELSQVSGVLGCQWGDEGKGKLVDILAQHFEIVARCQGGANAGHTIYNAEGKKFALHLIPSGILNEDTLCVIGNGVVVHVPGFFEEIDRLEASGVSCKGRILVSDRAHLLFDFHQEVDGLREAELAKSFIGTTRRGIGPCYSSKVIRNGIRVGDLRHLDTLPQKLDLLLSDAAARFKDFNYTPEVLKEEVENYKRYAERLEPFITDTVYFINEAISANKRILVEGGQATMLDIDFGTYPFVTSSSPSAGGICTGLGIAPKVVGDLIGVVKAYTTRVGSGPFPTEILGKGGDLLRFAGQEFGTTTGRPRRCGWLDIVALKYCCQINGFSSLNLTKLDVLSELSEIQLGVSYSTPDGTPVRSFPADLSLLEQIKVEYETMPGWMCDISSIRDYSDLPKAAKEYISRIEELVGLPVHYIGVGPGRDALLYK >KGN53756 pep chromosome:ASM407v2:4:7486815:7487024:1 gene:Csa_4G122805 transcript:KGN53756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDKTINQPKKGIEVIHSDNPVLEQAHRNPMSSADIAILPSSSEILKTEGLRSLVINGKEVVVTDENI >KGN55443 pep chromosome:ASM407v2:4:22654311:22657652:-1 gene:Csa_4G652070 transcript:KGN55443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIGFFALALFLFLGLDLDHGSLSSASAASSEGVEITYGSVLKLMHEKTKFRLHSHDVPYGSGSGQQSVTGFPNVEDSNSYWIVRPQPGTSAKQGDTIKSGTIIRLQHMRTRKWLHSHMHASPISGNLEVSCFGGDADSDTGDYWRLMIEGSGKTWKQEQRVRLQHIDTSGYLHSHDKKYSRIAGGQQEVCGVREKRADNVWLAAEGVYLPVTETK >KGN53401 pep chromosome:ASM407v2:4:4293189:4298283:1 gene:Csa_4G051530 transcript:KGN53401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPISPIALFILLLAPSALAGHDYGQALTKSLLFFEAQRSGYLPHNQRVNWRANSGLQDGKASGVDLVGGYYDAGDNVKFGLPMAFTVTMMSWSIIEYGKQMATNGELSHALDAVKWGTDYFIKAHPEPYVLYGEVGDGNTDHYCWQRPEDMTTDRHAYKIDPSNPGSDLAGETAAAMAAASIVFRHYNPSYANELLSHARQLFEFADKYRGKYDSSITVAQKYYRSVSGYNDELLWAAAWLYEATNNQYYLNYLGENGDSMGGTGWSMTEFGWDVKYAGVQTLVAKFLLQGRAAHHAPVFERYKEKAENFLCSCMKKGTRNVQTTPAGLIFRQRWNNMQFVTSASFLATVYSDYLSSSGGNLKCAAGYVQPSELLRFAQSQVDYILGDNPRATSYMVGYGNNYPRQVHHRGSSIVSIKVNSAFVSCRGGYATWYTRKASDPNLLTGALVGGPDAYDNFADQRDNYEQTEPATYNNAPILGILARLSGGHSGYNQLLPVLLPPVNHPDPSAQSKTLPSPASSSTPITVEQKMTTSWTAKGNTYYRYSTKVTNKSPKTLKNLKLSISQLYGPLWGLEKSGESYVFPSWLNSLAAGESLEFVYIHTASQAKISVSSYTLS >KGN52850 pep chromosome:ASM407v2:4:665971:668856:1 gene:Csa_4G003640 transcript:KGN52850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVFVYVEEFPFQTSYDVSRCRICHEEEFESPLQLEAPCSCSGTIKFAHRDCIQRWCSEKGSTVCEICLQNYEPGYTAPSKKPHHADPPSVTLRDGVEIPRSEDEETAEPASSPDDDSASVSACSTTADRGASCCKSVALTFTLVLLVRHFYDVVAVGTADYPFTLATVLILRASGIIFPMYVIIRTVTAIQNSVRRNRYQYRYRNHEDSDDDDDDISSFEDDDRRLHHIV >KGN52771 pep chromosome:ASM407v2:4:225818:229623:1 gene:Csa_4G000900 transcript:KGN52771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAVPPPAAGRELSNPPSDGISNLRFSNHSDHLLVSSWDKTVRLYDASANVLRGEFVHGGPVLDCCFHDDSSGFSVSVDNTVRRLVFNHNKEDILGRHDAPVRCVEYSYAAGQLITGGWDKTLKCWDPRGASGQEHTLVGTYPQPERVYSLSLVGNRLVVATAGRHVNVYDLRNMSQPEQRRESSLKYQTRCVRCYPNGTGYALSSVEGRVAMEFFDPSEASQAKKYAFKCHRKSEAGRDIVYPVNAIAFHPIYGTFATGGCDGYVNVWDGNNKKRLYQYSKYPTSVAALSFSRDGRLLAVASSYTFEEGDKPHEPDAIYVRSVNEIEVKPKPKVYPNPPT >KGN53235 pep chromosome:ASM407v2:4:3097504:3098273:1 gene:Csa_4G031060 transcript:KGN53235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYFCSCRLWSSEQCFPEPPLEEPVAVARKKEAYKEDRGRRKKEEGRRKKEEGRRKKEEGRRKKEEGRRKKEEGRRKKEEGRRKKEEGRRKKEEGRRKKEEGRRKKEEGRRKKEEGRRKKEEGRRKKEEGRRKKEEGRRKKEEGRRKKEEGRRKKEEGRRKKEEGRRKKEEGRRKKEEGRRKKEEGRRKKEEDIGIIILGFSCVGS >KGN52882 pep chromosome:ASM407v2:4:818363:818704:1 gene:Csa_4G004915 transcript:KGN52882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEKFMGNHRGPSLPYFYKKIPQNSELSTASQDVNDNMIAVVAGFKTRNSFSPEEEPVSGESNILAPFENLLDEVSGYIGGEGGEADFQVMVRISTVAEDARYLTDEKWKCWV >KGN53909 pep chromosome:ASM407v2:4:9203700:9213051:-1 gene:Csa_4G188370 transcript:KGN53909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNFWSLACIRSPNTTNLSPRPHYPSMPKYPAGVSQPENSLPVIESTAFFSVTGMTCSACAGSVEKAIKRLPGIREAVVGVLNAKARVQFYPSFVNVDQICEAINDAGFEASVVNDDMIERCRIRVIGMTCTSCSTTLESTLLAIGGVQNAQVALATEEAEICYDPRILNYNQLLQAIEDSGFEAILISTEEDVSKIQLHVEGVRTENSMRLIGSSLEALPGVLGIDIEPAVNKLSLSYKPNITGPRNVIQVIESTGSGRYKATIFPEGEGREAYKKEEIKQYYRSFLWSLIFTIPVFLSSMVFTYIPGIKEGLDTKVVNMMTVGELLRWVLSTPVQFIIGRRFYTGSYKALRHGSANMDVLIALGTNAAYFYSVYMVLRSATSSDFKATDFFETSSMLISFILLGKYLEVLAKGKTSEAIAKLMKLVPETATLLTFDDDGHIIREEEIDSRLIQKNDVIKVIPGAKVASDGIVVWGQSHVNESMITGEAKPVAKRKDDTVIGGTLNENGVLHVRATHVGSESALSQIVRLVESAQMAKAPVQKIADRISKVFVPMVIVLSLTTWLVWFLTGKYGGYPRTWIPSSMDSFELALQFGISVMVIACPCALGLATPTAVMVGTGVGASKGVLIKGGQALESAHKVNCIVFDKTGTLTVGKPVVVNTKLLKNMALKEFCVLVAATEVNSEHPLAKAVVEYAQKFKEEDDNKTWPEAQDFISITGHGVKAIVQNKEVLVGNKSLMLDQNILIPIEAEEILKEIEEMAQTGILISIDRKLTGVLAISDPLKPSAREVISILKAMKVKSIMVTGDNWGTAKSIAKEVGIDDVTAEAKPDQKADEVKRLQSLGHTVAMVGDGINDSPALVAADVGMAIGAGTDIAIEAADIVLMKSNLEDVITAIDLSRKTFSRIRLNYIWALGYNLLGIPIAAGVLFPSTRFRLPPWIAGAAMAASSVSVVCSSLLLKYYKRPKKLDTLEIQGIRVE >KGN53068 pep chromosome:ASM407v2:4:1979711:1980091:1 gene:Csa_4G015090 transcript:KGN53068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAVAHQPVAVAVASSGSDFRFYGEASGMLREGSFCGYRIDHTVVVVGYGSDEEGDYWIIRNQYGTQWGMNGYMKMQRGTRNPQGVCGMAMQPSFPVKY >KGN53226 pep chromosome:ASM407v2:4:3005474:3007138:1 gene:Csa_4G028990 transcript:KGN53226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGNSGSMQSSSGGDDEFDSPSDSISASAAFTSFPQNFHSQSSSAAAIFDPLSNLFDPRSSHLSNQNPLLNLDMLWSRTVRSDQIPSDLHPPPPNSATTDHNLSPISNNYSAVHNFSHPSDATNSFTPSDHTDLQNIPNNCANAGASGGGGAVNTGRNSKKRPRASRRAPTTVLTTDTTNFRAMVQEFTGIPAPPFTASSSSSPFTRNAGARFDLFSGGGSNRTSSSAHLDVVPNSTQQTYLLRPFAQKAPFLSPSPSTFLAGSNLQNPIFDIQNMFQSNPKLIPSSNEQNLKMGIFEEFGLTTHGGHGLSPAGQGLPRSNGNHNNNNNNGNHHTPANWVMGGGGGEPNQQGINLRSSNGNLNGKLIRYAAGGGNSSGFLGDKAVENLRGRNEGMVEPWICSSD >KGN53835 pep chromosome:ASM407v2:4:8432048:8432200:1 gene:Csa_4G161830 transcript:KGN53835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEEERKYEGRGKERGRKNEKEEEEKLRDEGKKIWNEGRMKEKRFGMKVE >KGN53996 pep chromosome:ASM407v2:4:10242180:10242613:1 gene:Csa_4G246910 transcript:KGN53996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDVDEHNLQKGLEKALKILAAAGAVEIGTHHNKGRSINVKKVSFREYEKFVKEESSRPLKDLLTPICSAHQMGSCRMGIGAKDSVVNQRGETWEVEGLFIADSSVFPTALGVNPMVTVQAIAYCTAQSVLETLKRKRN >KGN54044 pep chromosome:ASM407v2:4:10706724:10710894:-1 gene:Csa_4G269750 transcript:KGN54044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPELSWRHHTLIQSLLSRGPLREDQFHLLFKQITGKTPDNDQQVFNSYLLTINKALSFAQFELRGCRNQYDGRVYYGLVNNVSDDQSKLGTKYSVPQIALFKAIVEAIAQDSSAQGGISNISALNLQLENQLGDTGSQSQVIPAAFKNFSKSHKEKTIAELAQDKWLDCTPDGFVNLGVRSFLDLRSWFRSNDVPSCEVCNEAGVKAELCSTEVCTVRVHQYCLKKMLSNKKSKKACPGCGTRWQSTTSNIEPKEEEDEPDTRTQDQPSSHKRKKSRLNVDIDLGPNEDSTAEASQPPPTRRTTRSSARQR >KGN55567 pep chromosome:ASM407v2:4:23345288:23346333:-1 gene:Csa_4G669190 transcript:KGN55567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKTRTSFPHQSLFDFCSFKTKIEDKDEEPERKISKQAALGSSANKAKCKSKSKQPESRKQIWQQRFTAEPEQHLSGNSTSKASSPEAPANPKRRNAESVEEKRKKKEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEE >KGN55250 pep chromosome:ASM407v2:4:21480432:21482639:1 gene:Csa_4G642310 transcript:KGN55250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAMAMTMGAIFLYIPPFLVLYLLTKHFLHNIRNLPPTPFPSLPILGHLHLLNKPIYRALYNISNRYGPVVFLRLGSRSVLIVSSPSAAEECLTKNDIIFANRPHLLLSKCFGYNNTNLVWSSYGDHWRNLRRICTVEILSTHRLHMLYIVRFEEVRSLIQRLVKRENQVVNMKHVFFDLSFNLMLRMIVGKRFYGDDVDDVEEAKLFRELQAESSRLSGKSNMGDFIPLMSWLGFDSTLEKEMIDCQNGRDALMQSLIEQHRRRRIAEIDYSFRDGRKKTLIEVLLELQESEPEQYTDETIRALMLLMLVAGTETSGSIMEWALSLLLNHPEILKKAQTEIDNQVGHKRLMEESDMARLPYLRGIINETLRMYPPAPLLVPHESSQDCSVGGYHVPRGTMLYINIWAIQNDPKFWTHPRKFDPERFNDVESENYKFNLMAFGLGRRGCPGEGLGLRMIGLVLGSLIQCFEWERPTEELVDLTEGIAITMPKAQNLHAKCRPRPMVVDILSQTKSSPAR >KGN52796 pep chromosome:ASM407v2:4:343767:344499:1 gene:Csa_4G001630 transcript:KGN52796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVALEKLFTLHNAMLLESRLIKVFFVYFTTIFIIYMFSSTKQTYTVRPWLYIELCVTFFIEVAILRFEMFNMEEKTWIVNLLRTVFLLVASLQLLYAVCTYRDYDVLNHHMLLMLMERINGMQTQNKLSWDDSDSEVDWTSWIDTELSEDVEDPDFVLPEEVGENSITTASTSRRYNLRHRPIVK >KGN54923 pep chromosome:ASM407v2:4:19252653:19259530:-1 gene:Csa_4G604530 transcript:KGN54923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGFGNGARVQVASTSEDLKRFGANSTEDALFDASQYAFFGKDVMEEVELGGLEDEEDTLATGIEEEEFLFDKESEDFRPPSDIDDPVSSFGKANELASRPRGVIGSLLRESSSVNEWAREEGFSNWLGQYVESAQEGKRWSSHPHSSSLAESTSLYRTSSYPDQPQQYHQQFSSEPILVPKTSYPPSGISPHASPNQHSSHLNMPFVPGGRHVASLSPSNLTPPNSQIAGFNPGSRFGNMQQLNSGLSINGGPQNQWVNQTGMLPGEYSSHLNNLLPQQLSNQNGFPQLPPQQPQQRQKLQHPVQPPFGGSLPGFQSHLFNSHPSSGPPHLMNKLEAMLGLPDMRDQRPRSQKGRQNTRLIHQGYETHSFRNEFGWPFYRSKYMTADELENIVRMQLAATHSNDPYVDDYYHQACLSRKSAGAKLRHHFCPNQLRDLPPRARANNEPHAFLQVEALGRVPFSSIRRPRPLLEVDPPSSCGSGSADQKVSEKPLEQEPMLAARVTIEDGHCLLLDVDDIDRFLQFNQFQDGGAQLKRRRQVLLEGLASSFHIVDPLSKDGHAVGLAPKDDFVFLRLVSLPKGLKLITKYLKLLVPGGELMRIVCMAIFRHLRFLFGSVPSDPASADSVTELARTVSLRVYGMDLGAISACLAAVVCSSEQPPLRPLGSPAGDGASLILKSCLERATLLLTDPNAACNYNLTHRSLWQASFDDFFDILTKYCVNKYDTIMQSLVRHSQQNAAAAASEAAAAMSREMPVEVLRASLPHTDGYQKKMLLNFAQRSMPVGGFANSVAEQSMTELSGGESNTL >KGN54870 pep chromosome:ASM407v2:4:18575317:18585495:1 gene:Csa_4G568200 transcript:KGN54870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEDEGELLVWKSDSAPQSMVSVTVGRVMTTLLVARPKKLHNAVSGLSTDHRQGASSLDSIHQSLWFLHQYVKDAVQNHVSLDEILIPMIEHTLRLKDKNWKRGGQVVVLLNWLFLDELIFLTLIKNIADIIVRKDDRYVALGWCILVRSLVEFESVPCELPLNGLRERFNDMLKVLCSCIPRLTCILSKGSMLQEGFELPSRLAVCAADCITSLTNALTRKAEVQMRQKRLNANSSYQQVTFFPNAVDDQQEKPISNASKDSYLDMEYLLWHQLKDLTKLVQRLLAWSRKSQPLHAKGLEQVLKWLDEINLHYGNFQDEFAIGKVKSKIPRTGSLLLSSCWRHYSTLLFLEDRLFSQHYKEWLNQYLSGIQYYSGHHTEETIGNKNARETMIFFLNCLCLLLGRLDRKKFESTISEYGTQISQVLLLQFHSTDEDVVDEVVSIFKAFFLNSKLSSGGSITDNRQLDSVMPLLLNLLDERDVIARAVIILIAESCLMSRDNQFLLEVFKRFDSDSIMQRRNAIDVISEIVQMSSNTRNLLTQSAWQDTANQLIKCLEDEEILIRKQAADLLPFVG >KGN53844 pep chromosome:ASM407v2:4:8553380:8555008:1 gene:Csa_4G165890 transcript:KGN53844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMINVQIPVVALNDDGKVVFVCEEEGGENFEKEPVNKENRKLKLNSIPFSLTCLLHKKYILADPTAEEESVMETIVTVVLDSSGQLVSFYKPGGSVLAYTSAVQDCIALTRQRSKELQIILDEAISGMEID >KGN54202 pep chromosome:ASM407v2:4:11597082:11597889:-1 gene:Csa_4G293120 transcript:KGN54202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPLSSPLHLILCISLLLLPILSSQDQSSSNAGRNQKPTPSTRSRHSKLYFAREFLLAHNKVRLNVTHPLLNWDKKLARYARRWGMKRINDCKMVHSYGPYGENLFWGALDHWTPAQAVESWSKEKQFYDRQHNACSSGQMCGHYTQIIWRDSLKLGCTRVKCQSGGILMICEYDPPGNYVNESPFDTVNNGGATAKTTGGSKKSAGSPHRKIGG >KGN54181 pep chromosome:ASM407v2:4:11458345:11461809:1 gene:Csa_4G291930 transcript:KGN54181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMFYRGSYADGGDGREMGAKRQRIVDQGSSYYGTSPGSGFMYNTSPYAYVNQPPPFPVVRLRGLPFDCMETDVVEFFHGLDIVDILFVHKNGKFTGEGFCVLGYPLQVDFALQRNRQNMGRRYVEIFRSNRQEYYKAVANEVFDARGGSPRRSAPRSKLNDEVKDSAEHTGVLRLRGLPYSAGKDDILDFFKGFNLSEDSIHLTLNSEGRPSGEAFVEFSNEQDSKAAMSKDRMTLGSRYIELFPSSHEELDEAISRGR >KGN54757 pep chromosome:ASM407v2:4:16901286:16903877:-1 gene:Csa_4G454650 transcript:KGN54757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYALFMYPQIDENVEREIINHRSLRHPNIVRFKEVILTPTHLALVMEYASGGELFERICKWGRFTEDEARYYFRQLIFGVNYIHSMQICHRDLKLDNILLDGMKSPCLKICDFGYSKRISHRDLKLKLIILHERKSSEMKNCDSDCFKQIFKGNILLQSTLSDGSLAVKLKKITWNKDSMLDSILLDGCPAPQSLKVCDFGLSKVF >KGN54884 pep chromosome:ASM407v2:4:18783933:18787912:-1 gene:Csa_4G572290 transcript:KGN54884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDNGDMKTRMEDYEVIEQIGRGAFGSAFLVYHKTEKKKYVLKKIRLAKQTEKFKRTAHQEMNLIAKLNNPYIVDYKDSWVDKGDCICIVTGYCEGGDMSELIKKARGTYFPEEKLCKWLAQLLLAVDYLHSNRVLHRDLKCSNIFLTKGNDIRLGDFGLAKLLNTEDLASSVRCNHCLW >KGN52977 pep chromosome:ASM407v2:4:1377914:1380926:-1 gene:Csa_4G008800 transcript:KGN52977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFPFAFLLLFFHFLPKAYSSPYLLDKISSLPGQPLVGFRHYSGYVNVGDRNQKALFYYFAEAQVDPPSKPLVLWLNGGPGCSSLGVGAFSENGPFRPRGEVLVRNEHSWNTEANMLYLETPVGVGFSYSTDTSSYEAVGDKITARDNLEFLEKWFVRFPHYRNRSLFITGESYAGHYVPQLAELMVQSNKTSFNLRGIAIGNPVLEFATDFNSRAEFLWSHGLISDSTFKMFTSMCNYSRYVGEYYRGSVSPICSRVMSQVSKETSRFVDKYDVTLDVCISSVFSQSKILNPQQVTESVDVCVEDETVNYLNRQDVHKALHARLVGVRRWAVCSSILDYELLDLEVPTINIVGKLINAGIQVLVYSGDQDSVIPLTGSRTLVHKLAKELGLQTTVPYRVWFEGQQVGGWTQVYGNILSFATIRGASHEAPFSQPERSLVLFKSFLQSQPLPEAF >KGN54238 pep chromosome:ASM407v2:4:11823184:11826273:1 gene:Csa_4G295440 transcript:KGN54238 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein 70 MAGKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQVAMNPINTVFDAKRLIGRRFSDSSVQSDIKLWPFKVIPGPGDKPMIIVSYKGEEKQFAAEEISSMVLIKMREIAEAYLGSTVKNAVVTVPAYFNDSQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKATSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRLVNHFVQEFKRKHKKDISGNPRALRRLRTSCERAKRTLSSTAQTTIEIDSLYEGIDFYSTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSTVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTRDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQEAEKYKSEDEEHKKKVEAKNALENYAYNMRNTVNDEKIGAKLSPADKKKIDDAIEQSIQWLDANQLAEADEFEDKMKELESICNPIIAKMYQGAGADMGGGADDSAPSGGSGAGPKIEEVD >KGN54869 pep chromosome:ASM407v2:4:18563549:18567035:1 gene:Csa_4G567200 transcript:KGN54869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQKPPPEDFLLKETNPHLGGGKVAGDKLASTYDLVEQMYYLYVRVVKAKDLPGKDVTGSCDPYVEVKLGNYKGTTRHFEKKSNPEWNQVFAFSKDRIQASVLEVSVKDKDFVKDDFMGRVLFDLNEVPRRVPPDSPLAPQWYRLDDRKGDKVKGELMLAVWMGTQADEAFPEAWNSDAATVSGADGLANIRSKVYLSPKLWYLRVNIIEAQDLQPTDKGRYPEVFVKAILGNQALRTRISQSRTINPMWNEDLMFVAAEPFEEPLILSVEDRVAPNKDEVLGRCAIQLQYIDRRLDHRAVNTRWFNLEKHVVVVEGEKKKEIKFSSRIHMRICLEGGYHVLDESTHYSSDLRPTAKQLWKNSIGVLELGILNAQGLMPMKTKDGRGTTDAYCVAKYGQKWIRTRTIIDSFIPKWNEQYTWEVFDPCTVITIGVFDNCHLHGGEKAGVSKDARIGKVRIRLSTLETDRVYTHSYPLLVLHPNGVKKMGEIHLAVRFTCSSLLNMLHMYSHPLLPKMHYIHPLTVSQLDSLRHQATQIVSMRLSRAEPPLRKEVVEYMLDVGSHMWSMRRSKANFFRIMGVFSGLIAVGKWFDQICNWRNPITTVLIHILFIILVMYPELILPTIFLYLFLIGVWHYRWRPRHPPHMDTRLSHADSSHPDELDEEFDTFPTSRPADIVRMRYDRLRSIAGRIQTVVGDLATQGERLQSLLSWRDPRASALFVIFCLVSAIVLYVTPFQVVALLSGIYVLRHPRFRYKLPSVPLNFFRRLPARTDCML >KGN55564 pep chromosome:ASM407v2:4:23305249:23305925:1 gene:Csa_4G665680 transcript:KGN55564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGPVGKQIKVILLDTRYHRDPLFSDGTILGTAQWTWLKRELKGPESAVTIIGSSIQVISNLSATTRPLFYLESWGRFPKERDLLFKLIADSKVKICHAHL >KGN53069 pep chromosome:ASM407v2:4:1982420:1984762:1 gene:Csa_4G015100 transcript:KGN53069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPTIKLGSQGLEVSAQGLGCMGMSSYYGPACPQEDMISLIHRAVAAGVTFLDTSDIYGPFTNEVLLGQALKGDGVRKKVQLATKFGLHLVDGDFEVHGDPTYVRAACEASLERLGVDCIDLYYQHRIDTKVPIEVTIGELKKLVEEGKIKYIGLSEASASTIRRAHAVHPISAVQIEWSLWARDVEQDIIPTCRELGIGIVAYSPLGRGFLSSGATFIQNLTEDDYRKKLPRFQPENLEHNKSIFEKVSELAARKGCTTSQLALAWVHNQGSDVVPIPGTTKLQNLQSNIEALSVKLTPQEMAELEAYALSDGVKGGRYGDDISTWSQSETPPLSSWKF >KGN53311 pep chromosome:ASM407v2:4:3661158:3664391:-1 gene:Csa_4G046740 transcript:KGN53311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKSFVRELREMKDGIGSISKRGEEGSRHWRNRTMSHIAPDQEPSPLELIQQGRWANLPPELLLDIIRRVEESETSWPARAVVVFCASVCRSWRTITKEIIRTPEQCGRLTFPISLKQPGPRESPFQCFIRRDRATSTYLLYFGLVPSEDEKDKLLLAAKRVRRAAGTDFIISLAADDFSRASSTYVGKLRSNFLGTKFSIYDSQPPCDPAARQNSRSSRRFRSKQVSPRVPACNYSVGTISYELNVLRTRGPRRMQCIMQTIPVSSIQEGGTAPTPTSFTYSLDDHLSSMSDSMRKDSTTKDFGSKIQSEPAVRASDESLVLKNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLVAAVDQSHNVSVEEQEKVILQFGKIGKDIFTMDYHYPLSAFQAFAICLSSFDTKPACE >KGN54147 pep chromosome:ASM407v2:4:11240557:11242883:-1 gene:Csa_4G289650 transcript:KGN54147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHCFPFPSLLLLLLFLLTPSFTVAQATSPNITLRKSLTARSSDSFWSSASGAFAFGFRQAVGGDYLLAIWFNKIDEKTVVWSANRDKLAPGGSTVVLTTSGQLILNNPAGKQIWSSTSTAPNKSVSSAVLLDNGNFILAANDSEIVWQSFDDPTDTILPSQILKKGNKLVASYSETNYSSGRFEFYMQTDGNLLLYIRNFPYDAISNYYWSTDTVNFGFQVVFNLSGSIVLIAENKTILNTLSSNNPTAQTFYQRAILDHDGVFRHYIYPRGGTGRNSSWPKAWSISKSIPSNICMTIGQSSDGGVCGFNSYCKLGDDQKPFCSCPEGYVLFDPNDVTQSCKPNFVPQSCAFPELDDFDFVSLDNSDWPQSDYGDYGHNIPVNEDWCRNECLNDCFCVAATFRDGNCWKKKFPLSFGRMDYSVGGKALIKVRRRNSTLQSRNLDKNCNNETKIIIGSILLGSLFLNILLLLLTLLIGCRFSKRKLKFNGGDPFILEQLGSGAFATVYKGTTLGSVDDNNLVAVKKLENIVNEGSGENEFKAEVSAIARTNHKNLVKLVGFCNEGEHRMLVYEFMENGSLANFVFKPSKPTWYTRIQLVLGIARGFGIMLLEMICCRKNFEMETEDEDERILSDWAYDCMNEGKMEKLIREDEEGRSDMKRVERFVKIGIWCIQEDPSLRPSMKKVIQMLEGVVEVSTPPDPSSFISAIN >KGN53294 pep chromosome:ASM407v2:4:3574970:3578588:1 gene:Csa_4G046570 transcript:KGN53294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSFFGARRNPITLGALLQKKSPKFHVFAFQNGTVSVFIYTARLIPLSSTTATSLIIAEAPTMELALQAPATLSRSKFHHTNFSYSNAIFISRPDKQTPLPQFSRQTHPPFQVRARHSTYRTQSSVFKNQLVSVSVSDDELIEEEEEETGGEGASSSYSDDELSFLSLNEKPDRNLTLLDDYEMEELGYPCDLNHRSGYAALVGKPNVGKSTLVNQLIGQKLSIVTDKPQTTRHRILGICSGPEYQVILYDTPGVIEKKMHKLDTMMMKNVRSAAVNADCVLVVVDACKAPQKIDEILEGGVGDLKEMPPTLLVLNKKDLIKPGEIAKKLEWYEKFTNVDEVIPVSAKYGHGIEDVREWILSKLPLGPAYYPKDIVSEHPERFFVSEIVREKIFMQYRNEVPYACQVNVVSYKSRPGAKDFIQTEIVVEKNSQKIILIGKEGKALKLLATAARLDIEDFLQKKVYLEIEVKVKENWRQDEGLLKHYGYEGRIQAL >KGN53471 pep chromosome:ASM407v2:4:4811845:4815798:1 gene:Csa_4G056620 transcript:KGN53471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDVAQNDAVFAYPFHVDSHNTQDNNNNNNNNLHQLPLLTVTLKFEEIVYKVKLEGKGGSCWGGGGGSSWGAAANREKTILNGLSGVVFPGEILAMLGPSGSGKTTLLTALGGRLSGKLSGKITYNGQPFSGATKRRTGFVAQDDVLYPHLTVAETLLFTALLRLPSSLTADEKAEAVERVISELGLTRCRNSMIGGPLFRGISGGEKKRVSIGQEMLINPSLLLLDEPTSGLDSTTAMKIITTVKRLAAGGRTIVTTIHQPSSRLYHMFDKVVLLSEGSPIYYGSASNAMDYFSSIGFSTSITINPADLLLDLANGIAPDSKYANEGGENMEQEQKSVKEALISAYEKNISSTLKAELCSLDANNFNNYAKDASKREKRSREEWCTSWWYQFRVLLQRGLKERRYDAFNRLRIFQVISVATLGGLLWWHTPTSHIEDRIALLFFFSVFWGFYPLYNAVFTFPQERTMLIKERSSGMYRLSSYFLARTVGDLPLELALPTAFVFIIYFMGGLDPHPTTFLLSLLIVLYSVLVSQSLGLAFGAILMDVKQATTLASVTTLVFLIAGGYYIQQIPPFIVWLKYLSYSYYCYKLLLGVQYHNGDVYECGKGEFCQVVDFPAVKSVGLDRLWVDVCIMALMLVGYRLIAYLALHRVRLR >KGN53876 pep chromosome:ASM407v2:4:8933235:8933483:-1 gene:Csa_4G179115 transcript:KGN53876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTAAMLILSHTHHHSSLPISGHPPPPTPPPSSTCRTLISSAFAKLKILLIPTPTSSYSSNSQSSKVSILEVFESANFSGW >KGN54768 pep chromosome:ASM407v2:4:17041239:17046602:1 gene:Csa_4G471190 transcript:KGN54768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVSLYRHAFSLPLHRSGCQELGLFSTSSSLLMNGFRSLQYSSSLHQQANLGNAGKLFLAQKSCSS >KGN53748 pep chromosome:ASM407v2:4:7403900:7404348:-1 gene:Csa_4G119770 transcript:KGN53748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRLAAATAPPSATALKLHLWKSPIPYLFGGLSLTLLLIAAALIIIACSFRKRFTGGQKDPPAATSSTVNLLMEPKFFVIMAGNDMPTFLALPAAAIPPCSCSTNQQDAHQNPFP >KGN55145 pep chromosome:ASM407v2:4:20859617:20861826:-1 gene:Csa_4G638340 transcript:KGN55145 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protochlorophyllide reductase, chloroplastic MALQAASLVSPALSIPKEGKSSVCLKDSSLFGISFSDHLKSEFSSSTLRCKRELNQQIGAIRAQTTATESPAVNKATPDGKKTLRKGSVVITGASSGLGLATAKALAETGKWHVIMACRDFLKAERAAKSAGITKENYTVMHLDLASLDSVRQFVDNFRQSGRPLDVLVCNAAVYLPTAKEPTFTAEGFELSVGTNHLGHFLLSRLLLEDLNKSDYPSKRLIIVGSITGNTNTLAGNVPPKANLGDLRGLAGGLNGLNSSSMIDGGEFDGAKAYKDSKVCNMLTMQEFHKRYHEETGITFASLYPGCIATTGLFREHIPLFRILFPPFQKFITKGYVSEDEAGKRLAQVVSEPSLTKSGVYWSWNKNSASFENQLSQEASDAEKARKVWELSEKLVGLA >KGN53256 pep chromosome:ASM407v2:4:3279734:3284424:-1 gene:Csa_4G038730 transcript:KGN53256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSDDESASGPDSQSSPAAPSPDSSSSSSPDPPSSSPPSPPSPSPPSPDANSDTSPPPSPENDDSSPPPPTSDNSPSPKSPSDGSSDDHKSHPAPKEGGSSPLTSPAAHSPPSRSSSAGDEFSPPALPEGKASPRSPAKQQGTPSSEKDSSPSEINPGIIIGVAVGVGVFIIVLISVIAVCSKKKKRKRDPMPYYGDHGHGGPKGGDYYNSSLQQQQNWHNGMHGPGTDQFGRPPVSGSPAGTGWPMSGENMTTGSSYAGPPLPPPSPSIAFGFNKSTFTYDELAAATGGFAHGNLLGQGGFGYVHKGVLPNGKEVAVKSLKVGSGQGEREFMAEVEIISRVHHRHLVSLVGFCIAGGQRMLVYEFVPNNTMEHHLHAKGLPVMDWPARLRIAIGSAKGLAYLHEDCHPKIIHRDIKSANILIDANFEAMVADFGLAKLSTDNHTHVSTRVMGTFGYLAPEYASSGKLTEKSDVFSFGVMLLELITGKRPVDPTHTMEDSLVDWARPLMTRALMEGIYDELVDIRLEREFNTQEMARMVACAAASIRHSARKRPKMSQVVRALEGDVSLDDLNEGTRPGQSSIFSATSSDYDSSAYHADMSKFRKIALSDDSSELATSSNDSREMNHPGPGTQRPLF >KGN55159 pep chromosome:ASM407v2:4:20955422:20961895:1 gene:Csa_4G638490 transcript:KGN55159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQRKSKSLIDCTSDIFANGIHFAAPISSLRTSELDLVRGVLQMLQGFSGSLFSWDCSGKKFCVKSGIYVSHLSRSSLLAILNQFMYAATCLQLTQLVLQEVNTAAKSAPPTLRAFVTSVSSWLKRLRDIALKEEIKLNDAGSGTTPTLMGLAGSLSSLCSGAEYLLQIIHKAIPKVFFESSAAITPADLAVHVLDNLYKKLDEVCLIQNGQEETYQMLLHIFVGSLLPYIEELDSWVFEGILDDPFEELFFYANEAVSVDEHDFWEKSYSLRSLRLDGEVNLSIKKETSERKSISLSHLLKGKDQYTGGSIACPLFMKDIAKSIVAAGKSLQLIRHVCETSPASEKQNGEEFTASGDFGGSLARLSLSELFCVSLAGLIGDGDHISRYFWKHDQYNLETVSSFKTRTNCSEVENGIDGSTCKGKHWFSLLVDALAQKGSVSLKSGHKDVNKPVGKGENYMTLDIKNCLCSLESFHPENPVMTVCTAILKDNINDWKRLNLSRCYNLPPLNDESLFKAIIGDEDTPFSETKGTDFTFGFQFDKSKHVHLQKEAKLIETLLPFPTLLPAFQDDLHISDLLPFQKNSTLPSRFLSWMQNIMPRTMPLTMVIMEECLVVYLRQQVDYIGKHVLSKLMNEWRLMDELAVLRAIYLLGSGDLLQHFLTVIFNKLDKGETWDDDFELNTILQESIRNSADGMLLSAPESLVVSIVKTNSLDGDEQSNLAKLPSTPHKSSSPFFGMDGLDSLKFTYKVSWPLELIANTEAIKKYNQVTGFLLKVKRAKFVLDKTRRWMWKGKGTPKNNSKRHWLVEQKLLHFVDAFHQYVMDRVYHSAWRELCEGMASAQSLDGVIEVHEAYLLTIHRQCFVVPDKLWALIASRINVILGLALDFYSVQQTLSSGGAVSAIKLRCEMEVDRIEKQFDDCIAFLLRVLSFKLNVGHFPHLADLVTRINYSYFYMSDSGNLRTAPSSETVSSRLGKTFMGRTD >KGN53821 pep chromosome:ASM407v2:4:8215784:8220710:1 gene:Csa_4G152260 transcript:KGN53821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLFPSFFFLTTFSFFLSFTSSLNSDGLSLLALKAAIESDPSHVLESWSEFDSTPCHWPGIVCTRDRVTQLSLPNKGLTGYIPSELGLLDSLRRLSLAFNNFSKPIPSHLYNATNLVVLDLSHNALSGSLSDQIGDLRKLRHLDLSSNALNGSLPNRLTDLTELVGTLNLSYNRFSGEVPPSFGNLPLIVNLDVRHNNLTGKIPQVGSLLNQGPTAFSGNPSLCGFPLQTPCPEAQNPNIFPENPQNPKSVNGNFQGYGSGRESGGGGVAGSATVAVVSSIIALVGVVSVTVWWFRRKTAVGRPEEGKTGKGSPEGESCGDLEGQDGKFVVMDEGMNLELEDLLRASAYVVGKSRSGIVYKVVAGRGSTAGASIVAVRRLNDTDATLTFKDFENEIESIGRINHPNIVRLRAYYYASDEKLLVTDFIKNGSLHAALHGSPSSSLLPLPWAARLKIAQGAARGLAYIHEFGARKYVHGNIKSTKILLDDDFEPYISGFGLGRLGQGVPKFSATSSKKLSSSQNMISSIMGTSISTPSPMYLAPEVREFGGKYTQKCDVYSFGIVLLEVLSGRLPDAGSENDGKGLECFVRKAFQEERPLTEVIDQALVPEIYAKKQVVSMFHIALNCTELDPELRPRMRTISESLDRVKSQ >KGN53829 pep chromosome:ASM407v2:4:8297201:8300330:1 gene:Csa_4G153800 transcript:KGN53829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKCGEAFVVGLGEIECQEPLKRLSQALCQARQKGFWRVEERRRRCQILRSSSMAPKTARVSRNPELIRGVGKYSRSKMYHKRGLWAIKAKNGGVFPRHDAKPKADSPAEKPPKFYPADDVKKPLVNKRKPKPTKLRSSITPGTVLIILTGRFKGKRVVFLKQLPSGLLLVTGPFKVNGVPLRRVNQSYVIATSTKVDIAGVNVEKFGDKYFSKEVHQKKKKGEGEFFEAEKEEKSALPQEKKDDQKAVDSALLKSIEAVSDLKTYLAARFSLKAGMKPHELVF >KGN54064 pep chromosome:ASM407v2:4:10832306:10835681:1 gene:Csa_4G280410 transcript:KGN54064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDVRKERRVIFEVDVLVNWMMHEKWPAVLFLLMSLMIFHVRGDSGAFVGINIGTHVSSLPPASDVVSMLKQFEFTHVRLYDANAQLLKAFANSSTEVMVGVTNEEVLKIGKSPSAAAAWVNKNVVLYTPATNITAIAVGSEVLTSIPNAARVLLRAMKYLHKALVAANLNLQIKVSTPQSMDVILTSFPPSTATFNSSLNSTIYQLLQFLKNTNSYYMLNVYPYYGYIKGNGNFPLDYALFQPLSINRQIVDPNTLLHYNNMFDAMLDATYHSIKALNFSDIPIVVTASGWPWSDGASEPSATKKNAEIYVNNLITRVLNGSGPPSEPTKPVNTYIYELFSEDQKPEPMSQKTWGVLSTKGSAIYRLSSLSSRVTGSSSFVYCVAKVGADPGKLQNGLNWACGQGGANCSAIQPGQPCFLPDNILNHASYAYNDYYQKMQLNGGSCNFDGTATLTDTNPSRGSCIYTGSVNPSGGNGASPPTPSLTLGPSSDFFPPSSTSKLDARQFHFLWSPMLLSAAFFLLLT >KGN53667 pep chromosome:ASM407v2:4:6445101:6456391:-1 gene:Csa_4G098720 transcript:KGN53667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIVKEAEEAVSASRNHLVFAYYVTGHGFGHATRVIEVVRHLILAGHDVHVVSGAPEFVFTSAIQSPRLFIRKVLLDCGAVQADALTVDRLASLEKYHETAVVPRASILATEVEWLNSIKADLVVSDVVPVACRAAADAGIRSVCVTNFSWDFIYAEYVMAAGHYHRSIVWQIAEDYSHCEFLIRLPGYCPMPAFRDVVDVPLVVRRLHKQRKEVRKELEIGEDTKLVILNFGGQPAGWKLKEEYLPPGWLCLVCGASETEELPPNFIKLAKDAYTPDLIAASDCMLGKIGYGTVSEALAYKLPFVFVRRDYFNEEPFLRNMLEVAAHILQETASGKNYASDKFSGARRLRDAIVLGYQLQRAPGRDLCIPDWFANAESELGLPNKSPTLPVEGRGAHMESYMEHFDVLHGDVQGLPDTMSFLKSLAELNSVYDSGMAEKRQMREQKAAAGLFNWEEEIFVTRAPGRLDVMGGIADYSGSLVLQLPIREACHVALQRNHPTKHRLWKHAQARQNAKGEGSKPVLQIVSYGSELSNRAPTFDMDLSDFMDGEGPMSYEKARKYFAQDPAQKWAAYIAGTILVLMRELGVRFEDSISLLVSSTVPEGKGVSSSASVEVASMSAIAAAHGLSISPRDLALLCQKVENHIVGAPCGVMDQMTSACGEADKLLAMVCQPAEVIGLVDIPGHIRFWGIDSGIRHSVGGADYGSVRIGAFMGRRMIKSRASELLSNSSSLANGISHDDLEDDGIELLESESSLYYLCNLPPHRYEAIYAKQLPETITGEAFMEKYSDHNDAVTVIDPKRVYGVRACARHPIYENFRVKAFKALLTSATSDDQLTSLGELLYQCHYSYSACGLGSDGTDRLVQLVQDMQHSKLSKSEDGTLYGAKITGGGSGGTVCVMGRNSLGSSHQIIEIQQRYKGATGFLPYVFYGSSPGAGRFGYLKIRRRLSSLKPKEQ >KGN55373 pep chromosome:ASM407v2:4:22154288:22155291:1 gene:Csa_4G647460 transcript:KGN55373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFFQAGVKVYQGRKTLAHALKDTKTAVKQLNNLVAALIVVVTAVIWLLLMEIATTKVLVFLLTQLAVAAFMFGNTCKNTFEGLIFVFVMHPFDVGDRCVVDGVQLLVEEMNILTTVFLKLNNEKVYYPNSVLATKPITNYYRSPDMGDTIEFSISFTTPLEKIGVMKEKIKRYLEDNPQHWYPNHSVVVQEIENVNKIKIALYTNHTMNFQDWTEKNQRRTELVMELKRIFEELKINYNLLPQTVHLFPIEGH >KGN54157 pep chromosome:ASM407v2:4:11281975:11284666:-1 gene:Csa_4G290730 transcript:KGN54157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDDHHNQENFFQSFPNLVSFASPLHTPSHRRLSSNFTQPRPPIPAPRRLSWVSLQGRLVNAQQASSVPSIGGGFGPDEAIAWQLFSPIERFLIVAVIGVAVSESKSNHQIGQLKRAVELRDQVLLSMQQKLDDLCNQVNPVKDQSGTENDMALKKNADLEDSGAFGNDKIKFDDCGCWLCDEHLDLLSRLEGNAATKHSCGAEMLQYKMPLINQAEQEERRMSDLSDWASSVTSVADIQMNTLSIEQDMLFLKKDCEEKDASIKELTNLLHSSEVYGSQRISELEDIIRRKNMIITKLKKDMVVLEQKVIQLTRLRRPSSCTSNSEMQPIPYMTDNLLYDMESSTSPSSSDSDCSHSESSQPPPTRKQDNIVHHIQKKEPCLTRTSLKSGTKKRPPTSDSRSKPQMATPLKEITSSTPSSRQRGGGEVVVVRGNGNVDSTNMRRRLQTVGKDTPQRKRHI >KGN54487 pep chromosome:ASM407v2:4:13907097:13917087:-1 gene:Csa_4G338420 transcript:KGN54487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQIFALKPAVHQAFLNHVPNKISEKDFWTKYFRAEYLHSTKNSIAAAAEAAEDEELALFLKDDEILAAETRKKIRHVDPTLDLEADLGDDYTHLPDHGIFRDGGKEITESQNEHYRRTLSQDLNRQGAVVLEGRTIDVDLEDPRTVADALVRSKHAVEGNESQTALDRISRMTAIEDLQAPHSHPFAPLCIKDPRDYFDAQQANAIKTLDDTRAGMQQTKCSLSTTEAYGSLRESISEIKSSGFNHPIIKPEVALMVYNGLTQNISSTKYQLGKNPQESILESLPNPTKEELLHHWISIQELLKHFWSSYPITTSYLYTKVSRLKDAMAKIYRQLEEIKETVVADFRHQVSLLVRPMHQALDAAFQHHDADMQKRSVKSGERLNGYT >KGN53372 pep chromosome:ASM407v2:4:4078069:4081140:-1 gene:Csa_4G050270 transcript:KGN53372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVTTHNATAPAGVCPPAMKATSDGIFQGDNPLNYALPLAILQICLVVLLTRLLSFLLRPIRQPRVIAEIVGGILLGPSALGRNLAYLHTIFPPRSLTVLDTLANLGLLFFLFLVGLELDLKSLRRTGKRAMCIAFAGITLPFVLGIGTSFILRSTISKGVNEAALLVFMGVALSITAFPVLARILAELKLLTTDVGRMAMSAAAVNDVAAWILLALAIALSGTGNSPLVSLWVFLSGAGFIIFCTFAIPPVFQWMSERCSEGEPVKELYICATLSIVLAAGFMTDLIGIHALFGAFVVGVLIPKEGPFAGALVEKVEDLVSGLFLPLYFVSSGLKTNVATIKGAKSWGLLVLVIFNACFGKIVGTVSVSLLCKMPFSESLALGFLMNTKGLVELIVLNIGKDRKVLNDQTFAIMVLMAIFTTFITTPIVIAVYKPAKKHTKSNYQHRTIERKNPNSELRILACFHSYGNIPATINLIEASRGIEKKDGLCVYALHLTELTERSSAILMVHKARKNGVPFWNKGRVDSNQIVVAFEAFRQLSRVSIRPMTAISALSNMHEDICSSAETKRAAVIILPFHKHQRLDGSLETTRTDYRSVNRKVLEQAPCSIAILIDRGLGGGSHVNASNVSSTVTVFFFGGPDDREALAFGKRMSEHPGIRLHVVRFTPSTDFVTESVAVDVNNNSSEDSDGDNKALTSIAYEERNVSKGSQAVDAMKEFNKSNLILVGRCPEGEVVRSLNTNGGDCSELGPVGGVLALPEFSTMASVLVVQQFRGEQSVFPMESTSTSKGESTEDER >KGN54352 pep chromosome:ASM407v2:4:12553694:12560028:1 gene:Csa_4G307940 transcript:KGN54352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFSVISALLICLILFSPLKCIHGEEEAKKNKFREREATDDALGYPEIDEEALLNTQCPKNLELRWQTEVSSSIYATPLIADINSDGKLEIVVPSFVHYLEVLEGSDGDKIPGWPAFHQSTVHASPLLYDIDKDGVREIALATYNGEVLFFRVSGYMMTDKLEIPRRRVRKNWYVSLNPDPVDRSHPDVHDEQLITEATKAKSISQTNGSVPELNHTIETTMNGNVTELNTSSTIPTHMLNISDTVNNSTVNDSKLEPDIVLPTSLASNASMNATTGILDNKNGTGTSRRLLEVSDSKQSQEDGSRSKADGDGDEHVATVENEEPLEAEADSSFDIFRENDELADEYNYDYDDYVDESMWGDEEWTEVDHEKAEEYVDIDAHLLCTPVIADIDNDGVSEMIIAVSYFFDHEYYDNPEHKKELGDLDIGKYVAGAIVVFNLDTKQVKWTTELDLSTDSANFRAYIYSSPTVIDLDGDGNLDILVGTSFGFFYVLDHHGKVREKFPLEMADIQGAVVAADINDDGKIELVTADTHGNVAAWTAQGQEIWEVHLKSLIPQGPSIGDVDGDGHTDVVVPTVSGNIYVLSGKDGSFVRPYPYRTHGRVMNQVLLVDLNKRDDKKKGLTLVTSSFDGYLYLIDGPTSCADVIDIGETSYSMVLADNVDGGDDLDLIVSTMNGNVFCFSTPAPHHPLNAWRSNNQGRNNVAVRHNREGVFVSHSSRTYRDEEGKNFWVEIEIVDRYRNPSGTQAPYNVTTTLMVPGNYQGERKIRQNQIFKEPGKHRIKLPMVSVRTTGTVLVEMVDKNGLYFSDEFSLTFHMYYYKLLKWLLVLPMLGMFGVLMILRPQEPVPLPSFSRNTNL >KGN52758 pep chromosome:ASM407v2:4:168419:168856:1 gene:Csa_4G000790 transcript:KGN52758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYCSYVPLSSSDLSHECDKYSSYICFFIRASQQASADPFAFSLPFLQLQRFFLIVSSSASSIGSRNPLPFTLFSFERVDDVRRYFAQ >KGN55254 pep chromosome:ASM407v2:4:21498602:21499419:1 gene:Csa_4G642350 transcript:KGN55254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRNCLISRGHILFCLFLFNNILDAASTRKLMLGSGVITHEMGNGLPDYSNFKKEVHRLEFTIGGYGGVSAGGGVGTKGGAFGSGSGSGGTGGGGFGPGIGYGSDGGFGPGIGYGSGSGIGGVSGGFGGSVGIGGGGGISGSGGIVGSGGGVLGGSGGIVGGIGGGVGGVVGNGGRGLGNSGGIVGDSGGGVRGSGGTGGGYSGSGGTGGGYSGSGGMGDGVGGGYGGNMNSP >KGN54769 pep chromosome:ASM407v2:4:17058124:17059623:1 gene:Csa_4G476190 transcript:KGN54769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTHEAGYPLSVVPLSSKVRGTFFYATTAITAIFLFMLMLVAHPFVLLMDRYRRRIHYSIAKMWASLTIAPFFRIKYEGLENLPSPNSPAVFVSNHQSFLDIYTLLTLGRSFKFISKTAIFLFPIIGWAMFMMGVIPLKRMDSRSQLDCLKRCMELIRKGASVFFFPEGTRSKDGKLGTFKKGAFSVAAKTKVPVVPITLVGTGSIMPAGFEGILNKGCVKVVIHKPLIGCDPEALCNEARNVIADALSEHVDS >KGN55415 pep chromosome:ASM407v2:4:22470962:22473624:1 gene:Csa_4G651820 transcript:KGN55415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGKGLMVDSRRWAVDFSDNSSSNSTRDIPDPPGFTRASQDQDDSTLSRQKKDAESNWKAQKAWEVAQAPLKNLFMMGFMMWMAGSTVHLFSIGITFSALWQPISALQGVGKVFEPYKDSKVDLLGPKLLFIALNLGGLALGVWKLNTLGLLPTHISDWVSSLPPAQEVEFSGGGISLR >KGN52883 pep chromosome:ASM407v2:4:819730:823686:-1 gene:Csa_4G004920 transcript:KGN52883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWIGETMDSIKSLQIRQVLSQAVSLGMIVTSALIIWKALMCITGSESPVVVVLSGSMEPGFKRGDILFLHMSKDPIRAGEIVVFNVDGREIPIVHRVIKVHERPDTGEVDVLTKGDNNYGDDRLLYAQGQLWLQRHHIMGRAVGFLPYVGWVTIIMTEKPIIKYILIGALGLLVITSKD >KGN53133 pep chromosome:ASM407v2:4:2359208:2359572:1 gene:Csa_4G017210 transcript:KGN53133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNKDSMLFKALFVVILIITSSQYVAFGRLHISDAIPHYRSDHKDDREKEKTISRVEHLSQTDTPRKGNYGGRGEGPTS >KGN53336 pep chromosome:ASM407v2:4:3816052:3817916:1 gene:Csa_4G047970 transcript:KGN53336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDFASSSSRFHHHDYYNNSNNTRIRDFDDEQQQQQQQQQDQEMEEESCNNSNNNNCSIFVEKEHMFDKVVTPSDVGKLNRLVIPKQHAEKYFPLDSSSNEKGLLLNFEDRCGKLWRFRYSYWTSSQSYVMTKGWSRFVKDKRLDAGDIVSFQRPLHRNQDRFFIDWRRRPPHPAVDMPFHFHRHDGGTGAAQFPPPPPHHHHFQLHSQWNNNPVATPLSLQRDHVLHLPQYNNNVSLFHNTYNHHHHHNRYLDGSYGGASVFYHLRSPIAPPQVESVPVVADGNGGNGGGGSGIGRTSAAKTTLRLFGVDMECEVSDDECDVATTSKAMSSSSQFHVYNGMPMPMLTPMTMQMPTSNNNISTMDFFEKGKSSMSSMSSFDFGYFWIKLQEQEFIEHVVIAASNSLDKL >KGN52759 pep chromosome:ASM407v2:4:170070:170310:-1 gene:Csa_4G000800 transcript:KGN52759 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycyl-tRNA synthetase beta subunit MAVLMLMVISARATSSEVGGVIELPSDEASEALHATAMQTAYLGASAEQL >KGN55069 pep chromosome:ASM407v2:4:20303794:20305845:1 gene:Csa_4G627190 transcript:KGN55069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSFLLLISLLLLLLLLLPFHSNANLHKTRLRRSDLFSEPDVTTTADHSTPPTVFFEVSKPIVTPKSKPCSLLLLHHDFAFTYGKPPVLADYTPPSHCSFTKFSKIVLEWKATCRGRQFDRIFGVWLGGVEILRSCTAEPRATGIVWTVQKDITRYSSLLSKNQTLAVYLGNLVDKTYTGIYNVKIRIHFYPEEEGFGGNGVYSQKLGSAYDSDSRADLILPISRNLPLNDGLWFEVQNSTDVEFKEFEIPQNVYRAVLEVYVSFHENDEFWYSNLPNDYIIANNLTDTPGNGPFREVLVDLDGEIVGAVWPFTVIYTGGVNPLLWRPISGIGSFNLPSYDIELTPFLGNLLDGKVHNFGFRVTHALNVWFINANLHLWLDDNSVKTEAKLLNHIVSSPSMSQDLNFTGPDGTFLTKVTRSVSSTGWVKSSFGIITTLSNQDLSYSNSMTMGNNGSSQTVNQEIQFNTSVYAKKESSHVYSVKSLKTFPLYMYSNTKDEENGSYQSIANLTLGFNEKKTDGLGKVISSLKNVQNGQGLIVVKGHLVTSGLGSTQQDYRYHDDVQCYSRNISSSNYTILHDNVKNTCGEKQNSPESLRFRRWPIPARRAFLDSNLFVNNGRV >KGN55131 pep chromosome:ASM407v2:4:20786493:20787265:1 gene:Csa_4G637710 transcript:KGN55131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTNLLQFPLLQSHFRPPSTLLFPAVKSHLSLASISFPTSQFLHTPSHASPAFVLMAKSPKNDTSEQKLSHEGSVVESLPNGMFRVRLDNQDLILGYISGKIRKNFVRILPGDRVRVEVSRYDSTKGRIVYRMRSTKDSSS >KGN54212 pep chromosome:ASM407v2:4:11650409:11651126:-1 gene:Csa_4G293220 transcript:KGN54212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVMVGSPSFQVFFIFNAIALFTSLAVVVVQITVVRGETKSERRVVEVINKLMWLASVCTTIAFISSSYIVVGRRNRWAAVLISIIGGLTMTGILGSMTYYVIKYKRVRRVRKKMKLMRNGNNLSRHSESDSEVNPIYAI >KGN53961 pep chromosome:ASM407v2:4:9659412:9660881:-1 gene:Csa_4G194780 transcript:KGN53961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELDQVLDPPPYFLCPISFQIMKDPVTVASGITYDRESIEKWLLSHKHNTCPVSHIVLSHFHITPNHTLRRVIQAWCTLNASKGVERIPTPKPPVDREQVVRILAHANLSPFSQKNSLCRLRSIATANESNKRCMESAGVVEFLAGVVCNNSTTNMEYGLEDYAFDDMSANSADEALLILHKLQVSESSLKFLLSNNGGIFVSTLTKILQNRSYSSRSYSVMLLNSMFQVADQIQIQNLTADFFTEIIQILKDQISKQASKSALKLLIAVSSSFRNRVKAVQAGAVPVLIDLLLDLDSSENKRLCEMILVLLDLLCSCADGRAELLNHAAGIAVVSKKILRVSSVGSEKAVGILWSVAKFSGSQSVVQEMVRIGVVTKLCFVLQVVGAGVKAKEKAKEILKLHGRTWRNSSCLPSTLRSAYPQ >KGN55164 pep chromosome:ASM407v2:4:21001705:21002565:1 gene:Csa_4G638540 transcript:KGN55164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGGPTDEARGILNLRIQYWTSRGWALVNVNYGGSSGYGWAYRERLLRKWGIVDINDCCSCARYLVDSGLVDAERLCIDGESAGGYTTLAALAFRDTFKAGASLYGVSDQSYS >KGN53346 pep chromosome:ASM407v2:4:3878004:3878711:-1 gene:Csa_4G049050 transcript:KGN53346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQAGAYSGVMYWKTGPHSLPLARIKKIMKKSGEEVKMISGEAPIVFSKACELFIEELTKRSWMIAMQSKKRMLHKEDVASAILATDVFDFLIGLIFNETATPAATGDLGESETISVGC >KGN53692 pep chromosome:ASM407v2:4:6773330:6778267:-1 gene:Csa_4G106850 transcript:KGN53692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTRTQQPSREDDDDDLPSGPGATTISGQSVSMSGSVGSPSSRSEQTMATPASDNTFLRLNNLDIHGDEAGSQGPTANVKKKRGQRAVGGDKSGRGLRQFSMKVCEKVESKGRTTYNEVADELVAEFADPGNSVASPDQQQQYDEKNIRRRVYDALNVLMAMDIISKDKKEIQWKGLPRTSVNDIEELKAERLGLRNRIEKKAAYLQELEEQYVGLQNLIQRNEQLFNSENAPSGGVSLPFILVQTRPHATVEIEISEDMQLVHFDFNSTPFELHDDNYVLKAMKFCNRPQCDNTAQNFTPDGGEGSSMSGMYQPPHIPIPSLSNTPVRTPTSPPLPGIIKARVKHEH >KGN55010 pep chromosome:ASM407v2:4:19928954:19931413:1 gene:Csa_4G621220 transcript:KGN55010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYVLDMISTWLIVVIVVLLSSSLLLLGWKLVDWIWFRPKKLEKLLRQQGFTGNSYRILHGDLKERAAMRDQAISKPMNFSNYIAPRVIPSVHHTIQHYGKNSFMWIGPMPRVHIMDPEQLKTVFSLINDYQKPTASLNPLAKLLADGLLNHEGQKWVKHRKIINPAFHLEKLKISTHKVK >KGN55048 pep chromosome:ASM407v2:4:20186611:20187670:1 gene:Csa_4G625030 transcript:KGN55048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHSHNPFAAAAPTAVSPAPDLRIQFGSLYIPKKNSFGPQGEDAHFISTPDKVFGVADGVGAWADEGIDSGEYARALMANCAAAAKADIDADPRRILTKGYMKTKKILGSSTACILALRGNALKAANIGDSGFMIFREKKLIFVSASQQHRFNCPFQLMDGFFVELPVQPWECRVEVWPGDIVVAGTDGLLDNVFASEIEKVLKEEERVDPGKLAWRLAELALGNSVDKRRTTPFSAAARRAGLWCEGGKIDDITVIVGHVQETKAKYSRI >KGN52816 pep chromosome:ASM407v2:4:471151:472531:1 gene:Csa_4G001830 transcript:KGN52816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METIEHTTINTNGIKMHIASIGTGPVVLLLHGFPELWYSWRHQLLYLSSVGYRAIAPDLRGFGDTDAPESHTAYTAFHIVGDLVGALDELGIEKVFVVGHDWGAIIAWYFCLFRPDRVKALVNLSVHFLPRNPAISFVQGFRFAFGDDFYICRFQVPGEAEKDFGSIDTTELFKKILCGRTPDPPILPKGLGIRSIPSPHDLPSWLSQEDINYFATKFNHTGFTGGFNYYRALDLTWELTASWNKAQVQVPVKFIVGDLDLTYYFPGAKEYIHNGGFKTDVPFLEEVVVMEDTAHFINQERPHEISVHIHEFIKKF >KGN52823 pep chromosome:ASM407v2:4:488292:489884:-1 gene:Csa_4G001900 transcript:KGN52823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKIEHTTIPTNGINMHVASIGSGPAVLFLHGFPQLWYSWRHQLLFLASKGFRALAPDLRGFGDTDAPPSPSSYTFHHIIGDLIGLLDHFGLDKVFLVGHDWGAVIAWYFCLFRPDRVKALVNLSVHYLKRHPSINFVDGFRASAGENFYICQFQEAGVAEADFGSVDTATMMKKFMGMRDPVAPPIYNTKEKGFSSLETPNPLPCWLTEEDVDFFASKFSKTGFTGGFNYYRALNLSWELTAAWNGSKIEVPVKFIVGDLDLVYHFPGAKEYINGGEFKKDVPFLEEVVVIKDAAHFINQEKPHQINSLIYHFINKFVSSI >KGN54381 pep chromosome:ASM407v2:4:12794951:12799017:-1 gene:Csa_4G310180 transcript:KGN54381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIALFSPSSLFPDDDDESSNDAGTLDTLQSYEERKHQFPGMELVIREFSFHQLNANLLWPGTFAFAEWLVQNSSWIQGHRCIELGSGTGSLAIFLRKSFDLDITTSDYDDQEIEENIAYNCRVNGITPALPHVKHTWGDSFPISDPDWDLVIASDILLYVKQYPNLIKTLSYLLKRNYSKTTSLSAIGNDGAPMAKPMFLMSWRRRIGKEDELLFFNGCENAGLEVKHLGSRVYCIKSMD >KGN53919 pep chromosome:ASM407v2:4:9323399:9332738:-1 gene:Csa_4G188930 transcript:KGN53919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDQSDSQKAQTLQSLTTGSLGSSLSKLAASSRAIPAEKDFHFYYNFDEFKIPIRTIERQSQSMLETIGSSAEVWGKEMAFPEDTDDAYDWLVNVNDEIFERFDVSLDEFQKIRKEEEEESGRALALTADPDDGFQLVCGKKKKTPTHEDEMHDSSFESSVKVATKDRKTLGVKPKVPFHIPTIRRPQDEFNILVNNSNQPFEHVWLQRSEDGIRFVHPLEKLSVLDFVDKISEDFQPIYPPSLDCTPFKFIEEVNDLKELAAKLRGVNEFAVDLEHNQYRSFQGLTCLMQISTRTEDYVVDTLKLRIHVGPYLREVFKDPSKKKVLHGADRDVVWLQRDFGIYICNLFDTGQASRVLKLERNSLEYLLHHFCGVAANKEYQNADWRLRPLPEEMVRYAREDTHYLLYIYDLMRMKLASMPRESEESDLPLVEVYKRSHDVCMHLYEKELLTESSYLYVYGLQGSGFDAQQLAVAAGLFEWRDVVARAEDESTGYILPNKTLLEIAKQMPVTVNKLRRLLKSKHPYIERNLASIVTIIRHSMLNSCAFEEAAQRLKEVRAEAASEENASANEHQETNIPDTILNMKNSAVDNTPSDRVCSPSSQSKVAPLERGYRPFVPGKCVKVDHSLHPVLNGSRHISPVGPTTSEPSKHSNGDKYPVAHVTGVNISLQKKTNRGLGSLLGNSAPKRKLDIDKKDKEESKLDKIRSSVTLPFHSFLGTSEQLKSVAEPTTVTALKTQNSELPAAESAKSSNVEPPAVPVPKPFPTDEIIMLEDDSDDNVEDEDEDEDEELRAVDEATGEPKLKGLSASSPLEIDDDDEPMSLSELSSSFQKCLNSNEKAMNVGETDNPGNQSDFLQIKPFDYEAARKEVVFGEDLEEDLEPENDKDPKASKNAGAKLDLGLDRVQKNSGTVELPQGKRRHAFPATGNRSATFR >KGN54386 pep chromosome:ASM407v2:4:12858944:12868693:-1 gene:Csa_4G310720 transcript:KGN54386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAYPLHMAVAALIGASVVAVSAYYMHRKTLTQLLEFAKTVERDRERDDNNFDAESPRHSKKQRGNYVRRKGTGYNRRASASLPDVTAISGGADGDDKRNGQVLLDVIPAGLPRLHTLPEGKNSTKRSMRPTSPKSPIASTSAFESVEGSDDEDDNMTEDTKLGSGYLLANGNAGPECKGIFENLPDHINANGEQIALAASSMIRSHSISGDLHGVQPDPIAADILRKEPEQETFVRLNITPSEVPLPDEVESYLVLQECLEMRKRYVFSEAVAPWEKEIISDPSTPKPNPDPFQYTSEGKSDHYFEMQDGVIHVYADKDSKEELFPVADATTFFTDLHHILRVTAAGNIRTLCHRRLNLLEQKFNLHLMLNADKEFLAQKSAPHRDFYNVRKVDTHVHHSACMNQKHLLRFIKSKLRKEPDEVVIFRDGTYLTLKEVFESLDLSGYDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLGELTKQVFSDLAASKYQMAEYRISIYGRKQSEWDQLASWIINNDLYSENVVWLIQLPRLYNVYKEMGIVTSFQNILDNIFLPLFEATVDPDSHPQLHVFLKQVVGLDLVDDESKSERRPTKHMPTPAQWTNVFNPAFSYYVYYCYANLYTLNKLRESKGMTTINLRPHSGEAGDIDHLAATFLTAHSIAHGINLRKSPVLQYLYYLAQIGLAMSPLSNNSLFLDYHRNPFPVFFSRGLNVSLSTDDPLQIHFTKEPLVEEYSIAASLWKLSSCDLCEIARNSVYQSGFSHALKSHWIGKEYYKRGPAGNDIHRTNVPHIRVEFRDTIWKEEMQLVYLGKADISDEIEK >KGN53187 pep chromosome:ASM407v2:4:2735207:2740737:-1 gene:Csa_4G025170 transcript:KGN53187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERNYSYDEHEHEVYGGDIPDDAELDADLDMSSGRADEEGYDAEPSNANSKDLEDMKRRLKEIEEEAGALREMQAKVEKEMGAVQDSSSTSATQAEKEEVDSRSVYVGNVDYACTPEEVQQHFQSCGTVNRVTILTDKFGHPKGFAYVEFVEVDAVQNALLLNESELHGRQLKVSAKRTNVPGMKQYRGRRPNPFGFRGRRPFIPTAPYPSYGYGRVPRFRRPMRYRPY >KGN55449 pep chromosome:ASM407v2:4:22707544:22710096:1 gene:Csa_4G652130 transcript:KGN55449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCRIALMSKKKKSGTVPVYLNVYDLTPINGYAYWLGLGVYHSGVQVHGVEYAFGAHEHATSGIFEVEPRQCPGFTFRKSICIGRTNLGPKDVRSFMEKLAEEYSGNTYHLITRNCNHFCNDVCIRLAGKPIPSWVNRLARLGLFCNCVLPASLNEAKVRQVRSGDKLNEGVKKKLKSQPIRYNNTSSSNPPRPPLSSNPPTSSTIKVSRQKRCIPSASSAIHSSASTTLTVKL >KGN54393 pep chromosome:ASM407v2:4:12937080:12937957:1 gene:Csa_4G312260 transcript:KGN54393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVNLQNGLQAETISSTISIPTMATRSMLKPPTSNGVYKPHSKSQTITTNNGPQMADPSVVLSTLGHYLARRLVQIGISDIFSVPGDSNLILLDYFVAEKGLNLVGCCSELNAGYAADGYARRRGVGACAVTFTVGGLSLINAIAGAYSDDLPVVCIVGGPNSNEYGNNQTILHHTIGLPDFSQELQCFRTVTCYQAVINNLKDAQWEIDCAISKCLEESKPVYISIACNLAAIPHPSFSMQQLIPLSTSPK >KGN54420 pep chromosome:ASM407v2:4:13120238:13122440:-1 gene:Csa_4G314470 transcript:KGN54420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGACCTKDYMYGGYTTYDKDDRDSNGDDGEEVRRGDDGATVRLRGSSTFTSMFTQRGRKGINQDAMTVWEDFSGEKDLIFCGVFDGHGPSGHRVARHARDVLPTKLSKSIKKKLCQPENGVVSEACVEPDNNGGKQRNRLVSKWEAALEESFKEVDQELSLDSSIDCFCSGTTAVTIIKQGEHLVVANVGDSRAVLCTRGDKHQHIPIQLTVDHKPNIPCEAERIKNLQGRIIAEKEDPDIFRVWVPDGDYPGLAMSRSVGDFCLKDYGLISTPQVSYRKLTRKDEFIVLATDGIWDVLTNNQVINIVASVRNRSMAAKLVVKLAVREWKRRFPGSMIDDCAVICLFFKNPPLLTKSMTSVGRRNVRSHPELAVSRSCRSMGVDRKRGEREEEVEGGSMKRECSKEEQKGVQGLTRADSLTRATGFTKTLSHNQRMKKFNHEVEAR >KGN54298 pep chromosome:ASM407v2:4:12207606:12207947:-1 gene:Csa_4G297480 transcript:KGN54298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPFVIVPLVFVSVVVLFTVLSFICWTSGASSSGGGHAHFGGAAHAGGFHHHAGGHAHHVAVAHSGGGGHGCGGGAGGGGGSSSAC >KGN53109 pep chromosome:ASM407v2:4:2162458:2163891:1 gene:Csa_4G016480 transcript:KGN53109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVKVLSKENIMPSSPTPPHLKTFQLSLLDQFSPVLYAPLIIFYTMDNKDDPRHHQSHEKLMATLKSSLSKTLSHFYLLAGRIVDKSICCSDEGAVFIEATVSCSMSEILKQPNNEFLMKLVPCSERCTKPIEEYAHVIVQVNVFDCGGIAISLCLLHKLMDATTIGCFLKCWATINKRSFATTNLTIDNGASELFTPPSSMTNDDNSDPFSKMVCYDYKELSSLFPQTNFLPFHPRLHEALSSNCEEKSSFQRFVFKEKAILDLKAKAKSNDVPNPTSVEVLSGFIWKCALEAASTKLGLSQIPSILTHAVNLRKRMEPPLPEFSVGNIFWNVVAHYLADKKTQVELSELVSLIRQSFVDINGNYIKRIVGNEGSEALVKLVWERNMKLFQIPKLYICTSWRNMDLSEVNFGWGKPVWIGSAGNSNTTIKNMIVLLDAISNDGVEAWVILEEEEMQILLQNQEFFNFALLNPPIPI >KGN54936 pep chromosome:ASM407v2:4:19381230:19382446:-1 gene:Csa_4G608120 transcript:KGN54936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTFRFHQYQVVGRALPSESDEHPKIYRMKLWATNEVRAKSKFWYFLRKLKKVKKSNGQILAINEVLGLEHASTLLCLYRVTETVVN >KGN52781 pep chromosome:ASM407v2:4:263237:266656:-1 gene:Csa_4G001000 transcript:KGN52781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSACLNLDSVPITETHSSEFIAVDSQSKKDDSGEPVSAISKSGRSKLLKETSQSAMHGLNKFTSQIKKPPRRKVSPIKWFPRKNMDSYLKRKIKMLQEVDGLNLTLDETLGDSNLHYSRVLKEKMAVREAAHKAMKARKAALVEASWCRILRAARIQCKEAEEKMYEAEKAAAEAFEAAAAMGVIMYDTPNCPQKTYKMETSSSCGGGGSTTHTITTSFETEFEVDKEVAAAVKTALVRLASCSSLREDDFKELLRKISQNPECDTNVAPLEISSECEPEDGSELDQAPPKSDFSSHILDCKMLDLRMRQTTSEKETKIEDLMHERLRRLKEDELSSLATIVATCGLNAALAEVENGKVHDANSSAVPSFVSSLNLPRRMSSATNLHSGRKQVESELPSLDKFLVKHVTKLEREVLEAKNSRKNKEKELALDTSKTTTIEEKVAPSLETMQTKPPSSELVKEGKETKWEGGEEKTRRKKLQSRQTFVSHKEVVSAFPSLDKYLVKHVSRLEKEVQEAKNRQKVEPPPPASEELLRETKGKENVNMPRNMEDSLDKILVKPVHRLEREKMMAVLAESNYNNQRQNKKQLDNHTSDCQSLDEILVKHVSRLEKEKMRSKLENNLKRSEKKFHSVVNGEGDGGGLGEILVKHKSRLEREKLMCSQESENENRSFRTRREAREKDDLQSAWGGLSLGDSMRPHLSKLERDKAAWIKAEEEERKQILSEV >KGN55435 pep chromosome:ASM407v2:4:22595169:22595426:1 gene:Csa_4G652010 transcript:KGN55435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSVPTDNPLGMGMSHRNFVLVDLSPLSRLVLVIGVAFGPNFVAFIVCDMLFDPKSS >KGN52826 pep chromosome:ASM407v2:4:501289:502238:1 gene:Csa_4G001930 transcript:KGN52826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFFSAILLVLCLCMAPQRLDAAGAAQEEGLGMIQKMCAQTNYKDLCITSLTSDPNSFPADKMGLALVALRLASSNASDISESIKVMLNETSQNNEPTVQQALFDCLDEYLEASQQLDDSIAAIIAKAYGDVQEWVRVAVTNVRTCESSFPTKPSVLTPRNEEFIKLCDIALSITKIAETN >KGN53200 pep chromosome:ASM407v2:4:2804496:2806657:-1 gene:Csa_4G026280 transcript:KGN53200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDKVDIIRPLVEASTNSFKIEKGDRLILRGLKFHGYHGVHLREKETGQTFLVDVDAWLDLRAAGKSDNLSDTVSYTAIYRIAKEVMTGPSHDLLESAAEEISSKIMIQYDRVTAVRVKVAKPDVVVGGPIDYLGVEIYRSRDRDMPLPI >KGN54266 pep chromosome:ASM407v2:4:12022450:12023475:-1 gene:Csa_4G296200 transcript:KGN54266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVSLLVSILLCSSIAFFTTNGEQIIIVNNCNESVWPGILGGAGQNTPKDGGFLLGSGQEVVIDVPDKWSGRIWGRQGCNFDSNGKGSCDTGDCGGQLHCGGSGGEPPATLVEMTLGSSSSPLHFYDVSLVDGFNLPVSMKPVGGGVGCGVASCEVDVNICCPSTLEVRRGSKVVGCKSACLAMQSAKYCCTGQYANPNTCKPTLFAHLFKAICPKAYSYAFDDSSSLNRCRASRYVITFCPPQLL >KGN55499 pep chromosome:ASM407v2:4:22982970:22986750:1 gene:Csa_4G658590 transcript:KGN55499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPYRHRPSSGYNTPFWTTNSGAPVWNNNSSLTVGPRGPILLEDYHLVEKLANFDRERIPERVVHARGASAKGFFEVTHDITNLSCADFLRAPGVQTPVIVRFSTVIHERGSPETLRDPRGFAVKFYTREGNFDLVGNNFPVFFIRDGMKFPDMVHALKPNPKSHIQENWRILDFFSHHPESLNMFTFLFDDIGIPQDYRHMDGSGVNTYTLINKAGKAHYVKFHWRPTCGVKSLLEEDAIRVGGSNHSHATQDLYDSIAAGNYPEWKLFIQTIDPDHEDRYDFDPLDVTKTWPEDILPLQPVGRMVLNKNIDNFFAENEQLAFCPAIIVPGIYYSDDKLLQTRIFSYSDTQRHRLGPNYLQLPANAPKCAHHNNHHEGFMNFMHRDEEVNYFPSRYDPARHAERYPHPPAVCTGKRERCVIQKENNFKEPGERYRSWTPDRQERFIRRWVDALSDPRVTHEIRSIWITYWSQADRSVGQKLASHLNVRPSI >KGN53086 pep chromosome:ASM407v2:4:2038825:2039065:-1 gene:Csa_4G015760 transcript:KGN53086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDAMKCHWSKPSLPCVFELHPQSSSLIFITVRASSQPPFHPNRRDSSILQCVV >KGN55088 pep chromosome:ASM407v2:4:20461364:20464551:1 gene:Csa_4G628850 transcript:KGN55088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKFPFLFSFLFFALIVSGNHETQRTVISKMVDGVRGKIGAIVDKNSRIGKEESLAMLMAVEDFNNVNDQNFSFVIKDFKNDPNQAALAAEDLISMQQVQVLIGPQTWEAVSVVAKVGSENKIPVLALANDIPKWATERLAFLVQASPSQFNQMRAIAAIIGSWDWRLVNVIYEDGDFSTAEVFSYLEHALKDVGAEISELVSLPQFDSNLLSNELERLRRGPSRVFVVHTSFKFGLHLFQTAKEMGMMEKEYVWITTDSFTSLAHSFNVSVNSLLQGVIGVKSYFPENHPPFRKFYRRFCSRFRIEHSDEYNHEPSIFAVQAYDAVRTAAMAMSRAQGKAHRLFKFIKLADFQGLGGNIQFKDRKLVPANTFQIINVMGRSYRELGFWSVELGFSRELGKNSSTSSSLKDLGPVFWPGGYFETPRGWAIPTDARPLRIGVPTSPMFKQYVNVEGDQIGNNLSFSGLAIDLFKATLDNLCVPLPHKFYAYSGTYDDLVKQIYLKEASASNGIFLFCLSKEFDAAVGDIAIVSTRYEHAEFTHPYSEAGLVMVVPAINNRSNRALLFTKPFTLTMWIVISVVNIYNGFVVWFIERNHGPEPEGSMFSQAGTMLCSSFTTLFSLQGNRLHSNLSRMTMVVWLFVALVITQIYTANLTSMLTIQQLEPTISNIETLRRMNAFVGCGRGSFVKGYLETVLHFSTEAIKNYSTPDGLADALRNQEIAATFLEVPFAKLFLAKFCKEFMISGPTYKVGGFGFAFPRGSLLLPYVNQALLKVSETGRYRKLEDSMIAGEKCEDGEGKDGSPSLSPNSFFLLFVLSAGVSTIALTLYVYNATLKSNLQQNTIWRLMIAVMRKWGNHRRRFSRRVSEEPQTIPNNFPNVENVQSLA >KGN53648 pep chromosome:ASM407v2:4:6262226:6266194:-1 gene:Csa_4G095580 transcript:KGN53648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLETSDAHARLTCHNVIDIHVNGGDVHSSCIVNIDIVKDKLKLSKSCDGTFESLKTENTLVRIEKVLERQSSLKMGAKVVHYLLNHGLMLLKFSSKEKLGTERAHDMPNIRWRKYKCFALDSRKIVILFSVLSSLGTLMLIYLTLRVRQQGGDGSVAI >KGN54395 pep chromosome:ASM407v2:4:12945695:12946995:-1 gene:Csa_4G312280 transcript:KGN54395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYNFPASNPSSCGSSSGGGGGGGKEKMKKNNNNHGGAGKRSKGVVKLSTDPQSVAARERRHRISDRFKILQSLVPGGTKMDTVSMLDEAIHYVKFLKTQIWLHQTMINFVDYDSNSSAAVSAAATANSGFPFEQIASGEYNNMNNLLYPQNDVVKMEEPNFLPQLDPNNNNNVYFPSDHQDQFISSSYDPYINF >KGN54674 pep chromosome:ASM407v2:4:15809385:15822383:1 gene:Csa_4G420150 transcript:KGN54674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIIKAREILLTAFSNRTNSSLQFRSSYLKTCSGSVSILNKMVNLGLFSGAKRAKSVNVRFKLPYYTHWGQSLVVCGSDSLVGSWNVKKGLLLSPVHQGDQLIWCGSIAVSDGFECEYNYYVVDDNRNVLRWEKGNRRKVLLPQGLQGAEVIELRDLWQTGGDAIPFKSAFKDVIFGRSSTLSIERPLGNFVHSLDEDDSVLVHFKICCPNIEEDTTIYVIGSSSKLGQWKVQNGIKLSHAGDSIWHGDCILQFSDFPLKYKYCKYGKAGVISSEFGQNRDLLLDASNFPPRYILLSDGMLRDLPWRGSGVAIPMFSVRSDDDLGVGEFLDLKLLVDWAVESGLHLVQLLPVNDTSVHGMWWDSYPYSSLSVFALHPLYLRVQALSDNIPEDIKLEIQKAKVELDGKDVDYEATMAAKLTLAQKIFAREKDSVLNSSSFQKYLSENEEWLKPYAAFCFLRDFFETSDHSQWGRFSQFSKDKLEKLISKDSLHYEVICFHYYIQYHLHQQLSEAANYGRKKGVILKGDLPIGVDKNSVDTWVYPTLFRMNTSTGAPPDYFDKNGQNWGFPTYNWEEMSKDNYAWWRARLTQMSNYFTAYRIDHILGFFRIWELPEHAMTGLVGKFRPSIPLSQEELEREGIWDFDRLSRPYIKAEFLQDKFGAAWGFIASHFLNEYQKNFYEFKEECNTEKKIASKLKSLIEETQLQNPDQIRRSLFDLIQNIVLMRDLENPRSFYPRFNLEDTSSFNDLDDHSKDVLKRLYYDYYFHRQEDLWRKNALKTLPVLLDSSDMLACGEDLGLIPSCVHPVMEELGLIGLRIQRMPNEPDLEFGIPSQYSYMTVCAPSCHDCSTLRAWWDEDEERRQRFMKNVIESDILPPSQCIPEIAHFIIKQHFEAPSMWAIFPLQDLLALKEEYTTRPAKEETINDPTNPKHYWRFRSHVTLESLMKDKELQATIKGLSLESGRSVPHDEAKPASKPTSVDVEANEEKISLATKSNGKPQKETLAVT >KGN53814 pep chromosome:ASM407v2:4:8110404:8110738:1 gene:Csa_4G141220 transcript:KGN53814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLINIYVLLFSNKVSLSRIKRAKRVEESEEEVEDQGEQEKTESLEDKKEEDAIDEAAGRYHKKGRIKIIHKRGK